PMID- 24916042
TI - Propionic acidemia in a previously healthy adolescent with acute onset of dilated
cardiomyopathy.
AB - Propionic acidemia (PA) is a rare autosomal recessive organic aciduria resulting
from defects in propionyl-CoA-carboxylase (PCC), a key enzyme of intermediate
energy metabolism. PA mostly manifests during the neonatal period, when affected
newborns develop severe metabolic acidosis and hyperammonemia. We present a
previously healthy teenager, who suffered from acute fatigue and breathlessness.
The patient was tachycardic, displayed a precordial heave and a systolic murmur.
Cardiac investigations revealed severe dilated cardiomyopathy (DCM). Biochemical
work up led to the diagnosis of PA. Remarkably, this patient of consanguineous
Hispanic origin was in a good general health condition before the acute onset of
DCM. Diagnosis of PA was confirmed by enzymatic and molecular genetic analysis,
the latter revealing a novel homozygous mutation in the PCCB gene (c.1229G > A;
p.R410Q). Residual PCC enzyme activity of approximately 14 % of normal was
detected in patient's lymphocytes and fibroblasts, thereby providing a possible
explanation for the hitherto asymptomatic phenotype. CONCLUSION: Isolated DCM,
although rare, can be the leading and/or sole symptom of late-onset PA.
Therefore, patients with DCM should receive a comprehensive diagnostic evaluation
including selective screening for inborn errors of metabolism.
PMID- 24916043
TI - Carbon storage and potential methane production in the Hudson Bay Lowlands since
mid-Holocene peat initiation.
AB - Peatlands have influenced Holocene carbon (C) cycling by storing atmospheric C
and releasing methane (CH4). Yet, our understanding of contributions from the
world's second largest peatland, the Hudson Bay Lowlands (HBL), Canada, to peat
climate-C-dynamics is constrained by the paucity of dated peat records and
regional C-data. Here we examine HBL peatland development in relation to Holocene
C-dynamics. We show that peat initiation in the HBL is tightly coupled with
glacial isostatic adjustment (GIA) through most of the record, and occurred
within suitable climatic conditions for peatland development. HBL peatlands
initiated most intensively in the mid-Holocene, when GIA was most rapid and
climate was cooler and drier. As the peat mass developed, we estimate that the
HBL potentially released 1-7 Tg CH4 per year during the late Holocene. Our
results indicate that the HBL currently stores a C-pool of ~30 Pg C and provide
support for a peatland-derived CH4 contribution to the late Holocene atmosphere.
PMID- 24916044
TI - Reciprocal interaction between the suprachiasmatic nucleus and the immune system
tunes down the inflammatory response to lipopolysaccharide.
AB - Several studies have shown circadian variations in the response of the immune
system suggesting a role of the suprachiasmatic nucleus (SCN). Here we show that
lipopolysaccharide (LPS) administration in the beginning of the active period
induced more severe responses in temperature and cytokines than LPS given in the
rest period. Moreover night administered LPS increased SCN basal neuronal
activity indicating a direct influence of inflammation on the SCN. Bilateral
lesions of the SCN resulted in an increased inflammatory response to LPS
demonstrating that an interaction between the SCN and the immune system modulates
the intensity of the inflammatory response.
PMID- 24916045
TI - Aphid-encoded variability in susceptibility to a parasitoid.
AB - BACKGROUND: Many animals exhibit variation in resistance to specific natural
enemies. Such variation may be encoded in their genomes or derived from infection
with protective symbionts. The pea aphid, Acyrthosiphon pisum, for example,
exhibits tremendous variation in susceptibility to a common natural enemy, the
parasitic wasp Aphidius ervi. Pea aphids are often infected with the heritable
bacterial symbiont, Hamiltonella defensa, which confers partial to complete
resistance against this parasitoid depending on bacterial strain and associated
bacteriophages. That previous studies found that pea aphids without H. defensa
(or other symbionts) were generally susceptible to parasitism, together with
observations of a limited encapsulation response, suggested that pea aphids
largely rely on infection with H. defensa for protection against parasitoids.
However, the limited number of uninfected clones previously examined, and our
recent report of two symbiont-free resistant clones, led us to explicitly examine
aphid-encoded variability in resistance to parasitoids. RESULTS: After rigorous
screening for known and unknown symbionts, and microsatellite genotyping to
confirm clonal identity, we conducted parasitism assays using fifteen clonal pea
aphid lines. We recovered significant variability in aphid-encoded resistance,
with variation levels comparable to that contributed by H. defensa. Because
resistance can be costly, we also measured aphid longevity and cumulative
fecundity of the most and least resistant aphid lines under permissive
conditions, but found no trade-offs between higher resistance and these fitness
parameters. CONCLUSIONS: These results indicate that pea aphid resistance to A.
ervi is more complex than previously appreciated, and that aphids employ multiple
tactics to aid in their defense. While we did not detect a tradeoff, these may
become apparent under stressful conditions or when resistant and susceptible
aphids are in direct competition. Understanding sources and amounts of variation
in resistance to natural enemies is necessary to understand the ecological and
evolutionary dynamics of antagonistic interactions, such as the potential for
coevolution, but also for the successful management of pest populations through
biological control.
PMID- 24916046
TI - Comments on: 'the infective endocarditis team: recommendations from an
international working group'.
PMID- 24916047
TI - Two (or more) sides of a coin.
PMID- 24916048
TI - Decision to intervene in asymptomatic mitral regurgitation: 'more art than
science'.
PMID- 24916049
TI - Predominance of normal left ventricular geometry in the male 'athlete's heart'.
AB - AIMS: This study evaluated (a) global LV adaption to endurance versus resistance
training in male athletes, (b) LV assessment using by modern imaging technologies
and (c) the impact of scaling for body size on LV structural data. METHODS: A
prospective cross-sectional design assessed the LV in 18 elite endurance-trained
(ET), 19 elite resistance-trained (RT) and 17 sedentary control (CT)
participants. Standard 2D, tissue Doppler and speckle tracking echocardiography
assessed LV structure and function. Indexing of LV structures to body surface
area (BSA) was undertaken using ratio and allometric scaling. RESULTS: Absolute
and scaled LV end-diastolic volume (ET: 43.7+/-6.8; RT: 34.2+/-7.4; CT 32.5+/-8.9
mL/m(1.5); p<0.05) and LV mass (ET: 29.8+/-6.6; RT: 25.4+/-8.7; CT 25.9+/-6.4
g/m(2.7); p < 0.05) were significantly higher in ET compared with RT and CT. LV
wall thickness were not different between ET and RT. 65% of ET and 95% of RT had
normal geometry. Stroke volume was higher in ET compared with both RT and CT
(p<0.05). Whilst regional tissue velocity data were not different between groups,
longitudinal and basal circumferential strain (epsilon) was reduced in RT
compared with ET. CONCLUSIONS: In this comprehensive evaluation of the male
athlete's heart (AH), normal LV geometry was predominant in both athlete groups.
In the ET, 30% demonstrated an eccentric hypertrophy with no concentric
hypertrophy in RT. Cardiac epsilon data in RT require further evaluation, and any
interpretation of LV size should appropriately index for differences in body
size.
PMID- 24916050
TI - Aborted myocardial infarction in ST-elevation myocardial infarction: insights
from the STrategic Reperfusion Early After Myocardial infarction trial.
AB - BACKGROUND: We evaluated the prespecified endpoint, aborted myocardial infarction
(AbMI), according to the use of a pharmacoinvasive (PI) strategy versus primary
percutaneous coronary intervention (PCI) in 1754 patients randomised within 3 h
of symptom onset in the STrategic Reperfusion Early After Myocardial infarction
(STREAM) trial. METHODS: Based on sequential ECG's and biomarkers, AbMI was
defined as ST-elevation resolution >=50% (90 min posttenecteplase (TNK) in the PI
arm or 30 min postprimary PCI) with minimal biomarker rise. RESULTS: In the PI
arm 11.1% (n=99) had AbMI versus 6.9% (n=59) in primary PCI arm (p<0.01). In a
multivariable model, AbMI patients overall had less baseline SigmaST-deviation,
fewer baseline Q-waves and shorter total ischaemic times. PI AbMI patients had
faster time to TNK (90 vs 100 min, p=0.015): total ischaemic time was 100 min
longer in primary PCI AbMI patients and no difference in ischaemic time existed
between AbMI and non-AbMI patients within this group. Although no significant
interaction between treatment and AbMI on the composite endpoint of
death/shock/congestive heart failure/recurrent MI occurred (p=0.292), PI AbMI
patients had a lower incidence in this endpoint than non-AbMI patients (5.1 vs
12%, p=0.038); this was not evident in primary PCI patients. Forty-five patients
(ie, 2.5%) had masquerading MI with minimal biomarker elevation and no evolution
in baseline ST-elevation. CONCLUSIONS: A PI strategy of early fibrinolysis more
frequently aborts MI than primary PCI. Such PI patients had more favourable
outcomes as compared with non-AbMIs. Diligent review of ECG evolution in STEMI
distinguishes AbMI from infarct masquerade. ClinicalTrials.gov ID: NCT00623623.
PMID- 24916051
TI - Polyproline promotes tetramerization of recombinant human butyrylcholinesterase.
AB - Human BChE (butyrylcholinesterase) protects against the toxicity of
organophosphorus nerve agents and pesticides. BChE purified from human plasma is
limited and pathogen carry-over is a concern. Unlike the native BChE tetrameric
complex with a residence time of days, rBChE (recombinant BChE) is produced
predominantly as dimers and monomers that are cleared from the circulation within
minutes. Assembly into tetramers requires incorporation of proline-rich peptides,
a process that was thought to occur intracellularly. Our goal was to determine
whether polyproline added to rBChE under cell-free conditions would promote
tetramerization. Secreted rBChE was purified by procainamide affinity
chromatography, and synthetic polyprolines (8-mer to 300-mer) were tested to
determine their effect on tetramer assembly. These studies demonstrated that 90
98% of purified rBChE (65 MUM) could be assembled into tetramers when incubated
with synthetic 17-mer or 50-mer polyproline peptides (100 MUM) for 1.5 h at 25
degrees C. However, rBChE tetramerization was inefficient with smaller 8-mer
polyproline peptides and larger 300-mer polyproline proteins. Collectively, these
studies demonstrated that the eukaryotic cellular machinery is not required for
assembly of active BChE into tetramers and that this process can occur in vitro
with purified rBChE in the presence of peptides containing 15-50 consecutive
proline residues.
PMID- 24916052
TI - Light-induced color changes of microgel-based etalons.
AB - Poly(N-isopropylacrylamide) (pNIPAm) microgel-based etalons were used to
fabricate systems that change visual color in response to light exposure. These
systems were fabricated by adding pH responsive microgel-based etalons to a
solution composed of the photoacid o-nitrobenzaldehyde (o-NBA). Upon exposure of
this system to ultraviolet (UV) irradiation, the photoacid released a proton,
lowering the pH of the solution. Since the pNIPAm microgel-based etalon was
responsive to pH, the etalon changed its optical properties and, hence, visual
color. We went on to show that patterned etalons could be fabricated, which only
contained pH-responsive microgels in specific regions. These etalons only changed
color in the pH-responsive regions, to yield patterns that change color upon UV
light exposure. Finally, the color of the etalon was shown to be fully reversible
and could be switched multiple times. These unique systems could potentially be
used for display technologies, and as a controlled/triggered drug delivery
system.
PMID- 24916053
TI - Platinum nanocatalysts loaded on graphene oxide-dispersed carbon nanotubes with
greatly enhanced peroxidase-like catalysis and electrocatalysis activities.
AB - A powerful enzymatic mimetic has been fabricated by employing graphene oxide (GO)
nanocolloids to disperse conductive carbon supports of hydrophobic carbon
nanotubes (CNTs) before and after the loading of Pt nanocatalysts. The resulting
GOCNT-Pt nanocomposites could present improved aqueous dispersion stability and
Pt spatial distribution. Unexpectedly, they could show greatly enhanced
peroxidase-like catalysis and electrocatalysis activities in water, as evidenced
in the colorimetric and electrochemical investigations in comparison to some
inorganic nanocatalysts commonly used. Moreover, it is found that the new enzyme
mimetics could exhibit peroxidase-like catalysis activity comparable to natural
enzymes; yet, they might circumvent some of their inherent problems in terms of
catalysis efficiency, electron transfer, environmental stability, and cost
effectiveness. Also, sandwiched electrochemical immunoassays have been
successfully conducted using GOCNT-Pt as enzymatic tags. Such a fabrication
avenue of noble metal nanocatalysts loaded on well-dispersed conductive carbon
supports should be tailored for the design of different enzyme mimics promising
the extensive catalysis applications in environmental, medical, industrial, and
particularly aqueous biosensing fields.
PMID- 24916054
TI - Comparison of the copy numbers of bovine leukemia virus in the lymph nodes of
cattle with enzootic bovine leukosis and cattle with latent infection.
AB - To establish a diagnostic index for predicting enzootic bovine leukosis (EBL),
proviral bovine leukemia virus (BLV) copies in whole blood, lymph nodes and
spleen were examined by quantitative real-time PCR (qPCR). Cattle were divided
into two groups, EBL and BLV-infected, based on meat inspection data. The number
of BLV copies in all specimens of EBL cattle was significantly higher than those
of BLV-infected cattle (p < 0.0001), and the number of BLV copies in the lymph
nodes was particularly large. Over 70 % of the superficial cervical, medial iliac
and jejunal lymph nodes from EBL cattle had more than 1,000 copies/10 ng DNA,
whereas lymph nodes from BLV-infected cattle did not. These findings suggest that
the cattle harboring more than 1,000 BLV copies may be diagnosed with EBL.
PMID- 24916055
TI - Phylogenetic and recombination analysis of the homing protein domain of grapevine
fanleaf virus (GFLV) isolates associated with 'yellow mosaic' and 'infectious
malformation' syndromes in grapevine.
AB - The RNA2 of seven grapevine fanleaf virus (GFLV) isolates from vines with yellow
mosaic (YM) symptoms from different origin were sequenced. These sequences showed
a high variability in the homing protein (2A(HP)) and, in five of them, a
putative recombination with arabis mosaic virus (ArMV) was detected. To
investigate recombination frequency, the partial sequences of the 2A(HP) of 28
additional GFLV isolates from nine different countries, showing either YM or
infectious malformations (MF) symptoms, were obtained and compared with those of
GFLV isolates from GenBank. The analysis confirmed the high level of sequence
variability (up to 41 % at the nucleotide level) among isolates. In phylogenetic
trees constructed using different approaches, the sequenced isolates always
clustered in four conserved groups, three of which comprised YM strains (groups
1, 2 and 3), and one (group 4) the MF strains. Potential interspecific
recombination sites between GFLV and ArMV were predicted in the 2A(HP) gene of
several isolates, all of which were associated with YM symptoms.
PMID- 24916056
TI - Complete sequence of a novel duck astrovirus.
AB - A duck astrovirus isolate CPH (DAstV/CPH) detected from newly hatched ducklings
was sequenced. The 7463-nt-long genome contained three open reading frames (ORFs)
that were in three different frames, as seen in DAstV/C-NGB. Sequence comparison
and phylogenetic analysis based on the full-length amino acid sequences of the
three ORFs demonstrated that DAstV/CPH was highly divergent from previously known
avastroviruses for which corresponding sequences are available. Genetic analysis
of the complete ORF2 region revealed that mean amino acid genetic distances
shared by DAstV/CPH with members of the three established avastrovirus species
and other avastroviruses (except the chicken astrovirus GA2011 [CAstV/GA2011]
like viruses) were between 0.577 and 0.787, suggesting that DAstV/CPH may
represent an additional avastrovirus species. The mean amino acid genetic
distance between DAstV/CPH and the CAstV/GA2011-like viruses was 0.366,
demonstrating the difficulty in determining the taxonomic relationship of
DAstV/CPH to the CAstV/GA2011-like viruses. Interestingly, analysis based on a
portion of the ORF1b region indicated that DAstV/CPH was more closely related to
the common-teal-origin astrovirus MPK514 than to the CAstV/GA2011-like viruses.
The findings are likely to provide new insights into the ecology and evolution of
avastroviruses.
PMID- 24916057
TI - Laser pulse duration is critical for the generation of plasmonic nanobubbles.
AB - Plasmonic nanobubbles (PNBs) are transient vapor nanobubbles generated in liquid
around laser-overheated plasmonic nanoparticles. Unlike plasmonic nanoparticles,
PNBs' properties are still largely unknown due to their highly nonstationary
nature. Here we show the influence of the duration of the optical excitation on
the energy efficacy and threshold of PNB generation. The combination of
picosecond pulsed excitation with the nanoparticle clustering provides the
highest energy efficacy and the lowest threshold fluence, around 5 mJ cm(-2), of
PNB generation. In contrast, long excitation pulses reduce the energy efficacy of
PNB generation by several orders of magnitude. Ultimately, the continuous
excitation has the minimal energy efficacy, nine orders of magnitude lower than
that for the picosecond excitation. Thus, the duration of the optical excitation
of plasmonic nanoparticles can have a stronger effect on the PNB generation than
the excitation wavelength, nanoparticle size, shape, or other "stationary"
properties of plasmonic nanoparticles.
PMID- 24916058
TI - Evaluation of blood pressure and heart rate in patients with hypertension who
received tapentadol extended release for chronic pain: a post hoc, pooled data
analysis.
AB - BACKGROUND AND OBJECTIVES: Hypertension is one of the most common co-existing
conditions in patients with chronic pain, and the potential effects of an
analgesic on heart rate and blood pressure are of particular concern for patients
with hypertension. The purpose of this analysis was to evaluate changes in blood
pressure and heart rate with tapentadol extended release (ER) treatment in
patients with hypertension. METHODS: We performed a post hoc analysis of data
pooled from three randomized, placebo- and active-controlled, phase III studies
of tapentadol ER for managing chronic osteoarthritis knee (NCT00421928,
NCT00486811) or low back (NCT00449176) pain (15-week, double-blind treatment
period). Data were independently analyzed for patients with a listed medical
history of hypertension at baseline and patients with at least one listed
concomitant antihypertensive medication at baseline. Heart rate, systolic blood
pressure (SBP), and diastolic blood pressure (DBP) were measured at each visit.
RESULTS: In patients with a listed medical history of hypertension (n = 1,464),
least-squares mean (LSM [standard error (SE)]) changes from baseline to endpoint
with placebo, tapentadol ER, and oxycodone HCl controlled release (CR),
respectively, were -0.7 (0.44), 0.2 (0.43), and -0.9 (0.45) beats per minute
(bpm) for heart rate; -2.4 (0.64), -2.7 (0.64), and -3.7 (0.67) mmHg for SBP; and
-1.0 (0.39), -1.3 (0.39), and -2.3 (0.41) mmHg for DBP; in patients with at least
one listed concomitant antihypertensive medication (n = 1,376), the LSM (SE)
changes from baseline to endpoint were -0.6 (0.45), 0.1 (0.44), and -0.7 (0.47)
bpm for heart rate; -1.8 (0.66), -3.3 (0.65), and -3.7 (0.69) mmHg for SBP; and
0.7 (0.40), -1.4 (0.40), and -2.3 (0.42) mmHg for DBP. CONCLUSION: No clinically
meaningful mean changes in heart rate or blood pressure were observed for the
evaluated cohorts of patients with hypertension who were treated with tapentadol
ER (100-250 mg twice daily).
PMID- 24916059
TI - White-tailed deer are a biotic filter during community assembly, reducing species
and phylogenetic diversity.
AB - Community assembly entails a filtering process, where species found in a local
community are those that can pass through environmental (abiotic) and biotic
filters and successfully compete. Previous research has demonstrated the ability
of white-tailed deer (Odocoileus virginianus) to reduce species diversity and
favour browse-tolerant plant communities. In this study, we expand on our
previous work by investigating deer as a possible biotic filter altering local
plant community assembly. We used replicated 23-year-old deer exclosures to
experimentally assess the effects of deer on species diversity (H'), richness
(SR), phylogenetic community structure and phylogenetic diversity in paired
browsed (control) and unbrowsed (exclosed) plots. Additionally, we developed a
deer-browsing susceptibility index (DBSI) to assess the vulnerability of local
species to deer. Deer browsing caused a 12 % reduction in H' and 17 % reduction
in SR, consistent with previous studies. Furthermore, browsing reduced
phylogenetic diversity by 63 %, causing significant phylogenetic clustering.
Overall, graminoids were the least vulnerable to deer browsing based on DBSI
calculations. These findings demonstrate that deer are a significant driver of
plant community assembly due to their role as a selective browser, or more
generally, as a biotic filter. This study highlights the importance of knowledge
about the plant tree of life in assessing the effects of biotic filters on plant
communities. Application of such knowledge has considerable potential to advance
our understanding of plant community assembly.
PMID- 24916060
TI - Effects of pollination limitation and seed predation on female reproductive
success of a deceptive orchid.
AB - For many species of conservation significance, multiple factors limit
reproduction. This research examines the contributions of plant height, number of
flowers, number of stems, pollen limitation and seed predation to female
reproductive success in the deceit-pollinated orchid, Cypripedium candidum. The
deceptive pollination strategy employed by many orchids often results in high
levels of pollen limitation. While increased floral display size may attract
pollinators, C. candidum's multiple, synchronously flowering stems could promote
selfing and also increase attack by weevil seed predators. To understand the
joint impacts of mutualists and antagonists, we examined pollen limitation, seed
predation and the effects of pollen source over two flowering seasons (2009 and
2011) in Ohio. In 2009, 36 pairs of plants size-matched by flower number,
receiving either supplemental hand or open pollination, were scored for fruit
maturation, mass of seeds and seed predation. Pollen supplementation increased
proportion of flowers maturing into fruit, with 87 % fruit set when hand
pollinated compared with 46 % for naturally pollinated flowers. Inflorescence
height had a strong effect, as taller inflorescences had higher initial fruit
set, while shorter stems had higher predation. Seed predation was seen in 73 % of
all fruits. A parallel 2011 experiment that included a self-pollination treatment
and excluded seed predators found initial and final fruit set were higher in the
self and outcross pollination treatments than in the open-pollinated treatment.
However, seed mass was higher in both open pollinated and outcross pollination
treatments compared with hand self-pollinated. We found greater female
reproductive success for taller flowering stems that simultaneously benefited
from increased pollination and reduced seed predation. These studies suggest that
this species is under strong reinforcing selection to increase allocation to
flowering stem height. Our results may help explain the factors limiting seed
production in other Cypripedium and further emphasize the importance of
management in orchid conservation.
PMID- 24916061
TI - Gastroesophageal reflux symptoms and associated factors in adolescents: A
community-based study.
PMID- 24916062
TI - Molecular insight of isotypes specific beta-tubulin interaction of tubulin
heterodimer with noscapinoids.
AB - Noscapine and its derivatives bind stoichiometrically to tubulin, alter its
dynamic instability and thus effectively inhibit the cellular proliferation of a
wide variety of cancer cells including many drug-resistant variants. The tubulin
molecule is composed of alpha- and beta-tubulin, which exist as various isotypes
whose distribution and drug-binding properties are significantly different.
Although the noscapinoids bind to a site overlapping with colchicine, their
interaction is more biased towards beta-tubulin. In fact, their precise
interaction and binding affinity with specific isotypes of beta-tubulin in the
alphabeta-heterodimer has never been addressed. In this study, the binding
affinity of a panel of noscapinoids with each type of tubulin was investigated
computationally. We found that the binding score of a specific noscapinoid with
each type of tubulin isotype is different. Specifically, amino-noscapine has the
highest binding score of -6.4, -7.2, -7.4 and -7.3 kcal/mol with alphabetaI,
alphabetaII, alphabetaIII and alphabetaIV isotypes, respectively. Similarly 10
showed higher binding affinity of -6.8 kcal/mol with alphabetaV, whereas 8 had
the highest binding affinity of -7.2, -7.1 and -7.2 kcal/mol, respectively with
alphabetaVI, alphabetaVII and alphabetaVIII isotypes. More importantly, both
amino-noscapine and its clinical derivative, bromo-noscapine have the highest
binding affinity of -46.2 and -38.1 kcal/mol against alphabetaIII (overexpression
of alphabetaIII has been associated with resistance to a wide range of
chemotherapeutic drugs for several human malignancies) as measured using MM-PBSA.
Knowledge of the isotype specificity of the noscapinoids may allow for
development of novel therapeutic agents based on this class of drugs.
PMID- 24916063
TI - Neonatal intubation with direct laryngoscopy vs videolaryngoscopy: an extremely
premature baboon model.
AB - OBJECTIVE: To compare the ability to successfully intubate extremely preterm
baboons using conventional direct laryngoscopy (DL) vs videolaryngoscopy.
METHODS: A prospective randomized crossover study using experienced and
inexperienced neonatal intubators. All participants were shown an educational
video on intubation with each device, followed by attempt of the procedure. The
time for successful intubation was the primary outcome. RESULTS: Seven subjects
comprised the experienced group, while 10 individuals were in the inexperienced
group. The overall intubation success rate was comparable between both devices
(53% vs 26%, P = 0.09); however, mean time to intubate with the conventional
laryngoscope was faster (25.5 vs 39.4 s, P = 0.02). Although both groups
intubated faster with DL, it only reached statistical significance in the
inexperienced group (27.0 vs 48.7 s, P < 0.05). CONCLUSION: Conventional DL and
videolaryngoscopy are suitable modes for intubating extremely preterm baboons.
Although experienced intubators prefer DL, intubation success rate and time to
intubate with both devices were comparable. In inexperienced intubators,
participants preferred and intubated faster with DL.
PMID- 24916064
TI - Wet oxidation of sewage sludge: full-scale experience and process modeling.
AB - Nowadays, sewage sludge management represents one of the most important issues in
wastewater treatment. Within the European project "ROUTES," wet oxidation (WO)
was proposed for sludge minimization. Four different types of sludge were treated
in an industrial WO plant: (1) municipal primary sludge (chemical oxygen demand
COD: 73.0 g/L; volatile suspended solid VSS: 44.1 g/L); (2) secondary sludge from
an industrial wastewater treatment plant (WWTP) without primary sedimentation
(COD: 71.8 g/L; VSS: 34.2 g/L); (3) secondary sludge from a mixed municipal and
industrial WWTP without primary sedimentation (COD: 61.9 g/L; VSS: 38.7 g/L); and
(4) mixed primary (70%) and secondary (30%) municipal sludge (COD: 81.2 g/L; VSS:
40.6 g/L). The effect of process parameters (temperature, reaction time, oxygen
dosage) on WO performance was investigated. Depending on operating conditions,
VSS and COD removal efficiency varied in the range 80-97% and 43-71%,
respectively. A correlation between process efficiency and the initial VSS/TSS
(total suspended solids) ratio was highlighted. Furthermore, a mathematical model
of WO process for simulating VSS and COD profiles was developed.
PMID- 24916065
TI - Small molecule enhancers of rapamycin induce apoptosis in myeloma cells via
GSK3A/B preferentially within a protective bone marrow microenvironment.
PMID- 24916067
TI - Childhood mycosis fungoides: experience of 28 patients and response to
phototherapy.
AB - Mycosis fungoides (MF), the most common cutaneous T-cell lymphoma (CTCL), is rare
in childhood. The prognosis and response to treatment are poorly described in
children. The objective of the current study was to evaluate the response to
phototherapy in a pediatric cohort. A retrospective cohort study of all patients
diagnosed with MF before the age of 18 years and referred to the regional CTCL
phototherapy service was performed between January 1990 and April 2012. Twenty
eight patients were identified (13 boys, 15 girls). The mean age at presentation
was 11.6 +/- 3.9 years. The hypopigmented variant was noted in 79% of patients.
All patients had stage I disease (IA = 10, IB = 17, unknown = 1). The median
follow-up after diagnosis was 43 months (range 6-274 mos). Narrowband ultraviolet
B (NbUVB; 311 nm) was used as first-line phototherapy in 18 patients and psoralen
(bath) plus ultraviolet A (PUVA) was used in 8 patients. Complete or partial
response was observed in 19 of 22 patients (86%). A further course of
phototherapy was required in 7 of 12 patients (58%) treated with NbUVB after a
median of 4 months (range 4-29 mos). A further course of phototherapy was
required in four of eight patients (50%) successfully treated with PUVA after a
median of 45.5 months (range 30-87 mos). No disease progression was noted over
the follow-up (median 43 mos). The majority of patients in our cohort had
hypopigmented MF. Phototherapy offers an effective option for treatment of
childhood MF, although the period of remission may be greater in patients treated
with PUVA.
PMID- 24916066
TI - Obesity and diabetes cause cognitive dysfunction in the absence of accelerated
beta-amyloid deposition in a novel murine model of mixed or vascular dementia.
AB - Mid-life obesity and type 2 diabetes mellitus (T2DM) confer a modest, increased
risk for Alzheimer's disease (AD), though the underlying mechanisms are unknown.
We have created a novel mouse model that recapitulates features of T2DM and AD by
crossing morbidly obese and diabetic db/db mice with APPDeltaNL/DeltaNLx
PS1P264L/P264L knock-in mice. These mice (db/AD) retain many features of the
parental lines (e.g. extreme obesity, diabetes, and parenchymal deposition of
beta-amyloid (Abeta)). The combination of the two diseases led to additional
pathologies-perhaps most striking of which was the presence of severe
cerebrovascular pathology, including aneurysms and small strokes. Cortical Abeta
deposition was not significantly increased in the diabetic mice, though overall
expression of presenilin was elevated. Surprisingly, Abeta was not deposited in
the vasculature or removed to the plasma, and there was no stimulation of
activity or expression of major Abeta-clearing enzymes (neprilysin, insulin
degrading enzyme, or endothelin-converting enzyme). The db/AD mice displayed
marked cognitive impairment in the Morris Water Maze, compared to either db/db or
APPDeltaNLx PS1P264L mice. We conclude that the diabetes and/or obesity in these
mice leads to a destabilization of the vasculature, leading to strokes and that
this, in turn, leads to a profound cognitive impairment and that this is unlikely
to be directly dependent on Abeta deposition. This model of mixed or vascular
dementia provides an exciting new avenue of research into the mechanisms
underlying the obesity-related risk for age-related dementia, and will provide a
useful tool for the future development of therapeutics.
PMID- 24916068
TI - p27(kip1) deficiency accelerates dentin and alveolar bone formation.
AB - To assess the role of p27(kip1) in regulating dental formation and alveolar bone
development, we compared the teeth and mandible phenotypes of homozygous
p27(kip1) -deficient (p27(-/-) ) mice with their wild-type littermates at 2 weeks
of age. At 2 weeks of age, dental mineral density, dental volume and dentin
sialoprotein-immunopositive areas were increased significantly, whereas the
predentin area : total dentin area and biglycan-immunopositive area : dentin area
ratios were decreased significantly in p27(-/-) mice compared with their wild
type (WT) littermates. Mandible mineral density, cortical thickness, alveolar
bone volume, type I collagen and osterix-immunopositive areas, osteoblast number
and activity and mRNA expression of Runt-related transcription factor 2 (Runx2),
alkaline phosphatase (ALP), osteocalcin and bone morphogenetic protein (bmp2)
were all significantly increased in the mandibles, as was the number and surface
of tartrate-resistant acid phosphatase-positive osteoclasts in the alveolar bone
of p27(-/-) mice compared with their WT littermates. Furthermore, the percentage
of proliferating cell nuclear antigen-positive cells in Hertwig's epithelial root
sheath and protein expression of cyclin E and cyclin-dependent kinase 2 were
increased significantly in p27(-/-) mice relative to their WT littermates. The
results from this study indicate that p27 plays a negative regulatory role in
dentin formation and alveolar bone development.
PMID- 24916069
TI - C2 photosynthesis generates about 3-fold elevated leaf CO2 levels in the C3-C4
intermediate species Flaveria pubescens.
AB - Formation of a photorespiration-based CO2-concentrating mechanism in C3-C4
intermediate plants is seen as a prerequisite for the evolution of C4
photosynthesis, but it is not known how efficient this mechanism is. Here, using
in vivo Rubisco carboxylation-to-oxygenation ratios as a proxy to assess relative
intraplastidial CO2 levels is suggested. Such ratios were determined for the C3
C4 intermediate species Flaveria pubescens compared with the closely related C3
plant F. cronquistii and the C4 plant F. trinervia. To this end, a model was
developed to describe the major carbon fluxes and metabolite pools involved in
photosynthetic-photorespiratory carbon metabolism and used quantitatively to
evaluate the labelling kinetics during short-term (14)CO2 incorporation. Our data
suggest that the photorespiratory CO2 pump elevates the intraplastidial CO2
concentration about 3-fold in leaves of the C3-C4 intermediate species F.
pubescens relative to the C3 species F. cronquistii.
PMID- 24916070
TI - Scratching the surface: genetic regulation of cuticle assembly in fleshy fruit.
AB - The hydrophobic cuticular membrane of land plants performs a number of important
roles during fruit development, including protection from a range of abiotic and
biotic stresses. The components of the fleshy fruit cuticle are synthesized and
secreted from the epidermal cells. While the biosynthetic and transport pathways
of the cuticle have been thoroughly investigated for a number of decades, the
regulatory mechanisms allowing fine tuning of cuticle deposition are only now
beginning to be elucidated. Transcription factors belonging to the APETALA2,
homeodomain-leucine zipper IV, and MYB families have been shown to be important
regulators of both cuticle biosynthesis and epidermal cell differentiation,
highlighting the connection between these processes. The involvement of MADS-box
transcription factors demonstrates the link between fruit ripening and cuticle
deposition. Epigenetic and post-transcriptional regulatory mechanisms also play a
role in the control of cuticle biosynthesis, in addition to phytohormones, such
as abscisic acid, that have been shown to stimulate cuticle deposition. These
various levels of genetic regulation allow the plant constantly to maintain and
adjust the cuticle in response to environmental and developmental cues.
PMID- 24916071
TI - Phytochrome B-mediated activation of lipoxygenase modulates an excess red light
induced defence response in Arabidopsis.
AB - Lipoxygenase (LOX), a non-haem-iron-containing dioxygenase, is activated under
various biotic or abiotic stresses to trigger a series resistance response, but
the molecular mechanism of LOX activation remains unclear. This work investigated
the activation of LOX during the plant defence response induced by excess red
light (RL). In conditions of RL-induced defence, Arabidopsis LOX activity and
transcription levels of LOX2, LOX3, and LOX4 were both upregulated. Under RL,
phytochrome B promoted the degradation of phytochrome-interacting factor 3
(PIF3), a factor that inhibited the expression levels of LOXs, and thus the
transcription levels of LOX2, LOX3, and LOX4 were increased. Upon pathogen
infection, the activity of mitogen-activated protein kinase 3 (MPK3) and MPK6 was
increased in plants pre-treated with RL. Moreover, experiments with the inhibitor
PD98059 and mutants mpk3 and mpk6-2 demonstrated that MPK3 and MPK6 were both
responsible for LOX activation. Further results showed that, in response to RL,
an increase in cytoplasmic calcium concentration and upregulation of calmodulin 3
(CaM3) transcript level occurred upstream of MPK3 and MPK6 activation.
Collectively, these results suggested that activation of LOX both at the
transcript level and in terms of activity modulates the defence response induced
by RL, providing a new insight into the mechanistic study of LOX during plant
defences.
PMID- 24916072
TI - A broad survey of hydraulic and mechanical safety in the xylem of conifers.
AB - Drought-induced forest dieback has been widely reported over the last decades,
and the evidence for a direct causal link between survival and hydraulic failure
(xylem cavitation) is now well known. Because vulnerability to cavitation is
intimately linked to the anatomy of the xylem, the main objective of this study
was to better understand the xylem anatomical properties associated with
cavitation resistance. An extensive data set of cavitation resistance traits and
xylem anatomical properties was developed for 115 conifer species, with special
attention given to the micro-morphology of bordered pits. The ratio of torus to
pit aperture diameter, so-called torus overlap, increased with increasing
cavitation resistance, while the flexibility of the margo does not seem to play a
role, suggesting that air-seeding is located at the seal between the aspirated
torus and pit aperture. Moreover, punctured tori were reported in various
Pinaceae species. Species resistant to cavitation had thicker tracheid walls,
while their lumen diameter (conduit size) was only slightly reduced, minimizing
the impact on hydraulic conductance. The results also demonstrated (i) the
existence of an indirect trade-off between hydraulic safety and mechanical
strength; and (ii) a consistency between species distribution and xylem anatomy:
species with a wide torus overlap and high valve effects are found in arid
environments such as the Mediterranean region.
PMID- 24916073
TI - Fluid prescription practices of anesthesiologists managing patients undergoing
elective colonoscopy: an observational study.
AB - BACKGROUND: Routine fluid prescription is common practice amongst
anesthesiologists caring for patients undergoing colonoscopy. However there is
limited information about routine procedural fluid prescription practices of
anesthesiologists in this setting. Routine fluid administration may also have
important pharmaco-economic implications for the health care budget. Therefore we
performed a prospective observational study assessing the fluid prescription
practices of anesthesiologists caring for patients undergoing elective
colonoscopy. METHODS: With Institutional Review Board approval, adult patients
receiving procedural fluid intervention during elective colonoscopy were
included. DATA COLLECTED: size of intravenous cannula inserted, volumes of fluid
administered, adverse events, procedure duration, and pharmaco-economic costs
associated with fluid prescription. Anesthesiologists and gastroenterologists
were blinded to the study. RESULTS: We collected data on 289 patients who
received fluid prescription by their attending anesthesiologist. Median patient
age: 48 yrs (range 18-83), gender: 174 (60%) female; median duration of
procedure: 24 minutes (range 12-48). Cannula size: 181 (63%) patients received a
22G cannula or smaller. Median volume of fluid administered during the
colonoscopy was 325 ml (range 0 to 1000 ml). Median duration of the procedure: 25
minutes (range 12 to 48 minutes). Median volume of fluid administered in the post
anaesthesia recovery unit: 450 ml (range 0 to 1000 ml). Fifteen patients (5%)
became hypotensive during the procedure and two patients (<1%) developed
hypotension in the PACU. There was no difference in the median fluid requirements
between patients with hypotension and those without. Fluid volumes were strongly
associated with increasing cannula diameter (p = 0.0001), however there was no
association between fluid volumes administered and vasopressor use, peri
procedural adverse events, or procedure duration. At our institution fluid
therapy currently cost about AUD$4.90 per patient: 1 L crystalloid $1.18 and
fluid delivery set $3.77 Our institution performs over 9000 endoscopic procedures
annually with fluid therapy costing about $45,000/year. CONCLUSIONS: Routine
fluid prescription by anesthesiologists managing patients undergoing colonoscopy
was ineffective with low actual fluid volumes delivered during the procedure.
There was no association between volumes of fluid delivered and procedural
hypotension, adverse events, or procedure duration. Anesthesiologists should
question the clinical and pharmaco-economic value of routine fluid administration
for patients undergoing elective endoscopy.
PMID- 24916074
TI - Runaway coevolution: adaptation to heritable and nonheritable environments.
AB - Populations evolve in response to the external environment, whether abiotic
(e.g., climate) or biotic (e.g., other conspecifics). We investigated how
adaptation to biotic, heritable environments differs from adaptation to abiotic,
nonheritable environments. We found that, for the same selection coefficients,
the coadaptive process between genes and heritable environments is much faster
than genetic adaptation to an abiotic nonheritable environment. The increased
rate of adaptation results from the positive association generated by reciprocal
selection between the heritable environment and the genes responding to it. These
associations result in a runaway process of adaptive coevolution, even when the
genes creating the heritable environment and genes responding to the heritable
environment are unlinked. Although tightening the degree of linkage accelerates
the coadaptive process, the acceleration caused by a comparable amount of
inbreeding is greater, because inbreeding has a cumulative effect on reducing
functional recombination over generations. Our results suggest that that
adaptation to local abiotic environmental variation may result in the rapid
diversification of populations and subsequent reproductive isolation not directly
but rather via its effects on heritable environments and the genes responding to
them.
PMID- 24916075
TI - Thermoresponsive ketoprofen-imprinted monolith prepared in ionic liquid.
AB - A thermoresponsive imprinted monolith with the ability of molecular recognition
for ketoprofen was prepared for the first time. The smart monolith was
synthesized in a stainless steel column using acrylamide (AAm) and 2-acrylamide-2
methyl propanesulfonic acid (AMPS) as functional monomers, which can form
interpolymer complexation to restrict access of the analyte to the imprinted
networks at low temperatures. To avoid a high back pressure of the column derived
from neat dimethyl sulfoxide (DMSO) as a porogenic solvent that is needed to
solve polar AMPS, an ionic liquid, [BMIM]BF4, was introduced into the pre
polymerization mixture. The molecular recognition ability towards ketoprofen of
the resulting thermoresponsive molecularly imprinted polymer (MIP) monolith
displayed significant dependence on temperature compared with a non-imprinted
column (NIP), and the greatest imprinting factor was achieved at the transition
temperature of 35 degrees C (above 10). Furthermore, the number of binding sites
of the smart MIP monolith at 35 degrees C was about 76 times as large as that at
25 degrees C. In addition, Freundlich analyses indicated that the
thermoresponsive MIP monolith had homogeneous affinity sites at both 25 and 35
degrees C with heterogeneity index 0.9251 and 0.9851, respectively.
PMID- 24916076
TI - Antagonism of serotonin receptor 1B decreases viability and promotes apoptosis in
the COS canine osteosarcoma cell line.
AB - Serotonin receptor 1B (5HTR1B) traditionally exhibits anti-proliferative activity
in osteoblasts. We examined the expression and function of 5HTR1B in the COS
canine osteosarcoma cell line and normal canine osteoblasts. Equal levels of
5HTR1B gene and protein expression were found between normal and malignant
osteoblasts. Treatment with serotonin enhanced viability of osteosarcoma cells
but not normal osteoblasts. Challenge with the 5HTR1B agonist anpirtoline caused
no change in cell viability. Rather incubation with the specific receptor
antagonist SB224289 caused reduction in osteoblast viability, with this effect
more substantial in osteosarcoma cells. Investigation of this inhibitory activity
showed 5HTR1B antagonism induces apoptosis in malignant cells. Evaluation of
phosphorylated levels of CREB and ERK, transcriptional regulators associated with
serotonin receptor signalling in osteoblasts, revealed aberrant 5HTR1B signalling
in COS. Our results confirm the presence of 5HTR1B in a canine osteosarcoma cell
line and highlight this receptor as a possible novel therapeutic target.
PMID- 24916077
TI - The association between managed care enrollments and potentially preventable
hospitalization among adult Medicaid recipients in Florida.
AB - BACKGROUND: The intent of adopting managed care plans is to improve access to
health care services while containing costs. To date, there have been a number of
studies that examine the relationship between managed care and access to health
care. However, the results from previous studies have been inconsistent.
Specifically, previous studies did not demonstrate a clear benefit of Medicaid
managed care. In this study we have examine whether Medicaid managed care is
associated with the probabilities of preventable hospitalizations. This study
also analyzes the spillover effect of Medicaid managed care into Medicaid
patients in traditional FFS plans and the interaction effects of other patient-
and county-level variables on preventable hospitalizations. METHODS: The study
included 254,321 Medicaid patients who were admitted to short-term general
hospital in the 67 counties in Florida. Using 2008 hospital inpatient discharge
data for working-age adult Medicaid enrollees (18-64 years) in Florida, we
conduct multivariate logistic regression analyses to identify possible factors
associated with preventable hospitalizations. The first model includes patient-
and county-level variables. Then, we add interaction terms between Medicaid HMO
and other variables such as race, rurality, market-level factors, and resource
for primary care. RESULTS: The results show that Medicaid HMO patients are more
likely to be hospitalized for ambulatory care sensitive conditions (ACSCs) (OR =
1.30; CI = 1.21, 1.40). We also find that market structure (i.e., competition) is
significantly associated with preventable hospitalizations. However, our study
does not support that there are spillover effects of Medicaid managed care on
preventable hospitalizations for other Medicaid recipients. We find that
interactions between Medicaid managed care and race, rurality and market
structure are significant. CONCLUSIONS: The results of our study show that the
Medicaid managed care program in Florida was associated with an increase in
potentially preventable hospitalizations for Medicaid enrollees. The results
suggest that lower capitation rate has been associated with a greater likelihood
of preventable hospitalizations for Medicaid managed care patients. Our findings
also indicate that increased competition in the Medicaid managed care market has
no clear benefit in Medicaid managed care patients.
PMID- 24916078
TI - Striking subgroup differences in substance-related mortality after release from
prison.
AB - AIMS: To compare the incidence, timing and risk factors for substance-related
death between Indigenous and non-Indigenous ex-prisoners in Queensland,
Australia. DESIGN: Retrospective cohort study. SETTING: All adult prisons in the
state of Queensland, Australia, linked to deaths registered in Australia.
PARTICIPANTS/CASES: We obtained records for all adults released from prison in
Queensland, Australia from 1 January 1994 to 31 December 2007. Among this cohort
of 42 015 individuals we observed 82 315 releases from prison and 2158 deaths in
the community by the end of 2007, of which 661 were substance-related deaths.
MEASUREMENTS: Incarceration data were obtained from Queensland Corrective
Services and linked probabilistically with deaths recorded in the Australian
National Death Index. FINDINGS: In the first year after release, Indigenous ex
prisoners were more likely to die from alcohol-related causes [hazard ratio (HR)
= 1.9, 95% confidence interval (CI) = 1.1-3.1)] but less likely to die of drug
related causes (HR = 0.34, 95%CI = 0.21-0.53) than were non-Indigenous ex
prisoners. Among non-Indigenous prisoners only, the risk of substance-related
death was significantly higher in the first 4 weeks [relative risk (RR) = 5.1,
95% CI = 3.7-6.9] when compared with the risk after 1 year post-release. Most
evaluated risk factors for substance-related death were similar for Indigenous
and non-Indigenous ex-prisoners; however, the hazard of death increased with age
more for Indigenous ex-prisoners (HR = 1.7 per decade of age, 95% CI = 1.4-2.1)
than for non-Indigenous ex-prisoners (HR = 1.3, 95% CI = 1.2-1.4). CONCLUSIONS:
In Australia, patterns of substance-related death in ex-prisoners differ markedly
according to Indigenous status. Efforts to prevent substance-related deaths in ex
prisoners should consider heterogeneity in the target population and tailor
responses accordingly.
PMID- 24916079
TI - New broad-spectrum beta-lactamases emerging among Enterobacteriaceae from healthy
cats and dogs: a public health concern?
PMID- 24916080
TI - When fathers are instant losers: homogenization of rDNA loci in recently formed
Cardamine * schulzii trigenomic allopolyploid.
AB - Recently formed allopolyploids represent an excellent system to study the impacts
of hybridization and genomic duplication on genome structure and evolution. Here
we explored the 35SrRNA genes (rDNA) in the Cardamine * schulzii allohexaploid
that was formed by two subsequent hybridization events within the past c. 150 yr.
The rDNA loci were analyzed by cloning, next generation sequencing (NGS), RT-PCR
and FISH methods. The primary C. * insueta triploid hybrid derived from C.
rivularis (?) and C. amara (?) had gene ratios highly skewed towards maternal
sequences. Similarly, C. * schulzii, originating from the secondary hybridization
event involving C. * insueta (?) and C. pratensis (?), showed a reduction in
paternal rDNA homeologs despite an excess of chromosomes inherited from C.
pratensis. We also identified novel rDNA loci in C. * schulzii, suggesting that
lost loci might be slowly reinstalled by translocation (but not recombination) of
genes from partner genomes. Prevalent clonal propagation of allopolyploids, C. *
insueta and C. * schulzii, indicates that concerted evolution of rDNA may occur
in the absence of extensive meiotic cycles. Adoption of NGS in rDNA variant
analysis is highly informative for deciphering the evolutionary histories of
allopolyploid species with ongoing homogenization processes.
PMID- 24916083
TI - Free will and punishment: a mechanistic view of human nature reduces retribution.
AB - If free-will beliefs support attributions of moral responsibility, then reducing
these beliefs should make people less retributive in their attitudes about
punishment. Four studies tested this prediction using both measured and
manipulated free-will beliefs. Study 1 found that people with weaker free-will
beliefs endorsed less retributive, but not consequentialist, attitudes regarding
punishment of criminals. Subsequent studies showed that learning about the neural
bases of human behavior, through either lab-based manipulations or attendance at
an undergraduate neuroscience course, reduced people's support for retributive
punishment (Studies 2-4). These results illustrate that exposure to debates about
free will and to scientific research on the neural basis of behavior may have
consequences for attributions of moral responsibility.
PMID- 24916084
TI - Exploring Solomon's paradox: self-distancing eliminates the self-other asymmetry
in wise reasoning about close relationships in younger and older adults.
AB - Are people wiser when reflecting on other people's problems compared with their
own? If so, does self-distancing eliminate this asymmetry in wise reasoning? In
three experiments (N = 693), participants displayed wiser reasoning (i.e.,
recognizing the limits of their knowledge and the importance of compromise and
future change, considering other people's perspectives) about another person's
problems compared with their own. Across Studies 2 and 3, instructing individuals
to self-distance (rather than self-immerse) eliminated this asymmetry. Study 3
demonstrated that each of these effects was comparable for younger (20-40 years)
and older (60-80 years) adults. Thus, contrary to the adage "with age comes
wisdom," our findings suggest that there are no age differences in wise reasoning
about personal conflicts, and that the effects of self-distancing generalize
across age cohorts. These findings highlight the role that self-distancing plays
in allowing people to overcome a pervasive asymmetry that characterizes wise
reasoning.
PMID- 24916085
TI - Longitudinal changes in adiposity during adolescence: a population-based cohort.
AB - OBJECTIVE: We aimed to assess the trends in body mass index (BMI) and body fat
percentage (BF%) from the age of 13 to 17 years and to evaluate how
sociodemographic and behavioural characteristics at the age of 13 impact changes
in BMI and BF%. SETTING: Porto, Portugal. PARTICIPANTS: We evaluated 1451
adolescents in a community-based cohort. OUTCOME MEASURES: BMI z-scores were
calculated according to CDC references. BF% was assessed by bioelectrical
impedance. Variables with a significant effect in adiposity changes were
identified through linear regression models. In girls, estimates were controlled
for duration of follow-up, parental education, baseline BMI z-score, age at
menarche and the interaction term baseline BMI z-score*age at menarche; in boys,
adjustments were performed for duration of follow-up, parental education,
baseline BMI z-score and the interaction term baseline BMI z-score*duration of
follow-up. RESULTS: On average, BMI z-score decreased from the age of 13 to 17
years (mean difference -0.20, 95% CI -0.23 to -0.16 among girls and -0.15, 95% CI
-0.19 to -0.11 among boys). Accordingly, 12.4% of girls and 13% of boys moved to
a lower BMI category and 2.2% of girls and 5.5% of boys to a higher category.
There were sex differences in the significant determinants of adiposity trends.
Among girls, BMI z-score significantly decreased with baseline BMI z-score (beta=
0.163, 95% CI -0.204 to -0.122) and significantly increased with age at menarche
(beta=0.078, 95% CI 0.050 to 0.107). Results were similar for BF%. Among boys,
BMI z-score significantly increased with higher parental BMI, and BF% decreased
among those who wished to look larger at the age of 13 (beta=-1.367, 95% CI
2.174 to -0.560), compared with those who were satisfied with their image.
CONCLUSIONS: In adolescents, ageing resulted in a decrease in BMI z-scores and
BF%. BMI and BF% at the age of 13 were the major determinants of the observed
trends. Our results suggest that adolescence is a possible specific time window
for intervention.
PMID- 24916086
TI - In utero exposure to alcohol and puberty in boys: a pregnancy cohort study.
AB - OBJECTIVES: Epidemiological studies have raised concerns about the reproductive
consequences of in utero exposure to alcohol. Maternal lifestyle factors have
been associated with altered pubertal development, but the impact of prenatal
alcohol exposure on male puberty is unknown. Thus, the objective was to explore
whether prenatal alcohol exposure alters pubertal development in boys. SETTING:
Follow-up of a Danish pregnancy cohort. PARTICIPANTS: Sons (N=2522) of women who
were enrolled in a Danish pregnancy cohort between 1984 and 1987. PRIMARY AND
SECONDARY OUTCOME MEASURES: Indicators of pubertal development, assessed by age
at first nocturnal emission, voice break, acne and regular shaving. RESULTS: We
found a tendency towards a later age at first nocturnal emission and voice break
following in utero exposure to binge drinking. Boys exposed to >=5 binge drinking
episodes during pregnancy experienced their first nocturnal emission 7.3 months
(95% CI -2.8 to 17.4) later and voice break 4.9 months (95% CI -0.6 to 10.4)
later than the unexposed boys. Results for average weekly alcohol consumption
were in the same direction, but differences were smaller and not statistically
significant. CONCLUSIONS: We found no strong support for the hypothesis that in
utero exposure to weekly alcohol consumption is a risk factor for altered
pubertal development, but a tendency towards delayed pubertal development among
boys exposed to binge drinking during fetal life was observed. Longitudinal
studies, with data collected as children go through puberty, are needed to
explore this further.
PMID- 24916087
TI - Treatment delay affects clinical severity of tuberculosis: a longitudinal cohort
study.
AB - OBJECTIVES: To describe the risk factors for treatment delay and the effect of
delay on the severity of tuberculosis (TB) in a prospectively followed TB cohort
at the Bandim Health Project in Guinea-Bissau. BACKGROUND: Treatment delay in
patients with TB is associated with increased mortality and transmission of
disease. However, it is not well described whether delay influences clinical
severity at diagnosis. Previously reported risk factors for treatment delay vary
in different geographical and cultural settings. Such information has never been
investigated in our setting. Change in delay over time is rarely reported and our
prospectively followed TB cohort gives an opportunity to present such data.
PARTICIPANTS: Patients were included at the time of diagnosis at three local TB
clinics and the national TB reference hospital. Inclusion criteria were age >15
years and diagnosis of TB by either sputum examination or by the WHO clinical
criteria. Patients with extrapulmonary TB were excluded. PRIMARY AND SECONDARY
OUTCOME MEASURES: The primary outcome was treatment delay. Delay was assessed by
patient questionnaires. The secondary outcome was Bandim TBscore as a measure of
TB morbidity and all-cause mortality. RESULTS: A total of 1424 persons were
diagnosed with TB in the study area between 2003 and 2010. We included 973
patients with TB in the study. The median treatment delay was 12.1 weeks. Risk
factors for delay were low educational level, HIV-1+HIV-2 dual infection and
negative sputum smear. TB treatment delay decreased by 10.3% (7.9-12.6%) per year
during the study period. Delay was significantly associated with clinical
severity at presentation with 20.8% severe TB cases in the low delay quartile
compared with 33.9% if delay was over the median of 12.1 weeks. CONCLUSIONS: Long
treatment delay was associated with more severe clinical presentation. Treatment
delay in TB cases is decreasing in Guinea-Bissau.
PMID- 24916088
TI - Systematic review of incidence and complications of herpes zoster: towards a
global perspective.
AB - OBJECTIVE: The objective of this study was to characterise the incidence rates of
herpes zoster (HZ), also known as shingles, and risk of complications across the
world. DESIGN: We systematically reviewed studies examining the incidence rates
of HZ, temporal trends of HZ, the risk of complications including postherpetic
neuralgia (PHN) and HZ-associated hospitalisation and mortality rates in the
general population. The literature search was conducted using PubMed, EMBASE and
the WHO library up to December 2013. RESULTS: We included 130 studies conducted
in 26 countries. The incidence rate of HZ ranged between 3 and 5/1000 person
years in North America, Europe and Asia-Pacific, based on studies using
prospective surveillance, electronic medical record data or administrative data
with medical record review. A temporal increase in the incidence of HZ was
reported in the past several decades across seven countries, often occurring
before the introduction of varicella vaccination programmes. The risk of
developing PHN varied from 5% to more than 30%, depending on the type of study
design, age distribution of study populations and definition. More than 30% of
patients with PHN experienced persistent pain for more than 1 year. The risk of
recurrence of HZ ranged from 1% to 6%, with long-term follow-up studies showing
higher risk (5-6%). Hospitalisation rates ranged from 2 to 25/100 000 person
years, with higher rates among elderly populations. CONCLUSIONS: HZ is a
significant global health burden that is expected to increase as the population
ages. Future research with rigorous methods is important.
PMID- 24916089
TI - Incidence, prevalence and outcomes of rheumatic heart disease in South Africa: a
systematic review protocol.
AB - BACKGROUND: Rheumatic heart disease (RHD) is the principal cause of acquired
heart disease affecting people living largely in poverty and deprived conditions.
Sub-Saharan Africa was long thought to be the hotspot of the disease but recent
reports suggest that this is no longer the case. South Africa is the leading
economic force within this region yet contends with continued extreme income
disparities. It is of interest to ascertain whether the strides that have been
made in healthcare since the democratic transition in South Africa have
translated into decreased RHD burden. We therefore propose to review the current
best estimates of incidence of newly diagnosed RHD and prevalence of existing RHD
within the past two decades. We also propose to characterise the fatal and non
fatal outcomes of RHD and identify any trends in this period. METHODS AND DESIGN:
We plan to search electronic databases and reference lists of relevant articles
published from April 1994 to April 2014. Studies will be included if they
estimated one of the following epidemiological measures: incidence, prevalence,
remission rate, relative risk of mortality or cause-specific mortality. For
studies deemed eligible for inclusion, we will assess overall study quality,
reliability and risk of bias using design-specific criteria. We will extract data
using a standardised form and perform descriptive and quantitative analysis to
assess RHD prevalence, mortality and morbidity. This review protocol is
registered in the PROSPERO International Prospective Register of systematic
reviews, registration number CRD42014007072. DISSEMINATION: Our planned review
will provide healthcare providers, public health officials and policymakers with
pooled contemporary data regarding RHD, in particular regarding the effect the
new political dispensation has had on the burden of this preventable disease
within South Africa. In addition, these important country-specific data could
influence policy decisions regarding prevention, management and control of RHD.
PMID- 24916090
TI - Glycaemic durability with dipeptidyl peptidase-4 inhibitors in type 2 diabetes: a
systematic review and meta-analysis of long-term randomised controlled trials.
AB - OBJECTIVES: To evaluate glycaemic durability with dipeptidyl peptidase-4 (DPP-4)
inhibitors in type 2 diabetes. DESIGN: A systematic review and meta-analysis of
long-term randomised trials of DPP-4 inhibitors on haemoglobin A1c (HbA1c) was
conducted. Electronic searches were carried out on the following databases:
MEDLINE, EMBASE, Scopus and Web of Knowledge to December 2013. Searches were
supplemented by a review of trial registries and references from identified
trials. Trials were included if they lasted at least 76 weeks, and had
intermediate and final assessments of HbA1c. Citations and full-text articles
were screened by two reviewers. A random effect model was used to pool data.
PARTICIPANTS: Adults with type 2 diabetes. INTERVENTIONS: Any DPP-4 inhibitor
(sitagliptin, vildagliptin, saxagliptin, linagliptin and alogliptin). OUTCOME
MEASURES: The difference between final and intermediate HbA1c assessment was the
primary outcome. RESULTS: We screened 461 citations and reviewed 12 articles
reporting 12 trials in 14 829 participants. All trials were of 76 weeks duration
at least. The difference in HbA1c changes between final and intermediate points
averaged 0.22% (95% CI 0.15% to 0.29%), with high heterogeneity (I(2)=91%,
p<0.0001). Estimates of differences were not affected by the analysis of six
extension trials (0.24%, 0.02 to 0.46), or five trials in which a DPP-4 inhibitor
was added to metformin (0.24%, 0.16 to 0.32). CONCLUSIONS: There is evidence that
the effect of DPP-4 inhibitors on HbA1c in type 2 diabetes significantly declines
during the second year of treatment. Future research should focus on the
characteristics of patients that benefit most from DPP-4 inhibitors in terms of
glycaemic durability.
PMID- 24916091
TI - The association between objectively measured sitting and standing with body
composition: a pilot study using MRI.
AB - OBJECTIVE: To investigate the association between objectively measured sitting
and standing, using a postural allocation technique, with MRI-assessed body
composition. DESIGN: The present study was a cross-sectional pilot study.
SETTING: Participants were examined at one centre located in London, UK.
PARTICIPANTS: Normal weight Caucasian women (30.9+/-6.1 years; body mass index
(BMI), 22.9+/-3.4 kg/m(2)) with desk-bound occupations were recruited to minimise
variability in body composition outcomes. A convenience sample of 12 women was
recruited in January 2014 from University College London. OUTCOME MEASURES: For
each participant a number of body composition variables were attained from a
single whole-body MRI session. Main outcome variables included: total and liver
adiposity, visceral/subcutaneous fat ratio and BMI. Main exposure variables
included: average sitting time, standing:sitting ratio and step count. Pearson
correlations were carried out to examine associations between different activity
categories and body composition variables. RESULTS: There were significant
correlations between average daily sitting and liver adiposity and
visceral/subcutaneous abdominal fat ratio (r=0.66 and 0.64, respectively);
standing:sitting ratio was moderately correlated with liver adiposity and
visceral/subcutaneous abdominal fat ratio (r=-0.53 and -0.45); average daily step
count was moderately correlated with liver adiposity, total adiposity and
visceral/subcutaneous abdominal fat ratio (r=-0.45, -0.46 and -0.51,
respectively). CONCLUSIONS: This pilot study has provided preliminary evidence of
relationships between objectively measured sitting and standing and precise
measures of body composition.
PMID- 24916092
TI - Available chlorine consumption from NaOCl solutions passively placed in
instrumented human root canals.
AB - AIM: To monitor chlorine consumption from nonagitated aqueous sodium hypochlorite
(NaOCl) solutions in human root canals using a recently developed assay, which
can determine the order of magnitude of available chlorine in small volumes of
liquid. METHODOLOGY: The root canals of 80 extracted single-rooted human teeth
were instrumented to ProTaper Universal F4 and irrigated using 1% NaOCl.
Subsequently, canals were irrigated with copious amounts of deionized water to
rinse out the residual chlorine. Subsequently, the teeth were sealed externally
and placed in a water bath of 37 degrees C. Root canals were filled with NaOCl
of 1%, 2.75%, 5.5%, or distilled water for 1, 10, 100 or 1000 min (n = 5 teeth
per solution and time). Consumption of chlorine was measured using paper points
pre-impregnated with 15% potassium iodide. Colour change of the paper points was
determined photo-electronically, assessing their red value after absorbing
solutions from root canals. Measurements were compared to a standard series of
NaOCl down to 0.001% (n = 5 paper points per concentration). RESULTS: Red values
of the paper points inserted into the root canal were affected by initial NaOCl
concentration and time (two-way anova, P < 0.05). If NaOCl concentrations above
0.1% are considered to be clinically relevant, then 5.5% NaOCl retained its
activity in the root canal for more than 100 min, whereas 1% NaOCl lost its
activity between 10 and 100 min. CONCLUSIONS: Nonagitated NaOCl solutions can
remain biologically active in human root canals for extended time periods.
PMID- 24916093
TI - Validation of the sleep disturbance scale for children and prevalence of parent
reported sleep disorder symptoms in Chinese children.
AB - OBJECTIVE: To translate and validate the Sleep Disturbance Scale for Children
(SDSC), a promising questionnaire for use among children in epidemiological
studies, in Chinese children. METHODS: In total, 3525 children aged 5-16 years
were randomly selected from five primary schools in Shenyang. Internal
consistency, reliability and factor analyses were undertaken to assess the
construct validity of the SDSC. RESULTS: Internal consistency indicated adequate
reliability (Cronbach's alpha=0.81). Factor analytic results indicated a six
factor solution (ie, six types of sleep disorder) based on parent-reported sleep
disorder symptoms. The mean total score of the SDSC was 39.28+/-7.83 and 156
(4.43%) children were identified as suffering from parent-reported sleep
disorder. The prevalence for each sleep type disorder ranged from 3.46% to 6.30%
with the highest for sleep hyperhidrosis (SHY) and the lowest for disorders of
initiating and maintaining sleep. Significant differences were found between boys
and girls in the prevalence of sleep breathing disorders (6.51% vs. 3.72%), SHY
(8.62% vs. 4.00%) and parent-reported sleep disorders (5.19% vs. 3.67%).
CONCLUSIONS: The SDSC is reliable and useful in screening for parent-reported
sleep disorders in Chinese children. Compared with other countries, parent
reported sleep disorders among Chinese elementary school children were at a
relatively low level of prevalence.
PMID- 24916094
TI - An fMRI study of cerebrovascular reactivity and perfusion in obstructive sleep
apnea patients before and after CPAP treatment.
AB - OBJECTIVE: Cerebrovascular reactivity is impaired in patients suffering from
obstructive sleep apnea syndrome (OSAS) as demonstrated by transcranial Doppler
studies. We use magnetic resonance imaging techniques to investigate the
anatomical distribution of cerebrovascular reactivity changes in patients with
OSAS, as well as their evolution after therapeutic and sham continuous positive
airway pressure (CPAP) treatment. METHODS: Twenty-three men with moderate or
severe obstructive sleep apnea were compared to a healthy control group (n=7)
using a breath-holding functional magnetic resonance imaging task and the flow
sensitive alternating inversion recovery (FAIR) imaging before and after 2 months
of therapeutic (active) or sub-therapeutic (sham) CPAP treatment. RESULTS:
Significantly higher cerebrovascular reactivity was found in healthy controls as
compared to patients in bilateral cortical and subcortical brain regions.
Cerebrovascular reactivity increased with therapeutic CPAP in the thalamus and
decreased with sham CPAP in medial frontal regions in OSAS patients. Duration of
nocturnal hypoxemia and body mass index negatively correlated with
cerebrovascular reactivity, particularly in the medial temporal lobe structures,
suggesting a possible pathophysiological mechanism for hippocampal injury. There
was no difference in perfusion between patients and control group, and no effect
of CPAP or sham-CPAP treatment on perfusion in patients. CONCLUSIONS: Observed
cerebrovascular reactivity changes were neither homogeneous throughout the brain
nor followed vascular territories, but rather corresponded to underlying neuronal
networks, establishing a relationship between cerebrovascular reactivity and
surrounding neuronal activity.
PMID- 24916095
TI - Metacognitive beliefs relate specifically to sleep quality in primary insomnia: a
pilot study.
AB - OBJECTIVE: To identify whether metacognitive aspects are a specific mental
pattern of primary insomnia (PI) or an aspecific correlate of sleep alterations.
METHODS: Sleep quality (Pittsburgh Sleep Quality Index: PSQI), anxiety (Self
rating Anxiety State: SAS), depression (Beck Depression Inventory: BDI) and
metacognition (Metacognitions Questionnaire - Insomnia: MCQ-I) were evaluated in
24 PI patients, 13 snorers and 17 healthy controls. Rank-transformed PSQI, BDI,
SAS and MCQ-I scores were submitted to one-way analysis of variance with group as
a between-factor. PSQI was submitted to three-way analysis of covariance (ANCOVA)
with MCQ-I, BDI or SAS as covariate and group as a between-factor. Post-hoc
analyses were conducted using pairwise comparisons with Sidak correction.
RESULTS: As expected, PSQI scores significantly differentiated the three groups,
one from another: PI had highest scores followed by snorers and healthy controls.
PI subjects had MCQ-I scores significantly higher than those of snorers and
healthy controls; no difference between the latter groups was found. The ANCOVA
on PSQI with MCQ-I as a covariate abolished the difference in sleep quality
between PI and snorers, whereas covarying for BDI or SAS left the differences in
sleep quality between the groups unchanged. CONCLUSION: These preliminary results
lead to two main conclusions: (i) metacognitive aspects are more prominent in PI
when compared to snorers and healthy controls; (ii) MCQI shows higher sensitivity
in defining PI patients, with respect to PSQI. If these findings are confirmed
and expanded by further studies, the development of a specific metacognitive
model of primary insomnia may be warranted.
PMID- 24916096
TI - Can nocturnal groaning be suspected by cardiorespiratory polygraphy?
PMID- 24916097
TI - Brainstem infarction and sleep-disordered breathing in the BASIC sleep apnea
study.
AB - BACKGROUND: Association between cerebral infarction site and poststroke sleep
disordered breathing (SDB) has important implications for SDB screening and the
pathophysiology of poststroke SDB. Within a large, population-based study, we
assessed whether brainstem infarction location is associated with SDB presence
and severity. METHODS: Cross-sectional study was conducted on ischemic stroke
patients in the Brain Attack Surveillance in Corpus Christi (BASIC) project.
Subjects underwent SDB screening (median 13days after stroke) with a well
validated cardiopulmonary sleep apnea-testing device (n=355). Acute infarction
location was determined based on review of radiology reports and dichotomized
into brainstem involvement or none. Logistic and linear regression models were
used to test the associations between brainstem involvement and SDB or
apnea/hypopnea index (AHI) in unadjusted and adjusted models. RESULTS: A total of
38 participants (11%) had acute infarction involving the brainstem. Of those
without brainstem infarction, 59% had significant SDB (AHI?10); the median AHI
was 13 (interquartile range (IQR) 6, 26). Of those with brainstem infarction, 84%
had SDB; median AHI was 20 (IQR 11, 38). In unadjusted analysis, brainstem
involvement was associated with over three times the odds of SDB (odds ratio (OR)
3.71 (95% confidence interval (CI): 1.52, 9.13)). In a multivariable model,
adjusted for demographics, body mass index (BMI), hypertension, diabetes,
coronary artery disease, atrial fibrillation, prior stroke/transient ischemic
attack (TIA), and stroke severity, results were similar (OR 3.76 (95% CI: 1.44,
9.81)). Brainstem infarction was also associated with AHI (continuous) in
unadjusted (p=0.004) and adjusted models (p=0.004). CONCLUSIONS: Data from this
population-based stroke study show that acute infarction involving the brainstem
is associated with both presence and severity of SDB.
PMID- 24916098
TI - A new fibrin sealant as a three-dimensional scaffold candidate for mesenchymal
stem cells.
AB - INTRODUCTION: The optimization of an organic scaffold for specific types of
applications and cells is vital to successful tissue engineering. In this study,
we investigated the effects of a new fibrin sealant derived from snake venom as a
scaffold for mesenchymal stem cells, to demonstrate the ability of cells to
affect and detect the biological microenvironment. METHODS: The characterization
of CD34, CD44 and CD90 expression on mesenchymal stem cells was performed by flow
cytometry. In vitro growth and cell viability were evaluated by light and
electron microscopy. Differentiation into osteogenic, adipogenic and chondrogenic
lineages was induced. RESULTS: The fibrin sealant did not affect cell adhesion,
proliferation or differentiation and allowed the adherence and growth of
mesenchymal stem cells on its surface. Hoechst 33342 and propidium iodide
staining demonstrated the viability of mesenchymal stem cells in contact with the
fibrin sealant and the ability of the biomaterial to maintain cell survival.
CONCLUSIONS: The new fibrin sealant is a three-dimensional scaffolding candidate
that is capable of maintaining cell survival without interfering with
differentiation, and might also be useful in drug delivery. Fibrin sealant has a
low production cost, does not transmit infectious diseases from human blood and
has properties of a suitable scaffold for stem cells because it permits the
preparation of differentiated scaffolds that are suitable for every need.
PMID- 24916099
TI - Mating disruption of Spilonota ocellana and other apple orchard tortricids using
a multispecies reservoir dispenser.
AB - BACKGROUND: A new mating disruption formulation for population control of a wide
range of tortricid pests, including Spilonota ocellana, was tested in Swedish
apple orchards during 2012-2013. Owing to the characteristics of the local
agricultural landscape, mating disruption was evaluated in isolated orchards
rather than through an area-wide approach. Parameters such as trap shutdown,
communication disruption in field cages, damage level and dispenser emission were
measured as efficacy indicators. RESULTS: The test formulation reduced the
catches in monitoring traps for the entire range of the tested species. In field
cages, communication between sexes was disrupted for both Adoxophyes orana and
Cydia pomonella. The fruit damage caused by leafrollers (including S. ocellana)
was reduced by the treatment. The device showed a constant release of all
components for the entire flight activity period of these pests. CONCLUSION:
Single-orchard experiments showed a significant effect on field populations of
the leafroller species complex. While promising, in light of the variability of
the result, field scouting may be required to enable practitioners to estimate
the density of the pests and avoid possible unexpected attacks. Additional
experiments are needed to evaluate the efficacy of the product against C.
pomonella.
PMID- 24916100
TI - Surface-assisted laser desorption ionization mass spectrometry techniques for
application in forensics.
AB - Matrix-assisted laser desorption ionization (MALDI) mass spectrometry (MS) is an
excellent analytical technique for the rapid and sensitive analysis of
macromolecules (>700 Da), such as peptides, proteins, nucleic acids, and
synthetic polymers. However, the detection of smaller organic molecules with
masses below 700 Da using MALDI-MS is challenging due to the appearance of matrix
adducts and matrix fragment peaks in the same spectral range. Recently,
nanostructured substrates have been developed that facilitate matrix-free laser
desorption ionization (LDI), contributing to an emerging analytical paradigm
referred to as surface-assisted laser desorption ionization (SALDI) MS. Since
SALDI enables the detection of small organic molecules, it is rapidly growing in
popularity, including in the field of forensics. At the same time, SALDI also
holds significant potential as a high throughput analytical tool in roadside,
work place and athlete drug testing. In this review, we discuss recent advances
in SALDI techniques such as desorption ionization on porous silicon (DIOS), nano
initiator mass spectrometry (NIMS) and nano assisted laser desorption ionization
(NALDITM) and compare their strengths and weaknesses with particular focus on
forensic applications. These include the detection of illicit drug molecules and
their metabolites in biological matrices and small molecule detection from
forensic samples including banknotes and fingerprints. Finally, the review
highlights recent advances in mass spectrometry imaging (MSI) using SALDI
techniques.
PMID- 24916101
TI - A general copper-mediated nucleophilic 18F fluorination of arenes.
AB - Molecules labeled with fluorine-18 are used as radiotracers for positron emission
tomography. An important challenge is the labeling of arenes not amenable to
aromatic nucleophilic substitution (SNAr) with [(18)F]F(-). In the ideal case,
the (18)F fluorination of these substrates would be performed through reaction of
[(18)F]KF with shelf-stable readily available precursors using a broadly
applicable method suitable for automation. Herein, we describe the realization of
these requirements with the production of (18)F arenes from pinacol-derived aryl
boronic esters (arylBPin) upon treatment with [(18)F]KF/K222 and [Cu(OTf)2(py)4]
(OTf = trifluoromethanesulfonate, py = pyridine). This method tolerates electron
poor and electron-rich arenes and various functional groups, and allows access to
6-[(18)F]fluoro-L-DOPA, 6-[(18)F]fluoro-m-tyrosine, and the translocator protein
(TSPO) PET ligand [(18)F]DAA1106.
PMID- 24916102
TI - Pre-school children have better spoken language when early implanted.
AB - OBJECTIVES: The objectives of this study were: (1) to investigate the effect of
age at cochlear implantation (CI) on vocabulary development; (2) to evaluate the
age effect at CI surgery on the syntactic development; and (3) to examine the
role of gender, age at first diagnosis and maternal education level on spoken
language development. MATERIAL AND METHODS: Retrospective study. Thirty children
with congenital severe- to -profound sensorineural hearing loss (SNHL) were
sampled. They were diagnosed and fitted with hearing aids through six months of
age. They were implanted between 8 and 17 months of age. The MacArthur-Bates
Communicative Development Inventory (MCDI) was administrated at the age of 36
months. The total productive vocabulary (word number raw score), the mean length
of utterance (M3L) and the sentences complexity were analysed. RESULTS: The
average word number raw score was 566.3 for the children implanted before 12
months of age versus 355 for those implanted later. The M3L was 8.3 for those
implanted under 1 year versus 4.2 of those implanted later. The average sentences
complexity was 82.3% for those receiving CI before 12 months, while it was 24.4%
for those underwent at CI after 12 months. Regression analysis revealed a highly
significant and negative linear effect of age at CI surgery on all outcomes.
Females had better outcomes. Age at diagnosis was not correlated with the
linguistic results. The mother's education level had a positive significant
effect on sentences complexity. CONCLUSION: The CI in pre-school children with
SNHL implanted under 1 year has a positive effect on spoken language. Females
seem to have better linguistic results. Finally high maternal educational level
appears to have some positive effect on language development.
PMID- 24916103
TI - EZH2 represses target genes through H3K27-dependent and H3K27-independent
mechanisms in hepatocellular carcinoma.
AB - Alterations of polycomb group (PcG) genes directly modulate the trimethylation of
histone H3 lysine 27 (H3K27me3) and may thus affect the epigenome of
hepatocellular carcinoma (HCC), which is crucial for controlling the HCC cell
phenotype. However, the extent of downstream regulation by PcGs in HCC is not
well defined. Using cDNA microarray analysis, we found that the target gene
network of PcGs contains well-established genes, such as cyclin-dependent kinase
inhibitors (CDKN2A), and genes that were previously undescribed for their
regulation by PcG, including E2F1, NOTCH2, and TP53. Using chromatin
immunoprecipitation assays, we demonstrated that EZH2 occupancy coincides with
H3K27me3 at E2F1 and NOTCH2 promoters. Interestingly, PcG repress the expression
of the typical tumor suppressor TP53 in human HCC cells, and an increased level
of PcG was correlated with the downregulation of TP53 in certain HCC specimens.
Unexpectedly, we did not find obvious H3K27me3 modification or an EZH2 binding
signal at the TP53 promoters, suggesting that PcG regulates TP53 expression in an
H3K27me3-independent manner. Finally, the reduced expression of PcGs effectively
blocked the aggressive signature of liver cancer cells in vitro and in vivo.
IMPLICATIONS: Taken together, our results establish the functional and
mechanistic significance of certain gene regulatory networks that are regulated
by PcGs in HCC.
PMID- 24916105
TI - Ultrasound-diagnosed disorders in shoulder patients in daily general practice: a
retrospective observational study.
AB - BACKGROUND: Ultrasound imaging (US) is considered an accurate and widely
available method to diagnose subacromial disorders. Yet, the frequency of the
specific US-diagnosed shoulder disorders of patients with shoulder pain referred
from general practice is unknown. We set out to determine the frequency of
specific US-diagnosed shoulder disorders in daily practice in these patients and
to investigate if the disorders detected differ between specific subgroups based
on age and duration of pain. METHODS: A predefined selection of 240 ultrasound
reports of patients with shoulder pain (20 reports for each month in 2011) from a
general hospital (Orbis Medical Centre Sittard-Geleen, The Netherlands) were
descriptively analysed. Inclusion criteria were: (i) referral from general
practice, (ii) age >= 18 years, and (iii) unilateral shoulder examination.
Subgroups were created for age (<65 years and >= 65 years) and duration of pain
(acute or subacute (<12 weeks) and chronic (>= 12 weeks)). The occurrence of each
specific disorder is expressed as absolute and relative frequencies. RESULTS:
With 29%, calcific tendonitis was the most frequently diagnosed disorder,
followed by subacromial-subdeltoid bursitis (12%), tendinopathy (11%), partial
thickness tears (11%), full-thickness tears (8%) and AC-osteoarthritis (0.4%).
For 40% of patients, no disorders were found on US. Significantly more full
thickness-tears were found in the >= 65 years group. 'No disorders' was reported
significantly more often in the <65 years group. The supraspinatus tendon was the
most frequently affected tendon (72%). CONCLUSIONS: Calcific tendonitis is the
most common US-diagnosed disorder affecting patients in general practice,
followed by subacromial-subdeltoid bursitis, tendinopathy, partial- and full
thickness tears and AC-osteoarthritis. Full-thickness tears were diagnosed
significantly more frequently in patients >= 65 years, while 'no disorders' was
more frequently reported in patients <65 years. Our findings imply that patients
can be stratified into diagnostic subgroups, allowing more tailored treatment
than currently applied.
PMID- 24916104
TI - Transcriptional roles of PARP1 in cancer.
AB - Poly (ADP-ribose) polymerase-1 (PARP1) is an abundant, ubiquitously expressed
NAD(+)-dependent nuclear enzyme that has prognostic value for a multitude of
human cancers. PARP1 activity serves to poly (ADP-ribose)-ylate the vast majority
of known client proteins and affects a number of cellular and biologic outcomes,
by mediating the DNA damage response (DDR), base-excision repair (BER), and DNA
strand break (DSB) pathways. PARP1 is also critically important for the
maintenance of genomic integrity, as well as chromatin dynamics and
transcriptional regulation. Evidence also indicates that PARP-directed
therapeutics are "synthetic lethal" in BRCA1/2-deficient model systems.
Strikingly, recent studies have unearthed exciting new transcriptional-regulatory
roles for PARP1, which has profound implications for human malignancies and will
be reviewed herein.
PMID- 24916106
TI - Community programmes for coronary heart disease in Spanish primary care.
AB - OBJECTIVE: To explore the added value of community-orientated programmes aimed at
enhancing healthy lifestyles associated with the key components of cardiovascular
risk management (CVRM) in coronary heart disease (CHD) patients. METHODS:
Observational study in Spain, including 36 practices, 36 health professionals,
and 722 CHD patients (mean (SD) age 72 (11.73)). Our predictor variable of
interest was reported deliveries from primary care practices (PCPs) concerning
community-orientated programmes such as physical exercise and smoking cessation
groups. Data were obtained through structured questionnaires administered to PCP
health professionals. Our CVRM outcome measures were as follows: recorded risk
factors, drug prescriptions, and intermediate patient outcomes (blood pressure
levels, low-density lipoprotein cholesterol, and body mass index). RESULTS:
Thirty practices delivered community programmes: most delivered one [17 (47.2%)
practices] or two [11 (30.5%) practices]. These educational programmes aimed to
encourage enhanced healthy lifestyles through group counselling sessions, mailed
print material, and one-to-one counselling. In PCPs delivering community
programmes, more patients received antihypertensives (89.7%), antiplatelet
therapy (80.5%), and statins (70.8%) than those PCPs without programmes, although
there were no statistically significant differences between them. CONCLUSIONS: No
evidence was found for the added value of community-orientated CVRM programmes
that could help health professionals refine criteria when including CHD patients
in preventive programmes.
PMID- 24916107
TI - Using A3 thinking to improve the STAT medication process.
AB - BACKGROUND: Although the term STAT conveys a sense of urgency, it is sometimes
used to circumvent a system that may be too slow to accomplish tasks in a timely
manner. We describe a quality-improvement project undertaken by a US Department
of Veterans Affairs (VA) hospital to improve the STAT medication process.
METHODS: We adapted A3 Thinking, a problem-solving process common in Lean
organizations, to our problem. In the discovery phase, a color-coded flow map of
the existing process was constructed, and a real-time STAT order was followed in
a modified "Go to the Gemba" exercise. In the envisioning phase, the team
brainstormed to come up with as many improvement ideas as possible, which were
then prioritized based on the anticipated effort and impact. The team then
identified initial experiments to be carried out in the experimentation phase;
each experiment followed a standard Plan-Do-Study-Act cycle. RESULTS: On average,
the number of STAT medications ordered per month decreased by 9.5%. The average
time from STAT order entry to administration decreased by 21%, and time from
medication delivery to administration decreased by 26%. Improvements were also
made in technician awareness of STAT medications and nurse notification of STAT
medication delivery. CONCLUSIONS: Adapting A3 Thinking for process improvement
was a low-cost/low-tech option for a VA facility. The A3 Thinking process led to
a better understanding of the meaning of STAT across disciplines, and promoted a
collaborative culture in which other hospital-wide problems may be addressed in
the future.
PMID- 24916109
TI - Nonaqueous capillary electrophoresis as separation technique to support
metabolism studies by means of electrochemistry and mass spectrometry.
AB - The first combination of electrochemistry (EC), NACE, and ESI-MS to mimic the
metabolic fate of drugs is described. While the combination of EC, HPLC, and ESI
MS has been used for this purpose before, NACE is able to deliver valuable
additional information about possible metabolites of harmane when compared to
HPLC. In this paper, NACE is used as a comprehensive separation technique in
metabolism studies of harmane, a naturally occurring monoaminooxidase inhibitor,
since it exhibits beneficial properties for the separation of polar compounds.
Harmane is known to be metabolized via the oxidative metabolism catalyzed by
cytochrome P450 enzymes, which are the most important metabolizing superfamily of
enzymes in the human liver. The application of HPLC and NACE enabled the
detection of 37 products in total, with 14 different mass-to-charge ratios. A
total of 31 products could be detected in HPLC-MS and 26 in NACE-MS analysis. The
combination of both NACE and RP-HPLC allows the identification of significantly
more potential metabolites than any of the separation techniques alone.
PMID- 24916108
TI - Community empowerment and involvement of female sex workers in targeted sexual
and reproductive health interventions in Africa: a systematic review.
AB - BACKGROUND: Female sex workers (FSWs) experience high levels of sexual and
reproductive health (SRH) morbidity, violence and discrimination. Successful SRH
interventions for FSWs in India and elsewhere have long prioritised community
mobilisation and structural interventions, yet little is known about similar
approaches in African settings. We systematically reviewed community empowerment
processes within FSW SRH projects in Africa, and assessed them using a framework
developed by Ashodaya, an Indian sex worker organisation. METHODS: In November
2012 we searched Medline and Web of Science for studies of FSW health services in
Africa, and consulted experts and websites of international organisations. Titles
and abstracts were screened to identify studies describing relevant services,
using a broad definition of empowerment. Data were extracted on service-delivery
models and degree of FSW involvement, and analysed with reference to a four-stage
framework developed by Ashodaya. This conceptualises community empowerment as
progressing from (1) initial engagement with the sex worker community, to (2)
community involvement in targeted activities, to (3) ownership, and finally, (4)
sustainability of action beyond the community. RESULTS: Of 5413 articles
screened, 129 were included, describing 42 projects. Targeted services in FSW
'hotspots' were generally isolated and limited in coverage and scope, mostly
offering only free condoms and STI treatment. Many services were provided as part
of research activities and offered via a clinic with associated community
outreach. Empowerment processes were usually limited to peer-education (stage 2
of framework). Community mobilisation as an activity in its own right was rarely
documented and while most projects successfully engaged communities, few
progressed to involvement, community ownership or sustainability. Only a few
interventions had evolved to facilitate collective action through formal
democratic structures (stage 3). These reported improved sexual negotiating power
and community solidarity, and positive behavioural and clinical outcomes.
Sustainability of many projects was weakened by disunity within transient
communities, variable commitment of programmers, low human resource capacity and
general resource limitations. CONCLUSIONS: Most FSW SRH projects in Africa
implemented participatory processes consistent with only the earliest stages of
community empowerment, although isolated projects demonstrate proof of concept
for successful empowerment interventions in African settings.
PMID- 24916110
TI - Permanent alteration of PCSK9 with in vivo CRISPR-Cas9 genome editing.
AB - RATIONALE: Individuals with naturally occurring loss-of-function proprotein
convertase subtilisin/kexin type 9 (PCSK9) mutations experience reduced low
density lipoprotein cholesterol levels and protection against cardiovascular
disease. OBJECTIVE: The goal of this study was to assess whether genome editing
using a clustered regularly interspaced short palindromic repeats (CRISPR)/CRISPR
associated system can efficiently introduce loss-of-function mutations into the
endogenous PCSK9 gene in vivo. METHODS AND RESULTS: We used adenovirus to express
CRISPR-associated 9 and a CRISPR guide RNA targeting Pcsk9 in mouse liver, where
the gene is specifically expressed. We found that <3 to 4 days of administration
of the virus, the mutagenesis rate of Pcsk9 in the liver was as high as >50%.
This resulted in decreased plasma PCSK9 levels, increased hepatic low-density
lipoprotein receptor levels, and decreased plasma cholesterol levels (by 35-40%).
No off-target mutagenesis was detected in 10 selected sites. CONCLUSIONS: Genome
editing with the CRISPR-CRISPR-associated 9 system disrupts the Pcsk9 gene in
vivo with high efficiency and reduces blood cholesterol levels in mice. This
approach may have therapeutic potential for the prevention of cardiovascular
disease in humans.
PMID- 24916112
TI - Spatial memory in sedentary and trained diabetic rats: molecular mechanisms.
AB - Diabetes mellitus is a chronic disease that has been associated with memory loss,
neurological disorders, and Alzheimer's disease. Some studies show the importance
of physical exercise to prevent and minimize various neurological disorders. It
is believed that the positive effects of exercise on brain functions are mediated
by brain insulin and insulin-like growth factor-1 (IGF-1) signaling. In this
study, we investigate the role of swimming exercise training on hippocampus
proteins related to insulin/IGF-1 signaling pathway in Type 1 diabetic rats and
its effects on spatial memory. Wistar rats were divided into four groups namely
sedentary control, trained control, sedentary diabetic (SD), and trained diabetic
(TD). Diabetes was induced by Alloxan (ALX) (32 mg/kg b.w.). The training program
consisted in swimming 5 days/week, 1 h/day, per 6 weeks, supporting an overload
corresponding to 90% of the anaerobic threshold. We employed ALX-induced diabetic
rats to explore learning and memory abilities using Morris water maze test. At
the end of the training period, the rats were sacrificed 48 h after their last
exercise bout when blood samples were collected for serum glucose, insulin, and
IGF-1 determinations. Hippocampus was extracted to determinate protein expression
(IR, IGF-1R, and APP) and phosphorylation (AKT-1, AKT-2, Tau, and beta-amyloide
proteins) by Western Blot analysis. All dependent variables were analyzed by two
way analysis of variance with significance level of 5%. Diabetes resulted in
hyperglycemia and hypoinsulinemia in both SD and TD groups (P < 0.05); however,
in the training-induced group, there was a reduction in blood glucose in TD. The
average frequency in finding the platform decreased in SD rats; however, exercise
training improved this parameter in TD rats. Aerobic exercise decreased Tau
phosphorylation and APP expression, and increased some proteins related to
insulin/IGF-1 pathway in hippocampus of diabetic rats. Thus, these molecular
adaptations from exercise training might contribute to improved spatial learning
and memory in diabetic organisms.
PMID- 24916113
TI - Aluminium electrodeposition under ambient conditions.
AB - The electrodeposition of aluminium is demonstrated using a eutectic mixture of
aluminium chloride and urea. The mixture is shown to be conducting through the
formation of both cationic ([AlCl2.urean](+)) and anionic (AlCl4(-)) species and
electrodeposition is achieved through the cationic species. The use of a biphasic
system with the ionic liquid and a protective hydrocarbon layer allows metal
deposition to be carried out in an environment with ambient moisture without the
need for a glove box. A direct comparison is made between the AlCl3:urea and
imidazolium chloride:AlCl3 systems and the differences in speciation and mass
transport manifest themselves in different deposit morphologies. Brighteners
which work in the chloroaluminate system such as toluene and LiCl are shown to be
ineffective in the urea based system and the reasons for these differences are
ascribed to the mechanism of the anodic reaction which is rate limiting.
PMID- 24916111
TI - Metabolic dysfunction consistent with premature aging results from deletion of
Pim kinases.
AB - RATIONALE: The senescent cardiac phenotype is accompanied by changes in
mitochondrial function and biogenesis causing impairment in energy provision. The
relationship between myocardial senescence and Pim kinases deserves attention
because Pim-1 kinase is cardioprotective, in part, by preservation of
mitochondrial integrity. Study of the pathological effects resulting from genetic
deletion of all Pim kinase family members could provide important insight about
cardiac mitochondrial biology and the aging phenotype. OBJECTIVE: To demonstrate
that myocardial senescence is promoted by loss of Pim leading to premature aging
and aberrant mitochondrial function. METHODS AND RESULTS: Cardiac myocyte
senescence was evident at 3 months in Pim triple knockout mice, where all 3
isoforms of Pim kinase family members are genetically deleted. Cellular
hypertrophic remodeling and fetal gene program activation were followed by heart
failure at 6 months in Pim triple knockout mice. Metabolic dysfunction is an
underlying cause of cardiac senescence and instigates a decline in cardiac
function. Altered mitochondrial morphology is evident consequential to Pim
deletion together with decreased ATP levels and increased phosphorylated AMP
activated protein kinase, exposing an energy deficiency in Pim triple knockout
mice. Expression of the genes encoding master regulators of mitochondrial
biogenesis, PPARgamma (peroxisome proliferator-activated receptor gamma)
coactivator-1 alpha and beta, was diminished in Pim triple knockout hearts, as
were downstream targets included in mitochondrial energy transduction, including
fatty acid oxidation. Reversal of the dysregulated metabolic phenotype was
observed by overexpressing c-Myc (Myc proto-oncogene protein), a downstream
target of Pim kinases. CONCLUSIONS: Pim kinases prevent premature cardiac aging
and maintain a healthy pool of functional mitochondria leading to efficient
cellular energetics.
PMID- 24916114
TI - Bovine and human lactoferricin peptides: chimeras and new cyclic analogs.
AB - Lactoferrin (LF) is an important antimicrobial and immune regulatory protein
present in neutrophils and most exocrine secretions of mammals. The antimicrobial
activity of LF has been related to the presence of an antimicrobial peptide
sequence, called lactoferricin (LFcin), located in the N-terminal region of the
protein. The antimicrobial activity of bovine LFcin is considerably stronger than
the human version. In this work, chimera peptides combining segments of bovine
and human LFcin were generated in order to study their antimicrobial activity and
mechanism of action. In addition, the relevance of the conserved disulfide bridge
and the resulting cyclic structure of both LFcins were analyzed by using "click
chemistry" and sortase A-catalyzed cyclization of the peptides. The N-terminal
region of bovine LFcin (residues 17-25 of bovine LF) proved to be very important
for the antimicrobial activity of the chimera peptides against E. coli, when
combined with the C-terminal region of human LFcin. Similarly the cyclic bovine
LFcin analogs generated by "click chemistry" and sortase A preserved the
antimicrobial activity of the original peptide, showing the significance of these
two techniques in the design of cyclic antimicrobial peptides. The mechanism of
action of bovine LFcin and its active derived peptides was strongly correlated
with membrane leakage in E. coli and up to some extent with the ability to induce
vesicle aggregation. This mechanism was also preserved under conditions of high
ionic strength (150 mM NaCl) illustrating the importance of these peptides in a
more physiologically relevant system.
PMID- 24916115
TI - Influence of bovine lactoferrin on the growth of selected probiotic bacteria
under aerobic conditions.
AB - Bovine lactoferrin (bLf) is a natural glycoprotein, and it shows broad-spectrum
antimicrobial activity. However, reports on the influences of bLf on probiotic
bacteria have been mixed. We examined the effects of apo-bLf (between 0.25 and
128 mg/mL) on both aerobic and anaerobic cultures of probiotics. We found that
bLf had similar effects on the growth of probiotics under aerobic or anaerobic
conditions, and that it actively and significantly (at concentrations of >0.25
mg/mL) retarded the growth rate of Bifidobacterium bifidum (ATCC 29521), B.
longum (ATCC 15707), B. lactis (BCRC 17394), B. infantis (ATCC 15697),
Lactobacillus reuteri (ATCC 23272), L. rhamnosus (ATCC 53103), and L.
coryniformis (ATCC 25602) in a dose-dependent manner. Otherwise, minimal
inhibitory concentrations (MICs) were 128 or >128 mg/mL against B. bifidum, B.
longum, B. lactis, L. reuteri, and L. rhamnosus (ATCC 53103). With regard to
MICs, bLf showed at least four-fold lower inhibitory effect on probiotics than on
pathogens. Intriguingly, bLf (>0.25 mg/mL) significantly enhanced the growth of
Rhamnosus (ATCC 7469) and L. acidophilus (BCRC 14065) by approximately 40-200 %,
during their late periods of growth. Supernatants produced from aerobic but not
anaerobic cultures of L. acidophilus reduced the growth of Escherichia coli by
about 20 %. Thus, bLf displayed a dose-dependent inhibitory effect on the growth
of most probiotic strains under either aerobic or anaerobic conditions. An
antibacterial supernatant prepared from the aerobic cultures may have significant
practical use.
PMID- 24916116
TI - Highly conductive copper nano/microparticles ink via flash light sintering for
printed electronics.
AB - In this study, the size effect of copper particles on the flash light sintering
of copper (Cu) ink was investigated using Cu nanoparticles (20-50 nm diameter)
and microparticles (2 MUm diameter). Also, the mixed Cu nano-/micro-inks were
fabricated, and the synergetic effects between the Cu nano-ink and micro-ink on
flash light sintering were assessed. The ratio of nanoparticles to microparticles
in Cu ink and the several flash light irradiation conditions (irradiation energy
density, pulse number, on-time, and off-time) were optimized to obtain high
conductivity of Cu films. In order to precisely monitor the milliseconds-long
flash light sintering process, in situ monitoring of electrical resistance and
temperature changes of Cu films was conducted during the flash light irradiation
using a real-time Wheatstone bridge electrical circuit, thermocouple-based
circuit, and a high-rate data acquisition system. Also, several microscopic and
spectroscopic characterization techniques such as scanning electron microscopy, x
ray diffraction, x-ray photoelectron spectroscopy, and Fourier transform infrared
spectroscopy were used to characterize the flash light sintered Cu nano-/micro
films. In addition, the sheet resistance of Cu film was measured using a four
point probe method. This work revealed that the optimal ratio of nanoparticles to
microparticles is 50:50 wt%, and the optimally fabricated and flash light
sintered Cu nano-/micro-ink films have the lowest resistivity (80 MUOmega cm)
among nano-ink, micro-ink, or nano-micro mixed films.
PMID- 24916117
TI - Tailoring of deep-red luminescence in Ca2SiO4:Eu(2+).
AB - We report a new dicalcium silicate phosphor, Ca(2-x)Eu(x)SiO4, which emits red
light in response to blue-light excitation. When excited at 450 nm, deep-red
emission at 650 nm was clearly observed in Ca1.2Eu0.8SiO4, the external and
internal quantum efficiencies of which were 44 % and 50 %, respectively. The red
emission from Ca(2-x)Eu(x)SiO4 was strongly related to the peculiar coordination
environments of Eu(2+) in two types of Ca sites. The red-emitting Ca2SiO4:Eu(2+)
phosphors are promising materials for next-generation, white-light-emitting diode
applications.
PMID- 24916118
TI - Meta-analysis of randomized trials comparing combined compression and
anticoagulation with either modality alone for prevention of venous
thromboembolism after surgery.
AB - BACKGROUND: Although venous thromboembolism (VTE) is an important cause of
postoperative morbidity and mortality, there is still no consensus on the optimal
strategy for VTE prevention after major surgery. The objective of this review was
to determine the benefits and risks of thromboprophylaxis with both compression
and anticoagulation, compared with either modality alone. METHODS: A systematic
review of MEDLINE, CENTRAL and Embase databases was performed to identify
eligible randomized trials. The literature search and data extraction were
carried out independently by two reviewers. Outcomes of interest were deep vein
thrombosis (DVT), pulmonary embolism, bleeding, limb injury and mortality.
RESULTS: Twenty-five studies were eligible for inclusion. Adding compression to
anticoagulation decreased the risk of DVT by 49 per cent (risk ratio (RR) 0.51,
95 per cent confidence interval 0.36 to 0.73). The corresponding funnel plot
suggested publication bias and, overall, the evidence for this comparison was
judged to be of low quality. Adding anticoagulation to compression decreased the
risk of DVT by 44 per cent (RR 0.56, 0.45 to 0.69) while increasing the risk of
bleeding (RR 1.74, 1.29 to 2.34). There was no suggestion of publication bias and
the evidence for this comparison was judged to be of moderate quality.
CONCLUSION: Combined compression and anticoagulation is more effective at
preventing postoperative DVT than either modality alone. However, adding
anticoagulation to compression increases the risk of bleeding, and the evidence
that adding compression to anticoagulation reduces VTE risk is of low quality.
PMID- 24916119
TI - Ingestion and defecation of marine debris by loggerhead sea turtles, Caretta
caretta, from by-catches in the South-West Indian Ocean.
AB - Marine debris, caused by anthropogenic pollution, is a major problem impacting
marine wildlife worldwide. This study documents and quantifies the ingestion and
defecation of debris by 74 loggerhead sea turtles, Caretta caretta, in the South
West Indian Ocean. Debris was found in 51.4% of gut or fecal samples of
loggerheads by-catch from Reunion Island long liners. Anthropogenic debris was
ubiquitous in our samples with plastics accounting for 96.2% of the total debris
collected. No significant relationship was detected between the characteristics
of ingested debris and the biometric characteristics of loggerheads. The number,
weight, volume and mean length of debris were higher in gut content of deceased
loggerheads than in fecal samples of live turtles, but not significantly, except
for the mean length. This is the first record of debris ingestion by sea turtles
in the Indian Ocean and our results highlight the magnitude of this pollution of
the marine environment.
PMID- 24916120
TI - Angioarchitecture of gallbladder in pig: LM and SEM study on vascular
microcorrosion casts.
AB - The study focused on the description of pig gallbladder angioarchitecture, with
particular emphasis on the specifics of the course of blood vessels in individual
layers of the gallbladder wall. Furthermore, the vascular systems of the pig
gallbladder were analyzed in terms of the adaptation of this organ to changes in
its volume during cyclical bile storage and discharge. The gallbladder is
supplied by the cystic artery, which in the pig represents a mixed pinnate and
bipinnate pattern of branching. The light microscopic and scanning electron
microscopic observations of three-dimensional vascular corrosion casts showed the
presence of two main complex vascular networks in the wall of the gallbladder,
one located in the subserosal and the other in the mucosa. The unique features in
the pig, connected with the size of the gallbladder, is the well-developed
horizontal venous plexus under folds of the mucosa, which is a voluminous
reservoir of fluids absorbed from bile and vascular networks around mucous
glands. Superficial blood vessels of the gallbladder run in vascular pairs or
triads, where a single artery runs between two veins. The structures of blood
flow control, that is, venous valves, were observed only in venules of the
subserosal plexus. Spatial arrangement of the vascular network in the pig
gallbladder shows functional plasticity during changes in gallbladder volume. The
course of superficial blood vessels in the well-filled gallbladder is arcuate,
while in the empty gallbladder it is undulated or spiral. In the mucosal and
intramural vessels the direction of blood vessels may change from perpendicular
to oblique.
PMID- 24916121
TI - Ligand effect on the size, valence state and red/near infrared photoluminescence
of bidentate thiol gold nanoclusters.
AB - Synthesis and characterization of gold nanoclusters (Au NCs) stabilized by a
zwitterion ligand (Zw) at different Au : Zw ratios are demonstrated. Au NCs
exhibit photoluminescence (PL) emission which is tunable from the near infrared
(805 nm) to the red spectral window (640 nm) and strongly influenced by the
ligand shell size. Optical and chemical investigations suggest the presence of
gold polymeric species and large nanoclusters for a molar ratio of Au : Zw = 1 :
1. For 1 : 5 < Au : Zw < 1 : 1, Zw induces etching of the large clusters and the
formation of a monolayer of the bidentate ligands on the Au NCs (cluster size ~7
to 10 kDa) accompanied by red PL emission at lambda = 710 nm. A second organic
layer starts to form for larger Zw fractions (Au : Zw < 1 : 5) as a result of
electrostatic and covalent interactions of the zwitterion leading to an
enhancement and a blue-shift of the PL emission. The effect of temperature and pH
on the optical properties of gold clusters is strongly dependent on the ligand
shell and demonstrates the importance of defining gold nanoclusters as
supramolecular assemblies with a complex environment.
PMID- 24916122
TI - Prevalence and factors associated with hardcore smoking in Poland: findings from
the Global Adult Tobacco Survey (2009-2010).
AB - BACKGROUND: Estimating the prevalence of hardcore smoking and identifying linked
factors is fundamental to improve planning and implementation of effective
tobacco control measures. Given the paucity of data on that topic, we aimed to
assess the prevalence of and factors associated with hardcore smoking in Poland.
METHODS: We used data from the Global Adult Tobacco Survey (GATS). GATS is a
representative, cross-sectional, household based survey conducted in Poland
between 2009 and 2010. Binary logistic regression analysis was used to explore
the associations of socio-demographic and smoking related variables with hardcore
smoking among daily smokers. RESULTS: The prevalence of hardcore smoking was
10.0% (13.0% among men and 7.3% among women) in the whole population of Poland at
age 26 years and above. Hardcore smokers constitute 39.9% (41.6% among men and
37.7% among women) of all daily smokers in analyzed age frame. Being older,
having started smoking at earlier ages, living in large cities (in women only),
being less aware of negative health effects of smoking, having less restrictions
on smoking at home was associated with higher risk of being hardcore smoker.
Educational attainment and economic activity were not associated with hardcore
smoking among daily smokers. CONCLUSIONS: High prevalence of hardcore smokers may
be a grand challenge for curbing non-communicable diseases epidemic in Poland.
Our findings should urge policy makers to consider hardcore smoking issues while
planning and implementing tobacco control policies. Prevention of smoking uptake,
education programs, and strengthening cessation services appeared to be the top
priorities.
PMID- 24916123
TI - Potent inhibition of macrophage migration inhibitory factor (MIF) by
myeloperoxidase-dependent oxidation of epicatechins.
AB - MIF (macrophage migration inhibitory factor) plays a central role in the
promotion and maintenance of the inflammatory response. It is implicated in a
number of inflammatory diseases including sepsis, arthritis and colitis, and in
diseases with an inflammatory component, such as atherosclerosis, diabetes and
cancer. MIF has an unusual N-terminal proline with catalytic activity, and
targeting of this residue by small-molecule inhibitors has been shown to
interfere with the biological activity of MIF. The objective of the present study
was to determine if MIF was susceptible to modification by epicatechins, a group
of dietary flavonoids with known anti-inflammatory properties. Epicatechins are
substrates for peroxidases including neutrophil-derived MPO (myeloperoxidase). In
the present study we show that oxidation of the catechol moiety of epicatechins
to an omicron-quinone by MPO generates potent MIF inhibitors. Near complete
inhibition of MIF by the MPO/H2O2/epicatechin system was achieved at equimolar
concentrations of epicatechin and MIF, even in the presence of other MPO
substrates. We have characterized the modification introduced by oxidized (-)
epicatechin on MIF by LC-MS (liquid chromatography MS) and found it to occur at
the N-terminal proline. We propose that MIF inhibition by oxidized epicatechins
contributes to the anti-inflammatory activity of these compounds.
PMID- 24916124
TI - New clade of enigmatic early archosaurs yields insights into early pseudosuchian
phylogeny and the biogeography of the archosaur radiation.
AB - BACKGROUND: The origin and early radiation of archosaurs and closely related taxa
(Archosauriformes) during the Triassic was a critical event in the evolutionary
history of tetrapods. This radiation led to the dinosaur-dominated ecosystems of
the Jurassic and Cretaceous, and the high present-day archosaur diversity that
includes around 10,000 bird and crocodylian species. The timing and dynamics of
this evolutionary radiation are currently obscured by the poorly constrained
phylogenetic positions of several key early archosauriform taxa, including
several species from the Middle Triassic of Argentina (Gracilisuchus
stipanicicorum) and China (Turfanosuchus dabanensis, Yonghesuchus sangbiensis).
These species act as unstable 'wildcards' in morphological phylogenetic analyses,
reducing phylogenetic resolution. RESULTS: We present new anatomical data for the
type specimens of G. stipanicicorum, T. dabanensis, and Y. sangbiensis, and carry
out a new morphological phylogenetic analysis of early archosaur relationships.
Our results indicate that these three previously enigmatic taxa form a well
supported clade of Middle Triassic archosaurs that we refer to as
Gracilisuchidae. Gracilisuchidae is placed basally within Suchia, among the
pseudosuchian (crocodile-line) archosaurs. The approximately contemporaneous and
morphologically similar G. stipanicicorum and Y. sangbiensis may be sister taxa
within Gracilisuchidae. CONCLUSIONS: Our results provide increased resolution of
the previously poorly constrained relationships of early archosaurs, with
increased levels of phylogenetic support for several key early pseudosuchian
clades. Moreover, they falsify previous hypotheses suggesting that T. dabanensis
and Y. sangbiensis are not members of the archosaur crown group. The recognition
of Gracilisuchidae provides further support for a rapid phylogenetic
diversification of crown archosaurs by the Middle Triassic. The disjunct
distribution of the gracilisuchid clade in China and Argentina demonstrates that
early archosaurs were distributed over much or all of Pangaea although they may
have initially been relatively rare members of faunal assemblages.
PMID- 24916125
TI - Next generation drying technologies for pharmaceutical applications.
AB - Drying is a commonly used technique for improving the product stability of
biotherapeutics. Typically, drying is accomplished through freeze-drying, as
evidenced by the availability of several lyophilized products on the market.
There are, however, a number of drawbacks to lyophilization, including the
lengthy process time required for drying, low energy efficiency, high cost of
purchasing and maintaining the equipment, and sensitivity of the product to
freezing and various other processing-related stresses. These limitations have
led to the search for next-generation drying methods that can be applied to
biotherapeutics. Several alternative drying methods are reviewed herein, with
particular emphasis on methods that are commonly employed outside of the
biopharmaceutical industry including spray drying, convective drying, vacuum
drying, microwave drying, and combinations thereof. Although some of the
technologies have already been implemented for processing biotherapeutics, others
are still at an early stage of feasibility assessment. An overview of each method
is presented, detailing the comparison to lyophilization, examining the
advantages and disadvantages of each technology, and evaluating the potential of
each to be utilized for drying biotherapeutic products.
PMID- 24916126
TI - Horseradish peroxidase-catalysed in situ-forming hydrogels for tissue-engineering
applications.
AB - In situ-forming hydrogels are an attractive class of implantable biomaterials
that are used for biomedical applications. These injectable hydrogels are
versatile and provide a convenient platform for delivering cells and drugs via
minimally invasive surgery. Although several crosslinking methods for preparing
in situ forming hydrogels have been developed over the past two decades, most
hydrogels are not sufficiently versatile for use in a wide variety of tissue
engineering applications. In recent years, enzyme-catalysed crosslinking
approaches have been emerged as a new approach for developing in situ-forming
hydrogels. In particular, the horseradish peroxidase (HRP)-catalysed crosslinking
approach has received increasing interest, due to its highly improved and tunable
capacity to obtain hydrogels with desirable properties. The HRP-catalysed
crosslinking reaction immediately occurs upon mixing phenol-rich polymers with
HRP and hydrogen peroxide (H2O2) in aqueous media. Based on this unique gel
forming feature, recent studies have shown that various properties of formed
hydrogels, such as gelation time, stiffness and degradation rate, can be easily
manipulated by varying the concentrations of HRP and H2O2. In this review, we
outline the versatile properties of HRP-catalysed in situ-forming hydrogels, with
a brief introduction to the crosslinking mechanisms involved. In addition, the
recent biomedical applications of HRP-catalysed in situ-forming hydrogels for
tissue regeneration are described.
PMID- 24916127
TI - Catecholase activity, DNA cleavage and cytotoxicity of six Zn(II) complexes
synthesized from designed Mannich ligands: higher reactivity of mononuclear over
dinuclear.
AB - Six zinc(II) complexes have been synthesized from two designed Mannich-base
ligands which consist of three dinuclear complex [Zn2(L(1))2X2] (1-3) and three
mononuclear complex [ZnH(L(2))X2] (4-6), respectively, where X = Cl(-) (1,4), Br(
) (2,5), I(-) (3,6), as reported earlier by us (Sanyal et al., Inorg Chem 53:85
96, 2014). The catecholase activity of the complexes has been investigated under
completely aerobic conditions in DMF-water medium (9:1) at pH 8.5 against the
model substrate 3,5-di-tert-butylcatechol (3,5-DTBC). Saturation kinetic studies
show that the order of conversion of substrate to product (quinone) follows the
trend 5 > 4 > 2 > 1 while 3 and 6 are inactive. The generation of phenoxyl
radicals, confirmed by UV-vis and EPR spectral studies, is supposed to be
responsible for the oxidation of 3,5-DTBC. The in vitro evaluation of 1-6
comprises the study of their DNA-cleaving ability using plasmid DNA and the
assessment of their cytotoxic activity against Jurkat (T cell lymphoma) cell line
by MTT assay. The mechanisms of toxicity appeared to be predominantly by reactive
oxygen species (ROS). The comparative analysis helps to arrive at the following
facts under experimental conditions: (1) mononuclear species prevail over the
dinuclear ones, unlike the behavior in phosphatase activity as reported in
Inorganic Chemistry; (2) the halide substituents at the active site control the
overall activity in the order: (a) In catecholase activity, Cl(-) < Br(-)
(dinuclear) and Cl(-) > Br(-) (mononuclear) and (b) in biological activity, Cl(-)
> Br(-) > I(-) regardless of nuclearity.
PMID- 24916128
TI - The reduction rates of DEPC-modified mutant Thermus thermophilus Rieske proteins
differ when there is a negative charge proximal to the cluster.
AB - Rieske and Rieske-type proteins are electron transport proteins involved in key
biological processes such as respiration, photosynthesis, and detoxification.
They have a [2Fe-2S] cluster ligated by two cysteines and two histidines. A
series of mutations, L135E, L135R, L135A, and Y158F, of the Rieske protein from
Thermus thermophilus has been produced which probe the effects of the neighboring
residues, in the second sphere, on the dynamics of cluster reduction and the
reactivity of the ligating histidines. These properties were probed using
titrations and modifications with diethyl pyrocarbonate (DEPC) at various pH
values monitored using UV-Visible and circular dichroism spectrophotometry. These
results, along with results from EPR studies, provide information on ligating
histidine modification and rate of reduction of each of the mutant proteins.
L135R, L135A, and Y158F react with DEPC similarly to wild type, resulting in
modified protein with a reduced [2Fe-2S] cluster in <90 min, whereas L135E
requires >15 h under the same conditions. Thus, the negative charge slows down
the rate of reduction and provides an explanation as to why negatively charged
residues are rarely, if ever, found in the equivalent position of other Rieske
and Rieske-type proteins.
PMID- 24916129
TI - High Resolution Imaging of Viscoelastic Properties of Intracranial Tumours by
Multi-Frequency Magnetic Resonance Elastography.
AB - PURPOSE: In recent years Magnetic Resonance Elastography (MRE) emerged into a
clinically applicable imaging technique. It has been shown that MRE is capable of
measuring global changes of the viscoelastic properties of cerebral tissue. The
purpose of our study was to evaluate a spatially resolved three-dimensional multi
frequent MRE (3DMMRE) for assessment of the viscoelastic properties of
intracranial tumours. METHODS: A total of 27 patients (63 +/- 13 years) were
included. All examinations were performed on a 3.0 T scanner, using a modified
phase-contrast echo planar imaging sequence. We used 7 vibration frequencies in
the low acoustic range with a temporal resolution of 8 dynamics per wave cycle.
Post-processing included multi-frequency dual elasto-visco (MDEV) inversion to
generate high-resolution maps of the magnitude |G*| and the phase angle phi of
the complex valued shear modulus. RESULTS: The tumour entities included in this
study were: glioblastoma (n = 11), anaplastic astrocytoma (n = 3), meningioma (n
= 7), cerebral metastasis (n = 5) and intracerebral abscess formation (n = 1).
Primary brain tumours and cerebral metastases were not distinguishable in terms
of |G*| and phi. Glioblastoma presented the largest range of |G*| values and a
trend was delineable that glioblastoma were slightly softer than WHO grade III
tumours. In terms of phi, meningiomas were clearly distinguishable from all other
entities. CONCLUSIONS: In this pilot study, while analysing the viscoelastic
constants of various intracranial tumour entities with an improved spatial
resolution, it was possible to characterize intracranial tumours by their
mechanical properties. We were able to clearly delineate meningiomas from
intraaxial tumours, while for the latter group an overlap remains in viscoelastic
terms.
PMID- 24916130
TI - Sub-micron phase coexistence in small-molecule organic thin films revealed by
infrared nano-imaging.
AB - Controlling the domain size and degree of crystallization in organic films is
highly important for electronic applications such as organic photovoltaics, but
suitable nanoscale mapping is very difficult. Here we apply infrared
spectroscopic nano-imaging to directly determine the local crystallinity of
organic thin films with 20-nm resolution. We find that state-of-the-art pentacene
films (grown on SiO2 at elevated temperature) are structurally not homogeneous
but exhibit two interpenetrating phases at sub-micrometre scale, documented by a
shifted vibrational resonance. We observe bulk-phase nucleation of distinct
ellipsoidal shape within the dominant pentacene thin-film phase and also further
growth during storage. A faint topographical contrast as well as X-ray analysis
corroborates our interpretation. As bulk-phase nucleation obstructs carrier
percolation paths within the thin-film phase, hitherto uncontrolled structural
inhomogeneity might have caused conflicting reports about pentacene carrier
mobility. Infrared-spectroscopic nano-imaging of nanoscale polymorphism should
have many applications ranging from organic nanocomposites to geologic minerals.
PMID- 24916131
TI - Measuring brand awareness as a component of eating habits in Indian children: the
development of the IBAI questionnaire.
AB - OBJECTIVE: To develop an instrument that allows one to estimate the Indian
children's brand awareness of alimentary products. METHODS: The IBAI
(International Brand Awareness Instrument), an age specific tool composed of 12
sheets with images reporting brand logos of alimentary products, has been
adjusted for the Indian context in order to investigate on infants' cognitive
skills of recalling and recognizing. The IBAI was piloted in a sample of 100
children aged from 3 to 10 y and enrolled in New Delhi schools. RESULTS: Children
aged 7-10 y showed an higher brand awareness as compared to those of 3-6 y.
CONCLUSIONS: The IBAI instrument may be a component for further analysis of the
influence of food marketing on child's diet, foods' choices and preferences
within the Indian social and cultural macro-context. Findings suggest that
children over 6 y are particularly gullible by brands and TV promoted
advertising. Prevention through information should, therefore be offered to
school aged children and their parents, involving teachers, nutritionists and
experts in developmental psychology also.
PMID- 24916132
TI - Food packaged with toys: an investigation on potential obesogenic effects in
Indian children.
AB - OBJECTIVE: To investigate, in a large pan Indian sample of school children,
whether gadgets (toys) added to food increase food consumption, and if
contemporary exposure to TV and/or advertising is a further promoting factor.
METHODS: A total of 1,680 Indian children were first randomized to food exposure
with or without toy and then to a five-level exposure to TV viewing and
advertising according to a 2 * 5 full factorial ad libitum eating design study.
The sample size was computed to detect a difference of 20 Kcal of caloric intake
(assuming the same standard deviation of 20 Kcal in both groups) between "food
with gadget" (Toy) and "food alone" (No Toy) groups in each level of the exposure
to TV and advertising factor, given an alpha error equal to 0.05 and a power of
0.90. RESULTS: Mean caloric intake both in "Toy" and "No Toy" group was around
223 Kcal. When considering exposure to TV and advertising, mean values varied
negligibly between 222 and 225 Kcal. According to linear models for the effect of
gadget and exposure to TV and/or advertising on children's intake, no significant
adjusted associations were found, neither as main effects nor as interactions.
CONCLUSIONS: Food consumption by children is not influenced by the presence of
added toys, even after adjustment for several potential confounding factors. The
city where they live and age significantly influences Indian children's caloric
intake.
PMID- 24916133
TI - Is my kid out of size? Indian mothers' desirability bias in evaluation of their
children's weight.
AB - OBJECTIVE: To quantify mothers' social desirability bias with respect to their
children's weight in a cross-regional Indian setting. METHODS: The OBEY-AD was a
cross-sectional study which has been realized in 7 Indian cities (Bengaluru,
Mumbai, Chennai, Hyderabad, Kolkata, New Delhi and Surat), enroling 1,680
children aged 3-11 y of which 50% were females. Children's BMI scores were
computed, standardized according to WHO growth charts and categorized as Normal,
Overweight, Obese and Underweight. Mothers were asked to judge the weight status
of their children through an iconographic test, indicating the shape, which
better mirrors the size of their kids. Socio-demographic data, especially
employment, income and education, was accessed by administrating a cross
sectional questionnaire to the mothers, involved for the study. RESULTS: Overall,
369 children resulted as obese or overweight (23.5%). Out of them, 75% (278) were
not recognized as such by their mothers. Such figures range from up to 76% in
Chennai and Surat down to 72% in Hyderabad, Kolkata, New Delhi and Mumbai.
Overall agreement between perceived and desired weight status of children was
very poor (p < 0.001). Surprisingly, overall 10% of overweight/obese children
were considered as even too lean by their mothers. Misperception of children's
weight status seemed to be significantly related to urban differences and socio
economic status. CONCLUSIONS: This study quantifies the extent of the so-called
social desirability bias, namely mother's unconscious attitude to adapt empirical
evidence to more culturally legitimized ideal-types of what their children's
weight status is expected to be. Its association with westernized representations
of leanness as evaluation criteria for beauty has important policy implications.
PMID- 24916134
TI - Effect of inhaled magnesium sulfate on bronchial hyperresponsiveness.
AB - OBJECTIVES: To determine the response of nebulized magnesium sulfate on the lung
function of children with bronchial hyperresponsiveness. METHODS: Eighty-four
children with asthma were divided into three groups randomly: magnesium sulfate
(M), albuterol (A), and a combination of magnesium sulfate and albuterol (M + A).
All patients were nebulized with acetylcholine, and then treated as designed.
Lung function was compared between the three groups. RESULTS: Forced expiratory
volume in first second (FEV1) significantly improved in all the three groups but
it was better in (A) and (M + A) compared to (M) at 10 min and 20 min [10 min:
1.26 L +/- 0.53 (A) vs. 1.10 L +/- 0.27 (M), 1.35 L +/- 0.59 (M + A) vs. 1.10 L
+/- 0.27 (M), p < 0.05; 20 min: 1.32 L +/- 0.61 (A) vs. 1.17 L +/- 0.30 (M), 1.42
L +/- 0.59 (M + A) vs. 1.17 L +/- 0.30 (M), p < 0.05]. Variation of FEV1, as
absolute value at 10 min or 20 min over post-Ach FEV1 was significantly different
in (A) or (M + A) compared to (M). CONCLUSIONS: Nebulized albuterol and magnesium
sulfate + albuterol can more effectively improve FEV1 in children with bronchial
hyperresponsiveness than nebulized magnesium sulfate at 10 min and 20 min after
inhalation. It is further suggested that addition of magnesium sulfate to
albuterol does not result in additional benefit.
PMID- 24916135
TI - Compromised axonal functionality after neurodegeneration, concussion and/or
traumatic brain injury.
AB - Axonal swellings are almost universal in neurodegenerative diseases of the
central nervous system, including Alzheimer's and Parkinson's disease.
Concussions and traumatic brain injuries can also produce cognitive and
behavioral deficits by compromising neuronal morphology. Using a spike metric
analysis, we characterize computationally the effects of such axonal varicosities
on spike train propagation by comparing Poisson spike train classes before and
after propagation through a prototypical axonal enlargement, or focused axonal
swelling. Misclassification of spike train classes and low-pass filtering of
firing rate activity increases with more pronounced axonal injury. We show that
confusion matrices and a calculation of the loss of transmitted information
provide a very practical way to characterize how injured neurons compromise the
signal processing and faithful conductance of spike trains. The method
demonstrates that (i) neural codes encoded with low firing rates are more robust
to injury than those encoded with high firing rates, (ii) classification depends
upon the length of the spike train used to encode information, and (iii) axonal
injuries reduce the variance of spike trains within a given stimulus class. The
work introduces a novel theoretical and computational framework to quantify the
interplay between electrophysiological dynamics with focused axonal swellings
generated by injury or other neurodegenerative processes. It further suggests how
pharmacology and plasticity may play a role in recovery of neural computation.
Ultimately, the work bridges vast experimental observations of in vitro
morphological pathologies with post-traumatic cognitive and behavioral
dysfunction.
PMID- 24916136
TI - Tissue-engineered bone constructed in a bioreactor for repairing critical-sized
bone defects in sheep.
AB - PURPOSE: Repair of bone defects, particularly critical-sized bone defects, is a
considerable challenge in orthopaedics. Tissue-engineered bones provide an
effective approach. However, previous studies mainly focused on the repair of
bone defects in small animals. For better clinical application, repairing
critical-sized bone defects in large animals must be studied. This study
investigated the effect of a tissue-engineered bone for repairing critical-sized
bone defect in sheep. METHODS: A tissue-engineered bone was constructed by
culturing bone marrow mesenchymal-stem-cell-derived osteoblast cells seeded in a
porous beta-tricalcium phosphate ceramic (beta-TCP) scaffold in a perfusion
bioreactor. A critical-sized bone defect in sheep was repaired with the tissue
engineered bone. At the eighth and 16th week after the implantation of the tissue
engineered bone, X-ray examination and histological analysis were performed to
evaluate the defect. The bone defect with only the beta-TCP scaffold served as
the control. RESULT: X-ray showed that the bone defect was successfully repaired
16 weeks after implantation of the tissue-engineered bone; histological sections
showed that a sufficient volume of new bones formed in beta-TCP 16 weeks after
implantation. Eight and 16 weeks after implantation, the volume of new bones that
formed in the tissue-engineered bone group was more than that in the beta-TCP
scaffold group (P < 0.05). CONCLUSION: Tissue-engineered bone improved
osteogenesis in vivo and enhanced the ability to repair critical-sized bone
defects in large animals.
PMID- 24916138
TI - Cavo-portal transposition in pediatric liver transplant recipients.
AB - BACKGROUND: Cavo-portal transposition (CPT) at liver transplantation (LTx) allows
portal revascularization of the liver in recipients in whom portal system
thrombosis does not allow performance of porto-portal anastomosis. The aim was to
present the cases of 2 children who underwent LTx and CPT in our institution.
CASE REPORT: 1. A 10-year-old boy, after Kasai procedure and living donor LTx,
was qualified for retransplantation 9 years after first LTx complicated with late
portal vein thrombosis, portal hypertension, hypersplenism, and multiple GI
bleeding episodes, after splenectomy and meso-caval shunt preventing GI bleeding.
At retransplant surgery, CPT was done. Actual follow-up was 40 months. Doppler
ultrasound and angio CT show normal flow within the graft's portal vein.
Biochemical parameters were within normal range. There was no bleeding from the
gastrointestinal tract. 2. A 14-month-old child after Kasai procedure was
qualified for living donor liver transplantation. During surgery, thrombosis of
the recipient portal system was found, which was not diagnosed before. The CPT
was done. There were no complications during the postoperative course. The actual
follow-up was 32 months, and the patient is doing well, with normal liver and
renal function, without hypersplenism or ascites. There was no gastrointestinal
bleeding. Doppler ultrasound showed normal intrahepatic portal and arterial flow
in the transplanted liver. CONCLUSIONS: Cavo-portal transposition is an important
option in portal vein revascularization in liver transplant recipients without
access to the portal system. Long-term observation of these 2 cases did not show
any late problems (e.g., bleeding from the gastrointestinal tract, renal
function, hyperammonemia, ascites) related to cavo-portal transposition.
PMID- 24916137
TI - Timing of premature physeal closure in Legg-Calve-Perthes Disease.
AB - PURPOSE: Premature physeal closure of the proximal femoral physis has been
reported in Legg-Calve-Perthes Disease (LCPD). However, the timing of its
occurrence had not yet been reported. We proposed (1) to determine the timing of
premature physeal closure in unilateral LCPD with serial radiographic evaluation,
and (2) to evaluate the relationship between the premature physeal closure and
Herring classification, leg-length discrepancy (LLD), Stulberg classification,
and trochanteric overgrowth. METHODS: We performed a retrospective study with
serial radiographs of 27 patients diagnosed with LCPD. The difference in the
timing of physeal closure between the hips was calculated. The involved hip was
classified according to Herring classification. The LLD and ATD index at latest
follow up was measured. The mean values were calculated and statistical
comparison of variables was done using the Fisher's exact test. RESULTS: The mean
difference of physeal closure at the involved hip compared to the uninvolved side
was 3.5 years (range, two to five years). Hips demonstrating premature physeal
closure were associated with Herring B/C and C (p = 0.01) and LLD >1 cm (p =
0.02). There is no correlation between Stulberg classification, trochanteric
overgrowth and premature physeal closure (p = 0.06 and p = 0.19). CONCLUSIONS: We
may expect premature physeal closure of the proximal femoral physis in patients
with LCPD to occur 3.5 years earlier than normal hips. Presence of premature
physeal closure can be an adjunct diagnostic tool in the prognostication of LCPD
outcomes. Future studies directed toward premature physeal closure in LCPD and
associated growth disturbances are necessary.
PMID- 24916139
TI - Determination of toxic heavy metals in Echinodermata and Chordata species from
South Korea.
AB - This study aimed at analysing concentrations of heavy metals including arsenic,
lead, cadmium, aluminium and mercury in commonly consumed seafood species
belonging to Echinodermata (Anthocidaris crassispina and Stichopus japonicus) and
Chordata (Halocynthia roretzi and Styela plicata). The samples were digested by a
microwave system and analysed for As, Cd and Pb by inductively coupled plasma
mass spectrometer, for Al by inductively coupled plasma-optical emission
spectrometer and Hg by Direct Mercury Analyser. The analytical method was
validated by determining sensitivity, linearity, precision, spiking recoveries
and analysis of the Standard Reference Material (SRM) NIST 1566-b, an Oyster
Tissue. Results showed considerably higher accumulation of Al and As in analysed
samples, compared to Pb and Cd, while Hg had the lowest contamination. On
comparison, the obtained results with the recommended standards by the Food and
Agriculture Organization, European Commission and Ministry of Food and Drug
Safety of Korea, it was concluded that the analysed seafoods were safe and thus
would not pose a threat to consumers.
PMID- 24916140
TI - Young women's construction of their post-cancer fertility.
AB - Younger women diagnosed with cancer often face compromised fertility as a result
of their treatment. However, previous research has adopted a biomedical model of
fertility and utilised hypothetico-deductive research methods which have not
allowed for full exploration of women's subjectivity. This study explored younger
women's construction of their fertility post-cancer, and their discussions of
fertility with healthcare professionals, from a social constructionist
epistemology. Semi-structured one-to-one interviews were conducted with eight
women aged 18-26, across a variety of cancer types. Foucaultian Discourse
Analysis identified three subject positions associated with fertility concerns:
'Inadequate woman: Accepting the motherhood mandate'; 'Adequate woman: Resisting
the motherhood mandate'; and 'Survival of the fittest: Woman as genetically
defective'. Implications of these subject positions included feelings of
inadequacy, fear and devastation; feeling undesirable to romantic partners; and
concern about passing on cancer-positive genes. In describing healthcare
professional interactions, women adopted positions of 'Satisfied patient';
'Passive recipient patient'; or 'Resisting the passive patient position'.
Accounts of inadequate information provision were associated with anger and
frustration, whereas feeling adequately informed was associated with satisfaction
at making decisions about fertility preservation. These results suggest that
fertility is of importance to young women cancer survivors, and that compromised
fertility can negatively impact subjectivity.
PMID- 24916141
TI - Comment on: First clinical manifestation of Brugada syndrome during pregnancy.
PMID- 24916142
TI - Response to the letter regarding article "First clinical manifestation of Brugada
syndrome during pregnancy".
PMID- 24916143
TI - Influence of continuous negative pressure in the rat middle ear.
AB - OBJECTIVES/HYPOTHESIS: High negative pressure in the middle ear was thought to be
closely related to the etiology of retraction-type cholesteatoma. Recently, it
has been detected that mechanical forces are important factors in epithelial
turnover and affect cytoskeletal remodeling. Continuous negative pressure in the
middle ear may possibly accelerate the proliferation and differentiation of
epithelial cells of the tympanic membrane. STUDY DESIGN: Animal experimental
study. METHODS: Eleven adult male Sprague-Dawley rats were used, and continuous
negative pressure was loaded by connecting a catheter from the rat's middle ear
to the supply route of an implantable microinfusion pump, iPRECIO. At 7 days
after implantation of the device, an otoendoscopic examination and micro-computed
tomography (CT) analysis of the temporal bone were performed; the temporal bones
were then collected for histological and immunohistochemical analysis. The degree
of proliferation and differentiation of epithelial cells of the tympanic membrane
was investigated immunohistochemically using the anti-cytokeratin-5 and anti
cytokeratin-10 antibodies. RESULTS: Otoendoscopic examination revealed retraction
of the pars flaccida in all of the ears under negative pressure. In the micro-CT
analysis, soft tissue density area in the hypotympanum was observed in all ears
under negative pressure. Histological analysis revealed thickened epithelium of
the pars flaccida. In this region, the thickness of layers with cytokeratin-5
positive cells and cytokeratin-10-positive cells were increased. CONCLUSIONS:
Continuous negative pressure in the middle ear can lead to thickening of the
epithelium of the pars flaccida, and may accelerate the proliferation and
differentiation of epithelial cells.
PMID- 24916144
TI - Continuous noninvasive cardiac output in children: is this the next generation of
operating room monitors? Initial experience in 402 pediatric patients.
AB - BACKGROUND: Electrical Cardiometry(TM) (EC) estimates cardiac parameters by
measuring changes in thoracic electrical bioimpedance during the cardiac cycle.
The ICON((r)), using four electrocardiogram electrodes (EKG), estimates the
maximum rate of change of impedance to peak aortic blood acceleration (based on
the premise that red blood cells change from random orientation during diastole
(high impedance) to an aligned state during systole (low impedance)). OBJECTIVE:
To determine whether continuous cardiac output (CO) data provide additional
information to current anesthesia monitors that is useful to practitioners.
METHODS: After IRB approval and verbal consent, 402 children were enrolled. Data
were uploaded to our anesthesia record at one-minute intervals. Ten-second
measurements (averaged over the previous 20 heart beats) were downloaded to
separate files for later comparison with routine OR monitors. RESULTS: Data from
374 were in the final cohort (loss of signal or improper lead placement); 292,012
measurements during 58,049 min of anesthesia were made in these children (1 day
to 19 years and 1 to 107 kg). Four events had a >=25% reduction in cardiac index
at least 1 min before a clinically important change in other monitored
parameters; 18 events in 14 children confirmed manifestations of other
hemodynamic measures; eight events may have represented artifacts because the
observed measurements did not seem to fit the clinical parameters of the other
monitors; three other events documented decreased stroke index with extreme
tachycardia. CONCLUSIONS: Electrical cardiometry provides real-time
cardiovascular information regarding developing hemodynamic events and
successfully tracked the rapid response to interventions in children of all
sizes. Intervention decisions must be based on the combined data from all
monitors and the clinical situation. Our experience suggests that this type of
monitor may be an important addition to real-time hemodynamic monitoring.
PMID- 24916145
TI - 'Cool! and creepy': engaging with college student stakeholders in Michigan's
biobank.
AB - Large population biobanks, important resources for genomic research, also present
ethical challenges. The Michigan BioTrust for Health makes dried bloodspots (DBS)
leftover from newborn screening, including ~4.5 million collected before 2010
without written consent, available for health research. Absent prospectively
gathered consent and/or current engagement with 18- to 29-year olds, little is
known about opinions and beliefs from this age group about use of the bloodspots
for research. We engaged 2,101 students-BioTrust participants and their peers-at
information booths at 20 college campuses across the state to educate youth about
the BioTrust and gather information about consent preferences and about hopes and
concerns about this public health program. We surveyed student stakeholder DBS
research consent preferences and fielded a "postengagement" survey to gauge the
attitudes of participants and to evaluate the campus engagement. The most
prevalent themes in open-ended comments were support for biobank research and
concern that Michiganders are not aware of their participation. While 78 % of
students said they would, if asked, opt in to the BioTrust, half of these
preferred to be contacted each time a researcher sought to use their DBS.
Students reported great interest in the topic and strong likelihood to share what
they had learned. BioTrust participants are interested in learning about their
role in an initiative whose goals they widely support. Public engagement is
particularly important to biobank participants who, absent traditional consent
practices, are unaware of their participation. Health-fair style engagements were
effective for targeting college-aged stakeholders, communicating complex
messages, and likely increasing knowledge. Retrospective biobanks and biobanks
that collect proxy consent need policies to respect those who would opt out and
will need resources to educate participants and conduct community outreach that
is a safeguard to public trust.
PMID- 24916146
TI - Dickkopf-1 expression is down-regulated during the colorectal adenoma-carcinoma
sequence and correlates with reduced microvessel density and VEGF expression.
AB - AIMS: Dickkopf-1 (Dkk1), an antagonist of the Wnt-beta-catenin signalling
pathway, has been reported to play a role in cancer progression. However, little
is known about the role of Dkk1 during the colorectal adenoma-carcinoma sequence.
This study aimed to elucidate the role of Dkk1 in tumorigenesis and angiogenesis
in colorectal cancer. METHODS AND RESULTS: We examined Dkk1 expression
immunohistochemically in 476 colorectal tissue samples, including 46 sets of
matched specimens. Dkk1 expression was down-regulated during the colorectal
adenoma-carcinoma sequence, both among the 476 samples and in the 46 sets of
matched specimens. Dkk1 expression was correlated with decreased microvessel
density (P < 0.05) and VEGF expression. In-vitro 3D coculture experiments showed
that Dkk1 overexpression in HCT116 cells inhibited tube-like structure formation
and down-regulated VEGF expression in human umbilical vein endothelial cells.
Xenografts of Dkk1-overexpressing colorectal cancer cells were smaller, and
showed lower microvessel density and VEGF expression levels, than those of
control cells. CONCLUSIONS: This study is the first to show the roles of Dkk1
during the colorectal adenoma-carcinoma sequence, which may involve suppression
of the tumorigenesis and angiogenesis of CRC. Dkk1 could therefore serve as a
potential target for tumour therapy.
PMID- 24916147
TI - Ramucirumab: first global approval.
AB - Ramucirumab (CyramzaTM [US]), a fully human immunoglobulin G1 (IgG1) monoclonal
antibody that inhibits vascular endothelial growth factor receptor-2 (VEGFR-2),
has been developed by Eli Lilly (formerly ImClone Systems) for the treatment of
cancer. Ramucirumab has received its first global approval in the US for use as
monotherapy in the treatment of advanced or metastatic gastric cancer or gastro
oesophageal junction adenocarcinoma in patients who experience disease
progression on or after fluoropyrimidine- or platinum-containing chemotherapy.
Ramucirumab is the first treatment to be approved by the US FDA for this setting.
This article summarizes the milestones in the development of ramucirumab leading
to this first approval for the treatment of gastric cancer and gastro-oesophageal
junction adenocarcinoma.
PMID- 24916148
TI - Suture-related pseudoinfection after total hip arthroplasty.
AB - Absorbable sutures are widely used for wound closure after total hip replacement.
Here we present two cases of suture-related foreign-body reaction that perfectly
mimicked a periprosthetic joint infection, with sterile abscess formation and
physical and laboratory signs of inflammation acutely presenting 7-8 weeks after
surgery, at the time of suture absorption. Both recurred with analogous timing
after irrigation and debridement, likely due to re-using the same suture
material. Multiple negative microbiological samples and positive histological
samples showing a foreign-body reaction are the fundamental steps towards the
diagnosis of a suture-related pseudoinfection (SRPI). Only three other cases have
been reported to date, but the recurrence, together with the self-healing course
after relapse, represents a completely novel feature and possibly the strongest
demonstration of the supposed aetiopathogenesis. The knowledge of this possible
complication leads to some clinical implications: all potential periprosthetic
joint infections should routinely undergo not only microbiological but also
histological sampling; caution should be used when recommending prosthesis
exchange for potential infections occurring in the time range of suture
absorption; lastly, if SRPI is suspected, a suture with low propensity to induce
foreign-body reactions should be chosen after irrigation and debridement and the
volume of absorbable material left in the wound should be as small as possible.
PMID- 24916149
TI - Gastric dysrhythmias: a potential objective measure of nausea.
AB - Nausea is a noxious, uncomfortable feeling usually located in the epigastrium.
The pathophysiology of nausea encompasses brain-gut and gut-brain interaction.
Nausea is associated with myoelectrical dysrhythmias of the stomach, an objective
marker in the periphery. The aims of this review were to describe (1) the
physiology of normal 3 cycle per minute (cpm) gastric myoelectrical activity and
(2) conditions where shifts from normal 3 cpm gastric rhythms to gastric
dysrhythmias are associated with the onset of nausea. Illusory self-motion,
infusion of drugs such as morphine and glucagon, and ingestion of water or
nutrient loads are several of the multitude of stimuli that induce acute nausea
and a variety of gastric dysrhythmias such as tachygastrias (3.75-10 cpm) and
bradygastrias (1.0-2.5 cpm). In nausea of motion sickness, increased nausea
severity correlates with increased plasma vasopressin and epinephrine levels.
Gastric dysrhythmias are also present in chronic gastrointestinal neuromuscular
disorders such as gastroparesis. When gastric dysrhythmias resolve after drug or
device therapies, nausea resolves. The shift in state from comfort in the
epigastrium area and normal 3 cpm gastric rhythm to symptoms of nausea and
gastric dysrhythmias represents dynamic gut-brain and brain-gut interactions that
can be tracked by changes in gastric rhythm. CONCLUSIONS: (1) gastric
dysrhythmias represent at least one peripheral mechanism underlying the symptom
of nausea, and (2) gastric dysrhythmias are an objective biomarker for nausea and
potential therapeutic targets for anti-nauseant therapies.
PMID- 24916150
TI - Cuckoo hosts shift from accepting to rejecting parasitic eggs across their
lifetime.
AB - One of the best-known outcomes of coevolution between species is the rejection of
mimetic parasite eggs by avian hosts, which has evolved to reduce costly cuckoo
parasitism. How this behavioral adaptation varies along the life of individual
hosts remains poorly understood. Here, we identify for the first time, lifetime
patterns of egg rejection in a parasitized long-lived bird, the magpie Pica pica
and show that, during the years they were studied, some females accept, others
reject, and some others modify their response to model eggs, in all cases
switching from acceptance to rejection. Females tested in their first breeding
attempt always accepted the model egg, even those individuals whose mothers were
egg rejecters. A longitudinal analysis showed that the probability of egg
rejection increased with the relative age of the female, but was not related to
the risk of parasitism in the population. We conclude that ontogeny plays a
fundamental role in the process leading to egg rejection in magpies.
PMID- 24916151
TI - Postvaccinal, corticosteroid-resistant bullous pemphigoid in infancy: treatment
with intravenous immunoglobulin.
AB - Bullous pemphigoid is an autoimmune subepidermal blistering disorder that
typically affects elderly adults but can also occur in childhood. We report on a
3-month-old boy who developed bullous pemphigoid 1 week after the second routine
administration of a hexavalent vaccine. The disease was resistant to standard
therapies (including oral and topical corticosteroids) but was relieved by
intravenous immunoglobulin treatment. There was no recurrence of bullous
pemphigoid after the next vaccination (3 mos after discontinuation of steroids).
PMID- 24916152
TI - Phagocyte NADPH oxidase, chronic granulomatous disease and mycobacterial
infections.
AB - Infection of humans with Mycobacterium tuberculosis remains frequent and may
still lead to death. After primary infection, the immune system is often able to
control M. tuberculosis infection over a prolonged latency period, but a decrease
in immune function (from HIV to immunosenescence) leads to active disease.
Available vaccines against tuberculosis are restricted to BCG, a live vaccine
with an attenuated strain of M. bovis. Immunodeficiency may not only be
associated with an increased risk of tuberculosis, but also with local or
disseminated BCG infection. Genetic deficiency in the reactive oxygen species
(ROS)-producing phagocyte NADPH oxidase NOX2 is called chronic granulomatous
disease (CGD). CGD is among the most common primary immune deficiencies. Here we
review our knowledge on the importance of NOX2-derived ROS in mycobacterial
infection. A literature review suggests that human CGD patient frequently have an
increased susceptibility to BCG and to M. tuberculosis. In vitro studies and
experiments with CGD mice are incomplete and yielded - at least in part -
contradictory results. Thus, although observations in human CGD patients leave
little doubt about the role of NOX2 in the control of mycobacteria, further
studies will be necessary to unequivocally define and understand the role of ROS.
PMID- 24916153
TI - Central role for protein kinase C in oxytocin and epidermal growth factor
stimulated cyclooxygenase 2 expression in human myometrial cells.
AB - BACKGROUND: Prostaglandins are important mediators of uterine contractility and
cervical ripening during labour. Cyclooxygenase-2 (COX-2), also known as
prostaglandin-endoperoxide synthase 2, is a rate limiting enzyme involved in the
conversion of arachidonic acid into prostaglandins at parturition. In this paper,
the pathways underlying agonist-induced cyclooxygenase-2 expression in human
myometrial cells were studied. RESULTS: Myometrial cells were stimulated with
different agonists: oxytocin (OXT), epidermal growth factor (EGF), interleukin
1beta (IL1beta), and phorbol-12-myristate-13-acetate (PMA) alone and in the
presence of specific signalling pathway inhibitors. The nuclear factor kappa
light-chain-enhancer of activated B cells (NFKB) pathway was inhibited by means
of the IKK-2 inhibitor TPCA-1. Signalling through extracellular signal-regulated
kinases (ERK) was inhibited using the MEK1/2 inhibitor PD-184352.
Bisindolylmaleimide-I was used to inhibit protein kinase C (PKC) signalling. COX
2 expression and ERK phosphorylation were measured using immunoblotting.OXT
induced COX-2 expression by activating PKC and ERK. EGF increased COX-2
expression via stimulation of PKC, ERK and NFKB. As expected, the pro
inflammatory cytokine IL1beta induced COX-2 expression by activating PKC- and
NFKB-dependent pathways. Stimulation of PKC directly with PMA provoked strong COX
2 expression. CONCLUSIONS: PKC plays a central role in OXT and EGF induced COX-2
expression in human myometrial cells. However, other pathways, notably ERK and
NFKB are also involved to an extent which depends on the type of agonist used.
PMID- 24916154
TI - The fibrinogen gammaA/gamma' isoform does not promote acute arterial thrombosis
in mice.
AB - BACKGROUND: Elevated plasma fibrinogen is associated with arterial thrombosis in
humans and promotes thrombosis in mice by increasing fibrin formation and
thrombus fibrin content. Fibrinogen is composed of six polypeptide chains:
(Aalpha, Bbeta, and gamma)2. Alternative splicing of the gamma chain leads to a
dominant form (gammaA/gammaA) and a minor species (gammaA/gamma').
Epidemiological studies have detected elevated gammaA/gamma' fibrinogen in
patients with arterial thrombosis, suggesting that this isoform promotes
thrombosis. However, in vitro data show that gammaA/gamma' is anticoagulant due
to its ability to sequester thrombin and suggest its expression is upregulated in
response to inflammatory processes. OBJECTIVE: To determine whether gammaA/gamma'
fibrinogen is prothrombotic in vivo. METHODS: We separated gammaA/gammaA and
gammaA/gamma' fibrinogen from human plasma-purified fibrinogen and determined the
effects on in vitro plasma clot formation and on in vivo thrombus formation and
circulating thrombin-antithrombin complexes in mice. RESULTS AND CONCLUSIONS:
Both gammaA/gammaA and gammaA/gamma' fibrinogen were cleaved by murine and human
thrombin and were incorporated into murine and human clots. When gammaA/gammaA or
gammaA/gamma' was spiked into plasma, gammaA/gammaA increased the fibrin
formation rate to a greater extent than gammaA/gamma'. In mice, compared to
controls, gammaA/gammaA infusion shortened the time to carotid artery occlusion,
whereas gammaA/gamma' infusion did not. Additionally, gammaA/gamma' infusion led
to lower levels of plasma thrombin-antithrombin complexes following arterial
injury, whereas gammaA/gammaA infusion did not. These data suggest that
gammaA/gamma' binds thrombin in vivo and decreases prothrombotic activity.
Together, these findings indicate that elevated levels of gammaA/gammaA
fibrinogen promote arterial thrombosis in vivo, whereas gammaA/gamma' does not.
PMID- 24916155
TI - Reduced 5-HT(1B) receptor binding in the dorsal brain stem after cognitive
behavioural therapy of major depressive disorder.
AB - Major depression is a significant contributor to the global burden of disease,
and its pathophysiology is largely unknown. The serotonin hypothesis is, however,
the model with most supporting data, although the details are only worked out to
some extent. Recent clinical imaging measurements indeed imply a role in major
depressive disorder (MDD) for the inhibitory serotonin autoreceptor 5
hydroxytryptamine1B (5-HT1B). The aim of the current study was to examine 5-HT1B
receptor binding in the brain of MDD patients before and after psychotherapy. Ten
patients with an ongoing untreated moderate depressive episode were examined with
positron emission tomography (PET) and the 5-HT1B receptor selective radioligand
[(11)C]AZ10419369, before and after treatment with internet-based cognitive
behavioural therapy. All of the patients examined responded to treatment, and 70%
were in remission by the time of the second PET measurement. A statistically
significant 33% reduction of binding potential (BPND) was found in the dorsal
brain stem (DBS) after treatment. No other significant changes in BPND were
found. The DBS contains the raphe nuclei, which regulate the serotonin system.
This study gives support for the importance of serotonin and the 5-HT1B receptor
in the biological response to psychological treatment of MDD.
PMID- 24916156
TI - Biocatalytic anti-Prelog reduction of prochiral ketones with whole cells of
Acetobacter pasteurianus GIM1.158.
AB - BACKGROUND: Enantiomerically pure alcohols are important building blocks for
production of chiral pharmaceuticals, flavors, agrochemicals and functional
materials and appropriate whole-cell biocatalysts offer a highly
enantioselective, minimally polluting route to these valuable compounds. At
present, most of these biocatalysts follow Prelog's rule, and thus the (S)
alcohols are usually obtained when the smaller substituent of the ketone has the
lower CIP priority. Only a few anti-Prelog (R)-specific whole cell biocatalysts
have been reported. In this paper, the biocatalytic anti-Prelog reduction of 2
octanone to (R)-2-octanol was successfully conducted with high enantioselectivity
using whole cells of Acetobacter pasteurianus GIM1.158. RESULTS: Compared with
other microorganisms investigated, Acetobacter pasteurianus GIM1.158 was shown to
be more effective for the reduction reaction, affording much higher yield,
product enantiomeric excess (e.e.) and initial reaction rate. The optimal
temperature, buffer pH, co-substrate and its concentration, substrate
concentration, cell concentration and shaking rate were 35 degrees C, 5.0, 500
mmol/L isopropanol, 40 mmol/L, 25 mg/mL and 120 r/min, respectively. Under the
optimized conditions, the maximum yield and the product e.e. were 89.5% and
>99.9%, respectively, in 70 minutes. Compared with the best available data in
aqueous system (yield of 55%), the yield of (R)-2-octanol was greatly increased.
Additionally, the efficient whole-cell biocatalytic process was feasible on a 200
mL preparative scale and the chemical yield increased to 95.0% with the product
e.e. being >99.9%. Moreover, Acetobacter pasteurianus GIM1.158 cells were proved
to be capable of catalyzing the anti-Prelog bioreduction of other prochiral
carbonyl compounds with high efficiency. CONCLUSIONS: Via an effective increase
in the maximum yield and the product e.e. with Acetobacter pasteurianus GIM1.158
cells, these results open the way to use of whole cells of this microorganism for
challenging enantioselective reduction reactions on laboratory and commercial
scales.
PMID- 24916157
TI - Stability of smoking status in the US population: a longitudinal investigation.
AB - AIMS: To determine smoking transitions in a representative sample of US adults.
DESIGN: Longitudinal study using data from the National Epidemiologic Survey on
Alcohol and Related Conditions (wave 1, 2001-02; wave 2, 2004-05). SETTING: The
general US adult population. PARTICIPANTS: A total of 33 309 adults (53.6%
female) classified as wave 1 current daily, current non-daily, former daily,
former non-daily or never smokers. MEASUREMENTS: Smoking transitions were
determined from waves 1 and 2 data. FINDINGS: Smoking status remained stable for
the majority of current daily (79.8%), former daily (95.8%), former non-daily
(96.3%) and never (97.1%) smokers. Among current non-daily smokers, 54.5% quit
smoking while 22.5% increased to daily smoking. Current daily smokers who were
older [30-44, odds ratio (OR) = 0.62; 95% confidence interval (CI) = 0.49-0.78;
45+, OR = 0.75; 95% CI = 0.61-0.93] and unmarried (OR = 0.80, 95% CI = 0.66-0.96)
were less likely to report smoking cessation. Current daily smokers who were
Hispanic (OR = 2.15, 95% CI = 1.65-2.81) and college educated (OR = 1.27, 95% CI
= 1.05-1.53) were more likely to report smoking cessation. Relapse in former
daily smokers was greater in women (OR = 1.44, 95% CI = 1.01-2.06) and lower in
older adults (OR = 0.44; 95% CI = 0.27-0.74). Smoking initiation occurred less in
women (OR = 0.65; 95% CI = 0.49-0.87) and Hispanic adults (OR = 0.57; 95% CI =
0.36-0.91) and more in unmarried adults (OR = 1.84; 95% CI = 1.37-2.47) and
adults with less education (OR = 1.63; 95% CI = 1.09-2.44). CONCLUSIONS: From
2001 to 2005, smoking status was extremely stable in the US population. Specific
gender, race and educational groups need increased prevention and intervention
efforts.
PMID- 24916158
TI - Reconstitution of dynein transport to the microtubule plus end by kinesin.
AB - Cytoplasmic dynein powers intracellular movement of cargo toward the microtubule
minus end. The first step in a variety of dynein transport events is the
targeting of dynein to the dynamic microtubule plus end, but the molecular
mechanism underlying this spatial regulation is not understood. Here, we
reconstitute dynein plus-end transport using purified proteins from S. cerevisiae
and dissect the mechanism using single-molecule microscopy. We find that two
proteins-homologs of Lis1 and Clip170-are sufficient to couple dynein to Kip2, a
plus-end-directed kinesin. Dynein is transported to the plus end by Kip2, but is
not a passive passenger, resisting its own plus-end-directed motion. Two
microtubule-associated proteins, homologs of Clip170 and EB1, act as processivity
factors for Kip2, helping it overcome dynein's intrinsic minus-end-directed
motility. This reveals how a minimal system of proteins transports a molecular
motor to the start of its track.DOI: http://dx.doi.org/10.7554/eLife.02641.001.
PMID- 24916159
TI - Mechanisms of organelle biogenesis govern stochastic fluctuations in organelle
abundance.
AB - Fluctuations in organelle abundance can profoundly limit the precision of cell
biological processes from secretion to metabolism. We modeled the dynamics of
organelle biogenesis and predicted that organelle abundance fluctuations depend
strongly on the specific mechanisms that increase or decrease the number of a
given organelle. Our model exactly predicts the size of experimentally measured
Golgi apparatus and vacuole abundance fluctuations, suggesting that cells
tolerate the maximum level of variability generated by the Golgi and vacuole
biogenesis pathways. We observe large increases in peroxisome abundance
fluctuations when cells are transferred from glucose-rich to fatty acid-rich
environments. These increased fluctuations are significantly diminished in
mutants lacking peroxisome fission factors, leading us to infer that peroxisome
biogenesis switches from de novo synthesis to primarily fission. Our work
provides a general framework for exploring stochastic organelle biogenesis and
using fluctuations to quantitatively unravel the biophysical pathways that
control the abundance of subcellular structures.DOI:
http://dx.doi.org/10.7554/eLife.02678.001.
PMID- 24916160
TI - All Purkinje cells are not created equal.
AB - Although the wiring of the cerebellar cortex appears to be uniform, the neurons
in this region of the brain behave more differently from each other than
previously thought.
PMID- 24916161
TI - A nonRD receptor-like kinase prevents nodule early senescence and defense-like
reactions during symbiosis.
AB - Rhizobia and legumes establish symbiotic interactions leading to the production
of root nodules, in which bacteria fix atmospheric nitrogen for the plant's
benefit. This symbiosis is efficient because of the high rhizobia population
within nodules. Here, we investigated how legumes accommodate such bacterial
colonization. We used a reverse genetic approach to identify a Medicago
truncatula gene, SymCRK, which encodes a cysteine-rich receptor-like kinase that
is required for rhizobia maintenance within the plant cells, and performed
detailed phenotypic analyses of the corresponding mutant. The Medicago truncatula
symCRK mutant developed nonfunctional and necrotic nodules. A nonarginine
asparate (nonRD) motif, typical of receptors involved in innate immunity, is
present in the SymCRK kinase domain. Similar to the dnf2 mutant, bacteroid
differentiation defect, defense-like reactions and early senescence were observed
in the symCRK nodules. However, the dnf2 and symCRK nodules differ by their
degree of colonization, which is higher in symCRK. Furthermore, in contrast to
dnf2, symCRK is not a conditional mutant. These results suggest that in M.
truncatula at least two genes are involved in the symbiotic control of immunity.
Furthermore, phenotype differences between the two mutants suggest that two
distinct molecular mechanisms control suppression of plant immunity during
nodulation.
PMID- 24916162
TI - Cognitive and psychophysiological correlates of disgust in obsessive-compulsive
disorder.
AB - OBJECTIVES: Evidence suggests that obsessive-compulsive disorder (OCD) is
characterized by heightened self-reported disgust, however, it is unclear if this
extends to physiology. The relationship between obsessive beliefs and disgust
also remains poorly understood. Therefore, we examined whether the heightened
trait and self-reported disgust observed in individuals with OCD is reflected in
heightened physiological disgust responses. We also examined whether obsessive
beliefs are associated with disgust responding. DESIGN: A 3 (group) * 6
(stimulus) repeated measures design was used to examine disgust responses in
individuals with OCD to six categories of image stimuli: body waste,
contamination, injury, sociomoral, neutral, negative non-disgust. METHODS:
Individuals with OCD (n = 25) were compared to individuals with non-OCD anxiety
disorders (n = 21) and healthy participants (n = 25) with respect to trait, self
reported, facial electromyographic and electrodermal disgust responses. RESULTS:
Individuals with OCD showed greater disgust propensity and self-reported disgust
to images of body waste compared to healthy and anxious participants, however,
there were no group differences in physiological responses. After controlling for
trait disgust, obsessive beliefs positively correlated with increased self
reported disgust to neutral images and increased levator labii activity to
negative non-disgusting images. CONCLUSIONS: Although individuals with OCD showed
elevated disgust propensity and self-reported ratings of body waste stimuli,
there was little evidence that OCD is characterized by an abnormal physiological
disgust response. The intensity of obsessive beliefs was associated with a
tendency to respond with disgust in contexts that are non-disgusting, indicating
that obsessive beliefs may be implicated in pathological disgust. PRACTITIONER
POINTS: Individuals with OCD display greater levels of disgust propensity and
self-reported disgust to images of body waste compared to healthy control
participants and individuals with non-OCD anxiety disorders. The abnormalities in
self-reported disgust observed in those with OCD do not extend to abnormalities
in electrodermal activity or facial electromyographic responses. Maladaptive
obsessive beliefs commonly associated with OCD predict heightened disgust in
contexts where objective sources of disgust are absent, even after controlling
for trait disgust. Maladaptive obsessive beliefs may therefore be implicated in
pathological disgust. This study used a heterogeneous OCD sample and future
research is needed to determine whether the observed effects are greater for
those with primarily washing and contamination symptoms. Although group
differences emerged in self-reported disgust, further replications using measures
of state anxiety are needed to rule out the possibility that heightened self
reported disgust was confounded with co-occurring fear or general negative
affect.
PMID- 24916164
TI - Development of fruit color in Solanaceae: a story of two biosynthetic pathways.
AB - This review highlights the major differences between the regulation of two
important pathways namely anthocyanin and carotenoid pathways, responsible for
fruit color generation in Solanaceae mediated by transcription factors (TFs). The
anthocyanin pathway is regulated by a common set of TFs (MYB, MYC and WD40)
belonging to specific families of DNA-binding proteins. Their regulation is aimed
at controlling the type and amount of pigments produced and the physiological
conditions (like pH) at which they are finally stored. In the carotenoid pathway,
the color diversity depends on the quantity of pigment produced and the point
where the pathway is arrested. TFs in the latter case are accordingly found to
influence the sequestration and degradation of these pigments, which determines
their final concentration in the tissue. TFs (phytochrome interacting factors,
MADS-BOX, HB-ZIP and B-ZIP) also regulate important rate-determining steps, which
decide the direction in which the pathway proceeds and the point at which it is
terminated. In the absence of a clear pattern of TF-mediated regulation, it is
suggested that the carotenoid pathway is more significantly influenced by other
regulatory methods which need to be explored. It is expected that common factors
affecting these pathways are the ones acting much before the initiation of the
biosynthesis of respective pigments.
PMID- 24916163
TI - Methodology for the analysis of rare genetic variation in genome-wide association
and re-sequencing studies of complex human traits.
AB - Genome-wide association studies have been successful in identifying common
variants that impact complex human traits and diseases. However, despite this
success, the joint effects of these variants explain only a small proportion of
the genetic variance in these phenotypes, leading to speculation that rare
genetic variation might account for much of the 'missing heritability'.
Consequently, there has been an exciting period of research and development into
the methodology for the analysis of rare genetic variants, typically by
considering their joint effects on complex traits within the same functional unit
or genomic region. In this review, we describe a general framework for modelling
the joint effects of rare genetic variants on complex traits in association
studies of unrelated individuals. We summarise a range of widely used association
tests that have been developed from this model and provide an overview of the
relative performance of these approaches from published simulation studies.
PMID- 24916165
TI - Effects of iron supplementation on dominant bacterial groups in the gut, faecal
SCFA and gut inflammation: a randomised, placebo-controlled intervention trial in
South African children.
AB - Fe supplementation is a common strategy to correct Fe-deficiency anaemia in
children; however, it may modify the gut microbiota and increase the risk for
enteropathogenic infection. In the present study, we studied the impact of Fe
supplementation on the abundance of dominant bacterial groups in the gut, faecal
SCFA concentration and gut inflammation in children living in rural South Africa.
In a randomised, placebo-controlled intervention trial of 38 weeks, 6- to 11-year
old children with Fe deficiency received orally either tablets containing 50 mg
Fe as FeSO4 (n 22) for 4 d/week or identical placebo (n 27). In addition, Fe
sufficient children (n 24) were included as a non-treated reference group. Faecal
samples were analysed at baseline and at 2, 12 and 38 weeks to determine the
effects of Fe supplementation on ten bacterial groups in the gut (quantitative
PCR), faecal SCFA concentration (HPLC) and gut inflammation (faecal calprotectin
concentration). At baseline, concentrations of bacterial groups in the gut,
faecal SCFA and faecal calprotectin did not differ between Fe-deficient and Fe
sufficient children. Fe supplementation significantly improved Fe status in Fe
deficient children and did not significantly increase faecal calprotectin
concentration. Moreover, no significant effect of Fe treatment or time *
treatment interaction on the concentrations of bacterial groups in the gut or
faecal SCFA was observed compared with the placebo treatment. Also, there were no
significant differences observed in the concentrations of any of the bacterial
target groups or faecal SCFA at 2, 12 or 38 weeks between the three groups of
children when correcting for baseline values. The present study suggests that in
African children with a low enteropathogen burden, Fe status and dietary Fe
supplementation did not significantly affect the dominant bacterial groups in the
gut, faecal SCFA concentration or gut inflammation.
PMID- 24916166
TI - Arsenic in drinking water and congenital heart anomalies in Hungary.
AB - Inorganic arsenic can get easily through the placenta however there are very few
human data on congenital anomalies related to arsenic exposure. Objective of our
study was to explore the associations between arsenic content of drinking water
and prevalence of some congenital anomalies. Four anomalies reported to the
Hungarian Congenital Anomalies Registry between 1987 and 2003 were chosen to be
analysed in relation to arsenic exposure: congenital anomalies of the circulatory
system (n=9734) were considered as cases, while Down syndrome, club foot and
multiple congenital malformations were used as controls (n=5880). Arsenic
exposure of the mothers during pregnancy was estimated by using archive
measurement data for each year and for each settlement where the mothers lived.
Analysis of the associations between the prevalence of congenital heart anomalies
and arsenic exposure during pregnancy was performed by logistic regression. The
child's gender and age of the mother were adjusted for. The associations were
evaluated by using the present EU health limit value of 10.0 MUg/L arsenic
concentration as a cut-off point. Regular consumption of drinking water with
arsenic concentration above 10 MUg/L during pregnancy was associated with an
increased risk of congenital heart anomalies in general (adjusted OR=1.41; 95%
C.I.: 1.28-1.56), and especially that of ductus Botalli persistens (adjusted
OR=1.81, 95%C.I.: 1.54-2.11) and atrial septal defect (adjusted OR=1.79; 95%C.I.:
1.59-2.01). The presented results showed an increased risk of congenital heart
anomalies among infants whose mothers were exposed to drinking water with arsenic
content above 10 MUg/L during pregnancy. Further studies of possible similar
effects of concentrations below 10 MUg/L are warranted.
PMID- 24916167
TI - Extracorporeal membrane oxygenation for refractory hypoxemia after liver
transplantation in severe hepatopulmonary syndrome: a solution with pitfalls.
PMID- 24916168
TI - Role of autologous stem cell transplantation in T-cell lymphoma patients: a
single institution retrospective analysis.
PMID- 24916169
TI - Asymmetric, stereodivergent synthesis of (-)-clusianone utilizing a biomimetic
cationic cyclization.
AB - We report a stereodivergent, asymmetric total synthesis of (-)-clusianone in six
steps from commercial materials. We implement a challenging cationic cyclization
forging a bond between two sterically encumbered quaternary carbon atoms.
Mechanistic studies point to the unique ability of formic acid to mediate the
cyclization forming the clusianone framework.
PMID- 24916170
TI - Diagnostic accuracy of EUS and CT of vascular invasion in pancreatic cancer: a
systematic review.
AB - OBJECTIVE: The objective of this study was to summarize the accuracy of
preoperative vascular invasion with endoscopic ultrasound (EUS) and computed
tomography (CT) test performance in pancreatic cancer with meta-analysis METHOD:
Two reviewers searched MEDLINE database to identify relevant studies. The
reference lists of the trials were manually searched. Included studies used
surgical and/or histological findings as the "gold standard," and provided
sufficient data to construct a diagnostic 2 * 2 table. A statistical program of
Meta-Disc was used to calculate the pooled sensitivity, specificity, positive LR,
negative LR, DOR, and the SROC curve. Publication bias was assessed by Deeks'
asymmetry test. Sensitivity analysis and subgroup analysis were calculated to
down the heterogeneity. Meta-regression was calculated to evaluate potential
sources of heterogeneity RESULT: A total of 30 studies with 1,554 patients were
included for the analysis, nine of these studies compared EUS with CT to assess
the diagnostic efficiency The pooled sensitivity of EUS and CT was 72 % (95 % CI
67-77 %) and 63 % (95 % CI 58-67 %), and the pooled specificity of EUS and CT was
89 % (95 % CI 86-92 %) and 92 % (95 % CI 90-94 %), respectively. The positive LR
of EUS and CT was 5.14 (95 % CI 3.14-8.40) and 6.21 (95 % CI 3.96-9.71), and the
negative LR was 0.36 (95 % CI 0.25-0.52) and 0.41 (95 % CI 0.31-0.55),
respectively. The AUCs of EUS and CT were 0.9037 and 0.8948. The subgroup
analysis of nine studies performed both EUS and CT showed CT scan with a lower
sensitivity of 48 % (95 % CI 0.40-0.56), when compared to EUS of 69 % (95 % CI
0.61-0.77). The overall AUCs of CT scan appear to be lower (AUCs = 0.8589),
compared with EUS (AUCs = 0.9379) CONCLUSION: EUS performed better than CT in
differentiating vascular invasion preoperative on pancreatic cancer. EUS could
provide other additional information when compared with CT.
PMID- 24916172
TI - GC content evolution in coding regions of angiosperm genomes: a unifying
hypothesis.
AB - In angiosperms (as in other species), GC content varies along and between genes,
within a genome, and between genomes of different species, but the reason for
this distribution is still an open question. Grass genomes are particularly
intriguing because they exhibit a strong bimodal distribution of genic GC content
and a sharp 5'-3' decreasing GC content gradient along most genes. Here, we
propose a unifying model to explain the main patterns of GC content variation at
the gene and genome scale. We argue that GC content patterns could be mainly
determined by the interactions between gene structure, recombination patterns,
and GC-biased gene conversion. Recent studies on fine-scale recombination maps in
angiosperms support this hypothesis and previous results also fit this model. We
propose that our model could be used as a null hypothesis to search for
additional forces that affect GC content in angiosperms.
PMID- 24916171
TI - YM155 reverses rapamycin resistance in renal cancer by decreasing survivin.
AB - PURPOSE: Mammalian target of rapamycin inhibitor has exhibited promising
anticancer activity for the treatment of renal cell carcinoma (RCC). However,
many patients acquire resistance to therapeutic agents leading to treatment
failure. The objective of this study was to determine whether treatment with
YM155, a novel small molecule inhibitor of survivin, could reverse rapamycin
resistance in a rapamycin-resistant RCC. METHODS: We induced a rapamycin
resistant clear cell carcinoma cell line (Caki-1-RapR). We showed that survivin
gene expression was significantly up-regulated in Caki-1-RapR compared with that
in its parent cells (Caki-1). Therefore, we hypothesized that targeting of
survivin in Caki-1-RapR could reverse the resistant phenotype in tumor cells,
thereby enhancing the therapeutic efficacy of rapamycin. We used both in vitro
and in vivo models to test the efficacy of YM155 either as a single agent or in
combination with rapamycin. RESULTS: In Caki-1-RapR cells, YM155 significantly
decreased survivin gene and protein expression levels and cell proliferation in a
dose-dependent manner in vitro. In addition, YM155 treatment significantly
reversed rapamycin resistance in cancer cells. In a nude mouse tumor xenograft
model, YM155 significantly inhibited the growth of Caki-1-RapR tumor. In
addition, YM155 significantly enhanced the antitumor effects of rapamycin in Caki
1-RapR tumor. CONCLUSIONS: Our results suggest a potentially novel strategy to
use YM155 to overcome the resistance in tumor cells, thereby enhancing the
effectiveness of molecular target therapy in RCC.
PMID- 24916173
TI - Use of home remedies: a cross-sectional survey of patients in Germany.
AB - BACKGROUND: Reliable information regarding patient knowledge of home remedies and
the types of health problems patients use them for is scarce. Nevertheless,
anecdotal evidence indicates that home remedies are used by patients for managing
minor health problems and that this can be sufficient for symptom management
while the body recovers from minor health problems. The aim of the presented
study was to explore patient use of home remedies in Germany. METHODS: A
questionnaire was developed and pretested in a pilot study phase. The revised
questionnaire was comprised of questions about general knowledge and experienced
efficiency of home remedies, the use of home remedies for common health problems
and socio-demographic data. Patients were recruited via randomly selected
addresses of general practitioners (GPs) in three regions of Germany (Heidelberg,
Erfurt and Hanover and surrounding areas). The questionnaire was handed out in
the waiting area of GP practices. The data was analyzed descriptively. RESULTS:
480 of 592 patients from 37 GP practices were included, according to a response
rate of 81%. Based on the survey results, home remedies were widely known and
used by about 80% of our respondents (on average 22 different home remedies were
used per person). The most frequently used home remedies were steam-inhalation,
hot lemon drink, honey, chamomile tea and chicken soup. 80% of respondents tried
home remedies before pharmaceutical options. Information about home remedies was
most commonly gained from family members, rather than from written guides, media
or GPs. CONCLUSIONS: These results provide an initial overview on the use of home
remedies from the patient's perspective in a German context. Bearing in mind the
high use of home remedies that was reported by patients in the study, it is
highly likely that GPs in Germany may need to advise patients on their use of
home remedies during consultations. To this end, given the scarcity of reliable
information on home remedies, further research is needed.
PMID- 24916174
TI - Plasmonic enhancement in BiVO4 photonic crystals for efficient water splitting.
AB - Photo-electrochemical water splitting is a very promising and environmentally
friendly route for the conversion of solar energy into hydrogen. However, the
solar-to-H2 conversion efficiency is still very low due to rapid bulk
recombination of charge carriers. Here, a photonic nano-architecture is developed
to improve charge carrier generation and separation by manipulating and confining
light absorption in a visible-light-active photoanode constructed from BiVO4
photonic crystal and plasmonic nanostructures. Synergistic effects of photonic
crystal stop bands and plasmonic absorption are observed to operate in this
photonic nanostructure. Within the scaffold of an inverse opal photonic crystal,
the surface plasmon resonance is significantly enhanced by the photonic Bragg
resonance. Nanophotonic photoanodes show AM 1.5 photocurrent densities of 3.1 +/-
0.1 mA cm(-2) at 1.23 V versus RHE, which is among the highest for oxide-based
photoanodes and over 4 times higher than the unstructured planar photoanode.
PMID- 24916175
TI - Reproducibility of results in preclinical studies: a perspective from the bone
field.
AB - The biomedical research enterprise-and the public support for it-is predicated on
the belief that discoveries and the conclusions drawn from them can be trusted to
build a body of knowledge which will be used to improve human health. As in all
other areas of scientific inquiry, knowledge and understanding grow by layering
new discoveries upon earlier ones. The process self-corrects and distills
knowledge by discarding false ideas and unsubstantiated claims. Although self
correction is inexorable in the long-term, in recent years biomedical scientists
and the public alike have become alarmed and deeply troubled by the fact that
many published results cannot be reproduced. The chorus of concern reached a high
pitch with a recent commentary from the NIH Director, Francis S. Collins, and
Principal Deputy Director, Lawrence A. Tabak, and their announcement of specific
plans to enhance reproducibility of preclinical research that relies on animal
models. In this invited perspective, we highlight the magnitude of the problem
across biomedical fields and address the relevance of these concerns to the field
of bone and mineral metabolism. We also suggest how our specialty journals, our
scientific organizations, and our community of bone and mineral researchers can
help to overcome this troubling trend.
PMID- 24916176
TI - Comparison of an interactive with a didactic educational intervention for
improving the evidence-based practice knowledge of occupational therapists in the
public health sector in South Africa: a randomised controlled trial.
AB - BACKGROUND: Despite efforts to identify effective interventions to implement
evidence-based practice (EBP), uncertainty remains. Few existing studies involve
occupational therapists or resource-constrained contexts. This study aimed to
determine whether an interactive educational intervention (IE) was more effective
than a didactic educational intervention (DE) in improving EBP knowledge,
attitudes and behaviour at 12 weeks. METHODS: A matched pairs design, randomised
controlled trial was conducted in the Western Cape of South Africa. Occupational
therapists employed by the Department of Health were randomised using matched
pair stratification by type (clinician or manager) and knowledge score.
Allocation to an IE or a DE was by coin-tossing. A self-report questionnaire
(measuring objective knowledge and subjective attitudes) and audit checklist
(measuring objective behaviour) were completed at baseline and 12 weeks. The
primary outcome was EBP knowledge at 12 weeks while secondary outcomes were
attitudes and behaviour at 12 weeks. Data collection occurred at participants'
places of employment. Audit raters were blinded, but participants and the
provider could not be blinded. RESULTS: Twenty-one of 28 pairs reported outcomes,
but due to incomplete data for two participants, 19 pairs were included in the
analysis. There was a median increase of 1.0 points (95% CI = -4.0, 1.0) in the
IE for the primary outcome (knowledge) compared with the DE, but this difference
was not significant (P = 0.098). There were no significant differences on any of
the attitude subscale scores. The median 12-week audit score was 8.6 points
higher in the IE (95% CI = -7.7, 27.0) but this was not significant (P = 0.196).
Within-group analyses showed significant increases in knowledge in both groups
(IE: T = 4.0, P <0.001; DE: T = 12.0, P = 0.002) but no significant differences
in attitudes or behaviour. CONCLUSIONS: The results suggest that the
interventions had similar outcomes at 12 weeks and that the interactive component
had little additional effect. TRIAL REGISTRATION: Pan African Controlled Trials
Register PACTR201201000346141, registered 31 January 2012. Clinical Trials
NCT01512823, registered 1 February 2012. South African National Clinical Trial
Register DOH2710093067, registered 27 October 2009. The first participants were
randomly assigned on 16 July 2008.
PMID- 24916177
TI - First genetic analysis of Cryptosporidium from humans from Tasmania, and
identification of a new genotype from a traveller to Bali.
AB - Little is known about the molecular composition of Cryptosporidium species from
humans living in the insular state of Tasmania, Australia. In the present study,
we genetically characterized 82 samples of Cryptosporidium from humans following
conventional coproscopic testing in a routine, diagnostic laboratory. Using a PCR
coupled single-strand conformation polymorphism (SSCP) technique, targeting
portions of the small subunit rRNA (SSU), and 60 kDa glycoprotein (gp60) loci, we
identified two species of Cryptosporidium, including C. hominis (subgenotypes
IbA10G2, IdA16, IeA12G3T3, and IfA19G1) and C. parvum (IIaA16G1R1 and IIaA18G3),
and a new operational taxonomic unit (OTU) that genetically closely resembled C.
wrairi. This OTU was further characterized using markers in the actin,
Cryptosporidium oocyst wall protein (COWP), and 70 kDa heat shock protein (hsp70)
genes. This study provides the first characterization of species and genotypes of
Cryptosporidium from Tasmania, and presents clear genetic evidence, using five
independent genetic loci, for a new genotype or species of Cryptosporidium in a
Tasmanian person with a recent history of travelling to Bali, Indonesia. It would
be interesting to undertake detailed molecular-based studies of Cryptosporidium
in Indonesia and neighbouring countries, in conjunction with morphological and
experimental investigations of new genotypes.
PMID- 24916179
TI - Sub-10 nm monodisperse PbS cubes by post-synthesis shape engineering.
AB - Sub-10 nm monodisperse PbS cubes are synthesized by reacting quasi-spherical PbS
nanocrystals with (NH4)2S. This reaction shapes the quasi-spheres into cubes via
preferential growth of the (111) facets. A four-band envelope function
calculation of the energy levels accounts well for the measured absorption
spectra. Initial studies show that PbS cubes can organize into simple square
superlattices with short ligands.
PMID- 24916180
TI - Double heterozygosity for germline mutations in BRCA1 and p53 in a woman with
early onset breast cancer.
AB - To report on a highly unusual case of a 20-year-old woman who presented with
multifocal metaplastic breast cancer and was subsequently found to carry
deleterious germline mutations in both BRCA1 and p53. Genetic testing was
requested on an expedited basis to assist in surgical decision-making and BRCA1/2
and p53 genetic analysis was ordered concurrently. BRCA1/2 and p53 analyses were
completed using a combination of direct DNA sequencing and multiplex ligation
probe amplification (MLPA). The patient was found to carry a deletion of exon 3
of the BRCA1 gene and a splice site mutation at the exon4/intron4 boundary of the
p53 gene. To our knowledge, this is the first report of double heterozygosity in
BRCA1 and p53. The patient's clinical presentation is highly reminiscent of that
predicted by preclinical mouse models. In patients with early onset breast
cancer, the possibility of germline mutations in more than one cancer
susceptibility gene should be considered. This could have important clinical
implications for patients and their at-risk family members.
PMID- 24916181
TI - A class I histone deacetylase inhibitor, entinostat, enhances lapatinib efficacy
in HER2-overexpressing breast cancer cells through FOXO3-mediated Bim1
expression.
AB - Although there are effective HER2-targeted agents, novel combination strategies
in HER2-overexpressing breast cancers are needed for patients whose tumors
develop drug resistance. To develop new therapeutic strategy, we investigated the
combinational effect of entinostat, an oral isoform-selective histone deacetylase
type I inhibitor, and lapatinib, a HER2/EGFR dual tyrosine kinase inhibitor, in
HER2+ breast cancer cells. We assessed the combinational synergistic effect and
its mechanism by CellTiter Blue assay, flow cytometry, anchorage-independent
growth, quantitative real-time PCR, small interfering RNA, Western blotting, and
mammary fat pad xenograft mouse models. We found that compared with entinostat or
lapatinib alone, the two drugs in combination synergistically inhibited
proliferation (P < 0.001), reduced in vitro colony formation (P < 0.05), and
resulted in significant in vivo tumor shrinkage or growth inhibition in two
xenograft mouse models (BT474 and SUM190, P < 0.001). The synergistic anti-tumor
activity of the entinostat/lapatinib combination was due to downregulation of
phosphorylated Akt, which activated transcriptional activity of FOXO3, resulting
in induction of Bim1 (a BH3 domain-containing pro-apoptotic protein).
Furthermore, entinostat sensitized trastuzumab/lapatinib-resistance-HER2
overexpressing cells to the trastuzumab/lapatinib combination and enhanced the
anti-proliferation effect compare with single or double combination treatment.
This study provides evidence that entinostat has enhanced anti-tumor effect in
combination with HER2-targeted reagent, lapatinib, and resulting in induction of
apoptosis by FOXO3-mediated Bim1 expression. Our finding justifies for conducting
a clinical trial of combinational treatment with entinostat, lapatinib, and
trastuzumab in patients with HER2-overexpressing breast cancer resistant to
trastuzumab-based treatment.
PMID- 24916182
TI - Chaotropic-anion-induced supramolecular self-assembly of ionic polymeric
micelles.
AB - Traditional micelle self-assembly is driven by the association of hydrophobic
segments of amphiphilic molecules forming distinctive core-shell nanostructures
in water. Here we report a surprising chaotropic-anion-induced micellization of
cationic ammonium-containing block copolymers. The resulting micelle nanoparticle
consists of a large number of ion pairs (~60,000) in each hydrophobic core.
Unlike chaotropic anions (e.g. ClO4(-)), kosmotropic anions (e.g. SO4(2-)) were
not able to induce micelle formation. A positive cooperativity was observed
during micellization, for which only a three-fold increase in ClO4(-)
concentration was necessary for micelle formation, similar to our previously
reported ultra-pH-responsive behavior. This unique ion-pair-containing micelle
provides a useful model system to study the complex interplay of noncovalent
interactions (e.g. electrostatic, van der Waals, and hydrophobic forces) during
micelle self-assembly.
PMID- 24916183
TI - Graphene supported plasmonic photocatalyst for hydrogen evolution in
photocatalytic water splitting.
AB - It is well known that the noble metal nanoparticles show active absorption in the
visible region because of the existence of the unique feature known as surface
plasmon resonance (SPR). Here we report the effect of plasmonic Au nanoparticles
on the enhancement of the renewable hydrogen (H2) evolution through
photocatalytic water splitting. The plasmonic Au/graphene/TiO2 photocatalyst was
synthesized in two steps: first the graphene/TiO2 nanocomposites were developed
by the hydrothermal decomposition process; then the Au was loaded by
photodeposition. The plasmonic Au and the graphene as co-catalyst effectively
prolong the recombination of the photogenerated charges. This plasmonic
photocatalyst displayed enhanced photocatalytic H2 evolution for water splitting
in the presence of methanol as a sacrificial reagent. The H2 evolution rate from
the Au/graphene co-catalyst was about 9 times higher than that of a pure graphene
catalyst. The optimal graphene content was found to be 1.0 wt %, giving a H2
evolution of 1.34 mmol (i.e., 26 MUmolh(-1)), which exceeded the value of 0.56
mmol (i.e., 112 MUmolh(-1)) observed in pure TiO2. This high photocatalytic H2
evolution activity results from the deposition of TiO2 on graphene sheets, which
act as an electron acceptors to efficiently separate the photogenerated charge
carriers. However, the Au loading enhanced the H2 evolution dramatically and
achieved a maximum value of 12 mmol (i.e., 2.4 mmolh(-1)) with optimal loading of
2.0 wt% Au on graphene/TiO2 composites. The enhancement of H2 evolution in the
presence of Au results from the SPR effect induced by visible light irradiation,
which boosts the energy intensity of the trapped electron as well as active sites
for photocatalytic activity.
PMID- 24916184
TI - Outcomes of laparoscopic and open restorative proctocolectomy.
AB - BACKGROUND: The literature on laparoscopic restorative proctectomy (RP) and
proctocolectomy (RPC) is limited. This study compared clinical outcomes of
laparoscopic RP and RPC with those of conventional open surgery at one centre.
METHODS: Data were analysed from consecutive patients undergoing RPC and RP
between November 2006 and November 2011. A standard laparoscopic technique was
developed during the first 2 years, performed by two laparoscopic surgeons, with
selection of patients who had not previously undergone open colectomy. Study
endpoints included postoperative length of stay, 30-day morbidity, readmission,
reoperation, pouch function and failure. RESULTS: A total of 207 patients were
included; open surgery was performed in 131 (63.3 per cent) and a laparoscopic
procedure in 76 (36.7 per cent). There were no significant differences in patient
demographics. The conversion rate was 9 per cent (7 of 76). The median (i.q.r.)
duration of operation was shorter for open than for laparoscopic procedures: 208
(178-255) versus 285 (255-325) min respectively (P < 0.001). Laparoscopic RPC had
a shorter length of stay: median (i.q.r.) 6 (4-8) versus 8 (7-12) days (P <
0.001). The rate of minor complications was lower in the laparoscopic group (33
versus 50.4 per cent; odds ratio (OR) 0.48, 95 per cent confidence interval 0.27
to 0.87).There were no significant differences in total complications (51 per
cent after laparoscopy versus 61.5 per cent after open surgery; OR 0.66, 0.37 to
1.17), anastomotic leakage, major morbidity, 30-day readmission, reoperation and
stoma closure rates. Pouch failure (including permanent stoma) occurred in 14
(7.7 per cent) of 181 patients. Three patients died, all in the open surgery
group. CONCLUSION: Laparoscopic RPC is feasible with some short-term advantages.
PMID- 24916185
TI - Cross-reactive influenza-specific antibody-dependent cellular cytotoxicity in
intravenous immunoglobulin as a potential therapeutic against emerging influenza
viruses.
AB - BACKGROUND: Intravenous immunoglobulin (IVIG) is a purified pool of human
antibodies from thousands of donors that is used to prevent or treat primary
immune deficiency, several infectious diseases, and autoimmune diseases. The
antibodies that mediate antibody-dependent cellular cytotoxicity (ADCC) against
heterologous influenza strains may be present in IVIG preparations. METHODS: We
tested 8 IVIG preparations prior to the 2009 H1N1 swine-origin influenza pandemic
and 10 IVIG preparations made after 2010 for their ability to mediate influenza
specific ADCC. RESULTS: ADCC mediating antibodies to A(H1N1)pdm09 hemagglutinin
(HA) and neuraminidase (NA) were detected in IVIG preparations prior to the 2009
H1N1 pandemic. The HA-specific ADCC targeted both the HA1 and HA2 regions of
A(H1N1)pdm09 HA and was capable of recognizing a broad range of HA proteins
including those from recent avian influenza strains A(H5N1) and A(H7N9). The low
but detectable ADCC recognition of A(H7N9) was likely due to rare individuals in
the population contributing cross-reactive antibodies to IVIG. CONCLUSIONS: IVIG
preparations contain broadly cross-reactive ADCC mediating antibodies. IVIG may
provide at least some level of protection for individuals at high risk of severe
influenza disease, especially during influenza pandemics prior to the development
of effective vaccines.
PMID- 24916186
TI - Solid-phase library synthesis of bi-functional derivatives of oleanolic and
maslinic acids and their cytotoxicity on three cancer cell lines.
AB - A wide set of 264 compounds has been semisynthesized with high yields and
purities. These compounds have been obtained through easy synthetic processes
based on a solid-phase combinatorial methodology. All the members of this library
have one central core of a natural pentacyclic triterpene (oleanolic or maslinic
acid) and differ by 6 amino acids, coupled with the carboxyl group at C-28 of the
triterpenoid skeleton, and by 10 different acyl groups attached to the hydroxyl
groups of the A-ring of these molecules. According to the literature on the
outstanding and promising pharmacological activities of other similar terpene
derivatives, some of these compounds have been tested for their cytotoxic effects
on the proliferation of three cancer cell lines: B16-F10, HT29, and Hep G2. In
general, we have found that around 70% of the compounds tested show cytotoxicity
in all three of the cell lines selected; around 60% of the cytotoxic compounds
are more effective than their corresponding precursors, that is, oleanolic (OA)
or maslinic (MA) acids; and nearly 50% of the cytotoxic derivatives have IC50
values between 2- to 320-fold lower than their corresponding precursor (OA or
MA).
PMID- 24916187
TI - An automated system for whole microscopic image acquisition and analysis.
AB - The field of anatomic pathology has experienced major changes over the last
decade. Virtual microscopy (VM) systems have allowed experts in pathology and
other biomedical areas to work in a safer and more collaborative way. VMs are
automated systems capable of digitizing microscopic samples that were
traditionally examined one by one. The possibility of having digital copies
reduces the risk of damaging original samples, and also makes it easier to
distribute copies among other pathologists. This article describes the
development of an automated high-resolution whole slide imaging (WSI) system
tailored to the needs and problems encountered in digital imaging for pathology,
from hardware control to the full digitization of samples. The system has been
built with an additional digital monochromatic camera together with the color
camera by default and LED transmitted illumination (RGB). Monochrome cameras are
the preferred method of acquisition for fluorescence microscopy. The system is
able to digitize correctly and form large high resolution microscope images for
both brightfield and fluorescence. The quality of the digital images has been
quantified using three metrics based on sharpness, contrast and focus. It has
been proved on 150 tissue samples of brain autopsies, prostate biopsies and lung
cytologies, at five magnifications: 2.5*, 10*, 20*, 40*, and 63*. The article is
focused on the hardware set-up and the acquisition software, although results of
the implemented image processing techniques included in the software and applied
to the different tissue samples are also presented.
PMID- 24916188
TI - 3-Dimensional atomic scale structure of the ionic liquid-graphite interface
elucidated by AM-AFM and quantum chemical simulations.
AB - In situ amplitude modulated atomic force microscopy (AM-AFM) and quantum chemical
simulations are used to resolve the structure of the highly ordered pyrolytic
graphite (HOPG)-bulk propylammonium nitrate (PAN) interface with resolution
comparable with that achieved for frozen ionic liquid (IL) monolayers using STM.
This is the first time that (a) molecular resolution images of bulk IL-solid
interfaces have been achieved, (b) the lateral structure of the IL graphite
interface has been imaged for any IL, (c) AM-AFM has elucidated molecular level
structure immersed in a viscous liquid and (d) it has been demonstrated that the
IL structure at solid surfaces is a consequence of both thermodynamic and kinetic
effects. The lateral structure of the PAN-graphite interface is highly ordered
and consists of remarkably well-defined domains of a rhomboidal superstructure
composed of propylammonium cations preferentially aligned along two of the three
directions in the underlying graphite lattice. The nanostructure is primarily
determined by the cation. Van der Waals interactions between the propylammonium
chains and the surface mean that the cation is enriched in the surface layer, and
is much less mobile than the anion. The presence of a heterogeneous lateral
structure at an ionic liquid-solid interface has wide ranging ramifications for
ionic liquid applications, including lubrication, capacitive charge storage and
electrodeposition.
PMID- 24916189
TI - Entropy-based selection for maternal-fetal genotype incompatibility with
application to preterm prelabor rupture of membranes.
AB - BACKGROUND: Maternal-fetal genotype incompatibility (MFGI) is increasingly
reported to influence human diseases, especially pregnancy-related complications.
In practice, it is challenging to identify the ideal incompatibility model for
analysis, since the true MFGI mechanism is generally unknown. The underlying MFGI
mechanism for different genetic variants can vary, and to use a single
incompatibility model for all circumstances would cause power loss in testing
MFGI. RESULTS: In this article, we propose a practical 2-step procedure that
incorporates a model selection strategy based on an entropy measurement to select
the most appropriate MFGI model represented by data and test the significance of
the MFGI effect using the chosen model within the generalized linear regression
framework. CONCLUSIONS: Our simulation studies show that the proposed two-step
procedure controls the type I error rate and increase the testing power under
various scenarios. In a real data application, our analysis reveals genes having
an MFGI effect, which may not be detected with a non-model selection counterpart.
PMID- 24916190
TI - The prevalence of schizophrenia in mainland China: evidence from epidemiological
surveys.
AB - OBJECTIVE: Schizophrenia is a severe mental disorder. Its prevalence appears
inconsistent in different regions of China; thus, we conducted this meta-analysis
to estimate the prevalence of schizophrenia in mainland China. METHOD: Studies on
the prevalence of schizophrenia in mainland China were identified from electronic
databases up to July 2013. Meta-analysis was used for prevalence of schizophrenia
estimate. RESULTS: For lifetime prevalence of schizophrenia, prevalence of 5.44
per 1000 (overall), 5.33 per 1000 (males), 5.51 per 1000 (females), 6.60 per 1000
(urban) and 4.73 per 1000 (rural) were estimated; there was no significant
difference between males and females, while prevalence for urban dwellers was
higher than for rural (OR=1.44, 95%CI: 1.30-1.59). For point prevalence of
schizophrenia, prevalence of 4.62 per 1000 (overall), 4.63 per 1000 (males), 4.95
per 1000 (females), 5.15 per 1000 (urban) and 4.44 per 1000 (rural) were
estimated; no statistical difference was found in males and females, but
prevalence for urban dwellers was higher than for rural (OR=1.20; 95%CI: 1.02
1.41). CONCLUSION: The prevalence estimate results were consistent with the
international prevalence estimate of schizophrenia in mainland China. There was
no significant difference between males and females, while prevalence for urban
dwellers was higher than for rural.
PMID- 24916191
TI - How much salt do adults consume in climate vulnerable coastal Bangladesh?
AB - BACKGROUND: Evidence from numerous studies suggests that salt intake is an
important determinant of elevated blood pressure. Robust data about salt
consumption among adults in Bangladesh is sparse. However, much evidence suggests
saline intrusion due to sea level rise as a result of climate change exposes more
than 20 million people to adverse effects of salinity through the food and water
supply. The objective of our study was to assess salt consumption among adults in
a coastal region of Bangladesh. METHODS: Our study was cross sectional and
conducted during October-November 2011. A single 24 hour urine was collected from
400 randomly selected individuals over 18 years of age from Chakaria, a rural,
coastal area in Southeastern Bangladesh. Logistic regression was conducted to
identify the determinants of high salt consumption. RESULTS: The mean urinary
sodium excretion was 115 mmol/d (6.8 g salt). Based on logistic regression using
two different cutoff points (IOM and WHO), housewives and those living in the
coastal area had a significantly higher probability of high salt intake compared
with people who were engaged in labour-intensive occupations and who lived in
hilly areas. CONCLUSION: It is important to create awareness about the
implication of excessive salt intake on health and to develop strategies for
reducing salt intake that can be implemented at the community-level. A
sustainable policy for salt reduction in the Bangladeshi diet should be
formulated with special emphasis on coastal areas.
PMID- 24916192
TI - Recapitulating endochondral ossification: a promising route to in vivo bone
regeneration.
AB - Despite its natural healing potential, bone is unable to regenerate sufficient
tissue within critical-sized defects, resulting in a non-union of bone ends. As a
consequence, interventions are required to replace missing, damaged or diseased
bone. Bone grafts have been widely employed for the repair of such critical-sized
defects. However, the well-documented drawbacks associated with autografts,
allografts and xenografts have motivated the development of alternative treatment
options. Traditional tissue engineering strategies have typically attempted to
direct in vitro bone-like matrix formation within scaffolds prior to implantation
into bone defects, mimicking the embryological process of intramembranous
ossification (IMO). Tissue-engineered constructs developed using this approach
often fail once implanted, due to poor perfusion, leading to avascular necrosis
and core degradation. As a result of such drawbacks, an alternative tissue
engineering strategy, based on endochondral ossification (ECO), has begun to
emerge, involving the use of in vitro tissue-engineered cartilage as a transient
biomimetic template to facilitate bone formation within large defects. This is
driven by the hypothesis that hypertrophic chondrocytes can secrete angiogenic
and osteogenic factors, which play pivotal roles in both the vascularization of
constructs in vivo and the deposition of a mineralized extracellular matrix, with
resulting bone deposition. In this context, this review focuses on current
strategies taken to recapitulate ECO, using a range of distinct cells,
biomaterials and biochemical stimuli, in order to facilitate in vivo bone
formation.
PMID- 24916193
TI - Physical characterisation and long-term stability studies on quaternary ammonium
palmitoyl glycol chitosan (GCPQ)--a new drug delivery polymer.
AB - N-palmitoyl-N-monomethyl-N,N-dimethyl-N,N,N-trimethyl-6-O-glycolchitosan (GCPQ)
is a self-assembling polymer, which enables the oral bioavailability of peptide
and hydrophobic drugs. In preparation for clinical testing, here we examine
GCPQ's synthesis reproducibility, pKa, thermal, and rheological properties. GCPQ
was synthesised by acid degradation of glycol chitosan (GC), reaction with
palmitic acid N-hydroxysuccinimide (PNS) and methylation. A GC monomer, PNS molar
feed ratio of 0.92 together with a gravimetric feed ratio for N-palmitoyl-6-O
glycolchitosan, methyl iodide of 3.3, reproducibly produces GCPQ48 (Mw = 19.9 +/-
9.9 kDa, Mn = 13.1 +/- 2.4 kDa, mol % palmitoylation = 23 +/- 2.7, mol %
quaternisation = 10 +/- 0.23, n = 56). GCPQ48 decomposes at 218 +/- 4.3 degrees
C, is glassy at room temperature (Tg = 164.4 +/- 8.5 degrees C), is a weak base
(pKa = 5.99 +/- 0.15), and produces micellar dispersions at neutral pH. Below a
concentration of 0.07 g mL(-1) , GCPQ48 dispersions showed Newtonian rheological
behaviour but at higher concentrations, the polymer undergoes shear thinning
because of the chain disentanglement at high shear rates. GCPQ48 forms a network
of micelles and concentrated (0.09 g mL(-1) ) dispersions are viscoelastic, with
the storage modulus exceeding the loss modulus at high frequencies. Solid GCPQ48
was stable when stored at room temperature for 18 months.
PMID- 24916194
TI - Whatever happened to the 'mad, bad' scientist? Overturning the stereotype.
AB - The cluster of myths relating to the pursuit of knowledge has perpetuated the
archetype of the alchemist/scientist as sinister, dangerous, possibly mad and
threatening to society's values. Shelley's Frankenstein provided imagery and a
vocabulary universally invoked in relation to scientific discoveries and
technological innovation. The reasons for the longevity of this seemingly
antiquated, semiotic imagery are discussed. In the twenty-first century, this
stereotype has been radically revised, even overturned. Scientists are now rarely
objects of fear or mockery. Mathematicians, both real-life and fictional, are
discussed here as being representative of scientists now depicted empathically.
This article examines possible sociological reasons for this reversal; what the
revisionist image suggests about society's changed attitudes to science; and what
might be the substitute fears and sources of horror.
PMID- 24916195
TI - Cinematic climate change, a promising perspective on climate change
communication.
AB - Previous research findings display that after having seen popular climate change
films, people became more concerned, more motivated and more aware of climate
change, but changes in behaviors were short-term. This article performs a meta
analysis of three popular climate change films, The Day after Tomorrow (2005), An
Inconvenient Truth (2006), and The Age of Stupid (2009), drawing on research in
social psychology, human agency, and media effect theory in order to formulate a
rationale about how mass media communication shapes our everyday life experience.
This article highlights the factors with which science blends in the reception of
the three climate change films and expands the range of options considered in
order to encourage people to engage in climate change mitigation actions.
PMID- 24916196
TI - Retention of the original LLC structure in a cross-linked poly(ethylene glycol)
diacrylate hydrogel with reinforcement from a silica network.
AB - Cross-linked poly(ethylene glycol) diacrylate (PEGDA) hydrogels with uniformly
controlled nanoporous structures templated from hexagonal lyotropic liquid
crystals (LLC) represent separation membrane materials with potentially high
permeability and selectivity due to their high pore density and narrow pore size
distribution. However, retaining LLC templated nanostructures is a challenge as
the polymer gels are not strong enough to sustain the surface tension during the
drying process. In the current study, cross-linked PEGDA gels were reinforced
with a silica network synthesized via an in situ sol-gel method, which assists in
the retention of the hexagonal LLC structure. The silica precursor does not
obstruct the formation of hexagonal phases. After surfactant removal and drying,
these hexagonal structures in samples with a certain amount of tetraethoxysilane
(TEOS) loading are well retained while the nanostructures are collapsed in
samples without silica reinforcement, leading to the hypothesis that the
reinforcement provided by the silica network stabilizes the LLC structure. The
study examines the conditions necessary for a sufficient and well dispersed
silica network in PEGDA gels that contributes to the retention of original LLC
structures, which potentially enables broad applications of these gels as
biomedical and membrane materials.
PMID- 24916197
TI - The provision of spiritual and pastoral care following stillbirth in Ireland: a
mixed methods study.
AB - BACKGROUND: The death of a baby is recognised as one of the most difficult
bereavements with life-long impact for parents. How bereaved parents are cared
for influences their grief journey. Optimal holistic care is provided when the
physical, emotional, spiritual and social needs of parents are attended to. This
study reviewed how spiritual care is provided to bereaved parents following
stillbirth in maternity units in Ireland and the impact of stillbirth on
healthcare chaplains. METHODS: This was a mixed methods study using
semistructured qualitative interviews with hospital chaplains in Irish maternity
units. Quantitative data about the provision of services to bereaved parents were
collated from the interviews. Qualitative data were analysed thematically to
identify key themes. RESULTS: 20 chaplains from 17 units participated in the
study (85% of Irish maternity units). 12 chaplains (60%) are formally accredited
chaplains; only one has received specialist training in perinatal bereavement
care. 11 chaplains (55%) provide follow-up bereavement care. Seven chaplains
(35%) did not feel part of the multidisciplinary team. The main themes that
emerged were the impact of stillbirth, suffering and the challenge to faith
creating inner conflict and doubt. CONCLUSIONS: The provision of spiritual care
following stillbirth in Ireland is diverse. Spiritual care in this specialised
area by chaplains who are not professionally trained and accredited potentially
impacts quality and depth of care. Chaplains experience considerable impact and
challenge to personal faith and belief as they provide care. Recommendations are
made for ongoing education and greater support for chaplains.
PMID- 24916198
TI - Knowledge of and attitudes towards palliative care and hospice services among
patients with advanced chronic kidney disease.
AB - OBJECTIVES: Palliative care is greatly underutilised for patients dying from
advanced chronic kidney disease (CKD). This study explored CKD patients'
knowledge of, and attitudes to, palliative and hospice care. DESIGN AND
INTERVENTION: This was a cross-sectional interview-based survey of stage 5 CKD
patients. SETTING: Both dialysis patients and those managed without dialysis were
assessed in a university-based renal programme in Alberta, Canada. OUTCOME
MEASURES: The primary outcome was validated patient knowledge of, and attitudes,
towards palliative and hospice care. Secondary analyses of associations between
knowledge and potential explanatory variables such as patient demographics,
living circumstances, self-assigned ethnicity, socioeconomic position and sources
of knowledge were explored. RESULTS: Only 22.2% and 17.9% of 436 patients
surveyed possessed a correct understanding of palliative and hospice care,
respectively, and perceptions were mostly negative. Sources of knowledge of these
services rarely involved healthcare professionals (3.1%-7.7%). Multivariable
analysis identified that white participants were more than 12 times more likely
to have accurate knowledge than non-Caucasian patients, not accounted for by
socioeconomic position. Accurate knowledge was also more likely among patients
who had personal experience with palliative care, or obtained their knowledge
firsthand from family and friends. After palliative and hospice care were
described, the vast majority of patients felt these services were valuable and
should be offered to patients with advanced CKD (87.8% and 89.7%, respectively).
CONCLUSIONS: Based on study findings, CKD patient and family education about the
benefits of palliative and hospice services is likely important in optimal use of
these services.
PMID- 24916199
TI - Does fusion status after posterior lumbar interbody fusion affect patient-based
QOL outcomes? An evaluation performed using a patient-based outcome measure.
AB - BACKGROUND: Although delayed union or pseudoarthrosis after lumbar arthrodesis
has been recognized as a major radiographic complication, little has been known
about the effect of fusion status on the patient's quality-of-life (QOL) outcome.
The purpose of this study was to investigate the effects of fusion status after
posterior lumbar interbody fusion (PLIF) on QOL outcomes by using the Japanese
Orthopaedic Association Back Pain Evaluation Questionnaire (JOABPEQ). METHODS:
Among 100 patients who underwent single level PLIF for spinal canal stenosis, 29
who had not achieved fusion (incomplete fusion group) and 29 age- and sex ratio
matched patients who had achieved fusion (fusion group) 6 months after surgery
were enrolled. Overall clinical evaluation was performed before and 6 months
after surgery: the physician determined the Japanese Orthopaedic Association
Score for Low Back Pain (JOA score); the JOABPEQ and visual analogue scale (VAS)
values were collected. The recovery rate of the JOA score, changes in all JOABPEQ
subdomain scores and in the VAS values were calculated. All variables were
compared between the groups. RESULTS: The preoperative JOA scores, JOABPEQ scores
of all subdomains, and VAS values of all categories did not differ between the
groups. The recovery rate was higher in the fusion group than the incomplete
fusion group (p = 0.0185). The changes in the JOABPEQ scores for walking ability
and social life function were significantly greater in the fusion group than the
incomplete fusion group (walking ability, p = 0.0172; social life function, p =
0.0191). The postoperative VAS values and changes in the VAS values for all
categories did not differ between the groups. CONCLUSIONS: Incomplete fusion
after PLIF correlated with poor improvement in walking ability and social life
function. Therefore, the achievement of fusion after PLIF is essential to obtain
better patient QOL outcomes.
PMID- 24916200
TI - Rhodium-catalyzed B-H activation of 1,2-azaborines: synthesis and
characterization of BN isosteres of stilbenes.
AB - The first example of catalytic B-H activation of azaborines leading to a new
family of stilbene derivatives through dehydrogenative borylation is reported.
Ten 1,2-azaborine-based BN isosteres of stilbenes have been synthesized using
this method, including a BN isostere of a biologically active stilbene. It is
demonstrated that BN/CC isosterism in the context of stilbenes can lead to
significant changes in the observed photophysical properties such as higher
quantum yield and a larger Stokes shift. Direct comparative analysis of BN
stilbene 3g and its carbonaceous counterpart 6g is consistent with a stronger
charge-transfer character of the excited state exhibited by 3g in which the 1,2
azaborine heterocycle serves as a better electron donor than the corresponding
arene.
PMID- 24916201
TI - A 3D insight on the catalytic nanostructuration of few-layer graphene.
AB - The catalytic cutting of few-layer graphene is nowadays a hot topic in materials
research due to its potential applications in the catalysis field and the
graphene nanoribbons fabrication. We show here a 3D analysis of the
nanostructuration of few-layer graphene by iron-based nanoparticles under
hydrogen flow. The nanoparticles located at the edges or attached to the steps on
the FLG sheets create trenches and tunnels with orientations, lengths and
morphologies defined by the crystallography and the topography of the carbon
substrate. The cross-sectional analysis of the 3D volumes highlights the role of
the active nanoparticle identity on the trench size and shape, with emphasis on
the topographical stability of the basal planes within the resulting trenches and
channels, no matter the obstacle encountered. The actual study gives a deep
insight on the impact of nanoparticles morphology and support topography on the
3D character of nanostructures built up by catalytic cutting.
PMID- 24916202
TI - Metal-containing residues from industry and in the environment:
geobiotechnological urban mining.
AB - This chapter explains the manifold geobiotechnological possibilities to separate
industrial valuable metals from various industrial residues and stored waste
products of the past. In addition to an overview of the different microbially
catalyzed chemical reactions applicable for a separation of metals and details of
published studies, results of many individual investigations from various
research projects are described. These concern the separation of rare earth
elements from phosphorous production slags, the attempts of tin leaching from
mining flotation residues, the separation of metals from spent catalysts, or the
treatment of ashes as valuable metal-containing material. The residues of
environmental technologies are integrated into this overview as well. The
description of the different known microbial processes offers starting points for
suitable and new technologies. In addition to the application of
chemolithoautotrophic microorganisms the use of heterotrophic microorganisms is
explained.
PMID- 24916204
TI - Pulmonary function differences in patients with chronic right heart failure
secondary to pulmonary arterial hypertension and chronic left heart failure.
AB - BACKGROUND: Pulmonary abnormalities are found in both chronic heart failure (CHF)
and pulmonary arterial hypertension (PAH). The differences of pulmonary function
in chronic left heart failure and chronic right heart failure are not fully
understood. MATERIAL AND METHODS: We evaluated 120 patients with stable CHF (60
with chronic left heart failure and 60 with chronic right heart failure). All
patients had pulmonary function testing, including pulmonary function testing at
rest and incremental cardiopulmonary exercise testing (CPX). RESULTS: Patients
with right heart failure had a significantly lower end-tidal partial pressure of
CO2 (PetCO2), higher end-tidal partial pressure of O2 (PetO2) and minute
ventilation/CO2 production (VE/VCO2) at rest. Patients with right heart failure
had a lower peak PetCO2, and a higher peak dead space volume/tidal volume (VD/VT)
ratio, peak PetO2, peak VE/VCO2, and VE/VCO2 slope during exercise. Patients with
right heart failure had more changes in ?PetCO2 and ?VE/VCO2, from rest to
exercise. CONCLUSIONS: Patients with right heart failure had worse pulmonary
function at rest and exercise, which was due to severe ventilation/perfusion
(V/Q) mismatching, severe ventilation inefficiency, and gas exchange abnormality.
PMID- 24916205
TI - Characteristics of two calcium pectinates prepared from citrus pectin using
either calcium chloride or calcium hydroxide.
AB - Calcium pectinate (CaP) was prepared from citrus pectin using either calcium
chloride (C-CaP) or calcium hydroxide (HO-CaP) as the source of calcium for the
reaction. The production yields and the rates of decalcification for the two
calcium pectinates were compared and both found to be lower for C-CaP than for HO
CaP. In an attempt to explain these differences, certain chemical and structural
characteristics of the two products, including functional groups (-CH3, C?O, COO
), rheological properties, morphology, and egg-box junction zones, were
investigated by Fourier transformation infrared (FTIR) spectroscopy, rheology,
scanning electron microscopy (SEM), and X-ray diffraction (XRD). The results from
FTIR showed that, with an increase in calcium content, the wavenumber values and
peak areas of FTIR for -CH3, C?O, and COO- groups all changed dramatically for C
CaP, while they were virtually unchanged for HO-CaP. Rheological analysis of the
CaP gel showed that C-CaP had a stronger cross-linked network structure and a
greater range of elastic behavior as compared to HO-CaP. SEM images of two CaP
gels showed irregular membranes. C-CaP maintained a tight structure and a smooth
surface, whereas HO-CaP was loose and rough. The results from XRD revealed a
higher degree of crystallinity within C-CaP than within HO-CaP, which indicated
that C-CaP possessed compact, ordered, and stable egg-box junction zones while
the junction zones in HO-CaP were metastable and loose.
PMID- 24916203
TI - Combined identification of septal flash and absence of myocardial scar by cardiac
magnetic resonance imaging improves prediction of response to cardiac
resynchronization therapy.
AB - BACKGROUND AND AIMS OF STUDY: Septal flash (SF) describes early inward motion of
the ventricular septum in patients with left bundle branch block (LBBB), and
correction corresponds to increased response to cardiac resynchronization therapy
(CRT). SF has traditionally been assessed by echocardiography. We sought to
determine if cardiac magnetic resonance (CMR) imaging could identify SF and if
the additional assessment of scar would improve the ability of CMR to predict CRT
response. METHODS: Fifty-two patients with LBBB and heart failure underwent
prospective CMR scanning prior to CRT implantation. The presence of SF was
assessed visually and by using endocardial contour-tracking software. Presence
and extent of myocardial scar was assessed by delayed enhancement imaging during
CMR. The association between SF, scar and reverse remodelling (RR) at 6 months
was explored. RESULTS: RR rate to CRT at 6 months was 52%. CMR-derived SF was
identified in 24 (46%) patients. RR was seen in more patients with SF than those
without (88% vs 21%; P < 0.001). The absence of scar combined with the presence
of SF had 96% specificity for predicting RR. In a multivariate regression model,
the presence of SF was the only independent predictor of RR. CONCLUSION: SF can
be assessed by CMR and predicts increased response to CRT. The additional value
of CMR is the assessment of scar. The presence of SF with no scar is a highly
specific predictor of CRT response.
PMID- 24916206
TI - Breastfeeding versus formula-feeding and girls' pubertal development.
AB - To examine the association of breastfeeding or its duration with timing of girls'
pubertal onset, and the role of BMI as a mediator in these associations. A
population of 1,237 socio-economically and ethnically diverse girls, ages 6-8
years, was recruited across three geographic locations (New York City,
Cincinnati, and the San Francisco Bay Area) in a prospective study of predictors
of pubertal maturation. Breastfeeding practices were assessed using self
administered questionnaire/interview with the primary caregiver. Girls were seen
on at least annual basis to assess breast and pubic hair development. The
association of breastfeeding with pubertal timing was estimated using parametric
survival analysis while adjusting for body mass index, ethnicity, birth-weight,
mother's education, mother's menarcheal age, and family income. Compared to
formula fed girls, those who were mixed-fed or predominantly breastfed showed
later onset of breast development [hazard ratios 0.90 (95 % CI 0.75, 1.09) and
0.74 (95 % CI 0.59, 0.94), respectively]. Duration of breastfeeding was also
directly associated with age at onset of breast development (p trend = 0.008).
Associations between breastfeeding and pubic hair onset were not significant. In
stratified analysis, the association of breastfeeding and later breast onset was
seen in Cincinnati girls only. The association between breast feeding and
pubertal onset varied by study site. More research is needed about the
environments within which breastfeeding takes place in order to better understand
whether infant feeding practices are a potentially modifiable risk factor that
may influence age at onset of breast development and subsequent risk for disease
in adulthood.
PMID- 24916207
TI - Use of job aids to improve facility-based postnatal counseling and care in rural
Benin.
AB - This study examined the effect of a job aids-focused intervention on quality of
facility-based postnatal counseling, and whether increased communication improved
in-hospital newborn care and maternal knowledge of home practices and danger
signs requiring urgent care. Ensuring mothers and newborns receive essential
postnatal services, including health counseling, is integral to their survival.
Yet, quality of clinic-based postnatal services is often low, and evidence on
effective improvement strategies is scarce. Using a pre-post randomized design,
data were drawn from direct observations and interviews with 411 mother-newborn
pairs. Multi-level regression models with difference-in-differences analyses
estimated the intervention's relative effect, adjusting for changes in the
comparison arm. The mean percent of recommended messages provided to recently
delivered women significantly improved in the intervention arm as compared to the
control (difference-in-differences [?i - ?c] +30.9, 95 % confidence interval (CI)
19.3, 42.5), and the proportion of newborns thermally protected within the first
hour (?i - ?c +33.7, 95 % CI 19.0, 48.4) and delayed for bathing (?i - ?c +23.9,
95 % CI 9.4, 38.4) significantly increased. No significant changes were observed
in early breastfeeding (?i - ?c +6.8, 95 % CI -2.8, 16.4) which was nearly
universal. Omitting traditional umbilical cord substances rose slightly, but was
insignificant (?i - ?c +8.5, 95 % CI -2.8, 19.9). The proportion of mothers with
correct knowledge of maternal (?i - ?c +27.8, 95 % CI 11.0, 44.6) and newborn (?i
- ?c +40.3, 95 % CI 22.2, 58.4) danger signs grew substantially, as did awareness
of several home-care practices (?i - ?c +26.0, 95 % CI 7.7, 44.3). Counseling job
aids can improve the quality of postnatal services. However, achieving reduction
goals in maternal and neonatal mortality will likely require more comprehensive
approaches to link enhanced facility services with community-based initiatives.
PMID- 24916208
TI - Development of a clinical registry-based 30-day readmission measure for coronary
artery bypass grafting surgery.
AB - BACKGROUND: Reducing readmissions is a major healthcare reform goal, and
reimbursement penalties are imposed for higher-than-expected readmission rates.
Most readmission risk models and performance measures are based on administrative
rather than clinical data. METHODS AND RESULTS: We examined rates and predictors
of 30-day all-cause readmission following coronary artery bypass grafting surgery
by using nationally representative clinical data (2008-2010) from the Society of
Thoracic Surgeons National Database linked to Medicare claims records. Among 265
434 eligible Medicare records, 226 960 (86%) were successfully linked to Society
of Thoracic Surgeons records; 162 572 (61%) isolated coronary artery bypass
grafting admissions constituted the study cohort. Logistic regression was used to
identify readmission risk factors; hierarchical regression models were then
estimated. Risk-standardized readmission rates ranged from 12.6% to 23.6%
(median, 16.8%) among 846 US hospitals with >=30 eligible cases and >=90% of
eligible Centers for Medicare and Medicaid Services records linked to the Society
of Thoracic Surgeons database. Readmission predictors (odds ratios [95%
confidence interval]) included dialysis (2.02 [1.87-2.19]), severe chronic lung
disease (1.58 [1.49-1.68]), creatinine (2.5 versus 1.0 or lower:1.49 [1.41-1.57];
2.0 versus 1.0 or lower: 1.37 [1.32-1.43]), insulin-dependent diabetes mellitus
(1.45 [1.39-1.51]), obesity in women (body surface area 2.2 versus 1.8: 1.44
[1.35-1.53]), female sex (1.38 [1.33-1.43]), immunosuppression (1.38 [1.28
1.49]), preoperative atrial fibrillation (1.36 [1.30-1.42]), age per 10-year
increase (1.36 [1.33-1.39]), recent myocardial infarction (1.24 [1.08-1.42]), and
low body surface area in men (1.22 [1.14-1.30]). C-statistic was 0.648. Fifty-two
hospitals (6.1%) had readmission rates statistically better or worse than
expected. CONCLUSIONS: A coronary artery bypass grafting surgery readmission
measure suitable for public reporting was developed by using the national Society
of Thoracic Surgeons clinical data linked to Medicare readmission claims.
PMID- 24916209
TI - Effect of bilateral internal mammary artery grafts on long-term survival: a meta
analysis approach.
AB - BACKGROUND: Although the potential survival benefit of bilateral internal mammary
artery (BIMA) grafting in comparison with single internal mammary artery (SIMA)
grafting has been emphasized by many investigators, the use of BIMA is still low
in clinical practice in the absence of randomized trials and long-term results.
In the current study, we aimed to assess if there is a long-term survival benefit
of BIMA up to 10 years after coronary bypass surgery. METHODS AND RESULTS: We
selected published articles comparing survival between SIMA and BIMA patients
with follow-up duration of more than a mean of 9 years. We evaluated the log
hazard ratio with 95% confidence interval for included studies by using a random
effects meta-analysis. Nine eligible observational studies provided 15 583
patients (8270 SIMA and 7313 BIMA) for meta-analysis. Five studies used
propensity score methods for statistical adjustment, 2 with a propensity score
based patient-matching method and 3 with quintile-based stratification. A
significant reduction in mortality by using BIMA was observed (hazard ratio,
0.79; 95% confidence interval, 0.75-0.84); no study showed any significantly
harmful effect of BIMA on survival. Subgroups of studies using different
statistical approaches-unmatched, quintile-based propensity score analysis, and
propensity score-based exact patient matching-all showed the survival benefit of
BIMA grafting. CONCLUSIONS: BIMA grafting appears to have better survival with up
to 10 years follow-up in comparison with SIMA grafting. Long-term survival
benefit of BIMA seems to continue in the second decade after surgery. An ongoing
randomized trial comparing SIMA and BIMA groups will add evidence on this issue.
PMID- 24916210
TI - Response of hydroponically grown head lettuce on residual monomer from
polyacrylamide.
AB - The aim was to assess acrylamide monomer (AMD) uptake by hydroponically grown
lettuce. Lettuce was cultivated by applying plant tissue testing in a recycled
system by the use of nutrient solutions prepared with two water-soluble
flocculants F3 and F4 containing 176 and 763 mg kg(-1) of AMD, respectively. The
effects on growth, fresh weight and plant leaf quality were evaluated by
comparing these treatments and one control standard nutrient solution typically
recommended for lettuce hydroponic cultivation. To assess the nutritional status
of lettuce, samples were collected and lyophilised before determination of the
selected micro- and macro-element contents. An HPLC with photodiode array
detector method was applied to determine AMD in both selected flocculants and
dried plant samples. Results show that lettuces cultivated under the conditions
described above absorb AMD from nutrient solutions into their leaves. The AMD
presence in recycled nutrient solutions has a negative influence on the growth of
lettuce, reducing their average fresh weight and average number of leaves. The
study confirmed that the problem of AMD mobility and its accumulation risk in
plants should to be an important topic with respect to safe polyacrylamide (PAM)
handling in the agro food area.
PMID- 24916211
TI - Cutaneous lichen planus: A systematic review of treatments.
AB - Various treatment modalities are available for cutaneous lichen planus. Pubmed,
EMBASE, Cochrane Database of Systematic Reviews, Cochrane Central Register of
Controlled Trials, Database of Abstracts of Reviews of Effects, and Health
Technology Assessment Database were searched for all the systematic reviews and
randomized controlled trials related to cutaneous lichen planus. Two systematic
reviews and nine relevant randomized controlled trials were identified.
Acitretin, griseofulvin, hydroxychloroquine and narrow band ultraviolet B are
demonstrated to be effective in the treatment of cutaneous lichen planus.
Sulfasalazine is effective, but has an unfavorable safety profile. KH1060, a
vitamin D analogue, is not beneficial in the management of cutaneous lichen
planus. Evidence from large scale randomized trials demonstrating the safety and
efficacy for many other treatment modalities used to treat cutaneous lichen
planus is simply not available.
PMID- 24916212
TI - The effect of 4% sodium cromoglicate cutaneous emulsion compared to vehicle in
atopic dermatitis in children--A meta-analysis of total SCORAD scores.
AB - An emulsion containing 4% sodium cromoglicate (4% SCG emulsion) has been
developed for the treatment of atopic dermatitis in children. We have conducted a
meta-analysis of the primary outcome measure (the change in SCORAD score) used in
randomized clinical trials (RCTs) with this product. We conducted a search of
standard using the keywords, sodium cromoglicate, cromolyn sodium, topical,
atopic dermatitis, clinical trial. We identified 19 clinical studies of which 3
used this 4% SCG emulsion formulation, one was a RCT using the change in SCORAD
score. We were given access to two further unpublished RCTs, with this measure.
The RCTs included 490 subjects (mean age 5.3 years). Each RCT was multicentre of
12 weeks duration, comparing 4% SCG emulsion with the vehicle in which the SCG
was dissolved. Using study as the unit and the fixed effect model, the mean
difference (95% CI) in the change in SCORAD score was -2.82 (-5.36; -0.29), p =
0.03. Using centre as the unit, and the fixed effect model, the mean difference
(95% CI) in the change in SCORAD score was -2.82 (-5.82; -0.46), p = 0.02. These
results support the efficacy of this new topical treatment in children with
atopic dermatitis.
PMID- 24916213
TI - Political inaction brings spectre of spiralling fees.
AB - The Queen's Speech might look like a throwback to olden times, but buried beneath
the pomp are important announcements that set the parliamentary agenda for the
year ahead. For nurses, last week's ceremony was highly significant for something
Her Majesty did not say, which will have far-reaching consequences for everyone
on the Nursing and Midwifery Council register.
PMID- 24916214
TI - Protesters take to the streets in call for reversal of pay freeze 'insult'.
AB - Nurses across England have united in public protest at the government's refusal
to give all NHS workers a 1 per cent pay rise this year.
PMID- 24916215
TI - Performance pay guidance issued by employer body.
AB - NHS organisations would cut their wage bill if they introduced systems linking
staff performance with incremental pay.
PMID- 24916216
TI - NMC appeals for views of mental health and learning disability registrants.
AB - Mental health and learning disability nurses are being urged to express their
views on how continuing fitness to practise will be assessed in the future.
PMID- 24916217
TI - Lifelong learning investment plan to retain workers.
AB - More money will be invested in the training and development of the current NHS
workforce over the next 15 years, according to the national body responsible for
educating health workers.
PMID- 24916218
TI - A day of remembrance for D-Day sister.
AB - One of the first British nurses to land in Normandy after D-Day returned to
France with fellow veterans to mark the 70th anniversary of the operation.
PMID- 24916219
TI - Nurses feel 'impotent, alone and unheard', whistleblower tells NHS.
AB - The nurse whistleblower who helped expose the Mid Staffs scandal has warned front
line staff were still being ignored when trying to raise concerns about poor
care, and that major problems remain in the NHS.
PMID- 24916220
TI - 'Staff need to boost mums' body image'.
AB - Health visitors and midwives should not refer to high-calorie foods such as
biscuits as 'naughty' when talking to expectant and new mothers in case they
damage the women's body image, says a study.
PMID- 24916222
TI - Breast cancer pledge helps to improve services.
AB - Specialist nurses at York Teaching Hospital NHS Foundation Trust are providing
one-to-one support to patients with secondary breast cancer, in co-operation with
two charities.
PMID- 24916223
TI - Art imitates life in a patient's tale.
AB - The NHS is taking centre stage in a critically-acclaimed play about one family's
experience of the health service.
PMID- 24916224
TI - Call for diabetes screening to be standard in every care home.
AB - Screening for diabetes should be carried out in every older people's care home,
researchers state.
PMID- 24916226
TI - RCN activists prepare to shape policy as they head to Liverpool.
AB - Thousands of nurses will descend on Liverpool next week for the RCN's annual
congress.
PMID- 24916228
TI - NMC fees increase moves closer after ministers fail to change law.
AB - The Nursing and Midwifery Council (NMC) has been dealt a 'hugely disappointing'
blow after the government abandoned proposals to transform healthcare regulation.
PMID- 24916229
TI - A future nurse of the year?
AB - Meet Pepper, the robot who could one day be programmed to provide nursing care.
PMID- 24916236
TI - Constipation in children.
AB - Essential facts Up to 30 per cent of children and young people suffer from
constipation, which can have a significant emotional impact on them and their
families. The National Institute for Health and Care Excellence (NICE) says many
children and young people experience social, psychological and educational
consequences. Constipation is called idiopathic if it cannot be explained by
anatomical or physiological abnormalities.
PMID- 24916237
TI - Spotlight on the RCN's professional activity.
AB - The RCN wants to increase the scope and improve the visibility of its
professional role, while ensuring its activity is recognised and valued by
members. This will be discussed at the Fellows Fringe at RCN congress and
exhibition in Liverpool on Monday June 16.
PMID- 24916238
TI - Defence nursing: a force for good care.
AB - Director of army nursing services David Bates has a leading role in defence
nursing policy, training, education and research. He ensures defence nurses meet
NMC standards while delivering care in demanding, often hostile environments. Col
Bates believes that the NHS has much to learn from defence nursing in terms of
leadership and valuing staff.But the future, he says, will involve increasing
partnership between defence and civilian nurses, so he will also be looking for
lessons from the NHS, private and third sectors.
PMID- 24916239
TI - Code spells out the core duties of care.
AB - The draft Code spells out the "fundamentals of basic care" nurses and midwives
must deliver. Critics say the new document is unnecessarily detailed and insults
nurses. But some nurse managers at organisations striving for improvements, say
the explicit focus on core duties is timely and appropriate.
PMID- 24916248
TI - State of mind.
AB - The State of Mind programme was set up in 2011 to improve the mental health and
wellbeing of rugby league players and their communities after the suicide of
Wigan and England player Terry Newton.
PMID- 24916252
TI - Fund returners to practice and the staffing crisis will be solved.
AB - I read with interest 'The NHS needs you - call goes out to nurses who left the
profession' (Analysis May 28).
PMID- 24916253
TI - Taking a nursing degree is the best route into the profession for HCAs.
AB - I welcome the Shape of Caring review that will look into the healthcare assistant
role and paths to becoming a nurse (News May 14).
PMID- 24916254
TI - Technology to the rescue for language translation.
AB - I agree with nurse lecturer Gloria Likupe that healthcare professionals need
encouragement to respond to the UK's increasing cultural diversity by providing
culturally competent care (Art & Science June 4).
PMID- 24916256
TI - It's our duty to go the extra mile for patients who are vulnerable.
AB - Thank you for publishing Gloria Likupe's insightful article on communicating with
older minority ethnic patients (Art & Science June 4).
PMID- 24916257
TI - Scare stories about Muslim nurses incite hostility towards Islam.
AB - I am concerned by a number of articles circulating online and on social media
sites such as Facebook that are presenting misleading information about Muslim
nurses.
PMID- 24916258
TI - Self-screening for sexually transmitted infections.
AB - There is an increasing trend towards self-collection of samples for sexually
transmitted infection screening in lieu of genital examination and clinician
obtained urethral and cervical swabs. This article examines the advantages and
disadvantages of this trend, and the effect on nursing practice particularly
within integrated sexual health (ISH) services, which provide genito-urinary
medicine (GUM) and contraceptive services. This article might also be of interest
to nurses working within separate GUM and contraceptive services, especially
those that are preparing to become ISH services.
PMID- 24916259
TI - Experience of nursing students with dyslexia on clinical placement.
AB - A review of the literature was conducted to explore the experience of nursing
students with dyslexia while on clinical placement. Three main themes emerged,
including risk to patient safety, disclosure of dyslexia and support for nursing
students. The literature review highlights the lack of dyslexia awareness and
understanding in the research and at the trusts at which nursing students are
placed, and calls for further research in this area.
PMID- 24916260
TI - Diagnosis and management of patients with pleural effusions.
AB - Pleural effusions occur when fluid accumulates between the visceral and parietal
pleura in the chest cavity, preventing the lung from expanding fully during
inspiration. The reduction in lung volume, depression of the diaphragm and
reduced chest wall compliance cause dyspnoea, and occasionally pain or cough.
Pleural effusion is a complex problem caused by a variety of conditions requiring
different treatment depending on the underlying diagnosis. This article discusses
the causes and treatment of pleural effusions, referencing guidelines produced by
the British Thoracic Society.
PMID- 24916261
TI - CPD practice profile. Lung cancer.
AB - As an agency nurse at a community hospital, I recently cared for a patient with
cancer who had taken part in a clinical trial in which she had undergone
chemotherapy.
PMID- 24916262
TI - A lasting impression.
AB - Job applications do not stop with your CV, cover letter or application form.
Social media such as Facebook, Twitter and LinkedIn mean employers have a wealth
of extra information about candidates at their fingertips.
PMID- 24916263
TI - Masterclass in mental health.
AB - This year's RCN congress will debate the need for practice nurses to have a
recognised qualification. Meanwhile, a new training programme has been giving
practice and community nurses the skills and confidence to manage patients with
symptoms of mental illness.
PMID- 24916265
TI - Working in Australia.
AB - Although my husband wanted to emigrate to Australia, it took me five years to
warm to the idea. Another windy, wet winter in the UK finally persuaded me.
PMID- 24916266
TI - Student life. Exposure to research work.
AB - University Hospitals of Leicester NHS Trust is one of the top ten trusts for
recruiting patients to research studies. Although research nurses constitute the
largest specialist nurse group in the trust, comprising three per cent of the
nursing workforce, their roles and the benefits they bring are poorly understood.
PMID- 24916268
TI - Attainment of surgical competence in otolaryngology training.
AB - OBJECTIVES/HYPOTHESIS: Our aim was to determine the postgraduate year (PGY) of
residency at which residents achieve competence in key otolaryngologic procedures
as perceived by residents and program directors (PDs), determine resident or
programmatic factors affecting PGY at which residents perceive attainment of
competence, and evaluate the relationship between resident and PD perceptions for
attainment of competence in these procedures. STUDY DESIGN: Cross-sectional
survey. METHODS: We surveyed residents and PDs in US otolaryngology residencies
in 2011 using SurveyMonkey.com and assessed subjective attainment of competence
by residents for 32 otolaryngologic procedures. PGY at which subjective
competence achieved per resident perception was determined using a logistic
regression model; PD perception was determined by mean calculation. RESULTS: Two
hundred seventy-seven residents (19.45%) and 39 PDs (37.86%) completed surveys.
Residents achieved subjective competence later than expected by PDs for 25 of 32
procedures, although differences were generally small. The largest disparities
were observed for nonsurgical office-based procedures, for which <90% of
residents reported competence by PGY-5, whereas PDs expected competence before
PGY-3. The greatest number of disparities was present in the subspecialty area of
facial plastic and reconstructive surgery. Male gender predicted earlier self
reported attainment of competence in 10 of 32 procedures (P < .05). Degrees held,
fellowship plans, and the size of the program were not significant predictors of
PGY at which residents reported competence for most procedures. CONCLUSIONS: We
provide baseline data on PGY at which residents and PDs feel competence is
achieved in key otolaryngologic procedures. These results may guide milestone
development for resident training and assessment. LEVEL OF EVIDENCE: NA.
PMID- 24916270
TI - Epilepsies associated with focal cortical dysplasias (FCDs).
AB - Focal cortical dysplasias (FCDs) are increasingly recognized as one of the most
common causes of pharmaco-resistant epilepsies. FCDs were recently divided into
various clinico-pathological subtypes due to distinct imaging,
electrophysiological, and outcome characteristics. In this review, we will
overview the international consensus classification of FCDs in light of more
recently reported clinical, electrical, imaging and functional observations, and
will also address areas of ongoing debate. In addition, we will summarize our
current knowledge on pathobiology and epileptogenicity of FCDs as well as its
underlying molecular and cellular mechanisms. The clinical
(electroencephalographic, imaging, and functional) characteristics of major FCD
subtypes and their implications on the presurgical evaluation and surgical
management will be discussed in light of studies describing these characteristics
and postoperative seizure outcomes in patients with medically intractable focal
epilepsy due to histopathologically confirmed FCDs.
PMID- 24916269
TI - TDP-43 pathology and neuronal loss in amyotrophic lateral sclerosis spinal cord.
AB - We examined the phosphorylated 43-kDa TAR DNA-binding protein (pTDP-43)
inclusions as well as neuronal loss in full-length spinal cords and five selected
regions of the central nervous system from 36 patients with amyotrophic lateral
sclerosis (ALS) and 10 age-matched normal controls. The most severe neuronal loss
and pTDP-43 lesions were seen in lamina IX motor nuclei columns 4, 6, and 8 of
lower cervical segments and in columns 9-11 of lumbosacral segments. Severity of
pTDP-43 pathology and neuronal loss correlated closely with gray and white matter
oligodendroglial involvement and was linked to onset of disease, with severe
involvement of columns 4, 6, and 8 of upper extremity onset cases and severe
involvement of columns of 9, 10, and 11 in cases with lower extremity onset.
Severe TDP-43 lesions and neuronal loss were observed in stage 4 cases and
sometimes included Onuf's nucleus. Notably, three cases displayed pTDP-43
aggregates in the midbrain oculomotor nucleus, which we had not seen previously
even in cases with advanced (i.e., stage 4) pathology. pTDP-43 aggregates were
observed in neurons of Clarke's column in 30.6 % of cases but rarely in the
intermediolateral nucleus (IML). Gray matter oligodendroglial pTDP-43 inclusions
were present in areas devoid of neuronal pTDP-43 aggregates and neuronal loss.
Taken together, our findings indicate that (1) the dorsolateral motor nuclei
columns of the cervical and lumbosacral anterior horn may be the earliest foci of
pTDP-43 pathology in the spinal cord, (2) gray matter oligodendroglial
involvement is an early event in the ALS disease process that possibly heralds
subsequent involvement of neurons by pTDP-43 pathology, and (3) in some very
advanced cases, there is oculomotor nucleus involvement, which may constitute an
additional neuropathological stage (designated here as stage 5) of pTDP-43
pathology in ALS.
PMID- 24916271
TI - A comparison of Abeta amyloid pathology staging systems and correlation with
clinical diagnosis.
AB - Current neuropathological Alzheimer's disease (AD) criteria from the National
Institute on Aging-Alzheimer's Association (NIA-AA) incorporate two staging
systems for Abeta pathology, namely the Thal Abeta phase (TAP) and the Consortium
to Establish a Registry for Alzheimer's Disease (CERAD) methods. The goal of this
study was to compare and contrast results obtained with these two different
staging systems for Abeta pathology since this is critical for future
correlations of Abeta amyloid imaging data with Abeta neuropathology data based
on immunohistochemical detection of Abeta deposits. A total of 123 cases, divided
into 82 training and 41 validation cases, with a diagnosis of either unremarkable
adult brain (normal) or AD and CERAD scores ranging from none to frequent were
included. There was no clear and consistent relationship between CERAD and the
TAP Abeta scores with the exception of scores for the highest plaque burdens
(i.e., CERAD C3 and TAP A3) in the cases studied here. However, we developed an
algorithm that relates CERAD scores to TAP scores with high agreement (94 % in
training and 98 % in the validation set). In addition, TAP scores were a better
predictor of dementia (sensitivity of 94 % specificity 87.7 %) than CERAD scores
(sensitivity of 57 % specificity 100 %). Yet, further research is needed to
define strategies to relate CERAD and TAP Abeta plaque scores to compare their
utility and for determining the clinical associations of these different amyloid
staging systems with aging and AD.
PMID- 24916272
TI - 'My mentor kicked a dying woman's bed...' analysing UK nursing students' 'most
memorable' professionalism dilemmas.
AB - AIM: To provide depth and breadth in the analysis of nursing students' written
narratives of 'most memorable' professionalism dilemmas. BACKGROUND: While
nursing students are taught professionalism through formal curricula, they
commonly experience workplace-based professionalism dilemmas. Although non-UK
studies have begun to explore students' lived experiences of dilemmas, they lack
detail about when and where dilemmas occur, who is involved, what students do and
why and how students feel. DESIGN: Online survey of healthcare students including
294 nursing students from 15 UK nursing schools. METHOD: Nursing students
provided a written narrative of their most memorable dilemma (December 2011-March
2012) as part of a survey examining the impact of professionalism dilemmas on
moral distress. We conducted thematic and discourse analysis of all narratives
and narrative analysis of one exemplar. FINDINGS: The most common themes were
patient care dilemmas by healthcare personnel or students, student abuse and
consent dilemmas. Of the dilemmas, 49.6% occurred over 6 months previously, 76.2%
occurred in hospitals and 51.9% of perpetrators were nurses. 79.3% of students
reported acting in the face of their dilemma. Of the narratives, 88.4% contained
negative emotion talk and numerous significant relationships existed between
types of emotion talk and dilemmas. Our narrative analysis demonstrates the
impact of dilemma experiences through emotion talk and more subtle devices like
metaphor. CONCLUSION: Findings extend previous research with nursing and medical
students. Nurse educators should help students construct emotionally coherent
narratives to make sense of their experiences, actions and identities and to
better prepare them for future professionalism dilemmas.
PMID- 24916273
TI - Strain differences in fatigue and depression after experimental stroke.
AB - Fatigue and depression are common symptoms after stroke. Animal models of
poststroke fatigue (PSF) and poststroke depression (PSD) would facilitate the
study of these symptoms. Spontaneous locomotor activity is as an objective
measure of fatigue and learned helplessness an accepted correlate of depression.
We used different rat strains to evaluate stroke-induced changes in behavior in
hopes that interstrain differences would provide insights into the biological
basis of these symptoms. Male Lewis, Wistar, and Sprague-Dawley (SD) rats
underwent experimental stroke. Spontaneous activity was assessed continually
after stroke (for up to 50 days). In a subset of animals, the forced swim test
was performed prior to and 1 month after stroke to assess learned helplessness;
blood was obtained at sacrifice for cytokine assay. Stroke induced strain-related
differences in activity; Lewis rats increased spontaneous activity during the
dark cycle, while Wistar and SD rats increased activity during the light cycle.
The velocity of movement decreased during the dark cycle in Wistar and SD rats
and during the light cycle in Lewis rats. Stroke also led to an increase in
learned helplessness in Lewis rats. In summary, different patterns of behaviors
emerge in different rat strains after stroke. Lewis rats displayed behavior
consistent with depression but not fatigue, while Wistar and SD rats displayed
behavior consistent with fatigue but not depression. These data argue that PSF
and PSD are different biological constructs and suggest that analysis of strain
related differences may provide insight into symptom pathophysiology.
PMID- 24916274
TI - A practical approach to the treatment of low-risk childhood fever.
AB - Fever is a common symptom of childhood infections that in itself does not require
treatment. The UK's National Institute for Health and Care Excellence (NICE)
advises home-based antipyretic treatment for low-risk feverish children only if
the child appears distressed. The recommended antipyretics are ibuprofen or
paracetamol (acetaminophen). They are equally recommended for the distressed,
feverish child; therefore, healthcare professionals, parents and caregivers need
to decide which of these agents to administer if the child is distressed. This
narrative literature review examines recent data on ibuprofen and paracetamol in
feverish children to determine any clinically relevant differences between these
agents. The data suggest that these agents have similar safety profiles in this
setting and in the absence of underlying health issues, ibuprofen seems to be
more effective than paracetamol at reducing NICE's treatment criterion,
'distress' (as assessed by discomfort levels, symptom relief, and general
behavior).
PMID- 24916275
TI - Impact of 6% hydroxyethyl starch 130/0.42 and 4% gelatin on renal function in a
pediatric animal model.
AB - OBJECTIVES: Artificial colloids, frequently used to prevent hemorrhagic shock in
children, may induce serious renal side effects in critically ill adult patients.
The impact of perioperative colloid infusion on the renal function in adults and
children remains unclear. AIM: To determine the impact of single doses of
artificial colloids on renal function tests, we conducted an experimental animal
study. We hypothesized that neither the infusion of moderate doses of 6%
hydroxyethyl starch (HES) nor of 4% gelatin (GEL) would have a serious impact on
the renal function of healthy piglets. METHODS: Fifteen sedated piglets were
randomly assigned to receive an infusion of either 20 ml.kg(-1) HES or GEL or a
balanced electrolyte solution (BS, control group) over 30 min. Before and 7 days
after infusion, serum and urine renal function tests were recorded and renal
biopsies were taken. RESULTS: Serum and urine renal function tests (e.g.,
creatinine, urea, cystatin C, and neutrophil gelatinase-associated lipocalin)
were within normal ranges, and a microscopic examination of the renal tissue in
all groups revealed no major alterations such as tubular necrosis, interstitial
bleeding, interstitial inflammation, or vacuoles. CONCLUSIONS: In this pediatric
animal model, the infusion of moderate doses of artificial colloids was not found
to have any relevant impact on renal function. Further clinical investigations
are necessary to provide a conclusive assessment of the risk for renal impairment
after HES and GEL administration during major pediatric surgery.
PMID- 24916276
TI - Sumoylation of hypoxia inducible factor-1alpha and its significance in cancer.
AB - Hypoxia-inducible factor-1 (HIF-1) is a key heterodimeric transcription factor
for the cellular adaptive response to hypoxia, a common feature of the
microenvironment in solid tumors. The transcriptional activity, protein
stabilization, protein-protein interactions and cellular localization of HIF
1alpha, an oxygen-sensitive subunit of HIF-1, are mainly modulated by various
post-translational modifications. Recently, we reported that polycomb chromobox 4
(Cbx4) governs the transcriptional activity of HIF-1alpha by enhancing its
sumoylation at K391 and K477, through which Cbx4 potentiates angiogenesis of
hepatocellular carcinoma. This review summarizes the current knowledge of HIF
1alpha sumoylation and its roles in the pathogenesis of cancer.
PMID- 24916277
TI - Tooth enamel hypoplasia in PHACE syndrome.
AB - Individuals with PHACE syndrome (posterior fossa malformations, hemangiomas,
arterial anomalies, cardiac defects, eye abnormalities, sternal cleft, and
supraumbilical raphe syndrome) have reported dental abnormalities to their
healthcare providers and in online forums, but dental involvement has not been
comprehensively studied. A study was conducted at the third PHACE Family
Conference, held in Milwaukee, Wisconsin, in July 2012. A pediatric dentist
examined subjects at enrollment. Eighteen subjects were enrolled. The median age
was 4.2 years (range 9 mos-9 yrs; 14 girls, 4 boys). Eleven of 18 patients had
intraoral hemangiomas and five of these (50%) had hypomature enamel hypoplasia.
None of the seven patients without intraoral hemangiomas had enamel hypoplasia.
No other dental abnormalities were seen. Enamel hypoplasia may be a feature of
PHACE syndrome when an intraoral hemangioma is present. Enamel hypoplasia
increases the risk of caries, and clinicians should refer children with PHACE
syndrome to a pediatric dentist by 1 year of age.
PMID- 24916278
TI - Can chemotherapy alone eliminate the transmission of soil transmitted helminths?
AB - BACKGROUND: Amongst the world's poorest populations, availability of anthelmintic
treatments for the control of soil transmitted helminths (STH) by mass or
targeted chemotherapy has increased dramatically in recent years. However, the
design of community based treatment programmes to achieve the greatest impact on
transmission is still open to debate. Questions include: who should be treated,
how often should they be treated, how long should treatment be continued for?
METHODS: Simulation and analysis of a dynamic transmission model and novel data
analyses suggest refinements of the World Health Organization guidelines for the
community based treatment of STH. RESULTS: This analysis shows that treatment
levels and frequency must be much higher, and the breadth of coverage across age
classes broader than is typically the current practice, if transmission is to be
interrupted by mass chemotherapy alone. CONCLUSIONS: When planning interventions
to reduce transmission, rather than purely to reduce morbidity, current school
based interventions are unlikely to be enough to achieve the desired results.
PMID- 24916279
TI - SaOS2 Osteosarcoma cells as an in vitro model for studying the transition of
human osteoblasts to osteocytes.
AB - The central importance of osteocytes in regulating bone homeostasis is becoming
increasingly apparent. However, the study of these cells has been restricted by
the relative paucity of cell line models, especially those of human origin.
Therefore, we investigated the extent to which SaOS2 human osteosarcoma cells can
differentiate into osteocyte-like cells. During culture under the appropriate
mineralising conditions, SaOS2 cells reproducibly synthesised a bone-like
mineralised matrix and temporally expressed the mature osteocyte marker genes
SOST, DMP1, PHEX and MEPE and down-regulated expression of RUNX2 and COL1A1.
SaOS2 cells cultured in 3D collagen gels acquired a dendritic morphology,
characteristic of osteocytes, with multiple interconnecting cell processes. These
findings suggest that SaOS2 cells have the capacity to differentiate into mature
osteocyte-like cells under mineralising conditions. PTH treatment of SaOS2 cells
resulted in strong down-regulation of SOST mRNA expression at all time points
tested. Interestingly, PTH treatment resulted in the up-regulation of RANKL mRNA
expression only at earlier stages of differentiation. These findings suggest that
the response to PTH is dependent on the differentiation stage of the
osteoblast/osteocyte. Together, our results demonstrate that SaOS2 cells can be
used as a human model to investigate responses to osteotropic stimuli throughout
differentiation to a mature osteocyte-like stage.
PMID- 24916281
TI - Gape-limited predators as agents of selection on the defensive morphology of an
invasive invertebrate.
AB - Invasive species have widespread and pronounced effects on ecosystems and
adaptive evolution of invaders is often considered responsible for their success.
Despite the potential importance of adaptation to invasion, we still have limited
knowledge of the agents of natural selection on invasive species. Bythotrephes
longimanus, a cladoceran zooplankton, invaded multiple Canadian Shield lakes over
the past several decades. Bythotrephes have a conspicuous caudal process (tail
spine) that provides a morphological defense against fish predation. We measured
viability selection on the longest component of the Bythotrephes spine, the
distal spine segment, through a comparison of the lengths of first and second
instar Bythotrephes collected from lakes differing in the dominance of gape
limited predation (GLP) and nongape-limited predation (NGLP) by fish. We found
that natural selection varied by predator gape-limitation, with strong selection
(selection intensity: 0.20-0.79) for increased distal spine length in lakes
dominated by GLP, and no significant selection in lakes dominated by NGLP.
Further, distal spine length was 17% longer in lakes dominated by GLP, suggesting
the possibility of local adaptation. As all study lakes were invaded less than 20
years prior to our collections, our results suggest rapid divergence in defensive
morphology in response to selection from fish predators.
PMID- 24916280
TI - Revolution in GPCR signalling: opioid receptor heteromers as novel therapeutic
targets: IUPHAR review 10.
AB - GPCRs can interact with each other to form homomers or heteromers. Homomers
involve interactions with the same receptor type while heteromers involve
interactions between two different GPCRs. These receptor-receptor interactions
modulate not only the binding but also the signalling and trafficking properties
of individual receptors. Opioid receptor heteromerization has been extensively
investigated with the objective of identifying novel therapeutic targets that are
as potent as morphine but without the side effects associated with chronic
morphine use. In this context, studies have described heteromerization between
the different types of opioid receptors and between opioid receptors and a wide
range of GPCRs including adrenoceptors, cannabinoid, 5-HT, metabotropic glutamate
and sensory neuron-specific receptors. Recent advances in the field involving the
generation of heteromer-specific reagents (antibodies or ligands) or of membrane
permeable peptides that disrupt the heteromer interaction are helping to
elucidate the physiological role of opioid receptor heteromers and the
contribution of the partner receptor to the side effects associated with opioid
use. For example, studies using membrane-permeable peptides targeting the
heteromer interface have implicated MU and delta receptor heteromers in the
development of tolerance to morphine, and heteromers of MU and gastrin-releasing
peptide receptors in morphine-induced itch. In addition, a number of ligands that
selectively target opioid receptor heteromers exhibit potent antinociception with
a decrease in the side effects commonly associated with morphine use. In this
review, we summarize the latest findings regarding the biological and functional
characteristics of opioid receptor heteromers both in vitro and in vivo.
PMID- 24916282
TI - Effects of microplasma irradiation on human gingival fibroblasts.
AB - The purpose of this research was to clarify the effects of microplasma
irradiation on human gingival fibroblasts (HGF). Microplasma irradiation exposure
for all HGF samples was limited to 30 s at an irradiation distance of 10 mm with
a gas flow of 10 L/min. Three experimental groups were used: a 0 V control group
(Control); a 650 V (low) microplasma irradiation group (LV); and a 975 V (high)
irradiation group (HV). The following cellular characteristics were evaluated in
order to analyze the effects of microplasma treatment; morphology, cell count,
DNA content, metabolic activity, cell migration, fibroblast growth factor beta
(FGF-2) production, type I collagen secretion, and cytotoxic analysis. Cell
count, DNA content and FGF-2 production have all been linked to wound healing
and, interestingly, both the LV and HV groups showed significant (P < 0.05)
increases in these categories at 72 h after irradiation when compared to the
control group. Cytotoxic effects were measured by determining the levels of
lactate dehydrogenase, cell death, and DNA damage in HGF cells. In these
analyses, the HV and LV groups were not statistically different when compared
with the control group at 72 h post-irradiation. These findings suggest that
microplasma irradiation activated HGF with no clear cell-damaging effects.
PMID- 24916283
TI - A spectrophotometer-based diffusivity assay reveals that diffusion hindrance of
small molecules in extracellular matrix gels used in 3D cultures is dominated by
viscous effects.
AB - The design of 3D culture studies remains challenging due to the limited
understanding of extracellular matrix (ECM)-dependent hindered diffusion and the
lack of simple diffusivity assays. To address these limitations, we set up a cost
effective diffusivity assay based on a Transwell plate and the spectrophotometer
of a Microplate Reader, which are readily accessible to cell biology groups. The
spectrophotometer-based assay was used to assess the apparent diffusivity D of
FITC-dextrans with molecular weight (4-70kDa) spanning the physiological range of
signaling factors in a panel of acellular ECM gels including Matrigel, fibrin and
type I collagen. Despite their technical differences, D data exhibited ~15%
relative difference with respect to FRAP measurements. Our results revealed that
diffusion hindrance of small particles is controlled by the enhanced viscosity of
the ECM gel in conformance with the Stokes-Einstein equation rather than by
geometrical factors. Moreover, we provided a strong rationale that the enhanced
ECM viscosity is largely contributed to by unassembled ECM macromolecules. We
also reported that gels with the lowest D exhibited diffusion hindrance closest
to the large physiologic hindrance of brain tissue, which has a typical pore size
much smaller than ECM gels. Conversely, sparse gels (<=1mg/ml), which are
extensively used in 3D cultures, failed to reproduce the hindered diffusion of
tissues, thereby supporting that dense (but not sparse) ECM gels are suitable
tissue surrogates in terms of macromolecular transport. Finally, the consequences
of reduced diffusivity in terms of optimizing the design of 3D culture
experiments were addressed in detail.
PMID- 24916284
TI - Assessing the effect of surface modification of polyamide RO membrane by l-DOPA
on the short range physiochemical interactions with biopolymer fouling on the
membrane.
AB - Theoretical predictions of interaction energies for membrane-biopolymer foulant
pairs were used to compare the fouling tendencies of a virgin commercial
polyamide reverse osmosis (RO) membrane with a amino acid 3-(3,4-dihydroxyphenyl)
l-alanine (l-DOPA) coated RO membrane. Lifshitz-van der Waals (LW) and Lewis acid
base (AB) surface tension components of the membranes were determined based on
contact angle results using the van Oss approach. From these values, the LW and
AB components of the free energy of adhesion between membrane and foulants were
calculated. Electrostatic (EL) double layer interaction energies between the
membrane and foulants were also estimated using the measured surface charge data
of the membranes and fouling agents. Bovine serum albumin (BSA) and alginic acid
sodium salt (alginate) were used as model biopolymers causing membrane fouling.
Based on the calculated adhesion free energies, acid-base interactions were found
to have the strongest impact on the adhesion of both BSA and alginate to the
either membranes surfaces. It was found that l-DOPA modification has
significantly lowered acid-base interaction affinity toward the adhesion of both
foulants studied. On the basis of calculated free energies of adhesion, lower
fouling tendency of the l-DOPA modified membrane was expected. The accelerated
fouling tests indicated a lower flux decline rate for the modified membrane and
confirmed the results obtained from theory.
PMID- 24916285
TI - Terminated.
PMID- 24916286
TI - Lymph node status as a prognostic indicator after preoperative neoadjuvant
chemoradiotherapy of rectal cancer.
AB - AIM: The primary aim of this study was to examine lymph node status after
neoadjuvant chemoradiotherapy (CRT) using a novel scoring system describing the
pathological lymph node regression grade. The proposed scoring system was based
on the percentage of fibrosis and the presence of residual tumour amount. The
secondary aim of the study was to assess the oncological impact of this scoring
system. METHOD: The project was a retrospective cohort study over a 10-year
period. Two hundred and two patients with rectal cancer who had received CRT
followed by curative surgery were included. A histopathologist prospectively
scored each specimen and the impact of the scoring system on survival and
recurrence was analysed. RESULTS: One hundred and ninety patients completed long
course preoperative CRT and formed the basis of the study. Overall, 40
recurrences (local and distant) were observed over a median follow-up of 36
months. The lymph node regression score was a significant predictor of tumour
recurrence (hazard ratio 1.273, 95% CI 1.048-1.548; P = 0.015). The overall
mortality rate was 21%, and a lower lymph node regression score was correlated
with an improved survival curve (P = 0.01). CONCLUSION: The results demonstrate
that lymph node response to neoadjuvant CRT based on a nodal regression scoring
system is related to recurrence.
PMID- 24916287
TI - Long-term depression as a model of cerebellar plasticity.
AB - Long-term depression (LTD) here concerned is persistent attenuation of
transmission efficiency from a bundle of parallel fibers to a Purkinje cell.
Uniquely, LTD is induced by conjunctive activation of the parallel fibers and the
climbing fiber that innervates that Purkinje cell. Cellular and molecular
processes underlying LTD occur postsynaptically. In the 1960s, LTD was conceived
as a theoretical possibility and in the 1980s, substantiated experimentally.
Through further investigations using various pharmacological or genetic
manipulations of LTD, a concept was formed that LTD plays a major role in
learning capability of the cerebellum (referred to as "Marr-Albus-Ito
hypothesis"). In this chapter, following a historical overview, recent intensive
investigations of LTD are reviewed. Complex signal transduction and receptor
recycling processes underlying LTD are analyzed, and roles of LTD in reflexes and
voluntary movements are defined. The significance of LTD is considered from
viewpoints of neural network modeling. Finally, the controversy arising from the
recent finding in a few studies that whereas LTD is blocked pharmacologically or
genetically, motor learning in awake behaving animals remains seemingly unchanged
is examined. We conjecture how this mismatch arises, either from a methodological
problem or from a network nature, and how it might be resolved.
PMID- 24916288
TI - The organization of plasticity in the cerebellar cortex: from synapses to
control.
AB - The cerebellum is thought to play a critical role in procedural learning, but the
relationship between this function and the underlying cellular and synaptic
mechanisms remains largely speculative. At present, at least nine forms of long
term synaptic and nonsynaptic plasticity (some of which are bidirectional) have
been reported in the cerebellar cortex and deep cerebellar nuclei. These include
long-term potentiation (LTP) and long-term depression at the mossy fiber-granule
cell synapse, at the synapses formed by parallel fibers, climbing fibers, and
molecular layer interneurons on Purkinje cells, and at the synapses formed by
mossy fibers and Purkinje cells on deep cerebellar nuclear cells, as well as LTP
of intrinsic excitability in granule cells, Purkinje cells, and deep cerebellar
nuclear cells. It is suggested that the complex properties of cerebellar learning
would emerge from the distribution of plasticity in the network and from its
dynamic remodeling during the different phases of learning. Intrinsic and
extrinsic factors may hold the key to explain how the different forms of
plasticity cooperate to select specific transmission channels and to regulate the
signal-to-noise ratio through the cerebellar cortex. These factors include
regulation of neuronal excitation by local inhibitory networks, engagement of
specific molecular mechanisms by spike bursts and theta-frequency oscillations,
and gating by external neuromodulators. Therefore, a new and more complex view of
cerebellar plasticity is emerging with respect to that predicted by the original
"Motor Learning Theory," opening issues that will require experimental and
computational testing.
PMID- 24916289
TI - Questioning the cerebellar doctrine.
AB - The basic principles of cerebellar function were originally described by
Flourens, Cajal, and Marr/Albus/Ito, and they constitute the pillars of what can
be considered to be the classic cerebellar doctrine. In their concepts, the main
cerebellar function is to control motor behavior, Purkinje cells are the only
cortical neuron receiving and integrating inputs from climbing fiber and mossy
parallel fiber pathways, and plastic modification at the parallel fiber synapses
onto Purkinje cells constitutes the substrate of motor learning. Yet, because of
recent technical advances and new angles of investigation, all pillars of the
cerebellar doctrine now face regular re-examination. In this review, after
summarizing the classic concepts and recent disputes, we attempt to synthesize an
integrated view and propose a revisited version of the cerebellar doctrine.
PMID- 24916290
TI - Distribution of neural plasticity in cerebellum-dependent motor learning.
AB - The cerebellum is essential for some forms of motor learning. Two examples that
provide useful experimental models are modification of the vestibulo-ocular
reflex and classical conditioning of the nictitating membrane response (NMR) in
the rabbit. There has been considerable analysis of these behavioral models and
of conditioning of the eyelid blink reflex, which is similar in several respects
to NMR conditioning but with some key differences in its control circuitry. The
evidence is consistent with the suggestion that storage of these motor memories
is to be found within the cerebellum and its associated brainstem circuitry. The
cerebellum presents many advantages as a model system to characterize the
cellular and molecular mechanisms underpinning behavioral learning. And yet,
localizing the essential synaptic changes has proven to be difficult. A major
problem has been to establish to what extent these neural changes are distributed
through the cerebellar cortex, cerebellar nuclei, and associated brainstem
nuclei. Inspired by recent theoretical work, here we review evidence that the
distribution of plasticity across cortical and cerebellar nuclear (or brainstem
vestibular system) levels for different learning tasks may be different and
distinct. Our primary focus is on classical conditioning of the NMR and eyelid
blink, and we offer comparisons with mechanisms for modifications of the
vestibulo-ocular reflex. We describe a view of cerebellar learning that satisfies
theoretical and empirical analysis.
PMID- 24916291
TI - Feedback control of learning by the cerebello-olivary pathway.
AB - The ability to anticipate future events and to modify erroneous anticipatory
actions is crucial for the survival of any organism. Both theoretical and
empirical lines of evidence implicate the cerebellum in this ability. It is often
suggested that the cerebellum acquires "expectations" or "internal models."
However, except in a metaphorical sense, the cerebellum, which consists of a set
of interconnected nerve cells, cannot contain "internal models" or "have
expectations." In this chapter, we try to untangle these metaphors by translating
them back into neurophysiological cause and effect relationships. We approach
this task from within the paradigm of classical conditioning, in which a subject,
through repeated presentations of a conditional stimulus, followed by an
unconditional stimulus, acquires a conditioned response. Importantly, the
conditioned response is timed so that it anticipates the unconditioned response.
Available neurophysiological evidence suggests that Purkinje cells, in the
cerebellar cortex, generate the conditioned response. In addition, Purkinje cells
provide negative feedback to the inferior olive, which is a relay for the
unconditional stimulus, via the nucleo-olivary pathway. Purkinje cells can
therefore regulate the intensity of the signal derived from the unconditional
stimulus, which, in turn, decides subsequent plasticity. Hence, as learning
progresses, the olivary signal will become weaker and weaker due to increasing
negative feedback from Purkinje cells. Thus, in an important sense, learning
induced changes in Purkinje cell activity constitute an "expectation" or
"anticipation" of a future event (the unconditional stimulus), and, consistent
with theoretical models, future learning depends on the accuracy of this
expectation.
PMID- 24916292
TI - Cerebellum-dependent motor learning: lessons from adaptation of eye movements in
primates.
AB - In order to ameliorate the consequences of ego motion for vision, human and
nonhuman observers generate reflexive, compensatory eye movements based on visual
as well as vestibular information, helping to stabilize the images of visual
scenes on the retina despite ego motion. And in order to fully exploit the
advantages of foveal vision, they make saccades to shift the image of an object
onto the fovea and smooth pursuit eye movements to stabilize it there despite
continuing object movement relative to the observer. With the exception of slow
visually driven eye movements, which can be understood as manifestations of
relatively straightforward feedback systems, most eye movements require a direct
conversion of sensory input into appropriate motor responses in the absence of
immediate sensory feedback. Hence, in order to generate appropriate oculomotor
responses, the parameters linking input and output must be chosen suitably.
Moreover, as the parameters may change from one manifestation of a movement to
the next, for instance because of oculomotor fatigue, the choices should also be
quickly modifiable. This chapter will present evidence showing that this fast
parametric optimization, understood as a functionally distinct example of motor
learning, is an accomplishment of specific parts of the cerebellum devoted to the
control of eye movements. It will also discuss recent electrophysiological
results suggesting how this specific form of motor learning may emerge from
information processing in cerebellar circuits.
PMID- 24916293
TI - Decorrelation learning in the cerebellum: computational analysis and experimental
questions.
AB - Many cerebellar models use a form of synaptic plasticity that implements
decorrelation learning. Parallel fibers carrying signals positively correlated
with climbing-fiber input have their synapses weakened (long-term depression),
whereas those carrying signals negatively correlated with climbing input have
their synapses strengthened (long-term potentiation). Learning therefore ceases
when all parallel-fiber signals have been decorrelated from climbing-fiber input.
This is a computationally powerful rule for supervised learning and can be cast
in a spike-timing dependent plasticity form for comparison with experimental
evidence. Decorrelation learning is particularly well suited to sensory
prediction, for example, in the reafference problem where external sensory
signals are interfered with by reafferent signals from the organism's own
movements, and the required circuit appears similar to the one found to mediate
classical eye blink conditioning. However, for certain stimuli, avoidance is a
much better option than simple prediction, and decorrelation learning can also be
used to acquire appropriate avoidance movements. One example of a stimulus to be
avoided is retinal slip that degrades visual processing, and decorrelation
learning appears to play a role in the vestibulo-ocular reflex that stabilizes
gaze in the face of unpredicted head movements. Decorrelation learning is thus
suitable for both sensory prediction and motor control. It may also be well
suited for generic spatial and temporal coordination, because of its ability to
remove the unwanted side effects of movement. Finally, because it can be used
with any kind of time-varying signal, the cerebellum could play a role in
cognitive processing.
PMID- 24916294
TI - Modeling the evolution of the cerebellum: from macroevolution to function.
AB - The purpose of this contribution is to explore how macroevolutionary studies of
the cerebellum can contribute to theories on cerebellar function and
connectivity. New approaches in modeling the evolution of biological traits have
provided new insights in the evolutionary pathways that underlie cerebellar
evolution. These approaches reveal patterns of coordinated size changes among
brain structures across evolutionary time, demonstrate how particular
lineages/species stand out, and what the rate and timing of neuroanatomical
changes were in evolutionary history. Using these approaches, recent studies
demonstrated that changes in the relative size of the posterior cerebellar cortex
and associated cortical areas indicate taxonomic differences in great apes and
humans. Considering comparative differences in behavioral capacity,
macroevolutionary results are discussed in the context of theories on cerebellar
function and learning.
PMID- 24916296
TI - Automatic and controlled processing in the corticocerebellar system.
AB - During learning, performance changes often involve a transition from controlled
processing in which performance is flexible and responsive to ongoing error
feedback, but effortful and slow, to a state in which processing becomes swift
and automatic. In this state, performance is unencumbered by the requirement to
process feedback, but its insensitivity to feedback reduces its flexibility. Many
properties of automatic processing are similar to those that one would expect of
forward models, and many have suggested that these may be instantiated in
cerebellar circuitry. Since hierarchically organized frontal lobe areas can both
send and receive commands, I discuss the possibility that they can act both as
controllers and controlled objects and that their behaviors can be independently
modeled by forward models in cerebellar circuits. Since areas of the prefrontal
cortex contribute to this hierarchically organized system and send outputs to the
cerebellar cortex, I suggest that the cerebellum is likely to contribute to the
automation of cognitive skills, and to the formation of habitual behavior which
is resistant to error feedback. An important prerequisite to these ideas is that
cerebellar circuitry should have access to higher order error feedback that
signals the success or failure of cognitive processing. I have discussed the
pathways through which such feedback could arrive via the inferior olive and the
dopamine system. Cerebellar outputs inhibit both the inferior olive and the
dopamine system. It is possible that learned representations in the cerebellum
use this as a mechanism to suppress the processing of feedback in other parts of
the nervous system. Thus, cerebellar processes that control automatic performance
may be completed without triggering the engagement of controlled processes by
prefrontal mechanisms.
PMID- 24916297
TI - Preface. Cerebellar learning.
PMID- 24916295
TI - Cerebellar and prefrontal cortex contributions to adaptation, strategies, and
reinforcement learning.
AB - Traditionally, motor learning has been studied as an implicit learning process,
one in which movement errors are used to improve performance in a continuous,
gradual manner. The cerebellum figures prominently in this literature given well
established ideas about the role of this system in error-based learning and the
production of automatized skills. Recent developments have brought into focus the
relevance of multiple learning mechanisms for sensorimotor learning. These
include processes involving repetition, reinforcement learning, and strategy
utilization. We examine these developments, considering their implications for
understanding cerebellar function and how this structure interacts with other
neural systems to support motor learning. Converging lines of evidence from
behavioral, computational, and neuropsychological studies suggest a fundamental
distinction between processes that use error information to improve action
execution or action selection. While the cerebellum is clearly linked to the
former, its role in the latter remains an open question.
PMID- 24916298
TI - On the latent structure of problem gambling: a taxometric analysis.
AB - AIMS: To test whether problem gambling is a categorical or dimensional disorder
on the basis of two problem gambling assessments. This distinction discriminates
between two different conceptualizations of problem gambling: one that problem
gambling is defined by its addictive properties, the other that it is a continuum
of harm. METHOD: Using The British Gambling Prevalence Survey 2010, a nationally
representative sample of the United Kingdom conducted by the National Centre for
Social Research, five different taxometric analyses were carried out on cases
from two problem gambling screens: the Problem Gambling Severity Index (PGSI) and
a measure derived from the DSM-IV Pathological Gambling criteria. Two further
analyses were conducted on the total scores for these measures. RESULTS: There
was strong evidence that both scales were measuring a categorical construct. Fit
indices consistently supported a categorical interpretation [comparison curve fit
index (CCFI) > 0.6]. The PGSI analysis indicated the presence of a taxon (CCFIs =
0.633, 0.756). The analysis conducted on the adapted DSM-IV criteria indicated
stronger quantitative support for a taxon (CCFIs = 0.717, 0.811 and 0.756) but
items probing a loss of control were inconsistent. The taxometric analyses of
both scales support a categorical interpretation (CCFIs = 0.628, 0.567), but
extreme caution should be used due to high nuisance covariance. CONCLUSIONS: Two
problem gambling screens (the Problem Gambling Severity Index and a measure
derived from the DSM-IV Pathological Gambling criteria) appear to measure a
categorical construct that taps into a categorical, loss of control model of
problem gambling. There is some evidence that the two screens measure different
aspects of an addiction construct.
PMID- 24916299
TI - Consumer behaviour towards vegetables: a study on domestic processing of broccoli
and carrots by Dutch households.
AB - BACKGROUND: Preferences for sensory properties (e.g. taste and texture) are
assumed to control cooking behaviour with respect to vegetables. Conditions such
as the cooking method, amount of water used and the time-temperature profile
determine the nutritional quality (e.g. vitamins and phytochemicals) of cooked
vegetables. Information on domestic processing and any underlying motives can be
used to inform consumers about cooking vegetables that are equally liked and are
nutrient-rich. METHODS: Two online self-reporting questionnaires were used to
identify domestic processing conditions of broccoli and carrots by Dutch
households. Questions on various aspects of domestic processing and consumer
motives were included. Descriptive data analysis and hierarchical cluster
analysis were performed for both vegetables, separately, to group consumers with
similar motives and behaviour towards vegetables. RESULTS: Approximately 70% of
consumers boiled vegetables, 8-9% steamed vegetables, 10-15% stir fried raw
vegetables and 8-10% stir fried boiled vegetables. Mainly texture was used as a
way to decide the 'doneness' of the vegetables. For both vegetables, three
clusters of consumers were identified: texture-orientated, health-orientated, or
taste-orientated. The texture-orientated consumers are identified as the most
prevalent (56-59%) group in the present study. Statistically significant
associations are found between domestic processing conditions and clusters,
whereas no such association are found between demographic details and clusters.
CONCLUSIONS: A wide variation in domestic processing of broccoli and carrots is
found in the present study. Mainly sensory properties (i.e. texture and taste)
determined the domestic processing conditions. The findings of the present study
can be used to optimise cooking to yield vegetables that meet consumer's specific
sensory preference and are higher in nutrients, and as well as to communicate
with target consumer groups.
PMID- 24916300
TI - Exploring the function of genetic variants in the non-coding genomic regions:
approaches for identifying human regulatory variants affecting gene expression.
AB - Understanding the genetic basis of human traits/diseases and the underlying
mechanisms of how these traits/diseases are affected by genetic variations is
critical for public health. Current genome-wide functional genomics data
uncovered a large number of functional elements in the noncoding regions of human
genome, providing new opportunities to study regulatory variants (RVs). RVs play
important roles in transcription factor bindings, chromatin states and epigenetic
modifications. Here, we systematically review an array of methods currently used
to map RVs as well as the computational approaches in annotating and interpreting
their regulatory effects, with emphasis on regulatory single-nucleotide
polymorphism. We also briefly introduce experimental methods to validate these
functional RVs.
PMID- 24916301
TI - Restoration of the genus Parasaccocoelium Zhukov, 1971 (Digenea: Haploporidae)
and a description of two new species from mugilid fish in the Far East of Russia.
AB - New data relating to the fauna of Haploporidae (Digenea) in mugilid fish from
Primorsky Region of the south of the Russian Far East are presented. In the most
recent revision of the family Haploporidae Nicoll, 1914, Parasaccocoelium Zhukov,
1971 was synonymized with Pseudohapladena Yamaguti, 1952 (Overstreet & Curran,
2005). Here, we restore the genus Parasaccocoelium. The species described by
Zhukov can be distinguished from the species Pseudohapladena sensu Yamaguti, 1952
using a combination of the following features: (1) diffuse or absent eye-spot
pigment; (2) subterminal or terminal oral sucker; (3) present or absent genital
atrium; and (4) vitellarium with two large and compact vitelline masses, or
vitelline follicles tubular and divided into two groups. For the species of
Pseudohapladena, i.e. P. scatophagi, Yamaguti noted that the vitelline follicles
occupy almost the entire post-testicular region, but in the present specimens,
the vitelline follicles do not occupy the post-testicular region. Based on the
diagnosis of Pseudohapladena by Overstreet & Curran (2005), the genus
Parasaccocoelium differs in the following features: (1) a single testis that is
longitudinal, longitudinally oval, spherical, transversally oval, irregular,
bilobed or V-shaped, or a testis that is subspherical to irregular to elongate;
(2) the vitellarium in the lateral fields formed from large compact follicles
that have an irregular form and extend between the anterior margin of the ventral
sucker or pharynx and posterior margin of the testis, and the vitelline follicles
do not occupy post-testicular regions; or the vitellarium consists of elongate
groups of follicles that usually extend at least one-half of a body length and
extend to the posterior end of the body in some and to the posterior margin of
the testis in others; (3) the eggs are operculate, unembryonated and developed
when laid, or the eggs in some cases are only operculate; and (4) a developed
miracidium with or without an eye spot. New data have been added to the
description of Parasaccocoelium mugili Zhukov, 1971 based on new material, and
two new species of this genus, Pa. haematocheilum n. sp. from Liza haematocheila
and Pa. polyovum n. sp. from L. haematocheila and Mugil cephalus, are described
using morphological and molecular approaches. We support the taxonomic status of
the genus Parasaccocoelium and its inclusion within the sub-family
Waretrematinae, and we consider Pseudohapladena lizae Liu et Yang, 2002 to be a
junior synonym of Parasaccocoelium mugili Zhukov, 1971.
PMID- 24916302
TI - Caloric restriction and cancer: molecular mechanisms and clinical implications.
AB - Caloric restriction (CR) is currently the most robust environmental intervention
known to increase healthy life and prolong lifespan in several models, from yeast
to mice. Although the protective effect of CR on the incidence of cancer is well
established, its impact on tumor cell responses to chemotherapeutic treatment is
currently being investigated. Interestingly, the molecular mechanisms required to
extend lifespan upon reduced food intake are being evaluated, and these
mechanisms may offer new opportunities for therapeutic intervention. In addition,
new findings suggest a beneficial effect of CR in enhancing the efficiency of
tumor cell killing by chemotherapeutic drugs and inducing an anticancer immune
response.
PMID- 24916303
TI - Prognostic prediction and identification of candidates for salvage liver
transplantation among patients with early hepatocellular carcinoma.
PMID- 24916304
TI - Demonstration of the heterolytic O-O bond cleavage of putative nonheme iron(II)
OOH(R) complexes for Fenton and enzymatic reactions.
AB - One-electron reduction of mononuclear nonheme iron(III) hydroperoxo (Fe(III)-OOH)
and iron(III) alkylperoxo (Fe(III)-OOR) complexes by ferrocene (Fc) derivatives
resulted in the formation of the corresponding iron(IV) oxo complexes. The
conversion rates were dependent on the concentration and oxidation potentials of
the electron donors, thus indicating that the reduction of the iron(III)
(hydro/alkyl)peroxo complexes to their one-electron reduced iron(II)
(hydro/alkyl)peroxo species is the rate-determining step, followed by the
heterolytic O-O bond cleavage of the putative iron(II) (hydro/alkyl)peroxo
species to give the iron(IV) oxo complexes. Product analysis supported the
heterolytic O-O bond-cleavage mechanism. The present results provide the first
example showing the one-electron reduction of iron(III) (hydro/alkyl)peroxo
complexes and the heterolytic O-O bond cleavage of iron(II) (hydro/alkyl)peroxo
species to form iron(IV) oxo intermediates which occur in nonheme iron enzymatic
and Fenton reactions.
PMID- 24916306
TI - Methodological analysis of finite helical axis behavior in cervical kinematics.
AB - Although a far more stable approach compared to the six degrees of freedom
analysis, the finite helical axis (FHA) struggles with interpretational
difficulties among health professionals. The analysis of the 3D-motion axis has
been used in clinical studies, but mostly limited to qualitative analysis. The
aim of this study is to introduce a novel approach for the quantification of the
FHA behavior and to investigate the effect of noise and angle intervals on the
estimation of FHA parameters. A simulation of body movement has been performed
introducing Gaussian noise on position and orientation of a virtual sensor
showing linear relation between the simulated noise and the error in the
corresponding parameter. FHA axis behavior was determined by calculating the
intersection points of the FHA with a number of planes perpendicular to the FHA
using the Convex Hull (CH) technique. The angle between the FHA and each of the
IHA was also computed and its distribution was also analyzed. Input noise has an
inversely proportional relationship with the angle steps of FHA estimation. The
proposed FHA quantification approach can be useful to provide new approaches to
researchers and to improve insight for the clinician in order to better
understand joint kinematics.
PMID- 24916305
TI - Development of the resolution theory for electrophoretic exclusion.
AB - Electrophoretic exclusion, a technique that differentiates species in bulk
solution near a channel entrance, has been demonstrated on benchtop and
microdevice designs. In these systems, separation occurs when the electrophoretic
velocity of one species is greater than the opposing hydrodynamic flow, while the
velocity of the other species is less than that flow. Although exclusion has been
demonstrated in multiple systems for a range of analytes, a theoretical
assessment of resolution has not been addressed. To compare the results of these
calculations to traditional techniques, the performance is expressed in terms of
smallest difference in electrophoretic mobilities that can be completely
separated (R = 1.5). The calculations indicate that closest resolvable species
(DeltaMUmin ) differ by approximately 10(-13) m(2) /Vs and peak capacity (nc ) is
1000. Published experimental data were compared to these calculated results.
PMID- 24916308
TI - Msp1: patrolling mitochondria for lost proteins.
PMID- 24916309
TI - Adrenocorticotropin hormone 1-39 promotes proliferation and differentiation of
oligodendroglial progenitor cells and protects from excitotoxic and inflammation
related damage.
AB - Oligodendroglia (OL) are highly susceptible to damage and, like neurons, are
terminally differentiated. It is important to protect OL precursors (OPC) because
they are reservoirs of differentiating cells capable of myelination following
perinatal insult and remyelination in white matter diseases, including multiple
sclerosis (MS). Patients with relapsing-remitting MS are commonly treated with
high-dose corticosteroids (CS) when experiencing an exacerbation.
Adrenocorticotropin hormone (ACTH), a primary component of another approved MS
exacerbation treatment, is a melanocortin peptide that stimulates production of
CS by the adrenals. Melanocortin receptors are also found in the central nervous
system (CNS) and on immune cells. ACTH is produced within the CNS and may have CS
independent effects on glia. We found that ACTH 1-39 stimulated proliferation of
OPC, and to a lesser extent astroglia (AS) and microglia (MG), in rat glial
cultures. ACTH accelerated differentiation of PDGFRalpha(+) OPC to a later stage
marked by galactolipid expression and caused greater expansion of OL myelin-like
sheets compared with untreated cells. Protective effects of ACTH on OPC were
assessed by treating cultures with selected toxic agents, with or without ACTH.
At 200 nM, ACTH protected OPC from death induced by staurosporine, glutamate,
NMDA, AMPA, kainate, quinolinic acid, H2 O2 , and slow NO release, but not
against kynurenic acid or rapid NO release. These agents and ACTH were not toxic
to AS or MG. Our findings indicate that ACTH 1-39 provides benefits by increasing
the number of OPC, accelerating their development into mature OL, and reducing
OPC death from toxic insults.
PMID- 24916307
TI - Insulators recruit histone methyltransferase dMes4 to regulate chromatin of
flanking genes.
AB - Chromosomal domains in Drosophila are marked by the insulator-binding proteins
(IBPs) dCTCF/Beaf32 and cofactors that participate in regulating long-range
interactions. Chromosomal borders are further enriched in specific histone
modifications, yet the role of histone modifiers and nucleosome dynamics in this
context remains largely unknown. Here, we show that IBP depletion impairs
nucleosome dynamics specifically at the promoters and coding sequence of genes
flanked by IBP binding sites. Biochemical purification identifies the H3K36
histone methyltransferase NSD/dMes-4 as a novel IBP cofactor, which specifically
co-regulates the chromatin accessibility of hundreds of genes flanked by
dCTCF/Beaf32. NSD/dMes-4 presets chromatin before the recruitment of
transcriptional activators including DREF that triggers Set2/Hypb-dependent H3K36
trimethylation, nucleosome positioning, and RNA splicing. Our results unveil a
model for how IBPs regulate nucleosome dynamics and gene expression through
NSD/dMes-4, which may regulate H3K27me3 spreading. Our data uncover how IBPs
dynamically regulate chromatin organization depending on distinct cofactors.
PMID- 24916310
TI - Analysis of DNA methylation of perennial ryegrass under drought using the
methylation-sensitive amplification polymorphism (MSAP) technique.
AB - Perennial ryegrass (Lolium perenne), an excellent grass for forage and turf, is
widespread in temperate regions. Drought is an important factor that limits its
growth, distribution, and yield. DNA methylation affects gene expression and
plays an important role in adaptation to adverse environments. In this study, the
DNA methylation changes in perennial ryegrass under drought stress were assessed
using methylation-sensitive amplified polymorphism (MSAP). After 15 days of
drought stress treatment, the plant height was less than half of the control, and
the leaves were smaller and darker. Genome-wide, a total of 652 CCGG sites were
detected by MSAP. The total methylation level was 57.67 and 47.39 % in the
control and drought treatment, respectively, indicating a decrease of 10.28 % due
to drought exposure. Fifteen differentially displayed DNA fragments in MSAP
profiles were cloned for sequencing analysis. The results showed that most of the
genes involved in stress responses. The relative expression levels revealed that
three demethylated fragments were up-regulated. The expression of a predicted
retrotransposon increased significantly, changing from hypermethylation to non
methylation. Although the extent of methylation in two other genes decreased, the
sites of methylation remained, and the expression increased only slightly. All of
these results suggested that drought stress decreased the total DNA methylation
level in perennial ryegrass and demethylation up-regulated related gene
expressions and that the extent of methylation was negatively correlated with
expression. Overall, the induced epigenetic changes in genome probably are an
important regulatory mechanism for acclimating perennial ryegrass to drought and
possibly other environmental stresses.
PMID- 24916312
TI - Why do sperm carry RNA? Relatedness, conflict, and control.
AB - Classically, sperm were seen as transcriptionally inactive vehicles for
delivering the paternal haplotype to an egg. Yet, it has become apparent that
sperm also carry thousands of different RNAs, and the functions of most of these
are unknown. Here, we make four novel suggestions for sperm RNA function. First,
they could act as relatedness markers facilitating sperm cooperation. Second,
they could act as paternally imposed suppressors of haploid interests. Third,
they could act as a nuptial gift, providing the female with resources that entice
her to fertilise ova using the sperm of the gift-provider. Fourth, they could
represent the contents of a Trojan horse, delivered by males to manipulate female
reproduction. We discuss these ideas and suggest how they might be tested.
PMID- 24916311
TI - MicroRNAs related polymorphisms and genetic susceptibility to esophageal squamous
cell carcinoma.
AB - Esophageal cancer (EC) is the sixth leading cause of cancer-associated death
worldwide and the incidence and mortality in China are the highest. The single
nucleotide polymorphisms (SNPs) related to microRNAs could lead to alteration in
microRNA expression and contribute to the susceptibility of cancer. To evaluate
the association between microRNA-related SNPs and EC, a case-control study
including 381 patients with esophageal squamous cell carcinoma (ESCC) and 426
gender, age-matched controls was carried out to investigate the genetic
susceptibility of five microRNA-related SNPs (rs2910164 in microRNA-146a,
rs11614913 in microRNA-196a-2, rs7813 in GEMIN4, rs1595066 and rs16845990 in
ErbB4) as well as the interactions of gene-gene and gene-environment in the
development of ESCC. Variant homozygote genotype of rs11614913 in microRNA-196a-2
and rs1595066 in ErbB4 were significantly associated with reduced ESCC risk
(OR(adjusted): 0.62, 95 % CI: 0.39-0.99 and OR(adjusted): 0.38, 95 % CI: 0.24
0.61). The analysis of haplotypes in ErbB4 gene showed significant increased ESCC
risk in G(rs1595066)C(rs16845990) and G(rs1595066)T(rs16845990) haplotypes
(OR(adjusted): 1.46, 95 % CI: 1.08-1.99 and OR(adjusted): 1.33, 95 % CI: 1.10
1.62), and inversely reduced ESCC risk in A(rs1595066)C(rs16845990) and
A(rs1595066)T(rs16845990) haplotypes with OR (95 % CI) of 0.75 (0.60-0.94) and
0.65 (0.49-0.86), respectively. These findings suggest that the polymorphisms in
the microRNA-related genes may affect susceptibility of ESCC in Chinese Han
population and the gene-gene interactions play vital roles in the progression on
esophageal cancer. Future studies with larger sample and different ethnic
populations are required to support and validate our findings.
PMID- 24916313
TI - Cardiopulmonary exercise testing for the prediction of morbidity risk after
rectal cancer surgery.
AB - BACKGROUND: This study investigated the relationship between objectively measured
physical fitness variables derived by cardiopulmonary exercise testing (CPET) and
in-hospital morbidity after rectal cancer surgery. METHODS: Patients scheduled
for rectal cancer surgery underwent preoperative CPET (reported blind to patient
characteristics) with recording of morbidity (recorded blind to CPET variables).
Non-parametric receiver operating characteristic (ROC) curves and logistic
regression were used to assess the relationship between CPET variables and
postoperative morbidity. RESULTS: Of 105 patients assessed, 95 (72 men) were
included; ten patients had no surgery and were excluded (3 by choice, 7 owing to
unresectable metastasis). Sixty-eight patients had received neoadjuvant
treatment. ROC curve analysis of oxygen uptake (Vo2 ) at estimated lactate
threshold (theta^L ) and peak Vo2 gave an area under the ROC curve of 0.87 (95
per cent confidence interval 0.78 to 0.95; P < 0.001) and 0.85 (0.77 to 0.93; P <
0.001) respectively, indicating that they can help discriminate patients at risk
of postoperative morbidity. The optimal cut-off points identified were 10.6 and
18.6 ml per kg per min for Vo2 at theta^L and peak respectively. CONCLUSION: CPET
can help predict morbidity after rectal cancer surgery.
PMID- 24916316
TI - Production of folate in oat bran fermentation by yeasts isolated from barley and
diverse foods.
AB - AIMS: The focus of the research was to identify yeasts from barley kernels in
order to study their folate production capability while maintaining high
viscosity caused by soluble fibres in oat bran fermentation. METHODS AND RESULTS:
The 65 isolated yeasts were characterized by API carbohydrate utilization tests,
and assays for extracellular enzyme activities were the following: amylase, beta
glucanase, cellulase or CMCase, lipase, protease and xylanase. Yeasts were
identified by partial DNA sequencing of the 25S D1/D2 and ITS1-5.8S-ITS2 regions.
They belonged to the genera Aureobasidium, Cryptococcus, Pseudozyma and
Rhodotorula. Folate production was determined from supernatant and cells grown in
a rich laboratory medium or directly from oat bran solution inoculated with the
appropriate yeast. Food yeasts, Saccharomyces cerevisiae, Candida milleri,
Kluyveromyces marxianus and Galactomyces geotrichum, were used for comparison.
Most of the yeasts isolated from barley destroyed the solid, viscous structure of
the oat bran solution, indicating that they degraded the viscosity-generating
soluble fibres, considered to be nutritionally advantageous. The best folate
producers were S. cerevisiae, followed by Pseudozyma sp., Rhodotorula glutinis
and K. marxianus. The yeasts maintaining high viscosity were used together with
lactic acid bacteria (LAB) Streptococcus thermophilus or Lactobacillus rhamnosus
to ferment oat bran solution. None of the yeasts isolated from barley, contrary
to S. cerevisiae and C. milleri, produced together with LAB significant amounts
of folate. CONCLUSIONS: Fermentative yeasts together with LAB are potential for
use in developing novel high folate content healthy foods and snacks from oat
bran. SIGNIFICANCE AND IMPACT OF THE STUDY: High soluble fibre content and high
natural folate content but low energy content food and snack products with
pleasant fermentation aroma provide possibilities for new developments in the
food industry.
PMID- 24916317
TI - Calculation of chemical-shift tensors of heavy nuclei: a DFT/ZORA investigation
of 199Hg chemical-shift tensors in solids, and the effects of cluster size and
electronic-state approximations.
AB - Calculations of the nuclear magnetic resonance chemical-shielding tensors of a
suite of mercury-containing materials using various cluster models for the
structures provide a stringent test of the procedures for forming models and for
calculation with various methods. The inclusion of higher co-ordination shells in
the molecular clusters permits quantum chemical calculations of (199)Hg chemical
shielding tensor elements within 3% of the experimental values. We show that it
is possible to reduce the size of computationally expensive molecular-cluster
calculations with limited effect on calculated NMR parameters by carefully
introducing the frozen core approximation. The importance of the relativistic
Hamiltonian for accurate predictions of chemical-shielding values is demonstrated
within the molecular cluster approach. The results demonstrate that careful
design of a cluster to represent the solid-state structure, inclusion of
relativistic components in the Hamiltonian at least at the spin-orbit level, and
judicious use of approximations are essential to obtain good agreement with
experimental results.
PMID- 24916315
TI - Human osteoclasts are inducible immunosuppressive cells in response to T cell
derived IFN-gamma and CD40 ligand in vitro.
AB - Osteoclasts (OCs) are bone resorbing cells whose activity can be regulated by
activated T cells and their cytokines. However, the immune function of OCs is
largely unknown. In this study, we found that as bystanders, human OCs
effectively suppressed T-cell proliferation induced by allogeneic, microbial
antigenic, and T-cell receptor stimuli in vitro. Mechanism studies revealed that
T cell-derived IFN-gamma and CD40 ligand (CD40L) induced the expression of
indoleamine 2,3-dioxygenase (IDO) in OCs, which mediated the immunosuppressive
function on T-cell proliferation through depleting tryptophan. Neutralizing IFN
gamma and blocking CD40L, or silencing or inhibiting IDO in OCs restored T-cell
proliferation in the presence of OCs. Our data reveal a novel function of human
OCs as inducible immunosuppressive cells, and a feedback loop between OCs and
activated T cells. Thus, this study provides new insight into the mechanism of
the immunosuppressive function of OCs, and may be helpful for developing novel
therapeutic strategies for human diseases involving both the bone and immune
systems.
PMID- 24916318
TI - Evolution and results of the surgical management of 143 cases of severe acute
pancreatitis in a referral centre.
AB - INTRODUCTION: Surgery is the accepted treatment for infected acute pancreatitis,
although mortality remains high. As an alternative, a staged management has been
proposed to improve results. Initial percutaneous drainage could allow surgery to
be postponed, and improve postoperative results. Few centres in Spain have
published their results of surgery for acute pancreatitis. OBJECTIVE: To review
the results obtained after surgical treatment of acute pancreatitis during a
period of 12 years, focusing on postoperative mortality. MATERIAL AND METHODS: We
have reviewed the experience in the surgical treatment of severe acute
pancreatitis (SAP) at Bellvitge University Hospital from 1999 to 2011. To analyse
the results, 2 periods were considered, before and after 2005. A descriptive and
analytical study of risk factors for postoperative mortality was performed
RESULTS: A total of 143 patients were operated on for SAP, and necrosectomy or
debridement of pancreatic and/or peripancreatic necrosis was performed, or
exploratory laparotomy in cases of massive intestinal ischemia. Postoperative
mortality was 25%. Risk factors were advanced age (over 65 years), the presence
of organ failure, sterility of the intraoperative simple, and early surgery (< 7
days). The only risk factor for mortality in the multivariant analysis was the
time from the start of symptoms to surgery of<7 days; furthermore, 50% of these
patients presented infection in one of the intraoperative cultures. CONCLUSIONS:
Pancreatic infection can appear at any moment in the evolution of the disease,
even in early stages. Surgery for SAP has a high mortality rate, and its delay is
a factor to be considered in order to improve results.
PMID- 24916319
TI - Update to the study protocol for a randomized controlled trial comparing
mindfulness-based cognitive therapy with maintenance anti-depressant treatment
depressive relapse/recurrence: the PREVENT trial.
AB - BACKGROUND: Depression is a common and distressing mental health problem that is
responsible for significant individual disability and cost to society. Medication
and psychological therapies are effective for treating depression and maintenance
anti-depressants (m-ADM) can prevent relapse. However, individuals with
depression often express a wish for psychological help that can help them recover
from depression in the long-term. A recently developed treatment, mindfulness
based cognitive therapy (MBCT), shows potential as a brief group program for
people with recurring depression.This trial asks the policy research question; is
MBCT with support to taper/discontinue antidepressant medication (MBCT-TS)
superior to m-ADM in terms of: a primary outcome of preventing depressive
relapse/recurrence over 24 months; and secondary outcomes of (a) depression free
days, (b) residual depressive symptoms, (c) antidepressant medication (ADM)
usage, (d) psychiatric and medical co-morbidity, (e) quality of life, and (f)
cost effectiveness? An explanatory research question also asks whether an
increase in mindfulness skills is the key mechanism of change.The design is a
single-blind, parallel randomized controlled trial examining MBCT-TS versus m-ADM
with an embedded process study. To answer the main policy research question the
proposed trial compares MBCT-TS with m-ADM for patients with recurrent
depression. Four hundred and twenty patients with recurrent major depressive
disorder in full or partial remission will be recruited through primary care.
RESULTS: Depressive relapse/recurrence over two years is the primary outcome
variable. Analyses will be conducted following CONSORT standards and overseen by
the trial's Data Monitoring and Safety Committee. Initial analyses will be
conducted on an intention-to-treat basis, with subsequent analyses being per
protocol. The explanatory question will be addressed in two mutually informative
ways: quantitative measurement of potential mediating variables pre- and post
treatment and a qualitative study of service users' views and experiences.
CONCLUSIONS: If the results of our exploratory trial are extended to this
definitive trial, MBCT-TS will be established as an alternative approach to
maintenance antidepressants for people with a history of recurrent depression.
The process studies will provide evidence about the effective components which
can be used to improve MBCT and inform theory as well as other therapeutic
approaches. TRIAL REGISTRATION: Trial registered 7 May 2009; ISRCTN26666654.
PMID- 24916320
TI - Ambiguanine A-G, hexahydrobenzophenanthridine alkaloids from Corydalis ambigua
var. amurensis.
AB - Seven hexahydrobenzophenanthridine-type alkaloids, Ambiguanine A-G, along with
eight known alkaloids, were isolated from tubers of Corydalis ambigua var.
amurensis. Their structures were elucidated based on extensive spectroscopic
analyses, with absolute configurations determined by CD experiments.
PMID- 24916321
TI - Chemical constituents of Abies delavayi.
AB - Systematic phytochemical investigations on Abies delavayi afforded 110 compounds,
including 49 terpenoids, 13 lignans, 20 flavonoids, three coumarins, and 25 other
chemical constituents. By detailed analysis of one- and two-dimensional NMR
spectroscopic and high-resolution mass spectrometric data, 10 previously
unreported compounds were identified: they comprised three sesquiterpenoids, two
diterpenoids, one triterpenoid, one monoterpenoid, one flavonoid, and two
phenols. These 10 compounds and some previously known ones were subjected to two
cytotoxic bioassays against three human tumor cell lines and NO production
inhibition on RAW264.7 macrophages, respectively. (25R)-24,25-Dihydroabieslactone
had the strongest cytotoxic activity against Colo-205 cells with an IC50 value of
19.0+/-3.7MUg/mL. (+)-T-cadinol, 8,11,13-abietatrien-15-ol-18-yl acetate, 18
acetoxy-13-epi-manool, imperatorin, bergapten, and 5,7-O-dimethyl poriol
exhibited weak inhibitory activity against LPS-induced NO production in RAW264.7
macrophages with IC50 values of approximately 50MUg/mL.
PMID- 24916322
TI - Study on Ag mesh/conductive oxide hybrid transparent electrode for film heaters.
AB - Ag mesh-indium tin oxide (ITO) hybrid transparent conductive films were
fabricated and evaluated for use in film heaters. PS monolayer templates were
prepared using highly mono-dispersed PS spheres (11.2 MUm) obtained by a
filtering process with micro-sieves. At first, three Ag meshes with different
sheet resistances (20, 100, and 300 Omega sq(-1)) and transmittances (70, 73, and
76%) were evaluated for film heaters in terms of voltage and long-term stability.
Subsequently, in an effort to obtain better transmittance, Ag mesh-ITO hybrid
heaters were fabricated utilizing finite ITO depositions. At the optimised ITO
thickness (15 nm), the sheet resistance and the transmittance were 300 Omega sq(
1) and 88%, respectively, which indicates that this material is a good potential
candidate for an efficient defroster in vehicles.
PMID- 24916324
TI - Rabeprazole-Induced Tinnitus.
PMID- 24916323
TI - Chronic stress induces steatohepatitis while decreases visceral fat mass in mice.
AB - BACKGROUND: Prolonged stress leads over time to allostatic load on the body and
is likely to exacerbate a disease process. Long-term of stress exposure is one of
a risk factor for metabolism-related diseases such as obesity and type 2
diabetes. However, the relationship between chronic stress and non-alcoholic
fatty liver disease (NAFLD) remain unknown. METHODS: To address the hypothesis
that chronic stress associate to NAFLD development, we subjected C57bl/6 mice to
electric foot shock and restraint stress for 12 weeks to set up chronic stress
model. Then the serum and hepatic triglyceride (TG), total cholesterol (TC) were
measured. Hepatic HE and Oil red O staining were used to specify the state of the
NAFLD. To investigate whether inflammation takes part in the stress-induced NAFLD
process, related visceral fat, serum and hepatic inflammatory factors were
measured. RESULTS: We observed that chronic stress led to an overall increase of
hepatic triglyceride and cholesterol while decreasing body weight and visceral
fat mass. Microvesicular steatosis, lobular inflammation and ballooning
degeneration were seen in stress liver section. This effect was correlated with
elevated hepatic and serum inflammatory factors. Although the amount of visceral
fat was decreased in stress group, various adipocytokines were elevated.
CONCLUSIONS: We showed that chronic stress is associated to NAFLD and chronic
inflammation in visceral fat, though food intake and visceral fat mass were
decreased. These results may contribute to better understanding of the mechanism
from steatosis to steatohepatitis, and propose a novel insight into the
prevention and treatment of NAFLD.
PMID- 24916325
TI - Comment on "Dimethyl Fumarate (Tecfidera): A New Oral Agent for Multiple
Sclerosis"
PMID- 24916327
TI - Management of failed stress urinary incontinence surgery.
AB - With the increasing volume of surgery being performed for the treatment of female
stress urinary incontinence (SUI), especially with the widespread use of
midurethral slings (MUS), recurrent urinary incontinence is becoming an
increasingly common condition. Various preoperative and intraoperative factors
have been associated with failed SUI surgery. Treatment options for failed SUI
surgery include conservative management and/or surgical management, which include
pubovaginal sling, MUS, retropubic suspension, periurethral bulking agents, and
artificial sphincters. The choice of treatment option will depend on the etiology
of the patient's failure, patient comorbidities, and patient preference.
PMID- 24916328
TI - Renal transplantation in augmented bladders.
AB - Not many years ago, children with congenital abnormalities of the lower urinary
tract or with bladder dysfunction were denied renal transplantation because they
were considered very high-risk recipients. However, in the past few decades, we
learned that in children with poorly compliant, low-capacity bladders,
augmentation cystoplasty (AC) can create a compliant, low-pressure reservoir that
helps preserve the kidney graft. Although the incidence of symptomatic urinary
tract infection (UTI) may be greater in pediatric transplant recipients with an
AC than in those without, UTI is related more to noncompliance with clean
intermittent catheterization or vesicoureteral reflux to the native kidney or
graft than to the AC itself, and usually does not lead to impairment of graft
function. Today, children with a bladder reconstruction may undergo
transplantation with the same outcome (graft survival and function) as those with
normal bladders, although there is some possibility of malignant transformation
in the intestinal segment used to augment the bladder in these patients.
PMID- 24916329
TI - Phagocytic function of Kupffer cells in mouse nonalcoholic fatty liver disease
models: Evaluation with superparamagnetic iron oxide.
AB - PURPOSE: To evaluate the Kupffer cell (KC) phagocytic function using
superparamagnetic iron oxide-enhanced magnetic resonance imaging (SPIO-MRI) in
animal models with nonalcoholic fatty liver disease (NAFLD). MATERIALS AND
METHODS: Mouse NAFLD models with varying severity were created by feeding high
fat, high-cholesterol (HFHC) diets to ob/ob mice for 3, 6, or 12 weeks. SPIO-MRI
was performed on a 4.7-T animal scanner in the mouse NAFLD models, in wildtype
control mouse, and in the NAFLD mice (NAFLD treatment group) that received 6
weeks of pioglitazone treatment. The relative signal loss (RSL) of the liver was
measured in each animal to represent the magnitude of SPIO-induced signal loss of
the liver. Liver samples were analyzed for steatosis, inflammation, fibrosis, and
the number of SPIO particles and KCs. RESULTS: RSL values of the NAFLD mice
(range of RSL value, 26.3%-53.8%) seen on SPIO-MRI were significantly lower than
those of the control mice (67.7%-74.8%, P <= 0.008) and decreased in proportion
to the duration of their HFHC diet (mean +/- SD, 53.7% +/- 10.9, 44.7% +/- 8.2,
and 26.3% +/- 12.6, after 3-, 6-, and 12-week HFHC diet, respectively, on 20
minute delayed images). For the NAFLD treatment group, the RSL values increased
after 6 weeks of pioglitazone treatment, compared with the values before
treatment (P <= 0.039). The RSL values had significant independent correlation
with both hepatic steatosis (P = 0.007) and inflammation (P = 0.023). CONCLUSION:
KC phagocytic dysfunction is aggravated in the progression of NAFLD and may be
reversible with therapeutic intervention. SPIO-MRI may be useful for classifying
the severity of NAFLD and monitoring the treatment response of NAFLD.
PMID- 24916331
TI - Charged-molecule physics.
AB - Commonly, chemical modification is considered to be the ultimate way to tune
properties of graphene for new devices. The work of Riss and colleagues reported
in this issue of ACS Nano demonstrates a reverse approach that enables tuning of
molecular properties with graphene. When a back-gate voltage is used, the Fermi
level of graphene is shifted with respect to the electronic states of the
molecules. This extra electric field opens fascinating new routes toward ultimate
sensitive sensors or experimental devices for studying new molecular physics.
PMID- 24916330
TI - Metyrapone alleviates deleterious effects of maternal food restriction on lung
development and growth of rat offspring.
AB - Maternal food restriction (MFR) causes intrauterine growth restriction, a known
risk factor for developing chronic lung disease. However, it is unknown whether
this negative outcome is gender specific or preventable by blocking the MFR
induced hyperglucocorticoidism. Using a well-established rat model, we used
metyrapone (MTP), an inhibitor of glucocorticoid synthesis, to study the MFR
induced lung changes on postnatal day (p) 21 in a gender-specific manner. From
embryonic day 10 until delivery, pregnant dams were fed either an ad libitum diet
or a 50% caloric restricted diet with or without MTP supplementation.
Postnatally, the offspring were fed ad libitum from healthy dams until p21.
Morphometric, Western blot, and immunohistochemical analysis of the lungs
demonstrated that MTP mitigated the MFR-mediated decrease in alveolar count,
decrease in adipogenic protein peroxisome proliferator-activated receptor gamma,
increase in myogenic proteins (fibronectin, alpha-smooth muscle actin, and
calponin), increase in Wnt signaling intermediates (lymphoid enhancer-binding
factor 1 and beta-catenin), and increase in glucocorticoid receptor (GR) levels.
The MFR-induced lung phenotype and the effects of MTP were similar in both
genders. To elucidate the mechanism of MFR-induced shift of the adipogenic-to
myogenic phenotype, lung fibroblasts were used to independently study the effects
of (1) nutrient restriction and (2) excess steroid exposure. Nutrient deprivation
increased myogenic proteins, Wnt signaling intermediates, and GR, all changes
blocked by protein supplementation. MTP also blocked, likely by normalizing
nicotinamide adenine dinucleotide phosphate levels, the corticosterone-induced
increase in myogenic proteins, but had no effect on GR levels. In summary,
protein restriction and increased glucocorticoid levels appear to be the key
players in MFR-induced lung disease, affecting both genders.
PMID- 24916332
TI - The formation of pyrroline and tetrahydropyridine rings in amino acids catalyzed
by pyrrolysine synthase (PylD).
AB - The dehydrogenase PylD catalyzes the ultimate step of the pyrrolysine pathway by
converting the isopeptide L-lysine-Nepsilon-3R-methyl-D-ornithine to the 22nd
proteinogenic amino acid. In this study, we demonstrate how PylD can be harnessed
to oxidize various isopeptides to novel amino acids by combining chemical
synthesis with enzyme kinetics and X-ray crystallography. The data enable a
detailed description of the PylD reaction trajectory for the biosynthesis of
pyrroline and tetrahydropyridine rings as constituents of pyrrolysine analogues.
PMID- 24916333
TI - Dietary B vitamin and methionine intake and MTHFR C677T genotype on risk of
colorectal tumors in Lynch syndrome: the GEOLynch cohort study.
AB - PURPOSE: Dietary intake of B vitamins and methionine, essential components of DNA
synthesis and methylation pathways, may influence colorectal tumor (CRT)
development. The impact of B vitamins on colorectal carcinogenesis in individuals
with Lynch syndrome (LS) is unknown but is important given their high lifetime
risk of developing neoplasms. The role of MTHFR C677T genotype in modifying these
relationships in LS individuals is also unclear. We investigated associations
between dietary intakes of folate, vitamins B2, B6, B12, and methionine and CRT
development in a prospective cohort study of 470 mismatch repair gene mutation
carriers. METHODS: Dietary intakes were assessed by food frequency questionnaire.
Cox regression models with robust sandwich covariance estimation, adjusted for
age, sex, physical activity, number of colonoscopies during person-time, NSAID
use, and mutual vitamins were used to calculate hazard ratios (HRs) and 95 %
confidence intervals (95 % CIs). Analyses were also stratified by MTHFR C677T
genotype. RESULTS: During a median person-time of 28.0 months, 131 persons
developed a CRT. Fifty-one of these persons developed an incident colorectal
adenoma, while there were four persons who developed an incident colorectal
carcinoma. Compared to the lowest tertile of intake, adjusted HRs (95 % CIs) for
CRT development in the highest tertile were 1.06 (0.59-1.91) for folate, 0.77
(0.39-1.51) for vitamin B2, 0.98 (0.59-1.62) for vitamin B6, 1.24 (0.77-2.00) for
vitamin B12, and 1.36 (0.83-2.20) for methionine. Low vitamin B2 and low
methionine intake were statistically significantly associated with an increased
risk of CRT in MTHFR 677TT individuals compared to a combined reference of
persons with low intake and CC genotype. CONCLUSIONS: There was no suggestion
that intake of any dietary B vitamin or methionine was associated with CRT
development among those with LS.
PMID- 24916334
TI - Nanoparticle formulation improves the anticonvulsant effect of clonazepam on the
pentylenetetrazole-induced seizures: behavior and electroencephalogram.
AB - To document the efficacy of clonazepam (CLZ) either free as a solution or loaded
in solid lipid nanoparticles (CLZ-SLN) or mixed micelles (CLZ-MM), the in vitro
blood-brain barrier permeability of the formulations was determined. Behavior
and/or electroencephalograms (EEGs) of rodents receiving treatments were also
studied. The in vitro permeability of CLZ increased when associated with SLN, but
decreased in the case of MM. The occurrence of the pentylenetetrazole (PTZ)
induced seizures in mice was significantly prevented by CLZ, even when exposed a
lower dose of CLZ-SLN after administration by the oral route. The behavioral
severity and EEGs showing the PTZ-induced paroxystic activity in rats diminished
significantly in the presence of CLZ alone (0.3 mg/kg), and were almost totally
prevented in the rats treated with CLZ-SLN (equivalent to 0.3 mg/kg). The
frequency, duration, and spreading of the spikes-wave of rats treated with CLZ
SLN decreased significantly as compared with CLZ alone, CLZ-MM, or the vehicle.
These results show an in vitro-in vivo correlation in the enhanced blood-brain
barrier permeability of SLN formulation, and a contribution of MM to the carrier
effect of drugs toward the bloodstream and brain, where this pharmaceutical
formulation of CLZ-SLN improves the anticonvulsant effect of this benzodiazepine,
thus offering additional advantages after oral administration.
PMID- 24916335
TI - Brain differences between persistent and remitted attention deficit hyperactivity
disorder.
AB - Previous resting state studies examining the brain basis of attention deficit
hyperactivity disorder have not distinguished between patients who persist versus
those who remit from the diagnosis as adults. To characterize the neurobiological
differences and similarities of persistence and remittance, we performed resting
state functional magnetic resonance imaging in individuals who had been
longitudinally and uniformly characterized as having or not having attention
deficit hyperactivity disorder in childhood and again in adulthood (16 years
after baseline assessment). Intrinsic functional brain organization was measured
in patients who had a persistent diagnosis in childhood and adulthood (n = 13),
in patients who met diagnosis in childhood but not in adulthood (n = 22), and in
control participants who never had attention deficit hyperactivity disorder (n =
17). A positive functional correlation between posterior cingulate and medial
prefrontal cortices, major components of the default-mode network, was reduced
only in patients whose diagnosis persisted into adulthood. A negative functional
correlation between medial and dorsolateral prefrontal cortices was reduced in
both persistent and remitted patients. The neurobiological dissociation between
the persistence and remittance of attention deficit hyperactivity disorder may
provide a framework for the relation between the clinical diagnosis, which
indicates the need for treatment, and additional deficits that are common, such
as executive dysfunctions.
PMID- 24916336
TI - A randomized phase II/III trial of perioperative chemotherapy with adriamycin
plus ifosfamide versus gemcitabine plus docetaxel for high-grade soft tissue
sarcoma: Japan Clinical Oncology Group Study JCOG1306.
AB - A randomized Phase II/III trial was planned to commence in March 2014.
Perioperative chemotherapy with adriamycin plus ifosfamide is the current
standard treatment for T2bN0M0 high-grade non-round cell soft tissue sarcoma. The
purpose of this study is to confirm the non-inferiority of perioperative
chemotherapy with gemcitabine and docetaxel to adriamycin plus ifosfamide for
patients with T2bN0M0 or any TN1M0 non-round cell soft tissue sarcoma in the
extremities and body wall. A total of 140 patients will be accrued from 28
Japanese institutions over 6 years. The primary endpoint in the Phase II part is
the proportion of completion of pre-operative chemotherapy without progressive
disease and overall survival in the Phase III part. The secondary endpoints are
progression-free survival, response rate of pre-operative chemotherapy,
pathological response rate, proportion of preservation of diseased limbs, disease
control rate and proportion of adverse events. This trial has been registered in
the UMIN Clinical Trials Registry as UMIN000013175
[http://www.umin.ac.jp/ctr/index.htm].
PMID- 24916337
TI - A new middle-range diameter bronchoscope with large channel for transbronchial
sampling of peripheral pulmonary lesions.
AB - OBJECTIVE: Although the diagnostic yield of guided bronchoscopy for peripheral
pulmonary lesions has improved to 70%, it is still low compared with
transthoracic needle aspiration. We produced a new bronchoscope with middle-range
diameter and large channel (BF-Y0053, Olympus, Japan), and evaluated its
diagnostic efficacy for peripheral pulmonary lesions. METHODS: This was a
retrospective study on 70 consecutive patients with peripheral pulmonary lesions
who underwent diagnostic bronchoscopy using BF-Y0053 combined with endobronchial
ultrasound with a guide sheath at the National Cancer Center Hospital from
September 2013 to November 2013. Diagnostic performance of the procedure was
analyzed and compared among three groups of peripheral pulmonary lesions:
'peripheral-small' lesions (<= 30 mm and adjacent to visceral pleura), 'central
small' lesions (<= 30 mm and not adjacent to the visceral pleura), and 'large'
lesions (>30 mm). RESULTS: Sixty (85.7%) patients had malignant diseases.
Diagnosis was established by bronchoscopy in 61 of 70 patients (87.1%); the
respective yields for 'central-small' and 'large' lesions were significantly
higher than that for 'peripheral-small' lesions (96.3%, 94.4%, 72%, P = 0.0026).
This diagnostic accuracy was achieved regardless of other clinical and procedural
factors such as, lesion size, feature ground glass opacity (or solid),
endobronchial ultrasound-probe location (within or outside) or operator skill.
There were no major post-procedural complications. CONCLUSIONS: A new middle
range diameter bronchoscope with large channel combined with endobronchial
ultrasound with a guide sheath can enhance the efficacy of transbronchial
sampling to its maximal potential to diagnose peripheral pulmonary lesions safely
and accurately, particularly for patients who have tumors away from the visceral
pleura.
PMID- 24916338
TI - Years of life lost to incarceration: inequities between Aboriginal and non
Aboriginal Canadians.
AB - BACKGROUND: Aboriginal representation in Canadian correctional institutions has
increased rapidly over the past decade. We calculated "years of life lost to
incarceration" for Aboriginal and non-Aboriginal Canadians. METHODS:
Incarceration data from provincial databases were used conjointly with
demographic data to estimate rates of incarceration and years of life lost to
provincial incarceration in (BC) and federal incarceration, by Aboriginal status.
We used the Sullivan method to estimate the years of life lost to incarceration.
RESULTS: Aboriginal males can expect to spend approximately 3.6 months in federal
prison and within BC spend an average of 3.2 months in custody in the provincial
penal system. Aboriginal Canadians on average spend more time in custody than
their non-Aboriginal counterparts. The ratio of the Aboriginal incarceration rate
to the non-Aboriginal incarceration rate ranged from a low of 4.28 in
Newfoundland and Labrador to a high of 25.93 in Saskatchewan. Rates of
incarceration at the provincial level were highest among Aboriginals in Manitoba
with an estimated rate of 1377.6 individuals in prison per 100,000 population
(95% confidence interval [CI]: 1311.8-1443.4). CONCLUSIONS: The results indicate
substantial differences in life years lost to incarceration for Aboriginal versus
non-Aboriginal Canadians. In light of on-going prison expansion in Canada, future
research and policy attention should be paid to the public health consequences of
incarceration, particularly among Aboriginal Canadians.
PMID- 24916339
TI - The association between glomerular filtration rate and left ventricular function
in two independent community-based cohorts of elderly.
AB - BACKGROUND: The cardiorenal syndrome, the detrimental bi-directional interplay
between symptomatic heart failure and chronic kidney disease, is a major clinical
challenge. Nonetheless, it is unknown if this interplay begins already at an
asymptomatic stage. Therefore we investigated whether the glomerular filtration
rate (GFR) is associated with left ventricular function in participants free from
clinical heart failure and with a left ventricular ejection fraction (LVEF) >40%
and with pre-specified sub-group analyses in individuals with a GFR >60
mL/min/m(2). METHODS: Two independent community-based cohorts were used; the
Prospective Investigation of the Vasculature in Uppsala Seniors (PIVUS; n = 911;
50% women; mean age: 70 years) and the Uppsala Longitudinal Study of Adult Men
(ULSAM; n = 538; mean age: 71 years). We investigated cross-sectional association
between cystatin C-based GFR (estimated glomerular function [eGFR]) and systolic
(LVEF), diastolic- (isovolumic relaxation time [IVRT]) and global left
ventricular function (myocardial performance index [MPI]) determined by
echocardiography. RESULTS: In both PIVUS and ULSAM, higher eGFR was significantly
associated with higher LVEF (P = 0.004 [PIVUS] and P = 0.005 [ULSAM]). In PIVUS,
higher eGFR was significantly associated with lower IVRT (P = 0.001) and MPI (P =
0.006), in age- and sex-adjusted models. After further adjustment for
cardiovascular risk factors, the association between higher eGFR and higher LVEF
was still statistically significant (P = 0.008 [PIVUS] and P = 0.02 [ULSAM]). In
PIVUS, the age- and sex-adjusted association between eGFR and left ventricular
function was similar in participants with eGFR >60 mL/min/m(2). CONCLUSIONS: Our
data suggest that the interplay between kidney and heart function begins prior to
the development of symptomatic heart failure and kidney disease.
PMID- 24916341
TI - The presence of benzimidazole resistance mutations in Haemonchus placei from US
cattle.
AB - Haemonchus populations were collected from cattle from mid-western and eastern
Southern US (four and six populations, respectively) to determine the relative
prevalence of Haemonchus contortus and Haemonchus placei and the frequency of the
three isotype-1 beta-tubulin polymorphisms associated with benzimidazole
resistance. A minimum of 32 individual adult worms were genotyped at position 24
of the rDNA ITS-2 for each population to determine species identity (296 worms in
total). One population from Georgia was identified as 100% H. contortus with the
remaining nine populations identified as 100% H. placei. For the H. contortus
population, 29 out of 32 worms carried the P200Y (TAC) isotype-1 beta-tubulin and
2 out of 32 worms carried the P167Y (TAC) benzimidazole resistance associated
polymorphisms respectively. For H. placei, six out of the nine populations
contained the P200Y (TAC) isotype-1 beta-tubulin benzimidazole resistance
associated polymorphism at low frequency (between 1.6% and 9.4%) with no
resistance associated polymorphisms being identified at the P198 and P167 codons.
This is the first report of the P200Y (TAC) isotype-1 beta-tubulin benzimidazole
resistance associated polymorphism in H. placei. The presence of this mutation in
multiple independent H. placei populations indicates the risk of resistance
emerging in this parasite should benzimidazoles be intensively used for parasite
control in US cattle.
PMID- 24916340
TI - Multi-tissue transcriptomics of the black widow spider reveals expansions, co
options, and functional processes of the silk gland gene toolkit.
AB - BACKGROUND: Spiders (Order Araneae) are essential predators in every terrestrial
ecosystem largely because they have evolved potent arsenals of silk and venom.
Spider silks are high performance materials made almost entirely of proteins, and
thus represent an ideal system for investigating genome level evolution of novel
protein functions. However, genomic level resources remain limited for spiders.
RESULTS: We de novo assembled a transcriptome for the Western black widow
(Latrodectus hesperus) from deeply sequenced cDNAs of three tissue types. Our
multi-tissue assembly contained ~100,000 unique transcripts, of which > 27,000
were annotated by homology. Comparing transcript abundance among the different
tissues, we identified 647 silk gland-specific transcripts, including the few
known silk fiber components (e.g. six spider fibroins, spidroins). Silk gland
specific transcripts are enriched compared to the entire transcriptome in several
functions, including protein degradation, inhibition of protein degradation, and
oxidation-reduction. Phylogenetic analyses of 37 gene families containing silk
gland specific transcripts demonstrated novel gene expansions within silk glands,
and multiple co-options of silk specific expression from paralogs expressed in
other tissues. CONCLUSIONS: We propose a transcriptional program for the silk
glands that involves regulating gland specific synthesis of silk fiber and glue
components followed by protecting and processing these components into functional
fibers and glues. Our black widow silk gland gene repertoire provides extensive
expansion of resources for biomimetic applications of silk in industry and
medicine. Furthermore, our multi-tissue transcriptome facilitates evolutionary
analysis of arachnid genomes and adaptive protein systems.
PMID- 24916342
TI - Production, purification and therapeutic potential of egg yolk antibodies for
treating Trypanosoma evansi infection.
AB - The use of avian antibodies has aroused interest in biomedical research due to
the numerous advantages compared to mammal's antibodies. Our study aimed to
produce and purify IgY immunoglobulins in order to use as an alternative therapy
against Trypanosoma evansi. Every 14 days, four New Hampshire chickens were
immunized with trypomastigotes of T. evansi, totaling five inoculations. Eggs
were collected during 70 days and the extraction of IgY was performed by
precipitation through the PEG-6000 method. Characterization and purification of
IgY anti-T. evansi were carried out by SDS-PAGE and Western blot, where heavy and
light chains were detected. The production of IgY was noted during the whole
period, and the average production was 2.87 +/- 0.14 at the end of this study.
Sample's titration allowed the quantification of specific IgY anti-T. evansi,
with antibodies produced showing high avidity indexes. The results indicated that
T. evansi is able to generate an immune response in poultry, resulting in a
production of specific antibodies. In vivo test showed that IgY treatment
resulted in increase of prepatent period, longevity and survival of infected
animals, when compared with the positive control, demonstrating an initial, but
no curative, trypanocidal activity.
PMID- 24916343
TI - Stereocontrolled synthesis of bicyclic sulfamides via Pd-catalyzed alkene
carboamination reactions. Control of 1,3-asymmetric induction by manipulating
mechanistic pathways.
AB - A new annulation strategy for the synthesis of trans-bicyclic sulfamides is
described. The Pd-catalyzed alkene carboamination reactions of 2-allyl and cis
2,5-diallyl pyrrolidinyl sulfamides with aryl and alkenyl triflates afford the
fused bicyclic compounds in good yields and with good diastereoselectivity (up to
13:1 dr). Importantly, by employing reaction conditions that favor an anti
aminopalladation mechanism, the relative stereochemistry between the C3 and C4a
stereocenters of the products is reversed relative to related Pd-catalyzed
carboamination reactions that proceed via syn-aminopalladation.
PMID- 24916344
TI - Deducing the molecular properties of zwitterionic, protonated, deprotonated, and
double-deprotonated forms of L-cysteine from vibrational spectroscopy (IR, Raman,
VCD) and quantum chemical calculations.
AB - The behavior of L-cysteine (C3H7NO2S, (2R)-2-amino-3-sulfanylpropanoic acid) in
water at different pH values was analyzed both experimentally and theoretically.
The behavior was studied at pH values of 5.21 (at this pH, L-cysteine is a
zwitterionic species), 1.00 (protonated species), 8.84 (monodeprotonated
species), and 13.00 (dideprotonated species). We carried out a vibrational study
using nonchiroptical (IR-Raman) and chiroptical (VCD) techniques complemented by
quantum chemical calculations. We adopted a dual strategy, as follows. (i) The
hybrid density functionals B3LYP and M062X and the ab initio MP2 method were
employed, with the same 6-311++G (d,p) basis set, in order to characterize the
relative energies and structures of an extensive set of conformers of L-cysteine.
The presence of water was included by utilizing the IEF-PCM implicit solvation
model. (ii) The vibrational analysis was made using a chirality-sensitive using a
chirality-sensitive technique (VCD) and chirality-insensitive techniques (IR,
including MIR and FIR, and Raman), especially in aqueous solution. The results
obtained theoretically and experimentally were compared in order to deduce the
most stable structures at each pH. Moreover, for the first time, the
monodeprotonated anion of L-cysteine was detected in aqueous solution by means of
IR, Raman and vibrational circular dichroism (VCD). Finally, analysis of the low
frequency region using the IR and Raman techniques was shown to be a very
important way to understanding the conformational preference of the zwitterionic
species.
PMID- 24916345
TI - A fast and unbiased procedure to randomize ecological binary matrices with fixed
row and column totals.
AB - A well-known problem in numerical ecology is how to recombine presence-absence
matrices without altering row and column totals. A few solutions have been
proposed, but all of them present some issues in terms of statistical robustness
(that is, their capability to generate different matrix configurations with the
same probability) and their performance (that is, the computational effort that
they require to generate a null matrix). Here we introduce the 'Curveball
algorithm', a new procedure that differs from existing methods in that it focuses
rather on matrix information content than on matrix structure. We demonstrate
that the algorithm can sample uniformly the set of all possible matrix
configurations requiring a computational effort orders of magnitude lower than
that required by available methods, making it possible to easily randomize
matrices larger than 10(8) cells.
PMID- 24916346
TI - Medical management of patients with peripheral arterial disease.
AB - Peripheral arterial disease (PAD) is one of the most frequent manifestations of
atherosclerosis and is associated with atherosclerosis in the coronary and
carotid arteries, leading to a highly increased incidence of cardiovascular
events. Major risk factors of PAD are similar to those that lead to
atherosclerosis in other vascular beds. However, there are differences in the
power of individual risk factors in the different vascular territories. Cigarette
smoking and diabetes mellitus represent the greatest risks of PAD. For prevention
of the progression of PAD and accompanying cardiovascular events similar
preventative measures are used as in coronary artery disease (CAD). However,
recent data indicate that there are some differences in the efficacy of drugs
used in the prevention of atherothrombotic events in PAD. Antiplatelet treatment
is indicated in virtually all patients with PAD. In spite of the absence of hard
evidence- based data on the long term efficacy of aspirin, it is still considered
as a first line treatment and clopidogrel as an effective alternative. The new
antiplatelet drugs ticagrelol and prasugrel also represent promising options for
treatment of PAD. Statin therapy is indicated to achieve the target low density
lipoprotein cholesterol level of <=2.5 mmol/L (100 mg/dL) and there is emerging
evidence that lower levels are more effective. Statins may also improve walking
capacity. Antihypertensive treatment is indicated to achieve the goal blood
pressure (<140/90 mmHg). All classes of antihypertensive drugs including beta
blockers are acceptable for treatment of hypertension in patients with PAD.
Diabetic patients with PAD should reduce their glycosylated haemoglobin to <=7%.
As PAD patients represent the group with the highest risk of atherothrombotic
events, these patients need the most intensive treatment and elimination of risk
factors of atherosclerosis. These measures should be as comprehensive as those in
patients with established coronary and cerebrovascular disease.
PMID- 24916348
TI - [Regulatory T cells and NK cells in cancer patients].
AB - NK cells represent the cells of the immune system most effective for eradication
of infected or neoplastic cells. Regulatory T cells and the two main subgroups
thereof-the naturally occurring nTregs and the tumor-associated induced Tregs
(iTregs)-play an important role in the antitumor immune response in cancer
patients. The current study explores the intercellular interactions of these
groups of cells in tumor patients, particularly in head and neck cancer. Critical
interactions between these cells and the cancer cells could be observed in
extensive experimental analyses. Firstly, we generated tumor-associated iTregs in
a specific human culture. Subsequently, various phenotypic and functional
relationships between these cells, nTregs, NK cells and tumor cells were analyzed
in an autologous system. Although the activity of naive NK cells was enhanced by
iTregs in the presence of tumor cells, the cytotoxic function of NK cells
activated by interleukin-2 was markedly inhibited by iTregs and nTregs. Our group
was able to document new insights into the complex regulation of human NK cells
and regulatory T cells in the tumor microenvironment. These new insights may be
of relevance for an improved understanding of the antitumor immune response and
the development of immunotherapeutic strategies.
PMID- 24916349
TI - [New perspectives in skeletal muscle tissue engineering].
AB - Due to the enormous expansion of knowledge in the fields of stem cell research
and biomaterials, skeletal muscle tissue engineering represents a rapidly
developing field of biomedical research. This article provides a general overview
of skeletal muscle tissue engineering, including a discussion of recent findings
and future research perspectives. Additionally, the results of myogenic
differentiation of human mesenchymal stem cells and satellite cells are
presented.
PMID- 24916350
TI - [Water regulation in the cochlea : Do molecular water channels facilitate
potassium-dependent sound transduction?].
AB - BACKGROUND: Sound transduction in the cochlea critically depends on the
circulation of potassium ions (K(+)) along so-called "K(+) recycling routes"
between the endolymph and perilymph. These K(+) currents generate high ionic and
osmotic gradients, which potentially impair the excitability of sensory hair
cells and threaten cell survival in the entire cochlear duct. Molecular water
channels-aquaporins (AQP)-are expressed in all cochlear supporting cells along
the K(+) recycling routes; however, their significance for osmotic equilibration
in cochlear duct cells is unknown. METHODS: The diffusive and osmotic water
permeabilies of Reissner's membrane, the organ of Corti and the entire cochlear
duct epithelium were determined. Expression of the potassium channel Kir4.1 and
the water channel AQP4 in the cochlear duct was investigated by
immunohistochemistry. RESULTS: The calculated water permeability values indicate
the extent of AQP-facilitated water flux across the cochlear duct epithelium.
Immunohistochemically, Kir4.1 and AQP4 were found to colocalize in distinct
membrane domains of supporting cells along the K(+)-recycling routes. CONCLUSION:
These observations suggest the presence of a rapid AQP-mediated water exchange
between the endolymph, the cells of the cochlear duct and the perilymph. The
subcellular colocalization of Kir4.1 and AQP4 in epithelial supporting cells
indicates functional coupling of potassium and water flow in the cochlea.
Finally, this offers an explanation for the hearing impairment observed in
individuals with mutations in the AQP4 gene.
PMID- 24916351
TI - [Risk assessment of nanoparticles in consumer products].
AB - Nanomaterials are not just used in various areas of scientific research, but are
increasingly found in consumer products. Particularly the cosmetic and textile
industries, as well as the medical branch benefit from the specific chemical and
physical properties of nanoparticles (NPs). However, the knowledge base
concerning the potential health hazards that nanomaterials hold for humans is far
from complete. NPs mainly enter the organism via the lungs or the
gastrointestinal tract, where they can accumulate. Transcutaneous penetration is
most unlikely in the case of healthy skin. Chronic inflammatory reactions of the
airways are particularly relevant in the context of potential risks to human
health. Evidence for a geno- and cytotoxic potential of some of the most
frequently used NPs is available from cell culture and animal experiments.
Therefore, the risk of NP-induced cancerogenesis cannot be ruled out. Currently
available nanotoxicological data is partly contradictory, due to differing
characteristics of the tested substances and variable experimental settings. Long
term studies using continuous NP exposure in consumer-relevant dosages are
needed. Additionally, the molecular mechanisms of NP-induced toxicity have to be
elucidated in detail.
PMID- 24916352
TI - [Development of a computed tomography data-based Vibrant Bonebridge viewer].
AB - BACKGROUND: Because of the anatomy of the mastoid and the size of the actuator,
positioning of the Vibrant Bonebridge B-FMT can be difficult without prior
evaluation of the individual computed tomography (CT) scan of the temporal bone.
Development of a user-friendly CT data viewer to enable positioning of the B-FMT
in the temporal bone model, whilst identifying individual, potential anatomic
conflicts and offering possible solutions could provide a useful tool for
preoperative positioning. OBJECTIVES: Aim of the study was to define the
requirements of a Vibrant Bonebridge viewer and construct a prototype. MATERIALS
AND METHODS: Based on a ZIBAmira software version and inclusion of a B-FMT model
upon creation of a model of the temporal bone-which allows the intuitive
estimation of individual, anatomic conflicts-a Vibrant Bonebridge viewer was
constructed. RESULTS: The segmentation time of the individual digital imaging and
communications in medicine (DICOM) data set is about 5 min. Positioning within
the individual three-dimensional temporal bone model allows quantitative and
qualitative estimation of conflicts (sigmoid sinus, middle cranial fossa) and
determination of a preferred position for the B-FMT. Lifting of the B-FMT can be
simulated with the help of a virtual washer. CONCLUSION: The Vibrant Bonebridge
viewer reliably allows simulation of B-FMT positioning. The clinical value of the
viewer still has to be evaluated.
PMID- 24916353
TI - [Physiology of the upper esophageal sphincter].
AB - The upper esophageal sphincter (UES) forms a barrier between the pharynx and the
esophagus. When opened, the UES allows the food bolus to pass into the esophagus,
as well as permitting emesis and eructation. The basal sphincter tone constitutes
a barrier function which serves to prevent reflux and passive aerophagia in the
case of deep breathing. Basal sphincter tone is dependent on several influencing
factors; during swallowing, sphincter opening and closure follow a complex
multiphase pattern. This article presents an overview of the current
understanding of UES physiology.
PMID- 24916354
TI - [Medical examination: Preparation for ENT specialisation : Part 15].
PMID- 24916355
TI - Hierarchical competition models with Allee effects.
AB - We consider a two-species hierarchical competition model with a strong Allee
effect. The Allee effect is assumed to be caused by predator saturation.
Moreover, we assume that there is a 'silverback' species x that gets first choice
of the resources and where growth is limited by its own intraspecific
competition, while the second 'inferior' species y gets whatever is left. Both
species x and y are assumed to have the property of strong Allee effect. In this
paper we determine the impact of the presence of the Allee effect on the global
dynamics of both species.
PMID- 24916356
TI - Hepatocellular carcinoma in situs ambiguus: CT findings of a rare disposition.
AB - Heterotaxic disorders or situs ambiguus are uncommon anatomical variations
constituted by a partial mirror-image disposition of intra thoracic and/or
abdominal solid organs. These variations are challenging because rarely met in a
surgeon's career, and because of the coexistence of numerous other anatomical
variants, like ones related to the asymmetrical organs, causing difficulties when
a surgical management is required. We report the case of a 57-year-old patient
presenting liver cirrhosis in which regular follow-up discovered a hepatocellular
carcinoma of the right part of the liver associated to numerous anatomical
variations in the setting of a situs ambiguus. This patient was successfully
treated by a sub-segmentectomy via a right sub-costal laparotomy. There were
neither peroperative nor postoperative complications. This case emphasizes the
technical difficulties faced, successfully managed thanks to a good preoperative
screening, and allows us to review literature of such a rare and challenging
situation.
PMID- 24916357
TI - Clinical heterogeneity of immunodysregulation, polyendocrinopathy, enteropathy, X
linked: pulmonary involvement as a non-classical disease manifestation.
AB - PURPOSE: IPEX (Immunodysregulation, Polyendocrinopathy, Enteropathy, X-linked) is
a rare X-linked recessive life-threatening disorder characterized by autoimmunity
and early death. Pulmonary complication related with IPEX has not been elucidated
exactly. Here, we report 4 IPEX patients, 3 of which died from severe pulmonary
disease. METHODS: Clinical data and laboratory findings including autoantibodies,
immunoglobulin levels as well as number of T, B and NK cells were evaluated.
FOXP3 expression and T reg activity were analyzed. The FOXP3 gene was sequenced
and RNA analysis was performed. RESULTS: Patient I (PI) presented with nephrotic
syndrome at 3 years of age and then developed autoimmune hepatitis without
eczema, enteropathy or high IgE and died at 9 years of age due to acute
respiratory distress syndrome (ARDS). Two cousins of PI had the same hypomorphic
splice site mutation leading to a deletion of 27 amino acids, but normal FOXP3
protein expression and normal suppressive capacity of T reg in a proliferation
inhibition assay. However, they exhibited typical symptoms such as eczema,
diabetes and enteropathy with eosinophilia at early age (PII, PIII) and were
transplanted in infancy. One of them had severe respiratory distress right after
birth (PIII). Patient IV from another family presented with chronic diarrhea
without autoimmune manifestations and died due to ARDS. CONCLUSION: Lung disease
related to IPEX syndrome has not been reported before and this entity could be a
critical factor in disease outcome.
PMID- 24916358
TI - Interoceptive ability predicts aversion to losses.
AB - Emotions have been proposed to inform risky decision-making through the influence
of affective physiological responses on subjective value. The ability to perceive
internal body states, or "interoception" may influence this relationship. Here,
we examined whether interoception predicts participants' degree of loss aversion,
which has been previously linked to choice-related arousal responses.
Participants performed both a heartbeat-detection task indexing interoception and
a risky monetary decision-making task, from which loss aversion, risk attitudes
and choice consistency were parametrically measured. Interoceptive ability
correlated selectively with loss aversion and was unrelated to the other value
parameters. This finding suggests that specific and separable component processes
underlying valuation are shaped not only by our physiological responses, as shown
in previous findings, but also by our interoceptive access to such signals.
PMID- 24916359
TI - Recurrent vitreous hemorrhage associated with regressed retinopathy of
prematurity in a 47-year-old patient: a case report.
AB - INTRODUCTION: Vitreous hemorrhage associated with retinopathy of prematurity is
often seen in childhood, but adult onset without retinal break is rare. We
describe a case of recurrent vitreous hemorrhage associated with regressed
retinopathy of prematurity in a 47-year-old patient. CASE PRESENTATION: A 47-year
old Japanese woman with a history of retinopathy of prematurity presented with a
visual disturbance in her left eye due to vitreous hemorrhage. Because the
vitreous hemorrhage was recurrent and refractory, we performed pars plana
vitrectomy combined with lens extraction by phacoemulsification and intraocular
lens implantation. No retinal break or retinal detachment was found. No vitreous
hemorrhage or other complication occurred in the first six months after surgery.
CONCLUSIONS: Vitrectomy, potentially in combination with lens extraction, should
be considered in adult-onset recurrent vitreous hemorrhage associated with
retinopathy of prematurity.
PMID- 24916360
TI - Validation of the Suicide Resilience Inventory-25 with American and Chinese
college students.
AB - This study is the first to evaluate evidence for measurement invariance and the
psychometric properties of the Suicide Resilience Inventory-25 (SRI-25; Osman et
al., ) in college-age samples in both the United States and China. We found
strong support for full measurement invariance of the three-factor structure of
the SRI-25 in the U.S. (113 men and 238 women) and Chinese (121 men and 205
women) samples. In addition, we found that the U.S. sample scored significantly
higher than the Chinese sample on all the individual scale scores. Composite
scale reliability estimates ranged from moderate (rho = .83) to high (rho = .93)
across the groups. Although not an aim of the current study, we examined
estimates of internal consistency of the SRI-25 scales for men and women within
each sample. Differential correlates of the SRI-25 scales were explored further
for each sample. These results provide support for the use of the SRI-25 in U.S.
and Chinese student samples.
PMID- 24916361
TI - Increasing maternal percentage body fat in early second trimester: a risk factor
for preeclampsia.
AB - OBJECTIVE: To determine if maternal percentage body fat (PBF) or fat free mass
(FFM) in the early second trimester of pregnancy influenced the development of
preeclampsia. METHODS: A matched nested case-control study was conducted from a
cohort study of 1668 women at Gansu provincial maternal and child care hospital
from July 2007 to August 2011 in China. Maternal PBF and FFM were assessed by
bioelectrical impedance analysis during 12th-16th gestational week. The
demographic characteristics were all chart abstracted. After childbirth, 70 cases
of preeclampsia were matched by race/age with 140 uncomplicated pregnancies
women. Multivariate logistic regression analysis was performed to determine the
associated risk factors. RESULTS: Pre-pregnancy body mass index were higher in
women who subsequently developed preeclampsia compared with controls (p < 0.001).
During 12th-16th gestational week, there were nearly 7-fold increase in the odds
of preeclampsia (adjusted OR: 6.84, 95% CI: 4.15-41.60) among women with PBF >=
40% versus women with PBF < 40%. But FFM were not at further increased risk of
the development of preeclampsia (adjusted OR, 1.02; 95% CI, 0.6-3.6). CONCLUSION:
Maternal PBF but not FFM is a predictor of preeclampsia in the early second
trimester. Excessive adipose tissue possibly played an important role in
developing of preeclampsia.
PMID- 24916362
TI - Trypanosoma cruzi strain TcI is associated with chronic Chagas disease in the
Brazilian Amazon.
AB - BACKGROUND: Chagas disease in the Amazon region is considered an emerging
anthropozoonosis with a predominance of the discrete typing units (DTUs) TcI and
TcIV. These DTUs are responsible for cases of acute disease associated with oral
transmission. Chronic disease cases have been detected through serological
surveys. However, the mode of transmission could not be determined, or any
association of chronic disease with a specific T. cruzi DTU's. The aim of this
study was to characterize Trypanosoma cruzi in patients with chronic Chagas
disease in the State of Amazonas, Brazil. METHODS: Blood culture and
xenodiagnosis were performed in 36 patients with positive serology for Chagas
disease who participated in a serological survey performed in urban and rural
areas of Manaus, Amazonas. DNA samples were extracted from the feces of
triatomines used for xenodiagnosis, and the nontranscribed spacer of the mini
exon gene and the mitochondrial gene cytochrome oxidase subunit II (COII) were
amplified by PCR and sequenced. RESULTS: Blood culture and xenodiagnosis were
negative in 100% of samples; however, molecular techniques revealed that in 13
out of 36 (36%) fecal samples from xenodiagnosis, T. cruzi was characterized as
the DTU TcI, and different haplotypes were identified within the same DTU.
CONCLUSION: The DTU TcI, which is mainly associated with acute cases of Chagas
disease in the Amazon region, is also responsible for chronic infection in
patients from a region in the State of Amazonas.
PMID- 24916363
TI - Repeated morphine treatment alters cannabinoid modulation of GABAergic synaptic
transmission within the rat periaqueductal grey.
AB - BACKGROUND AND PURPOSE: Cannabinoids and opioids produce antinociception by
modulating GABAergic synaptic transmission in a descending analgesic pathway from
the midbrain periaqueductal grey (PAG). While chronic opioid treatment produces
opioid tolerance, it has recently been shown to enhance cannabinoid-induced
antinociception within the PAG. This study examined the effect of repeated opioid
treatment on opioid and cannabinoid presynaptic modulation of GABAergic synaptic
transmission in PAG. EXPERIMENTAL APPROACH: Midbrain PAG slices were prepared
from untreated rats, and rats that had undergone repeated morphine or saline
pretreatment. Whole-cell voltage-clamp recordings were made from neurons within
the ventrolateral PAG. KEY RESULTS: In slices from untreated animals, the
cannabinoid receptor agonist WIN55212 and the MU receptor agonist DAMGO inhibited
electrically evoked GABAA receptor-mediated inhibitory postsynaptic currents
(IPSCs) IPSCs in PAG neurons, with IC50 s of 30 and 100 nM respectively. The
inhibition of evoked IPSCs produced by WIN55212 (30 nM) and DAMGO (100 nM) was
similar in PAG neurons from morphine- and saline-treated animals. The cannabinoid
CB1 receptor antagonist AM251 increased the frequency of spontaneous miniature
IPSCs in PAG neurons from repeated morphine-, but not saline-treated animals.
DAMGO inhibition of evoked IPSCs was enhanced in the presence of AM251 in
morphine-, but not saline-treated animals. CONCLUSIONS AND IMPLICATIONS: These
results indicate that the efficiency of agonist-induced inhibition of GABAergic
synaptic transmission is enhanced by morphine treatment, although this is
dampened by endocannabinoid-mediated tonic inhibition. Thus, endocannabinoid
modulation of synaptic transmission could provide an alternative analgesic
approach in a morphine-tolerant state. LINKED ARTICLES: This article is part of a
themed section on Opioids: New Pathways to Functional Selectivity. To view the
other articles in this section visit http://dx.doi.org/10.1111/bph.2015.172.issue
2.
PMID- 24916364
TI - Temporary hemiepiphysiodesis of the distal medial femur: MPFL in danger.
AB - INTRODUCTION: Temporary hemiepiphysiodesis (TH) with plate fixation is a well
accepted and common treatment for correcting leg malalignment in skeletally
immature patients. The purpose of this study was to investigate any soft tissue
damage caused during TH at the distal medial femur with a plate and two screws.
We hypothesized that correct plate placement can affect the integrity of the
medial stabilizing structures of the knee, especially the medial patellofemoral
ligament (MPFL), the medial collateral ligament (MCL) or result in arthrotomy of
the knee joint itself. MATERIALS AND METHODS: In eight cadaveric knees of five
adult humans a TH was performed with a plate and two cancellous screws at the
distal medial femur using a standardized surgical technique. Subsequently the
medial capsular and ligamentous structures were systematically exposed and
assessed. Capsular and synovial tissue was also inspected for impingement by the
plate or screws. RESULTS: In all knees the MPFL was present. In two specimens the
MPFL was intact and the plate was lying over the dorsal part of the MPFL close to
the MCL. The MPFL was completely cut in two cases in the central part of the
ligament. In four cases the MPFL was partially dissected or perforated by a screw
and fixed to the femur by the plate. The MCL was intact and not impinged by the
implant in any case. In total four of eight knees the capsule was transected or
perforated by a screw or by a part of the plate, resulting in intraarticular
implant placement. CONCLUSIONS: Standard plate placement during TH on the distal
medial femur frequently leads to damage to the MPFL, impingement of the MPFL, the
capsular and synovial tissues or exposure of the knee joint.
PMID- 24916365
TI - Impact of TREM-2 gene silencing on inflammatory response of endotoxin-induced
acute lung injury in mice.
AB - Acute lung injury (ALI) is one of the critical clinical respiratory diseases, of
which infection is the main cause and the first risk factor. This study
investigated the impact of triggering receptor of myeloid cells expression (TREM)
2 gene silencing on inflammatory response of endotoxin-induced ALI in mice.
Lentivirus-mediated TREM-2-shRNA was transfected into healthy male C57BL/6 mice,
and the lipopolysaccharide-induced ALI model was established. The
immunohistochemistry, immunofluorescence, fluorescence quantitative PCR, western
blot, and ELISA were applied to detect the pathological changes of lung tissue
and expressions of TREM-2, tumor necrosis factor-alpha (TNF-alpha), and
interleukin 10 (IL-10) in bronchoalveolar lavage fluid. The lentivirus group,
saline control group, ALI model group, blank control group, and negative control
group were set up at the same time. Results found that, in lentivirus group, the
pathological change of lung tissue was significantly lighter than ALI model group
(P < 0.05), and the expression of TREM-2 was significantly reduced compared with
all control groups (P < 0.05). The levels of TNF-alpha and IL-10 were
significantly increased than all control groups (P < 0.05), while above indexes
in negative control group and blank control group showed no significant
difference with ALI group (P > 0.05). This study indicates that TREM-2 has a
protective effect on inflammatory response of endotoxin-induced ALI in mice,
which has provided new potential targets for prevention and treatment of ALI.
PMID- 24916366
TI - EOLA1 protects lipopolysaccharide induced IL-6 production and apoptosis by
regulation of MT2A in human umbilical vein endothelial cells.
AB - Endothelial cell (EC) injury or dysfunction is believed to be mediated at least
in part by lipopolysaccharide (LPS). Recent studies have shown that LPS induces
apoptosis in different types of endothelium, including HUVEC. Previously we used
EOLA1 (endothelial-overexpressed LPS-associated factor 1) cDNA as a bait and
performed a yeast two-hybrid screening of a human liver cDNA library and
identified metallothionein 2a (MT2a) as the associated protein. EOLA1 protein
plays a role as a signal transduction factor. But the mechanism of EOLA1 mediated
the protection of cell production of IL-6 and apopotosis in HUVEC is not known.
MT2a is expressed in many kinds of cells and plays a role in inflammation. In
this study, we demonstrated that LPS could induce EOLA1 expression in time
dependent and apparently contributed to the inhibition of IL-6 production and
apoptosis induced by LPS treatment. We also found that deletion of EOLA1 promoted
IL-6 production and apoptosis in the treatment of LPS in HUVEC. Furthermore, we
demonstrated that MT2a was activated by LPS, and played a key role in LPS-induced
IL-6 expression in HUVEC. We further provided the evidence that EOLA1 functioned
as a negative regulator for LPS response by regulation of MT2a. These findings
suggest that EOLA1 may have an important regulatory role during EC inflammatory
responses.
PMID- 24916367
TI - Modeling and analysis of a density-dependent stochastic integral projection model
for a disturbance specialist plant and its seed bank.
AB - In many plant species dormant seeds can persist in the soil for one to several
years. The formation of these seed banks is especially important for disturbance
specialist plants, as seeds of these species germinate only in disturbed soil.
Seed movement caused by disturbances affects the survival and germination
probability of seeds in the seed bank, which subsequently affect population
dynamics. In this paper, we develop a stochastic integral projection model for a
general disturbance specialist plant-seed bank population that takes into account
both the frequency and intensity of random disturbances, as well as vertical seed
movement and density-dependent seedling establishment. We show that the
probability measures associated with the plant-seed bank population converge
weakly to a unique measure, independent of initial population. We also show that
the population either persists with probability one or goes extinct with
probability one, and provides a sharp criteria for this dichotomy. We apply our
results to an example motivated by wild sunflower (Helianthus annuus)
populations, and explore how the presence or absence of a "storage effect"
impacts how a population responds to different disturbance scenarios.
PMID- 24916368
TI - Are the antagonists of the renin-angiotensin system also anticancer agents?
AB - The antagonists of the renin-angiotensin system (RAS) have gained increasing
popularity in the last two decades due to their indisputable efficacy in a number
of cardiovascular disorders, coupled with an unsurpassed tolerability. However
some years ago a partial and non-predefined meta-analysis raised the possibility
that angiotensin receptor antagonists in particular may increase the incidence of
cancer. This observation, although not confirmed by subsequent, larger analyses,
caused a remarkable and understandable concern even outside the medical
community. Herein we will summarize the available evidence pro and con the
hypothesis of a carcinogenetic activity of RAS antagonists coming to the
conclusion that these drugs may actually exert an anticancer action.
PMID- 24916369
TI - Anterior cervical hypertrichosis: a case report and review of the literature.
AB - Anterior cervical hypertrichosis (ACH), or "hairy throat," is a rare form of
localized hypertrichosis that refers to the presence of a tuft of terminal hair
on the anterior neck. Only 40 cases of ACH have been reported in the literature.
Although it is usually an isolated finding, it may be associated with systemic
disorders such as neurologic abnormalities (peripheral neuropathy, developmental
delay, mental retardation), ophthalmologic disorders (optic atrophy,
chorioretinal changes), hallux valgus, and dorsal hypertrichosis. Thus it is
strongly advised to take a thorough family history and to perform clinical
examinations and investigations (neurologic and ophthalmologic examination,
electromyography, X-ray of the feet) in all patients with ACH to exclude possible
associated abnormalities. We report the case of a 7-year-old Italian girl who
presented with this condition as an isolated finding.
PMID- 24916370
TI - Social barriers to Type 2 diabetes self-management: the role of capital.
AB - Approaches to self-management traditionally focus upon individual capacity to
make behavioural change. In this paper, we use Bourdieu's concepts of habitus and
capital to demonstrate the impact of structural inequalities upon chronic illness
self-management through exploring findings from 28 semi-structured interviews
conducted with people from a lower socioeconomic region of Adelaide, South
Australia who have type 2 diabetes. The data suggests that access to capital is a
significant barrier to type 2 diabetes self-management. While many participants
described having sufficient cultural capital to access and assess health
information, they often lacked economic capital and social capital in the form of
support networks who promote health. Participants were often involved in social
networks in which activities which are contrary to self-management have symbolic
value. As a consequence, they entered relationships with health professionals at
a disadvantage. We conclude that structural barriers to self-management arising
from habitus resulting in the performance of health behaviours rooted in cultural
and class background and limited access to capital in the form of economic
resources, social networks, health knowledge and prestige may have a negative
impact on capacity for type 2 diabetes self-management.
PMID- 24916371
TI - The effect of communication change on long-term reductions in child exposure to
conflict: impact of the promoting strong African American families (ProSAAF)
program.
AB - African American couples (n = 331) with children, 89% of whom were married, were
assigned to either (a) a culturally sensitive couple- and parenting-enhancement
program (ProSAAF) or (b) an information-only control condition in which couples
received self-help materials. Husbands averaged 41 years of age and wives
averaged 39 years. We found significant effects of program participation in the
short term on couple communication, which was targeted by the intervention, as
well as over the long term, on self-reported arguing in front of children. Long
term parenting outcomes were fully mediated by changes in communication for
wives, but not for husbands. For husbands, positive change depended on amount of
wife reported change. We conclude that wives' changes in communication from
baseline to posttest may be more pivotal for the couples' long-term experience of
decreased arguing in front of children than are husbands' changes, with wives'
changes leading to changes in both partners' reports of arguments in front of
children.
PMID- 24916372
TI - Use of tool sets by chimpanzees for multiple purposes in Moukalaba-Doudou
National Park, Gabon.
AB - We report our recent findings on the use of tool sets by chimpanzees in Moukalaba
Doudou National Park, Gabon. Direct observations and evidences left by
chimpanzees showed that chimpanzees used sticks as pounders, enlargers, and
collectors to extract honey from beehives of stingless bees (Meliponula sp.),
which may correspond to those previously found in the same site for fishing
termites and to those found in Loango National Park, Gabon. However, we observed
chimpanzees using a similar set of tools for hunting a medium-sized mammal
(possibly mongoose) that hid inside a log. This is the first report of hunting
with tools by a chimpanzee population in Central Africa. Chimpanzees may
recognize the multiple functions and applicability of tools (extracting honey and
driving prey), although it is still a preliminary speculation. Our findings may
provide us a new insight on the chimpanzee's flexibility of tool use and
cognitive abilities of complex food gathering.
PMID- 24916373
TI - Abstracts of the 60th Annual Meeting of the Scientific and Standardization
Committee of the International Society on Thrombosis and Haemostasis, june 23-26,
2014, Milwaukee, WI.
PMID- 24916374
TI - The feasibility and clinical efficacy of intravenous iron administration for
preoperative anaemia in patients with colorectal cancer.
AB - AIM: The study aimed to analyse the feasibility and efficacy of administration of
a single intravenous iron infusion (IVI) in the preoperative optimization of
colorectal cancer patients with anaemia. METHOD: Twenty patients were recruited
at least 14 days before the planned date of surgery. A single 1000 mg dose of
ferric carboxymaltose (Ferinject) was administered as an outpatient procedure.
Blood samples were taken at recruitment prior to drug administration (REC), on
the day of surgery prior to any intervention (DOS) and on the first postoperative
day. Allogeneic red blood cell transfusions (ARBT) and outcomes were recorded
from recruitment throughout the study period. RESULTS: There was a significant
median rise in haemoglobin levels (Hb) from REC to DOS of 1.8 g/dl [interquartile
range (IQR) 0.75-2.45, P < 0.001] for the entire cohort. Two patients received
ARBT preoperatively, and for those not transfused preoperatively (n = 18), this
incremental Hb rise remained significant (P < 0.001, median 1.65 g/dl, IQR 0.5
2.3). Of these patients, those who responded to IVI had higher erythropoietin
(EPO) levels at recruitment (P < 0.01) and lower recruitment Hb values,
transferrin-saturation (TSAT) and C-reactive protein (CRP) levels (P < 0.05). REC
Hb (Rs = -0.62, P < 0.01), REC TSAT levels (Rs = -0.67, P < 0.01) and REC EPO (Rs
= 0.69, P < 0.01) correlated with the magnitude of treatment change in Hb levels.
Five patients received ARBT until the fourth postoperative day, which was
significantly fewer than predicted (P < 0.05). CONCLUSION: IVI can be
administered preoperatively in the outpatient clinic to colorectal cancer
patients with anaemia, with associated reduction in ARBT use and increase in Hb
levels.
PMID- 24916375
TI - Human skin color is influenced by an intergenic DNA polymorphism regulating
transcription of the nearby BNC2 pigmentation gene.
AB - Single nucleotide polymorphisms (SNPs) found to be statistically significant when
associated with human diseases, and other phenotypes are most often located in
non-coding regions of the genome. One example is rs10765819 located in the first
intron of the BNC2 gene previously associated with (saturation of) human skin
color. Here, we demonstrate that a nearby intergenic SNP (rs12350739) in high
linkage disequilibrium with rs10756819 is likely the causal DNA variant for the
observed BNC2 skin color association. The highly conserved region surrounding
rs12350739 functions as an enhancer element regulating BNC2 transcription in
human melanocytes, while the activity of this enhancer element depends on the
allelic status of rs12350739. When the rs12350739-AA allele is present, the
chromatin at the region surrounding rs12350739 is inaccessible and the enhancer
element is only slightly active, resulting in low expression of BNC2,
corresponding with light skin pigmentation. When the rs12350739-GG allele is
present however, the chromatin at the region surrounding rs12350739 is more
accessible and the enhancer is active, resulting in a higher expression of BNC2,
corresponding with dark skin pigmentation. Overall, we demonstrate the
identification of the functional DNA variant that explains the BNC2 skin color
association signal, providing another important step towards further
understanding human pigmentation genetics beyond statistical association. We thus
deliver a clear example of how an intergenic non-coding DNA variant modulates the
regulatory potential of the enhancer element it is located within, which in turn
results in allele-dependent differential gene expression affecting variation in
common human traits.
PMID- 24916376
TI - Extensive investigation of the IGF2/H19 imprinting control region reveals novel
OCT4/SOX2 binding site defects associated with specific methylation patterns in
Beckwith-Wiedemann syndrome.
AB - Isolated gain of methylation (GOM) at the IGF2/H19 imprinting control region 1
(ICR1) accounts for about 10% of patients with BWS. A subset of these patients
have genetic defects within ICR1, but the frequency of these defects has not yet
been established in a large cohort of BWS patients with isolated ICR1 GOM. Here,
we carried out a genetic analysis in a large cohort of 57 BWS patients with
isolated ICR1 GOM and analyzed the methylation status of the entire domain. We
found a new point mutation in two unrelated families and a 21 bp deletion in
another unrelated child, both of which were maternally inherited and affected the
OCT4/SOX2 binding site in the A2 repeat of ICR1. Based on data from this and
previous studies, we estimate that cis genetic defects account for about 20% of
BWS patients with isolated ICR1 GOM. Methylation analysis at eight loci of the
IGF2/H19 domain revealed that sites surrounding OCT4/SOX2 binding site mutations
were fully methylated and methylation indexes declined as a function of distance
from these sites. This was not the case in BWS patients without genetic defects
identified. Thus, GOM does not spread uniformly across the IGF2/H19 domain,
suggesting that OCT4/SOX2 protects against methylation at local sites. These
findings add new insights to the mechanism of the regulation of the ICR1 domain.
Our data show that mutations and deletions within ICR1 are relatively common.
Systematic identification is therefore necessary to establish appropriate genetic
counseling for BWS patients with isolated ICR1 GOM.
PMID- 24916377
TI - Gene expression in mdx mouse muscle in relation to age and exercise: aberrant
mechanical-metabolic coupling and implications for pre-clinical studies in
Duchenne muscular dystrophy.
AB - Weakness and fatigability are typical features of Duchenne muscular dystrophy
patients and are aggravated in dystrophic mdx mice by chronic treadmill exercise.
Mechanical activity modulates gene expression and muscle plasticity. Here, we
investigated the outcome of 4 (T4, 8 weeks of age) and 12 (T12, 16 weeks of age)
weeks of either exercise or cage-based activity on a large set of genes in the
gastrocnemius muscle of mdx and wild-type (WT) mice using quantitative real-time
PCR. Basal expression of the exercise-sensitive genes peroxisome-proliferator
receptor gamma coactivator 1alpha (Pgc-1alpha) and Sirtuin1 (Sirt1) was higher in
mdx versus WT mice at both ages. Exercise increased Pgc-1alpha expression in WT
mice; Pgc-1alpha was downregulated by T12 exercise in mdx muscles, along with
Sirt1, Ppargamma and the autophagy marker Bnip3. Sixteen weeks old mdx mice
showed a basal overexpression of the slow Mhc1 isoform and Serca2; T12 exercise
fully contrasted this basal adaptation as well as the high expression of
follistatin and myogenin. Conversely, T12 exercise was ineffective in WT mice.
Damage-related genes such as gp91-phox (NADPH-oxidase2), Tgfbeta, Tnfalpha and c
Src tyrosine kinase were overexpressed in mdx muscles and not affected by
exercise. Likewise, the anti-inflammatory adiponectin was lower in T12-exercised
mdx muscles. Chronic exercise with minor adaptive effects in WT muscles leads to
maladaptation in mdx muscles with a disequilibrium between protective and
damaging signals. Increased understanding of the pathways involved in the altered
mechanical-metabolic coupling may help guide appropriate physical therapies while
better addressing pharmacological interventions in translational research.
PMID- 24916378
TI - Loss of TFB1M results in mitochondrial dysfunction that leads to impaired insulin
secretion and diabetes.
AB - We have previously identified transcription factor B1 mitochondrial (TFB1M) as a
type 2 diabetes (T2D) risk gene, using human and mouse genetics. To further
understand the function of TFB1M and how it is associated with T2D, we created a
beta-cell-specific knockout of Tfb1m, which gradually developed diabetes. Prior
to the onset of diabetes, beta-Tfb1m(-/-) mice exhibited retarded glucose
clearance owing to impaired insulin secretion. beta-Tfb1m(-/-) islets released
less insulin in response to fuels, contained less insulin and secretory granules
and displayed reduced beta-cell mass. Moreover, mitochondria in Tfb1m-deficient
beta-cells were more abundant with disrupted architecture. TFB1M is known to
control mitochondrial protein translation by adenine dimethylation of 12S
ribosomal RNA (rRNA). Here, we found that the levels of TFB1M and mitochondrial
encoded proteins, mitochondrial 12S rRNA methylation, ATP production and oxygen
consumption were reduced in beta-Tfb1m(-/-) islets. Furthermore, the levels of
reactive oxygen species (ROS) in response to cellular stress were increased
whereas induction of defense mechanisms was attenuated. We also show increased
apoptosis and necrosis as well as infiltration of macrophages and CD4(+) cells in
the islets. Taken together, our findings demonstrate that Tfb1m-deficiency in
beta-cells caused mitochondrial dysfunction and subsequently diabetes owing to
combined loss of beta-cell function and mass. These observations reflect
pathogenetic processes in human islets: using RNA sequencing, we found that the
TFB1M risk variant exhibited a negative gene-dosage effect on islet TFB1M mRNA
levels, as well as insulin secretion. Our findings highlight the role of
mitochondrial dysfunction in impairments of beta-cell function and mass, the
hallmarks of T2D.
PMID- 24916379
TI - Genetic dissection reveals that Akt is the critical kinase downstream of LRRK2 to
phosphorylate and inhibit FOXO1, and promotes neuron survival.
AB - Leucine-rich repeat kinase 2 (LRRK2) is a complex kinase and mutations in LRRK2
are perhaps the most common genetic cause of Parkinson's disease (PD). However,
the identification of the normal physiological function of LRRK2 remains elusive.
Here, we show that LRRK2 protects neurons against apoptosis induced by the
Drosophila genes grim, hid and reaper. Genetic dissection reveals that Akt is the
critical downstream kinase of LRRK2 that phosphorylates and inhibits FOXO1, and
thereby promotes survival. Like human LRRK2, Drosophila lrrk also promotes neuron
survival; lrrk loss-of-function mutant displays reduced cell numbers, which can
be rescued by LRRK2 expression. Importantly, LRRK2 G2019S and LRRK2 R1441C
mutants impair the ability of LRRK2 to activate Akt, and fail to prevent
apoptotic death. Ectopic expression of a constitutive active form of Akt hence is
sufficient to rescue this functional deficit. These data establish that LRRK2 can
protect neurons from apoptotic insult through a survival pathway in which LRRK2
signals to activate Akt, and then inhibits FOXO1. These results might indicate
that a LRRK-Akt therapeutic pathway to promote neuron survival and to prevent
neurodegeneration in Parkinson's disease.
PMID- 24916380
TI - New syndrome with retinitis pigmentosa is caused by nonsense mutations in retinol
dehydrogenase RDH11.
AB - Retinitis pigmentosa (RP), a genetically heterogeneous group of retinopathies
that occur in both non-syndromic and syndromic forms, is caused by mutations in
~100 genes. Although recent advances in next-generation sequencing have aided in
the discovery of novel RP genes, a number of the underlying contributing genes
and loci remain to be identified. We investigated three siblings, born to
asymptomatic parents of Italian-American descent, who each presented with
atypical RP with systemic features, including facial dysmorphologies, psychomotor
developmental delays recognized since early childhood, learning disabilities and
short stature. RP-associated ophthalmological findings included salt-and-pepper
retinopathy, attenuation of the arterioles and generalized rod-cone dysfunction
as determined by almost extinguished electroretinogram in 2 of 3 siblings.
Atypical for RP features included mottled macula at an early age and
peripapillary sparing of the retinal pigment epithelium. Whole-exome sequencing
data, queried under a recessive model of inheritance, identified compound
heterozygous stop mutations, c.C199T:p.R67* and c.C322T:p.R108*, in the retinol
dehydrogenase 11 (RDH11) gene, resulting in a non-functional protein, in all
affected children. In summary, deleterious mutations in RDH11, an important
enzyme for vision-related and systemic retinoic acid metabolism, cause a new
syndrome with RP.
PMID- 24916381
TI - Analysis of adult cerebral cortex and hippocampus transcriptomes reveals unique
molecular changes in the Ts1Cje mouse model of down syndrome.
AB - We investigated gene expression and functional differences between Ts1Cje mice
and wild-type (WT) littermates in adult cerebral cortex and hippocampus. These
two brain regions are affected in people with Down syndrome, but have not been
previously molecularly characterized in Ts1Cje mice. Total RNA was prepared from
the brains of 8-10-week-old Ts1Cje mice (n = 6) and WT littermates (n = 5) and
hybridized to Affymetrix 1.0 ST gene mouse arrays. Differentially regulated genes
were identified and used to perform in silico functional analyses to better
characterize dysregulated pathways in both brain regions. Hippocampus had more
significantly differentially expressed genes compared with cortex (30 vs. 7 at a
Benjamini-Hochberg false discovery rate of 20%). We identified novel genes that
were differentially regulated in adult brains, including Cyb5r1, Fsbp, Vmn2r110,
Snd1 and Zhx2. Functional analyses in Ts1Cje mice highlighted the importance of
NFAT signaling, oxidative stress, neuroinflammation and olfactory perception via
G-protein signaling. In a comparison of adult Ts1Cje and WT brains, we identified
new genes and pathway differences in the cortex and hippocampus. Our analyses
identified physiologically relevant pathways that can serve as targets for the
development of future treatments to improve neurocognition in Down syndrome.
PMID- 24916382
TI - Utilization of cross-matched or HLA-matched platelets for patients refractory to
platelet transfusion.
AB - BACKGROUND: Use of cross matching or HLA matching for donor selection is the
basis of managing patients refractory to platelet (PLT) transfusion. Because of
changes in patient care, we evaluated the effect of cross matching and HLA
matching in patients refractory to PLT transfusion. STUDY DESIGN AND METHODS: We
identified all patients who received either HLA-matched or cross-matched PLTs
during a 3-year period at our medical center. Patient records were reviewed and
laboratory data were collected. One- to 4-hour corrected count increments (CCIs)
were calculated for transfusions given up to 72 hours before receiving these
specialized units and the HLA-matched or cross-matched units themselves. RESULTS:
Thirty-two patients were identified who received a total of 354 PLT transfusions.
Of these, 161 were from unselected apheresis, 152 were cross matched, and 41 were
HLA selected. The median CCI for random-donor transfusions was 0 (range, 0 *
10(9)-10.5 * 10(9)/L), for cross-matched PLT transfusions 1.7 * 10(9)/L (0 *
10(9)-5.1 * 10(9)/L), and for HLA-matched transfusions 1.2 * 10(9)/L (0 * 10(9)
13.9 * 10(9)/L). Only 25 and 30% of cross-match-compatible or HLA-selected units,
respectively, gave 1- to 4-hour CCIs of more than 5.0 * 10(9)/L compared to 12%
of the transfusions from random donors. There were no significant differences in
the 1- to 4-hour CCIs when comparing random units with HLA-selected or cross
match-compatible units. There was also no significant difference when comparing
the HLA-matched and cross-match-compatible PLT units with each other.
CONCLUSIONS: The use of cross-match-compatible or HLA-matched units did not
provide better increments in PLT count when compared to random nonselected units.
Clinical factors may overpower immunologic matching.
PMID- 24916383
TI - Mirincamycin, an old candidate for malaria combination treatment and prophylaxis
in the 21st century: in vitro interaction profiles with potential partner drugs
in continuous culture and field isolates.
AB - BACKGROUND: Spreading resistance of Plasmodium falciparum to existing drugs calls
for the search for novel anti-malarial drugs and combinations for the treatment
of falciparum malaria. METHODS: In vitro and ex vivo investigations were
conducted with fresh P. falciparum field isolates and culture-adapted P.
falciparum clones to evaluate the anti-malarial potential of mirincamycin, a
lincosamide, alone and in combination with tafenoquine (TQ), dihydroartemisinin
(DHA), and chloroquine (CQ). All samples were tested in a histidine-rich protein
2 (HRP2) drug susceptibility assay. RESULTS: Interaction analysis showed additive
to synergistic interaction profiles with these potential partner drugs, with an
overall geometric mean fractional inhibitory concentration at 50% inhibition
(FIC50) of 0.78, 0.80 and 0.80 for mirincamycin with TQ, DHA, and CQ,
respectively. Antagonism was not found in any of the tested field isolates or
clones. The strongest tendency toward synergy (i.e. the lowest FIC) was seen with
a combination ratio of 1:0.27 to 1:7.2 (mean 1:2.7) for the combination with
tafenoquine. The optimal combination ratios for DHA and CQ were 1:444.4 to
1:36,000 (mean 1:10,755.5) and 1:2.7 to 1:216 (mean 1:64.5), respectively. No
evidence of an activity correlation (i.e. potential cross-resistance) with DHA,
mefloquine, quinine or chloroquine was seen whereas a significant correlation
with the activity of clindamycin and azithromycin was detected. CONCLUSIONS:
Mirincamycin combinations may be promising candidates for further clinical
investigations in the therapy and prophylaxis of multidrug-resistant falciparum
malaria or in combination with 4 or 8-aminoquinolines for the treatment and
relapse prevention of vivax malaria.
PMID- 24916384
TI - Ciclosporin therapy for canine generalized discoid lupus erythematosus refractory
to doxycycline and niacinamide.
AB - BACKGROUND: Generalized discoid lupus erythematosus (DLE) is an autoimmune skin
disease variant rarely reported in dogs. The antimalarial immunomodulator
hydroxychloroquine has been suggested as maintenance therapy for generalized DLE
in one dog, but several recurrences were noted in the 1 year follow-up of that
patient. HYPOTHESIS/OBJECTIVE: To describe the effective treatment of generalized
DLE with ciclosporin in one dog. ANIMAL: A 6-year-old, castrated male crossbred
dog was presented with pruritic, well-demarcated annular to polycyclic,
hyperpigmented plaques with marginal erythema on the dorsal head, neck, trunk and
medial extremities; these had been nonresponsive to treatment with doxycycline
and niacinamide. METHODS: Investigation included complete blood count, serum
chemistry profile, urinalysis, serum antinuclear antibody test, histopathological
examination and direct immunofluorescence testing of skin biopsies. RESULTS: The
presence of lymphocyte-rich interface dermatitis on histology, together with
generalized chronic recurrent hyperpigmented plaques, was consistent with the
diagnosis of a generalized variant of DLE. The absence of systemic signs and
unremarkable laboratory tests excluded concurrent systemic lupus erythematosus.
Treatment was initiated with oral dexamethasone and ciclosporin. After 1 month,
dexamethasone was discontinued and oral ketoconazole was added to the therapeutic
regimen. Four months later, pruritus and erythema resolved, with most skin
lesions becoming impalpable. Over the last 6 months, the patient's DLE was
maintained in remission with oral ciclosporin and ketoconazole in combination
every 3 days. CONCLUSIONS AND CLINICAL IMPORTANCE: The combination of ciclosporin
and ketoconazole appeared effective to induce and maintain lesion remission in
this dog with generalized DLE.
PMID- 24916385
TI - MetAssign: probabilistic annotation of metabolites from LC-MS data using a
Bayesian clustering approach.
AB - MOTIVATION: The use of liquid chromatography coupled to mass spectrometry has
enabled the high-throughput profiling of the metabolite composition of biological
samples. However, the large amount of data obtained can be difficult to analyse
and often requires computational processing to understand which metabolites are
present in a sample. This article looks at the dual problem of annotating peaks
in a sample with a metabolite, together with putatively annotating whether a
metabolite is present in the sample. The starting point of the approach is a
Bayesian clustering of peaks into groups, each corresponding to putative adducts
and isotopes of a single metabolite. RESULTS: The Bayesian modelling introduced
here combines information from the mass-to-charge ratio, retention time and
intensity of each peak, together with a model of the inter-peak dependency
structure, to increase the accuracy of peak annotation. The results inherently
contain a quantitative estimate of confidence in the peak annotations and allow
an accurate trade-off between precision and recall. Extensive validation
experiments using authentic chemical standards show that this system is able to
produce more accurate putative identifications than other state-of-the-art
systems, while at the same time giving a probabilistic measure of confidence in
the annotations. AVAILABILITY AND IMPLEMENTATION: The software has been
implemented as part of the mzMatch metabolomics analysis pipeline, which is
available for download at http://mzmatch.sourceforge.net/.
PMID- 24916386
TI - An ELISA kit with two detection modes for the diagnosis of lymphatic filariasis.
AB - The aim of this study was to develop a low-cost antifilarial immunoglobulin (Ig)
G4 detection kit for the diagnosis of lymphatic filariasis. The kit was designed
to be used by minimally trained personnel without the constraints of expensive
laboratory equipment. We provide a description of the development and validation
of a single-serum-dilution based enzyme-linked immunosorbent assay (ELISA) kit
with ready-to-use reagents for measuring antifilarial IgG4 antibodies. The kit
was tested on residents in Brugia malayi-endemic areas in southern Thailand.
Detection was performed by naked-eye observation of the resultant colour of the
immunological reactivity. The coefficient of variation (CV) was used to assess
the reproducibility of the results. Long-term stability was measured over a 6
month period. Sensitivity of the test kit was 97% when compared with
microfilariae detection in thick blood smears. Specificity was 98.7% based on the
sera of 57 patients living outside the endemic areas who were infected with other
parasites and 100 parasite-free subjects. All positive CVs were < 10%. The test
kit was remarkably stable over 6 months. Field validation was performed by the
detection of antifilarial IgG4 in 4365 serum samples collected from residents of
brugian filariasis-endemic areas and compared with outcome colours of the test
samples by the naked eye. Subsequent ELISA evaluation of these results using an
ELISA reader indicated high agreement by the kappa statistic. These results
demonstrate that the test kit is efficient and useful for public health
laboratories as an alternative tool for the diagnosis of lymphatic filarial
infection.
PMID- 24916387
TI - C to U RNA editing mediated by APOBEC1 requires RNA-binding protein RBM47.
AB - Cytidine (C) to Uridine (U) RNA editing is a post-transcriptional modification
that is accomplished by the deaminase APOBEC1 and its partnership with the RNA
binding protein A1CF. We identify and characterise here a novel RNA-binding
protein, RBM47, that interacts with APOBEC1 and A1CF and is expressed in tissues
where C to U RNA editing occurs. RBM47 can substitute for A1CF and is necessary
and sufficient for APOBEC1-mediated editing in vitro. Editing is further impaired
in Rbm47-deficient mutant mice. These findings suggest that RBM47 and APOBEC1
constitute the basic machinery for C to U RNA editing.
PMID- 24916388
TI - Structural basis for polyspecificity in the POT family of proton-coupled
oligopeptide transporters.
AB - An enigma in the field of peptide transport is the structural basis for ligand
promiscuity, as exemplified by PepT1, the mammalian plasma membrane peptide
transporter. Here, we present crystal structures of di- and tripeptide-bound
complexes of a bacterial homologue of PepT1, which reveal at least two mechanisms
for peptide recognition that operate within a single, centrally located binding
site. The dipeptide was orientated laterally in the binding site, whereas the
tripeptide revealed an alternative vertical binding mode. The co-crystal
structures combined with functional studies reveal that biochemically distinct
peptide-binding sites likely operate within the POT/PTR family of proton-coupled
symporters and suggest that transport promiscuity has arisen in part through the
ability of the binding site to accommodate peptides in multiple orientations for
transport.
PMID- 24916389
TI - Effects of TWIN-OF-EYELESS on Clock Gene Expression and Central-Pacemaker Neuron
Development in Drosophila.
AB - Circadian oscillators are autonomous molecular rhythms that reside in cells to
align whole-organism physiology and behavior to the 24-h day. In flies, as in
mammals, the oscillator operates in cells that coexpress CLOCK (CLK) and CYCLE
(CYC). Recent work in Drosophila has shown that CLK is unique in its ability to
generate heterologous oscillators, indicating that Clk gene expression defines
the circadian cell fate. Here, using standard in vitro and in vivo techniques, we
show that TWIN-OF-EYELESS (TOY; dPax6) regulates Clk expression in small
ventrolateral neurons (s-LNvs) that coordinate sleep-wake cycles. Crucially, toy
binds multiple sites at the Clk locus, is expressed independent of CLK-CYC in
LNvs, regulates CLK protein levels under optimal photoperiodic conditions, and
sets clock-speed during endogenous free-run. Furthermore, TOY is necessary for
the onset of Clk expression in LNvs during embryogenesis. We propose that TOY
contributes to a transcription complex that functions upstream of the oscillator
to promote Clk expression in s-LNvs.
PMID- 24916390
TI - Natural Populations of Drosophila melanogaster Reveal Features of an
Uncharacterized Circadian Property: The Lower Temperature Limit of Rhythmicity.
AB - Most cyclic biological processes are under control of a circadian molecular
timing system that synchronizes these phenomena to the 24-h day. One generic
property of circadian-controlled processes is that they operate within a specific
temperature range, below which the manifestation of rhythm ceases. Little is
known about the evolutionary relevance of the lower temperature limit of
rhythmicity or about the mechanism underlying the loss of overt circadian
behavior below this lower limit, especially in one model organism of
chronobiology, Drosophila melanogaster. Natural populations of Drosophila are
evolving under divergent selection pressures and so provide a source of diversity
necessary to address these issues. Using lines derived from African populations,
we find that there is natural variation in the expression of rhythmic behavior
under low-temperature conditions. We found evidence that this variability is
evolutionarily relevant at extremely low temperature (12 degrees C) because high
altitude populations exhibit selection for locally adapted genomes that
contribute to rhythmic behavior. Lines resistant to 15 degrees C show an
additional layer of diversity in their response to temperature extremes because
some lines are resistant to low temperature (15 degrees C) only, whereas others
are cross-resistant to high and low temperature (15 degrees C and 30 degrees
C). Genetic analysis of one cold-resistant circadian line at 15 degrees C
reveals that the phenotype maps to the X-chromosome but not to the core clock
genes, per and sgg. Analysis of the central clock cells of this line reveals that
maintenance of rhythm is associated with robust clock function, which is
compromised in a standard laboratory strain. These data indicate that the cold
resistant circadian phenotype is clock based. This study highlights the
importance of using natural populations to inform us of the basic features of
circadian traits, especially those that might be under temperature-based
selection.
PMID- 24916392
TI - Behavioral Masking and cFos Responses to Light in Day- and Night-Active Grass
Rats.
AB - Light not only entrains the circadian system but also has acute effects on
physiology and behavior, a phenomenon known as masking. Behavioral masking
responses to bright light differ in diurnal and nocturnal species, such that
light increases arousal in the former and decreases it in the latter. Comparisons
made within a species that displays both diurnal and nocturnal patterns of
behavior may provide insight into how masking differs between chronotypes and the
association between mechanisms controlling masking and the circadian drive for
activity. Nile grass rats (Arvicanthis niloticus) provide a useful model for
studying such issues because when these animals are housed with running wheels,
some run primarily during day, while others run at night. Here we compared
behavioral masking responses to 2-h pulses of light and darkness given across a
12:12 light/dark cycle in day-active (DA) and night-active (NA) grass rats. Both
wheel-running activity (WRA) and general activity (GA) were monitored. Light
pulses at night tended to increase both WRA and GA overall in the DA grass rats,
while in NA grass rats, light pulses significantly reduced WRA but had no effect
on GA. Dark pulses during the day tended to decrease both WRA and GA in the DA
grass rats, while in the NA grass rats, they tended to increase WRA in the early
day but had no effect on GA overall. Next, we measured cFos expression within 2
brain areas potentially involved in masking, the intergeniculate leaflet (IGL)
and the olivary pretectal area (OPT), of DA and NA grass rats either sacrificed
on a control night or after a 1-h light pulse at ZT14. In DA grass rats, light at
ZT14 induced cFos in the IGL and OPT, whereas in NA grass rats, cFos levels in
both structures were high at ZT14 and were not altered by a 1-h light pulse.
Overall, these results suggest that masking responses to light and darkness are
dependent on the chronotype of the individual and that the responsiveness of the
IGL and OPT to light may depend on or contribute to the behavioral response of
these animals.
PMID- 24916393
TI - Social and Environmental Influences on Daily Activity Pattern in Free-Living
Subterranean Rodents: The Case of a Eusocial Bathyergid.
AB - Predictable daily activity patterns have been detected repeatedly even in mammals
living in stable environments, as is the case for subterranean rodents. Whereas
studies on activity of these rodents under laboratory conditions almost
exclusively have concerned themselves with the influence of light, many field
studies have revealed signs of an association between the activity pattern and
daily fluctuations of temperature under the ground. This would assume that
behavioral thermoregulation is probably involved. The only exceptions to the
relationship between temperature and activity are 2 eusocial mole-rats of the
genus Fukomys (Bathyergidae, Rodentia), which indicates that activity patterns
could be affected also by social cues. To better understand how social and
environmental factors influence the activity pattern in a eusocial mole-rat, we
monitored the outside-nest activity in another species of this genus, the
Ansell's mole-rat (Fukomys anselli), which has a relatively small body mass, high
conductance, and more superficially situated burrows. Its daily activity had 1
prominent peak (around 1400 h), and it was tightly correlated with the
temperature measured at depth of foraging burrows. Since F. anselli has high
thermoregulatory requirements to maintain stable body temperature below the lower
critical temperature, we conclude that the observed pattern is probably the
result of minimizing the cost of thermoregulation. There were no significant
differences in the daily activity patterns of breeding males and females and
nonbreeders. Members of the same family group tended to have more similar
activity patterns, but consistent activity synchronization between individuals
was not proven. From the comparison of available data on all subterranean
rodents, we assume that social cues in communally nesting mole-rats may disrupt
(mask) temperature-related daily activity rhythms but probably only if the
additional cost of thermoregulation is not too high, as it likely is in the
Ansell's mole-rat.
PMID- 24916391
TI - Effects of Pinealectomy and Short Day Lengths on Reproduction and Neuronal RFRP
3, Kisspeptin, and GnRH in Female Turkish Hamsters.
AB - Long days (LDs) stimulate and short days (SDs) inhibit reproduction in
photoperiodic rodents by modifying nocturnal pineal melatonin secretion. In LD
Turkish hamsters, unlike other rodents, pinealectomy induces reproductive
quiescence comparable to that produced by SDs. We assessed whether SDs and
pinealectomy induce similar or different patterns of kisspeptin and gonadotropin
inhibitory hormone (also known as RFamide-related peptide-3 [RFRP-3] in mammals)
expression, important mediators of seasonal reproductive changes in other
species. Brains were harvested from sham-operated female Turkish hamsters
maintained in LDs and SDs and LD-pinealectomized (pinx) females, all housed in
their respective photoperiods for 12 weeks. Uterine weights were substantially
higher in LD-sham than in LD-pinx and SD-sham females. RFRP-3-immunoreactive(-ir)
cells in the dorsomedial hypothalamic nucleus were greater in number and size in
the reproductively competent LD-sham hamsters than in both reproductively
suppressed SD-sham and LD-pinx hamsters. LD-sham hamsters had more kisspeptin-ir
cells in the anteroventral periventricular nucleus than did LD-pinx hamsters.
Reproductive quiescence, whether induced by short-day lengths or pinealectomy,
was generally accompanied by comparable changes in RFRP-3 and kisspeptin,
suggesting that long-duration melatonin signaling and withdrawal of melatonin by
pinealectomy may act through the same neural substrates to induce gonadal
quiescence.
PMID- 24916394
TI - Circadian Rhythm Disorders and Melatonin Production in 127 Blind Women with and
without Light Perception.
AB - Light is the major environmental time cue that synchronizes the endogenous
central circadian pacemaker, located in the suprachiasmatic nuclei of the
hypothalamus, and is detected exclusively by the eyes primarily via specialized
non-rod, non-cone ganglion cell photoreceptors. Consequently, most blind people
with no perception of light (NPL) have either nonentrained or abnormally phased
circadian rhythms due to this inability to detect light. Conversely, most
visually impaired participants with some degree of light perception (LP) exhibit
normal entrainment, emphasizing the functional separation of visual and
"nonvisual" photoreception. The aims of the study were to identify the prevalence
of circadian disorders in blind women, with the further aim of examining how eye
disease may relate to the type of circadian disorder. Participants (n = 127, age
50.8 +/- 13.4 years) completed an 8-week field study including daily sleep
diaries and sequential 4 to 8 hourly urine collections over 48 h on 2 to 3
occasions separated by at least 2 weeks. Circadian type was determined from the
timing and time course of the melatonin rhythm measured by cosinor-derived
urinary 6-sulfatoxymelatonin rhythm peak. Of the participants with NPL (n = 41),
the majority were abnormally phased (24%) or nonentrained (39%), with 37%
classified as normally entrained. Of the participants with LP (n = 86), the
majority were normally entrained (69%). Eighteen LP participants (21%) were
abnormally phased (8 advanced, 10 delayed). Nine LP participants (10%) were
nonentrained. The eye conditions most associated with abnormal phase and/or
nonentrained circadian rhythms were bilateral enucleation (67%) and retinopathy
of prematurity (57%). By contrast, 84% of participants with retinitis pigmentosa
and 83% of those with age-related macular degeneration were normally entrained.
These findings suggest that the etiology of blindness in addition to LP status is
related to an individual's ability to process the circadian light signal.
PMID- 24916395
TI - Incorrect Equations.
AB - Woller A and Gonze D (2013) The bird circadian clock: insights from a
computational model. J Biol Rhythms 28(6):390-402. (Original DOI:
10.1177/0748730413512454).
PMID- 24916396
TI - Magnetic resonance imaging of the effortful swallow.
AB - OBJECTIVE: The effortful swallow was designed to improve posterior mobility of
the tongue base and increase intraoral pressures. We characterized the effects of
this maneuver via dynamic magnetic resonance imaging (dMRI) in healthy patients.
METHODS: A 3-T scanner was used to obtain dMRI images of patients swallowing
pudding using normal as well as effortful swallows. Ninety sequential images were
acquired at the level of the oropharynx in the axial plane for each swallow; 3
series were obtained for each swallow type for each patient. Images were acquired
every 113 ms during swallowing. The images were analyzed with respect to
oropharyngeal closure duration, anteroposterior and transverse distance between
the oropharyngeal walls, and oropharyngeal area before and after closure.
RESULTS: Preswallow reduced pharyngeal area was observed (P = .02; mean = 212.61
mm2 for effortful, mean = 261.92 mm2 for normal) as well as prolonged pharyngeal
closure during the swallow (P < .0001; mean = 742.18 ms for effortful, mean =
437.31 ms for normal). No other differences were noted between swallow types.
Interrater and intrarater reliability of all measurements was excellent.
CONCLUSION: This preliminary investigation is the first to evaluate the effects
of effortful swallows via dMRI. In our cohort, consistent physiologic changes
were elicited, consistent with clinical dogma regarding this maneuver.
PMID- 24916397
TI - Method to estimate the deviation from ideal uniaxial compression during freehand
elastography.
AB - Quasi-static ultrasound elastography was introduced in the early 1990s to provide
a way to visualize the mechanical properties of target tissue. Most commonly,
only the axial strain is imaged and referred to as an Axial Strain Elastogram
(ASE) or elastogram for simplicity. It has been shown that one can image the
axial-shear strain distributions as well in addition to ASE. The image of the
axial-shear strain is referred to as an axial-shear strain elastogram (ASSE). It
has also been shown that the presence or absence of non-zero axial-shear strain
values inside the inclusion (referred to as fill-in) along with contrasting
margin at its boundary may serve as a potential feature from ASSE that can aid in
non-invasive breast lesion classification. However, during freehand elastography,
deviations from uniaxial compression often occur typically appearing in several
of the frames of a cine-loop obtained during compression. It was shown recently
that accounting for such deviations would be important for reliable
interpretation of the "fill-in" observed in ASSE. In this article, we describe a
method to estimate the angle of iso-displacement contour at a given depth and use
this as a measure to quantify the deviation from the desired uniaxial compression
during freehand elastography. We validate the estimated angle obtained from the
axial-displacement map against the designed values in simulation and tissue
mimicking phantom experiments. The potential of the angle estimate to detect
unreliable ASSE frames among the freehand-acquired data cine-loop is demonstrated
using example cases of in vivo breast lesion data. Based on the results, we
conclude that the angle of the iso-displacement contour from the axial
displacement map can be used as a metric to qualify an ASSE frame as reliable to
interpret or not. Importantly, this metric can be obtained in real time and thus
can provide operator feedback to guide and improve in vivo freehand elastography
data acquisition quality.
PMID- 24916398
TI - Snail and Slug mediate tamoxifen resistance in breast cancer cells through
activation of EGFR-ERK independent of epithelial-mesenchymal transition.
PMID- 24916399
TI - Back to basic physiological questions and consideration of fluids as drugs.
PMID- 24916400
TI - Heterogeneity of epidemiological factors by breast tumor subtypes in Korean
women: a case-case study.
AB - Breast cancer is heterogeneous in clinical behavior by subtypes; however, it is
unclear how this heterogeneity is related to epidemiological factors. To evaluate
the differences in epidemiological factors by breast tumor subtypes, we
investigated the associations of epidemiological factors between tumor subtypes
in Korean women. From the Seoul Breast Cancer Study, a total of 3,058 patients
with breast cancer were included in the analyses. Tumor subtypes were classified
based on hormone receptor (HR) and human epidermal growth factor receptor 2
(HER2) statuses. The epidemiological factors of each subtype were compared
through case-case analyses using multivariate a polytomous logistic regression
model adjusted for age and recruiting centers. The distribution of the subtypes
was as follows: 1,714 HR+ HER2- (56.0%), 414 HR+ HER2+ (13.5%), 423 HR- HER2+
(13.9%) and 507 HR- HER2- (16.6%) patients with breast cancer. There were
significant differences in age, menopausal status, age at menarche, number of
children, age at first full-term pregnancy (FFTP), duration of breastfeeding and
duration of endogenous estrogen exposure between tumor subtypes (p < 0.05).
Compared to HR+ HER2- patients, the other subtype patients showed more frequency
in having more numbers of children and less frequency in having earlier menarche,
later FFTP and longer endogenous estrogen exposure. Although HR- HER2+ patients
were less obese, HR- HER2- patients were younger and more obese. In conclusion,
age, body mass index and reproductive factors were differentially associated with
breast tumor subtypes suggesting a possible distinct etiology for tumor
progression.
PMID- 24916401
TI - Asymmetric synthesis of isoindolones by chiral cyclopentadienyl-rhodium(III)
catalyzed C-H functionalizations.
AB - Directed Cp*Rh(III)-catalyzed carbon-hydrogen (C-H) bond functionalizations have
evolved as a powerful strategy for the construction of heterocycles. Despite
their high value, the development of related asymmetric reactions is largely
lagging behind due to a limited availability of robust and tunable chiral
cyclopentadienyl ligands. Rhodium complexes comprising a chiral Cp ligand with an
atropchiral biaryl backbone enables an asymmetric synthesis of isoindolones from
arylhydroxamates and weakly alkyl donor/acceptor diazo derivatives as one-carbon
component under mild conditions. The complex guides the substrates with a high
double facial selectivity yielding the chiral isoindolones in good yields and
excellent enantioselectivities.
PMID- 24916402
TI - Factors influencing unspecified chest pain admission rates in England.
AB - BACKGROUND: Unspecified chest pain is an important and potentially avoidable
cause of emergency hospital admission. We aimed to examine inter-hospital
variation in admission rates with unspecified chest pain and identify population
characteristics, services and technologies that might explain this variation.
METHODS: We used Hospital Episodes Statistics data from 152 acute trusts in
England to calculate a direct standardised annual admission rate per 100,000
population for each trust. Regression analysis was used to identify factors
explaining variation, first, using routinely available data relating to the
hospital catchment area and service and then using responses to a survey of
emergency department (ED) management. RESULTS: The best predictors of admission
rate using routine data were total beds per 1000 population (p=0.001), rapid
access chest pain clinic (RACPC) attendances per year (p<0.001) and percentage of
households in poverty (p=0.01). Including data from 105/142 (74%) survey
responses, the best predictors of admission rate were total beds (p<0.001), RACPC
attendances (p=0.001), mean ED waiting time (p=0.049) and percentage of
households in poverty (p<0.001). All associations were positive (higher variable
predicts higher rate) except ED waiting time. We found no significant
associations between factors relating to acute chest pain management and
admission rate. CONCLUSIONS: Hospitals with higher admission rates for
unspecified chest pain have greater bed provision, more RACPC attendances and
serve populations with a higher percentage of households in poverty. These
findings may be explained by services responding to demand in populations with
greater need. We found no evidence that chest pain management influenced
admission rates.
PMID- 24916404
TI - Human macrophage polarization in vitro: maturation and activation methods
compared.
AB - Macrophages form a heterogeneous cell population displaying multiple functions,
and can be polarized into pro- (M1) or anti-inflammatory (M2) macrophages, by
environmental factors. Their activation status reflects a beneficial or
detrimental role in various diseases. Currently several in vitro maturation and
activation protocols are used to induce an M1 or M2 phenotype. Here, the impact
of different maturation factors (NHS, M-CSF, or GM-CSF) and activation methods
(IFN-gamma/LPS, IL-4, dexamethason, IL-10) on the macrophage phenotype was
determined. Regarding macrophage morphology, pro-inflammatory (M1) activation
stimulated cell elongation, and anti-inflammatory (M2) activation induced a
circular appearance. Activation with pro-inflammatory mediators led to increased
CD40 and CD64 expression, whereas activation with anti-inflammatory factors
resulted in increased levels of MR and CD163. Production of pro-inflammatory
cytokines was induced by activation with IFN-gamma/LPS, and TGF-beta production
was enhanced by the maturation factors M-CSF and GM-CSF. Our data demonstrate
that macrophage marker expression and cytokine production in vitro is highly
dependent on both maturation and activation methods. In vivo macrophage
activation is far more complex, since a plethora of stimuli are present. Hence,
defining the macrophage activation status ex vivo on a limited number of markers
could be indecisive. From this study we conclude that maturation with M-CSF or GM
CSF induces a moderate anti- or pro-inflammatory state respectively, compared to
maturation with NHS. CD40 and CD64 are the most distinctive makers for human M1
and CD163 and MR for M2 macrophage activation and therefore can be helpful in
determining the activation status of human macrophages ex vivo.
PMID- 24916405
TI - The N-terminal fragment of the beta-amyloid precursor protein of Alzheimer's
disease (N-APP) binds to phosphoinositide-rich domains on the surface of
hippocampal neurons.
AB - The function of the beta-amyloid precursor protein (APP) of Alzheimer's disease
is poorly understood. The secreted ectodomain fragment of APP (sAPPalpha) can be
readily cleaved to produce a small N-terminal fragment (N-APP) that contains
heparin-binding and metal-binding domains and that has been found to have
biological activity. In the present study, we examined whether N-APP can bind to
lipids. We found that N-APP binds selectively to phosphoinositides (PIPs) but
poorly to most other lipids. Phosphatidylinositol 4,5-bisphosphate (PI(4,5)P2 )
rich microdomains were identified on the extracellular surface of neurons and
glia in primary hippocampal cultures. N-APP bound to neurons and colocalized with
PIPs on the cell surface. Furthermore, the binding of N-APP to neurons increased
the level of cell-surface PI(4,5)P2 and phosphatidylinositol 3,4,5-trisphosphate.
However, PIPs were not the principal cell-surface binding site for N-APP, because
N-APP binding to neurons was not inhibited by a short-acyl-chain PIP analogue,
and N-APP did not bind to glial cells which also possessed PI(4,5)P2 on the cell
surface. The data are explained by a model in which N-APP binds to two distinct
components on neurons, one of which is an unidentified receptor and the second of
which is a PIP lipid, which binds more weakly to a distinct site within N-APP.
Our data provide further support for the idea that N-APP may be an important
mediator of APP's biological activity.
PMID- 24916407
TI - The impact and importance of clinical learning experience in supporting nursing
students in end-of-life care: cluster analysis.
AB - BACKGROUND: Nursing students are often expected to provide end-of-life care to
patients during clinical practice. Little research has been conducted to examine
the heterogeneity of the students and how learning outcomes are affected by their
education experience and other demographic factors. AIM: The aim of this study
was to identify and compare groups of nursing students based on their
demographics, clinical experience, knowledge, perceived competency, and attitude
towards end-of-life care. METHOD: A group of 253 nursing students was asked to
complete a cross-sectional survey to explore their clinical experience,
knowledge, attitude, and perceived competency towards end-of-life care. Cluster
analysis was used to determine whether specific groups of students could be
identified within the study cohort. RESULTS: Three distinct clusters were
identified. Students from the three clusters showed no significant differences in
end-of-life knowledge. Significant differences were identified in clinical
experience amongst the three clusters and in attitude and perceived competency
within the clusters. The cluster of students that had greater clinical experience
demonstrated higher perceived competency and a more positive attitude towards end
of-life care. CONCLUSION: Clinical experience was found to be crucial in
enhancing the perceived competency and attitude of nursing students in end-of
life care.
PMID- 24916406
TI - SH3BP2 cherubism mutation potentiates TNF-alpha-induced osteoclastogenesis via
NFATc1 and TNF-alpha-mediated inflammatory bone loss.
AB - Cherubism (OMIM# 118400) is a genetic disorder with excessive jawbone resorption
caused by mutations in SH3 domain binding protein 2 (SH3BP2), a signaling adaptor
protein. Studies on the mouse model for cherubism carrying a P416R knock-in (KI)
mutation have revealed that mutant SH3BP2 enhances tumor necrosis factor (TNF)
alpha production and receptor activator of nuclear factor-kappaB ligand (RANKL)
induced osteoclast differentiation in myeloid cells. TNF-alpha is expressed in
human cherubism lesions, which contain a large number of tartrate-resistant acid
phosphatase (TRAP)-positive multinucleated cells, and TNF-alpha plays a critical
role in inflammatory bone destruction in homozygous cherubism mice (Sh3bp2(KI/KI)
). The data suggest a pathophysiological relationship between mutant SH3BP2 and
TNF-alpha-mediated bone loss by osteoclasts. Therefore, we investigated whether
P416R mutant SH3BP2 is involved in TNF-alpha-mediated osteoclast formation and
bone loss. Here, we show that bone marrow-derived M-CSF-dependent macrophages
(BMMs) from the heterozygous cherubism mutant (Sh3bp2(KI/+) ) mice are highly
responsive to TNF-alpha and can differentiate into osteoclasts independently of
RANKL in vitro by a mechanism that involves spleen tyrosine kinase (SYK) and
phospholipase Cgamma2 (PLCgamma2) phosphorylation, leading to increased nuclear
translocation of NFATc1. The heterozygous cherubism mutation exacerbates bone
loss with increased osteoclast formation in a mouse calvarial TNF-alpha injection
model as well as in a human TNF-alpha transgenic mouse model (hTNFtg). SH3BP2
knockdown in RAW264.7 cells results in decreased TRAP-positive multinucleated
cell formation. These findings suggest that the SH3BP2 cherubism mutation can
cause jawbone destruction by promoting osteoclast formation in response to TNF
alpha expressed in cherubism lesions and that SH3BP2 is a key regulator for TNF
alpha-induced osteoclastogenesis. Inhibition of SH3BP2 expression in osteoclast
progenitors could be a potential strategy for the treatment of bone loss in
cherubism as well as in other inflammatory bone disorders.
PMID- 24916408
TI - Characterization of the diversity in bat biosonar beampatterns with spherical
harmonics power spectra.
AB - The biosonar beampatterns found across different bat species are highly diverse
in terms of global and local shape properties such as overall beamwidth or the
presence, location, and shape of multiple lobes. It may be hypothesized that some
of this variability reflects evolutionary adaptation. To investigate this
hypothesis, the present work has searched for patterns in the variability across
a set of 283 numerical predictions of emission and reception beampatterns from 88
bat species belonging to four major families (Rhinolophidae, Hipposideridae,
Phyllostomidae, Vespertilionidae). This was done using a lossy compression of the
beampatterns that utilized real spherical harmonics as basis functions. The
resulting vector representations showed differences between the families as well
as between emission and reception. These differences existed in the means of the
power spectra as well as in their distribution. The distributions were
characterized in a low dimensional space found through principal component
analysis. The distinctiveness of the beampatterns across the groups was
corroborated by pairwise classification experiments that yielded correct
classification rates between ~85 and ~98%. Beamwidth was a major factor but not
the sole distinguishing feature in these classification experiments. These
differences could be seen as an indication of adaptive trends at the beampattern
level.
PMID- 24916409
TI - Structure factor model for understanding the measured backscatter coefficients
from concentrated cell pellet biophantoms.
AB - Ultrasonic backscatter coefficient (BSC) measurements were performed on K562 cell
pellet biophantoms with cell concentrations ranging from 0.006 to 0.30 in the 10
42 MHz frequency bandwidth. Three scattering models, namely, the fluid-filled
sphere model (FFSM), the particle model (PM), and the structure factor model
(SFM), were compared for modeling the scattering from an ensemble of concentrated
cells. A parameter estimation procedure was developed in order to estimate the
scatterer size and relative impedance contrast that could explain the measured
BSCs from all the studied cell concentrations. This procedure was applied to the
BSC data from K562 cell pellet biophantoms in the 10-42 MHz frequency bandwidth
and to the BSC data from Chinese hamster ovary cell pellet biophantoms in the 26
105 MHz frequency bandwidth given in Han, Abuhabsah, Blue, Sarwate, and O'Brien
[J. Acoust. Soc. Am. 130, 4139-4147 (2011)]. The data fitting quality and the
scatterer size estimates show that the SFM was more suitable than the PM and the
FFSM for modeling the responses from concentrated cell pellet biophantoms.
PMID- 24916410
TI - Flow rate and duty cycle effects in lysis of Chlamydomonas reinhardtii using high
energy pulsed focused ultrasound.
AB - To consider microalgae lipid biofuel as a viable energy source, it is a necessity
to maximize algal cell lysis, lipid harvest, and thus biofuel production versus
the energy used to lyse the cells. Previous techniques have been to use energy
consumptive ultrasound waves in the 10-40 kHz range in a stationary exposure
environment. This study evaluated the potential of using 1.1 MHz ultrasound
pulses in a new flow through type chamber on Chlamydomonas reinhardtii as a model
organism for cell breakage. The ultrasound was generated using a spherically
focused transducer with a focal length of 6.34 cm and an active diameter of 6.36
cm driven by 20 cycle sine-wave tone bursts at varied pulse repetition
frequencies. First, variations in flow rate were examined at a constant duty
cycle of 3.6%. After assessing flow rates, the duty cycle was varied to further
explore the dependence on the tone burst parameters. Cell lysis was assessed by
quantifying protein and chlorophyll release into the supernatant as well as by
lipid extractability. Appropriate flow rates with higher duty cycles led to
statistically significant increases in cell lysis relative to controls and other
exposure conditions.
PMID- 24916411
TI - Phylogenetic tree shapes resolve disease transmission patterns.
AB - BACKGROUND AND OBJECTIVES: Whole-genome sequencing is becoming popular as a tool
for understanding outbreaks of communicable diseases, with phylogenetic trees
being used to identify individual transmission events or to characterize outbreak
level overall transmission dynamics. Existing methods to infer transmission
dynamics from sequence data rely on well-characterized infectious periods,
epidemiological and clinical metadata which may not always be available, and
typically require computationally intensive analysis focusing on the branch
lengths in phylogenetic trees. We sought to determine whether the topological
structures of phylogenetic trees contain signatures of the transmission patterns
underlying an outbreak. METHODOLOGY: We use simulated outbreaks to train and then
test computational classifiers. We test the method on data from two real-world
outbreaks. RESULTS: We show that different transmission patterns result in
quantitatively different phylogenetic tree shapes. We describe topological
features that summarize a phylogeny's structure and find that computational
classifiers based on these are capable of predicting an outbreak's transmission
dynamics. The method is robust to variations in the transmission parameters and
network types, and recapitulates known epidemiology of previously characterized
real-world outbreaks. CONCLUSIONS AND IMPLICATIONS: There are simple structural
properties of phylogenetic trees which, when combined, can distinguish
communicable disease outbreaks with a super-spreader, homogeneous transmission
and chains of transmission. This is possible using genome data alone, and can be
done during an outbreak. We discuss the implications for management of outbreaks.
PMID- 24916412
TI - Insights into PARP Inhibitors' Selectivity Using Fluorescence Polarization and
Surface Plasmon Resonance Binding Assays.
AB - PARP inhibitors are an exciting new class of antineoplastic drugs that have been
proven to be efficacious as single agents in cancer settings with inherent DNA
repair defects, as well as in combination with DNA-damaging chemotherapeutics.
Currently, they are designed to target the catalytic domain of PARP-1, the most
studied member of the family, with a key role in the DNA-damage repair process.
Because PARP inhibitors are substrate (NAD(+)) competitors, there is a need for a
deeper understanding of their cross-reactivity. This is particularly relevant for
PARP-2, the PARP-1 closest homologue, for which an embryonic lethal phenotype has
been observed in double knockout mice. In this study, we describe the development
and validation of binding assays based on fluorescence polarization (FP) and
surface plasmon resonance (SPR) techniques. PARP-1, PARP-2, PARP-3, and TNKS-1 FP
displacement assays are set up by employing ad hoc synthesized probes. These
assays are suitable for high-throughput screening (HTS) and selectivity
profiling, thus allowing the identification of NAD(+)binding site selective
inhibitors. The PARP-1 and PARP-2 complementary SPR binding assays confirm
displacement data and the in-depth inhibitor characterization. Moreover, these
formats have the potential to be broadly applicable to other members of the PARP
family.
PMID- 24916413
TI - Effects of a ferulate-derived dihydrobenzofuran neolignan on angiogenesis,
steroidogenesis, and redox status in a swine cell model.
AB - In the ongoing search for new therapeutic compounds, lignans and neolignans,
which are widely distributed in plants, deserve special attention because of
their interactions with several biological targets. Searching for potential
antiangiogenic agents related to natural lignans/neolignans, we were attracted by
a previously studied synthetic dihydrobenzofuran neolignan. We synthesized the
compound by means of an eco-friendly, enzyme-mediated biomimetic coupling of the
methyl ester of ferulic acid, and the present study was aimed to deeply
investigate its effect in angiogenesis bioassays validated in our laboratory. In
addition, a previously well-defined granulosa cell model was employed to evaluate
the effect of dihydrobenzofuran neolignan on cell viability, steroidogenesis, and
redox status. Present data support the antiangiogenic effect of this neolignan.
Moreover, we demonstrate that, at least at the highest concentrations tested,
dihydrobenzofuran neolignan affects granulosa cell viability and steroidogenesis.
In addition, the compound inhibits generation of free radicals and stimulates
scavenger enzyme activities. The present data, which are a further deepening of
the evaluation of the biological activities of the dihydrobenzofuran lignan in
well-defined cell models, are of interest and worthy of special attention.
PMID- 24916414
TI - Direct access to the middle meningeal artery for embolization of complex dural
arteriovenous fistula: a hybrid treatment approach.
AB - Endovascular embolization has become increasingly favored over microsurgical
resection for treatment of complex dural arteriovenous fistulas (DAVFs). However,
endovascular treatment can be restricted by tortuous transarterial access and a
transvenous approach is not always feasible. We present a Borden III DAVF treated
by direct access to the middle meningeal artery (MMA) and Onyx embolization
performed in a hybrid operating room-angiography suite. A middle-aged patient
with pulsatile headaches was found to have left transverse sinus occlusion and
DAVF with retrograde cortical venous drainage fed by multiple external carotid
artery (ECA) feeders. Endovascular attempts via conventional transvenous and
transarterial routes were unsuccessful, and the major MMA feeder was accessed
directly after temporal craniotomy was performed under neuronavigation. Onyx
embolization was performed; complete occlusion of the fistula was achieved. Three
month follow-up angiography showed no residual filling; the patient remains
complication-free. A combined surgical-endovascular technique in a hybrid
operating room-angiography suite can be an effective treatment for DAVFs
complicated by inaccessible arterial and transvenous approaches.
PMID- 24916415
TI - Impact of the ASPECT scores and distribution on outcome among patients undergoing
thrombectomy for acute ischemic stroke.
AB - INTRODUCTION: This study investigates whether the Alberta Stroke Program Early CT
Score (ASPECTS) quantification is associated with outcome following mechanical
thrombectomy. OBJECTIVE: To determine whether preintervention non-perfect ASPECT
scores involving cortical or subcortical regions and the side of the non-perfect
ASPECT score affects outcomes. METHODS: A retrospective review of a prospectively
maintained database of patients with acute ischemic stroke involving the anterior
circulation who underwent thrombectomy between May 2008 and August 2012 at a
single tertiary care center. The device for mechanical thrombectomy used was the
penumbra aspiration system (Penumbra Inc, Alameda, California, USA) and the
Solitaire stent retriever (ev3, Irvine, California, USA). A 'blinded'
neuroradiologist obtained ASPECTS quantification and noted each region
demonstrating early changes. RESULTS: 149 patients (51.7% female, mean age 66.1+/
15.1 years) were included with an average National Institutes of Health Stroke
Scale of 16.2+/-6.7. Patients with non-perfect ASPECT scores on pretreatment
imaging were more likely to have a hemorrhagic conversion (p=0.04) evident on
post-procedure CT. However, functional outcomes were the same. Patients with both
cortical and basal ganglia non-perfect ASPECT scores were more likely to be in a
persistent vegetative state or expire. No differences were identified in outcome
among patients with left- versus right-sided infarcts affecting the basal ganglia
or cortical regions. CONCLUSIONS: These findings support a strategy of selecting
candidacy for thrombectomy that does not exclude patients with non-perfect ASPECT
scores involving either the basal ganglia or cortical regions. Outcomes were
identical among patients with no non-perfect ASPECT scores and those with
cortical or subcortical infarcts, despite a higher incidence of hemorrhagic
conversion found among those with non-perfect ASPECT scores.
PMID- 24916417
TI - Association between socioeconomic status, surgical treatment and mortality in
patients with colorectal cancer.
AB - BACKGROUND: High socioeconomic status is associated with better survival in
colorectal cancer (CRC). This study investigated whether socioeconomic status is
associated with differences in surgical treatment and mortality in patients with
CRC. METHODS: Patients diagnosed with stage I-III CRC between 2005 and 2010 in
the Eindhoven Cancer Registry area in the Netherlands were included.
Socioeconomic status was determined at a neighbourhood level by combining the
mean household income and the mean value of the housing. RESULTS: Some 4422
patients with colonic cancer and 2314 with rectal cancer were included. Patients
with colonic cancer and high socioeconomic status were operated on with
laparotomy (70.7 versus 77.6 per cent; P = 0.017), had laparoscopy converted to
laparotomy (15.7 versus 29.5 per cent; P = 0.008) and developed anastomotic
leakage or abscess (9.6 versus 12.6 per cent; P = 0.049) less frequently than
patients with low socioeconomic status. These differences remained significant
after adjustment for patient and tumour characteristics. In rectal cancer,
patients with high socioeconomic status were more likely to undergo resection
(96.3 versus 93.7 per cent; P = 0.083), but this was not significant in
multivariable analysis (odds ratio (OR) 1.44, 95 per cent confidence interval
0.84 to 2.46). The difference in 30-day postoperative mortality in patients with
colonic cancer and high and low socioeconomic status (3.6 versus 6.8 per cent; P
< 0.001) was not significant after adjusting for age, co-morbidities, emergency
surgery, and anastomotic leakage or abscess formation (OR 0.90, 0.51 to 1.57).
CONCLUSION: Patients with CRC and high socioeconomic status have more favourable
surgical treatment characteristics than patients with low socioeconomic status.
The lower 30-day postoperative mortality found in patients with colonic cancer
and high socioeconomic status is largely explained by patient and surgical
factors.
PMID- 24916416
TI - Arf1 and Arf6 promote ventral actin structures formed by acute activation of
protein kinase C and Src.
AB - Arf proteins regulate membrane traffic and organelle structure. Although Arf6 is
known to initiate actin-based changes in cell surface architecture, Arf1 may also
function at the plasma membrane. Here we show that acute activation of protein
kinase C (PKC) induced by the phorbol ester PMA led to the formation of motile
actin structures on the ventral surface of Beas-2b cells, a lung bronchial
epithelial cell line. Ventral actin structures also formed in PMA-treated HeLa
cells that had elevated levels of Arf activation. For both cell types, formation
of the ventral actin structures was enhanced by expression of active forms of
either Arf1 or Arf6 and by the expression of guanine nucleotide exchange factors
that activate these Arfs. By contrast, formation of these structures was blocked
by inhibitors of PKC and Src and required phosphatidylinositol 4, 5-bisphosphate,
Rac, Arf6, and Arf1. Furthermore, expression of ASAP1, an Arf1 GTPase activating
protein (GAP) was more effective at inhibiting the ventral actin structures than
was ACAP1, an Arf6 GAP. This study adds to the expanding role for Arf1 in the
periphery and identifies a requirement for Arf1, a "Golgi Arf," in the
reorganization of the cortical actin cytoskeleton on ventral surfaces, against
the substratum.
PMID- 24916418
TI - Ultrathin septuple layered PbBi2Se4 nanosheets.
AB - Layered lead bismuth selenide, PbBi2Se4, an intergrowth compound of PbSe
(rocksalt) and Bi2Se3 (hexagonal), is a topological insulator in the bulk phase.
We present a simple solution based synthesis of two dimensional (2D) few seven
atomic (septuple) layered PbBi2Se4 nanosheets (4-7 nm thick) for the first time.
The excellent electrical transport in ultrathin PbBi2Se4 is attributed to the
presence of dominant surface states that offer high electrical mobility (~153
cm(2) V(-1) s(-1)) and scattering resistant carriers. Ultrathin 3-5 SLs PbBi2Se4
shows an n-type semiconducting behaviour with a band gap of ~0.6 eV, which is
confirmed by optical spectroscopy and thermopower measurements.
PMID- 24916419
TI - Multimodality imaging of the effects of a novel dentifrice on oral biofilm.
AB - OBJECTIVE: Oral biofilm formation and progression on the surface of the tooth can
lead to advanced oral disease such as gingivitis. The purpose of this randomized,
controlled, double-blinded study was to evaluate the effects of a novel dental
gel on oral plaque biofilm using multimodal imaging techniques. MATERIALS AND
METHODS: Twenty-five subjects with moderate gingival inflammation (Loe and
Silness Gingival Index >=2) and pocket depths <4 were randomly assigned to brush
twice daily for 21 days with the test or the control dental gel. In vivo
multimodality in situ imaging was performed over a 3-week period using in vivo
Optical Coherence Tomography (OCT) and Non-Linear Optical microscopy (NLOM).
Plaque levels, gingival inflammation and gingival bleeding were also charted on
days 0, 7, 14, and 21 using standard clinical indices. RESULTS: After 3 weeks,
OCT and NLOM images showed a macroscopic break-up of the plaque layer and
smaller, fragmented residual deposits in the test group with no apparent changes
in the pellicle. Biofilm was also reduced in the control group, but to a lesser
degree with regard to thickness, continuity and surface area. Paralleling these
imaging results, clinical indices were significantly improved in both groups (P <
0.05) and significantly lower in the test group (P < 0.05). CONCLUSION: Both
dental gels reduced oral biofilm with the test gel showing greater efficacy (P <
0.05) as determined by clinical and imaging parameters.
PMID- 24916420
TI - Temporary abdominal closure with polytetrafluoroethylene prosthetic mesh in
critically ill non-trauma patients.
AB - BACKGROUND: Survival in critically ill non-trauma patients may be improved by
performing temporary abdominal closure using different surgical techniques. We
describe the use of expanded polytetrafluoroethylene (ePTFE) mesh for temporary
abdominal closure in a group of critical patients. We also evaluate definitive
abdominal wall closure in these patients once they are in a stable condition.
METHOD: We conducted a study of 29 critically ill non-trauma patients who
underwent temporary abdominal closure due to sepsis or abdominal compartment
syndrome over 7 years at two university hospitals. We analysed factors related to
surgical wound type and definitive abdominal wall closure. We evaluated the SAPS
3 severity score and used it to obtain expected mortality. We used the Clavien
Dindo System for Surgical Complications and the Ventral Hernia Working Group
Classification during follow-up. RESULTS: Performing temporary abdominal closure
with expanded polytetrafluoroethylene mesh was associated with a mortality rate
of 20.68%, which was lower than the expected mortality calculated from the SAPS 3
severity score (38.87 +/- 21.60). There was no fistula formation related with
this type of prosthetic material. In our study group, definitive abdominal wall
closure was performed in the 16 patients who survived (69.5%), and six of them
underwent this procedure during the original hospital stay. CONCLUSION: Temporary
abdominal closure with ePTFE mesh is an effective alternative in some
circumstances. We observed a higher survival rate than the predicted figure and
there were no cases of enteroatmospheric fistulae using this particular surgical
technique. ePTFE facilitates definitive abdominal wall closure, once the patient
is in a stable condition.
PMID- 24916421
TI - Wound dehiscence: outcome comparison for sutured and mesh reconstructed patients.
AB - PURPOSE: Treatment guidelines for abdominal wound dehiscence (WD) are lacking.
The primary aim of the study was to compare suture to mesh repair in WD patients
concerning incisional hernia incidence. Secondary aims were to compare recurrent
WD, morbidity, mortality and long-term abdominal wall complaints. METHODS: A
retrospective chart review of 46 consecutive patients operated for WD between
January 2010 and August 2012 was conducted. Physical examination and a
questionnaire enquiry were performed in January 2013. RESULTS: Six patients were
treated by vacuum-assisted wound closure and mesh-mediated fascial traction
(VAWCM) before definitive closure. Three patients died early resulting in 23
patients closed by suture and 20 by mesh repair. Five sutured, but no mesh repair
patients had recurrent WD (p = 0.051) with a mortality of 60 %. Finally, 18
sutured and 21 mesh repair patients were eligible for follow-up. The incidence of
incisional hernia was higher for the sutured patients (53 vs. 5 %, p = 0.002),
while mesh repair patients had a higher short-term morbidity rate (76 vs. 28 %, p
= 0.004). Abdominal wall complaints were rare in both groups. CONCLUSIONS: Suture
of WD was afflicted with a high incidence of recurrent WD and incisional hernia
formation. Mesh repair overcomes these problems at the cost of more wound
complications. VAWCM seems to be an alternative for treating contaminated
patients until definitive closure is possible. Long-term abdominal wall
complaints are uncommon after WD treatment.
PMID- 24916422
TI - Determination of synthetic dyes in bean and meat products by liquid
chromatography with tandem mass spectrometry.
AB - A sensitive and efficient method was developed for the simultaneous determination
of eight synthetic dyes (Chrysoidin, Auramine O, Sudan(I-IV), Para Red, and
Rhodamine B) in bean and meat products using high-performance liquid
chromatography with tandem mass spectrometry. A simple extraction procedure using
acetonitrile has been applied for the extraction of these dyes from spiked bean
and meat samples. Chromatographic separation was achieved on a Waters XTerra C18
column (2.1 * 150 mm, 5 MUm) with a multistep gradient elution. Detection and
quantification were performed using mass spectrometry in multiple reaction
monitoring mode. Linear calibrations were obtained with correlation coefficients
R(2) > 0.99. The limits of detection and quantification for the eight dyes were
in the ranges of 0.03-0.75 and 0.1-2.0 MUg/kg depending on matrices,
respectively. The recoveries of these dyes in different food matrices were
between 71.2 and 116.9% with relative standard deviations <15.2%, suggesting that
the developed method is promising for the accurate quantification of the eight
dyes at trace levels in bean and meat products.
PMID- 24916423
TI - Synthetic anionophores for basic anions as "presumably, OH-/Cl- antiporters":
from the synthetic ion channels to multi-ion hopping, anti-Hofmeister
selectivity, and strong positive AMFE.
AB - We describe application of theory and kinetic modeling to study transport of
basic anions by the small synthetic molecules. The findings should equip
researchers in the particular field with a tool necessary to address an essential
question: whether a given anion transporter facilitates permeation of F(-),
CH3COO(-), N3(-), and SCN(-) across biological membrane or it does not. The basic
anions undergo hydrolysis and conjugate acids (HAnion) are permeant species.
However, because methods to quantitatively account for HAnion transport do not
exist, traditionally, the phenomenon is also treated as non-existing. When the
relative activities and selectivity of the synthetic anionophores are evaluated,
basic and non-basic anions are regarded in the same exact way. Here, we show that
HAnion and H(+)/OH(-) transport proceed on the same time scale as the anion
exchange, nevertheless, comprehensive kinetic study could provide solution to the
problems at hands, such as selective transport of HCO3(-) or F(-) anions. We also
use theory and modeling to study other questions of particular concern: transport
of OH(-) and H(+) ions, facilitated by the small synthetic anionophore, origin of
modified anti-Hofmeister selectivity, multi-ion hopping, and anomalous mole
fraction effect in the synthetic ion channels. We do not need to model kinetics
in a synthetic channel with multiple ion binding sites. Instead, we "test" the
most simple anionophore, a lipophilic electroneutral carrier with Hofmeister-like
selectivity, in the classical assays as "presumably, Cl(-)/OH(-) antiporter." The
implications of findings to the particular field and beyond are discussed.
PMID- 24916424
TI - Shifting sands - from descriptions to solutions.
AB - BACKGROUND: Public health practitioners and policymakers value research evidence
as one of many resources to use in evidence-informed decision making (EIDM) for
public health. However, both researchers and decision-makers have described
persistent barriers and facilitators involved in using research evidence for
public health practice and policy. This is likely to affect the extent to which
research evidence is influential or useful in decisions. Numerous taxonomies,
typologies and frameworks are available to guide action in EIDM, but their
application in practice is relatively unknown. METHODS: The Public Health
Evidence group based in Australia, which incorporates The Cochrane
Collaboration's Public Health Review Group, have adapted a number of
conceptualizations of research use and types of evidence into a practical
typology that defines and illustrates three main types of evidence used in
evidence-informed public health: data (Type 1), intervention effectiveness (Type
2) and implementation evidence (Type 3). The authors have actively used this
typology within our primary research, evidence synthesis, workforce development
and stakeholder engagement strategies, which has enabled practical application of
these concepts. To test the relevance of the typology in practice, relevant
findings from our applied research and evaluation (including two exploratory
studies of evidence use in decision-making and evaluations of the use and impact
of systematic reviews among end-users) were triangulated. RESULTS: The typology
has been useful in stakeholder interactions when defining evidence, and
identifying processes for EIDM. There was a preference for defining evidence as
descriptive evidence (data) rather than impact evidence and implementation
evidence. Practitioners were confident and competent at generating and using data
and community views descriptively for priority setting (describing the problem).
However, finding and using impact and implementation evidence appropriate for
strategy development (effective solutions) was often described as a more daunting
task. As a result, there was low awareness of, and competence with, Types 2 and 3
evidence. Organizational processes for using these types of evidence were almost
non-existent. DISCUSSION: Applying this typology with stakeholders has allowed us
to observe that it; (1) has been useful in conceptualizing useful evidence for
public health, which has guided our work (2) has been useful in stakeholder
interactions to introduce evidence, its definition and what it means to be
'evidence-informed' and (3) has identified 'faults' in the EIDM approach. The
typology includes examples of common questions in public health, and suggestions
of the types of evidence that may be useful to answer those questions. Findings
that test the use of the typology have been synthesized. These have demonstrated
inconsistencies in defining and applying evidence, and low awareness about what
types of evidence are crucial to ensure that interventions are effective and
minimize harm. Based upon these findings, the authors would argue that current
investment in type 1 evidence (e.g. data repositories) shifts to make way for KT
strategies, which facilitate the uptake of type 2 and 3 evidence (interventions
and implementation guidance). CONCLUSIONS: Building a shared understanding of the
types of evidence and their importance in public health decision-making is
crucial if we wish to build a system that supports EIDM and results in effective
interventions being delivered. There are a number of 'faults' in the system which
the authors have illuminated through understanding the individual and
organizational realities of evidence use. These faults could be addressed through
KT strategies with the public health workforce, and development of organizational
cultures and the broader system.
PMID- 24916425
TI - Physical activity and healthy diet: determinants and implicit relationship.
AB - OBJECTIVE: People who decide to lose weight by dieting often do so without
participating in any associated physical activity. Although some people who
participate in sports are unconcerned about their diet, it is generally believed
that people who exercise tend to eat a healthy diet and those who do not exercise
eat a less healthy diet. There is no clear relationship between the decisions
regarding participation in physical activity and eating a healthy diet when
choices are taken freely and not influenced by policy factors promoting healthy
behaviour. However, these decisions may reveal some common explanatory factors
and an implicit link. As such the aim of this study was to identify the common
explanatory factors and investigate the existence of an implicit relationship.
STUDY DESIGN: Econometric estimate - bivariate probit estimation. METHOD: Using
data from the Portuguese National Health Survey, a bivariate probit was
undertaken for decisions regarding participation in physical activity and eating
a healthy diet. The correlation between the residuals gives information on the
implicit relationship between the healthy choices. RESULTS: Common explanatory
factors were found between the decisions to eat healthy snacks and participate in
physical activity, such as being married. However, holding voluntary private
health insurance, smoking, getting older, living alone and unemployment were
found to dissuade people from making healthy choices. Positive correlation was
found between the residuals of the probit estimations, indicating that other
unmeasurable variables have a similar influence on both decisions, such as peer
pressure, cultural values, fashion, advertising and risk aversion. CONCLUSIONS:
Further research is needed to improve understanding of decision making related to
participation in physical activity and eating a healthy diet. This will
facilitate the design of policies that will make a greater contribution to
healthy lifestyles.
PMID- 24916426
TI - Acne vulgaris: prevalence, beliefs, patients' attitudes, severity and impact on
quality of life in Egypt.
PMID- 24916427
TI - Opportunistic community-based health checks.
PMID- 24916428
TI - Biliary tract cancer in male printers and typesetters in the European rare cancer
case-control study.
PMID- 24916429
TI - Transfemoral liver biopsy using a Quick-Core biopsy needle system in living donor
liver transplantation recipients.
AB - The purpose of this study was to evaluate the efficacy and safety of transfemoral
liver biopsy with a Quick-Core biopsy needle in select living donor liver
transplantation (LDLT) recipients. Eight LDLT recipients underwent 9 transfemoral
liver biopsy sessions. Six patients had undergone modified right lobe (mRL) LDLT,
and 2 patients had undergone dual-left lobe LDLT. The indications for
transfemoral liver biopsy were a hepatic vein (HV) at an acute angle to the
inferior vena cava (IVC) on the coronal plane and a thin (<10-mm) liver
parenchyma surrounding the HV to be biopsied on enhanced computed tomography.
Under fluoroscopic guidance, the right inferior HV in the mRL or the left HV in
the right-sided left lobe with a cranial orientation was negotiated with a 5-Fr
catheter via the common femoral vein. Then, a stiffening cannula was introduced
into the HV over a stiff guide wire. Needle passage was then performed with an 18
or 19-gauge Quick-Core biopsy needle. Technical success was achieved in all
sessions without major complications. The median number of needle passages was 4
(range = 2-6). The median total length of obtained liver specimens in each
session was 44 mm (range = 24-75 mm). The median number of portal tracts was 18
(range = 10-29), and the obtained liver specimens were adequate for histological
diagnosis in all sessions. In conclusion, transfemoral liver biopsy with a Quick
Core biopsy needle is an effective and safe alternative for obtaining a liver
specimen when standard transjugular liver biopsy is not feasible because of an
unfavorable HV angle with respect to the IVC and/or a thin liver parenchyma
surrounding the HV.
PMID- 24916430
TI - Mitochondrial disease: clinical aspects, molecular mechanisms, translational
science, and clinical frontiers.
AB - Mitochondrial medicine provides a metabolic perspective on the pathology of
conditions linked with inadequate oxidative phosphorylation. Dysfunction in the
mitochondrial machinery can result in improper energy production, leading to
cellular injury or even apoptosis. Clinical presentations are often subtle, so
clinicians must have a high index of suspicion to make early diagnoses. Symptoms
could include muscle weakness and pain, seizures, loss of motor control,
decreased visual and auditory functions, metabolic acidosis, acute developmental
regression, and immune system dysfunction. The 2013 Neurobiology of Disease in
Children Symposium, held in conjunction with the 42nd Annual Meeting of the Child
Neurology Society, aimed to (1) describe accepted clinical phenotypes of
mitochondrial disease produced from various mitochondrial mutations, (2) discuss
contemporary understanding of molecular mechanisms that contribute to disease
pathology, (3) highlight the systemic effects produced by dysfunction within the
mitochondrial machinery, and (4) introduce current strategies that are being
translated from bench to bedside as potential therapeutics.
PMID- 24916431
TI - Successful pacing using a batteryless sunlight-powered pacemaker.
AB - AIMS: Today's cardiac pacemakers are powered by batteries with limited energy
capacity. As the battery's lifetime ends, the pacemaker needs to be replaced.
This surgical re-intervention is costly and bears the risk of complications.
Thus, a pacemaker without primary batteries is desirable. The goal of this study
was to test whether transcutaneous solar light could power a pacemaker. METHODS
AND RESULTS: We used a three-step approach to investigate the feasibility of
sunlight-powered cardiac pacing. First, the harvestable power was estimated.
Theoretically, a subcutaneously implanted 1 cm(2) solar module may harvest ~2500
uW from sunlight (3 mm implantation depth). Secondly, ex vivo measurements were
performed with solar cells placed under pig skin flaps exposed to a solar
simulator and real sunlight. Ex vivo measurements under real sunlight resulted in
a median output power of 4941 uW/cm(2) [interquartile range (IQR) 3767-5598
uW/cm(2), median skin flap thickness 3.0 mm (IQR 2.7-3.3 mm)]. The output power
strongly depended on implantation depth (rhoSpearman = -0.86, P < 0.001).
Finally, a batteryless single-chamber pacemaker powered by a 3.24 cm(2) solar
module was implanted in vivo in a pig to measure output power and to pace. In
vivo measurements showed a median output power of >3500 uW/cm(2) (skin flap
thickness 2.8-3.84 mm). Successful batteryless VVI pacing using a subcutaneously
implanted solar module was performed. CONCLUSION: Based on our results, we
estimate that a few minutes of direct sunlight (irradiating an implanted solar
module) allow powering a pacemaker for 24 h using a suitable energy storage.
Thus, powering a pacemaker by sunlight is feasible and may be an alternative
energy supply for tomorrow's pacemakers.
PMID- 24916432
TI - The mammalian target of rapamycin pathway in the basolateral amygdala is critical
for nicotine-induced behavioural sensitization.
AB - Repeated exposure to nicotine increases psychomotor activity. Long-lasting neural
plasticity changes that contribute to the nicotine-induced development of
locomotor sensitization have been identified. The mammalian target of rapamycin
complex 1 (mTORC1) signalling pathway is involved in regulating the
neuroplasticity of the central nervous system. In this study, we examined the
role of mTORC1 in the amygdala in nicotine-induced locomotor sensitization.
Rapamycin, an inhibitor of mTORC1, was infused into the basolateral amygdala
(BLA) and central amygdala (CeA) or systemically administered to investigate the
role of the mTORC1 in the development and expression of nicotine-induced
locomotor sensitization. We found that locomotor activity progressively increased
during the initiation of nicotine-induced locomotor sensitization and the
expression of nicotine sensitization was induced by nicotine challenge injection
(0.35 mg/kg s.c.) after five days of withdrawal. The initiation of nicotine
induced locomotor sensitization was accompanied by the increased phosphorylated
level of mTORC1 downstream target proteins including p-p70s6k and p-4EBP in the
BLA, but not CeA. Intra-BLA infusion or systemic administration of rapamycin
blocked locomotor activity. Increased p-p70s6k and p-4EBP were also observed in
the expression of nicotine sensitization, which was demonstrated to be inhibited
by systemic rapamycin administration. Our findings indicated that mTORC1 activity
in the BLA, but not the CeA, mediated the initiation and expression of nicotine
induced locomotor sensitization, and may become a potential target for the
treatment of nicotine addiction.
PMID- 24916433
TI - The CROWN Initiative: Journal editors invite researchers to develop core outcomes
in women's health.
PMID- 24916434
TI - Implementing the ESHRE 'poor responder' criteria in research studies:
methodological implications.
AB - The Bologna criteria for defining poor ovarian response (POR) during IVF provide
a useful template for new research in this field of assisted conception. However,
designing studies around the European Society for Human Reproduction and
Embryology POR criteria can be methodologically challenging, as the new
definition includes various POR subpopulations with diverse baseline
characteristics and unknown clinical prognosis. When designing RCTs, potential
result bias may be introduced if women from each subpopulation are not evenly
allocated between intervention groups. In the case of small or moderate-size
RCTs, a single-sequence randomization method may not ensure balanced allocation
between groups. Stratified randomization methods provide an alternative
methodological approach. Depending on the chosen methodology, patient
characteristics and outcomes within each intervention group may be better
reported according to relevant subpopulations.
PMID- 24916435
TI - Deciding about fertility preservation after specialist counselling.
AB - STUDY QUESTION: How do female patients experience fertility preservation (FP)
consultation (FPC) with a specialist in reproductive medicine and subsequent
decision-making on FP? SUMMARY ANSWER: Most patients had positive experiences
with FPC, but negative experiences were found to be associated with decisional
conflict and decision regret. WHAT IS KNOWN ALREADY: When confronted with a need
for gonadotoxic treatment, girls and young women will have to make an
irreversible decision with regard to FP. Patients may experience decisional
conflict and develop regret about their decision during follow-up. Patients'
opportunities to ask questions during FPC and their knowledge about FP have been
inversely related to decisional conflict. STUDY DESIGN, SIZE, DURATION: A
questionnaire on experiences with FPC, designed after qualitative research, was
retrospectively distributed to 108 patients to whom FP was offered after FPC
between July 2008 and July 2013. Aiming to minimize recall bias, we defined a
subgroup of patients counselled since 2011 who had not yet tried to conceive
after FPC. PARTICIPANTS/MATERIALS, SETTING, METHODS: Patients were aged >=16
years and had either cancer or a benign disease that required gonadotoxic
therapy. They received FPC in a single university hospital in the Netherlands.
Apart from patients' experiences, patients' characteristics, decisional conflict
and decision regret were assessed. MAIN RESULTS AND ROLE OF CHANCE: A total of 64
patients (59.3%) responded to the questionnaire. Patients generally had positive
experiences with FPC, but indicated room for improvement. Negative experiences
were associated with decisional conflict regarding the FP decision (not enough
time for counselling: P < 0.0001; not having the opportunity to ask all questions
during FPC: P < 0.0001; not feeling supported by the counsellor during decision
making: P = 0.0003; not all applicable options were discussed: P = 0.0001;
benefits and disadvantages of FP options were not clearly explained: P = 0.0005).
Decisional conflict was correlated to decision regret (P < 0.0001). In the
subgroup of patients counselled after 2011 who had not tried to conceive (n =
33), similar results as for the total study population were found for the
association of patient experiences with decisional conflict. LIMITATIONS, REASONS
FOR CAUTION: Given our retrospective design, we were not informed about the
causality of the associations observed. We studied Dutch patients who were
counselled in a single centre and were at least 16 years old when filling in the
questionnaire. This may limit the generalizability of our data to other settings
and populations. WIDER IMPLICATIONS OF THE FINDINGS: More attention should be
paid to improving FPC care. Interventions aiming at improving patients'
comprehension of the topic of FP and their feelings of being supported in
decision-making are advisable. STUDY FUNDING/COMPETING INTERESTS: This work was
supported by the Radboud Institute for Health and an unconditional grant from
Merck Serono. The authors have declared no conflicts of interest with respect to
this work.
PMID- 24916436
TI - Timing of contraceptive initiation and association with future sexual and
reproductive outcomes.
AB - STUDY QUESTION: Who initiates contraception before, at the time or after first
sexual intercourse and how does timing of initiation affect future sexual and
reproductive health (SRH) outcomes? SUMMARY ANSWER: Earlier initiation was
associated with current utilization of more effective contraception, but had no
impact on future unintended pregnancy, abortion or sexually transmitted infection
rates, while later initiation was linked to higher rates of unintended
pregnancies and abortions. WHAT IS KNOWN ALREADY: Contraceptive behaviour at
first intercourse is associated with later SRH outcomes. STUDY DESIGN, SIZE,
DURATION: Data were drawn from the FECOND study, the last national SRH study in
France, conducted in 2010-2011 by phone among 8645 individuals aged 15-49 years.
PARTICIPANTS/MATERIALS, SETTING, METHODS: Analysis was performed among 1552 women
under the age of 30 years who were sexually experienced and had used
contraception at some point. We used logistic regression models to identify
factors associated with timing of contraception initiation relative to first
intercourse (earlier, at the time and later) and its predictive value on future
sexual and reproductive outcomes. MAIN RESULTS AND THE ROLE OF CHANCE: Timing of
contraceptive initiation across all three categories (earlier, at the time and
later initiators) was dependent on women's age at the time of the survey, country
of birth, education level, ease of discussing sex with mother and age of first
sex. Using at the time initiators as a reference, later initiators had higher
odds of unintended pregnancy [odds ratio (OR) = 1.8] and abortion (OR = 1.9),
while earlier initiators were more likely to be using more effective
contraception at the time of the survey (OR = 1.8). LIMITATIONS, REASONS FOR
CAUTION: The exclusion of sexually inexperienced women, a quarter of which had
ever used the pill, may have reduced the scope of our analysis on the relevance
of contraceptive initiation for non-contraceptive benefits. WIDER IMPLICATIONS OF
THE FINDINGS: The promotion of early initiation of contraception may contribute
to long-term use of more effective methods of contraception. STUDY
FUNDING/COMPETING INTERESTS: The FECOND study was supported by a grant from the
French Ministry of Health, a grant from the French National Agency of Research
(#ANR-08-BLAN-0286-01; PIs N. Bajos, C Moreau) and funding from the National
Institute of Health and Medical Research (INSERM) and the National Institute for
Demographic Research (INED). None of the authors have a conflict of interest.
PMID- 24916437
TI - Circumstances of falls and fall-related injuries among patients with Parkinson's
disease in an outpatient setting.
AB - Falls represent continuing, disabling and costly problem in Parkinson's disease
(PD). The study was carried out at the Neurology Clinic in Belgrade from August
2011 to December 2012. As many as 180 community dwelling persons with PD aged 22
83 years who sustained a fall in past 6 months were included. Characteristics of
the most recent fall were obtained through detailed interviews. Inclusion
criteria were: Mini Mental State Examination (MMSE)>=24, ability to walk
independently for at least 10 m and ability to statically stand for at least 90
s. Exclusion criteria were: presence of other neurologic as well as psychiatric,
visual, audio-vestibular and orthopedic impairments. Falls more frequently took
place outside (57.2%) and in the morning (53.9%). As much as 38.9% of persons
with PD sustained an injury. Soft-tissue contusion was the most common injury
(71.8%) both after indoor and outdoor falls. Fractures accounted for 5% of all
fall-related injuries. All the fractures were either arm, clavicle or rib
fractures. Tripping was identified as risk factor for outdoor falls (OR=7.90; 95%
confidence interval [95% CI] 3.21-19.39; p=0.001). In contrast, lower extremity
weakness (OR=0.20; 95% CI 0.05-0.72; p=0.015) and internal sense of sudden loss
of balance (OR=0.19; 95% CI 0.05-0.73; p=0.015) were risk factors for indoor
falls. To accomplish long-term results, development of particular prevention
programs for persons with PD who fall at home vs. outdoors is recommended.
PMID- 24916438
TI - Observation of inflammatory responses in mice orally fed with bacteriophage T7.
AB - AIMS: Analysis of inflammatory immune response upon bacteriophage intake in mice.
METHODS AND RESULTS: Bacteriophage therapy is emerging as an effective
alternative to conventional antibiotics. However, its safety when applied to
humans and animals remains a prime concern. This study investigated the
inflammatory responses in mice fed orally with bacteriophages. The mice were fed
with either murine norovirus (MNV) or bacteriophage T7 for 10 days and then
sacrificed. No behavioural changes related to diet, movement or defecation were
observed in either group. The inflammatory cytokine profiling showed an increased
level of interleukins 1alpha (IL-1alpha), 1beta (IL-1beta), 2 (IL-2), 10 (IL-10),
12 (IL-12), 17A (IL-17A), interferon-gamma (IFN-gamma), tumour necrosis factor
alpha (TNF-alpha), granulocyte colony-stimulating factor (G-CSF) and granulocyte
macrophage colony-stimulating factor (GM-CSF) in the serum from the mice fed with
MNV, whereas only a slight increase in IL-17A was observed in the serum from the
mice fed with bacteriophage T7. A histopathological analysis of tissue samples
from the stomach, small intestine and colon revealed no significant pathological
change. CONCLUSIONS: The bacteriophage diet only caused a minimal inflammatory
response in the mice. SIGNIFICANCE AND IMPACT OF THE STUDY: This study provides
insights into safety concerns of orally fed bacteriophage therapy.
PMID- 24916439
TI - Isotretinoin therapy changes the expression of antimicrobial peptides in acne
vulgaris.
AB - In acne vulgaris, antimicrobial peptides (AMPs) could play a dual role; i.e.,
protective by acting against Propionibacterium acnes, pro-inflammatory by acting
as signalling molecules. The cutaneous expression of 15 different AMPs was
investigated in acne patients; furthermore, the impact of isotretinoin therapy on
AMP expression was analysed in skin biopsies from 13 patients with acne vulgaris
taken before, during and after a 6-month treatment cycle with isotretinoin using
quantitative real-time polymerase chain reaction. Cutaneous expression of the
AMPs cathelicidin, human beta-defensin-2 (HBD-2), lactoferrin, lysozyme,
psoriasin (S100A7), koebnerisin (S100A15), and RNase 7 was upregulated in
untreated acne vulgaris, whereas alpha-defensin-1 (HNP-1) was downregulated
compared to controls. While relative expression levels of cathelicidin, HBD-2,
lactoferrin, psoriasin (S100A7), and koebnerisin (S100A15) decreased during
isotretinoin treatment, only those of cathelicidin and koebnerisin returned to
normal after 6 months of isotretinoin therapy. The increased expression of
lysozyme and RNase 7 remained unaffected by isotretinoin treatment. The levels of
granulysin, RANTES (CCL5), perforin, CXCL9, substance P, chromogranin B, and
dermcidin were not regulated in untreated acne patients and isotretinoin had no
effect on these AMPs. In conclusion, the expression of various AMPs is altered in
acne vulgaris. Isotretinoin therapy normalizes the cutaneous production of
distinct AMPs while the expression of others is still increased in healing acne.
Considering the antimicrobial and pro-inflammatory role of AMPs, these molecules
could serve as specific targets for acne therapy and maintenance of clinical
remission.
PMID- 24916441
TI - Gluconate 5-dehydrogenase (Ga5DH) participates in Streptococcus suis cell
division.
AB - Bacterial cell division is strictly regulated in the formation of equal daughter
cells. This process is governed by a series of spatial and temporal regulators,
and several new factors of interest to the field have recently been identified.
Here, we report the requirement of gluconate 5-dehydrogenase (Ga5DH) in cell
division of the zoonotic pathogen Streptococcus suis. Ga5DH catalyzes the
reversible reduction of 5-ketogluconate to D-gluconate and was localized to the
site of cell division. The deletion of Ga5DH in S. suis resulted in a plump
morphology with aberrant septa joining the progeny. A significant increase was
also observed in cell length. These defects were determined to be the consequence
of Ga5DH deprivation in S. suis causing FtsZ delocalization. In addition, the
interaction of FtsZ with Ga5DH in vitro was confirmed by protein interaction
assays. These results indicate that Ga5DH may function to prevent the formation
of ectopic Z rings during S. suis cell division.
PMID- 24916442
TI - Whole genome sequencing and phylogenetic analysis of Bluetongue virus serotype 2
strains isolated in the Americas including a novel strain from the western United
States.
AB - Bluetongue is a potentially fatal arboviral disease of domestic and wild
ruminants that is characterized by widespread edema and tissue necrosis.
Bluetongue virus (BTV) serotypes 10, 11, 13, and 17 occur throughout much of the
United States, whereas serotype 2 (BTV-2) was previously only detected in the
southeastern United States. Since 1998, 10 other BTV serotypes have also been
isolated from ruminants in the southeastern United States. In 2010, BTV-2 was
identified in California for the first time, and preliminary sequence analysis
indicated that the virus isolate was closely related to BTV strains circulating
in the southeastern United States. In the current study, the whole genome
sequence of the California strain of BTV-2 was compared with those of other BTV-2
strains in the Americas. The results of the analysis suggest co-circulation of
genetically distinct viruses in the southeastern United States, and further
suggest that the 2010 western isolate is closely related to southeastern strains
of BTV. Although it remains uncertain as to how this novel virus was translocated
to California, the findings of the current study underscore the need for ongoing
surveillance of this economically important livestock disease.
PMID- 24916443
TI - Outbreak of Bluetongue virus serotype 4 in dairy sheep in Rio de Janeiro, Brazil.
AB - In late January 2013, 10 nonpregnant Lacaune dairy ewes raised under extensive
husbandry management on a farm in Rio de Janeiro, Brazil, presented with the
general clinical signs of lethargy, hyporexia, edema of the face, hyperemia of
the exposed parts of the skin, mouth lesions, pyrexia, and lameness.
Additionally, 2 pregnant ewes died suddenly after the onset of respiratory signs.
The complete blood counts and biochemistry analyses showed neutrophilic
leukocytosis with monocytosis and reactive lymphocytes, normocytic normochromic
anemia and increased aspartate aminotransferase levels. Postmortem examination
revealed erosions on the lingual mucosa, bilateral submandibular ganglia
infarctions, yellow foamy fluid accumulation in the trachea and bronchial
bifurcation, pulmonary congestion, and edema associated with hemorrhagic lesions
on the pulmonary artery and heart. The clinical and pathological findings were
suggestive of bluetongue. For a molecular and virological diagnosis, tissue
samples were analyzed by Bluetongue virus-specific real-time reverse
transcription polymerase chain reaction (qRT-PCR), and viral isolation was
performed in embryonated chicken eggs. For viral typing, positive tissue and egg
isolated samples were analyzed by qRT-PCR using primers and probes specific for
the structural VP2 gene in genome segment 2 of all 26 serotypes. There are still
no contingency plans for responding to an outbreak of bluetongue disease in
Brazil, and this episode emphasizes the need for continuing serological and
entomological surveillance programs. Additionally, this report describes the
isolation of Bluetongue virus serotype 4 in sheep in the Americas.
PMID- 24916440
TI - The genetic and epigenetic alterations in human hepatocellular carcinoma: a
recent update.
AB - Hepatocellular carcinoma (HCC) is one of the most frequent human malignancies
worldwide with very poor prognosis. It is generally accepted that the progression
of HCC is a long-term process with accumulation of multiple genetic and
epigenetic alterations, which further lead to the activation of critical
oncogenes or inactivation of tumor suppressor genes. HCC is characterized with
multiple cancer hallmarks including their ability to proliferate, anti-apoptosis,
invade, metastasis, as well as the emerging features such as stem cell properties
and energy metabolic switch. The irreversible alterations at genetic level could
be detected as early as in the pre-neoplastic stages and accumulate during cancer
progression. Thus, they might account for the cancer initiating steps and further
malignant transformation. In addition to genetic alterations, epigenetic
alterations can affect the cancer transcriptome more extensively. Alterations in
DNA methylation, histone modification, miRNAs, RNA editing, and lncRNAs might
result in disrupted gene regulation networks and substantially contribute to HCC
progression. In this review, the genetic and epigenetic alterations which
significantly contribute to the malignant capabilities of HCC will be updated and
summarized in detail. Further characterization of those critical molecular events
might better elucidate the pathogenesis of HCC and provide novel therapeutic
targets for treatment of this deadly disease.
PMID- 24916444
TI - Use of the polymerase chain reaction assay for the detection of Babesia odocoilei
18S ribosomal RNA in formalin-fixed tissues.
AB - The effect of fixation and storage conditions on the performance of polymerase
chain reaction (PCR) assays for Babesia odocoilei were examined using 3 different
primer sets targeting the eukaryotic 18S ribosomal RNA gene, with variably sized
products of 1,723 base pairs (bp), 483 bp, and 306 bp. All primer sets performed
well on fresh-frozen tissue, and storage for 1 year at -20 degrees C did not
affect PCR performance. Formalin fixation markedly affected the amplicon length
that could be amplified. However, DNA was successfully amplified after storage in
formalin for 2 months using the primer set with a 483-bp product, and up to 6
months using the primer set with a 306-bp product. The latter primer set
successfully differentiated B. odocoilei and Babesia microti DNA; however,
further evaluation is required to confirm its specificity. Treatment of tissues
with formic acid, at concentrations typically used to denature prions, degraded
the DNA and made it unsuitable for PCR testing.
PMID- 24916445
TI - Touchdown polymerase chain reaction detection of polycystic kidney disease and
laboratory findings in different cat populations.
AB - Autosomal-dominant polycystic kidney disease (ADPKD) is the most prevalent
inherited genetic disease of cats, predominantly affecting Persian and Persian
related cats. A point mutation (C->A transversion) in exon 29 of the PKD1 gene
causes ADPKD, and is the specific molecular target for genetic diagnosis in cats.
The current study describes a newly developed touchdown polymerase chain reaction
(PCR) to detect this single point mutation, using 2 primers specific for the
mutant allele, adapted from an existing multiplex amplification refractory
mutation system (ARMS PCR). Furthermore, correlations between the clinical
outcomes of tested animals and the results of the genetic test were investigated.
A total of 334 cats were tested, 188 from the Veterinary Hospital of Small
Animals at the University of Brasilia, and 146 from an anti-rabies vaccine
campaign of the Federal District. A total prevalence of 9% was evident among the
samples, with 33% of the Persian cats testing positive, and 7% of the Brazilian
long- and shorthaired cats testing positive. Prevalence was not correlated with
gender or hemogram. Positive animals exhibited hyperglobulinemia ( P = 0.02).
This research demonstrated that the mutation does not only occur in Persian and
Persian-related cats, and that a touchdown PCR can be used to diagnose ADPKD.
PMID- 24916446
TI - PCB 126 perturbs hypoxia-induced HIF-1alpha activity and glucose consumption in
human HepG2 cells.
AB - Aerobic organisms strongly depend on the availability of oxygen for respiration
and countless other metabolic processes to maintain cellular homeostasis. Under
certain conditions, the amount of available oxygen can be limited. To support
survival in environments with limited oxygen supply, hypoxia-inducible factors
(HIFs) reprogram vital components of cellular metabolism. HIF-1alpha is an
important mediator of acute and adaptive responses to hypoxic stress.
Interestingly, the heterodimeric partner required by HIF-1alpha to function as
transcription factor, known as ARNT, is also an essential part of the aryl
hydrocarbon receptor (AhR) transcription factor complex. Thus, via ARNT a
crosstalk exists between these two pathways that might affect HIF-1alpha-mediated
processes. In this study we sought to assess the effect of the AhR agonist PCB
126 on HIF-1alpha activity as well as on HIF-1alpha-regulated targets involved in
cellular metabolism in human HepG2 cells. Our results show that PCB 126 reduced
HIF-1alpha localization to the nucleus. Furthermore, in an in vivo setting, rats
exposed to parenteral PCB 126 also displayed reduced hepatocyte nuclear
localization of HIF-1alpha. Additionally, HepG2 cells exposed to PCB 126
displayed reduced hypoxia-regulated HRE-luciferase reporter gene expression as
well as a reduction in glucose consumption in conditions of hypoxia. In summary,
this study reveals that HIF-1alpha-regulated cellular metabolic processes are
negatively affected by PCB 126 which might ultimately affect adaptive responses
and cell survival in hypoxic environments.
PMID- 24916447
TI - Topical formulations containing finasteride. Part II: determination of
finasteride penetration into hair follicles using the differential stripping
technique.
AB - The differential stripping technique consists of a tape-stripping phase followed
by a cyanoacrylate biopsy. This technique not only allows the quantification of
drug retained in the stratum corneum (SC) and in the hair follicles but also
differentiates transepidermal from transfollicular penetration. Our study aimed
at both validating the differential stripping procedure on hairless rat skin and
assessing the role of the hair follicle in the cutaneous penetration of
finasteride (FNS) after application of two experimental formulations for 6 or 24
h: P-08-016, a hydroxypropyl chitosan (HPCH)-based formulation and P-10-008, an
anhydrous formulation devoid of HPCH. Microscopic and histological evaluation
showed that after 15 tape strips both the SC and the viable epidermis were
completely removed. A subsequent cyanoacrylate skin surface biopsy led to the
removal of the infundibula content. The largest amounts of FNS were found in the
epidermis and in the appendages after application of P-08-016, regardless of the
time from application. In contrast, smaller and statistically significant amounts
of FNS were recovered with P-10-008 6 h after application, compared with that at
24 h. In conclusion, the differential stripping technique allowed determination
of the amount of FNS localized in different skin districts, focusing particularly
on the follicular contribution.
PMID- 24916448
TI - Clinical beak and feather disease virus infection in wild juvenile eastern
rosellas of New Zealand; biosecurity implications for wildlife care facilities.
AB - CASE HISTORY: Four juvenile eastern rosellas (Platycercus eximius) were admitted
to two separate wildlife care facilities in the Auckland region by members of the
public. They had missing or dystrophic wing and tail feathers that rendered them
flightless, suggestive of beak and feather disease virus (BFDV) infection. Two
were subject to euthanasia after failing to re-grow their feathers, with samples
taken for histopathology and PCR analysis. Blood samples were obtained from the
other two birds at the time of examination, however these individuals were lost
to follow up. PATHOLOGICAL AND MOLECULAR FINDINGS: Basophilic inclusion bodies
were observed in histological sections of the feather bulb, typical of BFDV
infection, from the two euthanised individuals. Blood from all four birds tested
positive by PCR for BFDV, and analysis of the recovered full BFDV genomes
identified them as belonging to the BFDV-A strain. DIAGNOSIS: Beak and feather
disease virus infection. CLINICAL RELEVANCE: This report highlights the clinical
impacts of BFDV in juvenile eastern rosellas that may result in their admission
to wildlife care facilities, creating a biosecurity risk in institutions that may
host other native parrots intended for release. The environmental stability of
BFDV and resistance to disinfection requires strict quarantine procedures to
prevent contamination and spread within a facility. It is recommended that high
risk species such as wild eastern rosella be excluded from facilities that may
also house native parrots.
PMID- 24916449
TI - Design and application of a real-time polymerase chain for the detection and
subsequent characterization of respiratory adenoviral infections.
AB - Human adenoviruses (HADVs) comprise at least 54 types and cause a wide spectrum
of respiratory tract infections; early diagnosis and epidemiological monitoring
of HADV infections requires a rapid and sensitive assay. The use of a real-time
polymerase chain reaction (PCR) assay was evaluated with one set of in-house
designed primers for respiratory adenoviral infections. The assay was first
validated by detecting successfully 6 representative types and 100 clinical
isolates. A concomitant prospective surveillance of viral aetiology using
conventional cultures and PCR assays in 160 febrile children with acute
respiratory tract symptoms was conducted between May 2010 and July 2011. Viral
aetiologies were confirmed in 72 (45%) cases using conventional cultures,
including 51 adenoviral infections. The concordance between the real-time PCR and
culture was good (Kappa = 0.94), and two additional culture-negative adenovirus
infections were identified. During the study period (January 2011), an adenoviral
community epidemic occurred. Adenovirus B3 was the predominant type in this
epidemic (69.8%), followed by C2 (5.7%), C1 (5.7%), C5 (1.9%), E4 (1.9%), C6
(1.9%), F41 (1.9%), and 4 unclassified species C (7.5%). Significantly prolonged
duration of fever (>5 days), higher leukocyte counts, higher neutrophil counts,
and higher C-reactive protein levels were in the adenoviral infected group (n =
53, P < 0.001), compared with the non-adenoviral infected group (n = 107). In
conclusion, this in-house real-time PCR is capable of detecting adenoviral
respiratory infections of various types in children; and patients with adenoviral
aetiology suffered from more severe clinical manifestations.
PMID- 24916450
TI - Depression and its measurement in verbal adolescents and adults with autism
spectrum disorder.
AB - In a sample of 50 verbally fluent adolescents and adults with autism spectrum
disorders (age: 16-31 years; verbal IQ: 72-140), we examined the pattern of
response and associations between scores on common measures of depressive
symptoms, participant characteristics, and clinical diagnosis of depressive
disorders. Beck Depression Inventory-Second Edition item descriptives in this
autism spectrum disorder sample were compared to previously published data from a
large typically developing sample, with results suggesting that cognitive
attributional symptoms of depression may be particularly prevalent in autism
spectrum disorder. Scores on a variety of self- and parent-report depression
measures were not associated with chronological age or verbal IQ, and were
relatively highly correlated with each other and with clinical diagnosis of a
mood disorder. The Beck Depression Inventory-Second Edition and the Adult Self
Report "Depressive" scale best identified both depressed and non-depressed
participants in this sample, though neither was particularly strong. Validation
studies of depression measures in the autism spectrum disorder population are
necessary to advance research into this prevalent and impairing comorbidity.
PMID- 24916451
TI - Multimodal brain imaging in autism spectrum disorder and the promise of twin
research.
AB - Current evidence suggests the phenotype of autism spectrum disorder to be driven
by a complex interaction of genetic and environmental factors impacting onto
brain maturation, synaptic function, and cortical networks. However, findings are
heterogeneous, and the exact neurobiological pathways of autism spectrum disorder
still remain poorly understood. The co-twin control or twin-difference design is
a potentially powerful tool to disentangle causal genetic and environmental
contributions on neurodevelopment in autism spectrum disorder. To this end,
monozygotic twins discordant for this condition provide unique means for the
maximum control of potentially confounding factors. Unfortunately, only few
studies of a rather narrow scope, and limited sample size, have been conducted.
In an attempt to highlight the great potential of combining the brain connectome
approach with monozygotic twin design, we first give an overview of the existing
neurobiological evidence for autism spectrum disorder and its cognitive
correlates. Then, a special focus is made onto the brain imaging findings
reported within populations of monozygotic twins phenotypically discordant for
autism spectrum disorder. Finally, we introduce the brain connectome model and
describe an ongoing project using this approach among the largest cohort of
monozygotic twins discordant for autism spectrum disorder ever recruited.
PMID- 24916452
TI - Iconicity influences how effectively minimally verbal children with autism and
ability-matched typically developing children use pictures as symbols in a search
task.
AB - Previous word learning studies suggest that children with autism spectrum
disorder may have difficulty understanding pictorial symbols. Here we investigate
the ability of children with autism spectrum disorder and language-matched
typically developing children to contextualize symbolic information communicated
by pictures in a search task that did not involve word learning. Out of the
participant's view, a small toy was concealed underneath one of four unique
occluders that were individuated by familiar nameable objects or unfamiliar
unnamable objects. Children were shown a picture of the hiding location and then
searched for the toy. Over three sessions, children completed trials with color
photographs, black-and-white line drawings, and abstract color pictures. The
results reveal zero group differences; neither children with autism spectrum
disorder nor typically developing children were influenced by occluder
familiarity, and both groups' errorless retrieval rates were above-chance with
all three picture types. However, both groups made significantly more errorless
retrievals in the most-iconic photograph trials, and performance was universally
predicted by receptive language. Therefore, our findings indicate that children
with autism spectrum disorder and young typically developing children can
contextualize pictures and use them to adaptively guide their behavior in real
time and space. However, this ability is significantly influenced by receptive
language development and pictorial iconicity.
PMID- 24916453
TI - Rethinking language in autism.
AB - In this article, we invite a rethinking of traditional perspectives of language
in autism. We advocate a theoretical reappraisal that offers a corrective to the
dominant and largely tacitly held view that language, in its essence, is a
referential system and a reflection of the individual's cognition. Drawing on
scholarship in Conversation Analysis and linguistic anthropology, we present a
multidimensional view of language, showing how it also functions as interactional
accomplishment, social action, and mode of experience. From such a
multidimensional perspective, we revisit data presented by other researchers that
include instances of prototypical features of autistic speech, giving them a
somewhat different-at times complementary, at times alternative-interpretation.
In doing so, we demonstrate that there is much at stake in the view of language
that we as researchers bring to our analysis of autistic speech. Ultimately, we
argue that adopting a multidimensional view of language has wide ranging
implications, deepening our understanding of autism's core features and
developmental trajectory.
PMID- 24916454
TI - Measuring the lifetime of silicon nanocrystal solar cell photo-carriers by using
Kelvin probe force microscopy and x-ray photoelectron spectroscopy.
AB - We report the first measurements of photo-carrier lifetimes in silicon
nanocrystal-based third generation solar cells by Kelvin force microscopy and x
ray photoelectron spectroscopy under modulated frequency light illumination. A
high concentration of active defects at the interface between the nanocrystals
and silicon oxide matrix may be passivated by annealing under hydrogen. We found
that the carrier lifetime, tau, is tau = 7 * 10(-5) s and tau = 3.5 * 10(-5) s
within 10% accuracy for the hydrogen passivated and non-passivated nanocrystals,
respectively. We used an exponential model to confirm the experimental potential
measurements and to estimate photo-carrier lifetimes.
PMID- 24916455
TI - Fresh versus frozen embryo transfer: backing clinical decisions with scientific
and clinical evidence.
AB - BACKGROUND: Improvements in vitrification now make frozen embryo transfers (FETs)
a viable alternative to fresh embryo transfer, with reports from observational
studies and randomized controlled trials suggesting that: (i) the endometrium in
stimulated cycles is not optimally prepared for implantation; (ii) pregnancy
rates are increased following FET and (iii) perinatal outcomes are less affected
after FET. METHODS: This review integrates and discusses the available clinical
and scientific evidence supporting embryo transfer in a natural cycle. RESULTS:
Laboratory-based studies demonstrate morphological and molecular changes to the
endometrium and reduced responsiveness of the endometrium to hCG, resulting from
controlled ovarian stimulation. The literature demonstrates reduced endometrial
receptivity in controlled ovarian stimulation cycles and supports the clinical
observations that FET reduces the risk of ovarian hyperstimulation syndrome and
improves outcomes for both the mother and baby. CONCLUSIONS: This review provides
the basis for an evidence-based approach towards changes in routine IVF, which
may ultimately result in higher delivery rates of healthier term babies.
PMID- 24916456
TI - Surfactant or block copolymer micelles? Structural properties of a series of well
defined n-alkyl-PEO micelles in water studied by SANS.
AB - Here we present an extensive small-angle neutron scattering (SANS) structural
characterization of micelles formed by poly(ethylene oxide)-mono-n-alkyl ethers
(Cn-PEOx) in dilute aqueous solution. Chemically, Cn-PEOx can be considered as a
hybrid between a low-molecular weight surfactant and an amphiphilic block
copolymer. The present system, prepared through anionic polymerization
techniques, is better defined than other commercially available polymers and
allows a very precise and systematic testing of the theoretical predictions from
thermodynamical models. The equilibrium micellar properties were elaborated by
systematically varying the n-alkyl chain length (n) at constant PEO molecular
weight or increasing the soluble block size (x), respectively. The structure was
reminiscent of typical spherical star-like micelles i.e. a constant core density
profile, ~r(0), and a diffuse corona density profile, ~r(-4/3). Through a careful
quantitative analysis of the scattering data, it is found that the aggregation
number, Nagg initially rapidly decreases with increasing PEO length until it
becomes independent at higher PEO molecular weight as expected for star-like
micelles. On the other hand, the dependency on the n-alkyl length is
significantly stronger than that expected from the theories for star-like block
copolymer micelles, Nagg ~ n(2) similar to what is expected for surfactant
micelles. Hence the observed aggregation behavior suggests that the Cn-PEOx
micelles exhibit a behavior that can be considered as a hybrid between low
molecular weight surfactant micelles and diblock copolymer micelles.
PMID- 24916457
TI - Clinical and psychosocial variables associated with behavioral intentions to
undergo surveillance endoscopy.
AB - BACKGROUND: Many patients with Barrett's esophagus do not adhere to guideline
recommended endoscopic surveillance. Among patient factors related to cancer
prevention behaviors, patients' stated behavioral intention is a strong predictor
of behavior performance. Little is known about the patient factors associated
with having a strong behavioral intention to pursue surveillance endoscopy. This
study explores the association of clinical and psychosocial variables and
behavioral intention to pursue surveillance endoscopy among patients with
Barrett's Esophagus and no or low-grade dysplasia. METHODS: Potential subjects
were screened using electronic medical records of a regional Veterans Affairs
Medical Center and a pathologically confirmed Barrett's esophagus registry.
Eligible participants were recruited by a mailer or phone call and completed a
questionnaire to measure six distinct psychosocial factors, their behavioral
intention to undergo surveillance endoscopy, and various demographic and clinical
variables. Univariate and multivariate linear regression identified the relation
of behavioral intention with each of six psychosocial variables. RESULTS: One
hundred and one subjects consented and returned surveys. The analytical sample
for this study consists of the 94% of surveys with complete responses to the
behavior intention items. Three of the six psychosocial domains were
statistically significant predictors of intention in both univariate and adjusted
univariate analysis (salience/coherence beta = 0.59, 95% CI = 0.45-0.76, P <0.01;
self-efficacy beta = 0.30, 95% CI = 0.10-0.51, P <0.01; and social influence beta
= 0.20, 95% CI = 0.08-0.33, P <0.01). In a multivariate analysis only
salience/coherence (beta = 0.65, 95% CI = 0.42-0.88, P <0.01) remained
statistically significant predictor of intention. CONCLUSION: This study
established the validity of a scale to measure psychosocial variables associated
with behavioral intentions to undergo surveillance endoscopy. Results demonstrate
the importance of assessing self-efficacy, social influences, and bottom-line
belief in the value of surveillance endoscopy when evaluating a patient's
likelihood of completing surveillance endoscopy.
PMID- 24916458
TI - Helping burn patients to look at their injuries: how confident are burn care
staff and how often do they help?
AB - Patients vary in their feelings about looking at their injuries and burn care
staff play an important role in helping patients. This study explored confidence
among burn care staff in helping patients to look at their injuries and how often
help was typically offered. Burn care professionals (n=33) completed a
questionnaire exploring confidence and practice in this area. Eighty-five percent
(n=28) believed it was important for patients to look at their injuries but a
significant proportion lacked confidence in preparing patients for what they
might see (18%; n=6) and having the necessary practical skills required (24%;
n=8). Fifty-five percent (n=18) worried about upsetting patients and 48% (n=16)
worried about saying/doing the wrong thing. Practice varied significantly. Only
21% (n=7) regularly (most or all of the time) informed patients where mirrors
were situated within the ward area. Eighteen percent (n=6) of staff reported
never or only occasionally asking patients if they had seen their injuries, 27%
(n=9) of staff never or only occasionally asked patients if they would like to
see their injuries and 30% (n=10) of staff never or only occasionally asked
patients if they wanted any help looking at their injuries. Training in this area
may be useful to enhance staff confidence so patients can be offered appropriate
support.
PMID- 24916459
TI - Delays in diagnosis and treatment of pulmonary tuberculosis in Wakiso and Mukono
districts, Uganda.
AB - BACKGROUND: Delay in tuberculosis (TB) diagnosis may worsen the disease and
increase TB transmission. Therefore, timely diagnosis and treatment is critical
in TB control. We aimed to assess the treatment delay of pulmonary TB and its
determinants in two Ugandan districts where TB infection control (TBIC)
guidelines were formerly implemented. METHODS: A facility based cross-sectional
study was conducted in Mukono and Wakiso districts. Adult pulmonary TB patients
within three months of initiating treatment were included in the study. Delays
were categorized into unacceptable patient delay (more than 3 weeks from the
onset of cough and the first consultation with a health care provider), health
service (more than one week from the first consultation to the initiation of TB
treatment) and total delay (more than 4 weeks since the onset of cough). The
prevalences as well as predictors for the three delays were determined. RESULTS:
We enrolled 158 sputum positive patients. Unacceptable patient delay was noted in
91 (58%) patients, a health service delay in 140 (88%) patients and a total delay
in 140 (90%) patients. An independent predictor for patient delay was male gender
(p < 0.001). First visiting a non-public health facility (p = 0.001) was an
independent predictor of health service delay. CONCLUSION: There is still a
significant TB diagnosis and treatment delay in Uganda. Most of the delay was
caused by health system delay in the non-public health care sector. There is need
for TB advocacy in the community, training of health workers in TBIC and
strengthening public-private partnerships in TB control.
PMID- 24916460
TI - Tones and numbers: a combined EEG-MEG study on the effects of musical expertise
in magnitude comparisons of audiovisual stimuli.
AB - This study investigated the cortical responses underlying magnitude comparisons
of multisensory stimuli and examined the effect that musical expertise has in
this process. The comparative judgments were based on a newly learned rule
binding the auditory and visual stimuli within the context of magnitude
comparisons: "the higher the pitch of the tone, the larger the number presented."
The cortical responses were measured by simultaneous MEG?EEG recordings and a
combined source analysis with individualized realistic head models was performed.
Musical expertise effects were investigated by comparing musicians to non
musicians. Congruent audiovisual stimuli, corresponding to the newly learned
rule, elicited activity in frontotemporal and occipital areas. In contrast,
incongruent stimuli activated temporal and parietal regions. Musicians when
compared with nonmusicians showed increased differences between congruent and
incongruent stimuli in a prefrontal region, thereby indicating that music
expertise may affect multisensory comparative judgments within a generalized
representation of analog magnitude.
PMID- 24916461
TI - Targeting Mycobacterium tuberculosis nucleoid-associated protein HU with
structure-based inhibitors.
AB - The nucleoid-associated protein HU plays an important role in maintenance of
chromosomal architecture and in global regulation of DNA transactions in
bacteria. Although HU is essential for growth in Mycobacterium tuberculosis
(Mtb), there have been no reported attempts to perturb HU function with small
molecules. Here we report the crystal structure of the N-terminal domain of HU
from Mtb. We identify a core region within the HU-DNA interface that can be
targeted using stilbene derivatives. These small molecules specifically inhibit
HU-DNA binding, disrupt nucleoid architecture and reduce Mtb growth. The stilbene
inhibitors induce gene expression changes in Mtb that resemble those induced by
HU deficiency. Our results indicate that HU is a potential target for the
development of therapies against tuberculosis.
PMID- 24916462
TI - Relationship between CT volumetric measurements and Doppler perfusion indices in
gastrointestinal liver metastasis.
AB - PURPOSE: This study investigated how the volume of hepatic metastatic lesions can
affect liver haemodynamics and whether these perfusion parameters may help to
differentiate benign and malignant liver lesions. MATERIALS AND METHODS: The
Doppler perfusion index (DPI the ratio of arterial to total liver blood flow) was
measured in 46 patients aged 29-83 years, exhibiting up to four focal hyperechoic
liver lesions at ultrasound examination. They comprised histopathologically
proven liver metastasis of colorectal (19 cases) and gastric (10 cases)
adenocarcinoma without local recurrence at the site of the previously resected
primary tumour, along with 17 subjects with haemangioma. All patients underwent
volumetric assessment using multislice computed tomography to calculate total
volume of hepatic lesions. RESULTS: The mean DPI of patients with colorectal (36
+/- 2 %) and gastric (39 +/- 6 %) metastasis was significantly higher than those
with haemangioma (14 +/- 2 %) (both p < 0.001), whereas metastatic groups did not
exhibit any difference in terms of mean DPI. Statistically significant
correlations were found between DPI values and calculated total volume of lesions
in patients with colorectal and gastric metastasis (r = 0.55, p = 0.01 and r =
0.85, p = 0.002, respectively) while this correlation was not demonstrated in the
haemangioma group. Simple linear regression analysis revealed that every 1 cm(3)
increment in total volume of metastatic lesions increased DPI by 0.2 % [95 %
confidence interval (CI) 0.1-0.3, p = 0.001]. CONCLUSION: Doppler perfusion index
alterations are directly correlated with total volume of metastatic deposits, and
DPI measurement can be a valuable method to distinguish haemangioma from
hyperechoic colorectal and gastric metastatic lesions.
PMID- 24916463
TI - Extraction of chronically implanted cardiovascular electronic device leads.
AB - OPINION STATEMENT: Cardiovascular implantable electronic devices (CIED) are a
remarkable success story. These systems are widely used to prevent symptomatic
bradycardia, treat malignant tachyarrhythmia, and to restore a more physiologic
contraction to a failing left ventricle. Implantation of a CIED usually involves
a lifelong commitment to this therapy, which, unfortunately, is not free from
complication requiring removal and/or replacement of all or part of the system.
The major obstacle to removal of a CIED is the fibrous attachments that develop
between a lead and co-existent leads, veins, and the heart. This process
increases over time such that, by one year, removal by traction alone may be
problematic and, if aggressive, result in complication. Physicians, surgeons, and
engineers have refined techniques of percutaneous lead extraction and developed
tools, which have facilitated the process, increased success, and lowered the
incidence of complication. Extraction may be performed for a variety of
indications some of which are unanimously agreed upon while others remain
controversial. Proponents of a broadened application of extraction have proffered
the concept of 'lead management,' which includes the removal of all leads that
are not clinically relevant to the patient. The benefit of this approach would be
to limit the risk of future complication, such as venous occlusion or
thromboembolism, and to obviate the increase in difficulty of extraction (due to
longer implant duration) that might accompany removal should that be required in
the future. Intuitively appealing as this approach might be, there is little
evidence supporting it, and the extraordinarily large number of patients
currently implanted with recalled ICD leads is indicative of the potential impact
this practice may have. This review will discuss extraction, its indications, and
outcomes.
PMID- 24916464
TI - Successful aortic root replacement and shunt closure in a case with rare
coexistence of congenital cardiac malformations: bicuspid aortic valve with
annuloaortic ectasia, single coronary artery, and patent foramen ovale.
AB - This is the first report of rare simultaneous complication of three cardiac
malformations: bicuspid aortic valve with annuloaortic ectasia, single coronary
artery, and patent foramen ovale. We successfully operated to replace the aortic
valve and ascending aorta, and to close the patent foramen ovale.
PMID- 24916465
TI - Variant CJD and blood transfusion: are there additional cases?
AB - BACKGROUND AND OBJECTIVES: In this study, we compare variant Creutzfeldt-Jakob
disease (vCJD) cases definitely linked to blood transfusion, those with a history
of blood transfusion in which no donor has developed vCJD and primary cases with
no history of blood transfusion. The aim is to determine whether there are any
differences in the demographics or clinical phenotype in these groups that might
suggest additional cases of transfusion transmission of vCJD. MATERIALS AND
METHODS: All cases of vCJD who are old enough to donate blood (i.e. >17 years
old) are notified to the UKBTS at diagnosis, regardless of whether they are known
to have a blood donation history. A search is then made for donor records and, if
found, all components produced and issued to hospitals are identified and their
fate determined. Recipient details are then checked against the NCJDRSU register
to establish whether there is a match between these individuals and patients who
have been diagnosed with vCJD. In the reverse study, attempts are made to trace
the donors to all cases reported to have received a blood transfusion and donors'
details are checked against the register to determine if any have developed vCJD.
RESULTS: Of the 177 cases of vCJD diagnosed in the UK as of 1 February 2014, the
TMER study identified 15 cases reported to have received a blood transfusion.
Transfusion records were unavailable for 4 of these cases, all pre-1980, and in
one other case there was no transfusion recorded in the medical notes.
Transfusion records were found for 10 cases. One case transfused at symptom onset
was excluded from this analysis. The mean age at onset of symptoms of the
remaining nine transfusion recipients (four female and five male) was 42.9 years;
57.6 years in the three known transfusion-transmitted cases and 35.5 years in the
six not linked cases. In one of these cases, details of components transfused
were unavailable, and the remaining five cases received a total of 116 donor
exposures with 112 donors identified, none of whom is known to have developed
clinical vCJD. To date, five of the 112 identified donors have died and none was
certified as dying of vCJD or any other neurological disorder. Two of the
transfusion-transmitted cases did not fulfil diagnostic criteria for probable
vCJD during life but were confirmed at post-mortem. Both cases were in the older
age range (68 and 74 years, respectively), and neither had a positive MRI brain
scan. The remaining cases all fulfilled the criteria for the diagnosis of vCJD in
life, but two of these had atypical features and were older than the expected age
at onset for vCJD. CONCLUSION: In conclusion, it is possible that one or more of
the vCJD cases that received a blood transfusion derived from an individual not
known to have vCJD were infected by the blood transfusion. However, the evidence
for this is weak, and the absence of a past history of transfusion in most cases
of vCJD excludes a large number of unrecognised transfusion-transmitted cases.
PMID- 24916466
TI - Assessment of Managed Aquifer Recharge Site Suitability Using a GIS and Modeling.
AB - We completed a two-step regional analysis of a coastal groundwater basin to (1)
assess regional suitability for managed aquifer recharge (MAR), and (2) quantify
the relative impact of MAR activities on groundwater levels and sea water
intrusion. The first step comprised an analysis of surface and subsurface
hydrologic properties and conditions, using a geographic information system
(GIS). Surface and subsurface data coverages were compiled, georeferenced,
reclassified, and integrated (including novel approaches for combining related
datasets) to derive a spatial distribution of MAR suitability values. In the
second step, results from the GIS analysis were used with a regional groundwater
model to assess the hydrologic impact of potential MAR placement and operating
scenarios. For the region evaluated in this study, the Pajaro Valley Groundwater
Basin, California, GIS results suggest that about 7% (15 km2) of the basin may be
highly suitable for MAR. Modeling suggests that simulated MAR projects placed
near the coast help to reduce sea water intrusion more rapidly, but these
projects also result in increased groundwater flows to the ocean. In contrast,
projects placed farther inland result in more long-term reduction in sea water
intrusion and less groundwater flowing to the ocean. This work shows how combined
GIS analysis and modeling can assist with regional water supply planning,
including evaluation of options for enhancing groundwater resources.
PMID- 24916468
TI - Summarizing risk using risk measures and risk indices.
AB - Our society is fascinated with risk in many different areas and disciplines. One
of the main ways to describe and communicate the level of risk is through risk
indices, which summarize risk using numbers or categories such as words, letters,
or colors. These indices are used to communicate risks to the public, understand
how risk is changing over time, compare among different risks, and support
decision making. Given the different methods to construct risk indices, including
flawed methods such as risk matrices, this article develops specific steps that
analysts can follow to create a risk index. This article emphasizes the
importance of describing risk with a probability distribution, developing a
numerical risk measure that summarizes the probability distribution, and finally
translating the risk measure to an index. Measuring the risk is the most
difficult part and requires the analyst to summarize a probability distribution
into one or possibly a few numbers. The risk measure can then be transformed to a
numerical or categorical index. I apply the method outlined in this article to
construct a risk index that compares the risk of fatalities in aviation and
highway transportation.
PMID- 24916467
TI - Clinical outcomes and quality of life 5 years after a randomized trial of
concomitant or sequential phlebectomy following endovenous laser ablation for
varicose veins.
AB - BACKGROUND: Endovenous laser ablation (EVLA) is a popular treatment for
superficial venous insufficiency. Debate continues regarding the optimal
management of symptomatic varicose tributaries following ablation of the main
saphenous trunk. This randomized trial compared the 5-year outcomes of endovenous
laser therapy with ambulatory phlebectomy (EVLTAP) with concomitant ambulatory
phlebectomy, and EVLA alone with sequential treatment if required following a
delay of at least 6 weeks. METHODS: Patients undergoing EVLA for great saphenous
vein insufficiency were randomized to receive EVLTAP or EVLA alone with
sequential phlebectomy, if required. Outcomes included disease-specific quality
of life (QoL) (Aberdeen Varicose Vein Questionnaire; AVVQ), requirement for
secondary procedures, clinical severity (Venous Clinical Severity Score; VCSS),
residual and recurrent varicose tributaries, and generic QoL. Patients were
followed up for 5 years. RESULTS: Fifty patients were randomized equally into two
parallel groups. The EVLTAP group had lower VCSS scores at 12 weeks (median 0
(i.q.r. 0-1) versus 2 (0-2); P <0.001), and lower AVVQ scores at 6 weeks (median
7.9 (i.q.r. 4.1-10.7) versus 13.5 (10.9-18.1); P < 0.001) and 12 weeks (2.0 (0.4
7.7) versus 9.6 (2.2-13.8); P = 0.015). VCSS and AVVQ scores were equivalent by 1
year, but only after 16 of 24 patients in the EVLA group, compared with one of 25
in the EVLTAP group (P < 0.001), had received a secondary intervention. From 1 to
5 years both groups had equivalent outcomes. CONCLUSION: EVLA with either
concomitant or sequential management of tributaries is acceptable treatment for
symptomatic varicose veins, with both treatments achieving excellent results at 5
years. Concomitant treatment of varicosities is associated with optimal
improvement in both clinical disease severity and QoL.
PMID- 24916469
TI - The population genomic landscape of human genetic structure, admixture history
and local adaptation in Peninsular Malaysia.
AB - Peninsular Malaysia is a strategic region which might have played an important
role in the initial peopling and subsequent human migrations in Asia. However,
the genetic diversity and history of human populations--especially indigenous
populations--inhabiting this area remain poorly understood. Here, we conducted a
genome-wide study using over 900,000 single nucleotide polymorphisms (SNPs) in
four major Malaysian ethnic groups (MEGs; Malay, Proto-Malay, Senoi and Negrito),
and made comparisons of 17 world-wide populations. Our data revealed that
Peninsular Malaysia has greater genetic diversity corresponding to its role as a
contact zone of both early and recent human migrations in Asia. However, each
single Orang Asli (indigenous) group was less diverse with a smaller effective
population size (N(e)) than a European or an East Asian population, indicating a
substantial isolation of some duration for these groups. All four MEGs were
genetically more similar to Asian populations than to other continental groups,
and the divergence time between MEGs and East Asian populations (12,000--6,000
years ago) was also much shorter than that between East Asians and Europeans.
Thus, Malaysian Orang Asli groups, despite their significantly different
features, may share a common origin with the other Asian groups. Nevertheless, we
identified traces of recent gene flow from non-Asians to MEGs. Finally, natural
selection signatures were detected in a batch of genes associated with immune
response, human height, skin pigmentation, hair and facial morphology and blood
pressure in MEGs. Notable examples include SYN3 which is associated with human
height in all Orang Asli groups, a height-related gene (PNPT1) and two blood
pressure-related genes (CDH13 and PAX5) in Negritos. We conclude that a long
isolation period, subsequent gene flow and local adaptations have jointly shaped
the genetic architectures of MEGs, and this study provides insight into the
peopling and human migration history in Southeast Asia.
PMID- 24916471
TI - Flow-mediated dilation is associated with endothelial oxidative stress in human
venous endothelial cells.
AB - Flow-mediated dilation (FMD) is recognized as a non-invasive endothelial function
bioassay. However, FMD's relationship with endothelial cell oxidative stress in
humans is yet to be determined. Here, we sought to determine if FMD was
associated with endothelial nitric oxide synthase (eNOS) and endothelial
oxidative stress in humans. Twenty-seven apparently healthy young men (26.5+/-5.9
years) underwent brachial artery FMD testing and endothelial cell biopsy from a
forearm vein. Non-normalized FMD (%) and three different brachial artery FMD
normalizations were performed: (1) peak shear rate (%/SR); (2) area under the SR
curve until peak dilation (%/AUC); and (3) AUC 30 seconds before peak dilation
(%/AUC30). Immunofluorescence quantification was used to assess eNOS expression
and nitrotyrosine (NT), a criterion marker of endothelial oxidative stress.
Values for eNOS and NT expression were reported as a ratio of endothelial cell to
human umbilical vein endothelial cell average pixel intensity. NT expression was
significantly correlated with FMD normalized by AUC30 (r = -0.402, p<0.05). Other
FMD normalizations and non-normalized FMD were not significantly correlated with
NT expression (r range = -0.364 to -0.142, all p>0.05). There were no significant
correlations between eNOS expression and normalized and non-normalized FMD (r
range = -0.168 to -0.066, all p>0.05). In conclusion, brachial artery FMD is
associated with venous endothelial cell oxidative stress. However, this
association is observed only when FMD is normalized by AUC30.
PMID- 24916470
TI - Microtubule-depolymerizing agents used in antibody-drug conjugates induce
antitumor immunity by stimulation of dendritic cells.
AB - Antibody-drug conjugates (ADC) are emerging as powerful treatment strategies with
outstanding target-specificity and high therapeutic activity in patients with
cancer. Brentuximab vedotin represents a first-in-class ADC directed against
CD30(+) malignancies. We hypothesized that its sustained clinical responses could
be related to the stimulation of an anticancer immune response. In this study, we
demonstrate that the dolastatin family of microtubule inhibitors, from which the
cytotoxic component of brentuximab vedotin is derived, comprises potent inducers
of phenotypic and functional dendritic cell (DC) maturation. In addition to the
direct cytotoxic effect on tumor cells, dolastatins efficiently promoted antigen
uptake and migration of tumor-resident DCs to the tumor-draining lymph nodes.
Exposure of murine and human DCs to dolastatins significantly increased their
capacity to prime T cells. Underlining the requirement of an intact host immune
system for the full therapeutic benefit of dolastatins, the antitumor effect was
far less pronounced in immunocompromised mice. We observed substantial
therapeutic synergies when combining dolastatins with tumor antigen-specific
vaccination or blockade of the PD-1-PD-L1 and CTLA-4 coinhibitory pathways.
Ultimately, treatment with ADCs using dolastatins induces DC homing and activates
cellular antitumor immune responses in patients. Our data reveal a novel
mechanism of action for dolastatins and provide a strong rationale for clinical
treatment regimens combining dolastatin-based therapies, such as brentuximab
vedotin, with immune-based therapies.
PMID- 24916473
TI - Comparison of GDF5 and GDNF as neuroprotective factors for postnatal dopamine
neurons in ventral mesencephalic cultures.
AB - Loss of dopamine neurons is associated with the motor deficits that occur in
Parkinson's disease. Although many drugs have proven to be useful in the
treatment of the symptoms of this disease, none has been shown to have a
significant impact on the development of the disease. However, we believe that
several neurotrophic factors have the potential to reduce its progression. Glial
cell line-derived neurotrophic factor (GDNF), a member of the transforming growth
factor-beta superfamily of neurotrophic factors, has been extensively studied in
this regard. Less attention has been paid to growth/differentiation factor 5
(GDF5), another member of the same superfamily. This study compares GDNF and GDF5
in dissociated cultures prepared from ventral mesencephalon and in organotypic co
cultures containing substantia nigra, striatum, and neocortex. We report that
both GDNF (10-500 ng/ml) and GDF5 (100-500 ng/ml) promoted the survival of
dopamine neurons from the substantia nigra of postnatal rats, although GDNF was
considerably more potent than GDF5. In contrast, neither factor had any
significant effect on the survival of dopamine neurons from the rat ventral
tegmental area. Using organotypic co-cultures, we also compared GDF5 with GDNF as
chemoattractants for the innervation of the striatum and the neocortex by
dopamine neurons from the substantia nigra. The addition of either GDF5 or GDNF
(100-500 ng/ml) caused innervation by dopamine neurons into the cortex as well as
the striatum, which did not occur in untreated cultures. Our results are
consistent with similar findings suggesting that GDF5, like GDNF, deserves
attention as a possible therapeutic intervention for Parkinson's disease.
PMID- 24916472
TI - The role of HIF1alpha in renal cell carcinoma tumorigenesis.
AB - The transcription factor HIF1alpha is implicated in the development of clear cell
renal cell carcinoma (ccRCC). Although HIF1alpha was initially believed to be
essential for ccRCC development, recent studies hypothesize an oncogenic role for
HIF2alpha in ccRCC, but a tumor suppressor role for HIF1alpha, leading to
uncertainty as to the precise roles of the different HIF transcription factors in
this disease. Using evidence available from studies with human ccRCC cell lines,
mouse xenografts, murine models of ccRCC, and human ccRCC specimens, we evaluate
the roles of HIF1alpha and HIF2alpha in the pathogenesis of ccRCC. We present a
convergence of clinical and mechanistic data supporting an important role for
HIF1alpha in promoting tumorigenesis in a clinically important and large subset
of ccRCC. This indicates that current understanding of the exact roles of
HIF1alpha and HIF2alpha is incomplete and that further research is required to
determine the diverse roles of HIF1alpha and HIF2alpha in ccRCC. KEY MESSAGES:
The TRACK mouse ccRCC model with constitutively active HIF1alpha but not
HIF2alpha expressed in proximal tubules develops RCC. HIF1alpha protein is
expressed in the majority of human ccRCC specimens. Elevated HIF1alpha in ccRCC
correlates with a worse prognosis. Many publications do not support a tumor
suppressor role for HIF1alpha in ccRCC. HIF1alpha, but not HIF2alpha, is
expressed in some types of cancer stem cells.
PMID- 24916474
TI - Transanal ileoproctostomy is feasible in human cadavers.
AB - AIM: An ileorectal bypass performed entirely through a transanal route has
recently been described in an animal model. The present study aimed to
demonstrate its technical feasibility in four human cadavers. METHOD: A transanal
endoscopic microsurgery (TEM) device and endoscopic instruments were used. The
principal steps of the procedure included insertion of the TEM device, rectostomy
above the peritoneal reflection, peritoneoscopy using a standard gastroscope and
delivery of the small bowel through the proctostomy to perform an anastomosis.
RESULTS: The procedure was successfully completed using transanal access in all
cases. The mean procedure time was 90 min. The bypass was patent, and the
anastomosis between the intraperitoneal rectum and the terminal ileum was
leakproof. CONCLUSION: Transanal ileoproctostomy is technically feasible in human
cadavers. The procedure may become an alternative to stoma formation in selected
patients with colonic obstruction.
PMID- 24916475
TI - Nematodes infect, but do not manipulate digging by, sand crabs, Lepidopa
benedicti.
AB - We examined sand crabs (Lepidopa benedicti) for endoparasites, and found the only
parasite consistently infecting the studied population were small nematodes.
Because many nematodes have complex life cycles involving multiple hosts, often
strongly manipulating their hosts, we hypothesized that nematodes alter the
behavior of their sand crab hosts. We predicted that more heavily infected crabs
would spend more time above sand than less heavily infected crabs. Our data
indicate infection by nematodes was not correlated with duration of time crabs
spent above sand. We also suggest that organisms living in sandy beaches may
benefit from relatively low parasite loads due to the low diversity of species in
the habitat.
PMID- 24916476
TI - Genomic studies of disease-outcome in host--pathogen dynamics.
AB - Pathogens act as agents of evolutionary change in host populations, altering the
host's allele frequencies and phenotypes through selection. The mechanisms
underlying these adaptive changes depend on which defense strategy the host
adopts upon infection. With increased anthropogenic change and loss of
biodiversity, ecological impacts on adaptive processes may reduce the ability of
hosts to evolve resistance, or to persist within their tolerance limits, thus
increasing the capacity of pathogens to cause disease and mortality. In this
review, we use amphibians and a pathogenic chytrid fungus (Batrachochytrium
dendrobatidis, Bd) to illustrate how integrating genomic approaches into current
research, both for hosts and pathogens, will improve our understanding of factors
promoting the outcome of disease. As new emerging pathogens continue threatening
amphibian populations worldwide, we recommend that researchers focus on
individuals that survive after natural epizootics or experimental challenges.
These survivors represent an underutilized and underexploited genetic resource
for characterizing adaptive traits involved in the clearance of pathogens or in
their tolerance. We highlight two target areas that will benefit from focused
research: (1) Identification of the genetic basis of the hosts' defense
strategies (resistance and tolerance) and of Bd's pathogenicity traits and (2)
genomic characterization of shifts in fitness that drive seasonal and/or temporal
patterns in host-pathogen interactions. To provide insights into hosts' survival,
we review recent literature--including experimental Bd challenges and
longitudinal studies--that underscore the complexity of Bd infections as
determined by a combination of genetic and environmental factors. Given the
heterogeneity of disease-outcomes and broad diversity of host species, amphibians
provide a unique opportunity to identify novel genetic determinants of resistance
to a recently emerged fungal pathogen. Developing additional genetic resources
(e.g., genomic profiles, resistance mapping, and dual RNA-seq) will advance our
understanding of the components of the innate and adaptive immune system acting
on infected hosts in varying environments. These ecoimmunomic applications, which
link host-pathogen eco-evolutionary processes with applied conservation efforts,
will specifically benefit threatened amphibians that remain safeguarded in
captive colonies.
PMID- 24916477
TI - A computational fluid dynamics study on hemodynamics for different locations of
the distal anastomosis of a bypass nearby a collateral vessel in the
femoropopliteal area.
AB - Revascularization of the femoropopliteal sector is often performed by the
placement of a bypass. In this paper, we have studied the effects of hemodynamics
on patency of the bypass for different positions of the distal anastomosis close
to a collateral artery. Computational fluid dynamics (CFD) are used for this
study. The cardiac cycle-averaged wall shear stress (WSS) and oscillation index
(OSI) have been analyzed. Low WSS and high OSI may increase the risk of intimal
hyperplasia (IH), which may reduce bypass patency. From the CFD simulations,
spots of low WSS and high OSI are found within and near the entrance of the
collateral artery, near the suture line, at the floor, toe, and heel. We regarded
flow ratios of 20:80 and of 35:65. It is found that for the high flow ratio
anastomosis located proximal to the collateral artery is clearly more
advantageous. However for the low flow ratio anastomosis located distal to the
collateral artery seems to be slightly more advantageous, the results are less
conclusive. One of the studied flow geometries has been validated by in vitro
experiments using a time resolved particle image velocimetry technique. Velocity
fields from these experiments are in good agreement with the CFD results.
PMID- 24916478
TI - Reasons provided for requesting a termination of pregnancy in the U.K.
AB - OBJECTIVE: To determine the main reasons for termination of pregnancy (TOP)
requests in a UK city. DESIGN: A survey requesting reasons for a pregnancy
termination from women attending a TOP clinic. SETTING: A TOP pre-assessment
clinic in Hull and East Yorkshire Hospitals, UK. POPULATION: A cohort of pregnant
women requesting a TOP in the first trimester. METHODS: A confidential,
anonymous, self-administered questionnaire requesting demographic information
about age, education, ethnicity and marital status. The questionnaire also asked
for the main reasons underlying the TOP request. The main outcome measures were
(1) reasons for TOP requests and (2) correlations between the reasons provided
and demographic characteristics. RESULTS: A total of 274 women participated in
the study and 527 reasons were given. The most common reason was money worries
(21.0%) followed closely by contraception failure (20.5%). The third most common
reason was the anticipated effect on education or the woman's career (14.6%) Over
half (59.5%) of the women were single and 8.8% were divorced or separated. Twenty
eight per cent of the respondents were aged 21 years and below, 36.1% were aged
22-29 years and 29.2% were over 30 years of age. CONCLUSIONS: The main reasons
for TOP requests included financial constraints, contraceptive failure,
completion of family, and potential interruption of education or career. These
reasons differed with age groups and marital status. Focused qualitative research
on reasons for a TOP will provide further knowledge and understanding that is
needed to enable optimal service provision and policy planning.
PMID- 24916479
TI - Sexually transmitted infection incidence among adolescents in Ireland.
AB - OBJECTIVE: The burden of sexually transmitted infections (STIs) rests with young
people, yet in Ireland there has been very little research into this population.
The purpose of this study was to determine the incidence rate and establish risk
factors that predict STI occurrence among adolescents in Ireland. DESIGN: Routine
diagnostic, demographic and behavioural data from first-time visits to three
screening centres in the southwest of Ireland were obtained. Univariate and
multivariable logistic regression models were used to assess risk factors that
predict STI occurrence among adolescents. RESULTS: A total of 2784 first-time
patients, aged 13-19 years, received 3475 diagnoses between January 1999 and
September 2009; 1168 (42%) of adolescents had notifiable STIs. The incidence rate
of STIs is 225/100 000 person-years. Univariate analysis identified eligible risk
factors (p<0.2) for inclusion in the multivariable model. Multivariable logistic
regression showed the dominant risk factors for STI diagnosis to be: males who
sometimes [odds ratio (OR) 2.02] or never (OR 1.83) use condoms; and females 18
19 years (OR 2.26) and 16-18 years (OR 1.8), with 2 (OR 1.33) or 3+ (OR 1.56)
partners in the last 12 months, who are non-intravenous drug users (OR 0.72), are
most likely to receive a positive STI diagnosis. CONCLUSIONS: STI diagnosis has
become increasingly common in Ireland. The proportion of notifications among
those aged under 20 years is increasing. These data illustrate the significance
of age, condom use and number of sexual partners as risk factors for STI
diagnosis. Furthermore, providing data for the first time, we report on the high
incidence rate of STIs among adolescents in Ireland. The high levels of risk
taking behaviour and STI acquisition are highlighted and suggest that there is a
need for an integrated public health approach to combat this phenomenon in the
adolescent population.
PMID- 24916480
TI - Propensity-matched, longitudinal outcomes analysis of complications and cost:
comparing abdominal free flaps and implant-based breast reconstruction.
AB - BACKGROUND: Choosing a breast reconstructive modality after mastectomy is an
important step in the reconstructive process. The authors hypothesized that
autologous tissue is associated with a greater success rate and cost efficacy
over time, relative to implant reconstruction. STUDY DESIGN: A retrospective
review was performed of patients undergoing free tissue (FF) transfer and
expander implant (E/I) reconstruction between 2005 and 2011. Variables evaluated
included comorbidities, surgical timing, complications, overall outcomes,
unplanned reoperations, and costs. A propensity-matching technique was used to
account for the nonrandomized selection of modality. RESULTS: A total of 310
propensity-matched patients underwent 499 reconstructions. No statistically
significant differences in preoperative variables were noted between propensity
matched cohorts. Operative characteristics were similar between FF and E/I
reconstructions. The E/I reconstruction was associated with a significantly
higher rate of reconstructive failure (5.6% vs 1.2%, p < 0.001). Expander implant
reconstructions were associated with higher rates of seroma (p = 0.009) and lower
rates of medical complications (p = 0.02), but overall significantly higher rates
of unplanned operations (15.5% vs 5.8%, p = 0.002). The total cost of
reconstruction did not differ significantly between groups ($23,120.49 +/-
$6,969.56 vs $22,739.91 +/- $9,727.79, p = 0.060), but E/I reconstruction was
associated with higher total cost for secondary procedures ($10,157.89 +/-
$8,741.77 vs $3,200.71 +/- $4,780.64, p < 0.0001) and a higher cost of unplanned
revisions over time (p < 0.05). CONCLUSIONS: Our matched outcomes analysis does
demonstrate a higher overall, 2-year success rate using FF reconstruction and a
significantly lower rate of unplanned surgical revisions and cost. Although
autologous reconstruction is not ideal for every patient, these findings can be
used to enhance preoperative discussions when choosing a reconstructive modality.
PMID- 24916481
TI - Discordant indigenous and provider frames explain challenges in improving access
to arthritis care: a qualitative study using constructivist grounded theory.
AB - INTRODUCTION: Access to health services is a determinant of population health and
is known to be reduced for a variety of specialist services for Indigenous
populations in Canada. With arthritis being the most common chronic condition
experienced by Indigenous populations and causing high levels of disability, it
is critical to resolve access disparities through an understanding of barriers
and facilitators to care. The objective of this study was to inform future health
services reform by investigating health care access from the perspective of
Aboriginal people with arthritis and health professionals. METHODS: Using
constructivist grounded theory methodology we investigated Indigenous peoples'
experiences in accessing arthritis care through the reports of 16 patients and 15
healthcare providers in Alberta, Canada. Semi-structured interviews were
conducted between July 2012 and February 2013 and transcribed verbatim. The
patient and provider data were first analyzed separately by two team members then
brought together to form a framework. The framework was refined through further
analysis following the multidisciplinary research team's discussions. Once the
framework was developed, reports on the patient and provider data were shared
with each participant group independently and participants were interviewed to
assess validity of the summary. RESULTS: In the resulting theoretical framework
Indigenous participants framed their experience with arthritis as 'toughing it
out' and spoke of racism encountered in the healthcare setting as a deterrent to
pursuing care. Healthcare providers were frustrated by high disease severity and
missed appointments, and framed Indigenous patients as lacking 'buy-in'.
Constraints imposed by complex healthcare systems contributed to tensions between
Indigenous peoples and providers. CONCLUSION: Low specialist care utilization
rates among Indigenous people cannot be attributed to cultural and social
preferences. Further, the assumptions made by providers lead to stereotyping and
racism and reinforce rejection of healthcare by patients. Examples of 'working
around' the system were revealed and showed potential for improved utilization of
specialist services. This framework has significant implications for health
policy and indicates that culturally safe services are a priority in addressing
chronic disease management.
PMID- 24916482
TI - Acute respiratory distress syndrome (ARDS) treated successfully by veno-venous
extracorporeal membrane oxygenation (ECMO) in a nearly drowned patient.
AB - This report highlights about one acute respiratory distress syndrome (ARDS) case
after near-drowning resuscitated using extracorporeal membrane oxygenation
(ECMO). Few cases have been reported about ECMO use for near-drowning and in most
of these cases, ECMO was initiated within the first week. However, in our report,
we would like to emphasize that seemingly irreversible secondary worsening of
ARDS after nearly drowned patient was successfully treated by ECMO use more than
1 week after near-drowning followed by discharge without home oxygen therapy,
social support, or any complication. This is probably due to sufficient lung rest
for ventilator-associated lung injury during ECMO use. Based on our case's
clinical course, intensive care unit physicians must consider ECMO even in the
late phase of worsened ARDS after near-drowning.
PMID- 24916484
TI - NO adsorption and reaction on single crystal rutile TiO2(110) surfaces studied
using UHV-FTIRS.
AB - The adsorption and reaction of NO on both the oxidized and reduced single crystal
rutile TiO2(110) surfaces were studied in a UHV-FTIRS system at low temperature.
The monodentate adsorption configuration of the cis-(NO)2 dimer at bridge oxygen
vacancy (Vo) sites was detected for the first time on reduced TiO2(110) surfaces.
With the aid of (NO)2 dimer adsorption anisotropy, the bidentate configuration of
the cis-(NO)2 dimer on fivefold coordinated Ti5c(4+) cation sites was clearly
confirmed. The (NO)2 dimer converts to N2O on Ti5c(4+) cation sites at higher NO
dosage on both oxidized and reduced surfaces, rather than at Vo sites. The (NO)2
> N2O conversion is independent of the presence of Vo on TiO2(110) surfaces. To
explain the signs of absorption bands of the dimer monodentate configuration, the
local optical constant at Vo sites was introduced.
PMID- 24916483
TI - The EUPHRATES trial (Evaluating the Use of Polymyxin B Hemoperfusion in a
Randomized controlled trial of Adults Treated for Endotoxemia and Septic shock):
study protocol for a randomized controlled trial.
AB - BACKGROUND: Septic shock is common and has unacceptably high morbidity,
mortality, and associated cost with numerous failed attempts at developing
effective therapies. Endotoxin, one of the most potent mediators of sepsis, is
found in high levels in approximately 50% of patients with septic shock.
Polymyxin B (PMX) hemoperfusion has been shown in numerous studies to
successfully remove endotoxin and potentially improve outcomes. EUPHRATES
(Evaluating the Use of Polymyxin B Hemoperfusion in a Randomized controlled trial
of Adults Treated for Endotoxemia and Septic shock) is a theragnostic trial
(matching blood measurement to treatment capability) of PMX hemoperfusion in
patients with septic shock and confirmed endotoxemia as measured by the endotoxin
activity assay (EAA). METHODS: EUPHRATES is a pivotal regulatory trial that is
multi-centered, placebo-controlled and blinded. The trial is being conducted in
fifty ICUs in the United States and Canada and is powered to enroll 360 patients.
Patients with persistent septic shock despite adequate fluid resuscitation on
vasopressors for more than 2 and less than 30 hours are eligible for measurement
of the EAA. Those with EAA >=0.60 are eligible to be randomized to treatment with
two sessions of PMX hemoperfusion 24 hours apart. The primary endpoint for the
trial is 28-day all-cause mortality. DISCUSSION: Unique features of the trial
include absence of systemic inflammatory response (SIRS) criteria as a
requirement for inclusion, use of the EAA to confirm endotoxemia as a requisite
for treatment, and use of a detailed "facade" hemoperfusion event as a blinding
mechanism. The outcomes of the second interim analysis included a resizing of the
trial to 650 patients and the addition of an exclusion criterion of subjects with
multiple organ dysfunction score (MODS) <= 9. Results are anticipated in 2016.
TRIAL REGISTRATION: Clinicaltrials.gov identifier: NCT01046669. Registered:
January 8, 2010.
PMID- 24916485
TI - Veterans Affairs Saint Louis University Mental Status examination compared with
the Montreal Cognitive Assessment and the Short Test of Mental Status.
AB - OBJECTIVES: To compare the ability of the Veterans Affairs Saint Louis University
Mental Status (SLUMS) examination to detect mild cognitive impairment (MCI) and
dementia according to the Clinical Dementia Rating Scale (CDR) with that of two
other well-known screening instruments, the Montreal Cognitive Assessment (MoCA)
and the Short Test of Mental Status (STMS). DESIGN: Cross-sectional validation
study. SETTING: Saint Louis Veterans Affairs Medical Center Geriatric Research
Education and Clinical Center. PARTICIPANTS: Veterans aged 60 and older (median
78.5) with a high school education or more (n = 136). MEASUREMENTS: Participants
were administered the SLUMS examination, the MoCA, and the STMS in random order.
A blinded test administrator administered the CDR in a separate session. Receiver
operating characteristic (ROC) curves were used to assess the sensitivity,
specificity, positive predictive value (PPV), and negative predictive value (NPV)
of the SLUMS examination, the MoCA, and the STMS for MCI, dementia, and MCI or
dementia. ROC contrasts were used to statistically compare the area under the ROC
curve (AUC) for the screening tests' ability to detect cognitive dysfunction
according to the CDR. RESULTS: ROC contrasts demonstrated that the AUCs for
detecting MCI (SLUMS examination 0.74, MoCA 0.77, STMS 0.77), dementia (SLUMS
examination 0.98, MoCA 0.96, STMS 0.97), and MCI or dementia (SLUMS examination
0.82, MoCA 0.83, STMS 0.84) were equivalent. Sensitivity, specificity, PPV, and
NPV were similar across measures of MCI, dementia, and MCI or dementia according
to the CDR. CONCLUSION: The SLUMS examination has validity similar to that of the
MoCA and STMS for the detection of MCI, dementia, and MCI or dementia according
to the CDR.
PMID- 24916486
TI - Reductions in reported deaths following the introduction of extended-release
oxycodone (OxyContin) with an abuse-deterrent formulation.
AB - PURPOSE: Abuse of opioid analgesics for their psychoactive effects is associated
with a large number of fatalities. The effect of making opioid tablets harder to
crush/dissolve on opioid-related fatalities has not been assessed. The objective
of this study was to assess the impact of introducing extended-release oxycodone
(ERO [OxyContin((r)) ]) tablets containing physicochemical barriers to
crushing/dissolving (reformulated ERO) on deaths reported to the manufacturer.
METHODS: All spontaneous adverse event reports of death in the US reported to the
manufacturer between 3Q2009 and 3Q2013 involving ERO were used. The mean numbers
of deaths/quarter in the 3 years after reformulated ERO introduction were
compared with the year before. Changes in the slope of trends in deaths were
assessed using spline regression. Comparison groups consisted of non-fatal
reports involving ERO and fatality reports involving ER morphine. RESULTS:
Reports of death decreased 82% (95% CI: -89, -73) from the year before to the
third year after (131 to 23 deaths per year) reformulation; overdose death
reports decreased 87% (95% CI: -93, -78) and overdose deaths with mention of
abuse-related behavior decreased 86% (95% CI:-92, -75). In contrast, non-fatal
ERO reports did not decrease post-reformulation, and reported ER morphine
fatalities remained unchanged. The ratio of ERO fatalities to all oxycodone
fatalities decreased from 21% to 8% in the year pre-reformulation to the second
year post-reformulation. CONCLUSIONS: These findings, when considered in the
context of previously published studies using other surveillance systems, suggest
that the abuse-deterrent characteristics of reformulated ERO have decreased the
fatalities associated with its misuse/abuse. (c) 2014 The Authors.
Pharmacoepidemiology and Drug Safety published by John Wiley & Sons, Ltd.
PMID- 24916487
TI - Effect of acute hyperglycemia on moderately hypothermic GL261 mouse glioma
monitored by T1-weighted DCE MRI.
AB - OBJECTIVE: We sought to evaluate the effects of acute hyperglycemia induced by
intraperitoneal injection of glucose (2.7 g/kg) on vascular delivery to GL261
mouse gliomas kept at moderate hypothermia (~30 degrees C). MATERIALS AND
METHODS: Seven GL261 glioma-bearing mice were studied by T1-weighted DCE MRI
before and after an injection of glucose (n = 4) or saline (n = 3). Maximum
relative contrast enhancement (RCE) and initial area under the enhancement curve
(IAUC) were determined in each pixel. RESULTS: The mean tumor parameter values
showed no significant changes after injecting either saline (RCE -5.9 +/- 5.0 %;
IAUC -3.7 +/- 3.6 %) or glucose (RCE -1.6 +/- 9.0 %; IAUC +0.6 +/- 6.4 %). Pixel
by-pixel analysis revealed small post-injection changes in RCE and IAUC between
the glucose and saline groups, all within 13 % range of their baseline values.
CONCLUSION: Perturbing the metabolism of GL261 tumors kept at moderate
hypothermia with hyperglycemia did not induce significant changes in the
permeability/perfusion of these tumors. This is relevant for future studies with
this model since regional differences in glucose accumulation could thus reflect
basal heterogeneities in vasculature and/or metabolism of GL261 tumors.
PMID- 24916489
TI - Editorial.
PMID- 24916488
TI - Simulation study on a stationary data acquisition SPECT system with multi-pinhole
collimators attached to a triple-head gamma camera system.
AB - OBJECTIVES: The aim of the study was to develop a new SPECT system that makes it
possible to acquire projection data stationary using a triple-head gamma camera
system. METHODS: We evaluated several data acquisition geometry with multi
pinhole collimators attached to a triple-head gamma camera system. The number of
pinholes for each camera was three to twelve, and we located these holes on
collimator plates adequately. These collimator holes were tilted by predefined
angles to efficiently cover the field of view of the data acquisition system.
Acquired data were reconstructed with the OS-EM method. In the simulations, we
used a three-dimensional point source phantom, brain phantom, and myocardial
phantom. Attenuation correction was conducted with the x-ray CT image of the
corresponding slice. RESULTS: Reconstructed images of the point source phantom
showed that the spatial resolution could be improved with the small number of
pinholes. On the other hand, reconstructed images of the brain phantom showed
that the large number of pinholes yielded images with less artifact. The results
of the simulations with the myocardial phantom showed that more than eight
pinholes could yield an accurate distribution of activity when the source was
distributed only in the myocardium. CONCLUSIONS: The results of the simulations
confirmed that more than eight pinholes for each detector were required to
reconstruct an artifact free image in the triple-head SPECT system for imaging of
brain and myocardium.
PMID- 24916490
TI - Treatment of depressive symptoms and attitudes towards treatment options in a
representative German general population sample.
AB - Objective. To estimate treatment rates, self-medication, and preferences for
treatment options of depressive symptoms. Methods. A German nationwide
representative sample (n=2224) was interviewed. Subjects were asked to fill in a
depressive symptom checklist, as well as to answer questions about current
treatments and treatment preferences. Results. A total of 16.7% was mildly, 7.5%
moderately and 6.5% strongly affected by depressive symptoms; 22.7% of the total
affected group were currently or previously being treated by a physician. Self
initiated treatments had been stated as effective in 54.2% of cases. The
preference for phytotherapeutic treatment approaches was about 3-6-fold higher
than for standard antidepressants and psychotherapies. Treatment preference and
wish for treatment increased with increasing severity of symptoms with regard to
treatments that had to be professionally initiated. Conclusion. Despite
considerable educational efforts, the prevalence of untreated and undiagnosed
depressive symptoms seems to be high. There is still little acceptance for the
most efficacious therapies for depression. More efforts should be made to
increase knowledge about self-administered phytotherapeutic drugs, which might
play an important role in a stepped-care approach of future depression
management.
PMID- 24916491
TI - Revised psychopharmacological algorithms for the treatment of mood disorders in
Japan.
AB - Objective. To revise the psychopharmacology algorithms for the treatment of mood
disorders published in 1999 in Japan. Methods. The algorithms were established
based on clinical psychopharmacological evidence, the results of a questionnaire
survey sent to 200 Japanese psychiatrists, and the consensus of all the research
members. Results. Six categorized algorithms have been developed, i.e. mild or
moderate major depression, severe non-psychotic major depression, psychotic
depression, mania, bipolar depression, and rapid cycling mood disorder.
Conclusion. The revised algorithms will be helpful for the treatment of mood
disorders in Japan.
PMID- 24916492
TI - Mood and anxiety disorders in patients with multiple sclerosis.
AB - Objective. This study aims to investigate the current prevalences of mood and
anxiety disorders, as well as the sociodemographic and clinical features
associated with these disorders in multiple sclerosis (MS). Method. A total of 74
patients with relapsing-remitting MS (42 patients in exacerbation phase, 32
patients in remission phase) were included in the study. Mood and anxiety
disorders were diagnosed by means of the Structured Clinical Interview for DSM-IV
(SCID-I). The Expanded Disability Status Scale (EDSS) was used to determine
degree of disability due to MS. Results. Forty-five (60.8%) patients met the
criterion of at least one mood or anxiety disorder. Major depression (33.8%) was
the most common psychiatric diagnosis. Generalized anxiety disorder (18.9%),
specific phobia (18.9%) and obsessive-compulsive disorder (OCD) (14.9%) were
other frequent psychiatric disorders. Major depression, panic disorder and OCD
were significantly more common among patients in the exacerbation phase compared
to patients in the remission phase. The predictors of any depressive disorder
were presence of exacerbation phase of MS and higher disability level, and the
predictors of any anxiety disorder were presence of exacerbation phase of MS and
shorter disease duration. Conclusions. Our results suggest that the patients with
relapsing-remitting MS, particularly during exacerbation phase have high
prevalence of mood and anxiety disorders.
PMID- 24916493
TI - A survey of psychiatrists' attitudes to schizoaffective disorder.
AB - Objective. Schizoaffective disorder is an established diagnosis in both ICD-10
and DSM-IV, but research evidence relating to its nosological status and
aetiology is conflicting. This study aimed to examine the attitudes of practising
consultant psychiatrists, and to see whether there is a consensus about its
classification and value in everyday clinical psychiatry. Method. A questionnaire
was mailed to 2000 consultant psychiatrists drawn randomly from the membership
list of the Royal College of Psychiatrists in the United Kingdom. Respondents
were asked to rate their level of agreement with a series of statements about
schizoaffective disorder and give some basic demographic data. Results. Of over
800 respondents, almost all reported using the diagnosis in clinical practice,
but a small minority reported that they never use the diagnosis. Most
psychiatrists considered the diagnosis to be clinically useful but there was
little agreement about its nature, its relationship to other mental illnesses,
its aetiology, or its characteristic clinical features. Conclusions.
Schizoaffective disorder is an established diagnosis in psychiatric practice and
is valued by clinicians but there is little consensus of opinion or practice in
relation to it. Psychiatrists should be careful to use the diagnosis in
accordance with current classificatory schemes, and further research should
continue to investigate both its conceptual validity and its use in clinical
practice.
PMID- 24916494
TI - Co-prescription of antidepressants with other psychotropics: Distinct profile of
escitalopram.
AB - Objective. Recent meta-analyses have argued that there are no clinically
significant differences between various selective serotonin reuptake inhibitors
(SSRIs) or between SSRIs and other antidepressants. Analysis of large-scale
national prescription databases may offer a unique opportunity to see whether
this premise holds true in actual practice. National databases however, should be
viewed with caution as they reveal only limited information about efficacy and
tolerability. Methods. The number of antidepressants prescribed annually (October
2004 to September 2005), as well as the class of drug and the use of any
additional psychotropics were obtained from the Israeli subsidiary of
Intercontinental Marketing Services (IMS) Health. Results. During the 12-month
study period there were 2,107,763 prescriptions of antidepressants reported by
IMS in Israel. Compared to other drug classes, the SSRIs were used significantly
more often as a sole therapy (chi-square=1343, df=3, P<0.0001). Of the SSRIs,
escitalopram was the most frequently prescribed (79%) as monotherapy, followed by
fluoxetine with 72% and <70% for the other SSRIs. The most frequently prescribed
additional psychotropic was a benzodiazepine, in the range of 38 to nearly 50%.
Conclusion. Antidepressants vary significantly in their use as a single
("monotherapy") drug. The use of additional psychotropics could reflect either
the presence of symptoms not well covered by a particular antidepressant or for
addressing side effects particular to a certain class of antidepressants.The
premise that all SSRIs are equal is not reflected in this current examination.
PMID- 24916495
TI - Insight in first-episode schizophrenia.
AB - Objective. To compare insight impairment, including its temporal changes, between
remitters and nonremitters in patients with first-episode schizophrenia. Method.
Males, consecutively hospitalized with diagnosed first-episode schizophrenia
(according to ICD 10), who provided written informed consent, and were reassessed
at the 1-year follow-up were included. The psychopathology was evaluated using
the Positive and Negative Syndrome Scale (PANSS) prior to acute treatment - on
admission; at the end of the acute treatment - at discharge; at the 1-year follow
up. Insight was measured using item G12 from the PANSS. Results. Ninety-three
patients (mean age 23 years, mean duration of illness 0.77 years) were reassessed
after 1 year. A total of 73/93 patients (78%) fulfilled the criteria for
remission. When compared, remitters and nonremitters showed no significant
difference in impaired judgement and insight on admission. The mean value of this
item was significantly lower at discharge even in nonremitters; however, a
significantly higher value was found after 1 year in nonremitters. In remitters
the impaired insight decreased significantly at discharge and there was a
significant additional decrease after 1 year. In nonremitters there was a
significant decrease at discharge; however, a significant increase was observed
after 1 year. In the 73 remitters the rate of insight impairment was 79.4% on
admission, 46.6% at discharge and 10.9% after 1 year; the same values were 90, 20
and 70% in the 20 nonremitters. In both remitters and nonremitters the lack of
judgement and insight was the first or second most frequently observed item at
all three time points. The impaired insight on admission was strongly associated
with the overall symptomatology, including positive, negative and general
psychopathology on admission in both remitters and nonremitters. Only in
remitters was the impaired insight at discharge associated with symptoms at
discharge, on admission and also after 1 year. The impaired insight at the 1-year
follow-up was associated with some symptoms after 1 year in both remitters and
nonremitters. Conclusion. Insight may be state dependent, especially in patients
with a good outcome. Attitudes towards treatment and insight into the illness may
vary during the course of the illness. However, more longitudinal prospective
studies are needed to verify such state-related change, and the factors that may
underlie the acquisition of insight.
PMID- 24916496
TI - Sense of coherence in patients after limb amputation and in patients after spine
surgery.
AB - Objective. To assess the score of SOC (sense of coherence), BDI (Beck Depression
Scale), STAI ( State and Trait Anxiety Inventory) in three examined groups and
correlation of SOC score with sociodemographic data, anxiety and depression.
Methods. The SOC, BDI, STAI questionnaires were given to 51 patients after limb
amputation, 65 patients after vertebral surgery because of chronic back pain and
40 persons in the control group. Results. Patients after limb amputation achieved
the same level of SOC score as the control group. Patients who had higher results
on the SOC score rarely suffered from phantom pain. Patients after spine surgery
had a lower level on the SOC score than the control group. A lower score of SOC
was observed in older, unemployed, poorer educated, subjects taking medicine, who
did not report improvement after operation. Patients after limb amputation and
patients after spinal surgery were more depressed and anxious than healthy
people. Conclusion. Patients with higher SOC results, in both examined groups,
were less depressed and anxious.
PMID- 24916497
TI - Can we predict short-term side effects of methylphenidate immediate-release?
AB - Objective. In children and adolescents who were prescribed immediate-release
methylphenidate (MPH-IR) for the first time, we aimed to investigate the effect
of gender, psychiatric co-morbidity and the baseline severity of clinical
symptoms on the short-term side-effects of the medication. Method. In a clinical
sample, over a period of 6 months, all MPH-naive patients with attention deficit
hyperactivity disorder (ADHD) (N=90; male, n=73; female, n=17) age: 9.0+/-2.2
years (5-16 years)) were included. Patients were prescribed MPH-IR 10-30 mg/day
(17.6+/-4.95). The assessment included structured measurements, including the
Turgay Diagnostic and Statistical Manual of Mental Disorders, 4th edition (DSM
IV)-Based Child and Adolescent Behavior Disorders Screening and Rating Scale (T
DSM-IV-S) (parents and teachers), Conners' Teacher Rating Scale for ADHD-Short
version (teachers). Parents also completed the "Barkley Stimulants' Side Effects
Rating Scale" (BSSERS) at baseline and on the third, seventh and 15th days of
medication. Results. Repeated measures multiple ANOVA revealed an overall
significant difference in BSSERS between the baseline measures and the 15th day
(P<0.01). On the 15th day, only the "loss of appetite" item severity scores
increased (P=0.001), whereas the scores of "irritability", "proneness to cry",
"anxiety", "nail biting" and "euphoria" items decreased significantly. In post
hoc analyses, when subjects whose side effects increased and did not increase
were compared, chi-square tests revealed a significant difference (P=0.029) only
for the presence of co-morbidity, and no difference for the gender, age, dosage
of MPH, and the baseline severity of inattentiveness and/or hyperactivity.
Discussion. The only significant increase during MPH treatment was for the "loss
of appetite" item on BSSERS. Our results suggest that some of the BSSERS items
may represent both the ADHD symptoms and the side effects. When overall BSSERS
item severity is considered, having a co-morbid diagnosis may be predictive of
more severe adverse effects.
PMID- 24916498
TI - NETER 1 alcoholic 5 subtypes: Validity with Lesch four evolutionary subtypes.
AB - Objective. To validate NAT (NETER's alcoholic typology), taking into account the
differentiated distribution of the measures used as external criteria in alcohol
dependent sub-groups and its relationship with Lesch's alcoholic typology (LAT).
Method. A sample of 133 alcohol-dependent patients integrated in the alcoholism
unit of the Psychiatric Service of Santa Maria University Hospital were included
in the study. Results and Conclusions. Convergent validity was assured by the
agreement between the subtypes of the two typologies (NAT and Lesch), considering
the same underlying model of alcoholism development: anxiopathic subtype of NAT
and Type II (model of anxiety, alcohol as conflict solution) of Lesch and the
tymopathic subtype of NAT and type III (model of depression, alcohol as
antidepressant) of Lesch. Discriminant analysis (external criteria) showed
significant differences between the subtypes in the following variables: gender;
tobacco; beer and whisky consumption; daily average of drinks; clinical
conditions such as delirium tremens, alcoholic blackouts and seizures; severity
of alcohol-related problems; psychological dimensions such as psychological
maturity and extroversion; and suicidal ideation during the alcohol consumption
period. A more exhaustive description of alcoholic sub-groups may improve genetic
studies of alcoholism and provide the alcoholic patient with an adequate specific
therapeutic protocol.
PMID- 24916499
TI - Real-world use of quetiapine in early psychosis: An acute inpatient and community
follow-up effectiveness study.
AB - Objective. To evaluate the use of quetiapine in first episode psychosis in
adolescents and adults in a 26-week open-label trial. Methods. Consenting
patients were recruited from consecutive acute psychiatric admissions. Quetiapine
was increased stepwise to 750 mg. Baseline, 2, 4, 12, 16, 20 and 26 week
measurement included: BPRS, PANSS, CGI, and indices of tolerability and safety.
Change was assessed using repeated measures ANOVA. Results. Of 73 first admission
patients with psychosis, 15 entered the study. Loss of otherwise eligible
patients was mainly related to prospective consent, which appeared to cause
selection bias. All 15 patients were retained for 4-week Intention-to-Treat
Analysis; nine completed the 26-week protocol (Completers Analysis). Non
completers dropped out shortly after 4 weeks. In the ITT Analysis, there was
significant improvement on BPRS Total (P<0.01), PANSS Positive (P<0.05), and CGI
(P<0.01) scores. No change in the 2-week BPRS Total score predicted subsequent
non-response to quetiapine. In the Completers Analysis, onset of significant
PANSS Negative score reduction did not occur until week 12. By 26 weeks all
efficacy measures had substantially improved; and substance abuse was markedly
less prevalent (P=0.02). Adverse events included postural hypotension,
drowsiness, and significant weight gain (P=0.001). CONCLUSIONS: This uncontrolled
trial suggests quetiapine is an effective first-line treatment in young early
psychosis patients. Prospective consent is a major barrier to evaluating acute
care for psychotic disorder.
PMID- 24916500
TI - Risperidone long-acting injectable for maintenance therapy in bipolar disorder:
An open-label pilot study.
AB - Objective. To investigate the maintained efficacy of antipsychotic therapy in
stable patients with bipolar disorder transitioned directly to risperidone long
acting injectable (RLAI). Methods. Within a large multi-centre European trial
(StoRMi), adults with bipolar I disorder (DSM-IV) stable on a medication regimen
for >=1 month, but requiring a change of antipsychotic therapy, received
injections of RLAI 25mg i.m. (increased to 37.5 or 50 mg if necessary), every 14
days for 6 months. Results. Sixteen patients were included in this subgroup
analysis. Reasons for changing to RLAI included non-compliance (eight patients),
insufficient efficacy (four patients) and side effects (three patients),
associated with previous therapy. Twelve patients completed the 6-month trial.
The most common dosage at endpoint was 25mg (seven patients). Disease symptoms
(CGI Disease Severity) were significantly reduced from baseline to endpoint
(P=0.0225). Patient satisfaction with treatment increased from baseline to
endpoint, with 36% of patients rating their treatment satisfaction as "very good"
versus 0% at baseline. Mean total score of ESRS improved from baseline to
endpoint. Conclusion. Patients with bipolar disorder showed maintained symptom
control over a 6-month treatment period with RLAI. Controlled studies in this
population are required.
PMID- 24916501
TI - Admission rates of patients with borderline personality disorder in a psychiatric
unit in a General Hospital.
AB - This study examined the admission rates of patients with borderline personality
disorder in a psychiatric unit within a General Hospital. The medical records of
patients with DSM-IV borderline personality disorder who were admitted to the
unit during the years 2004 and 2005 were retrospectively reviewed. The number of
admissions of patients with borderline personality disorder was 78, involving 48
patients. The mean of admissions were 1.63 per patient. The comparison to the
rest of the patient population (922 patients with a total of 1086 admissions)
demonstrated that patients with borderline personality disorder were admitted
more frequently than patients with major psychiatric disorders. The difference
was statistically significant (P=0.042). The main reasons for admission were
suicide attempts or threats and lack of out-patient facilities. The introduction
of out-patient psychiatric facilities may contribute to the reduction of the
admissions and to the better management of the disorder.
PMID- 24916502
TI - Validity of the Beck Depression Inventory in the postpartum period.
AB - Objective. While there is a recommendation to screen for postpartum depression
(PPD), there are worries about the validity of instruments other than the
Edinburgh Postnatal Depression Scale; little is known about the construct
validity of one of the most used screening instruments, the Beck Depression
Inventory, in this period. Methods. This study evaluated the validity and
reliability of the BDI in a population-based sample of women and their spouses
(n=772) in the postpartum. Additionally, we compared factor scores within the
couple. Results. Exploratory factor analysis demonstrated a two-factor solution
(depressive symptoms and somatic symptoms), accounting for 44.01% of the total
variance. Internal consistency was good (Cronbach's alpha=0.90). Women had higher
scores than their partners in both factors (P<0.001), but not a higher proportion
of the total score attributable to somatic symptoms. Conclusion. With little
factor variance between women and men, and a similar proportion of somatic
symptoms, these results should be taken to reinforce the validity of the BDI in
the postpartum.
PMID- 24916503
TI - Still no evidence that benzodiazepines cause depression.
AB - A large number of drugs have been implicated in causing depression by case
reports and case series. For a few specific drugs, the association has
subsequently been confirmed by appropriately designed studies. In other
instances, a lack of substantiating evidence has lead to a gradual disappearance
of concern about a potential association. The benzodiazepines represent a
deviation from this pattern: they are widely believed to cause depression, but
there is a lack of evidence to substantiate this claim. In DSM-IV, there is a
category of mood disorder for drug-induced depression (substance-induced mood
disorder), and the text of the manual specifically refers to benzodiazepines as a
potential cause. Despite the apparently entrenched nature of this belief, there
continues to be a lack of credible evidence that benzodiazepines can cause
depression as a side effect.
PMID- 24916505
TI - Elevated cerebral lactate: Implications in the pathogenesis of hepatic
encephalopathy.
AB - Hepatic encephalopathy (HE), a complex neuropsychiatric syndrome, is a frequent
complication of liver failure/disease. Increased concentrations of lactate are
commonly observed in HE patients, in the systemic circulation, but also in the
brain. Traditionally, increased cerebral lactate is considered a marker of energy
failure/impairment however alterations in lactate homeostasis may also lead to a
rise in brain lactate and result in neuronal dysfunction. The latter may involve
the development of brain edema. This review will target the significance of
increased cerebral lactate in the pathogenesis of HE.
PMID- 24916506
TI - PTK2 expression and immunochemotherapy outcome in chronic lymphocytic leukemia.
AB - Addition of rituximab (R) to fludarabine and cyclophosphamide (FC) has
significantly improved patient outcomes in chronic lymphocytic leukemia (CLL).
Whether baseline gene expression can identify patients who will benefit from
immunochemotherapy over chemotherapy alone has not been determined. We assessed
genome-wide expression of 300 pretreatment specimens from a subset of 552
patients in REACH, a study of FC or R-FC in relapsed CLL. An independent test set
was derived from 282 pretreatment specimens from CLL8, a study of FC or R-FC in
treatment-naive patients. Genes specific for benefit from R-FC were determined by
assessing treatment-gene interactions in Cox proportional hazards models. REACH
patients with higher pretreatment protein tyrosine kinase 2 (PTK2) messenger RNA
levels derived greater benefit from R-FC, with significant improvements in
progression-free survival, independent of known prognostic factors in a
multivariate model. Examination of PTK2 gene expression in CLL8 patients yielded
similar results. Furthermore, PTK2 inhibition blunted R-dependent cell death in
vitro. This retrospective analysis from 2 independent trials revealed that
increased PTK2 expression is associated with improved outcomes for CLL patients
treated with R-FC vs FC. PTK2 expression may be a useful biomarker for patient
selection in future trials. These trials were registered at
www.clinicaltrials.gov as #NCT00090051 (REACH) and #NCT00281918 (CLL8).
PMID- 24916504
TI - Dramatic expansion of the black widow toxin arsenal uncovered by multi-tissue
transcriptomics and venom proteomics.
AB - BACKGROUND: Animal venoms attract enormous interest given their potential for
pharmacological discovery and understanding the evolution of natural chemistries.
Next-generation transcriptomics and proteomics provide unparalleled, but
underexploited, capabilities for venom characterization. We combined multi-tissue
RNA-Seq with mass spectrometry and bioinformatic analyses to determine venom
gland specific transcripts and venom proteins from the Western black widow spider
(Latrodectus hesperus) and investigated their evolution. RESULTS: We estimated
expression of 97,217 L. hesperus transcripts in venom glands relative to silk and
cephalothorax tissues. We identified 695 venom gland specific transcripts (VSTs),
many of which BLAST and GO term analyses indicate may function as toxins or their
delivery agents. ~38% of VSTs had BLAST hits, including latrotoxins, inhibitor
cystine knot toxins, CRISPs, hyaluronidases, chitinase, and proteases, and 59% of
VSTs had predicted protein domains. Latrotoxins are venom toxins that cause
massive neurotransmitter release from vertebrate or invertebrate neurons. We
discovered >= 20 divergent latrotoxin paralogs expressed in L. hesperus venom
glands, significantly increasing this biomedically important family. Mass
spectrometry of L. hesperus venom identified 49 proteins from VSTs, 24 of which
BLAST to toxins. Phylogenetic analyses showed venom gland specific gene family
expansions and shifts in tissue expression. CONCLUSIONS: Quantitative expression
analyses comparing multiple tissues are necessary to identify venom gland
specific transcripts. We present a black widow venom specific exome that uncovers
a trove of diverse toxins and associated proteins, suggesting a dynamic
evolutionary history. This justifies a reevaluation of the functional activities
of black widow venom in light of its emerging complexity.
PMID- 24916508
TI - Who is the best donor for a related HLA haplotype-mismatched transplant?
AB - The best donor for a related donor for a human leukocyte antigen (HLA) haplotype
mismatched transplant for hematological neoplasms is controversial. We studied
outcomes in 1210 consecutive transplant recipients treated on a uniform protocol.
Younger donors and male donors were associated with less nonrelapse mortality
(NRM; hazard ratio [HR] = 0.30; 95% confidence interval [CI] = 0.01-0.39; P =
.008 and HR = 0.65; 95% CI = 0.49-0.85; P = .002) and better survival (HR = 0.73;
95% CI = 0.54-0.97; P = .033 and HR = 0.73; 95% CI = 0.59-0.91; P = .005). Father
donors were associated with less NRM (HR = 0.65; 95% CI = 0.45-0.95; P = .02),
acute graft-versus-host disease (GVHD) (HR = 0.69; 95% CI = 0.55-0.86; P = .001),
and better survival (HR = 0.66; 95% CI = 0.50-0.87; P = .003) compared with
mother donors. Children donors were associated with less acute GVHD than sibling
donors (HR = 0.57; 95% CI = 0.31-0.91; P = .01). Older sister donors were
inferior to father donors with regard to NRM (HR = 1.87; 95% CI = 1.10-3.20; P =
.02) and survival (HR = 1.59; 95% CI = 1.05-2.40; P = .03). Noninherited maternal
antigen-mismatched sibling donors were associated with the lowest incidence of
acute GVHD compared with parental donors and noninherited paternal antigen
mismatched sibling donors. Specific HLA disparities were not significantly
correlated with transplant outcomes. Our data indicate which HLA haplotype
mismatched related donors are associated with the best transplant outcomes in
persons with hematological neoplasms.
PMID- 24916507
TI - Heme-bound iron activates placenta growth factor in erythroid cells via erythroid
Kruppel-like factor.
AB - In adults with sickle cell disease (SCD), markers of iron burden are associated
with excessive production of the angiogenic protein placenta growth factor (PlGF)
and high estimated pulmonary artery pressure. Enforced PlGF expression in mice
stimulates production of the potent vasoconstrictor endothelin-1, producing
pulmonary hypertension. We now demonstrate heme-bound iron (hemin) induces PlGF
mRNA >200-fold in a dose- and time-dependent fashion. In murine and human
erythroid cells, expression of erythroid Kruppel-like factor (EKLF) precedes
PlGF, and its enforced expression in human erythroid progenitor cells induces
PlGF mRNA. Hemin-induced expression of PlGF is abolished in EKLF-deficient murine
erythroid cells but rescued by conditional expression of EKLF. Chromatin
immunoprecipitation reveals that EKLF binds to the PlGF promoter region. SCD
patients show higher level expression of both EKLF and PlGF mRNA in circulating
blood cells, and markers of iron overload are associated with high PlGF and early
mortality. Finally, PlGF association with iron burden generalizes to other human
diseases of iron overload. Our results demonstrate a specific mechanistic pathway
induced by excess iron that is linked in humans with SCD and in mice to markers
of vasculopathy and pulmonary hypertension. These trials were registered at
www.clinicaltrials.gov as #NCT00007150, #NCT00023296, #NCT00081523, and
#NCT00352430.
PMID- 24916509
TI - Synergistic defects of different molecules in the cytotoxic pathway lead to
clinical familial hemophagocytic lymphohistiocytosis.
AB - Several molecules (LYST, AP3, RAB27A, STX11, STXBP2, MUNC13-4, and PRF1) have
been associated with the function of cytotoxic lymphocytes. Biallelic defects in
all of these molecules have been associated with familial hemophagocytic
lymphohistiocytosis (FHL). We retrospectively reviewed the genetic and immunology
test results from 2701 patients with a clinically suspected diagnosis of
hemophagocytic lymphohistiocytosis and found 28 patients with single heterozygous
mutations in 2 FHL-associated genes. Of these patients, 21 had mutations within
PRF1 and a degranulation gene, and 7 were found to have mutations within 2 genes
involved in the degranulation pathway. In patients with combination defects
involving 2 genes in the degranulation pathway, CD107a degranulation was
decreased, comparable to patients with biallelic mutations in one of the genes in
the degranulation pathway. This suggests a potential digenic mode of inheritance
of FHL as a result of a synergistic function effect within genes involved in
cytotoxic lymphocyte degranulation.
PMID- 24916510
TI - Banerjee P, Tripp A, Lairmore MD, Crawford L, Sieburg M, Ramos JC, Harrington W
Jr, Beilke MA, Feuer G. Adult T-cell leukemia/lymphoma development in HTLV-1
infected humanized SCID mice. Blood. 2010;115(13):2640-2648.
PMID- 24916511
TI - Effects of a preventive parenting intervention for divorced families on the
intergenerational transmission of parenting attitudes in young adult offspring.
AB - This study evaluates whether the New Beginnings Program (NBP), a parenting
intervention for divorced mothers, led to positive parenting attitudes in young
adult offspring. Data were collected from 240 mothers (G1) and offspring (G2) at
ages 9-12 and again in adolescence and young adulthood. Alternative theoretical
models were tested to examine mediators of NBP effects on G2 parenting attitudes.
Significant interactions between condition and baseline G1 parenting indicated
that NBP improved G2's parenting attitudes for those exposed to poorer G1
parenting at program entry. Effects on G2 warm attitudes were partially mediated
through program effects on G1 warm parenting. The implications of improving
parenting attitudes in offspring who experience parental divorce on well-being in
the next generation are discussed.
PMID- 24916512
TI - Biophysical characterization of the type III secretion tip proteins and the tip
proteins attached to bacterium-like particles.
AB - Bacterium-like particles (BLPs), derived from Lactococcus lactis, offer a self
adjuvanting delivery vehicle for subunit protein vaccines. Proteins can be
specifically loaded onto the BLPs via a peptidoglycan anchoring (PA) domain. In
this study, the tip proteins IpaD, SipD, and LcrV belonging to type III secretion
systems of Shigella flexneri, Salmonella enterica, and Yersinia enterocolitica,
respectively, were fused to the PA and loaded onto the BLPs. Herein, we
biophysically characterized these nine samples and condensed the spectroscopic
results into three-index empirical phase diagrams (EPDs). The EPDs show
distinctions between the IpaD/SipD and LcrV subfamilies of tip proteins, based on
their physical stability, even upon addition of the PA. Upon attachment to the
BLPs, the BLPs become defining moiety in the spectroscopic measurements, leaving
the tip proteins to have a subtle yet modulating effect on the structural
integrity of the tip proteins-BLPs binding. In summary, this work provides a
comprehensive view of physical stability of the tip proteins and tip protein-BLPs
and serves as a baseline for screening of excipients to increase the stability of
the tip protein-BLPs for future vaccine formulation.
PMID- 24916514
TI - Carbon dioxide monitoring during laparoscopic-assisted bariatric surgery in
severely obese patients: transcutaneous versus end-tidal techniques.
AB - Various factors including severe obesity or increases in intra-abdominal pressure
during laparoscopy can lead to inaccuracies in end-tidal carbon dioxide (PETCO2)
monitoring. The current study prospectively compares ET and transcutaneous (TC)
CO2 monitoring in severely obese adolescents and young adults during laparoscopic
assisted bariatric surgery. Carbon dioxide was measured with both ET and TC
devices during insufflation and laparoscopic bariatric surgery. The differences
between each measure (PETCO2 and TC-CO2) and the PaCO2 were compared using a non
paired t test, Fisher's exact test, and a Bland-Altman analysis. The study cohort
included 25 adolescents with a mean body mass index of 50.2 kg/m2 undergoing
laparoscopic bariatric surgery. There was no difference in the absolute
difference between the TC-CO2 and PaCO2 (3.2+/-3.0 mmHg) and the absolute
difference between the PETCO2 and PaCO2 (3.7+/-2.5 mmHg). The bias and precision
were 0.3 and 4.3 mmHg for TC monitoring versus PaCO2 and 3.2 and 3.2 mmHg for ET
monitoring versus PaCO2. In the young severely obese population both TC and
PETCO2 monitoring can be used to effectively estimate PaCO2. The correlation of
PaCO2 to TC-CO2 is good, and similar to the correlation of PaCO2 to PETCO2. In
this population, both of these non-invasive measures of PaCO2 can be used to
monitor ventilation and minimize arterial blood gas sampling.
PMID- 24916515
TI - Repeated early hemofiltration filters clotting and heparin-induced
thrombocytopenia in ICU.
AB - Repeated early hemofiltration filter clotting are real thrombotic events.
Diagnosis of heparin-induced thrombocytopenia (HIT) in this setting in ICU
remains difficult. We describe two cases of repeated early hemofiltration filters
clotting in ICU and to evaluate their impact on the probability test 4T's score
and diagnosis of HIT. Two patients undergoing at least three repeated early
hemofiltration clotting filters in <6 h revealing HIT in ICU had a low
probability score for HIT. Despite a 4T's score of three for the two patients and
persistent early hemofiltration clotting filters, functional tests for HIT
antibodies (heparin induced platelet activation assay and serotonin release
assay) were positive, permitting the diagnosis of HIT in both patients. We
suggest that the occurrence of repeated, rapid (within 6 h) hemofiltration filter
clotting should score as two points for "Thrombosis" in the 4T's scoring system,
thereby increasing the pretest probability for HIT in this clinical situation.
PMID- 24916516
TI - Do we need readjustment of the biochemical parameters in first trimester combined
aneuploidy screening test in women with polycystic ovary syndrome?
AB - OBJECTIVE: The aim of this study was to determine whether polycystic ovary
syndrome (PCOS) affects the biochemical components of first trimester combined
aneuploidy screening test. METHOD: A case-control study was performed at a
tertiary referral hospital between years 2007-2013. Singleton pregnancies in the
first trimester (11(+0) -13(+6) week) who had a history of PCOS and underwent
first trimester combined aneuploidy screening test were included in the study.
Three hundred and seventeen women met the criteria for inclusion. Control group
was formed by 942 healthy pregnant women with similar gestational and maternal
ages using a 1 : 3 ratio. Pregnancy-associated plasma protein-A (PAPP-A), free
beta-human chorionic gonadotropin (fbeta-hCG) and fetal nuchal translucency were
compared between the study group and the controls. RESULTS: The biochemical
components PAPP-A and fbeta-hCG were significantly lower in the PCOS group
compared with the control group (p = 0.001). There was no difference among groups
with regard to the nuchal translucency measurements (p = 0.128). CONCLUSION: Our
study shows that the levels of biochemical components of first trimester combined
aneuploidy screening test (PAPP-A and fbeta-hCG) are altered in pregnant women
with PCOS. Future trials of larger scale are needed to asses any need for
readjustment of the risk in the patient population with PCOS.
PMID- 24916517
TI - Overdiagnosis of gastro-esophageal reflux disease and underdiagnosis of
functional dyspepsia in a USA community.
AB - BACKGROUND: There is symptom overlap between gastro-esophageal reflux disease
(GERD) and functional dyspepsia (FD). We aimed to test the hypothesis that FD
cases are now more likely mislabeled as GERD. METHODS: In subjects from Olmsted
County, MN seen at Mayo Clinic: (i) Investigation of GERD and FD diagnosis rates
between 1985 and 2009. (ii) Assessment of survey-based upper gastrointestinal
symptoms between 1988 and 2009. (iii) Analysis of patients reporting GERD and/or
FD symptoms and subsequently receiving a consistent diagnosis of GERD and/or FD
during a medical encounter. (iv) Assess the association between PPI use and GERD
and/or FD symptoms and between actual diagnoses received. KEY RESULTS: (i) Yearly
GERD diagnosis rates rose between 1985 and 2009 (325-1866 per 100 000). FD
diagnosis rates rose from 45 in 1985, to 964 in 1999 but decreased to 452 per 100
000 in 2009. (ii) Reported GERD symptoms did not significantly change between
three survey waves in the years 1988-2009 (p = 0.052), whereas FD symptoms
slightly increased (p = 0.01). (iii) 62.9% of subjects reporting GERD symptoms
received a GERD diagnosis, however only 12.5% of subjects reporting FD symptoms
received a FD diagnosis. (iv) PPI use was associated with documented GERD
diagnosis (p < 0.001), however there was no significant association between GERD
symptoms and PPI use (p = 0.078). CONCLUSIONS & INFERENCES: We have found
evidence supporting a systematic bias away from diagnosing FD, favoring a GERD
diagnosis.
PMID- 24916518
TI - The Fc gamma receptor IIa R131H polymorphism is associated with inhibitor
development in severe hemophilia A.
AB - BACKGROUND: The development of factor (F) VIII neutralizing alloantibodies
(inhibitors) is a major complication of treatment with FVIII concentrates in
hemophilia A and the etiology is still poorly understood. The low-affinity Fc
gamma receptors (FcgammaR), which are expressed on immune cells, provide an
important link between cellular and humoral immunity by interacting with IgG
subtypes. Genetic variations of the genes encoding FcgammaRs (FCGR genes) have
been associated with susceptibility to infectious and autoimmune diseases.
OBJECTIVES: The aim of this study was to investigate the association between
genetic variation of FCGR and inhibitor development in severe hemophilia A.
PATIENTS/METHODS: In this case-control study samples of 85 severe hemophilia A
patients (siblings from 44 families) were included. Single nucleotide
polymorphisms and copy number variation of the FCGR2 and FCGR3 gene cluster were
studied in an FCGR-specific multiplex ligation-dependent probe amplification
assay. Frequencies were compared in a generalized estimating equation regression
model. RESULTS: Thirty-six patients (42%) had a positive history of inhibitor
development. The polymorphism 131R > H in the FCGR2A gene was associated with an
increased risk of inhibitor development (odds ratio [OR] per H-allele, 1.8; 95%
confidence interval [CI], 1.1-2.9). This association persisted in 29 patients
with high titer inhibitors (OR per H-allele, 1.9; 95% CI, 1.2-3.2) and in 44
patients with the F8 intron 22 inversion (OR per H-allele, 2.6; 95% CI, 1.1-6.6).
CONCLUSIONS: Hemophilia A patients with the HH genotype of the FCGR2A
polymorphism 131R > H have a more than 3-fold increased risk of inhibitor
development compared with patients with the RR genotype.
PMID- 24916519
TI - Dynamics of intact immunoglobulin G explored by drift-tube ion-mobility mass
spectrometry and molecular modeling.
AB - Collision cross-sections (CCS) of immunoglobulins G1 and G4 have been determined
using linear drift-tube ion-mobility mass spectrometry. Intact antibodies and Fc
hinge fragments present with a larger range of CCS than proteins of comparable
size. This is rationalized with MD simulations, which indicate significant in
vacuo dynamics between linked folded domains. The IgG4 subclass presents over a
wider CCS range than the IgG1 subclass.
PMID- 24916520
TI - Oxytocin makes females, but not males, less forgiving following betrayal of
trust.
AB - Although oxytocin has been shown to enhance trust behavior, to date no study has
directly established whether oxytocin can modulate the effect of repair
strategies on restoring damaged trust. In the current double-blind, between
subjects, placebo-controlled design study, two repair strategies were used to
examine the effect of intranasal oxytocin administration on modulating trust
restoration in a revised trust game. The results showed that although oxytocin
had no overall effect on modulating trust restoration, it did have a significant
gender specific effect. Female subjects showed less evidence for trust repair in
the oxytocin compared with the placebo treatment group. This suggests that
oxytocin may make female subjects exhibit more punitive behavior towards partners
who violate their trust and less sensitive to repair strategies provided by them.
Interestingly, this gender specific effect was more evident in the context of
attempted trust repair using financial compensation. However, it also extended to
both apology alone and no compensation conditions, but not to the fair one, in
females exhibiting high trait forgiveness. Thus females with a more forgiving
attitude towards betrayal may actually be more likely to punish betrayal
following oxytocin treatment.
PMID- 24916521
TI - Knowledge and prevalence of viral hepatitis among beauticians.
AB - Beauty treatments, such as tattooing, piercing, manicures, pedicures, and
barbershop shaving, can pose an important risk of virus transmission. This study
was conducted to determine hepatitis A virus (HAV), hepatitis B virus (HBV), and
hepatitis C virus (HCV) prevalence in a sample of beauticians from Rio de Janeiro
(Southeast Brazil) and to assess the knowledge and attitudes of these
professionals regarding viral hepatitis and their practices during their
activities. One hundred nineteen beauticians were recruited in September 2010.
Serum samples were tested for total anti-HAV, total anti-HBc, HBsAg, anti-HBs,
and anti-HCV reactivity. A questionnaire was administered to identify socio
demographic risk factors and to determine knowledge and attitudes regarding viral
hepatitis. Prevalence was 73.9% for total anti-HAV, 0% for HBsAg, 5.9% for anti
HBc, 23.6% for anti-HBs, and 0.8% for anti-HCV. Most professionals (81.5%) were
well informed (4-7 correct answers) and reported the use of disposable sandpaper
and nail sticks and sterilized pliers, but only 40% of them reported adequate
processes of disinfection/sterilization. In conclusion, a high prevalence of HAV
infection and a low prevalence of HBV and HCV infection were observed among
beauticians. In addition, most of these individuals were not immune to HBV,
indicating the need for vaccination campaigns targeting these professionals. Most
of these professionals were well informed regarding viral hepatitis, although
there was a gap in knowledge regarding disinfection and sterilization procedures.
Public health prevention strategies should be adopted to improve education about
disinfection/sterilization procedures for manicures and pedicures.
PMID- 24916522
TI - Tehran lipid and glucose study: a legacy for prospective community-based
research.
PMID- 24916523
TI - Reference values for serum creatinine with Jaffe-compensated assay in adult
Iranian subjects: Tehran Lipid and Glucose Study.
AB - BACKGROUND: Chronic kidney disease is a worldwide public health problem and
glomerular filtration rate (GFR), the best overall index of renal function, is
most commonly estimated from serum creatinine concentrations. The aim of this
study was to determine reference values for serum creatinine concentrations using
data from a population-based study in Iran. METHODS: Serum creatinine was
measured using the Jaffe method in 5247 men and women, aged 20-88 years,
participants of the Tehran Lipid and Glucose Study. For calculating Jaffe
compensated creatinine values in 382 samples, serum creatinine was measured using
both the Jaffe and the enzymatic p-aminophenazone (PAP) methods. Linear
regression analysis yielded a regression line equation of Jaffe-creatinine=0.863
* PAP-creatinine + 38.9 MUmol/L (r = 0.973, n = 382, P < 0.001). CLSI/IFCC
guidelines (International Federation of Clinical Chemistry/ Clinical and
Laboratory Standards Institute), non-parametric method was used for determining
creatinine reference values. RESULTS: Reference values for serum creatinine
ranged between 47-98 MUmol/L (0.53-1.11 mg/dL), 37-68 MUmol/L (0.42-0.77 mg/dL),
and 37-78 MUmol/L (0.42-0.88 mg/dL) in men, non-menopausal women, and menopausal
women, respectively. Mean serum creatinine concentration was significantly higher
in men compared to women for both age <= 50 years [70 +/- 11 vs. 50 +/- 10
MUmol/L (0.79 +/- 0.12 vs. 0.57 +/- 0.11 mg/dL), P < 0.001] and age > 50 years
[73 +/- 12 vs. 55 +/- 12 MUmol/L (0.83 +/- 0.14 vs. 0.62 +/- 0.14 mg/dL), P <
0.001]. CONCLUSION: Reference values for serum creatinine using the compensated
Jaffe method are presented in Iranian subjects, values that could help assessment
of kidney function.
PMID- 24916524
TI - Body mass index as a measure of percentage body fat prediction and excess
adiposity diagnosis among Iranian adolescents.
AB - BACKGROUND: Although various anthropometric methods are available for assessing
body composition, these have not been compared in Iranian adolescents. The
objective of the present study was to compare body mass index (BMI), waist
circumference (WC), waist to hip ratio (WHR), waist to height ratio (WHtR) and
sum of four skinfolds as predictors of percentage body fat (PBF) and diagnosis of
excess adiposity in Iranian adolescents. METHODS: In this cross-sectional study,
all anthropometric measurements were made in133 adolescents (65 boys and 68
girls), aged 10-18 years.PBF was determined using the bioelectrical impedance
analysis method. Linear regression and receiver operating characteristic (ROC)
analysis were used to analyze the data. Potential covariates including sex, age,
and pubertal stage were adjusted. RESULTS: R(2)adj of models for BMI, WC, WHR,
WHtR, and skinfolds were 0.67, 0.56, 0.19, 0.56, and 0.56, respectively (P <
0.001). The AUC value of BMI to differentiate between normal and obese
adolescents was 0.92 (P < 0.001). The AUC of BMI was significantly higher than
those of WC, WHR, and WHtR (P < 0.05). CONCLUSIONS: The performance of BMI is
better than other anthropometric indices in predicting PBF and diagnosing excess
adiposity in Iranian adolescents.
PMID- 24916525
TI - Secular trend of menopausal age and related factors among Tehrani women born from
1930 to 1960; Tehran Lipid and Glucose Study.
AB - BACKGROUND: Menopause is an important milestone of a woman's reproductive life.
There is limited data on the secular trend of menopausal age. The purpose of this
study is to identify the secular trend of menopausal age and its related factors
among Tehrani women born during 1930 - 1960. METHODS: A total number of 2266
women, born between 1930 and 1960, were recruited among TLGS participants. The
World Health Organization classification was used to define menopause status.
Birth cohorts were created using 10-year periods, and statistical comparisons
were performed with analysis of covariance (ANCOVA). RESULTS: The means for
menopausal age of women born in the 1930s, 1940s and 1950s were 48.5, 49.5 and
49.9 years, respectively. After adjustment for possible confounding factors in
the linear regression model, the increasing trend of menopausal age was constant
(P = 0.029). CONCLUSION: There is an increasing trend in menopausal age in female
residents of Tehran. These findings have implications for endogenous lifetime
exposure of women to gonadal steroids.
PMID- 24916526
TI - Recurrence risk ratio of siblings and familial aggregation of the metabolic
syndrome among Tehranian population.
AB - BACKGROUND: In this study, we aimed to determine the extent of possible genetic
influence on cardio-metabolic risk factors, evaluate the familial aggregation of
MetS and estimate the siblings' recurrence risk ratios in a Tehrani population.
METHODS: In a cross-sectional observational study, we made anthropometric, blood
pressure, and biochemical measurements in each member of 566 Tehrani nuclear
families. RESULTS: Grandmothers had the highest incidence of atherosclerotic risk
factors. Four factors were found which accounted for 77.7% of the overall
variance. Recurrence risk ratio among siblings was 5.61 (95% confidence interval
[CI]: 3.15-9.97). The adjusted odds ratio (OR) of proband's MetS status was 1.33
(95% CI: 1.06-1.67). The adjusted OR for the four factors to predict MetS were
all significant, with obesity having the highest risk (OR: 7.50, CI: 5.91-9.52),
followed by dyslipidemia/hyperglycemia (OR: 4.86, CI: 4.03-5.87), and blood
pressure (OR: 4.20, CI: 3.51-5.02). CONCLUSION: A high risk of MetS (five-fold)
was found in siblings with MetS proband. Moreover, findings confirm the
importance of obesity for the aggregation of MetS by nearly seven-fold in the
study population.
PMID- 24916527
TI - Low carbohydrate diet score does not predict metabolic syndrome in children and
adolescents: Tehran Lipid and Glucose Study.
AB - BACKGROUND: The aim of the study was to evaluate the ability of a low
carbohydrate diet score (LCD) to predict the occurrence of the metabolic syndrome
(MetS) and its components in a group of Tehrani children and adolescents after
3.6 years of follow-up. METHODS: Diet scores were calculated using a validated
semi-quantitative food frequency questionnaire for participants aged 6-19 years,
selected from the Tehran Lipid and Glucose Study cohort. The LCD was calculated
based on intake of carbohydrate, monounsaturated fatty acids, refined grains and
vegetable protein intake, expressed as a percentage of energy as well as fiber,
n3/n6 polyunsaturated fatty acids and glycemic load. The higher the score, the
more closely the participant's diet followed the pattern of LCD. The incidence of
MetS and its components was calculated three years later. RESULTS: The mean age
of the participants was 13.8 +/- 3.6 years and 45.4% were boys. The incidence
rates of MetS, high blood pressure, high triglycerides, low HDL-C, abdominal
obesity, and high blood glucose were 7.5%, 11%, 15%, 6.9%, 18.3%, and 12.3%,
respectively. Compared to those in the lowest quartile of LCD score, after
adjusting for age, sex, physical activity, and energy intake, participants in the
highest quartile of LCD score had odds ratios of 0.74 (95% CI: 0.24-2.28), 1.16
(95% CI: 0.47-2.81), 0.55(95% CI: 0.21-1.44), 0.49 (95% CI: 0.11-2.08), 0.91 (95%
CI: 0.42-1.98), and 1.28 (95% CI: 0.51-3.20) with the incidence of MetS, high
blood pressure, high triglycerides, low HDL-C, abdominal obesity, and high blood
glucose. CONCLUSION: No association was found between LCD and the incidence of
MetS or its components in children and adolescents in Tehran after 3.6 years of
follow up.
PMID- 24916528
TI - Metabolic syndrome and health-related quality of life in reproductive age and
post-menopausal women: Tehran Lipid and Glucose Study.
AB - BACKGROUND: Given the lack of data clarifying the manner in which women with
metabolic syndrome (MetS) in different eras of their life perceive their health
related quality of life (HRQoL), this study aimed at investigating the
association between MetS and HRQoL in reproductive age and post menopausal women.
METHODS: This was a cross-sectional study conducted within the framework of
Tehran Lipid and Glucose Study (TLGS). Metabolic syndrome was defined according
to the Joint Interim Statement (JIS) and HRQoL was assessed using the Short Form
Health Survey (SF-36). Logistic regression analysis was used to estimate the odds
ratio (OR) of poor HRQoL with 95% confidence intervals (CIs) for reproductive age
and post-menopausal women separately and adjusted for confounding variables.
RESULTS: All 603 participants with (n = 340) and without (n = 263) MetS were
studied. Overall, in both physical and mental domains, those without MetS had
higher scores in all subscales of SF-36 except for vitality, role emotional and
mental component summary. Unadjusted odds ratios (95% CI) for poor physical HRQoL
were 2.8 (1.7-4.6); (P < 0.001) and 1.5 (0.7-3.4) for the reproductive age and
post-menopausal groups, respectively. Compared to the post-menopausal group, the
odds ratio of reporting poor HRQoL for reproductive age women was significantly
higher, even after adjusting for age (OR: 1.7, 95% CI: 1.0-3.0, P < 0.05).
CONCLUSION: The results indicate that MetS is associated with poor HRQoL in
reproductive age, but not in post-menopausal women, and the association is
observed mainly in relation to physical rather than mental health.
PMID- 24916529
TI - Hyperglycemia in VLBW infants; incidence, risk factors and outcome.
AB - BACKGROUND: Neonatal hyperglycemia, which is relatively common in very low birth
weight (VLBW) infants, is associated with increased risk of morbidity and
mortality. OBJECTIVE: To study the incidence of neonatal hyperglycemia,
associated risk factors and the outcome of it in VLBW infants hospitalized in a
level III NICU in Tehran. METHODS: All VLBW newborns admitted to the NICU of
Mahdieh Hospital from April 2009 to March 2011 were considered eligible for this
retrospective study. All relevant prenatal and perinatal data, as well as details
of the hospital stay until discharge or death, were extracted from the case notes
and analyzed. RESULTS: Hyperglycemia (blood suger above 150 mg/dL) was observed
in 179 (31.7%) of the 564 VLBW infants included in the study; 48 infants (26.8%),
had received insulin. Risk factors included: low gestational age, (OR = 4.07, 95%
CI = 2.09-7.93, P < 0.001), extremely low birth weight (ELBW) , (OR = 5.97, 95%
CI = 3.77-9.44, P < 0.001), dopamine administration (OR = 2.19, 95% CI = 1.32
3.65, P = 0.003), intralipid (OR = 1.52, 95% CI = 1.04-2.22, P = 0.03), Low APGAR
score at 5 minutes (OR = 4.44, 95% CI = 2.48-7.94, P < 0.001), RDS and its
complications (OR = 4.20, 95% CI = 2.55-6.93, P < 0.001), were independently
associated with hyperglycemia. Other findings with hyperglycemia were: high
incidence of IVH >grade II (OR = 2.88, 95% CI = 1.28-6.49, P = 0.01), hospital
stay more than 28 days in survivors,(OR = 3.56, 95% CI = 2.02-6.25, P < 0.001),
mortality (OR = 4.42, 95% CI = 3.00-6.52, P < 0.001) and more retinopathy of
prematurity (ROP >= stage II) in survivors (OR = 2.05, 95% CI = 1.11-3.78, P =
0.02). CONCLUSION: Neonatal hyperglycemia developed in approximately one-third of
our VLBW neonates. Relative prevalence and associated findings underscore the
need for preventive measures and prompt management.
PMID- 24916530
TI - White rice consumption is a risk factor for metabolic syndrome in Tehrani adults:
a prospective approach in Tehran Lipid and Glucose Study.
AB - BACKGROUND: Consumption of white rice has been proposed as a dietary risk factor
for development of metabolic disorders and type 2 diabetes, especially in
populations who consume white rice as a staple food. In this study, we
investigated the association between consumption of white rice and the occurrence
of metabolic syndrome in Tehrani adults after 3 years of follow-up. METHODS: This
longitudinal study was conducted within the framework of the Tehran Lipid and
Glucose Study on 1476 adults, aged 19-70 years. Dietary intakes were measured
using a validated semi-quantitative food frequency questionnaire at baseline.
Biochemical and anthropometric measurements were assessed and documented at
baseline (2006-2008) and again 3 years later (2009-2011). Multiple logistic
regression models were used to estimate the occurrence of the MetS in each
quartile of white rice consumption. RESULTS: The mean age of participants was
37.8 +/- 12.3 years, and mean BMI was 26.0 +/- 4.5 Kg/m2 at baseline.
Participants in the highest quartile of white rice consumption were significantly
younger, had lower HDL-C levels, and higher systolic and diastolic blood
pressures at baseline (P < 0.01). Higher consumption of white rice was also
accompanied by higher increase in serum triglyceride levels after the 3-year
follow-up (9.9 +/- 2.3 vs. 8.2 +/- 2.3%, P < 0.01). After adjustment for all
potential confounders, the risk of metabolic syndrome in the highest quartile of
white rice consumption compared with the lowest, was 1.66 (95% CI: 1.04-2.66).
Moreover, participants with central obesity, low physical activity or low-fiber
diet had greater risk of metabolic syndrome if white rice constituted >=25.6% of
total energy. CONCLUSION: We demonstrated that higher consumption of white rice
may be a risk factor for development of metabolic syndrome among Iranian adults.
PMID- 24916531
TI - Seasonal variations of blood pressure in adults: Tehran lipid and glucose study.
AB - There is no comprehensive report on seasonal variations in individuals' blood
pressure (BP) in Iranian subjects. The aim of this study is to evaluate
individuals' BP during the four seasons of the year in a large number of adults
in Tehran. In a population-based study in Tehran, over a period of ten years
(from 1998 to 2011) during the follow up of the four phases of the TLGS, data
from a total of 29777 participants aged 20-80 years (42.29% male and 57.71%
female) were collected. The participants' systolic and diastolic BP (SBP and DBP)
were measured in every season, and adjusted for weight, age, sex, body mass
index, and ambient temperature, history of diabetes mellitus and anti
hypertensive drugs, and their mean SBPs and DBPs were compared. Mean SBP and DBP
was 116.79 and 75.29 in spring, 116.11 and 74.81 in summer, 117.45 and 75.95 in
fall and 119.03 and 76.28 mmHg in winter. There was a statistically significant
difference between mean SBP in summer and winter (P < 0.010). The difference
between mean SBP in winter and spring and the difference of mean DBP in winter
and summer were near significance level (P = 0.058 and 0.086, respectively).
Compared to summer and spring, the individuals' SBP was higher during winter and
their DBP in winter was also higher compared to summer. More attention should be
paid to BP measurement in epidemiological studies.
PMID- 24916532
TI - Genes associated with low serum high-density lipoprotein cholesterol.
AB - Atherosclerosis is the main cause of death in the world through causing ischemic
heart disease (IHD). Altered serum lipid level is the most important risk factor
for coronary artery disease (CAD). Many studies reveal a strong inverse
association between low levels of high density lipoprotein cholesterol (HDL-C)
and increased risk of IHD. On the other hand, plasma levels of HDL-C has a strong
hereditary basis. This review focuses on recent data about genetic defects that
reduce the level of HDL-C. In order to investigate possible genes linked to low
HDL-C disorder, we reviewed previous studies; we searched current medical
literature from September 1990 through January 2013 for the genetics causes of
low HDL-C levels. Genetic defects in ATP binding cassette protein (ABCA1),
apolipoprotein (APO) A1, lecithin cholesteryl acyl transferase, Lipoprotein
lipase (LPL), and angiopoietin-like 3 proteins (ANGPTL3) associated with low HDL
C. Other potentially important candidates involved in low HDL-C syndromes are
metabolic disorders including sphingomyelin phosphodiesterase 1 and
glucocerebrosidase. Also Molecular variations in many genes such as ABCAI and
APOAI, TRIB1 and Apo E, lipoprotein lipase (LPL), WW domain-containing
oxidoreductase (WWOX), Hepatic lipase (HL), lecithin cholesteryl acyl transferase
and some linkage analysis have been associated with reduced HDL-Status. Low HDL-C
syndrome has a strong genetic basis and is correlated with an increased risk of
CAD.
PMID- 24916533
TI - Multiple endocrine neoplasia type IIa associated with Cushing's syndrome.
AB - Multiple Endocrine Neoplasia type IIa (MEN IIa) is an autosomal dominant syndrome
characterized by pheochromocytoma, medullary thyroid carcinoma and
hyperparathyroidism. Pheochromocytoma occurs in approximately 50% of patients
with MEN IIa. This tumor has the capacity to produce ACTH ectopically and
manifests as the Cushing syndrome, although it is very rare. We report a 26-year
old woman patient with severe muscle weakness, skin lesions in extremities,
hypertension, and new onset diabetes whose laboratory findings included
hypokalemia, metabolic alkalosis, high serum level of cortisol, metanephrine,
normetanephrine, calcitonin and bilateral adrenal mass in computed tomography as
the first clinical manifestations of an ACTH-secreting pheochromocytoma. In the
patients with hypertension, new onset diabetes and hypokalemia, the Cushing
syndrome and pheochromocytoma should always be ruled out.
PMID- 24916534
TI - Photoclinic.
PMID- 24916535
TI - In memory of the late Alireza Afzalipour, the founder of the Kerman University.
PMID- 24916536
TI - Docking covalent inhibitors: a parameter free approach to pose prediction and
scoring.
AB - Although many popular docking programs include a facility to account for covalent
ligands, large-scale systematic docking validation studies of covalent inhibitors
have been sparse. In this paper, we present the development and validation of a
novel approach for docking and scoring covalent inhibitors, which consists of
conventional noncovalent docking, heuristic formation of the covalent attachment
point, and structural refinement of the protein-ligand complex. This approach
combines the strengths of the docking program Glide and the protein structure
modeling program Prime and does not require any parameter fitting for the study
of additional covalent reaction types. We first test this method by predicting
the native binding geometry of 38 covalently bound complexes. The average RMSD of
the predicted poses is 1.52 A, and 76% of test set inhibitors have an RMSD of
less than 2.0 A. In addition, the apparent affinity score constructed herein is
tested on a virtual screening study and the characterization of the SAR
properties of two different series of congeneric compounds with satisfactory
success.
PMID- 24916537
TI - Emergence of HPV16-positive oropharyngeal cancer in Black patients over time:
University of Maryland 1992-2007.
AB - While we previously reported a striking racial difference in the prevalence of
human papilloma virus (HPV)-positive squamous cell carcinoma of the oropharynx
(OPSCC), less is known about differences in outcomes and trends over time in
OPSCC by HPV status and race. We conducted a retrospective analysis of 467
patients with OPSCC treated at the University of Maryland Greenebaum Cancer
Center (Baltimore, MD) between 1992 and 2007, of which 200 had tissue available
for HPV16 testing. HPV16-positive patients were significantly more likely to be
white, with 45.5% of whites and 15.5% of blacks testing positive for HPV16. There
was a significant increase in HPV16-positive OPSCC for all patients over time
from 15.6% in 1992 to 1995 to 43.3% in 2004 to 2007 (P = 0.01). From 1992 to
1995, 33% of white patients were HPV16-positive, with no black patients positive.
From 2004 to 2007, 17.7% of black patients and 54% of white patients were HPV16
positive. White and black patients with HPV16-positive tumors had an identical
and favorable overall survival (OS; median, 8.1 and 8.1 years, respectively).
However, among HPV16-negative patients, whites had an improved OS compared with
blacks (median, 2.3 vs. 0.9 years, respectively; P = 0.02), including when
analyzed in a multivariable Cox regression model. From 1992 to 2007, the
percentage of HPV16-positive OPSCC increased for white patients and was seen for
the first time in black patients. While survival for HPV-positive black and white
patients was similar and favorable, outcomes for HPV-negative patients were poor,
with blacks having worse survival even after controlling for baseline
characteristics.
PMID- 24916538
TI - Data Mining and Privacy of Social Network Sites' Users: Implications of the Data
Mining Problem.
AB - This paper explores the potential of data mining as a technique that could be
used by malicious data miners to threaten the privacy of social network sites
(SNS) users. It applies a data mining algorithm to a real dataset to provide
empirically-based evidence of the ease with which characteristics about the SNS
users can be discovered and used in a way that could invade their privacy. One
major contribution of this article is the use of the decision forest data mining
algorithm (SysFor) to the context of SNS, which does not only build a decision
tree but rather a forest allowing the exploration of more logic rules from a
dataset. One logic rule that SysFor built in this study, for example, revealed
that anyone having a profile picture showing just the face or a picture showing a
family is less likely to be lonely. Another contribution of this article is the
discussion of the implications of the data mining problem for governments,
businesses, developers and the SNS users themselves.
PMID- 24916540
TI - A survey of the prevalence of Nematodirus spathiger and N. filicollis on farms in
the North and South Islands of New Zealand.
AB - AIM: To compare the prevalence of Nematodirus spathiger and N. filicollis on a
sample of farms in the lower South Island with the lower and central North Island
of New Zealand by way of a presence/absence survey. METHODS: A presence/absence
non-random survey was conducted in which pooled faeces from lambs from 50 farms
in the lower and central North Island (n=22) and lower South Island (n=28) were
cultured, with and without a post-culture period of chilling, to produce third
stage parasitic nematode larvae (L3). After recovery using the Baermann
technique, individual L3 were identified to Nematodirus spp. using a PCR assay.
This identified the species that were present on each farm that were then used to
compare the regions. RESULTS: Nematodirus filicollis was present in 38/50 (76%)
samples from which Nematodirus spp. larvae were cultured, compared with 50/50
(100%) in the case of N. spathiger. No regional differences were observed in the
prevalence of either species (p=0.74). Of the Nematodirus spp. L3 recovered from
the unchilled samples, 415/428 (97%) were N. spathiger and 13/428 (3%) N.
filicollis. After chilling 594/695 (85%) of the Nematodirus spp. L3 were N.
spathiger and 101/695 (15%) were N. filicollis. CONCLUSION: Despite the non
random nature of the study, the evidence suggests that both these species are
likely to occur sympatrically on most sheep farms throughout New Zealand. In
general N. filicollis eggs did not hatch without a period of chilling and this
has implications for the identification of these larvae using conventional
culture methods.
PMID- 24916539
TI - Stage of cirrhosis predicts the risk of liver-related death in patients with low
Model for End-Stage Liver Disease scores and cirrhosis awaiting liver
transplantation.
AB - The Model for End-Stage Liver Disease (MELD) score has reduced predictive ability
in patients with cirrhosis and MELD scores <= 20. We aimed to assess whether a 5
stage clinical model could identify liver transplantation (LT) candidates with
low MELD scores who are at increased risk for death. We conducted a case-control
study of subjects with cirrhosis and MELD scores <= 20 who were awaiting LT at a
single academic medical center between February 2002 and May 2011. Conditional
logistic regression was used to evaluate the risk of liver-related death
according to the cirrhosis stage. We identified 41 case subjects who died from
liver-related causes with MELD scores <= 20 within 90 days of death while they
were waiting for LT. The cases were matched with up to 3 controls (66 controls in
all) on the basis of the listing year, age, sex, liver disease etiology, presence
of hepatocellular carcinoma, and MELD score. The cirrhosis stage was assessed for
all subjects: (1) no varices or ascites, (2) varices, (3) variceal bleeding, (4)
ascites, and (5) ascites and variceal bleeding. The MELD scores were similar for
cases and controls. Clinical states contributing to death in cases were: sepsis
49%, spontaneous bacterial peritonitis 15%, variceal bleeding 24%, and
hepatorenal syndrome 22%. In a univariate analysis, variceal bleeding [odds ratio
(OR) = 5.6, P = 0.003], albumin (OR = 0.5, P = 0.041), an increasing cirrhosis
stage (P = 0.003), reaching cirrhosis stage 2, 3, or 4 versus lower stages (OR =
3.6, P = 0.048; OR = 7.4, P < 0.001; and OR = 4.1, P = 0.008), a sodium level <
135 mmol/L (OR = 3.4, P = 0.006), and hepatic encephalopathy (OR = 2.3, P =
0.082) were associated with liver-related death. In a multivariate model
including the cirrhosis stage, albumin, sodium, and hepatic encephalopathy, an
increasing cirrhosis stage (P = 0.010) was independently associated with liver
related death. In conclusion, assessing the cirrhosis stage in patients with low
MELD scores awaiting LT may help to select candidates for more aggressive
monitoring or for living or extended criteria donation.
PMID- 24916541
TI - Perturbed iron distribution in Alzheimer's disease serum, cerebrospinal fluid,
and selected brain regions: a systematic review and meta-analysis.
AB - BACKGROUND: The homeostasis and physiological role of iron in Alzheimer's disease
(AD) has been debated for decades. Overall, it has been difficult to reach a
consensus to prove marked disease-associated changes in the iron content of the
AD brain, blood, or cerebrospinal fluid (CSF). OBJECTIVES: We sought to
contribute to resolve this issue by quantifying the iron content in serum, CSF,
and sub-regions of the AD brain. METHODS: We conducted a comprehensive systematic
meta-analysis and review of multiple observational studies till October 2013 that
investigated the iron content in AD serum, CSF, or brain tissue. RESULTS: 2,556
publications were screened. Forty-three eligible studies with 1,813 AD patients
and 2,401 healthy controls were identified. Twenty-one studies investigated the
serum iron in AD while seven and nineteen studies investigated the CSF iron and
various brain regions iron respectively. Our meta-analysis showed that serum iron
was significant lower in AD than healthy controls. CSF iron appeared not to be
affected by AD although more studies are required due to the relative small
number of CSF studies reported to date. We critically analyzed iron content in
twelve selective brain regions by separated meta-analyses using cross-referenced
statistical methods. We found that eight specific brain regions had higher iron
concentrations that correlated with the clinical diagnosis of AD in a
statistically validated manner. CONCLUSIONS: These data provided rigorous
statistical support for the model that iron homeostasis was changed in AD
patients, including the finding of lower iron in their serum and evidence for
iron overload in several specific brain regions.
PMID- 24916542
TI - Combining select neuropsychological assessment with blood-based biomarkers to
detect mild Alzheimer's disease: a molecular neuropsychology approach.
AB - BACKGROUND: Current work has sought to establish a rapid and cost effective means
of screening for Alzheimer's disease (AD) with the most recent findings showing
utility of integrating blood-based biomarkers with cognitive measures. OBJECTIVE:
The current project sought to create a combined biomarker-cognitive profile to
detect mild AD. METHODS: Data was analyzed from 266 participants (129 AD cases
[Early AD n = 93; Very Early AD n = 36]; 137 controls) enrolled in the Texas
Alzheimer's Research and Care Consortium (TARCC). Non-fasting serum samples were
collected from each participant and assayed via a multi-plex biomarker assay
platform using electrochemiluminescence. Logistic Regression was utilized to
detect early AD using two serum biomarkers (TNFalpha and IL7), demographic
information (age), and one neuropsychological measure (Clock 4-point) as
predictor variable. Disease severity was determined via Clinical Dementia Rating
(CDR) scale global scores. RESULTS: In the total sample (all levels of CDR
scores), the combination of biomarkers, cognitive test score, and demographics
yielded the obtained sensitivity (SN) of 0.94, specificity (SP) of 0.90, and an
overall accuracy of 0.92. When examining early AD cases (i.e.m CDR = 0.5-1), the
biomarker-cognitive profile yielded SN of 0.94, SP of 0.85, and an overall
accuracy of 0.91. When restricted to very early AD cases (i.e., CDR = 0.5), the
biomarker-cognitive profile yielded SN of 0.97 and SP of 0.72, with an overall
accuracy of 0.91. CONCLUSIONS: The combination of demographics, two biomarkers,
and one cognitive test created a biomarker-cognitive profile that was highly
accurate in detecting the presence of AD, even in the very early stages.
PMID- 24916543
TI - Cost-effectiveness of the use of biomarkers in cerebrospinal fluid for
Alzheimer's disease.
AB - BACKGROUND: The use of cerebrospinal fluid (CSF) biomarkers could facilitate
early detection of Alzheimer's disease (AD) in patients with mild cognitive
impairment (MCI) and the differential diagnosis between AD and non-AD dementias.
OBJECTIVE: To determine the cost-effectiveness of the use of amyloid-beta peptide
(Abeta42), total tau and phosphorylated tau proteins in CSF to diagnose AD in MCI
and dementia patients. METHODS: An economic evaluation was performed by means of
cost-effectiveness analysis comparing two AD diagnostic alternatives: the
combined determination of Abeta42 proteins, total tau and phosphorylated tau in
CSF as biomarkers of AD, and the standard clinical diagnosis based on the
National Institute of Neurological and Communicative Disorders and Stroke and the
Alzheimer's Disease and Related Disorders Association (NINDS-ADRDA) criteria. A
decision analytic model was developed to synthesize the identified evidence and
to compare the costs and effectiveness associated with each diagnostic strategy.
A probabilistic sensitivity analysis using 2nd order Monte Carlo simulations was
performed. Subsequently, acceptability curves were calculated and ANCOVA models
were applied to the results of the Monte Carlo simulations in order to identify
the parameters that led greater variability in the model outcomes. RESULTS: The
use of CSF biomarkers as an early diagnostic strategy of AD in MCI patients is a
dominant alternative (less costly and more effective strategy than standard
clinical diagnostic criteria). In dementia patients, although there is a higher
uncertainty, biomarkers in CSF seem a more cost-effective alternative than
standard clinical diagnostic criteria. CONCLUSIONS: Detecting AD in MCI patients
by determining Abeta42, total tau and phosphorylated tau proteins biomarkers in
CSF is a cost-effective diagnostic alternative. No conclusive results were
obtained on dementia patients.
PMID- 24916544
TI - Cooperative therapeutic action of retinoic acid receptor and retinoid x receptor
agonists in a mouse model of Alzheimer's disease.
AB - Alzheimer's disease (AD) is a neurodegenerative process involving amyloid-beta
(Abeta) peptide deposition, neuroinflammation, and progressive memory loss. Here,
we evaluated whether oral administration of retinoic acid receptor
(RAR)alpha,beta agonist Am80 (tamibarotene) or specific retinoid X receptor (RXR)
pan agonist HX630 or their combination could improve deficits in an AD model, 8.5
month-old amyloid-beta protein precursor 23 (AbetaPP23) mice. Co-administration
of Am80 (0.5 mg/kg) and HX630 (5 mg/kg) for 17 days significantly improved memory
deficits (Morris water maze) in AbetaPP23 mice, whereas administration of either
agent alone produced no effect. Only co-administration significantly reduced the
level of insoluble Abeta peptide in the brain. These results thus indicate that
effective memory improvement via reduction of insoluble Abeta peptide in 8.5
month-old AbetaPP23 mice requires co-activation of RARalpha,beta and RXRs.
RARalpha-positive microglia accumulated Abeta plaques in the AbetaPP23 mice. Rat
primary microglia co-treated with Am80/HX630 showed increased degradation
activity towards 125I-labeled oligomeric Abeta1-42 peptide in an insulin
degrading enzyme (IDE)-dependent manner. The co-administration increased mRNA for
IDE and membrane-associated IDE protein in vivo, suggesting that IDE contributes
to Abeta clearance in Am80/HX630-treated AbetaPP23 mice. Am80/HX630 also
increased IL-4Ralpha expression in microglial MG5 cells. The improvement in
memory of Am80/HX630-treated AbetaPP23 mice was correlated with the levels and
signaling of hippocampal interleukin-4 (IL-4). Therefore, Am80/HX630 may promote
differentiation of IL-4-responsive M2-like microglia and increase their activity
for clearance of oligomeric Abeta peptides by restoring impaired IL-4 signaling
in AbetaPP23 mice. Combination treatment with RAR and RXR agonists may be an
effective approach for AD therapy.
PMID- 24916545
TI - Anti-EGFR MoAb treatment in colorectal cancer: limitations, controversies, and
contradictories.
AB - Anti-epidermal growth-factor receptor (EGFR) monoclonal antibody (MoAb) treatment
for chemotherapy refractory or metastatic colorectal cancer has obtained great
achievement. However, not every colorectal patient responds to such molecular
targeted agent well. Biomarkers associated with anti-EGFR resistance are not
limited to KRAS mutation up to now. It was recently reported that cross-talking
molecular effectors interacted with EGFR-related pathway were also negative
predictor for anti-EGFR treatment. However, the limited data, controversial
results, and contradictories between in vitro and clinical studies restrict the
clinical application of these new biomarkers. Although the current theory of
tumor microenvironment supported the application of multi-target treatment, the
results from the clinical studies were less than expected. Moreover, WHO or
RECIST guideline for response assessment in anti-EGFR MoAb treatment was also
queried by recent AIO KRK-0306 trial. This review focuses on these controversies,
contradictories, and limitations, in order to uncover the unmet needs in current
status of anti-EGFR MoAb treatment in colorectal cancer.
PMID- 24916546
TI - A phase I study of pegylated liposomal doxorubicin and temsirolimus in patients
with refractory solid malignancies.
AB - This study aimed to determine the maximum-tolerated dose and dose-limiting
toxicities of pegylated liposomal doxorubicin (PLD) in combination with
temsirolimus (T) in patients with refractory solid tumors. Using a standard "3+3"
dose escalation design, 23 patients were enrolled in three dosing cohorts in this
phase I study. The starting dose level was PLD at 30 mg/m(2) every 4 weeks and T
at 20 mg weekly. Pharmacokinetics (PK) of doxorubicin were evaluated for patients
in the expansion cohort. The most common treatment-related adverse events of all
grades were mucositis/stomatitis (69.6%), anorexia (52.2%), thrombocytopenia
(52.2%), and fatigue (47.8%). The recommended doses of this combination for phase
II studies are 25 mg/m(2) PLD and 25 mg T. PK analyses suggested increased
exposure of doxorubicin in this combination regimen compared to doxorubicin
administered as a single agent, possibly due to PK drug interactions. Out of 18
patients evaluable for a treatment response, two had partial responses (PR)
(breast cancer and hepatocellular carcinoma) and six had stable disease (SD). Two
patients remained on treatment for more than 1 year. The combination of PLD and T
is tolerable, and the treatment resulted in clinical benefit. The combination
regimen should be further explored in appropriate tumor types.
PMID- 24916547
TI - Supplementation of fish oil augments efficacy and attenuates toxicity of 5
fluorouracil in 1,2-dimethylhydrazine dihydrochloride/dextran sulfate sodium
induced colon carcinogenesis.
AB - PURPOSE: 5-Fluorouracil (5-FU) is used for the treatment of colorectal cancer,
but has low therapeutic response rate and severe side effects. Recently, fish oil
(FO) rich in n-3 polyunsaturated fatty acids has been preferred to chemosensitize
tumor cells to anticancer drugs. Therefore, the current study is designed to
evaluate chemotherapeutic efficacy and toxicity profile of 5-FU in combination
with FO in 1,2-dimethylhydrazine dihydrochloride/dextran sulfate sodium (DMH/DSS)
induced colon cancer model. METHODS: The therapeutic efficacy of 5-FU along with
FO was analyzed through assessment of survival rate, tumor burden, volume, serum
sialic acid levels, cytokeratin 19 (CK19) expression and index of cell
proliferation such as cell cycle progression. Toxicological aspects were
evaluated by standard functional and structural parameters related to spleen,
gastrointestinal, liver and kidney. RESULTS: In the present study, 5-FU in
combination with FO increased the survival rate in carcinogen-treated animals.
Synergism of 5-FU and FO was also reflected in significant inhibition in tumor
growth and serum sialic acid levels in DMH/DSS model. Moreover, the combination
dosage significantly augmented the inhibition of cell cycle progression, as shown
by CK19 expression. Additionally, FO ameliorated hematologic depression,
gastrointestinal, hepatic and renal toxicity caused by 5-FU as substantiated by a
marked improvement in structural and functional alterations of these organs.
CONCLUSION: The supplementation of FO is potentially a promising option for
increasing the therapeutic potential and mitigating the side effects of 5-FU.
PMID- 24916549
TI - Iterative trapping of gaseous volatile organic compounds in a capillary column.
AB - The iterative trapping method has been developed for concentrating gaseous
volatile organic compounds (VOCs) prior to gas chromatographic analysis. VOCs are
trapped in a 50 cm * 0.53 mm metal capillary column coated with a 7 MUm thick
film of polydimethylsiloxane (PDMS). Iterative trapping does not employ the two
step thermal desorption approach used by most VOC concentrating techniques.
Instead, a four-step cycle involving synchronized changes in flow direction and
temperature is repeated throughout the sampling process. This iterative process
causes VOCs to accumulate within the capillary well past the level where a
standard two-step method reaches its saturation limit. Iterative trapping is
capable of sampling and desorbing C5 through C11 n-alkanes with uniform
efficiency. This new technique, in its current form, is most appropriate for
focusing VOCs from gas volumes on the order of 10 mL. Iterative trapping
increases the focusing power of a weak sorbent like PDMS and allows narrow
chromatographic peaks to be generated without the use of high desorption
temperatures or a secondary focusing stage.
PMID- 24916548
TI - Venous thromboembolism in patients undergoing shoulder surgery: findings from the
RECOS Registry.
AB - BACKGROUND: Limited informations are available about venous thromboembolic (VTE)
complications and thromboprophylaxis use after shoulder surgery. The primary end
point of the study was to determine the incidence of symptomatic deep vein
thrombosis (DVT) and pulmonary embolism (PE) within 90days after shoulder
surgery. Risk factors for VTE and thromboprophylaxis practices were also studied.
METHODS: RECOS is a prospective multicenter registry of consecutive patients
undergoing shoulder surgery recruited in nine hospitals in Italy. Cumulative
rates of VTE were estimated according to the Kaplan-Meier method; a Cox
regression model was used to calculate adjusted hazard ratio (HR) and 95%
confidence interval (CI) for some variables that were identified as risk factors
for VTE. RESULTS: From June 2009 to June 2011 1366 patients (males 54.4%; mean
age 55,65+/-15.3years) were enrolled. The surgical procedures were: arthroscopy
(71.9%), hemiarthroplasty (17.2%) total replacement (8.9%), fixation for proximal
humeral fracture (2%). After 90days, the incidence of symptomatic VTE was 0.66%
(95CI% 0.2-1.12). Mean age was significantly higher in patient with than in
patients without VTE (67,1+/3.49years vs 55,6+/-0,42years, respectively;
p=0.024). Duration of surgery>60minutes (HR:10.99; 95CI% 1.26-95.89; p=0.030) was
found as independent risk factor for VTE, while cancer, medical disease, venous
insufficiency and previous VTE were not. Pharmacological thromboprophylaxis was
prescribed in 33.5% (n=457) of the patients, in 95.8% of whom for a duration
>10days. CONCLUSIONS: The risk of symptomatic VTE in patients undergoing shoulder
surgery is low. The potential need for thromboprophylaxis should be based on a
case by case evaluation.
PMID- 24916550
TI - Liver function parameters, cholesterol, and phospholipid alpha-linoleic acid are
associated with adipokine levels in overweight and obese adults.
AB - Dysregulation of adipose hormones in obesity has been associated with the
hastened development of metabolic syndrome and associated chronic disease
sequalae including cardiovascular disease and type 2 diabetes mellitus. This
study aims to identify common biochemical and anthropometric markers that impact
adipose hormones, including adiponectin and leptin. Based on previous literature,
it was hypothesized that these would be adversely impacted by liver function
parameters, and adiponectin levels would be positively correlated with
phospholipid Omega-3 fatty acids. Forty nondiabetic adult subjects (body mass
index, >= 25.0 kg/m(2)) were recruited. Fasting plasma samples were taken to
assess adipokine levels, glucose metabolism, electrolytes, liver enzymes, and
blood lipids. Basic anthropometric measurements were also recorded. Adiponectin
levels were positively correlated with high-density lipoprotein cholesterol and
negatively correlated with anthropometric measures, insulin, liver enzymes,
triglycerides, and very low-density lipoprotein cholesterol but not body mass
index. Conversely, plasma leptin levels were positively correlated with
anthropometric measures, C-reactive protein, high-density lipoprotein
cholesterol, and plasma phospholipid proportions of Omega-3 alpha linoleic acid
but inversely correlated with creatinine levels. These results support other data
regarding correlations between adiponectin and relative adipose distribution.
Correlations with specific liver enzymes may indicate that adiponectin levels are
tied to fatty acid deposition in the liver; however, liver/kidney damage though
further mechanistic clarification is required. Leptin levels were associated with
measures of adiposity but not liver enzymes. Each of these variables, along with
blood lipids, may serve as potential future therapeutic targets for the
prevention and management of obesity and related comorbidities.
PMID- 24916551
TI - Three distinct clustering patterns in metabolic syndrome abnormalities are
differentially associated with dietary factors in Korean adults.
AB - Dietary factors are not consistently associated with metabolic syndrome
abnormalities. In this cross-sectional study, we hypothesized that distinct
clustering patterns exist in metabolic syndrome abnormalities and that those
patterns are differentially associated with dietary factors. To test this
hypothesis, we examined distinct clustering patterns of metabolic syndrome
abnormalities and their association with dietary factors in Korean adults. A
total of 141 subjects were recruited through the Family Medicine Division of the
General Hospital in Seoul. Subjects who had complete data on waist circumference,
blood pressure, blood glucose and lipid indicators, and no medication usage were
included in this study. Dietary intake data were obtained by multiple 24-hour
recalls (2-4 days) through on-site or telephone interviews. To identify
clustering patterns of metabolic syndrome abnormalities, factor analysis was used
for waist circumference, systolic and diastolic blood pressure, blood glucose,
triglycerides, and high-density lipoprotein cholesterol. Three distinct
clustering patterns were identified: (1) high blood pressure, (2) dyslipidemia,
and (3) high blood glucose. The high blood pressure pattern was significantly
associated with higher alcohol intake and lower carbohydrate intake. The
dyslipidemia pattern was significantly associated with a diet of high glycemic
index and glycemic load. The high blood glucose pattern was associated with lower
carbohydrate intake. Metabolic syndrome abnormalities had 3 distinct clustering
patterns independently associated with dietary factors. Diets with high glycemic
index and glycemic load were strongly linked with the dyslipidemia pattern, and
high alcohol intake was linked with the high blood pressure pattern in Korean
adults.
PMID- 24916552
TI - Postprandial oxidative stress is increased after a phytonutrient-poor food but
not after a kilojoule-matched phytonutrient-rich food.
AB - Research indicates that energy-dense foods increase inflammation and oxidative
activity, thereby contributing to the development of vascular disease. However,
it is not clear whether the high kilojoule load alone, irrespective of the
nutritional content of the ingested food, produces the postprandial oxidative and
inflammatory activity. This study investigated the hypothesis that ingestion of a
high-fat, high-sugar, phytonutrient-reduced food (ice cream) would increase
oxidative and inflammatory activity greater than a kilojoule-equivalent meal of a
phytonutrient-rich whole food (avocado). The individual contributions of the
fat/protein and sugar components of the ice cream meal to postprandial
inflammation and oxidative stress were also quantified. Using a randomized,
crossover design, 11 healthy participants ingested 4 test meals: ice cream,
avocado, the fat/protein component in ice cream, and the sugar equivalent
component in ice cream. Plasma glucose, cholesterol, triglycerides, and
inflammatory and oxidative stress markers were measured at baseline and 1, 2, and
4 hours (t1, t2, t4) after ingestion. Lipid peroxidation was increased at 2 hours
after eating fat/protein (t0-t2, P < .05) and sugar (t1-t2, P < .05; t1-t4, P <
.05). Antioxidant capacity was decreased at 4 hours after eating ice cream (t0
t4, P < .01) and sugar (t0-t4, P < .01). Ingestion of a kilojoule-equivalent
avocado meal did not produce any changes in either inflammatory or oxidative
stress markers. These data indicate that the ingestion of a phytonutrient-poor
food and its individual fat/protein or sugar components increase plasma oxidative
activity. This is not observed after ingestion of a kilojoule-equivalent
phytonutrient-rich food.
PMID- 24916554
TI - Validity and reproducibility of an interviewer-administered food frequency
questionnaire in Austrian adults at risk of or with overt diabetes mellitus.
AB - Food frequency questionnaires (FFQs) provide an inexpensive tool for dietary
assessment. Given the scarcity of data on their validity for nutritional analysis
in persons with overt diabetes mellitus or with increased risk of diabetes
(relatives of patients with diabetes), this study tests the hypothesis that an
FFQ, adapted to local dietary habits, yields a reliable estimate of nutrient
intake when compared with 7-day food record (7DR) in healthy, prediabetes, and
diabetes cohorts. One hundred three volunteers (50 persons with overt diabetes
mellitus, 24 relatives of patients with diabetes, and 29 nondiabetic individuals
without a family history of diabetes) completed both FFQ and 7DR. A second FFQ
was completed by 100 of these volunteers after 3 months to evaluate its
reproducibility. Data were compared by correlation and Bland-Altman analyses.
Across the entire group, estimates for gram intakes of nutrients and total energy
were associated with wide limits of agreement between FFQ and 7DR (correlation
coefficients, 0.23-0.72; P < .02). Compared with 7DR, the FFQ overestimated
intakes of saturated fat in the entire group (+6.6 +/- 14 g; P < .001) and in
persons with overt diabetes mellitus (+7.6 +/- 15 g; P < .001) but underestimated
protein intake in relatives of patients with diabetes (-16.36 +/- 31 g; P = .01).
The repeated FFQ revealed variable agreement (correlation coefficients, 0.34
0.72; P < .001) and underestimated (P < .01) macronutrient and total energy
intakes, with slightly better performance in persons with overt diabetes mellitus
and relatives of patients with diabetes than in nondiabetic individuals without a
family history of diabetes. Hence, the FFQ allows measuring intakes of total
energy and macronutrients in prediabetes and diabetes cohorts but reveals
limitations when assessing dietary composition.
PMID- 24916553
TI - S-(-)equol production is developmentally regulated and related to early diet
composition.
AB - S-(-)7-hydroxy-3-(4'-hydroxyphenyl)-chroman, or S-(-)equol, a biologically active
intestinally derived bacterial metabolite of the soy isoflavones
daidzin/daidzein, is not produced in neonatal life. Because its synthesis is
dependent on equol-producing bacteria, we hypothesized that early nutrition may
influence equol production. This prospective 2.5-year study determined the
frequency of S-(-)equol production in healthy infants (n = 90) fed breast milk,
soy infant formula, or cow's milk formula in their first year. Urinary S-(-)equol
and daidzein were quantified by mass spectrometry after a standardized 3.5-day
soy isoflavone challenge. Infants were tested at 6, 9, 12, 18, 24, and 36 months
of age, and 3-day diet records were obtained at each visit to explore the effect
of early and postweaning (>12 months) macronutrient and micronutrient dietary
composition and S-(-)equol production. Use of antibiotics was also recorded. At
age 6 months, none of the breast-fed infants produced S-(-)equol, whereas 3.8%
and 6.0%, respectively, of soy and cow's milk formula-fed infants were equol
producers. By age 3 years, 50% of the formula-fed infants were equol producers,
compared with 25% of breast-fed infants. Use of antibiotics was prevalent among
infants and may have impacted the stability of S-(-)equol production. No
significant differences among the groups were observed in postweaning dietary
intakes of total energy, carbohydrate, fiber, protein, fat, saturated fatty
acids, or polyunsaturated fatty acids and the propensity to make S-(-)equol. In
conclusion, S-(-)equol production is developmentally regulated and initially
related to diet composition with the proportion of equol producers increasing
over the first 3 years of life, with a trend for formula feeding favoring S-(
)equol production.
PMID- 24916555
TI - Consumption of cranberry beverage improved endogenous antioxidant status and
protected against bacteria adhesion in healthy humans: a randomized controlled
trial.
AB - Consumption of polyphenol-rich foods is associated with lower risk from many
chronic diseases. We hypothesized that a single dose of cranberry beverage would
improve indices of oxidative stress, inflammation, and urinary antibacterial
adhesion activity in healthy humans. Six males and 6 females (18-35 years; body
mass index, 19-25 kg/m(2)) consumed placebo, cranberry leaf extract beverage, or
low-calorie cranberry juice cocktail (LCJC) once in a randomized, double-blind,
placebo-controlled cross-over experimental design trial. The washout period
between beverages was 1 week. Blood was collected 0, 2, 4, 8, and 24 hours after
beverage consumption for measuring oxidative and inflammatory biomarkers. Urine
was collected at 0, 0 to 3, 3 to 6, 6 to 9, 9 to 12, and 24 hours
postintervention to assess antibacterial adhesion activity. Consumption of
cranberry leaf extract beverage elevated (P < .05) blood glutathione peroxidase
activity, whereas LCJC consumption increased (P < .05) glutathione concentrations
and superoxide dismutase activity compared with placebo. Cranberry leaf extract
beverage and LCJC consumption had no effect on the inflammatory biomarkers
measured as compared with placebo. At 0 to 3 hours postconsumption, urine from
participants who consumed cranberry beverages had higher (P < .05) ex vivo
antiadhesion activity against P-fimbriated Escherichia coli compared with
placebo. An acute dose of cranberry beverages improved biomarkers of antioxidant
status and inhibition of bacterial adhesion in urine.
PMID- 24916556
TI - Intensively managed young children with type 1 diabetes consume high-fat, low
fiber diets similar to age-matched controls.
AB - Despite significant emphasis on nutrition, older children with diabetes
demonstrate poor dietary quality. We tested the hypothesis that dietary quality
in young children with type 1 diabetes (T1D) would be better than age-matched
children in the US population. Dietary data from children with T1D (n = 67) aged
2 to 12 years attending a pediatric diabetes clinic were compared with a
nationally representative, age-matched sample from the National Health and
Nutrition Examination Survey (NHANES; n = 1691). Multiple 24-hour dietary recalls
were used. Recommended intakes were based on national guidelines, and dietary
quality was assessed using the Healthy Eating Index-2005. More children with T1D
were overweight or obese compared with children participating in NHANES (42% vs
30%, P = .04). Greater proportions of children with T1D met daily recommendations
for vegetables (22% vs 13%, P = .03), whole grains (12% vs 5%, P = .005), and
dairy (55% vs 36%, P = .001) compared with NHANES children, whereas similar
proportions met daily fruit recommendations (40% vs 33%, P = .2). Less than one
third of all children limited total fat to recommended levels; children with T1D
consumed more saturated fat than did NHANES children (14% vs 12% total energy
intake, P = .0009). Fiber intakes were very low in both groups. Compared with
NHANES children, children with T1D had higher Healthy Eating Index-2005 scores
(59.6 vs 49.7, P = .0006) primarily because of lower intakes of added sugars. The
nutritional intake of young children with T1D remains suboptimal in the
contemporary era of diabetes management. Despite focused nutrition management,
young children with T1D consume high-fat, low-fiber diets comparable with youth
in the general population.
PMID- 24916557
TI - Swedish children with celiac disease comply well with a gluten-free diet, and
most include oats without reporting any adverse effects: a long-term follow-up
study.
AB - The only known treatment for celiac disease is a gluten-free diet (GFD), which
initially meant abstention from wheat, rye, barley, and oats. Recently, oats free
from contamination with wheat have been accepted in the GFD. Yet, reports
indicate that all celiac disease patients may not tolerate oats. We hypothesized
that celiac children comply well with a GFD and that most have included oats in
their diet. A food questionnaire was used to check our patients; 316
questionnaires were returned. Mean time on the GFD was 6.9 years, and 96.8% of
the children reported that they were trying to keep a strict GFD. However,
accidental transgressions occurred in 263 children (83.2%). In 2 of 3 cases,
mistakes took place when the patients were not at home. Symptoms after incidental
gluten intake were experienced by 162 (61.6%) patients, mostly (87.5%) from the
gastrointestinal tract. Small amounts of gluten (<4 g) caused symptoms in 38% of
the cases, and 68% reported symptoms during the first 3 hours after gluten
consumption. Oats were included in the diet of 89.4% of the children for a mean
of 3.4 years. Most (81.9%) ate purified oats, and 45.3% consumed oats less than
once a week. Among those who did not consume oats, only 5.9% refrained because of
symptoms. General compliance with the GFD was good. Only the duration of the GFD
appeared to influence adherence to the diet. Most patients did not report adverse
effects after long-term consumption of oats.
PMID- 24916558
TI - Ingesting a preworkout supplement containing caffeine, creatine, beta-alanine,
amino acids, and B vitamins for 28 days is both safe and efficacious in
recreationally active men.
AB - The purpose of this study was to determine the safety and efficacy of consuming a
preworkout supplement (SUP) containing caffeine, creatine, beta-alanine, amino
acids, and B vitamins for 28 days. We hypothesized that little to no changes in
kidney and liver clinical blood markers or resting heart rate and blood pressure
(BP) would be observed. In addition, we hypothesized that body composition and
performance would improve in recreationally active males after 28 days of
supplementation. In a double-blind, placebo-controlled study, participants were
randomly assigned to ingest one scoop of either the SUP or placebo every day for
28 days, either 20 minutes before exercise or ad libitum on nonexercise days.
Resting heart rate and BP, body composition, and fasting blood samples were
collected before and after supplementation. Aerobic capacity as well as muscular
strength and endurance were also measured. Significant (P < .05) main effects for
time were observed for resting heart rate (presupplementation, 67.59 +/- 7.90
beats per minute; postsupplementation, 66.18 +/- 7.63 beats per minute), systolic
BP (presupplementation, 122.41 +/- 11.25 mm Hg; postsupplementation, 118.35 +/-
11.58 mm Hg), blood urea nitrogen (presupplementation, 13.12 +/- 2.55 mg/dL;
postsupplementation, 15.24 +/- 4.47 mg/dL), aspartate aminotransferase
(presupplementation, 34.29 +/- 16.48 IU/L; postsupplementation, 24.76 +/- 4.71
IU/L), and alanine aminotransferase (presupplementation, 32.76 +/- 19.72 IU/L;
postsupplementation, 24.88 +/- 9.68 IU/L). Significant main effects for time were
observed for body fat percentage (presupplementation, 15.55% +/- 5.79%;
postsupplementation, 14.21% +/- 5.38%; P = .004) and fat-free mass
(presupplementation, 70.80 +/- 9.21 kg; postsupplementation, 71.98 +/- 9.27 kg; P
= .006). A significant decrease in maximal oxygen consumption
(presupplementation, 47.28 +/- 2.69 mL/kg per minute; postsupplementation, 45.60
+/- 2.81 mL/kg per minute) and a significant increase in percentage of oxygen
consumption per unit time at which ventilatory threshold occurred
(presupplementation, 64.38% +/- 6.63%; postsupplementation, 70.63% +/- 6.39%) and
leg press one-repetition maximum (presupplementation, 218.75 +/- 38.43 kg;
postsupplementation, 228.75 +/- 44.79 kg) were observed in the SUP only. No
adverse effects were noted for renal and hepatic clinical blood markers, resting
heart rate, or BP. Supplements containing similar ingredients and doses should be
safe for ingestion periods lasting up to 28 days in healthy, recreationally
trained, college-aged men.
PMID- 24916559
TI - Olive leaf extract suppresses messenger RNA expression of proinflammatory
cytokines and enhances insulin receptor substrate 1 expression in the rats with
streptozotocin and high-fat diet-induced diabetes.
AB - Type 2 diabetes, characterized by hyperglycemia and hyperlipidemia, is a
metabolic disease resulting from defects in both insulin secretion and insulin
resistance. Recently, olive leaf has been reported as an anti-inflammatory,
antioxidant, and antidiabetic agent. This study sought to investigate whether
olive leaf extract can improve the insulin resistance and inflammation response
in rats with type 2 diabetes induced by high-fat diet and streptozotocin. After
administering olive leaf extract for 8 weeks (200 and 400 mg/kg body weight),
rats given the higher dose showed significantly lower blood glucose, serum total
cholesterol, and triglyceride levels compared with those of diabetic control rats
(P < .05). Results of oral glucose tolerance tests, homeostasis model assessment
of insulin resistance, and messenger RNA (mRNA) expression of tumor necrosis
factor alpha and interleukin (IL) 6 in the liver show significantly decreased
glucose level in rats given either dose of olive leaf extract (P < .05). Both
olive leaf extract-treated groups showed significantly increased insulin receptor
substrate 1 expression (P < .05). Tumor necrosis factor alpha, IL-6 and IL-1beta
mRNA expressions in epididymis adipose tissue were significantly lower in rats
that received higher dose of olive leaf extract (P < .05). Lymphocyte
infiltration was not observed in these rats. The results suggest that olive leaf
extract may attenuate insulin resistance by suppressing mRNA expression of
proinflammatory cytokines and elevating of insulin receptor substrate 1
expression.
PMID- 24916560
TI - Corn gluten hydrolysate and capsaicin have complimentary actions on body weight
reduction and lipid-related genes in diet-induced obese rats.
AB - The aim of this study was to test the hypothesis that a combination of corn
gluten hydrolysate (CGH) and capsaicin may have an additive or synergistic effect
on body weight reduction. For 13 weeks, male Sprague-Dawley rats were provided a
diet to induce obesity. Afterward, the rats were randomly divided into 5 dietary
groups: the normal control (n = 5), the high-fat control (n = 8), the high-fat
diet (HFD) containing 35% CGH (n = 7), the HFD containing 0.02% capsaicin (HF-P)
(n = 8), and the HFD containing both CGH and capsaicin (HF-CP) (n = 7) for an
additional 4 weeks. Administration of CGH plus capsaicin, along with a HFD, led
to significant decreases in body weight, fat mass, lipids in the liver, and
plasma leptin as well as increases in plasma adiponectin. The pattern of gene
expression was different in each target organ. In the liver, up-regulation of
peroxisome proliferator-activated receptor alpha, carnitine palmitoyltransferase
1alpha, and acyl-coenzyme A oxidase was found in the HF-CP group. In contrast,
down-regulation of peroxisome proliferator-activated receptor gamma was found in
both the HFD containing 35% CGH and HF-CP groups. In skeletal muscle, up
regulation of insulin receptor and uncoupling protein 3 was found in the HF-P
group only, whereas up-regulation of the glucose transporter 4 gene was observed
in both the HF-CP and HF-P groups. In adipose tissue, up-regulation of peroxisome
proliferator-activated receptor gamma and hormone-sensitive lipase was only found
in the HF-CP group. In summary, this study suggests that CGH and capsaicin
perform complementary actions on food intake, lipid metabolism, and insulin
sensitivity by a coordinated control of energy metabolism in the liver, adipose
tissue, and skeletal muscle, thus exerting an additive effect on body weight
reduction.
PMID- 24916561
TI - Comparison of maternal and umbilical cord blood soluble lectin-like oxidized low
density lipoprotein receptor 1 levels in early- and late-onset preeclampsia.
AB - BACKGROUND: The main purpose of this study was to determine the maternal and
umbilical cord blood oxidized LDL (oxLDL) and soluble lectin-like oxidized low
density lipoprotein receptor-1 (sLOX-1) levels in early- and late-onset
preeclampsia (PE). MATERIALS AND METHODS: A case-control study was conducted in
pregnant women with early-onset (before 34 weeks' gestation n = 19) and late
onset (after 34 weeks' gestation n = 22) PE compared to healthy normotensive
pregnant controls (n = 44). Groups were compared for the maternal and umbilical
cord plasma oxLDL and serum sLOX-1 levels. RESULTS: The mean maternal and
umbilical cord serum sLOX-1 and plasma oxLDL levels were significantly increased
in early- and late-onset PE compared to controls (p < 0.001). When early- and
late-onset PE women were compared with serum sLOX-1 levels, the increase was more
pronounced in early PE (p < 0.001). However, same comparison is not statistically
significant in cord blood for oxLDL where as it is significantly higher in
maternal blood for oxLDL in early-onset PE group. Maternal and cord blood oxLDL
and sLOX-1 levels are positively correlated with each other; however, they are
negatively correlated with fetal weight and gestational age. CONCLUSIONS:
According to our results, maternal and umbilical cord blood levels of oxLDL and
sLOX-1 were higher in preeclamptic pregnant. Thus, for the first time it has been
shown that oxLDL and sLOX-1 levels were higher in fetal circulation as well as
plasma of preeclamptic pregnant. However, sLOX-1 levels seem to be more implying
than oxLDL for the differentiation of early and late preeclampsia.
PMID- 24916562
TI - Modeling insurer-homeowner interactions in managing natural disaster risk.
AB - The current system for managing natural disaster risk in the United States is
problematic for both homeowners and insurers. Homeowners are often uninsured or
underinsured against natural disaster losses, and typically do not invest in
retrofits that can reduce losses. Insurers often do not want to insure against
these losses, which are some of their biggest exposures and can cause an
undesirably high chance of insolvency. There is a need to design an improved
system that acknowledges the different perspectives of the stakeholders. In this
article, we introduce a new modeling framework to help understand and manage the
insurer's role in catastrophe risk management. The framework includes a new game
theoretic optimization model of insurer decisions that interacts with a utility
based homeowner decision model and is integrated with a regional catastrophe loss
estimation model. Reinsurer and government roles are represented as bounds on the
insurer-insured interactions. We demonstrate the model for a full-scale case
study for hurricane risk to residential buildings in eastern North Carolina;
present the results from the perspectives of all stakeholders-primary insurers,
homeowners (insured and uninsured), and reinsurers; and examine the effect of key
parameters on the results.
PMID- 24916563
TI - Medium composition for effective slow freezing of embryonic cell lines derived
from marine medaka (Oryzias dancena).
AB - This study was conducted to identify optimal medium composition for freezing
Oryzias dancena embryonic cell lines. Different freezing media consisting of
various concentration of dimethyl sulfoxide (DMSO), fetal bovine serum (FBS), and
trehalose were prepared and long-term cultured embryonic cell line was frozen in
each freezing medium by conventional slow freezing program for 7 days. Through
measurement of viability and growth of post-thaw cells frozen in each freezing
medium, it was determined that optimal composition of three components was 10 %
DMSO, 20 % FBS, and 0.1 M trehalose. The post-thaw cells frozen in optimal
freezing medium showed similar morphology and growth rate with non-frozen cells.
Next, this condition was applied to two different sets of experiment; (1)
freezing of the same cells during expanded period (57 days) and (2) freezing of
short-term cultured cells from other batches for 7 days. The viability of post
thaw cells was significantly low and comparable in set 1 and 2, respectively,
when compared with the result of long term-cultured cells frozen in optimal
freezing medium for 7 days and similar morphology and growth rate with non-frozen
counterparts were detected in the post-thaw cells from both sets. In conclusion,
this study first reports the optimal medium composition for freezing O. dancena
embryonic cells, which can contribute to fish species preservation as well as
improvement of cell-based biotechnology by providing stable cell storage.
PMID- 24916564
TI - Pituitary apoplexy during pregnancy: a rare, but dangerous headache.
AB - Pituitary apoplexy is a rare endocrine emergency that occurs in a small number of
patients with a pituitary tumor. It is a clinical syndrome characterized by the
sudden onset of headache, nausea, vomiting, visual impairment, and decreased
consciousness, caused by hemorrhage and/or infarction of the pituitary gland.
Pituitary apoplexy has very rarely been described during pregnancy, when it is
potentially life-threatening to both the mother and the fetus, if unrecognized.
Only a few cases have been published to date. The review of the existing
literature underlines that pituitary apoplexy, although rare, should be borne in
mind when a pregnant woman presents with severe headache and visual defects of
sudden onset. After initial management, which includes intravenous glucocorticoid
therapy, fluid and electrolyte replacement, the final selection of medical or
surgical treatment should result from a multidisciplinary approach involving
expert specialists, keeping into account both severity of clinical presentation
and gestational week.
PMID- 24916565
TI - Altered expression of 3-betahydroxysterol delta-24-reductase/selective
Alzheimer's disease indicator-1 gene in Huntington's disease models.
AB - INTRODUCTION: 3-betahydroxysterol delta-24-reductase (DHCR24), also called
selective Alzheimer's disease indicator-1, is a crucial enzyme in cholesterol
biosynthesis with neuroprotective properties that is downregulated in brain areas
affected by Alzheimer's disease. AIM: In the present study, we investigated
modifications of DHCR24 expression in models of Huntington's disease (HD), a
neurodegenerative disorder caused by a polyglutamine expansion in huntingtin
(Htt) protein that induces degeneration of cerebral cortex and striatum as well
as lateral hypothalamic abnormality. METHODS: Basal expression of DHCR24 and its
modulation after oxidative stress were evaluated in rat striatal precursors cells
(ST14A) transfected with wild-type (Htt) or mutant Htt (mHtt) and in brain tissue
of an HD mouse model (R6/2). RESULTS: The results showed that DHCR24 transcript
levels were decreased in ST14A cells expressing mHtt and in the brain of
symptomatic R6/2 mice, but were significantly increased in ST14A cells
overexpressing wild-type Htt. In addition, we demonstrated that, in the striatal
precursors, the decrease of DHCR24 expression in response to oxidative stress was
modified according to the presence of Htt or of its mutant form. Preliminary
results indicated a modification of DHCR24 expression in post-mortem brain
samples of HD patients. CONCLUSIONS: In conclusion, these results support the
hypothesis of a possible role of DHCR24 in HD.
PMID- 24916567
TI - A systematic review of eHealth interventions to improve health literacy.
AB - Implementation of eHealth is now considered an effective way to address concerns
about the health status of health care consumers. The purpose of this study was
to review empirically based eHealth intervention strategies designed to improve
health literacy among consumers in a variety of settings. A computerized search
of 16 databases of abstracts (e.g. Biomedical Reference Collection, Cochrane
Central Register of Controlled Trials, Computers & Applied Sciences Complete,
Health Technology Assessments, MEDLINE) were explored in a systematic fashion to
assess the presence of eHealth applications targeting health literacy. Compared
to control interventions, the interventions using technology reported significant
outcomes or showed promise for future positive outcomes regarding health literacy
in a variety of settings, for different diseases, and with diverse samples. This
review has indicated that it is feasible to deliver eHealth interventions
specifically designed to improve health literacy skills for people with different
health conditions, risk factors, and socioeconomic backgrounds.
PMID- 24916566
TI - Predictors of fatality in pandemic influenza A (H1N1) virus infection among
adults.
AB - BACKGROUND: The fatality attributed to pandemic influenza A H1N1 was not clear in
the literature. We described the predictors for fatality related to pandemic
influenza A H1N1 infection among hospitalized adult patients. METHODS: This is a
multicenter study performed during the pandemic influenza A H1N1 [A(H1N1)pdm09]
outbreak which occurred in 2009 and 2010. Analysis was performed among laboratory
confirmed patients. Multivariate analysis was performed for the predictors of
fatality. RESULTS: In the second wave of the pandemic, 848 adult patients were
hospitalized because of suspected influenza, 45 out of 848 (5.3%) died, with 75%
of fatalities occurring within the first 2 weeks of hospitalization. Among the
241 laboratory confirmed A(H1N1)pdm09 patients, the case fatality rate was 9%. In
a multivariate logistic regression model that was performed for the fatalities
within 14 days after admission, early use of neuraminidase inhibitors was found
to be protective (Odds ratio: 0.17, confidence interval: 0.03-0.77, p=0.022),
nosocomial infections (OR: 5.7, CI: 1.84-18, p=0.013), presence of malignant
disease (OR: 3.8, CI: 0.66-22.01, p=0.133) significantly increased the likelihood
of fatality. CONCLUSIONS: Early detection of the infection, allowing opportunity
for the early use of neuraminidase inhibitors, was found to be important for
prevention of fatality. Nosocomial bacterial infections and underlying malignant
diseases increased the rate of fatality.
PMID- 24916568
TI - eWasted time: Redundant work during hospital admission and discharge.
AB - Potential unintended consequences of health information technology include
fragmentation of workflow and redundant work. We could not identify any prior
direct observation studies that quantified redundant work related to health
information technology in the clinical setting. Our objective was to quantify
redundant work during admission and discharge to our general internal medicine
service at an academic medical center. We performed a time and motion study at
Sunnybrook Health Sciences Centre in Toronto, Canada. We observed 13 clinicians
performing an admission or a discharge, and the type and length of each task was
recorded using an Apple iPad tablet. We identified redundant tasks related to
health information technology and calculated the time spent completing these
tasks. We found that 22 percent of clinician time was spent on redundant tasks.
Our finding highlights the importance of workflow and software integration when
implementing health information technology.
PMID- 24916569
TI - Information and decision support needs in patients with type 2 diabetes.
AB - Diabetes and its sequelae cause a growing burden of morbidity and mortality. For
many patients living with diabetes, the Internet is an important source of health
information and support. In the course of the development of an Interactive
Health Communication Application, combining evidence-based information with
behavior change and decision support, we assessed the characteristics,
information, and decision support needs of patients with type 2 diabetes.The
needs assessment was performed in two steps. First, we conducted semi-structured
interviews with 10 patients and seven physicians. In the second step, we
developed a self-assessment questionnaire based on the results of the interviews
and administered it to a new and larger sample of diabetes patients (N = 178).
The questionnaire comprised four main sections: (1) Internet use and Internet
experience, (2) diabetes knowledge, (3) relevant decisions and decision
preferences, and (4) online health information needs. Descriptive data analyses
were performed.In the questionnaire study, the patient sample was heterogeneous
in terms of age, time since diagnosis, and glycemic control. (1) Most
participants (61.7%) have searched the web for health information at least once.
The majority (62%) of those who have used the web use it at least once per month.
(2) Diabetes knowledge was scarce: Only a small percentage (1.9%) of the
respondents answered all items of the knowledge questionnaire correctly. (3) The
most relevant treatment decisions concerned glycemic control, oral medication,
and acute complications. The most difficult treatment decision was whether to
start insulin treatment. Of the respondents, 69.4 percent thought that medical
decisions should be made by them and their doctor together. (4) The most
important information needs concerned sequelae of diabetes, blood glucose
control, and basic diabetes information.The Internet seems to be a feasible way
to reach people with type 2 diabetes. The heterogeneity of the sample, especially
with respect to diabetes knowledge, makes it clear that the projected Interactive
Health Communication Application should tailor the content to the individual
user, taking account of individual characteristics and preferences. A wide range
of topics should be covered. Special attention should be paid to the advantages
and disadvantages of insulin treatment and the fears and hopes associated with
it. These results were taken into account when developing the Interactive Health
Communication Application that is currently being evaluated in a randomized
controlled trial (International Clinical Trials Registry DRKS00003322).
PMID- 24916570
TI - Rapid analysis of three beta-agonist residues in food of animal origin by
automated on-line solid-phase extraction coupled to liquid chromatography and
tandem mass spectrometry.
AB - An automated online solid-phase extraction with liquid chromatography and tandem
mass spectrometry method was developed and validated for the detection of
clenbuterol, salbutamol, and ractopamine in food of animal origin. The samples
from the food matrix were pretreated with an online solid-phase extraction
cartridge by Oasis MCX for <5 min after acid hydrolysis for 30 min. The peak
focusing mode was used to elute the target compounds directly onto a C18 column.
Chromatographic separation was achieved under gradient conditions using a mobile
phase composed of acetonitrile/0.1% formic acid in aqueous solution. Each analyte
was detected in two multiple reaction monitoring transitions via an electrospray
ionization source in a positive mode. The relative standard deviations ranged
from 2.6 to 10.5%, and recovery was between 76.7 and 107.2% at all quality
control levels. The limits of quantification of three beta-agonists were in the
range of 0.024-0.29 MUg/kg in pork, sausage, and milk powder, respectively. This
newly developed method offers high sensitivity and minimum sample pretreatment
for the high-throughput analysis of beta-agonist residues.
PMID- 24916571
TI - The diplotype Fas -1377A/-670G as a genetic marker to predict a lower risk of
breast cancer in Chinese women.
AB - This study was designed to reveal the effects of Fas and FasL polymorphisms of
interest on breast cancer risk. A total of 439 patients with breast cancer and
439 controls were enrolled in this study. The genotypes Fas -1377G/A, Fas
670A/G, and FasL -844 T/C were detected by MassARRAY. The protein expressions of
estrogen receptor, progesterone receptor, and CerbB-2 were determined by
immunohistochemistry. Among the 439 patients, Fas mRNA levels in 22 samples of
breast cancer and adjacent normal tissues were detected by real-time polymerase
chain reaction, and the soluble Fas and Fas ligand concentrations of 180 patients
were measured by enzyme-linked immunosorbent assay. The Fas -1377GA, Fas -1377AA,
Fas -670AG, Fas -670GG, and FasL -844TC genotypes were associated with a reduced
risk of breast cancer. Haplotype analysis indicated that Fas -1377G/-670A was
associated with an increased risk of breast cancer, whereas Fas -1377A/-670A was
associated with the opposite effect. Furthermore, gene-gene interaction analysis
revealed that the Fas -1377GA/AA (-670AG/GG) and FasL -844CC or TC/TT genotypes
were associated with a decreased risk of breast cancer. Meanwhile, -1377GG and
670AA genotypes were associated with higher soluble Fas concentrations than other
genotypes. We conclude that Fas and FasL polymorphisms can affect breast cancer
risk and that Fas polymorphisms are likely to affect breast cancer risk by
regulating the soluble Fas concentration.
PMID- 24916572
TI - Anti-tumor activity of oridonin on SNU-5 subcutaneous xenograft model via
regulation of c-Met pathway.
AB - Gastric cancer is the leading cause of cancer death worldwide. Oridonin, a
diterpenoid isolated from Rabdosia rubescens, has attracted considerable
attention as a potential treatment for gastric cancer based on its anti-tumor
effects in many tumor cell lines. However, detailed anti-tumor mechanisms of
oridonin remain a matter of speculation. In the present study, a gastric
carcinoma cell line harboring c-Met gene amplification SNU-5 was used to
investigate the underlying mechanisms. The results showed that in vitro, oridonin
potently inhibited c-Met phosphorylation and c-Met-dependent cell proliferation
(IC50 value, 36.8 MUM), meanwhile down-regulated the expression of the downstream
signaling molecules including phospho-c-Raf, phospho-Erk, and phospho-Akt. In
vivo, oridonin showed efficacy at well-tolerated doses, including marked
cytoreductive anti-tumor activity in SNU-5 subcutaneous xenograft model. The anti
tumor efficacy of oridonin was dose-dependent and showed strong inhibition of c
Met phosphorylation. Additional mechanism of action studies showed dose-dependent
inhibition of c-Met-dependent signal transduction, tumor cell proliferation
(Ki67), and reduction of microvessel density (CD31). These results suggested that
the anti-tumor activity of oridonin may be mediated by direct effects on tumor
cell growth or survival as well as anti-angiogenic mechanisms. In summary, the
results indicated that oridonin exerted anti-tumor growth on human gastric cancer
SNU-5 in vitro and in vivo by direct regulation of c-Met signaling pathway and
the anti-tumor effects was mainly based on its anti-proliferation and anti
angiogenesis.
PMID- 24916573
TI - The adenocarcinoma cell surface mucin receptor for alpha-fetoprotein: is the same
receptor present on circulating monocytes and macrophages? A commentary.
AB - The mucin family of proteins is largely expressed on sedentary epithelial cells
lining the gastrointestinal, pulmonary, and reproductive tracts and their
associated organs and malignant tumors. It is less well-known that mucins are
also expressed on circulatory cells of the immune and inflammatory systems, such
as monocytes, macrophages, leukemic, and lymphoma cells. The epithelial mucins
function in (a) protection and lubrication of mucosal linings, (b) cell adhesion
and cell-to-cell contact, (c) cell migration and metastasis, and (d) signal
transduction. It would be logical to presume that mucins expressed on circulating
mononuclear cells could perform similar functions. Recently, it was proposed that
the alpha-fetoprotein (AFP) receptor, known to be present on solid epithelial
derived malignant tumor cells, can be identified as a mucin glycoprotein.
Interestingly, it was also reported that AFP binds to a receptor on circulating
cells and sedentary tumor cells of lymphoreticular origin, especially monocytes
associated with lymphomas and leukemias. The primary objective of the present
commentary is to present literature-based evidence that some of the cell surface
mucins on sedentary epithelial tumor cells and certain mucins expressed on
circulating monocytes/macrophages are identical to the AFP receptor. The
secondary objective is to discuss the role of AFP and its derived peptides in the
growth suppression of adenocarcinomas and lymphomas using the AFP-mucin receptor
concept as a key to the mechanism of tumor growth inhibition.
PMID- 24916574
TI - Poor citation, coding and reporting: a review of adherence-enhancing
interventions for highly active antiretroviral therapy creates an inaccurate
picture of the state of the field.
PMID- 24916575
TI - Response to letter 'Poor citation, coding and reporting: a review of adherence
enhancing interventions for highly active antiretroviral therapy creates an
inaccurate picture of the state of the field'.
PMID- 24916577
TI - Effective endoscopic diagnosis and treatment of pediatric occult vesicoureteral
reflux with intermediate to long-term follow-up.
AB - PURPOSE: Recurrent febrile urinary tract infections (fUTIs) in children with non
diagnostic voiding cystourethrogram (VCUG) are challenging, as misdiagnosis can
lead to renal damage and increased morbidity. We compared fUTI rates before and
after endoscopic treatment of patients with suspected occult VUR. METHODS:
Between January 2009 and December 2012, children with history of fUTI and non
diagnostic VCUG(s) underwent endoscopic ureteral hydrodistention (HD) and
injection of dextranomer hyaluronic acid co-polymer (Dx/HA). fUTI rates before
and after intervention were evaluated. Demographics, imaging and endoscopic
findings were assessed. RESULTS: Thirty-four children (mean age 5.4+/-2.8 years)
underwent bilateral Dx/HA injection for occult VUR. Average follow-up was 28.8
months. Seventeen children had renal scarring. Mean ureteral HD grade was 2.2/3.
HD grade for ureters associated with renal scarring was significantly (p<0.05)
higher (2.6/3) than those without scarring (2.0/3). Mean injected volume was 1.2
mL. Ureters associated with renal scarring (n=21) required significantly (p<0.05)
higher volumes (1.4 mL) than those without scarring (n=47; 1.1 mL). Prior to
intervention, the fUTI rate was 0.15/patient/month compared to just 0.02 after
treatment (p<0.0001). CONCLUSIONS: Incidence of fUTIs significantly decreased
following treatment, supporting the use of Dx/HA injection in carefully selected
children when the suspicion for occult VUR is high.
PMID- 24916576
TI - Early growth and neurodevelopmental outcome in very preterm infants: impact of
gender.
AB - BACKGROUND AND OBJECTIVE: Nutrition in the neonatal unit may impact the
neurological outcome of very preterm infants, and male preterms are more likely
to suffer neonatal morbidity and adverse neurological outcomes. We hypothesised
that growth during hospitalisation would impact neurological outcome differently,
depending on infant gender. METHODS: Surviving infants born between 1 January
2003 and 31 December 2009 with a gestational age <33 weeks, and enrolled in Loire
Infant Follow-up Team, a regional cohort in western France, qualified for the
study. Growth during neonatal hospitalisation was assessed by the change in
weight z-score between birth and discharge, and infants where ranked into 5
classes, depending on their change in z-score (<-2, -2 to -1.01, -1 to -0.51,
0.50 to 0.01 and >=0), the last class being the reference. The main outcome
criterion was neurodevelopmental outcome at 2 years of corrected age. For each
class of changes in weight z-score, crude or adjusted OR for non-optimal outcome
was calculated for each gender, and compared between genders. RESULTS: 1221 boys
and 1056 girls were included. Gender and early growth interact, (p=0.02).
Moreover when change in weight z-score varied from <-2 to (-0.50 to -0.01),
adjusted OR for non-optimal outcome varied from 3.2 (1.5-6.8) to 2.2 (1.2-4.1) in
boys versus 1.8 (0.7-4.2) to 0.95 (0.4-1.9) in girls. For each class, the OR was
significantly higher in boys. CONCLUSIONS: In very preterm infants, male
neurodevelopment appears to be much more sensitive than female to poor postnatal
growth.
PMID- 24916578
TI - Commentary to "Cumulative incidence of outcomes and urologic procedures after
augmentation cystoplasty".
PMID- 24916579
TI - Emergency doctor is struck off over "catalogue of failures".
PMID- 24916580
TI - A personalized approach to interventional treatment of tricuspid regurgitation:
experiences from an acute animal study.
AB - OBJECTIVES: Interventional treatment of tricuspid valve disease has so far
received little attention due to the anatomical challenges in a thrombogenic
surrounding. In the present study, we present an imaging-based, personalized
interventional approach to the therapy of tricuspid regurgitation. METHODS: In
our porcine model, we used rapid prototyping to build a matrix reproducing the
geometry of the right atrium that was previously derived from computer tomography
(CT) scans. Over this matrix, a braided nitinol device fitting almost completely
the right atrium was crafted. An additional tubular stent component was developed
to carry a tissue valve prosthesis. This part was designed to be connectable to
the annular portion of the main device. In our feasibility study, the crimped
device was implanted via jugular access into the right atrium of 12 pigs and
expanded subsequently. Following isolated implantation of the device without the
valve-carrying component, further procedures included implantation of the whole
composite device, including the mentioned tissue valve. Representing a only
feasibility study, all implantations were performed under full bypass and direct
sight. On-site visualization was performed by both echocardiography and
fluoroscopy. Additional imaging was realized by postoperative CT scans. RESULTS:
Following implantation, 9 of 12 animals were weaned from cardiopulmonary bypass.
Correct positioning of the device and orthodromic blood flow as maintained by the
valve prosthesis were demonstrated by echocardiography and fluoroscopy.
Postoperative contrast CT evaluation demonstrated proper fitting of the device
into the right-sided heart cavities without obstruction of the outflow tract.
Autopsy additionally confirmed its correct positioning without major trauma to
surrounding structures. CONCLUSIONS: We demonstrated the feasibility in principle
of a personalized interventional treatment for tricuspid regurgitation using a
braided stent, based on individual cardiac imaging, with anchoring forces mainly
exerted on the venae cavae and on the inner surface of the right atrium. The
design process of this device is a good indicator of the growing potential of an
imaging-based personalized simulation and production approach for the treatment
of tricuspid valve disease.
PMID- 24916581
TI - Use of a fast-track surgery protocol on patients undergoing minimally invasive
oesophagectomy: preliminary results.
AB - OBJECTIVES: The aim of this study was to evaluate the safety and effectiveness of
a fast-track surgery (FTS) protocol on patients undergoing minimally invasive
oesophagectomy. METHODS: We retrospectively analysed the clinical data of 80
eligible patients who underwent elective minimally invasive oesophagectomy in our
department from January 2012 to April 2013 by the same surgical team. Two groups
of these patients were compared. The control group comprised patients treated
with traditional methods. Clinical parameters were compared. The study group was
formed by patients treated with the fast-track concept, such as (i) a semi-liquid
meal was administered up to 6 h before surgery and the patients were made to
drink 200 ml of 10% glucose solution 3 h before surgery; (ii) no nasogastric
tube, no abdominal drainage tube and no draining sinus in the neck; (iii) the
chest tube and catheter were removed as early as possible; (iv) prevention of
hypothermia therapy; (v) an attempt at bedside rehabilitation on postoperative
day (POD) 2; and (vi) early postoperative enteral nutrition, restrictive
intravenous fluids intraoperatively and postoperatively, and oral feeding
initiated 48 h after surgery. RESULTS: There were no significant differences
between the two groups with regard to age, sex, pathologic tumor-node-metastasis
stage, tumour location, pathology, American Society of Anesthesiologists score,
preoperative albumin level, 30-day readmission or complications (P >0.05).
Compared with the conventional group, the FTS group had earlier first flatus [(3
(3-4) vs 6 (6-7) days], less fluid transfusion [2.1 (2.06-2.2) vs 2.8 (2.7-2.9)
l] and shorter postoperative hospital stay [7 (6-9) days vs 12 (10-16.5) days] (P
<0.05). There was no difference between the two groups with regard to vomiting,
but patients in the conventional group suffered from/experienced pharyngitis
considerably more than the FTS group (P <0.001). CONCLUSIONS: FTS on patients
with oesophageal cancer receiving minimally invasive oesophagectomy is safe,
feasible and efficient, and can accelerate postoperative rehabilitation. Compared
with the conventional protocol, its advantages were limited to short-term follow
up.
PMID- 24916582
TI - Saturated and trans fats and dementia: a systematic review.
AB - Cognitive disorders of later life are potentially devastating. To estimate the
relationship between saturated and trans fat intake and risk of cognitive
disorders. PubMed, EMBASE, and the Cochrane Central Register of Controlled Trials
were searched for studies reporting saturated or trans fat intake and incident
dementia, Alzheimer's disease (AD), or mild cognitive impairment (MCI) or
cognitive decline. Only observational studies met the inclusion criteria: 4 for
AD or other dementias, 4 for MCI, and 4 for cognitive decline. Saturated fat
intake was positively associated with AD risk in 3 of 4 studies, whereas the
fourth suggested an inverse relationship. Saturated fat intake was also
positively associated with total dementia in 1 of 2 studies, with MCI in 1 of 4
studies, and with cognitive decline in 2 of 4 studies. Relationships between
trans fat intake and dementia were examined in 3 reports with mixed results.
Several, although not all, prospective studies indicate relationships between
saturated and trans fat intake and risk of cognitive disorders.
PMID- 24916584
TI - Adenomas of the ampulla of Vater: a comparison of outcomes of operative and
endoscopic resections.
AB - BACKGROUND: Data comparing operative and endoscopic resection of adenomas of the
ampulla of Vater are limited. Our aims were to evaluate and compare the long-term
results and outcomes of endoscopic and operative resections of benign tumors of
the ampulla of Vater as well as to determine which features of benign
periampullary neoplasms would predict recurrence or failure of endoscopic therapy
and therefore need for operative treatment. METHODS: Retrospective review of all
patients treated for adenomas of ampulla of Vater at our institution from 1994 to
2009. RESULTS: Over a 15-year span, 180 patients (mean age 59 years) were treated
for benign adenomas of the ampulla of Vater with a mean follow-up of 4.4 years.
Obstructive jaundice was more common in the operative resection group (p =
0.006). The adenomas were tubular in 83 patients (44%), tubulovillous in 77 (45%)
and villous in 20 (11%). Endoscopic resection alone was performed in 130 patients
(78%). Operative resection was performed in 50 patients (28%), with
pancreatoduodenectomy in 40, transduodenal local resection in 9, and pancreas
sparing total duodenectomy in 1. Nine patients who underwent endoscopic resection
initially were endoscopic treatment failures. Fifty-eight percent of
endoscopically treated patients required one endoscopic resection, while 58 (42%)
required two or more endoscopic resections (range 2-8). Patients who underwent
operative resection had larger tumors with a mean size of 3.7 +/- 2.8 versus 1.8
+/- 1.5 cm in those treated by endoscopic resection (p < 0.001) or intraductal
extension (p = 0.02). Intraductal extension and ulceration had no effect on
recurrence if completely resected endoscopically (p = 0.41 and p = 0.98,
respectively). Postoperative complications occurred in 58% of patients, and post
endoscopic complications in 29% (p < 0.001). Endoscopic resection was associated
with a greater than fivefold risk of recurrence than operative resection (p =
0.006); 4% of recurrences had invasive carcinomas. When comparing patients who
underwent local resections only (endoscopic and operative), there was no
difference in the recurrence rate between endoscopic resection and operative
transduodenal resection (32 versus 33%; p = 0.49). The need for two or more
endoscopic resections for complete tumor removal was associated with 13-fold
greater risk of recurrence (p < 0.001). CONCLUSION: There is no significant
difference between endoscopic and local operative resections of benign adenomas
of ampulla of Vater; recurrences are more common when two or more endoscopic
resections are required for complete tumor removal. Appropriate adenomas for
endoscopic resection included tumors <3.6 cm that do not extend far enough
intraductally (on EUS) to preclude an endoscopic snare ampullectomy.
PMID- 24916585
TI - Prosthetic graft for superior mesenteric-portal vein reconstruction in
pancreaticoduodenectomy: a retrospective, multicenter study.
AB - BACKGROUND: The use of prosthetic grafts for superior mesenteric-portal vein
reconstruction (SMPVR) after pancreaticoduodenectomy (PD) with venous resection
remains controversial. We evaluated the effectiveness and safety of using
polytetrafluoroethylene (PTFE) interposition grafts for SMPVR after PD. METHODS:
We identified 76 patients who underwent PD with segmental vein resection for
pancreatic head and periampullary neoplasms at three centers between January 2007
and June 2012. The venous reconstruction technique depended on the length of
venous involvement. Forty-two and 34 patients underwent SMPVR with primary
anastomosis and SMPVR with PTFE interposition grafts, respectively. The
postoperative morbidity, mortality, and patency were compared. For the patients
with pancreatic ductal adenocarcinoma (n = 65), survival was compared between the
SMPVR with primary anastomosis (n = 36) and SMPVR with PTFE interposition graft
groups (n = 29). RESULTS: Patients undergoing SMPVR with PTFE grafts had larger
tumor sizes (3.4 +/- 0.9 cm, 2.9 +/- 0.9 cm, P = 0.016), longer operative
durations (492.9 +/- 107.5 min, 408.8 +/- 78.8 min, P < 0.001), and greater blood
loss (986.8 +/- 884.5 ml, 616.7 +/- 485.5 ml, P = 0.040) compared to those
undergoing SMPVR with primary anastomosis. However, 30-day postoperative
morbidity and mortality did not differ (29.4 and 2.9 %, respectively, for PTFE
grafts and 33.3 and 7.1 %, respectively, for primary anastomosis). There were no
cases of graft infection. The estimated cumulative patency of SMPVR 6 and 12
months after surgery did not differ (87.9 and 83.5 % after PTFE grafts,
respectively, and 94.4 and 86.4 % after primary anastomosis, respectively). For
patients who underwent surgery for pancreatic ductal adenocarcinoma, there were
no significant differences in the median survival time (11 vs. 12 months) or the
1-, 2-, and 3-year survival rates (35.7, 12.5, and 4.2 vs. 36.4, 17.3, and 8.7 %,
respectively) for the PTFE and primary anastomosis groups. CONCLUSIONS: PTFE
grafts could provide a safe and effective option for venous reconstruction after
PD in patients with segmental vein resection.
PMID- 24916587
TI - Effectiveness of intraoperative cholangiography using indocyanine green (versus
contrast fluid) for the correct assessment of extrahepatic bile ducts during day
case laparoscopic cholecystectomy.
AB - INTRODUCTION: During cholecystectomy, intraoperative cholangiography using
contrast fluid (IOC-CF) is still the "gold standard" for biliary tract
identification but has many associated pitfalls. A new IOC technique using
indocyanine green (IOC-IG) appears to be promising. Here, we studied the
effectiveness of IOC-IG (vs IOC-CF) during day-case laparoscopic cholecystectomy.
MATERIALS AND METHODS: Over a 6-month period, we included 23 patients (with no
cirrhosis or risk factors for choledocholithiasis) scheduled for day-case
laparoscopic cholecystectomy. The primary efficacy criterion was the
"analyzability rate" (i.e., the ability to identify the cystic duct, the cystic
duct-hepatic duct junction, and the common bile duct) for the IOC-CF and IOC-IG
procedures after dissection. Indocyanine green was infused under general
anesthesia. The same near-infrared laparoscopic imaging system was used for IOC
IG and conventional visual inspection. IOC-CF was always attempted after
dissection. Each patient served as his/her own control. Cholecystectomies were
video-recorded for subsequent off-line, blind analysis. RESULTS: The
analyzability rate was 74 % for IOC-IG after dissection, 70 % for IOC-CF (p =
0.03), 26 % for conventional visual inspection, and 48 % for IOC-IG before
dissection. When each IOC modality (conventional visual inspection, IOC-IG before
and after dissection) was considered as a diagnostic test, the accuracy for
simultaneous identification of the three anatomic elements was respectively 48,
52, and 74 %. No adverse events occurred during the IOC-IG procedure. CONCLUSION:
IOC-IG was feasible and safe. Our results suggest that this technique is more
effective than IOC-CF for biliary tract identification after dissection and may
constitute a powerful diagnostic test for the detection of extrahepatic ducts.
PMID- 24916588
TI - NSAID use and colorectal anastomotic leaks. Caution and further investigation.
PMID- 24916589
TI - Thrombin mediates vagal apoptosis and dysfunction in inflammatory bowel disease.
AB - BACKGROUND: In inflammatory bowel disease, autonomic dysfunction contributes to
symptoms, morbidity, and health care resource utilization. Efferent vagal
neurons, which provide the primary parasympathetic input to the gastrointestinal
tract, are housed in the dorsal motor nucleus of the vagus (DMV) in the
brainstem. This study seeks to characterize the effects of IBD on DMV neuronal
survival and function. METHODS: TNBS (picrylsulfonic acid) was administered by
enema to induce colitis in rats. Brain sections through the DMV were examined for
neuronal apoptosis using TUNEL labeling, and for glial cell activation by
immunofluorescence. Prothrombin production was evaluated via quantitative RT-PCR
from DMV tissue, as well as by double immunofluorescence in DMV sections. To
investigate the effects of thrombin in the DMV, thrombin or thrombin and an
antagonist to its receptor were administered into the fourth ventricle via a
stereotactically placed cannula. DMV sections were then examined for apoptosis by
TUNEL assay. To evaluate the effect of thrombin on DMV neuronal function, we
examined calcium signaling in primary DMV neuron cultures following exposure to
thrombin and other neurotransmitters. RESULTS: TNBS colitis is associated with
significantly increased rates of DMV neuronal apoptosis, affecting 12.7 % of DMV
neurons in animals with colitis, compared to 3.4 % in controls. There was a
corresponding increase in DMV neuron activated caspase-3 immunoreactivity (14.8
vs. 2.6 % of DMV neurons). TNBS-treated animals also demonstrated significantly
increased DMV astrocyte and microglial immunoreactivity, indicating glial cell
activation. DMV prothrombin production was significantly increased in TNBS
colitis, with a close anatomic relationship between prothrombin and microglia.
Direct DMV exposure to thrombin replicated the apoptosis and activation of
caspase-3 seen in TNBS colitis; these effects were prevented by coadministration
of the PAR-1 inhibitor FR171113. Cultured DMV neurons exhibited impaired calcium
signaling in response to neurotransmitters following exposure to thrombin.
Glutamate-induced calcium transients decreased by 59 %, and those triggered by
GABA were reduced by 61 %. PAR-1 antagonism prevented these thrombin-induced
changes in calcium signaling. CONCLUSIONS: IBD is associated with DMV microglial
activation and production of prothrombin. Thrombin in the DMV causes vagal neuron
apoptosis and decreased sensitivity to neurotransmitters.
PMID- 24916591
TI - Incomplete circle of willis and migraine: role for shear-induced platelet
aggregation?
PMID- 24916590
TI - Nodal counts and lymph node ratio impact survival after distal pancreatectomy for
pancreatic adenocarcinoma.
AB - BACKGROUND: The number of lymph nodes required for accurate staging after distal
pancreatectomy for pancreatic adenocarcinoma is unknown. METHODS: The
Surveillance, Epidemiology, and End Results (SEER) database was used to identify
1,473 patients who underwent distal pancreatectomy for pancreatic adenocarcinoma
from 1998 to 2010. We evaluated the influence of the total number of lymph nodes
examined (NNE) and the lymph node ratio (LNR-positive nodes/total nodes examined)
on survival. RESULTS: The median NNE was 8. No nodes were examined in 232 (16%)
of the patients, and 843 (57%) had <10 NNE. Of the patients who had at least one
node examined, 612 (49%) were node positive. In the node-negative subset, the
median and 5-year overall survival for patients with <=10 NNE was significantly
worse than patients with >10 NNE (16 vs. 20 months and 13 vs. 19%, respectively,
p < 0.011). For node-positive patients, those with LNR <=0.1 had better 5-year
overall survival compared with LNR >0.1 (17 vs. 6%, p = 0.002). DISCUSSION:
Patients with pancreatic cancer undergoing distal pancreatectomy should ideally
have at least 11 lymph nodes examined to avoid understaging. For node-positive
patients, LNR may be a better prognostic indicator than the total number of
positive nodes.
PMID- 24916592
TI - Orthostatic headache without intracranial hypotension: a headache due to
psychiatric disorder?
PMID- 24916593
TI - Perceived body weight status of youngsters interferes with headache in obese and
non-obese subjects.
PMID- 24916594
TI - Perceived body weight status of youngsters interferes with headache in obese and
non-obese subjects: a response.
PMID- 24916595
TI - A discussion of "critical evaluation of migraine trigger site decompression
surgery".
PMID- 24916596
TI - Acute postpartum headache.
PMID- 24916597
TI - Editorial: complementary and Alternative Medicine (CAM), Ayurvedic medicine, and
research into behavioral and mind/body interventions in headache.
PMID- 24916598
TI - Aura with headache.
PMID- 24916599
TI - [Aura with a headache (cafaleia)].
PMID- 24916600
TI - Persistence of Parvovirus B19 (B19V) DNA and humoral immune response in B19V
infected blood donors.
AB - BACKGROUND AND OBJECTIVES: Parvovirus B19 (B19V) DNA seems to persist in the
plasma of B19V-infected blood donors. The relevance of this for recipients of
single-donor blood components is yet unclear. MATERIAL AND METHODS: We studied
serial archive and follow-up samples from 75 B19V-infected blood donors to obtain
more data about the duration and degree of viraemia and the presence of IgG and
IgM anti-B19V. IgG antibodies were further characterized by Western blot analysis
in 29 donors. RESULTS: In 411 B19V DNA-positive samples collected, we found high
concentrations (>10(6) IU B19V DNA/ml plasma) in five. B19V DNA persisted for a
mean of 21.5 months (range: 2.3-52.4; 95% confidence interval, 19.1-23.9 months)
in all donors. Only 15 such samples had either no or low-titre IgG anti-B19V. IgG
antibodies were predominantly directed against epitopes on the minor capsid
protein VP1, thus probably of neutralizing type with high avidity. IgM anti-B19V
was detectable in 9/13 samples with high DNA concentrations. CONCLUSIONS: The
vast majority of single-donor blood components with detectable B19V DNA are
probably not infectious for their recipients because DNA is at only low levels
and the donors also have potentially neutralizing antibodies with high avidity.
Anti-B19V IgM testing does not identify every donation with high B19V DNA
concentrations, but, in addition to B19V NAT testing, donors with persistent IgG
anti-B19V might be considered 'B19V-safe' for single-donor blood components.
PMID- 24916601
TI - The use of lysozyme modified with fluorescein for the detection of Gram-positive
bacteria.
AB - Lysozyme (1,4-beta-N-acetylmuramidase) is commonly applied in the food, medical,
and pharmaceutical industries. In this study, we tested a novel application of
fluorescein-modified lysozyme (using carboxyfluorescein with a triazine-based
coupling reagent) as a new tool for the detection of Gram-positive soil bacteria.
The results, obtained by cultivation methods, fluorescence analysis, and laser
interferometry, showed that, after optimization, fluorescein-modified lysozyme
could be used to evaluate the prevalence of Gram-positive bacteria essential in
bioremediation of soils with low pH, such as those degraded by sulfur.
PMID- 24916602
TI - Processing of nociceptive input from posterior to anterior insula in humans.
AB - Previous brain imaging studies have shown robust activations in the insula during
nociceptive stimulation. Most activations involve the posterior insular cortex
but they can cover all insular gyri in some fMRI studies. However, little is
known about the timing of activations across the different insular sub-regions.
We report on the distribution of intracerebrally recorded nociceptive laser
evoked potentials (LEPs) acquired from the full extent of the insula in 44
epileptic patients. Our study shows that both posterior and anterior subdivisions
of the insular cortex respond to a nociceptive heat stimulus within a 200-400 ms
latency range. This nociceptive cortical potential occurs firstly, and is larger,
in the posterior granular insular cortex. The presence of phase reversals in LEP
components in both posterior and anterior insular regions suggests activation of
distinct, presumably functionally separate, sources in the posterior and anterior
parts of the insula. Our results suggest that nociceptive input is first
processed in the posterior insula, where it is known to be coded in terms of
intensity and anatomical location, and then conveyed to the anterior insula,
where the emotional reaction to pain is elaborated.
PMID- 24916603
TI - Spore germination and germinant receptor genes in wild strains of Bacillus
subtilis.
AB - AIMS: To compare the germination of laboratory and wild strains of Bacillus
subtilis. METHODS AND RESULTS: The spore germination of B. subtilis 168 (subsp.
subtilis) was compared with that of the laboratory strain W23 (subsp. spizizenii)
and desert-sourced isolates, including one member of subsp. subtilis (RO-NN-1),
strains TU-B-10, RO-E-2, N10 and DV1-B-1, (all subsp. spizizenii), the B.
mojavensis strain RO-H-1 and a B. subtilis natto strain. All germinated in L
alanine, although some were slower, and some 10-fold less sensitive to germinant.
All germinated in calcium dipicolinate (CaDPA). Germination in asparagine,
glucose, fructose + KCl was slow and incomplete in many of the strains, and
decoating RO-NN-1 and W23 spores did not restore germination rates. Comparing the
sequences of B. subtilis strains 168, RO-NN-1, W23, TU-B-10 and DV1-B-1, the
operons encoding GerA, B and K germinant receptors were intact, although the two
additional operons yndDEF and yfkQRST had suffered deletions or were absent in
several spizizenii strains. CONCLUSIONS: Wild strains possess an efficient
germination machinery for L-alanine germination. AGFK germination is often less
efficient, the gerB genes more diverged, and the two germinant receptor operons
of unknown function have been lost from the genome in many subsp. spizizenii
strains. SIGNIFICANCE AND IMPACT OF THE STUDY: The two major subspecies of B.
subtilis have conserved GerA receptor function, confirming its importance, at
least in the natural environments of these strains.
PMID- 24916604
TI - Patterns of knee osteoarthritis in a hospital setting in sub-Saharan Africa.
AB - Osteoarthritis (OA), the most prevalent joint disease in adults, ranks among the
top 5 causes of disability. The burden of OA is expected to be greater in
developing countries, where life expectancy is increasing and access to joint
replacement therapy is not readily available. Risk factors associated with knee
OA in Africa may differ from those identified in other parts of the world. This
study aimed to establish the prevalence, clinical presentation, and associated
factors of knee OA in two large referral centers in Cameroon. Between February
and July 2012, we performed a cross-sectional analysis of 148 patients with knee
OA followed at two rheumatology units in Douala. We included all patients with
mechanical knee pain, who fulfilled the 1986 ACR for the classification and
reporting of knee OA. One thousand four hundred ninety-six patients with
musculoskeletal complaints were seen; 148 (9.9%) with knee OA were analyzed. Mean
age was 56.9 +/- 10.7 years, 75% were females, and 68% were post-menopausal. The
VAS of pain at the time of diagnosis was higher than 50/100 mm in 64.2% of
patients. Mean pain duration was 1 year (7 months-3.5 years). Obesity (BMI > 30)
was present in 52% of patients, hypertension in 37.2%, and diabetes in 8.8%. Knee
x-ray showed 35.5% of patients with grades III and IV on Kellgren and Lawrence
classification. Bilateral bi-compartmental knee OA was found in 38.5% of patients
and bilateral tricompartmental in 14.2%. The mean Lequesne disability index (LDI)
was 8.4 +/- 2.8. Pain intensity did not correlate with radiological findings
whereas there was an association between pain and LDI. Knee OA is not rare among
patients in Cameroon. Multiple factors including limited access to health care
may account for why knee OA patients present at later stages of the disease with
severe disability.
PMID- 24916605
TI - The association of comorbid conditions with patient-reported outcomes in Veterans
with hip and knee osteoarthritis.
AB - There is limited understanding of how comorbid health conditions affect
osteoarthritis (OA)-related outcomes. This study examined associations of
different comorbidity measures with baseline OA-related patient-reported outcomes
(PROs) among patients with hip and knee OA. Data were from patients (N = 300, 9 %
female, mean age = 61.1; SD = 9.2) enrolled in a randomized control trial at the
Durham Veterans Affairs Medical Center. Separate multivariable regression models,
adjusted for demographic and clinical characteristics, examined the association
of each comorbidity measure with baseline PROs: pain, physical function,
depressive symptoms, fatigue, and insomnia. Comorbidity measures included the
Self-Administered Comorbidity Questionnaire (SACQ), conditions reported as
activity-limiting (SACQ-AL), and indicators of depression, diabetes,
hypertension, and back pain. Mean (SD) numbers of comorbid conditions and
activity-limiting conditions were 3.4 (1.8) and 1.6 (1.4), respectively.
Comorbidity scores (SACQ overall and SACQ-AL) and individual comorbidity
conditions were each associated with worse OA-related PROs adjusting for
demographic and clinical factors. Worse SACQ overall and SACQ-AL scores were
associated with worse mean scores for pain, depressive symptoms, fatigue, and
insomnia (p values <0.01). Additionally, increasing SACQ-AL scores were
associated with worse mean scores for function (p < 0.01). Depression was
associated with worse pain (p = 0.03), fatigue, and insomnia (p values <0.01).
Diabetes was associated with worse fatigue (p = 0.01), depressive symptoms (p =
0.02), and insomnia (p = 0.03). Back pain was associated with worse pain scores
(p = 0.02). Results provide evidence that comorbidity burden, particularly
activity-limiting conditions, is associated with worse OA-related PROs.
Interventions for patients with OA need to address comorbid conditions and their
impact on key outcomes.
PMID- 24916606
TI - Methotrexate for treating rheumatoid arthritis.
AB - BACKGROUND: Methotrexate is a folic acid antagonist widely used for the treatment
of neoplastic disorders. Methotrexate inhibits the synthesis of deoxyribonucleic
acid (DNA), ribonucleic acid (RNA) and proteins by binding to dihydrofolate
reductase. Currently, methotrexate is among the most commonly used drugs for the
treatment of rheumatoid arthritis (RA). This is an update of the previous
Cochrane systematic review published in 1997. OBJECTIVES: To evaluate short term
benefits and harms of methotrexate for treating RA compared to placebo. SEARCH
METHODS: The Cochrane Musculoskeletal Group Trials Register, The Cochrane Central
Register of Controlled Trials (CENTRAL), MEDLINE and EMBASE were searched from
1966 to 1997 and then updated to November 2013. The search was complemented with
a bibliography search of the reference lists of trials retrieved from the
electronic search. SELECTION CRITERIA: Randomized controlled trials and
controlled clinical trials comparing methotrexate (MTX) monotherapy against
placebo alone in people with RA. Any trial duration and MTX doses were included.
DATA COLLECTION AND ANALYSIS: Two review authors independently determined which
studies were eligible for inclusion, extracted data and assessed risk of bias.
Outcomes were pooled using mean differences (MDs) for continuous variables or
standardized mean differences (SMDs) when different scales were used to measure
the same outcome. Pooled risk ratio (RR) was used for dichotomous variables.
Fixed-effect models were used throughout, although random-effects models were
used for outcomes showing heterogeneity. MAIN RESULTS: Five trials with 300
patients were included in the original version of the review. An additional two
trials with 432 patients were added to the 2013 update of the review for a total
of 732 participants. The trials were generally of unclear to low risk of bias
with a follow-up duration ranging from 12 to 52 weeks. All trials included
patients who have failed prior treatment (for example, gold therapy, D
penicillamine, azathioprine or anti-malarials); mean disease duration that ranged
between 1 and 14 years with six trials reporting more than 4 years; and weekly
doses that ranged between 5 mg and 25 mg. BENEFITS: Statistically significant and
clinically important differences were observed for most efficacy outcomes. MTX
monotherapy showed a clinically important and statistically significant
improvement in the American College of Rheumatology (ACR) 50 response rate when
compared with placebo at 52 weeks (RR 3.0, 95% confidence interval (CI) 1.5 to
6.0; number needed to treat (NNT) 7, 95% CI 4 to 22). Fifteen more patients out
of 100 had a major improvement in the ACR 50 outcome compared to placebo
(absolute treatment benefit (ATB) 15%, 95% CI 8% to 23%).Statistically
significant improvement in physical function (scale of 0 to 3) was also observed
in patients receiving MTX alone compared with placebo at 12 to 52 weeks (MD
0.27, 95% CI -0.39 to -0.16; odds ratio (OR) 2.8, 95% CI 0.23 to 32.2; NNT 4, 95%
CI 3 to 7). Nine more patients out of 100 improved in physical function compared
to placebo (ATB -9%, 95% CI -13% to -5.3%). Similarly, the proportion of patients
who improved at least 20% on the Short Form-36 (SF-36) physical component was
higher in the MTX-treated group compared with placebo at 52 weeks (RR 1.5, 95% CI
1.0 to 2.1; NNT 9, 95% CI 4 to 539). Twelve more patients out of 100 showed an
improvement of at least 20% in the physical component of the quality of life
measure compared to placebo (ATB 12%, 95% CI 1% to 24%). No clinically important
or statistically significant differences were observed in the SF-36 mental
component.Although no statistically significant differences were observed in
radiographic scores (that is, Total Sharp score, erosion score, joint space
narrowing), radiographic progression rates (measured by an increase in erosion
scores of more than 3 units on a scale ranging from 0 to 448) were statistically
significantly lower for patients in the MTX group compared with placebo-treated
patients (RR 0.31, 95% CI 0.11 to 0.86; NNT 13, 95% CI 10 to 60). Eight more
patients out of 100 showed less damage to joints measured by an increase in
erosion scores compared to placebo (ATB -8%, 95% CI -16% to -1%). In the one
study measuring remission, no participants in either group met the remission
criteria. These are defined by at least five of (>= 2 months): morning stiffness
of < 15 minutes, no fatigue, no joint pain by history, no joint tenderness, no
joint swelling, and Westergren erythrocyte sedimentation rate (ESR) of < 20 mm/hr
in men and < 30 mm/hr in women. HARMS: Patients in the MTX monotherapy group were
twice as likely to discontinue from the study due to adverse events compared to
patients in the placebo group, at 12 to 52 weeks (16% versus 8%; RR 2.1, 95% CI
1.3 to 3.3; NNT 13, 95% CI 6 to 44). Compared to placebo, nine more people out of
100 who took MTX withdrew from the studies because of side effects (ATB 9%, 95%
CI 3% to 14%). Total adverse event rates at 12 weeks were higher in the MTX
monotherapy group compared to the placebo group (45% versus 15%; RR 3.0, 95% CI
1.4 to 6.4; NNT 4, 95% CI 2 to 17). Thirty more people out of 100 who took MTX
compared to those who took placebo experienced any type of side effect (common or
rare) (ATB 30, 95% CI 13% to 47%). No statistically significant differences were
observed in the total number of serious adverse events between the MTX group and
the placebo group at 27 to 52 weeks. Three people out of 100 who took MTX alone
experienced rare but serious side effects compared to 2 people out of 100 who
took a placebo (3% versus 2%, respectively). AUTHORS' CONCLUSIONS: Based on
mainly moderate to high quality evidence, methotrexate (weekly doses ranging
between 5 mg and 25 mg) showed a substantial clinical and statistically
significant benefit compared to placebo in the short term treatment (12 to 52
weeks) of people with RA, although its use was associated with a 16%
discontinuation rate due to adverse events.
PMID- 24916607
TI - Molecular simulations of beta-lactoglobulin complexed with fatty acids reveal the
structural basis of ligand affinity to internal and possible external binding
sites.
AB - The interaction of saturated fatty acids of different length (C8:0 to C18:0) with
beta-lactoglobulin (betaLG) was investigated by molecular dynamics simulation and
docking approaches. The results show that the presence of such ligands in the
hydrophobic central cavity of betaLG, known as the protein calyx, determines an
enhancement of atomic fluctuations compared with the unliganded form, especially
for loops at the entrance of the binding site. Concerted motions are evidenced
for protein regions that could favor the binding of ligands. The mechanism of
anchoring of fatty acids of different length is similar for the carboxylate head
group, through electrostatic interactions with the side chains of Lys60/Lys69.
The key protein residues to secure the hydrocarbon chain are Phe105/Met107, which
adapt their conformation upon ligand binding. In particular, Phe105 provides an
additional hydrophobic clamp only for the tail of the two fatty acids with the
longest chains, palmitic, and stearic acid, which are known to bind betaLG with a
high affinity. The search of additional external binding sites for fatty acids,
distinct from the calyx, was also carried out for palmitic acid. Two external
sites with a lower affinity were identified as secondary sites, one consisting in
a hydrophobic cavity allowing two distinct binding modes for the fatty acid, and
the other corresponding to a surface crevice close to the protein alpha-helix.
The overall results provide a comprehensive picture of the dynamical behavior of
betaLG in complex with fatty acids, and elucidate the structural basis of the
binding of these physiological ligands.
PMID- 24916608
TI - An integrative view of school functioning: transactions between self-regulation,
school engagement, and teacher-child relationship quality.
AB - This study investigates the dynamic interplay between teacher-child relationship
quality and children's behaviors across kindergarten and first grade to predict
academic competence in first grade. Using a sample of 338 ethnically diverse 5
year-old children, nested path analytic models were conducted to examine
bidirectional pathways between children's behaviors and teacher-child
relationship quality. Low self-regulation in kindergarten fall, as indexed by
inattention and impulsive behaviors, predicted more conflict with teachers in
kindergarten spring and this effect persisted into first grade. Conflict and low
self-regulation jointly predicted decreases in school engagement which in turn
predicted first-grade academic competence. Findings illustrate the importance of
considering transactions between self-regulation, teacher-child relationship
quality, and school engagement in predicting academic competence.
PMID- 24916609
TI - Relationships between lead biomarkers and diurnal salivary cortisol indices in
pregnant women from Mexico City: a cross-sectional study.
AB - BACKGROUND: Lead (Pb) exposure during pregnancy may increase the risk of adverse
maternal, infant, or childhood health outcomes by interfering with hypothalamic
pituitary-adrenal-axis function. We examined relationships between maternal blood
or bone Pb concentrations and features of diurnal cortisol profiles in 936
pregnant women from Mexico City. METHODS: From 2007-11 we recruited women from
hospitals/clinics affiliated with the Mexican Social Security System. Pb was
measured in blood (BPb) during the second trimester and in mothers' tibia and
patella 1-month postpartum. We characterized maternal HPA-axis function using 10
timed salivary cortisol measurements collected over 2-days (mean: 19.7, range: 14
35 weeks gestation). We used linear mixed models to examine the relationship
between Pb biomarkers and cortisol area under the curve (AUC), awakening response
(CAR), and diurnal slope. RESULTS: After adjustment for confounders, women in the
highest quintile of BPb concentrations had a reduced CAR (Ratio: -13%; Confidence
Interval [CI]: -24, 1, p-value for trend < 0.05) compared to women in the lowest
quintile. Tibia/patella Pb concentrations were not associated with CAR, but
diurnal cortisol slopes were suggestively flatter among women in the highest
patella Pb quantile compared to women in the lowest quantile (Ratio: 14%; CI: -2,
33). BPb and bone Pb concentrations were not associated with cortisol AUC.
CONCLUSIONS: Concurrent blood Pb levels were associated with cortisol awakening
response in these pregnant women and this might explain adverse health outcomes
associated with Pb. Further research is needed to confirm these results and
determine if other environmental chemicals disrupt hypothalamic-pituitary-adrenal
axis function during pregnancy.
PMID- 24916610
TI - Roles of miRNAs in regulating the differentiation and maturation of myeloid
derived suppressor cells.
AB - Myeloid-derived suppressor cells (MDSCs) accumulate in tumor-bearing hosts and
play an essential role in tumor-associated immunosuppression, which hampers
effective immunotherapeutic approaches. MicroRNAs (miRNAs) are short noncoding
RNAs that negatively regulate target gene expression at the posttranscriptional
level. miRNAs are involved in regulating cell proliferation, differentiation and
maturation, and abnormal expression and function of miRNAs are recognized in
various human diseases. Accumulating evidence shows that various miRNAs modulate
the development and differentiation of myeloid cells, which implies their
possible role in the differentiation of MDSCs into mature myeloid cells. Our
recent studies have found that the classical myeloid differentiation related gene
runt-related transcription factor 1 (Runx1) and target nuclear factor 1/A (NFI-A)
are modulated during the differentiation and maturation of MDSCs while six miRNAs
are found to possibly regulate these two targets by miRNA array analysis. Thus,
we hypothesize that the predicted miRNAs may modulate the target genes to
regulate the differentiation and maturation of MDSCs. Further studies will
provide a novel potential approach for tumor immunotherapy.
PMID- 24916611
TI - Extranodal Rosai-Dorfman disease involving the right atrium in a 60-year-old
male.
AB - Rosai-Dorfman disease (RDD) involving the cardiovascular system is extremely
rare; to our knowledge, there are only 9 cases in the literature. Here, a case of
a 60-year-old male with RDD involving the right atrium is presented. A
comprehensive literature review was undertaken to summarize the clinical and
pathologic features of this disorder. VIRTUAL SLIDES: The virtual slide(s) for
this article can be found here:
http://www.diagnosticpathology.diagnomx.eu/vs/2143194139120169.
PMID- 24916613
TI - A third of adults in England have "prediabetes," study says.
PMID- 24916612
TI - The effect of mechanical loading on osteogenesis of human dental pulp stromal
cells in a novel in vitro model.
AB - Tooth loss often results in alveolar bone resorption because of lack of
mechanical stimulation. Thus, the mechanism of mechanical loading on stem cell
osteogenesis is crucial for alveolar bone regeneration. We have investigated the
effect of mechanical loading on osteogenesis in human dental pulp stromal cells
(hDPSCs) in a novel in vitro model. Briefly, 1 * 10(7) hDPSCs were seeded into 1
ml 3% agarose gel in a 48-well-plate. A loading tube was then placed in the
middle of the gel to mimic tooth-chewing movement (1 Hz, 3 * 30 min per day, n =
3). A non-loading group was used as a control. At various time points, the
distribution of live/dead cells within the gel was confirmed by fluorescence
markers and confocal microscopy. The correlation and interaction between the
factors (e.g. force, time, depth and distance) were statistically analysed. The
samples were processed for histology and immunohistochemistry. After 1-3 weeks of
culture in the in-house-designed in vitro bioreactor, fluorescence imaging
confirmed that additional mechanical loading increased the viable cell numbers
over time as compared with the control. Cells of various phenotypes formed
different patterns away from the reaction tube. The cells in the middle part of
the gel showed enhanced alkaline phosphatase staining at week 1 but reduced
staining at weeks 2 and 3. Additional loading enhanced Sirius Red and type I
collagen staining compared with the control. We have thus successfully developed
a novel in-house-designed in vitro bioreactor mimicking the biting force to
enhance hDPSC osteogenesis in an agarose scaffold and to promote bone formation
and/or prevent bone resorption.
PMID- 24916614
TI - Virus-induced gene silencing in transgenic plants: transgene silencing and
reactivation associate with two patterns of transgene body methylation.
AB - We used bisulfite sequencing to study the methylation of a viral transgene whose
expression was silenced upon plum pox virus infection of the transgenic plant and
its subsequent recovery as a consequence of so-called virus-induced gene
silencing (VIGS). VIGS was associated with a general increase in the accumulation
of small RNAs corresponding to the coding region of the viral transgene. After
VIGS, the transgene promoter was not methylated and the coding region showed
uneven methylation, with the 5' end being mostly unmethylated in the recovered
tissue or mainly methylated at CG sites in regenerated silenced plants. The
methylation increased towards the 3' end, which showed dense methylation in all
three contexts (CG, CHG and CHH). This methylation pattern and the corresponding
silenced status were maintained after plant regeneration from recovered silenced
tissue and did not spread into the promoter region, but were not inherited in the
sexual offspring. Instead, a new pattern of methylation was observed in the
progeny plants consisting of disappearance of the CHH methylation, similar CHG
methylation at the 3' end, and an overall increase in CG methylation in the 5'
end. The latter epigenetic state was inherited over several generations and did
not correlate with transgene silencing and hence virus resistance. These results
suggest that the widespread CG methylation pattern found in body gene bodies
located in euchromatic regions of plant genomes may reflect an older silencing
event, and most likely these genes are no longer silenced.
PMID- 24916615
TI - Structured pharmaceutical analysis of the Systematic Tool to Reduce Inappropriate
Prescribing is an effective method for final-year medical students to improve
polypharmacy skills: a randomized controlled trial.
AB - Medical students may not be adequately trained to prescribe appropriately to
older adults with polypharmacy. This study addressed how to teach students to
minimize inappropriate polypharmacy. Final-year medical students (N = 106) from
two Dutch schools of medicine participated in this randomized controlled trial
with a pre/posttest design. The Systematic Tool to Reduce Inappropriate
Prescribing (STRIP) was used as the intervention. This medication review tool
consists of five steps and is part of the Dutch multidisciplinary guideline on
polypharmacy. Step two is a structured pharmaceutical analysis of drug use,
assessed using six questions regarding undertreatment, ineffective treatment,
overtreatment, potential adverse effects, contraindications or interactions, and
dose adjustments. It is used in combination with the Screening Tool to Alert
doctors to Right Treatment and the Screening Tool of Older Person's Prescriptions
checklists. Students were asked to optimize the medication lists of real people,
making use, or not, of the STRIP. The number of correct or potentially harmful
decisions that the students made when revising the lists was determined by
comparison with expert consensus. Students who used the STRIP had better scores
than control students; they made more correct decisions (9.3 vs 7.0, 34%; P <
.001, correlation coefficient (r) = 0.365) and fewer potentially harmful
decisions (3.9 vs 5.6, -30%; P < .001, r = 0.386). E-learning did not have a
different effect from that of non-E-learning methods. Students were satisfied
with the method. The STRIP method is effective in helping final-year medical
students improve their prescribing skills.
PMID- 24916617
TI - Editorial.
PMID- 24916616
TI - Digital pathology services in acute surgical situations.
PMID- 24916618
TI - Diagnostic criteria and the standardized diagnostic interview for posttraumatic
embitterment disorder (PTED).
AB - Objective. The posttraumatic embitterment disorder (PTED) is a specific form of
adjustment disorder. The purpose of this study was to specify diagnostic criteria
of PTED, and to develop a standardized instrument which allows a standardized
diagnosis. Method. Data were obtained from 50 clinically defined PTED patients
and 50 patients with other mental disorders (N=100) using a semi-standardized
interview for PTED. Based on the answers of the participants, the description of
PTED was refined and a standardized diagnostic interview for PTED was derived.
Results. The diagnostic algorithm reached satisfying levels of sensitivity (94%)
and specificity (92%). Conclusion. The diagnostic interview for PTED allows a
standardized diagnosis of PTED.
PMID- 24916619
TI - Experience of quality of life and attitude to care and treatment in patients with
schizophrenia: Role of cross-sectional remission.
AB - Objective. The concept of cross-sectional remission was investigated in a sample
of patients with schizophrenia. A total of 243 patients were tested for quality
of life, burden and handicap, insight and satisfaction with the care provided in
an epidemiological study. The question addressed was if remission is of
importance for outcome. Methods. Cross-sectional remission was defined by
applying the symptom criteria of remission, where none of eight selected PANSS
items should exceed 3 points. Out of 243 patients, 38% were in met cross
sectional remission. Quality of life was assessed with the MOS SF-36 and the
Rosser Index. The patients' understanding of their illness was assessed based on
symptoms and disorder insight. Finally, attitudes to care and health service were
assessed by the UKU/Consumer Satisfaction Questionnaire and the Drug Attitude
Inventory. Results. Patients who were in cross-sectional remission reported
higher quality of life and reduced burden related to the disorder, a greater
level of insight into their symptoms and the illness, and a more positive
attitude to treatment, including drugs. Conclusion. This study implies that
remission, here expressed in terms of cross-sectional remission, is of importance
for patients' well-being. Patients who had no interference from symptoms in their
daily functioning found their life better, had a superior insight and were more
positive to treatment.
PMID- 24916620
TI - Health-related quality of life in patients with conversion disorder with
seizures.
AB - Objective. The concept of Health-Related Quality of Life (HRQOL) is important for
both the prevention and progress of psychiatric diseases. In the literature, we
are aware that there are a few studies on HRQOL among patients with conversion
disorder with seizure. Our aim is to measure the HRQOL in Turkey among patients
with conversion disorder with seizure and to compare the quality of life of this
study group to a healthy control group and to different types of epileptic
patient groups. Methods. A total of 330 subjects was included in this study; 102
patients were included in the conversion disorder group, 121 patients were
included in the epileptic group and 93 subjects were included in the healthy
control group. WHOQOL-100 scale and Trait Anxiety Inventory (TAI) were
administered to these groups. Results. HRQOL for the patients with conversion
disorder was worse than the control group except for the environment and social
relations domains. The generalized epilepsy patients had better physical and
psychological health, compared with the patients with conversion disorder.
Compared with the partial epilepsy group, HRQOL for the patients with conversion
disorder was better in psychological, environmental, social relationship domains.
Conclusion. Considering these disorders, patients with conversion disorder need
long-term psychiatric treatment. Carrying out this study in our country will be
an advantage for the cross-cultural studies which will be undertaken out in the
future.
PMID- 24916621
TI - Attention and executive function in people with schizophrenia: Relationship with
social skills and quality of life.
AB - Objective. Executive function and attention are highly complex cognitive
constructs that typically reveal evidence of impairment in people with
schizophrenia. Studies in this area have traditionally utilised abstract tests of
cognitive function and the importance of using more ecologically valid tests has
not been extensively recognised. In addition, there has been little previous
examination of the relationship between these key cognitive abilities and social
functioning and quality of life in this population. Methods. Thirty-six
schizophrenic patients and 15 controls were assessed on the Behavioural
Assessment of the Dysexecutive Syndrome (BADS) test, three subtests from the Test
of Everyday Attention (TEA), a measure of social functioning and a quality of
life measure. Results. Analysis of subtest scores revealed that patients were
impaired on all attentional measures, but only one BADS subtest score in addition
to the BADS profile score. However, 23 patients demonstrated no impairment in
their BADS profile scores whilst being impaired on at least one attentional
measure. Only the BADS profile score predicted social functioning and quality of
life. Conclusions. Ecologically valid tests of attention and executive function
can play an important role in defining the cognitive deficits in schizophrenia
and how such deficits relate to social function and quality of life.
PMID- 24916622
TI - Psychosocial predictors of psychiatric disorders after living donor liver
transplantation.
AB - Objective. Adult recipients of living donor liver transplantation (LDLT) often
have psychiatric disorders before and after surgery. The aim of this study was to
investigate pretransplant psychosocial factors that can be used to predict
psychiatric disorders after LDLT. Methods. The subjects were 67 recipients of
adult-to-adult LDLT at Kyoto University Hospital, Japan, from November 2001
through July 2003. All subjects were interviewed and examined by means of the
Beck Depression Inventory, State-Trait Anxiety Inventory, World Health
Organization Quality-of-Life Assessment-26, and Psychosocial Assessment of
Candidates for Transplantation (PACT) just before LDLT. The subjects were
followed up for 90 days for the presence of psychiatric disorders. Results. Mood
disorders in the past, inability to maintain a healthy lifestyle, family support
instability, and suicidal ideation just before LDLT might be predictors of major
depressive disorder after LDLT. Delirium just before LDLT might be a predictor of
delirium after LDLT, while no psychosocial factors associated with posttransplant
delirium could be found. Conclusion. Information about life history rather than
any psychological examination is important for predicting the occurrence of
posttransplant depression. PACT is useful for obtaining information about the
life history of LDLT recipients.
PMID- 24916623
TI - Clinical characteristics of agitated psychotic patients treated with an oral
antipsychotics attended in the emergency room setting: NATURA study.
AB - Purpose. Prospective observational study to describe the clinical characteristics
of patients with acute psychosis and agitation who receive oral
psychopharmacological treatment at psychiatric emergency services (PES). Methods.
A total of 278 patients with acute psychosis and agitation were admitted to PES
and received oral psychopharmacological treatment. Diagnosis at admission,
agitation level at entry and discharge, use of mechanical restraints,
pharmacological and time to reintervention were prospectively explored. Severity
of the disease was evaluated according to the Positive and Negative Syndrome
Scale-Excitement Component (PANSS-EC), Agitation Calmness Evaluation Scale (ACES)
and Clinical Global Impression-Severity (CGI-S) at admission, before first
reintervention (if any) and at discharge from PES. Results. Most prevalent
diagnoses were schizophrenia (77%) and bipolar disorder (12.2%). Mean (SD) scores
in rating scales at baseline and at discharge were, respectively: PANSS-EC,
20.38(5.3) and 13.04 (5.5); CGI-S, 3.86(1.1) and 2.17(0.9); and ACES, 2.35(0.6)
and 3.60(1.1). A total of 21.6% (60/278) of the patients required mechanical
restraints and 38.1% (106/278) reintervention. From the emergency room, 20.5%
patients went home while 71.2% were transferred to inpatient units. Conclusion.
Clinical characteristics of psychotic agitated patients may help in deciding
which type of treatment should be used and may be useful for the design of future
prospective trials to explore treatment of agitation.
PMID- 24916624
TI - The impact of obsessive-compulsive disorder in pregnancy on quality of life.
AB - Aim. To examine the effects of obsessive-compulsive disorder (OCD) on quality of
life in pregnant women. Material and method. Twenty-five pregnant women diagnosed
as OCD in two university outpatient clinics were included for the study. Twenty
five pregnant women with no mental disorders and the same sociodemographic
properties were taken as the control group. The diagnosis of OCD was confirmed
with the DSM-IV Axis-I Disorders Structured Clinic Interview Diagnosis/Clinic
Version (SCID-I/CV). In order to measure the severity of OCD Yale-Brown Obsession
and Compulsion Scale was performed. Quality of life was evaluated by WHO (World
Health Organisation) Life Quality Scale - Short Form (WHOQOL-Brief). Results. The
whole subgroup of points of WHOQOL-Brief was significantly lower in OCD patients
compared to control group (in all subgroups P<0.01). There was a negative
correlation between the Y-BOCS obsession and compulsion subpoints and total
points with the physical health (P <0.05), psychological health (P <0.001) and
social relationship (P <0.01) of WHOQOL-Brief. No significant association was
found with enviromental areas. Besides, there was a negative correlation between
the duration of OCD and WHOQOL-Brief psychological health subarea (P <0.05).
Conclusion. OCD negatively effects the quality of life in pregnant women and is
correlated with the severity of the disorder.
PMID- 24916625
TI - Frontotemporal lobar degeneration with motor neurone disease (FTLD/MND):
Presentations in psychiatric practice.
AB - Background. Concurrence of frontotemporal lobar degeneration with clinical
features of motor neurone disease (FTLD/MND) is a recognised cause of dementia
with widely accepted diagnostic criteria, but it is not mentioned in DSM-IV-TR.
Aim. To draw attention to cases of FTLD/MND referred by psychiatrists, or already
under the care of psychiatrists at time of referral, to a dedicated Cognitive
Function Clinic. Methods. Prospective study of FTLD/MND cases, 1999-2007
inclusive; case note review. Results. Nine of 13 cases of FTLD/MND were either
referred by or already under the care of a psychiatrist. Although most had been
identified as having a dementia, in none had the correct diagnosis been made. All
patients had signs of motor neurone pathology on clinical examination, sometimes
subtle, in addition to cognitive and psychiatric features. A wide variety of
drugs had been prescribed including antidepressants, antipsychotics,
cholinesterase inhibitors, and memantine. Conclusions. A high index of clinical
suspicion is required to identify cases of possible FTLD/MND, and thereby
initiate appropriate investigations and management and avoid inappropriate
medication. Absence of FTLD/MND in DSM-IV-TR may further handicap psychiatrists
in making this diagnosis.
PMID- 24916626
TI - The bipolar diathesis of treatment-resistant major depressive disorder.
AB - Objective. In this study, we determined the prevalence of bipolarity in patients
with treatment-resistant depression (TRD) by investigating demographic and
clinical characteristics, diagnostic subtypes and illness outcome of patients
with resistant depression. Methods. A medical record review of patients who were
admitted to a university hospital with the diagnosis of major depressive disorder
(MDD) was conducted. DSM-IV diagnoses at the index hospitalization and 6 months
after discharge and detailed clinical information were obtained. We categorized
subjects into a TRD group or a non-TRD group and re-evaluated the patients using
the criteria for bipolar spectrum disorders. Results. There were 281 patients
diagnosed with MDD. At discharge, the number of patients who fulfilled the
criteria for BSD was higher in the TRD group (47.1%) than in the non-TRD group
(3.8%) (P<0.001). At the end of the 6-month follow-up period, the diagnoses of 38
patients were changed; 18 (26.5%) of the TRD group were subsequently classified
as having a bipolar disorder, as were seven (3.3%) in the non-TRD group
(P<0.001). There was no difference between these two groups for other clinical
and demographic variables. Conclusion. The findings of this study suggest that
many patients with TRD have a bipolar diathesis.
PMID- 24916627
TI - Elevated serum creatine kinase levels in psychiatric practice: differential
diagnosis and clinical significance: A brief, practical guideline for clinicians.
AB - Introduction. Elevated serum CK levels often occur in psychiatric in-patient
practice. Although the majority of cases are benign and temporary, it is
important to recognize and treat these conditions. Aims. To discuss the etiology,
the clinical significance and the management of elevated creatine kinase levels
in psychiatric in-patient practice, focusing on antipsychotic-induced
rhabdomyolysis. To compare the pathogenesis and the clinical features of
rhabdomyolysis and neuroleptic malignant syndrome. Methods. Review of the
literature. Results. A brief, practical guideline is introduced, which may help
clinicians in the differential diagnosis and in the management of patients with
elevated creatine kinase activity in emergent psychiatric practice. Conclusions.
The most common etiologic factors (prescription drugs, alcohol, physical reasons,
cardiac etiology) and clinical syndromes (rhabdomyolysis, neuroleptic malignant
syndrome, acute coronary syndrome) should be considered, when elevated creatine
kinase levels are encountered in psychiatric in-patients. Routine creatine kinase
measurements in asymptomatic patients on antipsychotic medications are not
recommended, but patients should be carefully followed for the development of
rhabdomyolysis, when muscular symptoms arise. Careful monitoring of symptoms and
potential complications is critical in order to avoid devastating clinical
consequences. Cautiously challenging patients with another antipsychotic after an
antipsychotic-induced rhabdomyolysis is recommended to decrease the possibility
of recurrence.
PMID- 24916628
TI - Gender effect on clinical features and drug treatment response in social anxiety
disorder (social phobia).
AB - Objective. To investigate differences concerning clinical and treatment response
features in male and female patients with social anxiety disorder (SOAD). Method.
Male (n=41) and female patients (n=21) with SOAD were compared with regard to age
and mode of onset; SOAD subtype; symptom severity; presence of comorbid
psychiatric conditions; and response after drug treatment. The chi(2)-test was
used for comparisons between categorical variables and Student's t-test for
continuous variables. The adopted level of statistic significance was 5%.
Results. Male patients had a higher mean age (t= -2.9; df =42; P=0.006), more
severe initial symptoms (t =-2.6; df =37.4; P =0.01) and, more often, more than
one comorbid psychiatric condition (chi(2)=10.98; df =1; P =0.001). Alcohol abuse
was more frequent in men (chi(2)=7.62; df =1; P =0.006). With regard to treatment
response, male patients displayed greater reductions in the severity of their
baseline symptoms. Patients with SOAD were randomly selected from both samples in
order to generate subgroups of 18 male and 18 female age-matched patients.
Despite controlling for age, we still found that men exhibited a trend toward
greater severity of symptoms at baseline than women with SOAD (t =-1.8; df =33.1;
P =0.07). Conclusion. The findings suggest differences concerning initial
severity and comorbidity rates between men and women suffering from SOAD.
PMID- 24916629
TI - Prescribing of the antidepressant duloxetine: Can local clinical audit findings
facilitate medicines management decisions?
AB - Introduction. Discussions regarding the inclusion of a new antidepressant within
local formulary lists and prescribing guidelines may be facilitated by audits of
local prescribing practice, conducted some months after the drug first becomes
available for clinical use. Method. Development of five audit standards and
retrospective case-note review of prescribing recommendations for the serotonin
noradrenaline reuptake inhibitor duloxetine, made in five local outpatient
clinics. Results. Follow-up data were available for audit in 27 of 43 sets of
medical notes. In the majority of patients (84%), the primary diagnosis was
recurrent unipolar depressive disorder, the current episode having proven
resistant to treatment with two other antidepressants. Where comments were made,
notes indicated that 81% of patients that received duloxetine had improved and
94% had tolerated it without reported problems. Conclusions. In this sample,
duloxetine prescribing in the first months after it became available appeared to
occur within an appropriate patient group. Prospective evaluations in other
clinical samples are warranted.
PMID- 24916630
TI - Long-term results of pneumatic dilatation for relapsing symptoms of achalasia
after Heller myotomy.
AB - BACKGROUND: The aim of this study was to assess the efficacy and safety of
pneumatic dilatation (PD) to treat symptom recurrence after Heller myotomy (HM).
METHODS: Consecutive patients receiving PD for relapsing symptoms following prior
HM were included in this retrospective single-center study. Eckardt score <=3
and/or ? Eckardt (difference between Eckardt score before and after dilatation)
>=3 defined the success of initial dilatation. Patients who maintained response
longer than 2 months after initial dilatation were defined as short-term
responders. Relapsers were offered further on-demand dilatation. Remission was
defined as an Eckardt score <=3 at the study endpoint. Kaplan-Meier survival
curves were used to determine relapse rates. KEY RESULTS: Eighteen patients (11
women, seven men) were included from January 2004 to January 2013. Ten patients
had type I achalasia, and seven had type III, according to the Chicago
classification. Thirty-nine PDs were performed (1.5 [1-2.25] per patient). All
patients had short-term responses. The remission rate at the endpoint, after a
median follow-up of 33 months, was 78%, but 44% were treated with on-demand PD
during the follow-up interval. The proportions of patients without relapse and
subsequent PD were 72% at 12 months, 65% at 24 and 36 months, and 49% at 48
months. No factors predictive of long-term response, particularly the type of
achalasia, could be identified in this series. There were no perforations.
CONCLUSIONS & INFERENCES: In treating symptom recurrence following HM, PD was
safe and effective over the long term when combined with subsequent PD.
PMID- 24916631
TI - Knowledge and perceptions about the health impact of climate change among health
sciences students in Ethiopia: a cross-sectional study.
AB - BACKGROUND: Climate change affects human health in various ways. Health planners
and policy makers are increasingly addressing potential health impacts of climate
change. Ethiopia is vulnerable to these impacts. Assessing students' knowledge,
understanding and perception about the health impact of climate change may
promote educational endeavors to increase awareness of health impacts linked to
climate change and to facilitate interventions. METHODS: A cross-sectional study
using a questionnaire was carried out among the health science students at
Haramaya University. Quantitative methods were used to analyze the results.
RESULT: Over three quarters of the students were aware of health consequences of
climate change, with slightly higher rates in females than males and a range from
60.7% (pharmacy students) to 100% (environmental health and post-graduate public
health students). Electronic mass media was reportedly the major source of
information but almost all (87.7%) students stated that their knowledge was
insufficient to fully understand the public health impacts of climate change.
Students who knew about climate change were more likely to perceive it as a
serious health threat than those who were unaware of these impacts [OR: 17.8, 95%
CI: 8.8-32.1] and also considered their departments to be concerned about climate
change (OR: 7.3, 95% CI: 2.8-18.8), a perception that was also significantly more
common among students who obtained their information from the electronic mass
media and schools (p < 0.05). Using electronic mass media was also significantly
associated with knowledge about the health impacts of climate change. CONCLUSION:
Health sciences students at Haramaya University may benefit from a more
comprehensive curriculum on climate change and its impacts on health.
PMID- 24916632
TI - Computationally efficient and accurate enantioselectivity modeling by clusters of
molecular dynamics simulations.
AB - Computational approaches could decrease the need for the laborious high
throughput experimental screening that is often required to improve enzymes by
mutagenesis. Here, we report that using multiple short molecular dynamics (MD)
simulations makes it possible to accurately model enantioselectivity for large
numbers of enzyme-substrate combinations at low computational costs. We chose
four different haloalkane dehalogenases as model systems because of the
availability of a large set of experimental data on the enantioselective
conversion of 45 different substrates. To model the enantioselectivity, we
quantified the frequency of occurrence of catalytically productive conformations
(near attack conformations) for pairs of enantiomers during MD simulations. We
found that the angle of nucleophilic attack that leads to carbon-halogen bond
cleavage was a critical variable that limited the occurrence of productive
conformations; enantiomers for which this angle reached values close to 180
degrees were preferentially converted. A cluster of 20-40 very short (10 ps) MD
simulations allowed adequate conformational sampling and resulted in much better
agreement to experimental enantioselectivities than single long MD simulations
(22 ns), while the computational costs were 50-100 fold lower. With single long
MD simulations, the dynamics of enzyme-substrate complexes remained confined to a
conformational subspace that rarely changed significantly, whereas with multiple
short MD simulations a larger diversity of conformations of enzyme-substrate
complexes was observed.
PMID- 24916633
TI - Nonunion after trapeziometacarpal arthrodesis: comparison between K-wire and
internal fixation.
AB - We reviewed 63 trapeziometacarpal arthrodeses (57 patients) performed in our unit
between April 2007 and May 2013 for osteoarthritis. K-wires, plates, headless
compression screws and memory staples were used for fixation. The average age of
patients was 50 (range 20-78) years and there were 36 men and 21 women with a
mean follow-up of 36 (range 6-62) months. K-wires were used in 31 cases, staples
in 12, plates in five, and screws in 15 joints. The overall non-union rate was
11%, however, when using K-wires for fixation, it was 20%. Union was achieved in
all cases when staples or screws were used for fixation. Disabilities of the Arm,
Shoulder and Hand scores were higher in cases where non-union occurred compared
with those that united (66.7 vs. 21.9). Trapeziometacarpal arthrodesis for
osteoarthritis gives good clinical outcome with lower (DASH) scores when union
occurs. K-wire fixation led to a 20% non-union rate, and as a result, the senior
author no longer uses this method of fixation.
PMID- 24916634
TI - The influence of education and income on responses to the QuickDASH
questionnaire.
AB - We studied the influence of levels of income and education on QuickDASH scores.
The scores were collected in a random sample of 1376 residents of Norway. The
level of income was divided into four bands and level of education into five
bands. The mean QuickDASH score for both men and women fell with every increase
in education and income level. For women the mean score was 30 for those with the
shortest education and 9 for those with the longest (p < 0.001). The
corresponding figures for men were 19 and 7 (p < 0.01). The women with the lowest
level of income had a mean score of 23, compared with 8 for women with the
highest income level (p < 0.001). For men the corresponding mean scores were 20
and 5 (p < 0.001). Analysis of variance showed that age alone accounted for 16%
of the variability of the scores among women and 7% among men. When levels of
education and income were added to the analysis, these three factors accounted
for 21% of the variability among women and 13% among men. We conclude that
socioeconomic factors significantly influence QuickDASH scores. LEVEL OF
EVIDENCE: 3.
PMID- 24916635
TI - Caudal vertebral body articular surface morphology correlates with functional
tail use in anthropoid primates.
AB - Prehensile tails, capable of suspending the entire body weight of an animal, have
evolved in parallel in New World monkeys (Platyrrhini): once in the Atelinae
(Alouatta, Ateles, Brachyteles, Lagothrix), and once in the Cebinae (Cebus,
Sapajus). Structurally, the prehensile tails of atelines and cebines share
morphological features that distinguish them from nonprehensile tails, including
longer proximal tail regions, well-developed hemal processes, robust caudal
vertebrae resistant to higher torsional and bending stresses, and caudal
musculature capable of producing higher contractile forces. The functional
significance of shape variation in the articular surfaces of caudal vertebral
bodies, however, is relatively less well understood. Given that tail use differs
considerably among prehensile and nonprehensile anthropoids, it is reasonable to
predict that caudal vertebral body articular surface area and shape will respond
to use-specific patterns of mechanical loading. We examine the potential for
intervertebral articular surface contour curvature and relative surface area to
discriminate between prehensile-tailed and nonprehensile-tailed platyrrhines and
cercopithecoids. The proximal and distal intervertebral articular surfaces of the
first (Ca1), transitional and longest caudal vertebrae were examined for
individuals representing 10 anthropoid taxa with differential patterns of tail
use. Study results reveal significant morphological differences consistent with
the functional demands of unique patterns of tail use for all vertebral elements
sampled. Prehensile-tailed platyrrhines that more frequently use their tails in
suspension (atelines) had significantly larger and more convex intervertebral
articular surfaces than all nonprehensile-tailed anthropoids examined here,
although the intervertebral articular surface contour curvatures of large,
terrestrial cercopithecoids (i.e., Papio sp.) converge on the ateline condition.
Prehensile-tailed platyrrhines that more often use their tails in tripodal
bracing postures (cebines) are morphologically intermediate between atelines and
nonprehensile tailed anthropoids.
PMID- 24916636
TI - CQC says it failed to respond adequately to concerns about care home deaths.
PMID- 24916638
TI - Good Friday slaughter.
PMID- 24916637
TI - Unusual interaction of RNA polymerase with the bacteriophage Mu middle promoter
Pm in the absence of its activator protein Mor.
AB - The bacteriophage Mu Mor activator protein is absolutely required for
transcription from the Mu middle promoter P(m). However, when RNA polymerase
(RNAP) was incubated with P(m) DNA in the absence of Mor, a band at promoter
position -51 was hypersensitive to DNase I cleavage, demonstrating an interaction
of RNAP with the promoter DNA. The hypersensitivity was similar at four different
lengths of P(m) DNA assayed from -62 to +10, -62 to +46, -96 to +10, and -96 to
+46. The hypersensitivity occurred equally well at 5 degrees C, 15 degrees C,
and 30 degrees C, indicating that it did not require open complex formation,
which only occurred at 30 degrees C. The -51 hypersensitivity at 5 degrees C
and 15 degrees C was eliminated by the addition of heparin, consistent with the
possibility that it arose by formation of unstable closed complexes of RNAP bound
to P(m) DNA. Generation of the hypersensitive band required the complete RNAP
with its alphaCTDs, but neither the alphaCTD nor intact alpha were sufficient for
the interaction and resulting hypersensitivity. There was no correlation between
the level of hypersensitivity observed in vitro and the level of Pm activity in
vivo, as assayed by the Mor-dependent production of beta-galactosidase from a
P(m)-lacZ fusion. In an "order of addition" experiment, preincubation of P(m) DNA
with Mor followed by addition of RNAP led to the fastest open complex formation,
whereas preincubation of P(m) DNA with RNAP gave the slowest. These results
support the conclusion that Mor recruits RNAP to P(m) rather than reposition a
prebound RNAP, as occurs for C-dependent repositioning of RNAP at the Mu late
promoter Pmom .
PMID- 24916639
TI - Interleukin-17 receptor expression on vascular endothelial cells of masses of
skeletal extramedullary disease in myeloma patients.
AB - The goal of the study was to investigate the expression of interleukin-17 (IL-17)
and IL-17 receptor (IL-17R) in patients with myeloma bone diseases (MBD) and
skeletal extramedullary disease (skeletal EMD). The levels of IL-17 were
determined using ELISA. The expression of IL-17R on vascular endothelial cells of
bone marrow (BM) and masses of skeletal EMD was detected using
immunohistochemistry. The results showed an elevated IL-17 level in BM of BMD and
skeletal EMD patients. The microvessel density (MVD) was significantly increased
in the masses of skeletal EMD. IL-17R was almost exclusively expressed by
endothelial cells, not by myeloma cells in the masses of skeletal EMD patients.
We concluded that EMD masses showed increased angiogenesis mediated by IL-17
pathway and in part this may help in myeloma cell-growth under these conditions.
PMID- 24916640
TI - Extra fluids for breastfeeding mothers for increasing milk production.
AB - BACKGROUND: Breastfeeding is known to be the biological norm. Despite this, many
women wean their babies because of perceived insufficient breast milk production.
Mothers are sometimes advised to increase their fluid intake in the hope that
this could improve breast milk production. The effect of extra fluid on human
breast milk production is not well established, however. OBJECTIVES: To assess
the effect of extra fluid for breastfeeding mothers on milk production/supply and
infant growth. SEARCH METHODS: We searched the Cochrane Pregnancy and Childbirth
Group's Trials Register (27 April 2014), MEDLINE (1966 to 27 April 2014), African
Journals Online (27 April 2014) and reference lists of retrieved studies.
SELECTION CRITERIA: Randomised controlled trials and quasi-randomised controlled
trials on extra fluids for breastfeeding mothers. DATA COLLECTION AND ANALYSIS:
Two review authors independently assessed the potential studies for inclusion and
assessed trial quality. MAIN RESULTS: Five trial reports were retrieved using the
search strategies. Four trials were excluded. We did not identify any randomised
controlled trials for inclusion but we included one quasi-randomised study
(involving 210 women) that evaluated the effect of extra fluid for breastfeeding
mothers on breastfeeding outcomes. The study was considered to be at a high risk
of bias. Only one of this review's primary outcomes was reported (breast milk
production (as defined by the trialist)) but data were not in a suitable format
for analysis (no standard deviations or standard errors were reported). The
trialist reported that advising women to drink extra fluids did not improve
breast milk production. No data were reported for the review's other primary
outcomes: satisfactory weight gain in the infant (as defined by the trialists)
and duration of exclusive breastfeeding (months). Similarly, no data were
reported for any of this review's secondary outcomes: duration of any
breastfeeding; mother's satisfaction with breastfeeding; hydration in mother;
dehydration in the infant; or episodes of gastrointestinal illness. AUTHORS'
CONCLUSIONS: This review only identified one small quasi-randomised controlled
trial of low quality and high risk of bias. The study provided limited data on
only one of this review's primary outcomes, breast milk production, but the data
were not reported in a format that permitted further analysis. The trialist
reported that extra fluids did not improve breast milk production. However, this
outcome was measured by using test feeds (also known as test weighing). In the
1950s, when the study was conducted, it was common for babies in developed
countries to be weighed before and after a feed, known as test weighing or test
feeding. However, this practice is not now routinely practiced for term infants
due to concerns about lack of precision as a measure of breast milk production.
The included study did not report on this review's other primary outcomes
(satisfactory weight gain in the infant or duration of exclusive breastfeeding)
nor any of the review's secondary outcomes.The effect of additional fluids for
breastfeeding mothers remains unknown, due to a lack of well-conducted trials.
However, because the physiological basis for any such improvement remains
unclear, the conduct of further clinical trials may not be a priority. There is
not enough evidence to support an increased fluid intake beyond what
breastfeeding mothers are likely to require to meet their physiological needs.
PMID- 24916641
TI - Missense variant in CCDC22 causes X-linked recessive intellectual disability with
features of Ritscher-Schinzel/3C syndrome.
AB - Ritscher-Schinzel syndrome (RSS)/3C (cranio-cerebro-cardiac) syndrome
(OMIM#220210) is a rare and clinically heterogeneous developmental disorder
characterized by intellectual disability, cerebellar brain malformations,
congenital heart defects, and craniofacial abnormalities. A recent study of a
Canadian cohort identified homozygous sequence variants in the KIAA0196 gene,
which encodes the WASH complex subunit strumpellin, as a cause for a form of
RSS/3C syndrome. We have searched for genetic causes of a phenotype similar to
RSS/3C syndrome in an Austrian family with two affected sons. To search for
disease-causing variants, whole-exome sequencing (WES) was performed on samples
from two affected male children and their parents. Before WES, CGH array
comparative genomic hybridization was applied. Validation of WES and segregation
studies was done using routine Sanger sequencing. Exome sequencing detected a
missense variant (c.1670A>G; p.(Tyr557Cys)) in exon 15 of the CCDC22 gene, which
maps to chromosome Xp11.23. Western blots of immortalized lymphoblastoid cell
lines (LCLs) from the affected individual showed decreased expression of CCDC22
and an increased expression of WASH1 but a normal expression of strumpellin and
FAM21 in the patients cells. We identified a variant in CCDC22 gene as the cause
of an X-linked phenotype similar to RSS/3C syndrome in the family described here.
A hypomorphic variant in CCDC22 was previously reported in association with a
familial case of syndromic X-linked intellectual disability, which shows
phenotypic overlap with RSS/3C syndrome. Thus, different inactivating variants
affecting CCDC22 are associated with a phenotype similar to RSS/3C syndrome.
PMID- 24916642
TI - Highly restricted deletion of the SNORD116 region is implicated in Prader-Willi
Syndrome.
AB - The SNORD116 locus lies in the 15q11-13 region of paternally expressed genes
implicated in Prader-Willi Syndrome (PWS), a complex disease accompanied by
obesity and severe neurobehavioural disturbances. Cases of PWS patients with a
deletion encompassing the SNORD116 gene cluster, but preserving the expression of
flanking genes, have been described. We report a 23-year-old woman who presented
clinical criteria of PWS, including the behavioural and nutritional features,
obesity, developmental delay and endocrine dysfunctions with hyperghrelinemia. We
found a paternally transmitted highly restricted deletion of the SNORD116 gene
cluster, the shortest described to date (118 kb). This deletion was also present
in the father. This finding in a human case strongly supports the current
hypothesis that lack of the paternal SNORD116 gene cluster has a determinant role
in the pathogenesis of PWS. Moreover, targeted analysis of the SNORD116 gene
cluster, complementary to SNRPN methylation analysis, should be carried out in
subjects with a phenotype suggestive of PWS.
PMID- 24916643
TI - Impact on parents of HLA-DQ2/DQ8 genotyping in healthy children from coeliac
families.
AB - Due to the association of coeliac disease and HLA-specificities DQ2 and DQ8, HLA
typing can be used for risk determination of the disease. This study was designed
to evaluate the knowledge of parents from coeliac families regarding HLA-typing
and the impact of HLA-typing on the perception of the health of their children. A
structured questionnaire was sent to the Dutch, Spanish and German parents
participating with their child in the European PreventCD study on disease
prevention in high-risk families, addressing parents' understanding of and
attitude towards HLA-typing, distress related to HLA-typing and perceived health
and health-related quality of life of their children. Sixty-eight percent of
parents of 515 children returned the questionnaires, with 85% of children being
DQ2/DQ8 positive. The majority of all parents answered the questions on knowledge
correctly. Forty-eight percent of parents of DQ2/DQ8-negative children thought
their child could develop coeliac disease. More distress was reported by parents
of DQ2/DQ8-positive children (P<0.001). All parents showed few regrets and would
repeat HLA-typing in future children. Perceived health and health-related quality
of life were similar. In conclusion, we can say that misinterpretation of DQ2/DQ8
negative results by parents is frequent. DQ2/DQ8-positive results do not affect
perceived health and health-related quality of life of children but may cause
temporary negative feelings among parents. Parents of coeliac families seem to
support HLA-typing.
PMID- 24916644
TI - The role of the genetic counsellor: a systematic review of research evidence.
AB - In Europe, genetic counsellors are employed in specialist genetic centres or
other specialist units. According to the European Board of Medical Genetics, the
genetic counsellor must fulfil a range of roles, including provision of
information and facilitation of psychosocial adjustment of the client to their
genetic status and situation. To evaluate the extent to which genetic counsellors
fulfil their prescribed roles, we conducted a systematic review of the published
relevant scientific evidence. We searched five relevant electronic databases
(Medline, CINAHL, SocIndex, AMED and PsychInfo) using relevant search terms and
handsearched four subject-specific journals for research-based papers published
in English between 1 January 2000 and 30 June 2013. Of 419 potential papers
identified initially, seven satisfied the inclusion criteria for the review.
Themes derived from the thematic analysis of the data were: (i) rationale for
genetic counsellors to provide care, (ii) appropriate roles and responsibilities
and (iii) the types of conditions included in the genetic counsellor caseload.
The findings of this systematic review indicate that where genetic counsellors
are utilised in specialist genetic settings, they undertake a significant
workload associated with direct patient care and this appears to be acceptable to
patients. With the burden on genetic services, there is an argument for the
increased use of genetic counsellors in countries where they are under-utilised.
In addition, roles undertaken by genetic counsellors in specialist genetic
settings could be adapted to integrate genetic counsellors into multi
disciplinary teams in other specialisms.
PMID- 24916646
TI - Sandwich corrected standard errors in family-based genome-wide association
studies.
AB - Given the availability of genotype and phenotype data collected in family
members, the question arises which estimator ensures the most optimal use of such
data in genome-wide scans. Using simulations, we compared the Unweighted Least
Squares (ULS) and Maximum Likelihood (ML) procedures. The former is implemented
in Plink and uses a sandwich correction to correct the standard errors for model
misspecification of ignoring the clustering. The latter is implemented by fast
linear mixed procedures and models explicitly the familial resemblance. However,
as it commits to a background model limited to additive genetic and unshared
environmental effects, it employs a misspecified model for traits with a shared
environmental component. We considered the performance of the two procedures in
terms of type I and type II error rates, with correct and incorrect model
specification in ML. For traits characterized by moderate to large familial
resemblance, using an ML procedure with a correctly specified model for the
conditional familial covariance matrix should be the strategy of choice. The
potential loss in power encountered by the sandwich corrected ULS procedure does
not outweigh its computational convenience. Furthermore, the ML procedure was
quite robust under model misspecification in the simulated settings and
appreciably more powerful than the sandwich corrected ULS procedure. However, to
correct for the effects of model misspecification in ML in circumstances other
than those considered here, we propose to use a sandwich correction. We show that
the sandwich correction can be formulated in terms of the fast ML method.
PMID- 24916645
TI - GluD1 is a common altered player in neuronal differentiation from both MECP2
mutated and CDKL5-mutated iPS cells.
AB - Rett syndrome is a monogenic disease due to de novo mutations in either MECP2 or
CDKL5 genes. In spite of their involvement in the same disease, a functional
interaction between the two genes has not been proven. MeCP2 is a transcriptional
regulator; CDKL5 encodes for a kinase protein that might be involved in the
regulation of gene expression. Therefore, we hypothesized that mutations
affecting the two genes may lead to similar phenotypes by dysregulating the
expression of common genes. To test this hypothesis we used induced pluripotent
stem (iPS) cells derived from fibroblasts of one Rett patient with a MECP2
mutation (p.Arg306Cys) and two patients with mutations in CDKL5 (p.Gln347Ter and
p.Thr288Ile). Expression profiling was performed in CDKL5-mutated cells and genes
of interest were confirmed by real-time RT-PCR in both CDKL5- and MECP2-mutated
cells. The only major change in gene expression common to MECP2- and CDKL5
mutated cells was for GRID1, encoding for glutamate D1 receptor (GluD1), a member
of the delta-family of ionotropic glutamate receptors. GluD1 does not form AMPA
or NMDA glutamate receptors. It acts like an adhesion molecule by linking the
postsynaptic and presynaptic compartments, preferentially inducing the inhibitory
presynaptic differentiation of cortical neurons. Our results demonstrate that
GRID1 expression is downregulated in both MECP2- and CDKL5-mutated iPS cells and
upregulated in neuronal precursors and mature neurons. These data provide novel
insights into disease pathophysiology and identify possible new targets for
therapeutic treatment of Rett syndrome.
PMID- 24916647
TI - To disclose, or not to disclose? Context matters.
AB - Progress in understanding childhood disease using next-generation sequencing
(NGS) portends vast improvements in the nature and quality of patient care.
However, ethical questions surrounding the disclosure of incidental findings
(IFs) persist, as NGS and other novel genomic technologies become the preferred
tool for clinical genetic testing. Thus, the need for comprehensive management
plans and multidisciplinary discussion on the return of IFs in pediatric research
has never been more immediate. The aim of this study is to explore the views of
investigators concerning the return of IFs in the pediatric oncology research
context. Our findings reveal at least four contextual themes underlying the
ethics of when, and how, IFs could be disclosed to participants and their
families: clinical significance of the result, respect for individual, scope of
professional responsibilities, and implications for the healthcare/research
system. Moreover, the study proposes two action items toward anticipatory
governance of IF in genetic research with children. The need to recognize the
multiplicity of contextual factors in determining IF disclosure practices,
particularly as NGS increasingly becomes a centerpiece in genetic research
broadly, is heightened when children are involved. Sober thought should be given
to the possibility of discovering IF, and to proactive discussions about
disclosure considering the realities of young participants, their families, and
the investigators who recruit them.
PMID- 24916648
TI - A genome-wide association study identifies PLCL2 and AP3D1-DOT1L-SF3A2 as new
susceptibility loci for myocardial infarction in Japanese.
AB - Despite considerable progress in preventive and therapeutic strategies,
myocardial infarction (MI) is one of the leading causes of death throughout the
world. A total of 55 susceptibility genes have been identified mostly in European
genome-wide association studies (GWAS). Nevertheless, large-scale GWAS from other
population could possibly find additional susceptibility loci. To identify as
many MI susceptibility loci as possible, we performed a large-scale genomic
analysis in Japanese population. To identify MI susceptibility loci in Japanese,
we conducted a GWAS using 1666 cases and 3198 controls using the Illumina
Human610-Quad BeadChip and HumanHap550v3 Genotyping BeadChip. We performed
replication studies using a total of 11,412 cases and 28,397 controls in the
Japanese population. Our study identified two novel susceptibility loci for MI:
PLCL2 on chromosome 3p24.3 (rs4618210:A>G, P = 2.60 * 10(-9), odds ratio (OR) =
0.91) and AP3D1-DOT1L-SF3A2 on chromosome 19p13.3 (rs3803915:A>C, P = 3.84 * 10(
9), OR = 0.89). Besides, a total of 14 previously reported MI susceptibility loci
were replicated in our study. In particular, we validated a strong association on
chromosome 12q24 (rs3782886:A>G: P = 1.14 * 10(-14), OR = 1.46). Following
pathway analysis using 265 genes related to MI or coronary artery disease, we
found that these loci might be involved in the pathogenesis of MI via the
promotion of atherosclerosis. In the present large-scale genomic analysis, we
identified PLCL2 and AP3D1-DOT1L-SF3A2 as new susceptibility loci for MI in the
Japanese population. Our findings will add novel findings for MI susceptibility
loci.
PMID- 24916649
TI - Willingness to pay for genetic testing for inherited retinal disease.
AB - This paper investigates the willingness of adults with inherited retinal disease
to undergo and pay for diagnostic genetic testing in three hypothetical scenarios
and to explore the factors that influence decision making. Fifty patients were
presented with three scenarios whereby genetic testing provided increasing
information: confirming the diagnosis and inheritance pattern alone, providing
additional information on future visual function, and identifying in addition a
new treatment which could stabilise their condition. Willingness to pay (WTP) was
elicited using an iterative bidding game. Regression analysis was used to
investigate the probability of agreeing to and paying for testing. Qualitative
data were also reviewed to provide a comprehensive understanding of WTP and
decision making. The majority of participants agreed to undergo genetic testing
in each of the three scenarios. Scenario 2 was the least acceptable with 78% of
participants agreeing to genetic testing. The probability of agreeing to genetic
testing decreased with age. Between 72 and 96% of participants reported a WTP for
genetic testing. Average WTP was L539, L1516, and L6895 for scenarios 1, 2, and 3
respectively. Older participants and participants with higher incomes were
willing to pay more for testing. Qualitative data provided additional detail
about the rationale behind participants' decisions. The study suggests that
patients with inherited retinal disease were willing to undergo and to pay for
diagnostic genetic testing, suggesting that they valued the information it may
provide. However, several patients preferred not to receive prognostic
information and were less willing to pay for genetic testing that yielded such
detail.
PMID- 24916653
TI - A new ultrafast superionic Li-conductor: ion dynamics in Li11Si2PS12 and
comparison with other tetragonal LGPS-type electrolytes.
AB - We report on a new ultrafast solid electrolyte of the composition Li11Si2PS12,
which exhibits a higher room-temperature Li ion diffusivity than the present
record holder Li10GeP2S12. We discuss the high-pressure synthesis and ion
dynamics of tetragonal Li11Si2PS12, and comparison is made with our
investigations of related members of the LMePS family, i.e. electrolytes of the
general formula Li11-xMe2-xP1+xS12 with Me = Ge, Sn : Li10GeP2S12, Li7GePS8,
Li10SnP2S12. The structure and dynamics were studied with multiple complementary
techniques and the macroscopic diffusion could be traced back to fast Li ion
hopping in the crystalline lattice. A clear correlation between the diffusivity
and the unit cell volume of the LGPS-type electrolytes was observed.
PMID- 24916651
TI - Effect of epidural methylprednisolone on post-operative pain and length of
hospital stay in patients undergoing lumbar microdiscectomy.
AB - BACKGROUND & AIM: Intraoperative epidural corticosteroids have been used to
decrease post-operative pain post-discectomy. The objective of this study is to
assess the efficacy of epidural corticosteroids on post-operative pain and length
of post-operative hospital stay in patients undergoing unilateral lumbar
microdiscectomy. METHODS: 150 consecutively treated, comparable patients with
unilateral lumbar disc herniation were prospectively allocated randomly to
receive either a sponge soaked in epidural corticosteroids or saline at the end
of the operative procedure. The intensity of spontaneous pain was quantified by
using the Oswestry low back pain index pre-operatively, at discharge, at week 1
follow-up and at 1st month of follow up. At the same intervals, each patient
underwent the passive straight leg-raising test (PSLRT) and Visual Analogue Scale
(VAS) testing. The duration of hospital stay, time taken to return to daily life
activities and quantity of analgesia consumed post-operatively were also
recorded. RESULTS: The mean hospital stay was 1.3 +/- 0.9 days in the
corticosteroids group (group 1) compared to 3.2 +/- 1.2 in the control group
(group 2). The mean interval until return to daily life activities was 6.7 +/-
2.1 days in group 1 versus 9.6 +/- 4.1 days in group 2. No statistically
significant difference was measured between the steroid-treated and control
groups when the data were stratified for sex, age, and site of disc herniation.
Differences in the OLBI scores were statistically significant at all post
operative intervals. At baseline (preoperatively), group 1 (DepoMedrolTM group)
had an average score of 72.3% (+/-2.6%) compared to 74.6% (+/-3.1%) in group 2
(Control group) (P = 0.45). At discharge, OLBI scores declined to 49.7% (+/-4.5%)
in group 1 compared to 63.5% (+/-3.9%) in group 2 (P = 0.034). At week 1 follow
up, OLBI scores further declined to 41.3% (+/-2.9%) in group 1 versus 54.2% (+/
5.3%) in group 2 (P = 0.014). After one month of follow-up, OLBI scores were
34.1% (+/-6.7%) in group 1 and 42.6% (+/-4.1%) in group 2 (P = 0.004). Results of
VAS and PSLRT are also documented in the manuscript. The mean postoperative
analgesic medications consumed was 15.6 +/- 1.9 mg of morphine equivalent in the
corticosteroid group versus 10.3 +/- 1.8 mg of morphine equivalent in the control
group. No complications of treatment occurred in either groups. CONCLUSION:
Intraoperative application of epidural corticosteroids, Depomedrol, significantly
reduces post-operative pain, length of post-operative stay and duration to return
to daily living activities following lumbar discectomy.
PMID- 24916652
TI - N-staging of oesophageal and junctional carcinoma: is there still a role for EUS
in patients staged N0 at PET/CT?
AB - AIM: To assess whether separate endoscopic ultrasound (EUS) lymph node (N)
staging is still of prognostic value in those staged node negative (N0) at
combined positron-emission tomography/computed tomography (PET/CT) in patients
with oesophageal cancer (OC). MATERIALS AND METHODS: One hundred and seventeen
consecutive patients [median age 67 years; 88 male; 98 cases of adenocarcinoma,
19 cases of squamous cell carcinoma (SCC)] staged as N0 at PET/CT underwent EUS
to record tumour (T)- and N-stage. The patients were subsequently separated into
two groups: EUS N0 (n = 78) and EUS N+ (n = 39). Survival analysis using Kaplan
Meier and Cox's proportional hazard methods was performed. Primary outcome was
overall survival from diagnosis. RESULTS: EUS N-stage and EUS N0 versus EUS N+ (p
= 0.005 and p = 0.001, respectively) were found to be significantly and
independently associated with survival in two models of multivariate analysis, in
patients staged N0 at PET/CT. EUS T-stage was significantly associated with
survival on univariate analysis. CONCLUSION: EUS N-staging still has prognostic
value in patients staged N0 at PET/CT. There is a significant difference in
survival between EUS N0 and positive nodal EUS status in those staged N0 at
PET/CT, suggesting PET/CT is unreliable for local staging. PET/CT and EUS
continue to have complimentary roles in OC staging.
PMID- 24916650
TI - Identifying genetic risk variants for coronary heart disease in familial
hypercholesterolemia: an extreme genetics approach.
AB - Mutations in the low-density lipoprotein receptor (LDLR) gene cause familial
hypercholesterolemia (FH), a disorder characterized by coronary heart disease
(CHD) at young age. We aimed to apply an extreme sampling method to enhance the
statistical power to identify novel genetic risk variants for CHD in individuals
with FH. We selected cases and controls with an extreme contrast in CHD risk from
17,000 FH patients from the Netherlands, whose functional LDLR mutation was
unequivocally established. The genome-wide association (GWA) study was performed
on 249 very young FH cases with CHD and 217 old FH controls without CHD (above 65
years for males and 70 years of age for females) using the Illumina HumanHap550K
chip. In the next stage, two independent samples (one from the Netherlands and
one from Italy, Norway, Spain, and the United Kingdom) of FH patients were used
as replication samples. In the initial GWA analysis, we identified 29 independent
single nucleotide polymorphisms (SNPs) with suggestive associations with
premature CHD (P<1 * 10(-4)). We examined the association of these SNPs with CHD
risk in the replication samples. After Bonferroni correction, none of the SNPs
either replicated or reached genome-wide significance after combining the
discovery and replication samples. Therefore, we conclude that the genetics of
CHD risk in FH is complex and even applying an 'extreme genetics' approach we did
not identify new genetic risk variants. Most likely, this method is not as
effective in leveraging effect size as anticipated, and may, therefore, not lead
to significant gains in statistical power.
PMID- 24916654
TI - Application and recovery of ionic liquids in the preparative separation of four
flavonoids from Rhodiola rosea by on-line three-dimensional liquid
chromatography.
AB - A novel on-line three-dimensional liquid chromatography method was developed to
separate four main flavonoids from Rhodiola rosea. Ethyl acetate/0.5 mol/L ionic
liquid 1-butyl-3-methylimidazolium chloride aqueous solution was selected as the
solvent system. In the first-dimension separation, the target flavonoids were
entrapped and subsequently desorbed into the second-dimension high-speed
countercurrent chromatographic column for separation. In the third-dimension
chromatography, the residual ionic liquid in the four separated flavonoids was
removed and the used ionic liquid was recovered. As a result, 35.1 mg of compound
1, 20.4 mg of compound 2, 8.5 mg of compound 3, and 10.6 mg of compound 4 were
obtained from 1.53 g R. rosea extract. They were identified as rhodiosin,
rhodionin, herbacetin, and kaempferol, respectively. The recovery of ionic liquid
reached 99.1% of the initial amount. The results showed that this method is a
powerful technology for the separation of R. rosea flavonoids and that the ionic
liquid-based solvent system has advantages over traditional solvent systems in
renewable and environmentally friendly properties.
PMID- 24916655
TI - Expression of Wnt5a during development of anorectal malformations in a rat model
of prenatal exposure to di(n-butyl) phthalate.
AB - Mounting evidence has indicated the crucial role of Wnt5a in the embryonic
development including guts. However, the Wnt5a involvement in the process of
anorectal malformations (ARMs) remains unclear. In this study, we examined the
expression of Wnt5a during ARMs development in the offspring of di(n-butyl)
phthalate (DBP)-treated pregnant rats. During the neonatal period, Wnt5a
expression was evaluated in the terminal rectum of ARM offspring, non-ARM
littermates and controls. Using real-time polymerase chain reaction (real-time
PCR), western-blot analysis and immunohistochemistry approaches, we found a
significant decrease of Wnt5a expression in DBP-induced ARMs rats. Collectively,
our results demonstrate the aberrant expression of Wnt5a during anorectal
development, which suggests that Wnt5a might be involved in DBP-induced ARMs.
PMID- 24916656
TI - On how many fundamental kinds of cells are present on Earth: looking for
phylogenetic traits that would allow the identification of the primary lines of
descent.
AB - The phylogenetic analyses as far as the identification of the number of domains
of life is concerned have not reached a clear conclusion. In the attempt to
improve this circumstance, I introduce the concept that the amino acids codified
in the genetic code might be of markers with outstanding phylogenetic power. In
particular, I hypothesise the existence of a biosphere populated, for instance,
by three groups of organisms having different genetic codes because codifying at
least a different amino acid. Evidently, these amino acids would mark the
proteins that are present in the three groups of organisms in an unambiguous way.
Therefore, in essence, this mark would not be other than the one that we usually
try to make in the phylogenetic analyses in which we transform the protein
sequences in phylogenetic trees, for the purpose to identify, for example, the
domains of life. Indeed, this mark would allow to classify proteins without
performing phylogenetic analyses because proteins belonging to a group of
organisms would be recognisable as marked in a natural way by at least a
different amino acid among the diverse groups of organisms. This
conceptualisation answers the question of how many fundamental kinds of cells
have evolved from the Last Universal Common Ancestor (LUCA), as the genetic code
has unique proprieties that make the codified amino acids excellent phylogenetic
markers. The presence of the formyl-methionine only in proteins of bacteria would
mark them and would identify these as domain of life. On the other hand, the
presence of pyrrolysine in the genetic code of the euryarchaeota would identify
them such as another fundamental kind of cell evolved from the LUCA. Overall, the
phylogenetic distribution of formyl-methionine and pyrrolysine would identify at
least two domains of life--Bacteria and Archaea--but their number might be
actually four; that is to say, Bacteria, Euryarchaeota, archeobacteria that are
not euryarchaeota and Eukarya. The usually accepted domains of life represented
by Bacteria, Archaea and Eukarya are not compatible with the phylogenetic
distribution of these two amino acids and therefore this last classification
might be mistaken.
PMID- 24916657
TI - Genetic code evolution started with the incorporation of glycine, followed by
other small hydrophilic amino acids.
AB - We propose that glycine was the first amino acid to be incorporated into the
genetic code, followed by serine, aspartic and/or glutamic acid-small hydrophilic
amino acids that all have codons in the bottom right-hand corner of the standard
genetic code table. Because primordial ribosomal synthesis is presumed to have
been rudimentary, this stage would have been characterized by the synthesis of
short, water-soluble peptides, the first of which would have comprised
polyglycine. Evolution of the code is proposed to have occurred by the
duplication and mutation of tRNA sequences, which produced a radiation of codon
assignment outwards from the bottom right-hand corner. As a result of this
expansion, we propose a trend from small hydrophilic to hydrophobic amino acids,
with selection for longer polypeptides requiring a hydrophobic core for folding
and stability driving the incorporation of hydrophobic amino acids into the code.
PMID- 24916658
TI - Rural and urban disparities in quality of life and health-related behaviors among
chronically ill patients.
AB - INTRODUCTION: The purpose of this study was to describe rural and urban
disparities in quality of life and health-related behaviors among chronically ill
patients. Additionally, effects of health status and health-related behaviors on
healthcare utilization were investigated. METHODS: The study included 1239
chronically ill patients from primary care centers in rural areas (eligible
patients; response rate: 62.0%). The analyzed group was compared with the urban
group, homogeneous in respect of gender and age (n=1886). Quality of life
(QoL) was measured using the World Health Organization Quality of Life Instrument
Short Form (WHOQoL-Bref). The authors also used the Health Behavior Inventory
(HBI). RESULTS: Only the results in Domain 4 (Environmental) were higher among
patients from rural areas (13.6 vs 13.4, p=0.015) within the WHOQoL-Bref.
Differences between rural and urban areas in the contributors to lower QoL
referred to such variables as gender, height, body mass, BMI and level of
healthcare utilization. Results of HBI were higher in patients from urban areas
(85.0 vs 83.1, p<0.001). The differences in factors potentially
contributing to lower levels of health behavior concerned gender, age, height,
body mass, BMI, having a partner, number of chronic diseases and level of
healthcare utilization. The authors observed among the rural patients fewer
visits of district nurses (12.1 vs 15.3, p=0.003). Odds ratio (OR) for QoL
in the case of place of residence (rural vs urban) was OR=1.341 (95% CI: 1.067
1.687). CONCLUSIONS: Higher quality of life may reduce the level of healthcare
utilization among residents of rural and urban areas. Educational programs,
promoting health behaviors, should be primarily addressed to rural patients, men,
younger individuals, patients with a lower number of chronic diseases, and those
using healthcare services less often.
PMID- 24916659
TI - The methodological rigor of anticipatory bioethics.
PMID- 24916660
TI - Informants a potential threat to confidentiality in small studies.
AB - Providing proper protection of confidentiality, by preventing personal data from
falling into the hands of third parties, is one of the core responsibilities of
researchers towards research participants. However, even if researchers do their
best in this regard, it does not guarantee that breaches of confidentiality will
be avoided. This paper addresses the case of small qualitative studies, arguing
that researchers cannot guarantee their informants' confidentiality, since that
confidentiality may be compromised by actions taken by the informants themselves.
In order to reduce the risk of this specific threat to confidentiality,
additional precautions need to be taken. Some potential solutions to the problem
are suggested and discussed.
PMID- 24916662
TI - Discovering functional DNA elements using population genomic information: a proof
of concept using human mtDNA.
AB - Identifying the complete set of functional elements within the human genome would
be a windfall for multiple areas of biological research including medicine,
molecular biology, and evolution. Complete knowledge of function would aid in the
prioritization of loci when searching for the genetic bases of disease or
adaptive phenotypes. Because mutations that disrupt function are disfavored by
natural selection, purifying selection leaves a detectable signature within
functional elements; accordingly, this signal has been exploited for over a
decade through the use of genomic comparisons of distantly related species. While
this is so, the functional complement of the genome changes extensively across
time and between lineages; therefore, evidence of the current action of purifying
selection in humans is essential. Because the removal of deleterious mutations by
natural selection also reduces within-species genetic diversity within functional
loci, dense population genetic data have the potential to reveal genomic elements
that are currently functional. Here, we assess the potential of this approach by
examining an ultradeep sample of human mitochondrial genomes (n = 16,411). We
show that the high density of polymorphism in this data set precisely delineates
regions experiencing purifying selection. Furthermore, we show that the number of
segregating alleles at a site is strongly correlated with its divergence across
species after accounting for known mutational biases in human mitochondrial DNA
(rho = 0.51; P < 2.2 * 10(-16)). These two measures track one another at a
remarkably fine scale across many loci-a correlation that is purely the result of
natural selection. Our results demonstrate that genetic variation has the
potential to reveal with surprising precision which regions in the genome are
currently performing important functions and likely to have deleterious fitness
effects when mutated. As more complete human genomes are sequenced, similar power
to reveal purifying selection may be achievable in the human nuclear genome.
PMID- 24916661
TI - Evidence for soft selective sweeps in the evolution of pneumococcal multidrug
resistance and vaccine escape.
AB - The multidrug-resistant Streptococcus pneumoniae Taiwan(19F)-14, or PMEN14, clone
was first observed with a 19F serotype, which is targeted by the heptavalent
polysaccharide conjugate vaccine (PCV7). However, "vaccine escape" PMEN14
isolates with a 19A serotype became an increasingly important cause of disease
post-PCV7. Whole genome sequencing was used to characterize the recent evolution
of 173 pneumococci of, or related to, PMEN14. This suggested that PMEN14 is a
single lineage that originated in the late 1980s in parallel with the acquisition
of multiple resistances by close relatives. One of the four detected serotype
switches to 19A generated representatives of the sequence type (ST) 320 isolates
that have been highly successful post-PCV7. A second produced an ST236 19A
genotype with reduced resistance to beta-lactams owing to alteration of pbp1a and
pbp2x sequences through the same recombination that caused the change in
serotype. A third, which generated a mosaic capsule biosynthesis locus, resulted
in serotype 19A ST271 isolates. The rapid diversification through homologous
recombination seen in the global collection was similarly observed in the absence
of vaccination in a set of isolates from the Maela refugee camp in Thailand, a
collection that also allowed variation to be observed within carriage through
longitudinal sampling. This suggests that some pneumococcal genotypes generate a
pool of standing variation that is sufficiently extensive to result in "soft"
selective sweeps: The emergence of multiple mutants in parallel upon a change in
selection pressure, such as vaccine introduction. The subsequent competition
between these mutants makes this phenomenon difficult to detect without deep
sampling of individual lineages.
PMID- 24916663
TI - Israel Medical Association fights to stop legalisation of force feeding prisoners
on hunger strike.
PMID- 24916664
TI - Clinical considerations for working with patients with advanced cancer.
AB - Advanced cancer is a life-limiting condition, but improvements in medical care
are contributing to longer survival among some patients. As a result, it is
likely that mental health professionals will be called upon to assist more
patients with advanced cancer. The present paper reviews the psychological
literature and from it draws clinical considerations for working with individuals
affected by advanced cancer. It begins with a brief description of advanced
cancer and the medical attributes of an advanced cancer diagnosis, and then
catalogues salient medical, psychological, existential, and interpersonal
challenges faced by this patient population. The review concludes with
recommendations for treatment planning including an overview of some of the more
recently tested and widely available interventions. It is hoped that this review
will serve as a resource for professionals working with patients affected by
advanced cancer.
PMID- 24916665
TI - Multidisciplinary rehabilitation for chronic widespread musculoskeletal pain:
results from daily practice.
AB - INTRODUCTION: Evidence for the efficacy of a multi-component approach for chronic
widespread musculoskeletal pain (CWP) has been reported, although the effects are
overall moderate and this approach has rarely been investigated in real life.
AIM: The aim of the study was to describe the effects of a 15-week
multidisciplinary pain rehabilitation programme on pain, activities and
participation in patients with CWP. METHODS: The current retrospective study used
data which were routinely gathered on all consecutive patients with CWP referred
to a rehabilitation programme over a 21-month period. The 15-week
multidisciplinary rehabilitation programme consisted of cognitive behavioural
therapy and exercise, as well as individual and group sessions with additional
treatment modalities. Assessments included the Pain Disability Index (PDI), the
Pain Catastrophizing Scale (PCS), the Multidimensional Pain Inventory (MPI),
numerical scales for pain and fatigue, the Canadian Occupational Performance
Measure (COPM), the one-minute stair-climb test and the RAND-36. Paired t-tests
and Wilcoxon signed-rank tests were carried out to analyse changes over time.
RESULTS: A total of 165 patients were included [mean age 44.1 (standard deviation
12.9) years], 143 (87%) women). Discharge data were available for 154 patients
(93%). All outcomes showed statistically significant improvements between
admission and discharge (p<0.05), with the largest effect sizes (>1.0) observed
for the COPM. A longer duration of complaints was associated with less
improvement in the PDI. DISCUSSION AND CONCLUSION: In daily rehabilitation
practice, a 15-week multidisciplinary treatment programme for patients with CWP
showed statistically significant improvements in pain, activities and
participation over time. Future studies are needed further to substantiate the
long-term cost-effectiveness, and to identify the patients who benefit the most.
PMID- 24916666
TI - Association between the oxytocin receptor (OXTR) gene and children's social
cognition at 18 months.
AB - At 18 months, children engage in a variety of social behaviors that reflect their
nascent ability to understand the intentions of other people (e.g. joint
attention, empathy, cooperation and self-recognition). Although numerous
contextual factors have been shown to predict social cognition in young children,
the genetic underpinnings of social-cognitive traits has been understudied in
this age group. Owing to the known effects of oxytocin on adult social cognition
and psychopathology, this study hypothesized that variability in the oxytocin
receptor gene (OXTR) would be associated with social cognition in children at 18
months. Participants consisted of 350 children (182 males; 168 females) who were
part of an ongoing longitudinal study that aimed to assess environmental and
genetic contributions to children's cognitive and socio-emotional functioning. At
18 months, social cognition was measured using previously validated and
developmentally sensitive tasks assessing children's joint attention, empathy,
cooperation and self-recognition. Five potentially functional OXTR variants were
genotyped: rs1042778, rs2254298, rs11131149, rs237897 and rs237899. A family
based association design was used to control for population admixture and
stratification, and additional non-genomic covariates were controlled. Results
showed that variability in rs11131149 was significantly associated with social
cognition (P=0.009), with more copies of the major allele related to higher
social cognition, and more copies of the minor (risk) allele associated with
lower social cognition. A haplotype consisting of rs11131149-rs2254298 was also
associated with social cognition (P=0.020). Implications for normative and
pathological development are discussed, and key areas for future research are
proposed.
PMID- 24916667
TI - LncRNA-regulated infection and inflammation pathways associated with pregnancy
loss: genome wide differential expression of lncRNAs in early spontaneous
abortion.
AB - PROBLEM: Spontaneous abortion (SA) occurs before 20 gestational weeks.
Approximately, half of recurrent SA has no identifiable cause. No report has yet
been investigated the possible involvement of lncRNA in pregnancy loss. METHOD OF
STUDY: Sixteen pairs of pregnancies with spontaneous abortions (SA) and induced
abortions (IA) were studied. Embryonic sacs and decidua were collected for each
pregnancy. A Human LncRNA Array was employed to profile genomewide lncRNAs, which
were then validated by RT-PCR. RESULTS: Differentially expressed lncRNAs were
identified. Biological pathways were categorized into six major groups: infection
and inflammation, metabolism, signaling and transcriptional regulation, smooth
muscle contraction, cell process, and coagulation. CONCLUSIONS: Infection and
inflammation pathways regulated by lncRNAs were determined as the predominant
pathogenetic factors underlying the SA. Finding that antisense lncRNAs have been
either up- or down-regulated suggests that they may have both cis- and trans
regulations.
PMID- 24916668
TI - Expression analysis of Bombyx mori bidensovirus structural proteins and assembly
of virus-like particles in insect cells.
AB - Bombyx mori bidensovirus (BmBDV) is a new designated species of the new genus
Bidensovirus in the new family Bidnaviridae, which contains two single-stranded
linear DNAs (VD1 and VD2) and causes the chronic densonucleosis disease of
silkworm. Previous researches revealed that VD1-ORF3 encodes the major structural
proteins VPs. In this work, through western blot, we found that VPs expressed
from 48 h post-inoculation and kept increasing until 120 h post-inoculation in
midgut of Bombyx mori. In order to further investigate the translation of vp
gene, the ORFs (vp1 and vp2) of the VP started just up-stream of the first two
candidate initiation codons were expressed in Sf9 cells by a baculovirus
expression system. The expression products were purified by gradient density
centrifugation and analyzed by Western blot and electron microscopy. The results
showed that the expressions of vp1 yielded three proteins (VP1, VP1', and VP2),
which are the same with the viral VPs expression in midgut of Bombyx mori, and
vp2 generated two VPs with the molecular weights of about 51 kDa (VP2) and 37
kDa. The observation by electron microscopy indicated that these VPs can auto
assemble into virus-like particles that could not be distinguished from virus
particles. These findings will provide materials for studying the structure of
BmBDV and be helpful in the studies on BmBDV-based disease in silkworms.
PMID- 24916669
TI - Modeling and analysis of Gleason score 8-10 prostate cancers in the REDUCE study.
AB - OBJECTIVE: To explore explanations for the numerical imbalance of biopsy-detected
Gleason 8-10 prostate cancers (PCa) diagnosed in years 3-4 in the dutasteride and
placebo groups of the Reduction by Dutasteride of Prostate Cancer Events (REDUCE)
study. METHODS: REDUCE was a 4-year, randomized, double-blind, placebo-controlled
trial of dutasteride (0.5 mg/d) vs placebo for PCa risk reduction. We modeled the
incidence of Gleason 8-10 cancer and used logistic regression analysis to
evaluate the effects of baseline predictors of PCa, as well as post-baseline
prostate volume at the time of biopsy, on PCa diagnosis. We compared needle
biopsy Gleason scores with corresponding surgery Gleason scores. All statistical
tests conducted were 2-sided. RESULTS: Had there been a scheduled biopsy
occurring only at year 4, we estimated a similar incidence of Gleason 8-10 PCa in
the dutasteride (n = 45) and placebo (n = 46) groups. Two biopsy Gleason 7
cancers in the placebo group (n = 150) were upgraded to Gleason 8-10 cancer on
prostatectomy, and no patients in the dutasteride group (n = 111) were upgraded.
Logistic regression analysis demonstrated the effect of prostate volume on
Gleason 8-10 cancer diagnosis. CONCLUSION: Although modeling of REDUCE data
showed a similar incidence of Gleason 8-10 cancer in the dutasteride and placebo
groups at year 4, an association between dutasteride and Gleason 8-10 cancer
cannot be definitely excluded. It is likely that several biases, notably study
design and prostate size at the time of biopsy, contributed to the numerical
imbalance in Gleason 8-10 cancers observed between the treatment groups in years
3-4.
PMID- 24916670
TI - John Kingsley Lattimer: urologist, ballistics expert, and historian.
PMID- 24916671
TI - EFIN: predicting the functional impact of nonsynonymous single nucleotide
polymorphisms in human genome.
AB - BACKGROUND: Predicting the functional impact of amino acid substitutions (AAS)
caused by nonsynonymous single nucleotide polymorphisms (nsSNPs) is becoming
increasingly important as more and more novel variants are being discovered.
Bioinformatics analysis is essential to predict potentially causal or
contributing AAS to human diseases for further analysis, as for each genome,
thousands of rare or private AAS exist and only a very small number of which are
related to an underlying disease. Existing algorithms in this field still have
high false prediction rate and novel development is needed to take full advantage
of vast amount of genomic data. RESULTS: Here we report a novel algorithm that
features two innovative changes: 1. making better use of sequence conservation
information by grouping the homologous protein sequences into six blocks
according to evolutionary distances to human and evaluating sequence conservation
in each block independently, and 2. including as many such homologous sequences
as possible in analyses. Random forests are used to evaluate sequence
conservation in each block and to predict potential impact of an AAS on protein
function. Testing of this algorithm on a comprehensive dataset showed significant
improvement on prediction accuracy upon currently widely-used programs. The
algorithm and a web-based application tool implementing it, EFIN (Evaluation of
Functional Impact of Nonsynonymous SNPs) were made freely available
(http://paed.hku.hk/efin/) to the public. CONCLUSIONS: Grouping homologous
sequences into different blocks according to the evolutionary distance of the
species to human and evaluating sequence conservation in each group independently
significantly improved prediction accuracy. This approach may help us better
understand the roles of genetic variants in human disease and health.
PMID- 24916672
TI - Crying and suicidal, but not depressed. Pseudobulbar affect in multiple sclerosis
successfully treated with valproic acid: Case report and literature review.
AB - OBJECTIVE: Pseudobulbar affect/emotional incontinence is a potentially disabling
condition characterized by expressions of affect or emotions out of context from
the normal emotional basis for those expressions. This condition can result in
diagnostic confusion and unrelieved suffering when clinicians interpret the
emotional expressions at face value. In addition, the nomenclature, etiology, and
treatment for this condition remain unclear in the medical literature. METHOD: We
report the case of a 60-year-old woman with multiple sclerosis who was referred
to an inpatient psychiatry unit with complaints of worsening depression along
with hopelessness, characterized by unrelenting crying. Our investigation showed
that her symptoms were caused by pseudobulbar affect/emotional incontinence
stemming from multiple sclerosis. RESULTS: The patient's history of multiple
sclerosis and the fact that she identified herself as depressed only because of
her incessant crying suggested that her symptoms might be due to the multiple
sclerosis rather than to a depressive disorder. Magnetic resonance imaging
demonstrated a new plaque consistent with multiple sclerosis lateral to her
corpus callosum. Her symptoms resolved completely within three days on valproic
acid but returned after she was cross-tapered to dextromethorphan plus quinidine,
which is the FDA-approved treatment for this condition. SIGNIFICANCE OF RESULTS:
This case provides important additional information to the current literature on
pseudobulbar affect/emotional incontinence. The existing literature suggests a
selective serotonin reuptake inhibitor (SSRI) and dextromethorphan/quinidine
(Nuedexta) as first-line treatments; however, our patient was taking an SSRI at
the time of presentation without appreciable benefit, and her symptoms responded
to valproic acid but not to the dextromethorphan/quinidine. In addition, the case
and the literature review suggest that the current nomenclature for this
constellation of symptoms can be misleading.
PMID- 24916673
TI - Residual lower esophageal sphincter pressure as a prognostic factor in the
pneumatic balloon treatment of achalasia.
AB - BACKGROUND AND AIMS: Pneumatic balloon dilatation (PD) is a mainstay in achalasia
treatment. The aim of this study was to identify predictive factors for
successful treatment. METHODS: We retrospectively reviewed 76 patients with a
diagnosis of achalasia who underwent PD from June 2010 to May 2013. Clinical
symptoms were assessed using Eckardt score and manometry data were analyzed using
resting and relaxation pressure (4sIRP) of lower esophageal sphincter (LES) and
the distal contractile integral (DCI), which was calculated for 10 s from the
start of deglutition between the upper margin of the LES and lower margin of
upper esophageal contraction. Patients with achalasia were classified into three
groups based on the Chicago classification. RESULTS: Among 76 patients, 52
patients received PD, and the treatment was unsuccessful in 9 patients (6 in
class I and 3 in class III). When comparing prognostic factors between successful
and unsuccessful treatment groups, the mean value for 4sIRP in the unsuccessful
treatment group was significantly lower than that in the successful treatment
group (P < 0.05). However, no difference was noticed in resting LES pressure,
DCI, age, and sex. Furthermore, a lower mean value of 4sIRP was significantly
related to unsuccessful treatment of achalasia (odds ratio, 1.092; 95% confidence
interval, 1.001-1.191) even after adjustment for a series of confounding factors.
CONCLUSIONS: Lower 4sIRP may be a prognostic indicator for poor treatment outcome
after PD.
PMID- 24916674
TI - Biallelic germline and somatic mutations in malignant mesothelioma: multiple
mutations in transcription regulators including mSWI/SNF genes.
AB - We detected low levels of acetylation for histone H3 tail lysines in malignant
mesothelioma (MM) cell lines resistant to histone deacetylase inhibitors. To
identify the possible genetic causes related to the low histone acetylation
levels, whole-exome sequencing was conducted with MM cell lines established from
eight patients. A mono-allelic variant of BRD1 was common to two MM cell lines
with very low acetylation levels. We identified 318 homozygous protein-damaging
variants/mutations (18-78 variants/mutations per patient); annotation analysis
showed enrichment of the molecules associated with mammalian SWI/SNF (mSWI/SNF)
chromatin remodeling complexes and co-activators that facilitate initiation of
transcription. In seven of the patients, we detected a combination of variants in
histone modifiers or transcription factors/co-factors, in addition to variants in
mSWI/SNF. Direct sequencing showed that homozygous mutations in SMARCA4, PBRM1
and ARID2 were somatic. In one patient, homozygous germline variants were
observed for SMARCC1 and SETD2 in chr3p22.1-3p14.2. These exhibited extended
germline homozygosity and were in regions containing somatic mutations, leading
to a loss of BAP1 and PBRM1 expression in MM cell line. Most protein-damaging
variants were heterozygous in normal tissues. Heterozygous germline variants were
often converted into hemizygous variants by mono-allelic deletion, and were
rarely homozygous because of acquired uniparental disomy. Our findings imply that
MM might develop through the somatic inactivation of mSWI/SNF complex subunits
and/or histone modifiers, including BAP1, in subjects that have rare germline
variants of these transcription regulators and/or transcription factors/co
factors, and in regions prone to mono-allelic deletion during oncogenesis.
PMID- 24916676
TI - Caries management pathways preserve dental tissues and promote oral health.
AB - In May 2012, cariologists, dentists, representatives of dental organizations,
manufacturers, and third party payers from several countries, met in
Philadelphia, Pennsylvania, to define a common mission; goals and strategic
approaches for caries management in the 21th century. The workshop started with
an address by Mr. Stanley Bergman, CEO of Henry Schein Inc. which focused on the
imperative for change in academia, clinical practice, and public health. For
decades, new scientific evidence on caries and how it should be managed have been
discussed among experts in the field. However, there has been some limited
change, except in some Scandinavian countries, in the models of caries management
and reimbursement which have been heavily skewed toward 'drilling and filling'.
There is no overall agreement on a caries' case definition or on when to
surgically intervene. The participants in the workshop defined a new mission for
all caries management approaches, both conventional and new. The mission of each
system should be to preserve the tooth structure, and restore only when
necessary. This mission marks a pivotal line for judging when to surgically
intervene and when to arrest or remineralize early noncavitated lesions. Even
when restorative care is necessary, the removal of hard tissues should be lesion
focused and aim to preserve, as much as possible, sound tooth structure.
Continuing management of the etiological factors of caries and the use of science
based preventive regimens also will be required to prevent recurrence and re
restoration. These changes have been debated for over a decade. The Caries
Management Pathways includes all systems and philosophies, conventional and new,
of caries management that can be used or modified to achieve the new mission. The
choice of which system to use to achieve the mission of caries management is left
to the users and should be based on the science supporting each approach or
philosophy, experience, utility, and ease of use. This document also presents a
new 'Caries Management Cycle' that should be followed regardless of which
approach is adopted for caries prevention, detection, diagnosis, and treatment.
To aid success in the adoption of the new mission, a new reimbursement system
that third party payers may utilize is proposed (for use by countries other than
Scandinavian countries or other countries where such systems already exist). The
new reimbursement/incentive model focuses on the mission of preservation of tooth
structure and outcomes of caries management. Also described, is a research agenda
to revitalize research on the most important and prevalent world-wide human
disease. The alliance of major dental organizations and experts that started in
Philadelphia will hopefully propel over the next months and years, a change in
how caries is managed by dentists all over the world. A new mission has been
defined and it is time for all oral health professionals to focus on the
promotion of oral health and preservation of sound teeth rather than counting the
number of surgical restorative procedures provided.
PMID- 24916677
TI - International Caries Detection and Assessment System (ICDAS) and its
International Caries Classification and Management System (ICCMS) - methods for
staging of the caries process and enabling dentists to manage caries.
AB - OBJECTIVES: The aim of this article is to provide an overview of the
International Caries Detection and Assessment System (ICDAS) and its associated
International Caries Classification and Management System (ICCMS(TM) ), explain
the evolution of these systems over the past decade and outline how they are
being used for staging of the caries process in order to enable dentists to
manage caries appropriately. METHODS: the article outlines and references the key
steps in development of these systems. RESULTS: ICDAS employs an evidence-based
and preventively oriented approach, is a detection and assessment system
classifying stages of the caries process on the basis of histological extent and
activity, is designed for use in the four domains of clinical practice,
education, research and public health and provides all stakeholders with a common
language for staging caries. Over a decade ICDAS has evolved to comprise a number
of approved, compatible 'formats', supports decision making at both individual
and public health levels and has generated the ICCMS(TM) to enable improved long
term caries outcomes. A range of further developments are in train, to assist
with information capture and making clinical systems simpler and more practice
friendly. CONCLUSION: ICDAS provides flexible and increasingly internationally
adopted methods for classifying stages of the caries process and the activity
status of lesions which can be incorporated into the ICCMS(TM) . The ICCMS(TM)
provides options to enable dentists to integrate and synthesize tooth and patient
information, including caries risk status, in order to plan, manage and review
caries in clinical and public health practice.
PMID- 24916675
TI - A flavonoid 3-O-glucoside:2"-O-glucosyltransferase responsible for terminal
modification of pollen-specific flavonols in Arabidopsis thaliana.
AB - Flavonol 3-O-diglucosides with a 1->2 inter-glycosidic linkage are representative
pollen-specific flavonols that are widely distributed in plants, but their
biosynthetic genes and physiological roles are not well understood. Flavonoid
analysis of four Arabidopsis floral organs (pistils, stamens, petals and calyxes)
and flowers of wild-type and male sterility 1 (ms1) mutants, which are defective
in normal development of pollen and tapetum, showed that kaempferol/quercetin 3-O
beta-d-glucopyranosyl-(1->2)-beta-d-glucopyranosides accumulated in Arabidopsis
pollen. Microarray data using wild-type and ms1 mutants, gene expression patterns
in various organs, and phylogenetic analysis of UDP-glycosyltransferases (UGTs)
suggest that UGT79B6 (At5g54010) is a key modification enzyme for determining
pollen-specific flavonol structure. Kaempferol and quercetin 3-O-glucosyl-(1->2)
glucosides were absent from two independent ugt79b6 knockout mutants. Transgenic
ugt79b6 mutant lines transformed with the genomic UGT79B6 gene had the same
flavonoid profile as wild-type plants. Recombinant UGT79B6 protein converted
kaempferol 3-O-glucoside to kaempferol 3-O-glucosyl-(1->2)-glucoside. UGT79B6
recognized 3-O-glucosylated/galactosylated anthocyanins/flavonols but not 3,5- or
3,7-diglycosylated flavonoids, and prefers UDP-glucose, indicating that UGT79B6
encodes flavonoid 3-O-glucoside:2"-O-glucosyltransferase. A UGT79B6-GUS fusion
showed that UGT79B6 was localized in tapetum cells and microspores of developing
anthers.
PMID- 24916678
TI - Caries management by risk assessment.
AB - Caries disease is multifactorial. Whether caries disease will be expressed and
damage dental hard tissue is dependent on the patient's own unique make-up of
pathogenic risk factors and protective factors. Objectives This manuscript will
review the science of managing caries disease based on assessing caries risk.
Methods The caries balance/imbalance model and a practical caries risk assessment
procedure for patients aged 6 years through adult will illustrate how treatment
options can be based on caries risk. Results Neither the forms nor the clinical
protocols are meant to imply there is currently only one correct way this can be
achieved, rather are used in this manuscript as examples only. Conclusions It is
important to have the forms and protocols simple and easy to understand when
implementing caries management by risk assessment into clinical practice. The
science of CAMBRA based on the caries balance/imbalance model was reviewed and an
example protocol was presented.
PMID- 24916679
TI - Risk assessment - can we achieve consensus?
AB - OBJECTIVE: The object of this conference paper was to review and discuss caries
risk assessment in general practice from the questions i) 'Why', ii) 'When', and
iii) 'How'. METHOD: Narrative review. RESULTS: i) Patient caries risk assessment
is the basic component in the decision-making process for adequate prevention and
management of dental caries and for determination of individual recall intervals.
ii) Caries risk assessment should always be performed at a child's first dental
visit and then regularly throughout life, and especially when social or medical
life events are occurring. iii) There are several risk assessment methods and
models available for but the evidence for their validity is limited. Although
there is no clearly superior method for predicting future caries, the use of
structured protocols combining socioeconomy, behavior, general health, diet, oral
hygiene routines, clinical data, and salivary tests or computer-based systems are
considered best clinical practice. The accuracy ranges between 60% and 90%,
depending on age. Caries risk assessment is more effective in the selection of
patients at low risk than those with high caries risk. CONCLUSION: As evidence
suggests that past caries experience is far from ideal but the most important
single risk component for more caries at all ages, any clinical sign of likely
active demineralization on smooth, occlusal, and proximal tooth surfaces should
be taken as a signal for the implementation of individually designed preventive
and disease management measures.
PMID- 24916680
TI - The Caries Assessment and Treatment (CAST) instrument.
AB - OBJECTIVES: To describe the 'Caries Assessment Spectrum and Treatment' (CAST)
instrument, its origin and content, and to provide an example of how results can
be reported. METHODS: The CAST instrument covers the complete range of stages of
carious lesion progression: from no carious lesion, through caries protection
(sealant) and lesion care (restoration) to lesions in enamel and dentine, the
advanced stages of carious lesion progression in pulpal and its consequences on
tooth-surrounding tissue and tooth loss due to caries. Using the RAND modified e
Delphi consensus method two independent panels, comprising a total of 56
epidemiologists from 27 countries, determined the face and content validity of
CAST. Panellists assessed 17 statements related to the content, description,
suitability and international use of CAST. Agreement of 75% or higher was
required for reaching consensus on a statement. Construct validity and
reliability testing of CAST have been carried out, but results are not yet
available. RESULTS: All 17 statements were approved by the panellists, who found
the RAND modified e-Delphi consensus method suitable for achieving consensus. The
CAST codes were ordered hierarchically. External validity was obtained. Reporting
using CAST can be performed for orally healthy dentitions and those having
morbidity and mortality. A DMF score can easily be calculated from the CAST
codes, thereby enabling retention of the use of existing DMF scores. CONCLUSION:
The CAST instrument for use in epidemiological surveys is very promising. Face
and content validation is obtained. Construct validity and reliability testing
will be completed soon. A structure for reporting results in a comprehensive,
pragmatic and easily understood way is being developed.
PMID- 24916681
TI - Preface. Caries management pathways (CaMPs).
PMID- 24916682
TI - Restriction site-associated DNA sequencing, genotyping error estimation and de
novo assembly optimization for population genetic inference.
AB - Restriction site-associated DNA sequencing (RADseq) provides researchers with the
ability to record genetic polymorphism across thousands of loci for nonmodel
organisms, potentially revolutionizing the field of molecular ecology. However,
as with other genotyping methods, RADseq is prone to a number of sources of error
that may have consequential effects for population genetic inferences, and these
have received only limited attention in terms of the estimation and reporting of
genotyping error rates. Here we use individual sample replicates, under the
expectation of identical genotypes, to quantify genotyping error in the absence
of a reference genome. We then use sample replicates to (i) optimize de novo
assembly parameters within the program Stacks, by minimizing error and maximizing
the retrieval of informative loci; and (ii) quantify error rates for loci,
alleles and single-nucleotide polymorphisms. As an empirical example, we use a
double-digest RAD data set of a nonmodel plant species, Berberis alpina,
collected from high-altitude mountains in Mexico.
PMID- 24916683
TI - ATV riding and helmet use among youth aged 12-17 years, USA, 2011: results from
the YouthStyles survey.
AB - BACKGROUND: National estimates of all-terrain vehicle (ATV) riding patterns among
youth in the USA are lacking. METHODS: We analysed the 2011 YouthStyles survey to
estimate the proportion of 12-17 year olds in the USA who had ridden an ATV at
least once during the past 12 months and summarise their patterns of helmet use.
RESULTS: Of the 831 youth respondents, an estimated 25% reported riding an ATV at
least once during the past year. The proportion of youth living outside of a
Metropolitan Statistical Area who reported riding an ATV was twice that of those
living inside of a Metropolitan Statistical Area. Males and females reported
similar proportions of riding at least once during the past year, but among
riders, the proportion of males who rode >=6 times was triple that of females.
Only 45% of riders reported always wearing a helmet, and 25% reported never
wearing a helmet. The most frequent riders had the lowest consistent helmet use,
with 8 of 10 youth who rode >=6 times during the past year not always wearing a
helmet. CONCLUSIONS: ATV riding appears to remain popular among youth in the USA,
particularly in rural areas, and consistent helmet use while riding is low. A
more thorough understanding of gender differences in ATV riding patterns among
youth and perceived risks and benefits of both safe and unsafe riding practices
might help inform future ATV injury prevention efforts.
PMID- 24916684
TI - Engagement with the TeenDrivingPlan and diversity of teens' supervised practice
driving: lessons for internet-based learner driver interventions.
AB - OBJECTIVE: Inexperienced, less-skilled driving characterises many newly licensed
drivers and contributes to high crash rates. A randomised trial of
TeenDrivingPlan (TDP), a new learner driver phase internet-based intervention,
demonstrated effectiveness in improving safety relevant, on-road driving
behaviour, primarily through greater driving practice diversity. To inform future
learner driver interventions, this analysis examined TDP use and its association
with practice diversity. DESIGN: Posthoc analysis of data from teen/parent dyads
(n=107), enrolled early in learner phase and assigned to treatment arm in
randomised trial. METHODS: Inserted software beacons captured TDP use data.
Electronic surveys completed by parents and teens assessed diversity of practice
driving and TDP usability ratings at 24 weeks (end of study period). RESULTS:
Most families (84%) used TDP early in the learner period; however, the number of
TDP sessions in the first week was three times higher among dyads who achieved
greater practice diversity than those with less. By week five many families still
engaged with TDP, but differences in TDP use could not be detected between
families with high versus low practice diversity. Usability was not a major issue
for this sample based on largely positive user ratings. CONCLUSIONS: An engaging
internet-based intervention, such as TDP, can support families in achieving high
practice diversity. Future learner driver interventions should provide important
information early in the learner period when engagement is greatest, encourage
continued learning as part of logging practice drives, and incorporate monitoring
software for further personalisation to meet family needs. TRIAL REGISTRATION:
NCT01498575.
PMID- 24916685
TI - Trends in fall-related injuries among older adults treated in emergency
departments in the USA.
AB - To examine national trends in fall-related injuries among older adults treated in
emergency departments (ED) and project these injuries until the year 2030. The
Web-based Injury Statistics Query and Reporting System was used to generate data
on fall-related injuries treated in ED. Joinpoint regression analysis was used to
examine the average annual change in injury rates over time. Fall-related injury
and hospitalisation rates increased on average by 2% (95% CI 1.5% to 2.7%) and by
4% (95% CI 2.9% to 5.0%) per year, respectively. Assuming the increase in fall
related injury rates remains unchanged, the number of fall-related injuries may
increase to 5.7 million by the year 2030. Fall-related injuries among older
adults treated in ED increased in the USA during the study period. Moreover, a
marked increase in the number of these injuries may occur over the next decades.
PMID- 24916686
TI - Safer cycling in the urban road environment: study approach and protocols guiding
an Australian study.
AB - BACKGROUND: Much of the research into cyclist safety in Australia has focused on
behaviour with less focus on the impact of the urban transport environment on
cyclist safety. A greater understanding of the urban transport system and the
improvements needed to create a safer cycling environment are essential if
cyclists are to be safe and increased cycling participation targets are to be
achieved. The proposed study will use existing cyclist crash data along with
unique cyclist exposure data to develop road infrastructure prototypes that
improve cyclists' safety and evaluate the effectiveness of these prototypes in a
cycling simulator. METHODS AND DESIGN: This study will be conducted in two
Australian cities namely Perth and Melbourne as both cities have policies that
strongly advocate cycling. Two methods of data collection will be employed: (1)
in-depth crash investigations of injured cyclists; and (2) video footage of
cyclist exposure through a naturalistic cycling study of non-injured cyclists.
The findings from these two methods will be used to develop new urban road design
prototypes which will be tested with a sample of cyclists and motorists in safe
environment namely, a cycling simulator and a driving simulator. DISCUSSION: By
designing and evaluating safer environments for cyclists, this study will
identify solutions that reduce the risk of road trauma and importantly, support
this alternative mode of transport and thereby contribute to a reduction in
traffic-related emissions and pollution and enhance sustainable economic and
social connectivity.
PMID- 24916687
TI - World Cup's extended pub opening hours make no sense.
PMID- 24916689
TI - Systematic review of screening for trisomy 21 in twin pregnancies in first
trimester combining nuchal translucency and biochemical markers: a meta-analysis.
AB - AIM: This article is a systematic review of the literature to establish the
detection rate and false-positive rate of the combined test for the screening of
trisomy 21 in twins. MATERIAL AND METHODS: We conducted a literature search
(MEDLINE, EMBASE and ScienceDirect and Cochrane) to identify studies between 1995
and 2013 that provided data on the combined test in twins. Selected studies
included data on maternal age, number of fetuses affected by Down syndrome, test
strategy, sensitivity and specificity of the test. RESULTS: The combined test in
twins had a pooled sensitivity of 0.893 [95% confidence interval (CI) 0.797
0.947] and a pooled specificity of 0.946 (95% CI 0.933-0.957). The performance of
the test was good (summary receiver operating characteristic area under the
curve: 0.817). In dichorionic twins, sensitivity and specificity were 0.862 (95%
CI 0.728-0.936) and 0.952 (95% CI 0.942-0.96), respectively. In monochorionic
twins, the sensitivity and specificity were 0.874% (95% CI 0.526-0.977) and
0.954% (95% CI 0.943-0.963), respectively. CONCLUSIONS: The results of this meta
analysis show that the accumulative evidence on the performance of the combined
test in twin pregnancies is good. Nowadays, it seems to be the best first
trimester screening test available for twin pregnancies.
PMID- 24916688
TI - Mononuclear cells from dedifferentiation of mouse myotubes display remarkable
regenerative capability.
AB - Certain lower organisms achieve organ regeneration by reverting differentiated
cells into tissue-specific progenitors that re-enter embryonic programs. During
muscle regeneration in the urodele amphibian, postmitotic multinucleated skeletal
myofibers transform into mononucleated proliferating cells upon injury, and a
transcription factor-msx1 plays a role in their reprograming. Whether this
powerful regeneration strategy can be leveraged in mammals remains unknown, as it
has not been demonstrated that the dedifferentiated progenitor cells arising from
muscle cells overexpressing Msx1 are lineage-specific and possess the same potent
regenerative capability as their amphibian counterparts. Here, we show that
ectopic expression of Msx1 reprograms postmitotic, multinucleated, primary mouse
myotubes to become proliferating mononuclear cells. These dedifferentiated cells
reactivate genes expressed by embryonic muscle progenitor cells and generate only
muscle tissue in vivo both in an ectopic location and inside existing muscle.
More importantly, distinct from adult muscle satellite cells, these cells appear
both to fuse with existing fibers and to regenerate myofibers in a robust and
time-dependent manner. Upon transplantation into a degenerating muscle, these
dedifferentiated cells generated a large number of myofibers that increased over
time and replenished almost half of the cross-sectional area of the muscle in
only 12 weeks. Our study demonstrates that mammals can harness a muscle
regeneration strategy used by lower organisms when the same molecular pathway is
activated.
PMID- 24916691
TI - Abstracts of the IX Congresso Sindem: Italian Association for the study of
Dementia linked to the Italian Neurological Society (SIN), March 13-15, 2014,
Florence, Italy.
PMID- 24916690
TI - Surgical site infections following coronary artery bypass graft procedures: 10
years of surveillance data.
AB - BACKGROUND: Surgical site infections following coronary artery bypass graft
(CABG) procedures pose substantial burden on patients and healthcare systems.
This study aims to describe the incidence of surgical site infections and
causative pathogens following CABG surgery over the period 2003-2012, and to
identify risk factors for complex sternal site infections. METHODS: Routine
computerised surveillance data were collected from three public hospitals in
Queensland, Australia in which CABG surgery was performed between 2003 and 2012.
Surgical site infection rates were calculated by types of infection
(superficial/complex) and incision sites (sternal/harvest sites). Patient and
procedural characteristics were evaluated as risk factors for complex sternal
site infections using a logistic regression model. RESULTS: There were 1,702
surgical site infections (518 at sternal sites and 1,184 at harvest sites)
following 14,546 CABG procedures performed. Among 732 pathogens isolated,
Methicillin-sensitive Staphylococcus aureus accounted for 28.3% of the isolates,
Pseudomonas aeruginosa 18.3%, methicillin-resistant Staphylococcus aureus 14.6%,
and Enterobacter species 6.7%. Proportions of Gram-negative bacteria elevated
from 37.8% in 2003 to 61.8% in 2009, followed by a reduction to 42.4% in 2012.
Crude rates of complex sternal site infections increased over the reporting
period, ranging from 0.7% in 2004 to 2.6% in 2011. Two factors associated with
increased risk of complex sternal site infections were identified: patients with
an ASA (American Society of Anaesthesiologists) score of 4 or 5 (reference score
of 3, OR 1.83, 95% CI 1.36-2.47) and absence of documentation of antibiotic
prophylaxis (OR 2.03, 95% CI 1.12-3.69). CONCLUSIONS: Compared with previous
studies, our data indicate the importance of Gram-negative organisms as causative
agents for surgical site infections following CABG surgery. An increase in
complex sternal site infection rates can be partially explained by the increasing
proportion of patients with more severe underlying disease.
PMID- 24916692
TI - Increasing stability of antibody via antibody engineering: stability engineering
on an anti-hVEGF.
AB - Antibody stability is very important for expression, activity, specificity, and
storage. This knowledge of antibody structure has made it possible for a computer
aided molecule design to be used to optimize and increase antibody stability.
Many computational methods have been built based on knowledge or structure,
however, a good integrated engineering system has yet to be developed that
combines these methods. In the current study, we designed an integrated computer
aided engineering protocol, which included several successful methods. Mutants
were designed considering factors that affected stability and multiwall filter
screening was used to improve the design accuracy. Using this protocol, the
thermo-stability of an anti-hVEGF antibody was significantly improved. Nearly 40%
of the single-point mutants proved to be more stable than the parent antibody and
most of the mutations could be stacked effectively. The T50 also improved about 7
degrees C by combinational mutation of seven sites in the light chain and three
sites in the heavy chain. Data indicate that the protocol is an effective method
for optimization of antibody structure, especially for improving thermo
stability. This protocol could also be used to enhance the stability of other
antibodies.
PMID- 24916693
TI - TP53 mutations and lung cancer: not all mutations are created equal.
AB - Mutations in TP53 are common in non-small cell lung cancer. Apart from the loss
of tumor-suppressor functions, TP53 mutations may result in gain of function
favoring cellular proliferation, inhibition of apoptosis, and genomic
instability. Some TP53 mutations are more likely to affect the course of the
disease than others.
PMID- 24916694
TI - Differences in the transcriptional response to fulvestrant and estrogen
deprivation in ER-positive breast cancer.
AB - PURPOSE: Endocrine therapies include aromatase inhibitors and the selective
estrogen receptor (ER) downregulator fulvestrant. This study aimed to determine
whether the reported efficacy of fulvestrant over anastrozole, and high- over low
dose fulvestrant, reflect distinct transcriptional responses. EXPERIMENTAL
DESIGN: Global gene expression profiles from ERalpha-positive breast carcinomas
before and during presurgical treatment with fulvestrant (n = 22) or anastrozole
(n = 81), and corresponding in vitro models, were compared. Transcripts
responding differently to fulvestrant and estrogen deprivation were identified
and integrated using Gene Ontology, pathway and network analyses to evaluate
their potential significance. RESULTS: The overall transcriptional response to
fulvestrant and estrogen deprivation was correlated (r = 0.61 in presurgical
studies, r = 0.87 in vitro), involving downregulation of estrogen-regulated and
proliferation-associated genes. The transcriptional response to fulvestrant was
of greater magnitude than estrogen deprivation (slope = 0.62 in presurgical
studies, slope = 0.63 in vitro). Comparative analyses identified 28 genes and 40
Gene Ontology categories affected differentially by fulvestrant. Seventeen
fulvestrant-specific genes, including CAV1/2, SNAI2, and NRP1, associated with
ERalpha, androgen receptor (AR), and TP53, in a network regulating cell cycle,
death, survival, and tumor morphology. Eighteen genes responding differently to
fulvestrant specifically predicted antiproliferative response to fulvestrant, but
not anastrozole. Transcriptional effects of low-dose fulvestrant correlated with
high-dose treatment, but were of lower magnitude (ratio = 0.29). CONCLUSIONS: The
transcriptional response to fulvestrant has much in common with estrogen
deprivation, but is stronger with distinctions potentially attributable to arrest
of estrogen-independent ERalpha activity and involvement of AR signaling. Genes
responding differently to fulvestrant may have predictive utility. These data are
consistent with the clinical efficacy of fulvestrant versus anastrozole and
higher dosing regimens.
PMID- 24916695
TI - CLIC4, ERp29, and Smac/DIABLO derived from metastatic cancer stem-like cells
stratify prognostic risks of colorectal cancer.
AB - PURPOSE: Cancer stem-like cells have been well accepted to be involved in
recurrence and metastasis of cancers, but the prognostic potential of biomarkers
integrating with metastasis and cancer stem-like cells for colorectal cancer is
unclear. EXPERIMENTAL DESIGN: We identified three proteins, CLIC4, ERp29, and
Smac/DIABLO, from metastatic cancer stem-like cells of colorectal cancer and
verified the proteins' role in metastatic behaviors. The proteins were detected
by IHC in colorectal cancer tumors and matched colonic mucosa from patients with
colorectal cancer who underwent radical surgery in the training cohort. The
associations between proteins expression levels and five-year disease-specific
survival (DSS) were evaluated to predict the survival probability in the training
cohort of 421 cases and the validation cohort of 228 cases. RESULTS: A three
protein panel including CLIC4, ERp29, and Smac/DIABLO, which was generated from
multivariate analysis by excluding clinicopathologic characteristics from the
training cohort, distinguished patients with colorectal cancer into very low-,
low-, middle-, and high-risk groups with significant differences in five-year DSS
probability (88.6%, 63.3%, 30.4%, 11.4%; P < 0.001). The panel is independent
from tumor-node-metastasis staging system and histologic grading to predict
prognosis, and also enables classification of validation cohort into four risk
stratifications (five-year DSS probability is 98.2%, 80.2%, 25.6%, and 2.7%; P <
0.001). CONCLUSIONS: CLIC4, ERp29, and Smac/DIABLO integrated into a novel panel
based on cancer stem-like cells in association with metastasis stratify the
prognostic risks of colorectal cancer. Prediction of risks with molecular markers
will benefit clinicians to make decisions of individual management with
postoperative colorectal cancer patients.
PMID- 24916696
TI - Twisting and ironing: doxorubicin cardiotoxicity by mitochondrial DNA damage.
AB - Anthracyclines are active clinical agents that have multiple mechanisms of
cytotoxicity. Cardiotoxicity by anthracyclines limits the therapeutic potential
of these agents, but mechanisms leading to cardiotoxicity remain controversial.
Transgenic mice that lack mitochondrial topoisomerase I are hypersensitive to
doxorubicin cardiotoxicity, providing support for cardiotoxicity arising from
damage of mitochondrial DNA.
PMID- 24916698
TI - Prognostic B-cell signatures using mRNA-seq in patients with subtype-specific
breast and ovarian cancer.
AB - PURPOSE: Lymphocytic infiltration of tumors predicts improved survival in
patients with breast cancer. Previous studies have suggested that this survival
benefit is confined predominantly to the basal-like subtype. Immune infiltration
in ovarian tumors is also associated with improved prognosis. Currently, it is
unclear what aspects of the immune response mediate this improved outcome.
EXPERIMENTAL DESIGN: Using The Cancer Genome Atlas mRNA-seq data and a large
microarray dataset, we evaluated adaptive immune gene expression by genomic
subtype in breast and ovarian cancer. To investigate B-cells observed to be
prognostic within specific subtypes, we developed methods to analyze B-cell
population diversity and degree of somatic hypermutation (SHM) from B-cell
receptor (BCR) sequences in mRNA-seq data. RESULTS: Improved metastasis
free/progression-free survival was correlated with B-cell gene expression
signatures, which were restricted mainly to the basal-like and HER2-enriched
breast cancer subtypes and the immunoreactive ovarian cancer subtype. Consistent
with a restricted epitope-driven response, a subset of basal-like and HER2
enriched breast tumors and immunoreactive ovarian tumors showed high expression
of a low-diversity population of BCR gene segments. More BCR segments showed
improved prognosis with increased expression in basal-like breast tumors and
immunoreactive ovarian tumors compared with other subtypes. Basal-like and HER2
enriched tumors exhibited more BCR sequence variants in regions consistent with
SHM. CONCLUSION: Taken together, these data suggest the presence of a productive
and potentially restricted antitumor B-cell response in basal-like breast and
immunoreactive ovarian cancers. Immunomodulatory therapies that support B-cell
responses may be a promising therapeutic approach to targeting these B-cell
infiltrated tumors.
PMID- 24916697
TI - Cisplatin-induced renal injury is independently mediated by OCT2 and p53.
AB - PURPOSE: Tubular secretion of cisplatin is abolished in mice deficient for the
organic cation transporters Oct1 and Oct2 (Oct1/2(-/-)mice), and these animals
are protected from severe cisplatin-induced kidney damage. Since tubular necrosis
is not completely absent in Oct1/2(-/-)mice, we hypothesized that alternate
pathways are involved in the observed injury. EXPERIMENTAL DESIGN: Studies were
done in wild-type, Oct1/2(-/-), or p53-deficient animals, all on an FVB
background, receiving cisplatin intraperitoneally at 15 mg/kg. Cisplatin
metabolites were analyzed using mass spectrometry, and gene expression was
assessed using Affymetrix microarrays and RT-PCR arrays. RESULTS: KEGG pathway
analyses on kidneys from mice exposed to cisplatin revealed that the most
significantly altered genes were associated with the p53 signaling network,
including Cdnk1a and Mdm2, in both wild-type (P = 2.40 * 10(-11)) and Oct1/2(-/
)mice (P = 1.92 * 10(-8)). This was confirmed by demonstrating that homozygosity
for a p53-null allele partially reduced renal tubular damage, whereas loss of p53
in Oct1/2(-/-)mice (p53(-/-)/Oct1/2(-/-)) completely abolished nephrotoxicity. We
found that pifithrin-alpha, an inhibitor of p53-dependent transcriptional
activation, inhibits Oct2 and can mimic the lack of nephrotoxicity observed in
p53(-/-)/Oct1/2(-/-)mice. CONCLUSIONS: These findings indicate that (i) the p53
pathway plays a crucial role in the kidney in response to cisplatin treatment and
(ii) clinical exploration of OCT2 inhibitors may not lead to complete
nephroprotection unless the p53 pathway is simultaneously antagonized.
PMID- 24916700
TI - TGFalpha and amphiregulin paracrine network promotes resistance to EGFR blockade
in colorectal cancer cells.
AB - PURPOSE: Targeted inhibition of EGFR with the mAbs cetuximab or panitumumab is a
valuable treatment for RAS wild-type colorectal cancers. The efficacy of EGFR
blockade is limited by the emergence of acquired resistance often attributed to
secondary KRAS mutations. Remarkably, tumor biopsies from resistant patients show
that only a fraction of the resilient cells carry KRAS mutations. We hypothesized
that a paracrine cross-talk driven by the resistant subpopulation may provide in
trans protection of surrounding sensitive cells. EXPERIMENTAL DESIGN: Conditioned
medium assays and three-dimensional cocultures were used to assess paracrine
networks between cetuximab-sensitive and -resistant cells. Production of EGFR
ligands by cells sensitive to cetuximab and panitumumab was measured. The ability
of recombinant EGFR ligands to protect sensitive cells from cetuximab was
assessed. Biochemical activation of the EGFR signaling pathway was measured by
Western blotting. RESULTS: Colorectal cancer cells sensitive to EGFR blockade can
successfully grow despite cetuximab treatment when in the company of their
resistant derivatives. Media conditioned by resistant cells protect sensitive
parental cells from cetuximab. EGFR blockade triggers increased secretion of
TGFalpha and amphiregulin. Increased secretion of ligands by resistant cells can
sustain EGFR/ERK signaling in sensitive cells. CONCLUSIONS: Colorectal cancer
cells that develop resistance to cetuximab and panitumumab secrete TGFalpha and
amphiregulin, which protect the surrounding cells from EGFR blockade. This
paracrine protective mechanism might be therapeutically exploitable.
PMID- 24916699
TI - Kidney cancer is characterized by aberrant methylation of tissue-specific
enhancers that are prognostic for overall survival.
AB - PURPOSE: Even though recent studies have shown that genetic changes at enhancers
can influence carcinogenesis, most methylomic studies have focused on changes at
promoters. We used renal cell carcinoma (RCC), an incurable malignancy associated
with mutations in epigenetic regulators, as a model to study genome-wide patterns
of DNA methylation at a high resolution. EXPERIMENTAL DESIGN: Analysis of
cytosine methylation status of 1.3 million CpGs was determined by the HELP assay
in RCC and healthy microdissected renal tubular controls. RESULTS: We observed
that the RCC samples were characterized by widespread hypermethylation that
preferentially affected gene bodies. Aberrant methylation was particularly
enriched in kidney-specific enhancer regions associated with H3K4Me1 marks.
Various important underexpressed genes, such as SMAD6, were associated with
aberrantly methylated, intronic enhancers, and these changes were validated in an
independent cohort. MOTIF analysis of aberrantly hypermethylated regions revealed
enrichment for binding sites of AP2a, AHR, HAIRY, ARNT, and HIF1 transcription
factors, reflecting contributions of dysregulated hypoxia signaling pathways in
RCC. The functional importance of this aberrant hypermethylation was demonstrated
by selective sensitivity of RCC cells to low levels of decitabine. Most
importantly, methylation of enhancers was predictive of adverse prognosis in 405
cases of RCC in multivariate analysis. In addition, parallel copy-number analysis
from MspI representations demonstrated novel copy-number variations that were
validated in an independent cohort of patients. CONCLUSIONS: Our study is the
first high-resolution methylome analysis of RCC, demonstrates that many kidney
specific enhancers are targeted by aberrant hypermethylation, and reveals the
prognostic importance of these epigenetic changes in an independent cohort.
PMID- 24916701
TI - microRNAome expression in chronic lymphocytic leukemia: comparison with normal B
cell subsets and correlations with prognostic and clinical parameters.
AB - PURPOSE: Despite its indolent nature, chronic lymphocytic leukemia (CLL) remains
an incurable disease. To establish the potential pathogenic role of miRNAs, the
identification of deregulated miRNAs in CLL is crucial. EXPERIMENTAL DESIGN: We
analyzed the expression of 723 mature miRNAs in 217 early-stage CLL cases and in
various different normal B-cell subpopulations from tonsils and peripheral blood.
RESULTS: Our analyses indicated that CLL cells exhibited a miRNA expression
pattern that was most similar to the subsets of antigen-experienced and marginal
zone-like B cells. These normal subpopulations were used as reference to identify
differentially expressed miRNAs in comparison with CLL. Differences related to
the expression of 25 miRNAs were found to be independent from IGHV mutation
status or cytogenetic aberrations. These differences, confirmed in an independent
validation set, led to a novel comprehensive description of miRNAs potentially
involved in CLL. We also identified miRNAs whose expression was distinctive of
cases with mutated versus unmutated IGHV genes or cases with 13q, 11q, and 17p
deletions and trisomy 12. Finally, analysis of clinical data in relation to miRNA
expression revealed that miR26a, miR532-3p, miR146-5p, and miR29c* were strongly
associated with progression-free survival. CONCLUSION: This study provides novel
information on miRNAs expressed by CLL and normal B-cell subtypes, with
implication on the cell of origin of CLL. In addition, our findings indicate a
number of deregulated miRNAs in CLL, which may play a pathogenic role and promote
disease progression. Collectively, this information can be used for developing
miRNA-based therapeutic strategies in CLL.
PMID- 24916702
TI - Phenolcarboxylic acids from medicinal herbs exert anticancer effects through
disruption of COX-2 activity.
AB - Integrated research of herbs and formulas characterized by functions of promoting
blood circulation and removing blood stasis is one of the most active fields in
traditional Chinese medicine. This paper strives to demonstrate the roles of a
homologous series of phenolcarboxylic acids from these medicinal herbs in cancer
treatment via targeting cyclooxygenase-2 (COX-2), a well-recognized mediator in
tumorigenesis. We selected thirteen typical phenolcarboxylic acids (benzoic acid
derivatives, cinnamic acid derivatives and their dehydration-condensation
products), and found gallic acid, caffeic acid, danshensu, rosmarinic acid and
salvianolic acid B showed 50% inhibitory effects on hCOX-2 activity and A549
cells proliferation. 2D-quantitative method was introduced to describe the
potential structural features that contributed to certain bioactivities. We also
found these compounds underwent responsible hydrogen bonding to Arg120 and Ser353
in COX-2 active site residues. We further extensively focused on danshensu [d-(+)
beta-(3,4-dihydoxy-phenylalanine)] or DSS, which exerted COX-2 dependent
anticancer manner. Both genetic and pharmacological inhibition of COX-2 could
enhance the ability of DSS inhibiting A549 cells growth. Additionally, COX
2/PGE2/ERK signaling axis was essential for the anticancer effect of DSS.
Furthermore, combined treatment with DSS and celecoxib could produce stronger
anticancer effects in experimental lung metastasis of A549 cells in vivo. All
these findings indicated that phenolcarboxylic acids might possess anticancer
effects through jointly targeting COX-2 activity in cancer cells and provided
strong evidence in cancer prevention and therapy for the herbs characterized by
blood-activating and stasis-resolving functions in clinic.
PMID- 24916703
TI - UPLC-Q/TOF MS standardized Chinese formula Xin-Ke-Shu for the treatment of
atherosclerosis in a rabbit model.
AB - Xin-Ke-Shu (XKS), a patent traditional Chinese medicine (TCM) preparation, has
been commonly used for the treatment of coronary heart disease in China. In order
to understand its mechanism of action, a metabonomic approach based on ultra
performance liquid chromatography coupled with quadrupole time-of-flight mass
spectrometry (UPLC-Q/TOF MS) was utilized to profile the plasma metabolic
fingerprints of atherosclerosis (AS) rabbits with and without XKS treatment. The
metabolic profile of model group clearly separated from normal, and that of XKS
group was closer to the control group. Metabolites with significant changes
during atherosclerosis were characterized as potential biomarkers related to the
development of atherosclerosis by using orthogonal partial least-squares
discriminate analysis (OPLS-DA). Twenty potential biomarkers, including l
acetylcarnitine (1), propionylcarnitine (2), unknown (3), phytosphingosine (4),
glycoursodeoxycholic acid (5), LPC(14:0) (6), sphinganine (7), LPC(20:5) (8),
LPC(16:1) (9), LPC(18:2) (10), LPC(18:3) (11), LPC(22:5) (12), LPC(16:0) (13),
LPC(18:1) (14), LPC(22:4) (15), LPC(17:0) (16), LPC(20:2) (17), elaidic carnitine
(18), LPC(18:0) (19) and LPC(20:1) (20), were identified by their accurate mass
and MS(E) spectra. The derivations of those biomarkers can be regulated by
administration of XKS, which suggested that the intervention effect of XKS
against AS may involve in regulating the lipid perturbation including fatty acid
beta-oxidation pathway, sphingolipid metabolism, glycerophospholipid metabolism
and bile acid biosynthesis. This study indicated that the UPLC-Q/TOF MS-based
metabonomics not only gave a systematic view of the pathomechanism of AS, but
also provided a powerful tool to study the efficacy and mechanism of complex TCM
prescriptions.
PMID- 24916704
TI - Antioxidative effects of Panax notoginseng saponins in brain cells.
AB - Oxidative stress resulting from accumulation of reactive oxygen species (ROS) is
involved in cell death associated with neurological disorders such as stroke,
Alzheimer's disease and traumatic brain injury. Antioxidant compounds that
improve endogenous antioxidant defenses have been proposed for neural protection.
The purpose of this study was to investigate the potential protective effects of
total saponin in leaves of Panax notoginseng (LPNS) on oxidative stress and cell
death in brain cells in vitro. Lactate dehydrogenase (LDH) assay indicated that
LPNS (5 MUg/ml) reduced H2O2-induced cell death in primary rat cortical
astrocytes (23+/-8% reduction in LDH release vs. control). Similar protection was
found in oxygen and glucose deprivation/reoxygenation induced SH-SY5Y (a human
neuroblastoma cell line) cell damage (78+/-7% reduction vs. control). The
protective effects of LPNS in astrocytes were associated with attenuation of
reactive oxygen species (ROS) accumulation. These effects involved activation of
Nrf2 (nuclear translocation) and upregulation of downstream antioxidant systems
including heme oxygenase-1 (HO-1) and glutathione S-transferase pi 1 (GSTP1).
These results demonstrate for the first time that LPNS has antioxidative effects
which may be neuroprotective in neurological disorders.
PMID- 24916705
TI - Salvianolic acid A, a matrix metalloproteinase-9 inhibitor of Salvia
miltiorrhiza, attenuates aortic aneurysm formation in apolipoprotein E-deficient
mice.
AB - Aortic aneurysm (AA) is a life-threatening vascular disease in defect of
effective pharmaceutical therapy. Matrix metalloproteinase-9 (MMP-9) is
implicated in the development of chronic vascular diseases including aneurysm,
but the effective MMP-9 inhibitors are far from development. To develop new
candidate for AA therapy, we evaluated the efficiency of salvianolic acid A
(SalA), a novel MMP-9 inhibitor, on AA progression in a mouse model and
characterized the mechanism of action. SalA is a water soluble compound of the
herbal drug Rhizoma Salviae miltiorrhizae (Danshen) which in China is widely used
for the treatment of hypertension, coronary artery diseases and myocardial
infarction. MMPs activity was evaluated by enzyme kinetic analysis in vitro and
in-gel gelatin zymography in vivo. SalA showed selectivity on gelatinase (MMP-2
and MMP-9) than on collagenase (MMP-8 and MMP-13) in vitro, and specificity on
MMP-9 than MMP-2 in vivo. Aortic aneurysm was induced by angiotension II (AngII)
in apolipoprotein E-deficient (ApoE(-/-)) mice. Aortic structure was evaluated by
hematoxylin and eosin, picrosirius red, orein stain. Macrophage infiltration was
detected by immunohistochemistry in vivo and transwell in vitro. Comparing with
doxycycline (Dox), a well-known MMPs inhibitor, SalA showed similar efficiency
against AA progression. SalA significantly decreased aortic diameter and aneurysm
severity, ameliorated integrity of vascular structure, inhibited elastin
fragmentation and macrophage infiltration. Furthermore, SalA showed greater
safety than Dox based on hepatotoxicity evaluation. Our results demonstrated that
SalA held great potential for AA therapy.
PMID- 24916706
TI - Activity of cycloartane-type triterpenes and sterols isolated from Musa
paradisiaca fruit peel against Leishmania infantum chagasi.
AB - The aim of the study was to evaluate in vitro the antileishmanial activity of
triterpenes and sterols isolated from Musa paradisiaca (banana) fruit peel used
traditionally to treat leishmaniasis. The compounds were isolated from the
ethanolic extract of the peel of the banana fruit by column chromatography. The
chemical structure of compounds was determined by (1)H and (13)C - nuclear
magnetic resonance spectroscopy. The cytotoxicity was measured in RAW 264.7 cells
and LLC-MK2. Leishmanicidal activity against L. infantum chagasi promastigotes
was performed by the MTT colorimetric method and activity against amastigotes was
assayed in mammalian cells using in situ ELISA method. Five compounds were
identified, consisting of three triterpenes: cycloeucalenone, 31
norcyclolaudenone and 24-methylene-cicloartanol and a mixture of two sterols:
beta-sitosterol and stigmasterol. With the exception of cycloeucalenone, all
compounds showed statistically similar activity against promastigote to
pentamidine. While, acting against amastigotes, excluding 31-norcyclolaudenone,
other compounds showed activity similar to amphotericin B. All compounds showed
low cytotoxicity in mammalian cells. CONCLUSION: This study partially confirms
the use of Musa paradisiaca in folk medicine against leishmaniasis. Further in
vivo studies are necessary to evaluate the efficacy.
PMID- 24916707
TI - Tolerance and effect of an add-on treatment with a cough medicine containing ivy
leaves dry extract on lung function in children with bronchial asthma.
AB - Ivy leaves dry extract is registered as an expectorant in patients with
respiratory diseases associated with productive cough. Next to its secretolytical
properties, bronchospasmolytical effects are described. However only limited data
exist about a possible therapeutical effect in asthmatic patients. In this double
blind, placebo-controlled, randomized cross-over study, 30 children (median age
9.07 years (min-max: 6-11)) suffering from partial or uncontrolled mild
persistent allergic asthma despite long-term treatment with 400 MUg budesonide
equivalent were investigated. After a four week run-in period, patients either
received ivy leaves dry extract for four weeks in addition to their inhaled
corticosteroid therapy or placebo, followed by a wash-out phase before switching
to the other treatment arm. Lung function, FeNO, exhaled breath condensate pH and
life quality was analyzed after each treatment period. There was a significant
improvement of MEF(75-25), MEF25 and VC after treatment with ivy leaves dry
extract (MEF(75-25) change in the mean 0.115 l/s, p=0.044; MEF25 change in the
mean 0.086 l/s, p=0.041; VC change in the mean 0.052 l, p=0.044), but not after
treatment with placebo. For the primary outcome parameters (relative change of
FEV1 and MEF(75-25) before bronchodilation) no treatment effect could be detected
in the cross-over analysis (FEV1 p=0.6763 and MEF(75-25) p=0.6953). This proof-of
concept study indicates that children with mild uncontrolled asthma despite
regular inhaled corticosteroid therapy might benefit from an additional therapy
with ivy leaves dry extract. However, further studies are needed.
PMID- 24916708
TI - Inhibitory effect of paeoniflorin on methylglyoxal-mediated oxidative stress in
osteoblastic MC3T3-E1 cells.
AB - PURPOSE: Methylglyoxal (MG) has been suggested to be one major source of
intracellular reactive carbonyl compounds. In the present study, the effect of
paeoniflorin on MG-induced cytotoxicity was investigated using osteoblastic MC3T3
E1 cells. METHODS: Osteoblastic MC3T3-E1 cells were pre-incubated with
paeoniflorin before treatment with MG, and markers of oxidative damage and
mitochondrial function were examined. RESULTS: Pretreatment of MC3T3-E1 cells
with paeoniflorin prevented the MG-induced cell death and formation of
intracellular reactive oxygen species, cardiolipin peroxidation, and protein
adduct in osteoblastic MC3T3-E1 cells. In addition, paeoniflorin increased
glutathione level and restored the activity of glyoxalase I to almost the control
level. These findings suggest that paeoniflorin provide a protective action
against MG-induced cell damage by reducing oxidative stress and by increasing MG
detoxification system. Pretreatment with paeoniflorin prior to MG exposure
reduced MG-induced mitochondrial dysfunction by preventing mitochondrial membrane
potential dissipation and adenosine triphosphate loss. Additionally, the nitric
oxide and nuclear respiratory factor 1 levels were significantly increased by
paeoniflorin, suggesting that paeoniflorin may induce mitochondrial biogenesis.
Paeoniflorin treatment decreased the levels of proinflammatory cytokines such as
TNF-alpha and IL-6. CONCLUSIONS: These findings indicate that paeoniflorin might
exert its therapeutic effects via upregulation of glyoxalase system and
mitochondrial function. Taken together, paeoniflorin may prove to be an effective
treatment for diabeteic osteopathy.
PMID- 24916709
TI - New ways of defining protein and energy relationships in inborn errors of
metabolism.
AB - Dietary restrictions required to manage individuals with inborn errors of
metabolism (IEM) are essential for metabolic control, however may result in an
increased risk to both short and long-term nutritional status. Dietary factors
most likely to influence nutritional status include energy intake, protein
quality and quantity, micronutrient intake and the frequency and extent to which
the diet must be altered during periods of increased physical or metabolic
stress. Patients on the most restrictive diets, including those with intakes
consisting of low levels of natural protein or those with recurrent illness or
frequent metabolic decompensation carry the most nutritional risk. Due to the
difficulties in determining condition specific requirements, dietary intake
recommendations and nutritional monitoring tools used in patients with IEM are
the same as, or extrapolated from, those used in healthy populations. As a
consequence, evidence is lacking for the safest dietary prescriptions required to
manage these patients long term, as tolerance to dietary therapy is generally
described in terms of metabolic stability rather than long term nutritional and
health outcomes. As the most frequent therapeutic dietary manipulation in IEM is
alteration in dietary protein, and as protein status is critically dependent on
adequate energy provision, the use of a Protein to Energy ratio (P:E ratio) as an
additional tool will better define the relationship between these critical
components. This could accurately define dietary quality and ensure that not only
an adequate, but also a safe and balanced intake is provided.
PMID- 24916710
TI - Letter to the editor: symptomatic pulmonary embolus after joint arthroplasty:
stratification of risk factors.
PMID- 24916711
TI - Reply to the letter to the editor: symptomatic pulmonary embolus after joint
arthroplasty: stratification of risk factors.
PMID- 24916712
TI - Isolation and reversible dimerization of a selenium-selenium three-electron sigma
bond.
AB - Three-electron sigma-bonding that was proposed by Linus Pauling in 1931 has been
recognized as important in intermediates encountered in many areas. A number of
three-electron bonding systems have been spectroscopically investigated in the
gas phase, solution and solid matrix. However, X-ray diffraction studies have
only been possible on simple noble gas dimer Xe?Xe and cyclic framework
constrained N?N radical cations. Here, we show that a diselena species modified
with a naphthalene scaffold can undergo one-electron oxidation using a large and
weakly coordinating anion, to afford a room-temperature-stable radical cation
containing a Se?Se three-electron sigma-bond. When a small anion is used, a
reversible dimerization with phase and marked colour changes is observed: radical
cation in solution (blue) but diamagnetic dimer in the solid state (brown). These
findings suggest that more examples of three-electron sigma-bonds may be
stabilized and isolated by using naphthalene scaffolds together with large and
weakly coordinating anions.
PMID- 24916713
TI - Experience with OxyELITE pro and acute liver injury in active duty service
members.
AB - 1,3-dimethylamylamine (DMAA) is a common additive in sport supplements that was
banned by the FDA in 2013. Specifically, this additive received much publication
for its role in causing adverse cardiovascular events, particularly sudden
cardiac death. However, it has been our experience that products containing this
additive may also lead to acute liver injury and liver failure. We present a
series of seven cases encountered by a military treatment facility in Southern
California which involved the use of OxyELITE Pro, a sport supplement containing
DMAA, that all resulted in acute liver injury with two cases requiring transplant
for acute liver failure. To our knowledge, this is the first case series reported
involving OxyELITE Pro or other DMAA-containing supplements with a specific focus
on acute liver injury. This review is limited by the paucity of clinical studies
and trials based on OxyElite Pro and its effect on the liver. The presented cases
are notably observation, and no standardized diagnostic or treatment protocol was
utilized. This series is important to the general population as a whole due to
the prevalence of sport supplement use, and is particularly important for
practitioners who work with the military or athletic populations due to the high
use in these demographics. These cases are followed by a brief discussion
regarding DMAA.
PMID- 24916714
TI - Metabolic kinetics of 5-hydroxytryptamine and the research targets of functional
gastrointestinal disorders.
AB - 5-Hydroxytryptamine (5-HT) is an important neurotransmitter in both the central
and enteric nervous systems. It has diverse functions in regulating
gastrointestinal motility and visceral sensitivity, emotion, appetite, pain and
sensory perception, cognition, sexual activity and sleep. These functions are
mainly associated with the metabolic kinetics of 5-HT in different tissues.
Tryptophan hydroxylase is the rate-limiting enzyme and modulates serotonin
synthesis. Vesicular monoamine transporter 1 plays a role in 5-HT storage and
release. Degradation of 5-HT is mediated by monoamine oxidase-A. All these
factors influence the action of 5-HT in vivo. Functional gastrointestinal
disorders (FGIDs) are characterized by a series of symptoms including abdominal
pain, diarrhea, constipation, anxiety and depression, in the absence of
identifiable structural or biochemical abnormalities. They are frequently
accompanied by changed gut motility or visceral sensitivity. An increasing body
of research has found FGIDs to be closely associated with 5-HT, and drugs such as
citalopram, paroxetine, venlafaxine, alosetron, tegaserod, prucalopride and
mosapride have all been developed or discovered from the perspective of the
metabolic kinetics of 5-HT. This review discusses the relationship between the
metabolic kinetics of 5-HT and research targets in the field of FGIDs and
suggests areas of future study that may be useful for understanding these
disorders and identification of potential therapeutic targets.
PMID- 24916715
TI - Pharmacokinetics of colon-specific pH and time-dependent flurbiprofen tablets.
AB - Present research deals with the development of compression-coated flurbiprofen
colon-targeted tablets to retard the drug release in the upper gastro intestinal
system, but progressively release the drug in the colon. Flurbiprofen core
tablets were prepared by direct compression method and were compression coated
using sodium alginate and Eudragit S100. The formulation is optimized based on
the in vitro drug release study and further evaluated by X-ray imaging and
pharmacokinetic studies in healthy humans for colonic delivery. The optimized
formulation showed negligible drug release (4.33 +/- 0.06 %) in the initial lag
period followed by progressive release (100.78 +/- 0.64 %) for 24 h. The X-ray
imaging in human volunteers showed that the tablets reached the colon without
disintegrating in the upper gastrointestinal tract. The C max of colon-targeted
tablets was 12,374.67 ng/ml at T max 10 h, where as in case of immediate release
tablets the C max was 15,677.52 ng/ml at T max 3 h, that signifies the ability of
compression-coated tablets to target the colon. Development of compression-coated
tablets using combination of time-dependent and pH-sensitive approaches was
suitable to target the flurbiprofen to colon.
PMID- 24916716
TI - The associations between psychosocial working conditions and changes in common
mental disorders: a follow-up study.
AB - BACKGROUND: Common mental disorders (CMD) are prevalent in working populations
and have adverse consequences for employee well-being and work ability, even
leading to early retirement. Several studies report associations between
psychosocial working conditions and CMD. However, there is a lack of longitudinal
research within a broad framework of psychosocial working conditions and
improvement in CMD. The aim of this study was to examine the associations between
several psychosocial working conditions and deteriorating and improving CMD among
ageing employees over a five-to-six-year follow-up period. METHODS: The study is
based on the Helsinki Health Study baseline survey in 2001-2002 and a follow-up
in 2007 (N = 4340, response rate 83%) conducted among 40-60-year-old female and
male employees. The General Health Questionnaire (GHQ-12) was used to measure
common mental disorders. Psychosocial working conditions were measured in terms
of job strain, organisational justice, work-family interface, social support and
workplace bullying. The covariates included sociodemographic and health factors.
RESULTS: Following adjustment for all the covariates, family-to-work (OR 1.41,
95% Cl 1.04-1.91) and work-to-family conflicts (OR 1.99, 95% Cl 1.42-2.78) and
workplace bullying (OR 1.40, 95% Cl 1.09-1.79) were associated with
deterioration, and family-to-work conflicts (OR 1.65, 95% Cl 1.66-2.34) and
social support (OR 1.47, 95% Cl 1.07-2.00) with improvement in CMD. CONCLUSIONS:
Adverse psychosocial working conditions contribute to poor mental health among
employees. Preventing workplace bullying, promoting social support and achieving
a better balance between work and family may help employees to maintain their
mental health.
PMID- 24916717
TI - Semi-imprinting quercetin into poly[N,N-dimethylacrylamide-co-3, 9-divinyl-2, 4,
8, 10-tetraoxaspiro (5.5) undecane] network: evaluation of the antioxidant
character.
AB - A responsive antioxidant system constituted from quercetin inserted into poly[N,N
dimethylacrylamide-co-3, 9-divinyl-2, 4, 8, 10-tetraoxaspiro (5.5) undecane]
through a semi-imprinted procedure was evaluated. A continuous magnetic field
(MF) was used during supramolecular structure preparation. The strength of
coupling quercetin was evaluated based on the template release from the polymeric
matrices, as well as to what extent quercetin reloaded into the polymer matrix in
prescribed conditions--with or without the MF presence--shows antioxidant
properties. The antioxidant activity of the complex was investigated by radical
inhibitor activity method using 2, 2-diphenyl-l-picrylhydrazyl. The evaluation of
the homogeneity distribution of the quercetin inside the polymeric network was
made by near-infrared chemical imaging and correspondingly statistical analysis.
For in vivo biocompatibility investigation, granuloma test in rats was performed
correlated with the activity of enzymes involved in oxidative stress as well as
immunologic effects of tested supramolecular complexes that include quercetin as
therapeutic agent.
PMID- 24916718
TI - In vitro isolation and cultivation of human chondrocytes for osteoarthritis
renovation.
AB - The purpose of this study was to evaluate the repair effects of chondrocytes that
were cultured in vitro on osteoarthritis (OA). Chondrocytes were isolated from
fetal rabbits and cultured in Biosilon microcarriers. Sixty rabbits were randomly
divided into three groups equally (blank group, model group, treatment group).
The rabbit knee OA model was established by inducing papain. Rabbits in the
treatment group were injected with the chondrocytes that were cultured in vitro.
Hematoxylin-eosin (HE) staining and gross morphologic observation were conducted.
Expression level of cytokines such as IL-1bbeta, IL-6, and TNF-alpha in cartilage
synovial cells was also analyzed by an ELISA assay. The cultured chondrocyte was
validated by a positive stain of type II collagen and vimentin by
immunofluorescence. Compared to the model group, the articular cartilage of the
rabbit knee in the treatment group showed a normal color, smooth surface, and
none of malacia and coloboma. HE staining indicated that the articular surface of
the treatment group tended to be smooth and flat; the matrix stained tinge and
the cartilage destruction and fiber hyperplasia of the synovia were lightened.
The expression levels of IL-1bbeta, IL-6, and TNF-alpha also declined in the
treatment group. OA symptoms were improved by treating with chondrocytes. In
summary, the animal experiment in the present study indicated that chondrocyte
injection played an active effect on renovation of OA.
PMID- 24916719
TI - Dietary protein sources in early adulthood and breast cancer incidence:
prospective cohort study.
AB - OBJECTIVE: To investigate the association between dietary protein sources in
early adulthood and risk of breast cancer. DESIGN: Prospective cohort study.
SETTING: Health professionals in the United States. PARTICIPANTS: 88,803
premenopausal women from the Nurses' Health Study II who completed a
questionnaire on diet in 1991. MAIN OUTCOME MEASURE: Incident cases of invasive
breast carcinoma, identified through self report and confirmed by pathology
report. RESULTS: We documented 2830 cases of breast cancer during 20 years of
follow-up. Higher intake of total red meat was associated with an increased risk
of breast cancer overall (relative risk 1.22, 95% confidence interval 1.06 to
1.40; P(trend) = 0.01, for highest fifth v lowest fifth of intake). However,
higher intakes of poultry, fish, eggs, legumes, and nuts were not related to
breast cancer overall. When the association was evaluated by menopausal status,
higher intake of poultry was associated with a lower risk of breast cancer in
postmenopausal women (0.73, 0.58 to 0.91; P(trend) =0.02, for highest fifth v
lowest fifth of intake) but not in premenopausal women (0.93, 0.78 to 1.11;
P(trend) = 0.60, for highest fifth v lowest fifth of intake). In estimating the
effects of exchanging different protein sources, substituting one serving/day of
legumes for one serving/day of red meat was associated with a 15% lower risk of
breast cancer among all women (0.85, 0.73 to 0.98) and a 19% lower risk among
premenopausal women (0.81, 0.66 to 0.99). Also, substituting one serving/day of
poultry for one serving/day of red meat was associated with a 17% lower risk of
breast cancer overall (0.83, 0.72 to 0.96) and a 24% lower risk of postmenopausal
breast cancer (0.76, 0.59 to 0.99). Furthermore, substituting one serving/day of
combined legumes, nuts, poultry, and fish for one serving/day of red meat was
associated with a 14% lower risk of breast cancer overall (0.86, 0.78 to 0.94)
and premenopausal breast cancer (0.86, 0.76 to 0.98). CONCLUSION: Higher red meat
intake in early adulthood may be a risk factor for breast cancer, and replacing
red meat with a combination of legumes, poultry, nuts and fish may reduce the
risk of breast cancer.
PMID- 24916720
TI - Evaluation of two-step haemoglobin screening with HemoCue for blood donor
qualification in mobile collection sites.
AB - BACKGROUND AND OBJECTIVES: Inaccuracy of fingerstick haemoglobin compromises
donor's health and losses blood donations. We evaluated the benefit of double
haemoglobin screening with HemoCue. STUDY DESIGN AND METHODS: Blood donors
underwent fingerstick screening by HemoCue and were driven for donation if
capillary haemoglobin was within the regulatory range. Those failing were drawn
venous blood and donated if their venous haemoglobin determined with HemoCue was
acceptable. RESULTS: Of 276 605 donor clinic visits, 10 011 (3.6%) were assessed
by two-step haemoglobin screening using HemoCue, because of low (n = 9444) or
high (n = 567) capillary haemoglobin. Among these, 2561 (25.6%) were deemed
eligible [recovered donations]. The recovery rate was 23.8% and 55.0% among
donors presenting with low and high capillary haemoglobin, respectively. In both
categories of attempted donations, capillary and venous haemoglobin with HemoCue
correlated significantly in recovered donors (R(2) ~ 0.5-0.7) but not in
deferred visits (R(2) < 0.15). Venous haemoglobin with HemoCue and by
haematological analyzer significantly correlated in all donations attempts (R(2)
~ 0.7). Donors presenting with low capillary haemoglobin showed small bias
between capillary and venous haemoglobin by HemoCue (-2.4 +/- 6.2 g/l),
fingerstick haemoglobin and venous haemoglobin with counter (1.3 +/- 7.3 g/l),
and venous haemoglobin with HemoCue and counter (3.7 +/- 3.9 g/l). This bias was
slightly greater in donors with high capillary haemoglobin (-7.5 +/- 7.8, 13.7 +/
7.5, and 6.2 +/- 7.5, respectively). Double haemoglobin screening by HemoCue
reached an accuracy of 87.3% for qualifying donors presenting with low
fingerstick haemoglobin. CONCLUSIONS: Double haemoglobin measurement with HemoCue
[fingerstick and venous blood if required] is feasible and allows a significant
recovery of blood donations.
PMID- 24916721
TI - Multilocus sequence analysis of 'Candidatus Phytoplasma asteris' strain and the
genome analysis of Turnip mosaic virus co-infecting oilseed rape.
AB - AIM: Molecular characterization of a pathogenic complex infecting winter oilseed
rape (Brassica napus ssp. oleifera (DC.) Metzg.) plants showing typical rape
phyllody symptoms along with some atypical changes. METHODS AND RESULTS:
Phytoplasma ('Candidatus Phytoplasma') presence was confirmed by PCR-RFLP and 16S
rRNA gene sequencing. Phylogenetic analyses of phytoplasma amp, tufB, secY, groEL
and ribosomal protein genes confirmed its affiliation to the 'Ca. P. asteris'
species. However, in the amp gene encoding a specific protein crucial for insect
transmission specificity, significant SNPs were found. Biological and serological
tests revealed the co-infection with Turnip mosaic virus (TuMV). The phylogenetic
analysis of full TuMV genome sequence, the first reported from the Balkans,
classified it into the world-B phylogenetic lineage. CONCLUSIONS: A pathogenic
complex consisting of 'Ca. P. asteris' and TuMV found to co-infect oilseed rape
plants for the first time was molecularly characterized. SIGNIFICANCE AND IMPACT
OF THE STUDY: Rape phyllody is a serious problem in rapeseed production. The
molecular information from this first multi-gene analysis of 'Ca. P. asteris'
strain associated with rape phyllody as well as the first report of the complete
sequence of TuMV isolate from the Balkans is a starting point for understanding
the disease complexity and management.
PMID- 24916723
TI - Separating xylene isomers by commensurate stacking of p-xylene within channels of
MAF-X8.
AB - The development of energy-efficient processes for selective separation of p
xylene from mixtures with its isomers is of vital importance in the petrochemical
industries. Current industrial practice uses BaX zeolite that has high adsorption
selectivity for p-xylene. Finding para-selective structures is challenging. With
state-of-the-art simulation methodologies we systematically screened a wide
variety of zeolites and metal-organic frameworks (MOFs). Our investigations
highlight the crucial importance of the channel dimension on the separation. MAF
X8 is particularly noteworthy because the channel dimensions and geometry allow
"commensurate stacking" which we exploit as a separation mechanism at saturation
conditions. Due to a significantly improved capacity compared to BaX, the cycle
times for p-xylene with MAF-X8 are found to be about a factor of 4.5 longer. This
is expected to result in significant process improvements.
PMID- 24916722
TI - Individual Differences in the Psychobiological Response to Psychosocial Stress
(Trier Social Stress Test): The Relevance of Trait Anxiety and Coping Styles.
AB - The main objective of this study was to investigate the contribution of some
personality traits to the physiological and psychological response to a
standardized laboratory psychosocial stressor (trier social stress test).
Cortisol and affective response (anxiety and mood) were analysed in a mixed-sex
group composed of 35 young adults who participated in a crossover design (18 men
and 17 women). After verifying a statistically significant response to the trier
social stress test in all parameters studied in both sex groups, exploratory
cluster analyses were carried out to identify sub-groups based on their
psychophysiological responses. These analyses showed two different groups:
subjects displaying lower psychological response along with higher cortisol
response (cluster 1) compared with the group with high affective reactivity along
with lower cortisol response (cluster 2). Interestingly, we also found
significant differences in trait anxiety and coping styles when the two clusters
were compared. Subjects in cluster 1 showed lower scores on trait anxiety and
higher scores on active coping, whereas the subjects in the second cluster
obtained higher scores on anxiety and on coping focused on emotions and mental
disengagement. These findings support the importance of personality traits and
coping styles in understanding the overall integrative psychobiological
responsiveness to social stress.
PMID- 24916724
TI - Interventions to improve adolescents' contraceptive behaviors in low- and middle
income countries: a review of the evidence base.
AB - OBJECTIVE(S): Many adolescents in developing countries have an unmet need for
contraception, which can contribute to poor reproductive health outcomes. Recent
literature reviews have not adequately captured effective contraceptive services
and interventions for adolescents in low- and middle-income countries (LMICs).
This study aims to identify and evaluate the existing evidence base on
contraceptive services and interventions for adolescents in LMICs that report an
impact on contraceptive behavior outcomes. STUDY DESIGN: Structured literature
review of published and unpublished papers about contraceptive services and
interventions for adolescents in LMICs that report an impact on contraceptive
behavior outcomes. RESULTS: We identify common elements used by programs that
measured an impact on adolescent contraceptive behaviors and summarize outcomes
from 15 studies that met inclusion criteria. Effective programs generally
combined numerous program approaches and addressed both user and service
provision issues. Overall, few rigorous studies have been conducted in LMICs that
measure contraceptive behaviors. Few interventions reach the young, the out of
school and other vulnerable groups of adolescents. CONCLUSION(S): Though the
evidence base is weak, there are promising foundations for adolescent
contraceptive interventions in nearly every region of the world. We offer
recommendations for programmers and identify gaps in the evidence base to guide
future research.
PMID- 24916725
TI - The shame of existing: an extreme form of shame.
AB - This paper presents 'the shame of existing' as a form of shame that is deeper and
more extensive than those customarily encountered. 'The shame of existing' is
defined as shame about existing as we are and especially at the fact that we are.
It is accompanied by merciless and total rejection of the subject's self and by
feelings of extreme worthlessness and inferiority coupled with the all-pervasive
conviction that it would be better not to exist. On the basis of clinical
material, consideration is given to the specific transference and
countertransference aspects of analyses in which the shame of existing
constitutes an important part of the patient's pathology. Disturbance of handling
and holding by the primary objects right from birth is suggested as the earliest
developmental basis of the shame of existing. This disturbance is attributed to
hate and rejection of the infant by the primary objects and to the infant's not
being touched emotionally and physically and not having its right to exist
acknowledged. The paper begins with an introduction to shame as an affect and as
a concept in psychoanalytic theory.
PMID- 24916726
TI - Editorial.
PMID- 24916727
TI - Predictors of anxiety symptoms in the gynecological outpatient setting: The Thai
experience.
AB - Objective. To examine the prevalence and predictors of anxiety in women attending
a gynecological outpatient service. Method. A total of 271 women who came to a
gynecological outpatient clinic at a large university hospital in Bangkok,
Thailand, were asked to complete the state sub-scale of the Spielberger State
Trait Anxiety Index (STAI) and a questionnaire to obtain demographic, medical and
gynecological information as well as a questionnaire to assess social support. A
cutoff score of >= 46 on the STAI was considered to indicate the presence of
moderate-severe anxiety. Result. One hundred (36.9%) subjects met criteria for
anxiety. Anxious women were more likely to be young and to have had an abortion
at an earlier age. They were more likely to reside in rural Thailand, report
perception of low social support, present with abnormal vaginal discharge and to
have a greater number of gynecological symptoms than non-anxious women.
Additionally, anxious women were less likely to have knowledge about their
diagnosis compared to non-anxious women. Conclusion. Clinically meaningful levels
of anxiety are common among women attending an outpatient gynecological clinic.
Awareness of risk factors for anxiety in these women will aid medical personnel
in identifying those in need of additional support and/or mental health services.
PMID- 24916728
TI - Switching to duloxetine from selective serotonin reuptake inhibitors in non- or
partial responders: Results from a Spanish sample.
AB - Objectives. To evaluate the efficacy and safety of switching from a selective
serotonin reuptake inhibitor (SSRI) to duloxetine in non- or partial responders.
Methods. This is a post-hoc analysis of the pooled data of the Spanish sample
from an open-label, multicentre study. Additionally, a 6-month continuation
safety phase was performed. Results. A total of 156 patients were switched to
duloxetine from SSRIs. More than 83% completed the acute phase, of whom 75% went
into the continuation phase. At baseline, the mean duration of SSRI treatment was
71.2 weeks and the HAM-D17 mean score was 22.4. In the acute-phase, symptoms
severity significantly improved after 10 weeks of duloxetine treatment as
measured by mean change from baseline in HAM-D17 total score (-10.5; P<0.001) and
all secondary efficacy measures, including painful symptoms. Response (>=50%
decrease in HAM-D17 total score) and remission rates (HAM-D17 total score <= 7)
were 52.9 and 27.7%, respectively. The most common adverse events reported in
both phases were headache (11.5% [acute]; 6.1% [continuation]) and nausea (6.4%
[acute]; 5.1% [continuation]). Conclusions. In a population of Spanish SSRI non-
and partial responders, switch to duloxetine was associated with significant
improvement in emotional and painful symptoms of depression. Duloxetine was well
tolerated and safe during both phases.
PMID- 24916729
TI - Treating depression with different galenical drug formulations: Does it make a
difference? The comparison of mirtazapine fast dissolving formulation (FDT) with
conventional mirtazapine tablets (CT).
AB - Objective. To assess clinical advantages of fast dissolving tablet (FDT)
formulation of mirtazapine by comparison to conventional (CT) mirtazapine tablets
in the treatment of depressed patients. Methods. A posteriori analyses of pooled
data of a total of 30 studies (of at least 6 weeks duration, total N=3510) with
CT and FDT in depressed patients was performed. Weight changes were recorded at
baseline and regular intervals until the end of the study. Patient preferences
for the one or the other formulation, as well as the appraisal of the FDT
qualities, were assessed by means of a global internet-based survey including
5,428 patients. Results. Compared with mirtazapine CT, the FDT was associated
with an average 0.3 kg less weight increase (P=0.0015) during the 6 weeks of
treatment. The qualities and preference for FDT (global survey) were positively
evaluated by the majority of patients. Particular advantages of FDT over CT were:
better compliance (47.3% of raters), taste, ease and overall convenience of use
(>75% of raters). Conclusions. The FDT mirtazapine differed from conventional
tablets (CT) not only regarding somewhat less weight increase and overall use
preference, but more importantly, regarding better compliance with treatment.
PMID- 24916730
TI - Screening for bipolar disorder in medicated patients treated for unipolar
depression in a psychiatric outpatient clinic using the Mood Disorder
Questionnaire.
AB - Objective. The aim of this study was to examine the rate of the misdiagnosis of
bipolar disorder in outpatients who had been treated for unipolar depression with
antidepressants in Taiwan and to verify the validity of the Mood Disorder
Questionnaire (MDQ) in this population. Method. Fifty-three outpatients who had
been treated for unipolar depression were recruited. All patients completed the
MDQ and were administered the Structured Clinical Interview. The sensitivity and
specificity were calculated for each possible MDQ symptom cut-off score relative
to a Structured Clinical Interview diagnosis of bipolar spectrum disorder.
Results. A total of 11.3% were diagnosed with bipolar spectrum disorder. The
optimal model we found contained only the first portion of the MDQ with a cut-off
score of 2. This model provided both good sensitivity (0.83) and specificity
(0.53). Conclusion. The misdiagnosis of bipolar disorder as unipolar depression
disorder is prevalent. Based on the results of the current study, the probability
of bipolar disorder in depression outpatients who are in a mildly depressed state
and score higher than 2 points in the first portion of the MDQ deserves to be
investigated.
PMID- 24916731
TI - Algorithm-driven treatment for bipolar disorder in Korea: Clinical feasibility,
efficacy, and safety.
AB - Objective. The Korean Medication Algorithm Project for Bipolar Disorder (KMAP-BP
2002) was developed in 2002. The aim of this study was to evaluate the clinical
feasibility, efficacy, and safety of implementation of the KMAP-BP 2002 algorithm
in clinical situations. Methods. Participating investigators were asked to follow
the KMAP-BP 2002 algorithm as much as possible, but they were allowed to change
their treatment strategies as they considered necessary. The enrolled patients
were followed for 4 months. Results. A total of 126 bipolar patients were
consecutively enrolled and 73% were treated according to the KAMP-BP 2002
algorithm. The majority (79%) of manic patients demonstrated a treatment response
at the starting step and were thus treated with only one step of the algorithm.
An atypical antipsychotic drug was coadministered with a mood stabilizer from the
beginning of treatment in almost all manic patients. There was a significant
improvement in manic symptoms (F=187.32, P<0.001) over the 4-month testing
period. There was no significant increase in side effects at the endpoint.
Overall, the investigators were satisfied with implementing the algorithm.
Conclusion. These results suggest that the KMAP-BP 2002 algorithm could be
implemented effectively and safely in clinical practice.
PMID- 24916732
TI - Treatment response and duration of maintenance treatment with adjunctive
antidepressants in bipolar depression: A retrospective chart review.
AB - Objective. The aim of this study was to investigate the treatment response and
optimal maintenance period of antidepressants to minimize the risk of switching
in bipolar depression in clinical practice. Methods. In a retrospective chart
review, 78 bipolar patients, treated for a depressive episode by adding
antidepressant to ongoing mood-stabilizing medications and had been followed for
at least 6 months were identified. We determined recovery to euthymia and/or
switching into mania during the 6-month follow-up period and estimated the time
from antidepressant initiation to mood change. Results. Antidepressants treatment
responses were classified into four groups. In one group, depression was
sustained for 6 months despite continuous antidepressant treatment (poor-response
group, 10.3%). In the second, abrupt switch into mania occurred during
antidepressant treatment (acute-switch group, 19.2%). In the third, the
depression improved to euthymia without manic switching (good-response group,
50%). In the fourth, the depression improved to euthymia but manic switching
occurred during maintenance with antidepressants (delayed-switch group, 20.5%),
and the mean duration of antidepressants maintenance was 54.6+/-38.9 days.
Conclusions. Bipolar depression has heterogeneous treatment responses to
adjunctive antidepressant. Antidepressants should be discontinued within 8 weeks
after improvement to euthymia to minimize the risk of manic switching.
PMID- 24916733
TI - A descriptive, open-label, long-term follow-up survey of psychotic patients
receiving risperidone long-acting injectable: Preliminary results.
AB - Objective. To assess clinical development in patients with psychotic disorders
who received risperidone long-acting injectable (RLAI) in combination with
psychosocial interventions as part of daily clinical practice in France. Methods.
In this 18-month survey, patients were started on bi-monthly RLAI injections and
integrated in a psychosocial treatment programme. Clinical progression was
evaluated using the Clinical Global Impression of Severity (CGI-S) and Global
Assessment of Functioning (GAF) scales. In addition, data on patient
characteristics, adherence, RLAI dosage, concomitant medications and rates and
durations of hospitalization were collected. Results. Of the total of 120
patients included in the survey, 95 (79.2%) had previously received other
treatments. Non-adherence was the most frequently reported reason for changing to
RLAI (93 patients, 97.9%). With RLAI treatment, mean CGI-S scores improved from
5.6+/-0.5 at baseline to 3.6+/-1.1 at 18 months, whilst mean GAF scores increased
from 34.0+/-12.7 to 67+/-13.5 (both P<0.0001). Furthermore, patients had fewer
and shorter hospitalizations during the 18 months of RLAI treatment, compared to
the preceding 18 months. Conclusions. Patients with psychotic disorders benefited
from RLAI treatment in combination with psychosocial interventions, as shown by
improvements in their clinical status and functioning and reduced hospitalization
rates.
PMID- 24916734
TI - Schizophrenic patients have a preference for symmetrical rectangles: A comparison
with preferences of university students.
AB - Objective. We examined figure preferences of 26 schizophrenic patients and a
control group of 53 controls (university students). Methods. Figures utilized
included a square and six different rectangles of equal area (26 cm(2)). The
schizophrenic patients and normal university students were asked to draw either a
horizontal or a vertical line to divide each rectangle at the most aesthetically
pleasing location. Results. Both the schizophrenic patients and the normal
university students tended to divide the rectangles into symmetric parts. This
finding suggests that both groups prefer symmetry, in accordance with the Gestalt
of Pragnanz, which states that symmetrical figures represent regularity and
simplicity, and that people tend to prefer "good figures". Compared with normal
university students, however, schizophrenic patients preferred extremely
symmetrical figures to asymmetrical figures. Conclusion. We suggest that
schizophrenic patients' extreme preference for symmetry reflects their generally
static stances and poor flexibility, as well as a defect in their ability to
synthesize a whole object from its parts.
PMID- 24916735
TI - Follow-up psychotherapy outcome of patients with dependent, avoidant and
obsessive-compulsive personality disorders: A meta-analytic review.
AB - Assessing the number of patients who maintain their gains after the completion of
therapy has been of interest to psychotherapy outcome researchers. The current
study examines evidence related to the maintenance of treatment gains in
individuals diagnosed with Cluster C personality disorders. Fifteen studies,
published between 1982 and 2006, met the criteria for inclusion. The effect size
standardized mean difference statistic was applied. In the majority of cases,
most of the improvement occurred between pretreatment and posttreatment. However,
social skills training often produced effect sizes that were larger for
posttreatment follow-up. The study indicates that therapy gains are usually
maintained at follow-up for Cluster C clients treated with cognitive-behavioral
and psychodynamic approaches as well as social skills training. Uncertainty
remains whether DPD, AVPD or OCPD patients benefited most from therapy.
PMID- 24916737
TI - Erratum to: The significance of osteitis in rhinosinusitis.
PMID- 24916736
TI - How does parental smoking affect nasal mucociliary clearance in children?
AB - Correlation between passive smoking and nasal mucociliary clearance (MCC) in
pediatric population has not been reported before. Therefore, in this study, we
aimed to investigate the relationship between environmental tobacco smoke and
nasal MCC in children whose parents smoke in or outside the house. Three groups
of subjects were evaluated: control group (group 1) with 18 children who were not
exposed to environmental smoke, 15 passive smokers living with at least one adult
household member smoking outside the house (group 2), 17 passive smokers living
with at least one adult household member smoking inside the house (group 3).
Parents of children were asked to answer our questions regarding their smoking
history, and nasal MCC time was assessed for all individuals of the 3 groups. The
mean MCC value in control group, group 2 and group 3 were 7.33 +/- 2.91, 10.00 +/
4.78 and 12.41 +/- 3.44, respectively. Differences between the mean nasal MCC
values of the groups were statistically significant (p < 0.01). The comparison of
MCC values between control group and group 2 did not reveal significant
difference, but since p value was very close to significance level, in larger
series it could be significant. (p = 0.067). Also, when we compared the MCC
values between group 2 and group 3, there was no significant difference (p =
0.173). But, the difference between MCC values of control group and group 3 was
statistically significant (p < 0.001). Parental smoking both inside or outside
the house seemed to increase nasal mucociliary clearance time when they are
compared with healthy controls. Further studies with larger study groups also
measuring direct quantitative doses of smoking are needed to verify this
important issue.
PMID- 24916738
TI - Is there a relationship between objective and subjective assessment of balance in
elderly patients with instability?
AB - To assess whether a subjective questionnaire that measures the disability caused
by balance disorders in daily life activities is correlated to objective
assessment of balance in elderly patients with age-related instability. We
included 37 subjects aged 65 years or more who presented balance disorders
induced solely by age. Balance assessment was through the sensory organisation
test and limits of stability of computerised dynamic posturography, the SwayStar
system and the modified timed up and go test. The patients also completed the
dizziness handicap inventory (DHI) questionnaire. The SwayStar balance control
index (BCI) was most significantly correlated to the DHI score and the score of
its different scales. When we divided the patients into subgroups according to
DHI score, we only found statistically significant differences in the BCI and
number of falls. In our population of elderly patients with instability, there is
practically no correlation between the DHI and the static balance assessment.
However, there is greater correlation with the BCI, which could show that dynamic
balance is perceived as more disabling for these patients. In this case, when
designing a rehabilitation protocol we should focus more on dynamic activities
such as gait.
PMID- 24916739
TI - A group-specific arbitrary tradition in chimpanzees (Pan troglodytes).
AB - Social learning in chimpanzees has been studied extensively and it is now widely
accepted that chimpanzees have the capacity to learn from conspecifics through a
multitude of mechanisms. Very few studies, however, have documented the existence
of spontaneously emerged traditions in chimpanzee communities. While the rigour
of experimental studies is helpful to investigate social learning mechanisms,
documentation of naturally occurring traditions is necessary to understand the
relevance of social learning in the real lives of animals. In this study, we
report on chimpanzees spontaneously copying a seemingly non-adaptive behaviour
("grass-in-ear behaviour"). The behaviour entailed chimpanzees selecting a stiff,
straw-like blade of grass, inserting the grass into one of their own ears,
adjusting the position, and then leaving it in their ear during subsequent
activities. Using a daily focal follow procedure, over the course of 1 year, we
observed 8 (out of 12) group members engaging in this peculiar behaviour.
Importantly, in the three neighbouring groups of chimpanzees (n = 82), this
behaviour was only observed once, indicating that ecological factors were not
determiners of the prevalence of this behaviour. These observations show that
chimpanzees have a tendency to copy each other's behaviour, even when the
adaptive value of the behaviour is presumably absent.
PMID- 24916740
TI - The relationship between posttraumatic stress and posttraumatic growth among
adolescent and young adult (AYA) cancer patients.
AB - OBJECTIVE: Theories of posttraumatic growth suggest that some degree of distress
is necessary to stimulate growth; yet, investigations of the relationship between
stress and growth following trauma are mixed. This study aims to understand the
relationship between posttraumatic stress symptoms and posttraumatic growth in
adolescent and young adult (AYA) cancer patients. METHOD: 165 AYA patients aged
14-39 years at diagnosis completed standardized measures of posttraumatic stress
and posttraumatic growth at 12 months following diagnosis. Locally weighted
scatterplot smoothing and regression were used to examine linear and curvilinear
relationships between posttraumatic stress and posttraumatic growth. RESULTS: No
significant relationships between overall posttraumatic stress severity and
posttraumatic growth were observed at 12-month follow-up. However, curvilinear
relationships between re-experiencing (a posttraumatic stress symptom) and two of
five posttraumatic growth indicators (New Possibilities, Personal Strengths) were
observed. CONCLUSION: Findings suggest that re-experiencing is associated with
some aspects of posttraumatic growth but not others. Although re-experiencing is
considered a symptom of posttraumatic stress disorder, it also may represent a
cognitive process necessary to achieve personal growth for AYAs. Findings call
into question the supposed psychopathological nature of re-experiencing and
suggest that re-experiencing, as a cognitive process, may be psychologically
adaptive. Opportunities to engage family, friends, cancer survivors, or health
care professionals in frank discussions about fears, worries, or concerns may
help AYAs re-experience cancer in a way that enhances their understanding of what
happened to them and contributes to positive adaptation to life after cancer.
PMID- 24916742
TI - Temperature variability and childhood pneumonia: an ecological study.
AB - BACKGROUND: Few data on the relationship between temperature variability and
childhood pneumonia are available. This study attempted to fill this knowledge
gap. METHODS: A quasi-Poisson generalized linear regression model combined with a
distributed lag non-linear model was used to quantify the impacts of diurnal
temperature range (DTR) and temperature change between two neighbouring days
(TCN) on emergency department visits (EDVs) for childhood pneumonia in Brisbane,
from 2001 to 2010, after controlling for possible confounders. RESULTS: An
adverse impact of TCN on EDVs for childhood pneumonia was observed, and the
magnitude of this impact increased from the first five years (2001-2005) to the
second five years (2006-2010). Children aged 5-14 years, female children and
Indigenous children were particularly vulnerable to TCN impact. However, there
was no significant association between DTR and EDVs for childhood pneumonia.
CONCLUSIONS: As climate change progresses, the days with unstable weather pattern
are likely to increase. Parents and caregivers of children should be aware of the
high risk of pneumonia posed by big TCN and take precautionary measures to
protect children, especially those with a history of respiratory diseases, from
climate impacts.
PMID- 24916741
TI - Oral exposure of pubertal male mice to endocrine-disrupting chemicals alters fat
metabolism in adult livers.
AB - The potential for the exposure of humans and wildlife to environmental endocrine
disrupting chemicals (EDCs) has been increasing. Risk assessment for such EDCs is
primarily based on detecting the main endpoints related to the endocrine and
reproductive systems, while the effects on glucose and fat metabolism have only
received limited attention. In this study, pubertal male C57BL/6J mice were
orally administered 10 mg/kg body weight cypermethrin (CYP), 100 mg/kg body
weight atrazine (ATZ), and 0.1 mg/kg body weight 17alpha-ethynyestradiol (EE2)
for 4 weeks and then switched to a high-energy diet (HD) for 8 weeks. The body
weight gain in the EDC-treated groups was lower than that in the control group
during exposure and then tended to show values similar to the HD group. The
epididymal fat weight, cell size and serum triacylglycerol (TG) and total
cholesterol (TCH) levels in the EDC-HD groups were lower than those in the HD
group. The transcription of genes related to glycolytic and gluconeogenic
processes in the liver was affected by EDC exposure. Furthermore, the expression
levels of transcriptional factors including PPARalpha, PPARgamma, and SREBP1C and
their target genes related to fatty acid synthesis and oxidation in the liver
were also influenced by early life EDC administration. The results showed that
early-life-stage exposure to high doses of various environmental EDCs affected
the homeostasis of glucose and fatty acid metabolism in the livers of adult male
mice.
PMID- 24916743
TI - New workforce development in dementia care: screening for "caring": preliminary
data.
AB - The United States has a significant shortage of trained geriatricians and of
nurses, social workers, and paraprofessionals educated to care for elderly
adults. As the aging population continues to grow, providing high-quality care
will require new models that better address the many needs of aging individuals
and their caregivers, using cost-effective strategies. Responding to this need,
the Indiana University Center for Aging Research implementation scientists
developed, tested, and are now scaling up a successful collaborative care
coordination model for older adults with dementia, depression, or both: the Aging
Brain Care program. This model now includes a newly created frontline care
provider position, the Care Coordinator Assistant. The Care Coordinator Assistant
works with individuals and caregivers to monitor biopsychosocial needs and
deliver evidence-based and individualized care protocols, with close supervision
from the registered nurse Care Coordinator. Recognizing that current hiring
practices for frontline providers were insufficient to screen for critical
abilities expected in this new position, including the ability to express
"caring" and empathy, a new screening process was created building on the
Multiple Mini Interview (MMI) format. The Care Coordinator Assistant MMI
comprised six stations, each created to simulate challenging scenarios that will
be frequently encountered and to assess important candidate abilities. Overall,
the six-station MMI, with two to three items per station, provided factorially
valid measures and good predictive ability. The process did not appear to be
overly burdensome for candidates, and interviewers noted that it was helpful in
discriminating between candidates.
PMID- 24916744
TI - The clinical behavior of transplantable recurrent hepatocellular carcinoma after
curative resection: implications for salvage liver transplantation.
AB - BACKGROUND: This study aimed to classify transplantable recurrent hepatocellular
carcinoma (HCC) after resection into subgroups according to the pattern of
progression and to identify risk factors for each subgroup to select optimal
candidates for salvage liver transplantation (LT). METHODS: The patients that met
the Milan criteria (MC) and were child-pugh class A at initial hepatectomy were
included in the study. Of these patients, the patients with transplantable
recurrence were identified and further divided into two groups according to the
recurrent HCC progression pattern. Group 1 contained patients with controlled
tumors within the MC. Group 2 contained patients with progressive tumors that
spread beyond the MC. A controlled tumor was defined as the absence of tumor
recurrence after locoregional treatment for >=12 months or control of a recurrent
tumor within the MC by active locoregional treatment. RESULTS: After curative
resection of HCC, 114 patients with transplantable recurrence were identified: 70
were classified as group 1 and 44 as group 2. Overall survival after recurrence
was significantly higher in group 1 compared to group 2 (65.4 vs 35.7 %,
respectively; P < 0.003). Multiple logistic regression analysis showed that risk
factors in group 1 were age >50 years and an indocyanine green retention at 15
min >10 %. The presence of a satellite nodule (SN) and/or microscopic portal vein
invasion (mPVI) was the only independent risk factor identified in group 2. Among
the 15 patients that underwent salvage LT, 2 of 3 patients (66.7 %) with SN
and/or mPVI at initial hepatectomy developed extrahepatic recurrence.
CONCLUSIONS: The patients with SN and/or mPVI at initial hepatectomy may not be
candidates for salvage LT, and an extended observation time is required to
determine tumor biology.
PMID- 24916746
TI - Surgical resection after down-staging of locally advanced hepatocellular
carcinoma by localized concurrent chemoradiotherapy.
AB - BACKGROUND: This study evaluated the down-staging efficacy and impact on
resectability of concurrent chemoradiotherapy (CCRT) followed by hepatic arterial
infusion chemotherapy (HAIC) in locally advanced hepatocellular carcinoma, and
identified prognostic factors of disease-free survival (DFS) and overall survival
(OS) after curative resection. METHODS: DFS and OS were investigated using
clinicopathologic variables. Functional residual liver volume (FRLV) was assessed
before CCRT and again before surgery in patients with major hepatectomy. Tumor
marker response was defined as elevated tumor marker levels at diagnosis but
levels below cutoff values before surgery (alpha-fetoprotein < 20 ng/mL, protein
induced by vitamin K absence or antagonist-II < 40 mAU/mL). RESULTS: Of 243
patients who received CCRT followed by HAIC between 2005 and 2011, 41 (16.9 %)
underwent curative resection. Tumor down-staging was demonstrated in 32 (78 %) of
the resected patients. FRLV significantly increased from 47.5 to 69.9 % before
surgery in patients who underwent major hepatectomy. In addition, the OS of the
curative resection group was significantly higher than the OS of the CCRT
followed by HAIC alone group (49.6 vs. 9.8 % at 5-year survival; p < 0.001). By
multivariate analysis, the poor prognostic factors for DFS after curative
resection were tumor marker non-response and the presence of a satellite nodule;
however, tumor marker non-response was the only independent poor prognostic
factor of OS. CONCLUSIONS: CCRT followed by HAIC increased resectability by down
staging tumors and increasing FRLV. Curative resection may provide good long-term
survival in tumor marker responders who undergo CCRT followed by HAIC.
PMID- 24916745
TI - Prognostic impact of CA 19-9 on outcome after neoadjuvant chemoradiation in
patients with locally advanced pancreatic cancer.
AB - BACKGROUND: To asses the impact of CA 19-9 and weight loss/gain on outcome after
neoadjuvant chemoradiation (CRT) in patients with locally advanced pancreatic
cancer (LAPC). METHODS: We analyzed 289 patients with LAPC treated with CRT for
LAPC. All patients received concomitant chemotherapy parallel to radiotherapy and
adjuvant treatments. CA 19-9 and body weight were collected as prognostic and
predictive markers. All patients were included into a regular follow-up with
reassessment of resectability. RESULTS: Median overall survival in all patients
was 14 months. Actuarial overall survival was 37 % at 12 months, 12 % at 24
months, and 4 % at 36 months. Secondary resectability was achieved in 35 % of the
patients. R0/R1 resection was significantly associated with increase in overall
survival (p = 0.04). Intraoperative radiotherapy was applied in 50 patients, but
it did not influence overall survival (p = 0.05). Pretreatment CA 19-9
significantly influenced overall survival using different cutoff values. With
increase in CA 19-9 levels, the possibility of secondary surgical resection
decreased from 46 % in patients with CA 19-9 levels below 90 U/ml to 31 % in the
group with CA 19-9 levels higher than 269 U/ml. DISCUSSION: This large group of
patients with LAPC treated with neoadjuvant CRT confirms that CA 19-9 and body
weight are strong predictive and prognostic factors of outcome. In the future,
individual patient factors should be taken into account to tailor treatment.
PMID- 24916747
TI - Does obesity affect outcomes of cytoreductive surgery and hyperthermic
intraperitoneal chemoperfusion for disseminated mucinous appendiceal neoplasms?
AB - BACKGROUND: Obesity has been described as a risk factor for surgical
complications and may play a prominent role in the progression, recurrence, and
survival rates of various cancers. Our objective was to investigate the impact of
being overweight or obese on perioperative and oncologic outcomes after
cytoreductive surgery (CRS) and hyperthermic intraperitoneal chemoperfusion
(HIPEC) for peritoneal carcinomatosis (PC) from mucinous appendiceal neoplasms
(MAN). METHODS: From a prospectively maintained database (2001-2010) of CRS/HIPEC
for PC from MAN, we evaluated the body mass index (BMI) of patients, categorizing
them into normal weight (NW < 25 kg/m(2)), overweight (OW = 25 to 29.9 kg/m(2)),
and obese (OB >= 30 kg/m(2)). We compared the perioperative and oncologic
outcomes among groups. RESULTS: Of the 282 patients in the database, 234 had BMI
data available, and 81, 79, and 74 patients were categorized as NW, OW, and OB,
respectively. Although there was a trend toward increased risk of overall
complications, wound infections, deep vein thrombosis, respiratory and renal
complications, and anastomotic leaks in the OW and OB groups, these differences
only achieved statistical significance for renal (p = 0.03) and pulmonary (p =
0.02) complications in the OW and OB groups, respectively. The 5-year survival
rate for NW, OW, and OB patients was 63.9, 48, and 54.4 %, respectively (p =
0.63). The median time to progression was 21.1 (NW), 21.7 (OW), and 23.9 (OB)
months (p = 0.83). CONCLUSIONS: OW and OB patients may have an increased risk of
renal and pulmonary complications, respectively. Obesity has no major impact on
perioperative mortality and long-term oncologic outcomes in patients undergoing
CRS/HIPEC for MAN.
PMID- 24916749
TI - Thoracopulmonary actinomycosis, a case report of a 42-year-old man with coughing
and a bump in his right axilla.
AB - The diagnosis of thoracopulmonary actinomycosis is challenging because it is a
rare disease, symptoms are aspecific and can mimic a lot of other lung
pathologies. Especially the differential diagnosis with pulmonary tuberculosis is
difficult because clinical symptoms are often very similar. We present a case of
thoracopulmonary abcedating actinomycosis in a young immunocompetent man with no
predisposing illness. He was initially treated for pulmonary tuberculosis. He
showed good response to IV penicillin, which was later switched to oral
amoxicillin when he went home.
PMID- 24916748
TI - Use of synthetic cathinones and cannabimimetics among injection drug users in San
Diego, California.
AB - BACKGROUND: Use of synthetic cathinones (SC) and cannabimimetics (i.e., "THC
homologues" [TH]) is associated with adverse health effects. We investigated the
epidemiology of synthetic drug use among a cohort of injection drug users (IDUs)
in San Diego, California. METHODS: We used logistic regression analysis to
identify correlates of SC and TH use among 485 IDUs enrolled from June 2012 to
September 2013. RESULTS: Seven percent of participants reported ever using SC and
30% reported ever using TH. In multivariate logistic regression, age and recent
hospitalization were significantly associated with odds of SC use (Adjusted Odds
Ratio [AOR] 0.93, 95% Confidence Interval [C.I.] 0.90, 0.97; and AOR 2.34 95%
C.I. 1.00, 5.49, respectively) and TH use (AOR 0.96, 95% C.I. 0.94, 0.98; and AOR
2.62, 95% C.I. 1.47, 4.68, respectively). Use of methamphetamine (AOR 9.35, 95%
C.I. 1.20, 72.79) and club drugs in the past six months (AOR 3.38, 95% C.I. 1.17,
9.76) were significantly associated with SC use. Being on probation/parole (AOR
2.42, 95% C.I. 1.44, 4.07), initiating injection drug use with stimulants (AOR
1.89 95% C.I. 1.13, 3.16), and past six-month marijuana (AOR 9.22, 95% C.I. 4.49,
18.96) and prescription drug use (AOR 1.98, 95% C.I. 1.20, 3.27) were
significantly associated with TH use. CONCLUSIONS: A considerable proportion of
IDU use synthetic drugs and may experience harms associated with their use.
Findings have implications for criminal justice system management. Prevention
efforts should emphasize the risks associated with rapidly changing synthetic
formulations, and the potential harms associated with polydrug use.
PMID- 24916750
TI - Postprandial reactive hypoglycaemia in a very old patient.
AB - OBJECTIVE AND IMPORTANCE: Postprandial reactive hypoglycaemia (PRH) is a clinical
syndrome characterized by the recurrence of symptomatic hypoglycaemia during
postprandial periods. PRH remains a diagnostic challenge for clinicians, because
of its atypical manifestations and low prevalence, especially in older persons.
CLINICAL PRESENTATION: We report the diagnostic work-up of severe hypoglycaemic
episodes in a very old patient in whom the diagnosis of PRH was made.
INTERVENTION: We prescribed acarbose, an alpha-glucosidase inhibitor, to this
patient to prevent the recurrence of hypoglycaemic episodes. Four years later,
acarbose was always used and no further episode of hypoglycaemia had occurred.
Based on the literature, we discuss the limited value of endocrine tests as well
as the efficacy of the therapeutic approaches. CONCLUSION: Prescription of
acarbose is useful in addition to nutritional education, the corner stone of the
treatment, to avoid the recurrence of severe hypoglycaemic events due to PRH.
PMID- 24916751
TI - Epstein-Barr virus serology and PCR: conflicting results in an immunocompetent
host. A case report and review of literature.
AB - We present the case of a 27-year-old immunocompetent man who progressively
developed a generalized lymphadenopathy and B symptoms. Results of Epstein-Barr
virus (EBV) serology were suggestive for a past infection, but the EBV viral load
in whole blood was high. Also, core needle biopsy of the largest lymph node
showed an image which could fit an EBV-driven reactive lymphoproliferation.
Despite the absence of an immune disorder, all medical evidence points to an EBV
driven lymphoproliferative proces. In immunocompetent patients, it seems
extremely uncommon to detect a high EBV viral load in the absence of serological
evidence of an acute EBV infection or reactivation. We reviewed literature on
this topic and on the selection of the appropriate sample type for EBV PCR, as
this is known to be a critical point. Serological testing for the diagnosis of
EBV infection is the gold standard in immunocompetent patients. Measuring EBV
viral load is only recommended when dealing with immunocompromised patients.
Although extremely rare, this case report shows that there is a place for EBV PCR
in certain situations in immunocompetent patients. Besides, there is still no
consensus regarding the specimen of choice for the determination of the EBV viral
load. The preferred specimen type seems to depend on the patient's underlying
condition.
PMID- 24916752
TI - Laboratory diagnosis of schistosomiasis and Katayama syndrome in returning
travellers.
AB - The gold standard for laboratory diagnosis of schistosomiasis is the presence of
typical eggs in stool or urine. The laboratory diagnosis of schistosomiasis and
Katayama syndrome in returning travellers is difficult because the number of
excreted eggs is often very limited. In early infections and in patients with
only a few contacts with contaminated water, the total number of parasites,
migrating larvae or schistosomulae, and adult worms, is very low. Eggs can only
be found in faeces or urine when there is at least one pair of adult worms at the
final location. The number of parasites increases as a function of the number of
contacts with infected water. The exact latency between contamination and egg
production is unknown. It is estimated that excretion of eggs starts after 40-50
days. The specific diagnosis of early schistosomiasis and Katayama fever relies
essentially on serologic tests or preferably on PCR (if available). These assays
are much more sensitive (up to four times) in the early phase of schistosomiasis
than microscopic examination for typical eggs. Eosinophilia (sometimes exceeding
50%) is often present in patients with acute schistosomiasis (Katayama fever),
but may be limited or absent in late fibrotic manifestations of the disease.
PMID- 24916753
TI - Sleep in the Intensive Care Unit: A Review.
AB - Patients in the intensive care unit (ICU) are susceptible to sleep deprivation.
Disrupted sleep is associated with increased morbidity and mortality in the
critically ill patients. The etiology of sleep disruption is multifactorial. The
article reviews the literature on sleep in the ICU, the effects of sleep
deprivation, and strategies to promote sleep in the ICU. Until the impact of
disrupted sleep is better explained, it is appropriate to provide critically ill
patients with consolidated, restorative sleep.
PMID- 24916754
TI - Evaluation of the Clinical Utility of Routine Daily Chest Radiography in
Intensive Care Unit Patients With Tracheostomy Tubes: A Retrospective Review.
AB - BACKGROUND: The utilization of imaging procedures is under scrutiny due to high
costs and radiation exposure to patients and staff associated with some
radiologic procedures. Within our institution's intensive care unit (ICU), it is
common for patients to undergo chest radiography (CR) not only immediately
following tracheostomy tube placement but also on a daily basis, irrespective of
the patient's clinical status. We hypothesize that the clinical utility of
performing routine daily CR on patients with tracheostomy tubes is low and leads
to unnecessary financial cost. METHODS: A retrospective medical chart review was
done on 761 CRs performed on 79 ICU patients with tracheostomy from April 2010 to
July 2011. We searched the radiology reports of the 761 CRs for the presence of
new radiographically detected complications and reviewed medical records to
determine which complications were clinically suspected and which radiology
reports led to changes in patient management. RESULTS: Of the 761 CRs, only 18
(2.3%) radiographs revealed new complications. All complications were clinically
suspected prior to imaging. Only 5 (0.7%) complications resulted in a management
change. The most common management changes were a change in antibiotic regimen
(0.3%) and ordering of diuretics (0.3%). CONCLUSIONS: Routine daily imaging of
patients with tracheostomy in an ICU provides little clinical utility, and CR in
this population should be performed selectively based on the patient's clinical
status.
PMID- 24916755
TI - Characteristics and Outcomes of Ethics Consultations in an Oncologic Intensive
Care Unit.
AB - OBJECTIVE: To evaluate the frequency, characteristics, and outcomes of ethics
consultations in critically ill patients with cancer. DESIGN, SETTING, AND
METHODS: This is a retrospective analysis of all adult patients with cancer who
were admitted to the intensive care unit (ICU) of a comprehensive cancer center
and had an ethics consultation between September 2007 and December 2011.
Demographic and clinical variables were abstracted along with the details and
contexts of the ethics consultations. MAIN RESULTS: Ethics consultations were
obtained on 53 patients (representing 1% of all ICU admissions). The majority
(90%) of patients had advanced-stage malignancies, had received oncologic
therapies within the past 12 months, and required mechanical ventilation and/or
vasopressor therapy for respiratory failure and/or severe sepsis. Two-thirds of
the patients lacked decision-making capacity and nearly all had surrogates. The
most common reasons for ethics consultations were disagreements between the
patients/surrogates and the ICU team regarding end-of-life care. After ethics
consultations, the surrogates agreed with the recommendations made by the ICU
team on the goals of care in 85% of patients. Moreover, ethics consultations
facilitated the provision of palliative medicine and chaplaincy services to
several patients who did not have these services offered to them prior to the
ethics consultations. CONCLUSION: Our study showed that ethics consultations were
helpful in resolving seemingly irreconcilable differences between the ICU team
and the patients' surrogates in the majority of cases. Additionally, these
consultations identified the need for an increased provision of palliative care
and chaplaincy visits for patients and their surrogates at the end of life.
PMID- 24916756
TI - Dermatologic therapy in geriatric patients.
AB - Demographic changes in our society will lead to an increasing proportion of
elderly people. Age-associated multimorbidity often results in polypharmacy and
elevates the risk of adverse drug reactions. Decisive alterations in
pharmacokinetics and pharmacodynamics are detectable in old age, primarily a
decrease in total body water, an altered ratio of muscle mass to fatty tissue,
and decreased renal function. Changes in gastrointestinal transit, plasma protein
binding, hepatic drug metabolism, and an increased susceptibility to drug-induced
cognitive decompensation have also been reported. All these alterations should be
considered in geriatric dermatotherapy to minimize drug-related complications
caused by over- or underdosage and drug interactions.
PMID- 24916757
TI - Liver failure and transplantation after duodenal switch.
AB - Liver failure (LF) and liver transplant (LT) are rare after a biliopancreatic
diversion/duodenal switch procedure for obesity, but occasionally it may happen.
Two clinical cases are presented. One patient, 18 years ago, had LF, but a liver
donor could not be found, and the patient died. The second patient, 2 years ago,
received a successful LT and is now well and asymptomatic. Careful follow-up,
medical management and surgical intervention may prevent the occurrence of LF.
Transplantation and bowel reversal may be necessary.
PMID- 24916758
TI - Simultaneous enhancement of excitation and postburst inhibition at the end of
focal seizures.
AB - OBJECTIVE: Comprehension of the events that lead to seizure termination
contributes to the development of strategies to confine propagation of ictal
discharges. It is commonly assumed that the inhibitory control fails during
seizures and recovers after the end of the ictal event. We examine the
possibility that a progressive increase of inhibition that counters an increase
in the strength of excitation contributes to terminating a focal seizure.
METHODS: We analyzed seizures acutely induced by pharmacological manipulations
(bicuculline and 4-aminopyridine) in the entorhinal cortex and in the hippocampus
of the in vitro isolated guinea pig brain. RESULTS: As seizures ended,
extracellular and intracellular recordings showed periodic bursting that
progressively decreased in frequency. During the late bursting phase, the
duration, number, and rate of occurrence of spikes within single bursts remained
constant, whereas cumulative spike amplitude (index of excitation during a burst)
and interburst interval (index of inhibition between bursts) progressively
increased. The increment of average/cumulative burst excitation and interburst
interval toward seizure end was confirmed in human focal seizures recorded with
intracerebral electrodes in patients with drug-resistant partial epilepsies. A
postburst refractory period of circa 2 seconds that increases with time toward
the end of the seizure was confirmed in the experimental model by probing
interburst epochs in the CA1 region with local dentate gyrus stimulation just
suprathreshold for burst generation. INTERPRETATION: Our findings support the
concept that focal seizures are terminated by the simultaneous and opposing
enhancement of excitation (burst activity) in addition to postburst inhibition.
We hypothesize that a seizure stops when postburst inhibition becomes large
enough to prevent reactivation of excitation.
PMID- 24916759
TI - Population genetic data and forensic parameters of 30 autosomal InDel markers in
Santa Catarina State population, Southern Brazil.
AB - The application of DNA technology in forensic investigations has grown rapidly in
the last 25 years and with an exponential increase of short tandem repeats (STRs)
data, usually presented as allele frequencies, that may be later used as
databases for forensic and population genetics purposes. Thereby, classes of
molecular markers such as single nucleotide polymorphisms and
insertions/deletions (InDels) have been presented as another option of genetic
marker sets. These markers can be used in paternity cases, when mutations in STR
polymorphisms are present, as well as in highly degraded DNA analysis. In the
present study, the allele frequencies and heterozygosity (H) of a 30 InDel
markers set were determined and the forensic efficacy was evaluated through
estimation of discrimination power (DP), match probability, typical paternity
index and power of paternity exclusion in 108 unrelated volunteers from the State
of Santa Catarina (South Brazil). The observed H per locus showed a range between
0.370 and 0.574 (mean = 0.479). HLD128 was the locus with the highest DP (DP =
0.656). DP for all markers combined was greater than 99.9999999999646 % which
provides satisfactory levels of information for forensic demands. Genetic
comparisons (exact tests of population differentiation and pairwise genetic
distances) revealed that the population of Santa Catarina State differs from
Korea and USA Afro-American populations but is similar to the Portuguese, German,
Polish, Spanish and Basque populations.
PMID- 24916760
TI - American Cancer Society prostate cancer survivorship care guidelines.
AB - Prostate cancer survivors approach 2.8 million in number and represent 1 in 5 of
all cancer survivors in the United States. While guidelines exist for timely
treatment and surveillance for recurrent disease, there is limited availability
of guidelines that facilitate the provision of posttreatment clinical follow-up
care to address the myriad of long-term and late effects that survivors may face.
Based on recommendations set forth by a National Cancer Survivorship Resource
Center expert panel, the American Cancer Society developed clinical follow-up
care guidelines to facilitate the provision of posttreatment care by primary care
clinicians. These guidelines were developed using a combined approach of evidence
synthesis and expert consensus. Existing guidelines for health promotion,
surveillance, and screening for second primary cancers were referenced when
available. To promote comprehensive follow-up care and optimal health and quality
of life for the posttreatment survivor, the guidelines address health promotion,
surveillance for prostate cancer recurrence, screening for second primary
cancers, long-term and late effects assessment and management, psychosocial
issues, and care coordination among the oncology team, primary care clinicians,
and nononcology specialists. A key challenge to the development of these
guidelines was the limited availability of published evidence for management of
prostate cancer survivors after treatment. Much of the evidence relies on studies
with small sample sizes and retrospective analyses of facility-specific and
population databases.
PMID- 24916761
TI - AglB, catalyzing the oligosaccharyl transferase step of the archaeal N
glycosylation process, is essential in the thermoacidophilic crenarchaeon
Sulfolobus acidocaldarius.
AB - Sulfolobus acidocaldarius, a thermo-acidophilic crenarchaeon which grows
optimally at 76 degrees C and pH 3, exhibits an astonishing high number of N
glycans linked to the surface (S-) layer proteins. The S-layer proteins as well
as other surface-exposed proteins are modified via N-glycosylation, in which the
oligosaccharyl transferase AglB catalyzes the final step of the transfer of the
glycan tree to the nascent protein. In this study, we demonstrated that AglB is
essential for the viability of S. acidocaldarius. Different deletion approaches,
that is, markerless in-frame deletion as well as a marker insertion were
unsuccessful to create an aglB deletion mutant. Only the integration of a second
aglB gene copy allowed the successful deletion of the original aglB.
PMID- 24916762
TI - Serum amyloid A and haptoglobin concentrations are increased in plasma of mares
with ascending placentitis in the absence of changes in peripheral leukocyte
counts or fibrinogen concentration.
AB - PROBLEM: Currently, placentitis, an important cause of late pregnancy loss in
mares, is diagnosed by clinical signs and ultrasonography. Acute phase proteins
(APP) are mainly produced and secreted by the liver in response to acute
inflammatory stimuli. We hypothesized that APP are increased in mares with
placentitis. METHOD OF STUDY: Concentrations of serum amyloid A (SAA),
haptoglobin (Hp), fibrinogen (Fb), and white blood cell counts (WBC) were
determined in plasma of mares with experimentally induced placentitis and
gestationally age-matched control mares. Placentitis was induced via
intracervical inoculation of Streptococcus equi subspecies zooepidemicus, a
common isolate from clinical cases of bacterial placentitis. Concentrations of
SAA and Hp were also determined in the 10 days pre-partum in normal mares.
RESULTS AND CONCLUSION: Mares with placentitis aborted within 5-25 days after
inoculation. Concentrations of SAA and Hp rapidly increased subsequent to
experimental induction of placentitis and remained increased until abortion.
Neither Fb nor WBC appeared to be useful markers for placentitis. Parturition did
not trigger increase in either SAA or Hp in normal foaling mares.
PMID- 24916763
TI - Is retrograde flexible nephrolithotripsy feasible for calyceal diverticular
stone?
AB - The aim of this study is to manage the calyceal diverticular (CD) stone, several
treatment options were applied but it is still a challenging condition of
endourology. In this retrospective study, we aim to report the results of our
patients with CD stones treated with retrograde flexible nephrolithotripsy
(RFNL). A total of 47 patients (55 procedures) who underwent RFNL by a single
surgeon for CD stones from January 2004 to March 2013 were evaluated
retrospectively. The demographic properties and initial complaints of those
patients have been recorded along with their comorbidities and previous history
of stone management. Moreover, the size and number of the stones, the duration of
the surgery, fluoroscopy time, stone-free rate (SFR) and postoperative
complications of the patients have been evaluated. The mean age was 41.8 years
(range 16-71). Of the study population, 31 (65.9%) were male. Mean stone size was
21.1 (7-52) mm whereas in 3 (6.4%) of the cases the stones could not be reached.
Mean duration of the surgery was 92.8 (57-163) min whereas mean fluoroscopy time
was 35.9 (14-103) s. After 3 months of follow-up period, the SFR was 85.1%. No
major complications occurred. RFNL with holmium laser and nitinol basket catheter
application is a feasible and successful procedure in the management of CD
stones. With its high success rates, low incidence of complications and minimal
morbidity when compared with other treatment options, RFNL has the potential of
becoming the preferred treatment option in the minimally invasive management of
patients with CD stones.
PMID- 24916764
TI - An investigation into dental anxiety amongst paediatric cardiology patients.
AB - BACKGROUND: There is evidence that children with cardiac conditions have high
levels of untreated dental disease. One possible explanation is that they are
more dentally anxious as a result of increased exposure to medical interventions.
Therefore, the primary aim of this study was to compare the level of dental
anxiety between paediatric cardiology patients and healthy children. METHODS: The
study group comprised 54 children (mean age 12.2 years) who attended the
outpatient paediatric cardiology clinic in tertiary care. The control group (n =
53, mean age 12.38 years) was recruited from consultant-led new-patient
orthodontic clinics. Child dental anxiety was measured using the Modified Child
Dental Anxiety Scale (faces version). The parents completed the Modified Dental
Anxiety Scale along with a questionnaire regarding their child's medical and
dental histories. RESULTS: The mean level of dental anxiety was significantly
higher in the study group (P < 0.05). Analysis of covariance indicated that
overnight hospital admission history may have influenced the strength of this
relationship. CONCLUSIONS: Paediatric cardiology patients had significantly
increased levels of dental anxiety. It is likely that aspects of their medical
history, notably overnight hospital admissions, are contributory factors.
PMID- 24916765
TI - Longitudinal relations among parents' reactions to children's negative emotions,
effortful control, and math achievement in early elementary school.
AB - Panel mediation models and fixed-effects models were used to explore longitudinal
relations among parents' reactions to children's displays of negative emotions,
children's effortful control (EC), and children's math achievement (N = 291; M
age in fall of kindergarten = 5.66 years, SD = .39 year) across kindergarten
through second grade. Parents reported their reactions and children's EC. Math
achievement was assessed with a standardized achievement test. First-grade EC
mediated the relation between parents' reactions at kindergarten and second-grade
math achievement, beyond stability in constructs across study years. Panel
mediation model results suggested that socialization of EC may be one method of
promoting math achievement in early school; however, when all omitted time
invariant covariates of EC and math achievement were controlled, first-grade EC
no longer predicted second-grade math achievement.
PMID- 24916766
TI - Mesenchymal precursor cells maintain the differentiation and proliferation
potentials of breast epithelial cells.
AB - INTRODUCTION: Stromal-epithelial interactions play a fundamental role in tissue
homeostasis, controlling cell proliferation and differentiation. Not
surprisingly, aberrant stromal-epithelial interactions contribute to
malignancies. Studies of the cellular and molecular mechanisms underlying these
interactions require ex vivo experimental model systems that recapitulate the
complexity of human tissue without compromising the differentiation and
proliferation potentials of human primary cells. METHODS: We isolated and
characterized human breast epithelial and mesenchymal precursors from reduction
mammoplasty tissue and tagged them with lentiviral vectors. We assembled
heterotypic co-cultures and compared mesenchymal and epithelial cells to cells in
corresponding monocultures by analyzing growth, differentiation potentials, and
gene expression profiles. RESULTS: We show that heterotypic culture of non
immortalized human primary breast epithelial and mesenchymal precursors maintains
their proliferation and differentiation potentials and constrains their growth.
We further describe the gene expression profiles of stromal and epithelial cells
in co-cultures and monocultures and show increased expression of the tumor growth
factor beta (TGFbeta) family member inhibin beta A (INHBA) in mesenchymal cells
grown as co-cultures compared with monocultures. Notably, overexpression of INHBA
in mesenchymal cells increases colony formation potential of epithelial cells,
suggesting that it contributes to the dynamic reciprocity between breast
mesenchymal and epithelial cells. CONCLUSIONS: The described heterotypic co
culture system will prove useful for further characterization of the molecular
mechanisms mediating interactions between human normal or neoplastic breast
epithelial cells and the stroma, and will provide a framework to test the
relevance of the ever-increasing number of oncogenomic alterations identified in
human breast cancer.
PMID- 24916767
TI - Transcriptomic analysis of Sorghum bicolor responding to combined heat and
drought stress.
AB - BACKGROUND: Abiotic stresses which include drought and heat are amongst the main
limiting factors for plant growth and crop productivity. In the field, these
stress types are rarely presented individually and plants are often subjected to
a combination of stress types. Sorghum bicolor is a cereal crop which is grown in
arid and semi-arid regions and is particularly well adapted to the hot and dry
conditions in which it originates and is now grown as a crop. In order to better
understand the mechanisms underlying combined stress tolerance in this important
crop, we have used microarrays to investigate the transcriptional response of
Sorghum subjected to heat and drought stresses imposed both individually and in
combination. RESULTS: Microarrays consisting of 28585 gene probes identified gene
expression changes equating to ~4% and 18% of genes on the chip following drought
and heat stresses respectively. In response to combined stress ~20% of probes
were differentially expressed. Whilst many of these transcript changes were in
common with those changed in response to heat or drought alone, the levels of
2043 specific transcripts (representing 7% of all gene probes) were found to only
be changed following the combined stress treatment. Ontological analysis of these
'unique' transcripts identified a potential role for specific transcription
factors including MYB78 and ATAF1, chaperones including unique heat shock
proteins (HSPs) and metabolic pathways including polyamine biosynthesis in the
Sorghum combined stress response. CONCLUSIONS: These results show evidence for
both cross-talk and specificity in the Sorghum response to combined heat and
drought stress. It is clear that some aspects of the combined stress response are
unique compared to those of individual stresses. A functional characterization of
the genes and pathways identified here could lead to new targets for the
enhancement of plant stress tolerance, which will be particularly important in
the face of climate change and the increasing prevalence of these abiotic stress
types.
PMID- 24916768
TI - The mono - and sesquiterpene content of aphid-induced galls on Pistacia
palaestina is not a simple reflection of their composition in intact leaves.
AB - Pistacia palaestina Boiss. (Anacardiaceae), a sibling species of P. terebinthus
also known as turpentine tree or terebinth tree, is common in the Levant region.
The aphid Baizongia pistaciae L. manipulates the leaves of the plant to form
large galls, which provide both food and protection for its developing offspring.
We analyzed the levels and composition of mono-and sesquiterpenes in both leaves
and galls of ten naturally growing trees. Our results show that monoterpene
hydrocarbons are the main constituents of P. palaestina leaves and galls, but
terpene levels and composition vary among trees. Despite this inter-tree
variation, terpene levels and compositions in galls from different trees resemble
each other more than the patterns displayed by leaves from the same trees.
Generally, galls contain 10 to 60 fold higher total terpene amounts than leaves,
especially of the monoterpenes alpha-pinene and limonene. Conversely, the leaves
generally accumulate more sesquiterpenes, in particular E-caryophyllene,
germacrene D and delta-cadinene, in comparison to galls. Our results clearly show
that the terpene pattern in the galls is not a simple reflection of that of the
leaves and suggest that aphids have a strong impact on the metabolism of their
host plant, possibly for their own defense.
PMID- 24916769
TI - Changes in dopamine transporter binding in nucleus accumbens following chronic
self-administration cocaine: heroin combinations.
AB - Concurrent use of cocaine and heroin (speedball) has been shown to exert
synergistic effects on dopamine neurotransmission in the nucleus accumbens (NAc),
as observed by significant increases in extracellular dopamine levels and
compensatory elevations in the maximal reuptake rate of dopamine. The present
studies were undertaken to determine whether chronic self-administration of
cocaine, heroin or a combination of cocaine:heroin led to compensatory changes in
the abundance and/or affinity of high- and low-affinity DAT binding sites.
Saturation binding of the cocaine analog [(125) I] 3beta-(4-iodophenyl)tropan
2beta-carboxylic acid methyl ester ([(125) I]RTI-55) in rat NAc membranes
resulted in binding curves that were best fit to two-site binding models,
allowing calculation of dissociation constant (Kd ) and binding density (Bmax )
values corresponding to high- and low-affinity DAT binding sites. Scatchard
analysis of the saturation binding curves clearly demonstrate the presence of
high- and low- affinity binding sites in the NAc, with low-affinity sites
comprising 85 to 94% of the binding sites. DAT binding analyses revealed that
self-administration of cocaine and a cocaine:heroin combination increased the
affinity of the low-affinity site for the cocaine congener RTI-55 compared to
saline. These results indicate that the alterations observed following chronic
speedball self-administration are likely due to the cocaine component alone; thus
further studies are necessary to elaborate upon the synergistic effect of
cocaine:heroin combinations on the dopamine system in the NAc.
PMID- 24916770
TI - A phase I clinical trial of navitoclax, a targeted high-affinity Bcl-2 family
inhibitor, in combination with gemcitabine in patients with solid tumors.
AB - PURPOSE: To investigate the safety, optimal dosing, pharmacokinetics and clinical
activity of a regimen of navitoclax (ABT-263) combined with gemcitabine in
patients with solid tumors. EXPERIMENTAL DESIGN: Patients with solid tumors for
which gemcitabine was deemed an appropriate therapy were enrolled into one of two
different dosing schedules (21-day dosing schedule: navitoclax administered
orally on days 1-3 and 8-10,; and gemcitabine 1,000 mg/m(2) on days 1 and 8; 28
day dosing schedule: navitoclax administrated orally on days 1-3, 8-10, and 15
17; and gemcitabine 1,000 mg/m(2) on days 1, 8 and 15). Navitoclax doses were
escalated from 150 to 425 mg. An expanded safety cohort was conducted for the 21
day dosing schedule at the maximum tolerated dose (MTD) of navitoclax. RESULTS:
Forty-six patients were enrolled at three U.S. centers. The most common adverse
events included: hematologic abnormalities (thrombocytopenia, neutropenia, and
anemia), liver enzyme elevations (ALT and AST), and gastrointestinal disturbances
(diarrhea, nausea, and vomiting). Dose-limiting toxicities (DLTs) observed in
cycle 1 were grade 4 thrombocytopenia (2 patients), grade 4 neutropenia (1
patient), and grade 3 AST elevation (2 patients). The MTD of navitoclax was 325
mg co-administered with gemcitabine 1,000 mg/m(2) for the 21-day schedule. No
clinically significant pharmacokinetic drug-drug interactions were observed.
There were no objective responses. Stable disease, reported at the end of cycle
2, was the best response in 54 % of evaluable patients (n = 39). CONCLUSIONS: The
combination of navitoclax 325 mg with gemcitabine 1,000 mg/m(2) was generally
well tolerated and exhibited a favorable safety profile in patients with advanced
solid tumors.
PMID- 24916772
TI - Auricular pyoderma gangrenosum with penetration in a patient with rheumatoid
arthritis.
PMID- 24916771
TI - A multicenter phase 1 study of PX-866 and cetuximab in patients with metastatic
colorectal carcinoma or recurrent/metastatic squamous cell carcinoma of the head
and neck.
AB - BACKGROUND: This phase I, dose-finding study determined the safety, maximum
tolerated dose (MTD)/recommended phase 2 dose (RP2D), and antitumor activity of
PX-866, a phosphatidylinositol 3-kinase inhibitor, combined with cetuximab in
patients with incurable colorectal cancer or squamous cell carcinoma of the head
and neck. METHODS: PX-866 was administered at escalating doses (6-8 mg daily)
combined with cetuximab given at a 400 mg/m(2) loading dose followed by 250
mg/m(2) weekly. A "3 + 3" study design was used. Prior therapy with anti-EGFR
therapies, including cetuximab, was allowed. RESULTS: Eleven patients were
enrolled. The most frequent treatment-emergent adverse event was diarrhea
(90.1%), followed by hypomagnesemia (72.2%), vomiting (72.2%), fatigue (54.5%),
nausea (54.5%), rash (45.5%) and peripheral edema (40%). No dose limiting
toxicities were observed. The RP2D was 8 mg, the same as the single-agent PX-866
MTD. Best responses in 9 evaluable patients were: 4 partial responses (44.4%), 4
stable disease (44.4%), and 1 disease progression (11.1%). The median progression
free survival was 106 days (range: 1-271). CONCLUSION: Treatment with PX-866 and
cetuximab was tolerated with signs of anti-tumor activity. Further development of
this combination is warranted.
PMID- 24916773
TI - You can't always get what you want: the influence of unexpected task constraint
on voluntary task switching.
AB - The current study assessed the effect that unexpected task constraint, following
self-generated task choice, has on task switching performance. Participants
performed a modified double-registration voluntary task switching procedure in
which participants specified the task they wanted to perform, were presented with
a cue that, on the majority of trials, confirmed the choice, and then performed
the cued task. On a small portion of trials, participants were cued to perform a
task that did not match their choice. Trials on which cues unexpectedly failed to
match the chosen task were associated with costs. These costs were particularly
large when participants chose to switch tasks but had to unexpectedly repeat the
previous task. The results suggest that when participants choose to switch tasks,
they prepare for that switch in anticipation of the stimulus, and the preparation
is durable such that it cannot be readily undone.
PMID- 24916774
TI - New bonding modes of carbon and heavier group 14 atoms Si-Pb.
AB - Recent theoretical studies are reviewed which show that the naked group 14 atoms
E = C-Pb in the singlet (1)D state behave as bidentate Lewis acids that strongly
bind two sigma donor ligands L in the donor-acceptor complexes L->E<-L.
Tetrylones EL2 are divalent E(0) compounds which possess two lone pairs at E. The
unique electronic structure of tetrylones (carbones, silylones, germylones,
stannylones, plumbylones) clearly distinguishes them from tetrylenes ER2
(carbenes, silylenes, germylenes, stannylenes, plumbylenes) which have electron
sharing bonds R-E-R and only one lone pair at atom E. The different electronic
structures of tetrylones and tetrylenes are revealed by charge- and energy
decomposition analyses and they become obvious experimentally by a distinctively
different chemical reactivity. The unusual structures and chemical behaviour of
tetrylones EL2 can be understood in terms of the donor-acceptor interactions L
>E<-L. Tetrylones are potential donor ligands in main group compounds and
transition metal complexes which are experimentally not yet known. The review
also introduces theoretical studies of transition metal complexes [TM]-E which
carry naked tetrele atoms E = C-Sn as ligands. The bonding analyses suggest that
the group-14 atoms bind in the (3)P reference state to the transition metal in a
combination of sigma and pi? electron-sharing bonds TM-E and pi? backdonation TM
>E. The unique bonding situation of the tetrele complexes [TM]-E makes them
suitable ligands in adducts with Lewis acids. Theoretical studies of [TM]-E
>W(CO)5 predict that such species may becomes synthesized.
PMID- 24916775
TI - [Serous ovarian tumors].
AB - Because of different patterns of molecular changes, a dualistic model of serous
tumors is now assumed with serous borderline tumors (SBT) and low-grade serous
carcinomas (LGSC) on one side and high-grade serous carcinomas (HGSC) on the
other. The clinical course and the type of treatment of SBT and LGSC depend
crucially on whether they are associated with extraovarian manifestations. So
called invasive implants of SBT correspond morphologically to LGSC. The MD
Anderson grading system has become established for the distinction between LGSC
and HGSC, HGSC shows a wide range of growth patterns, including a transitional
epithelial-like type. Carcinosarcomas can be interpreted as HGSC variants.
Considering the new theory that all serous neoplasms of the ovary, peritoneum and
fallopian tubes are derived from the tubal fimbria, the term "ovarian carcinoma"
seems no longer appropriate.
PMID- 24916776
TI - Human induced pluripotent stem cells improve recovery in stroke-injured aged
rats.
AB - PURPOSE: Induced pluripotent stem cells (iPSCs) improve behavior and form neurons
after implantation into the stroke-injured adult rodent brain. How the aged brain
responds to grafted iPSCs is unknown. We determined survival and differentiation
of grafted human fibroblast-derived iPSCs and their ability to improve recovery
in aged rats after stroke. METHODS: Twenty-four months old rats were subjected to
30 min distal middle cerebral artery occlusion causing neocortical damage. After
48 h, animals were transplanted intracortically with human iPSC-derived long-term
neuroepithelial-like stem (hiPSC-lt-NES) cells. Controls were subjected to stroke
and were vehicle-injected. RESULTS: Cell-grafted animals performed better than
vehicle-injected recipients in cylinder test at 4 and 7 weeks. At 8 weeks, cell
proliferation was low (0.7 %) and number of hiPSC-lt-NES cells corresponded to
49.2% of that of implanted cells. Transplanted cells expressed markers of
neuroblasts and mature and GABAergic neurons. Cell-grafted rats exhibited less
activated microglia/macrophages in injured cortex and neuronal loss was
mitigated. CONCLUSIONS: Our study provides the first evidence that grafted human
iPSCs survive, differentiate to neurons and ameliorate functional deficits in
stroke-injured aged brain.
PMID- 24916777
TI - A comparative study of the metabolic profile, insulin sensitivity and
inflammatory response between organically and conventionally managed dairy cattle
during the periparturient period.
AB - The number of organically managed cattle (OMC) within the European Union has
increased tremendously in the last decade. However, there are still some concerns
about animals under this farming system meeting their dietary requirements for
milk production. The aim of this study was to compare the metabolic adaptations
to the onset of lactation in three different herds, one conventional and two
organic ones. Twenty-two conventionally managed cattle (CMC) and 20 from each
organic farm were sampled throughout the periparturient period. These samplings
were grouped into four different stages: (i) far-off dry, (ii) close-up dry,
(iii) fresh and (iv) peak of lactation and compared among them. In addition, the
results of periparturient animals were also compared within each management type
with a control group (animals between the 4th and 5th months of pregnancy).
Metabolic profiles were used to assess the health status of the herds, along with
the quantification of the acute phase proteins haptoglobin and serum amyloid A,
insulin and the calculation of different surrogate indices of insulin
sensitivity. Generalised linear mixed models with repeated measurements were used
to study the effect of the stage, management type or their interaction on the
serum variables studied. The prevalence of subclinical ketosis was higher in OMC,
although they showed better insulin sensitivity, a lower degree of inflammation
and less liver injury, without a higher risk of macromineral deficiencies.
Therefore, attention should be paid on organic farms to the nutritional
management of cows around the time of calving in order to prevent the harmful
consequences of excessive negative energy balance. Moreover, it must be taken
into account that most of the common practices used to treat this condition in
CMC are not allowed on a systematic basis in OMC.
PMID- 24916778
TI - Brachytherapy for prostate cancer: feasible but oncological equivalence unproven.
PMID- 24916779
TI - [Analysis of the introduction of a telemedicine program in the primary health
care area of Ibiza and Formentera].
PMID- 24916781
TI - Peripheral nerve tractography in soft tissue tumors: a preliminary 3-tesla
diffusion tensor magnetic resonance imaging study.
AB - INTRODUCTION: This diffusion tensor magnetic resonance imaging (DTI) study aimed
to clarify the relationship of peripheral nerves and soft tissue tumors (STTs) in
3D to optimize subsequent treatment. METHODS: Twenty-six consecutive STT patients
(histologically malignant, n=10; intermediate, n=3; and benign, n=13) underwent 3
Tesla MRI using an echoplanar DTI sequence. Deterministic tractography was
performed. Fractional anisotropy (FA) values were measured within peritumoral and
distant regions of interest. RESULTS: Tractography depicted the 3D course of the
sciatic (n=12), femoral (n=2), tibial (n=7), fibular (n=2), median (n=1),
musculocutaneous (n=1), and ulnar (n=1) nerves in a regular (n=8 of 18, 44.4%) or
thinned (n=7 of 18, 38.9%) fashion. The lowest peritumoral FA values, abrupt
thinning, and/or complete discontinuity of trajectories were found in 2 cases
with histologically proven tumoral nerve infiltration. CONCLUSIONS: DTI clarifies
the 3D topography between major peripheral nerves and STTs and may be helpful in
the assessment of peripheral nerve infiltration by malignant tumors.
PMID- 24916782
TI - Author's reply.
PMID- 24916780
TI - Co-opting biology to deliver drugs.
AB - The goal of drug delivery is to improve the safety and therapeutic efficacy of
drugs. This review focuses on delivery platforms that are either derived from
endogenous pathways, long-circulating biomolecules and cells or that piggyback
onto long-circulating biomolecules and cells. The first class of such platforms
is protein-based delivery systems--albumin, transferrin, and fusion to the Fc
domain of antibodies--that have a long-circulation half-life and are designed to
transport different molecules. The second class is lipid-based delivery systems
lipoproteins and exosomes-that are naturally occurring circulating lipid
particles. The third class is cell-based delivery systems--erythrocytes,
macrophages, and platelets--that have evolved, for reasons central to their
function, to exhibit a long life-time in the body. The last class is small
molecule-based delivery systems that include folic acid. This article reviews the
biology of these systems, their application in drug delivery, and the promises
and limitations of these endogenous systems for drug delivery.
PMID- 24916783
TI - Restoring Akt1 activity in outgrowth endothelial cells from South Asian men
rescues vascular reparative potential.
AB - Recent data suggest reduced indices of vascular repair in South Asian men, a
group at increased risk of cardiovascular events. Outgrowth endothelial cells
(OEC) represent an attractive tool to study vascular repair in humans and may
offer potential in cell-based repair therapies. We aimed to define and manipulate
potential mechanisms of impaired vascular repair in South Asian (SA) men. In
vitro and in vivo assays of vascular repair and angiogenesis were performed using
OEC derived from SA men and matched European controls, prior defining potentially
causal molecular mechanisms. SA OEC exhibited impaired colony formation,
migration, and in vitro angiogenesis, associated with decreased expression of the
proangiogenic molecules Akt1 and endothelial nitric oxide synthase (eNOS).
Transfusion of European OEC into immunodeficient mice after wire-induced femoral
artery injury augmented re-endothelialization, in contrast with SA OEC and
vehicle; SA OEC also failed to promote angiogenesis after induction of hind limb
ischemia. Expression of constitutively active Akt1 (E17KAkt), but not green
fluorescent protein control, in SA OEC increased in vitro angiogenesis, which was
abrogated by a NOS antagonist. Moreover, E17KAkt expressing SA OEC promoted re
endothelialization of wire-injured femoral arteries, and perfusion recovery of
ischemic limbs, to a magnitude comparable with nonmanipulated European OEC.
Silencing Akt1 in European OEC recapitulated the functional deficits noted in SA
OEC. Reduced signaling via the Akt/eNOS axis is causally linked with impaired OEC
mediated vascular repair in South Asian men. These data prove the principle of
rescuing marked reparative dysfunction in OEC derived from these men.
PMID- 24916784
TI - Vitamin E intake and the lung cancer risk among female nonsmokers: a report from
the Shanghai Women's Health Study.
AB - Vitamin E includes several tocopherol isoforms, which may reduce lung cancer
risk, but past studies evaluating the association between vitamin E intake and
lung cancer risk were inconsistent. We prospectively investigated the
associations between tocopherol intake from diet and from supplements with lung
cancer risk among 72,829 Chinese female nonsmokers aged 40-70 years and
participating in the Shanghai Women's Health Study (SWHS). Dietary and supplement
tocopherol exposure was assessed by a validated food-frequency questionnaire at
baseline and reassessed for change in intake during follow-up. Cox proportional
hazards models with time-dependent covariates were used to calculate multivariate
adjusted hazard ratios (HRs) and 95% confidence interval (CIs) for lung cancer.
After 12.02 years of follow-up, 481 women were diagnosed with lung cancer. Total
dietary tocopherol was inversely associated with lung cancer risk among women
meeting dietary guidelines for adequate intake (AI) of tocopherol (14 mg/day or
more: HR: 0.78; 95% CI 0.60-0.99; compared with the category less than AI). The
protective association between dietary tocopherol intake and lung cancer was
restricted to women exposed to side-stream smoke in the home and workplace [HR =
0.53 (0.29-0.97), p-trend = 0.04]. In contrast, vitamin E supplement use was
associated with increased lung cancer risk (HR: 1.33; 95% CI: 1.01-1.73), more so
for lung adenocarcinoma risk (HR: 1.79; 95% CI: 1.23-2.60). In summary, dietary
tocopherol intake may reduce the risk of lung cancer among female nonsmokers;
however, supplements may increase lung adenocarcinoma risk and requires further
investigation.
PMID- 24916785
TI - Relapse of acute megakaryocytic leukemia as hepatic myeloid sarcoma after
allogeneic haploidentical hematopoietic stem cell transplantation.
PMID- 24916786
TI - A group-randomized trial of shared decision making for non-steroidal anti
inflammatory drug risk awareness: primary results and lessons learned.
AB - RATIONALE, AIMS AND OBJECTIVES: Frequent use and serious adverse effects related
to non-steroidal anti-inflammatory drugs (NSAIDs) underscore the need to raise
patient awareness about potential risks. Partial success of patient- or provider
based interventions has recently led to interest in combined approaches focusing
on both patient and physician. This research tested a shared decision-making
intervention for increasing patient-reported awareness of NSAID risk. METHODS: A
group randomized trial was performed in Alabama from 2005 to 2007. Intervention
group doctor practices received continuing medical education (CME) about NSAIDs
and patient activation tools promoting risk assessment and communication during
visits. Comparison group doctor practices received only CME. Cross-sectional data
were collected before and after the intervention. Generalized linear latent and
mixed models with logistic link tested relationships among the intervention,
study phase, intervention by study phase interaction and patient-reported
awareness of risks with either prescription or over-the-counter (OTC) NSAIDs.
RESULTS: Three hundred and forty-seven patients at baseline and 355 patients at
follow-up participated in this study. The intervention [adjusted odds ratio
(AOR)=0.74, P=0.248], follow-up study phase (AOR=1.31, P=0.300) and intervention
by study phase interaction (AOR=0.98, P=0.942) were not significantly associated
with patient-reported awareness of any prescription NSAID risk. Follow-up study
phase was associated with increased odds of reporting any OTC NSAID risk
awareness (AOR=2.99, P<0.001), but the patient activation intervention and
intervention by study phase interaction were not significantly associated with
patient-reported awareness of any OTC NSAID risk (AOR=0.98, P=0.929; AOR=0.87,
P=0.693, respectively). CONCLUSIONS: Our point-of-care intervention encouraging
shared decision making did not increase NSAID risk awareness.
PMID- 24916787
TI - Difference in immune response in vaccinated and unvaccinated Swedish individuals
after the 2009 influenza pandemic.
AB - BACKGROUND: Previous exposures to flu and subsequent immune responses may impact
on 2009/2010 pandemic flu vaccine responses and clinical symptoms upon infection
with the 2009 pandemic H1N1 influenza strain. Qualitative and quantitative
differences in humoral and cellular immune responses associated with the flu
vaccination in 2009/2010 (pandemic H1N1 vaccine) and natural infection have not
yet been described in detail. We designed a longitudinal study to examine
influenza- (flu-) specific immune responses and the association between pre
existing flu responses, symptoms of influenza-like illness (ILI), impact of
pandemic flu infection, and pandemic flu vaccination in a cohort of 2,040
individuals in Sweden in 2009-2010. METHODS: Cellular flu-specific immune
responses were assessed by whole-blood antigen stimulation assay, and humoral
responses by a single radial hemolysis test. RESULTS: Previous seasonal flu
vaccination was associated with significantly lower flu-specific IFN-gamma
responses (using a whole-blood assay) at study entry. Pandemic flu vaccination
induced long-lived T-cell responses (measured by IFN-gamma production) to
influenza A strains, influenza B strains, and the matrix (M1) antigen. In
contrast, individuals with pandemic flu infection (PCR positive) exhibited
increased flu-specific T-cell responses shortly after onset of ILI symptoms but
the immune response decreased after the flu season (spring 2010). We identified
non-pandemic-flu vaccinated participants without ILI symptoms who showed an IFN
gamma production profile similar to pandemic-flu infected participants,
suggesting exposure without experiencing clinical symptoms. CONCLUSIONS: Strong
and long-lived flu-M1 specific immune responses, defined by IFN-gamma production,
in individuals after vaccination suggest that M1-responses may contribute to
protective cellular immune responses. Silent flu infections appeared to be
frequent in 2009/2010. The pandemic flu vaccine induced qualitatively and
quantitatively different humoral and cellular immune responses as compared to
infection with the 2009 H1N1 pandemic H1N1 influenza strain.
PMID- 24916789
TI - Obesity and psychosocial impairment: mediating roles of health status,
weight/shape concerns and binge eating in a community sample of women and men.
AB - OBJECTIVES: We examined the relative importance of physical health status,
weight/shape concerns and binge eating as mediators of the association between
obesity and psychosocial impairment in a community sample of women and men.
METHODS: Self-report measures of eating disorder features, perceived physical
health and psychosocial functioning were completed by a general population sample
of women and men classified as obese or non-obese (women: obese=276, non
obese=1220; men: obese=169, non-obese=769). Moderated mediation analysis was used
to assess the relative importance of each of the putative mediators in accounting
for observed associations between obesity and each outcome measure and possible
moderation of these effects by sex. RESULTS: Weight/shape concerns and physical
health were equally strong mediators of the association between obesity and
psychosocial impairment. This was the case for both men and women and for each of
three measures of psychosocial functioning-general psychological distress, life
satisfaction and social support-employed. The effects of binge eating were modest
and reached statistical significance only for the life satisfaction measure in
men. CONCLUSIONS: A greater focus on body acceptance may be indicated in obesity
prevention and weight-management programs.
PMID- 24916790
TI - The relationship of angiogenic factors to maternal and neonatal manifestations of
early-onset and late-onset preeclampsia.
AB - OBJECTIVE: An imbalance between angiogenic and antiangiogenic factors has been
implicated in the pathogenesis and severity of preeclampsia. In this study, we
evaluated serum levels of an angiogenic factor and an antiangiogenic factor -
placental growth factor (PlGF) and soluble fms-like tyrosine kinase 1 (sFlt-1),
respectively - in pregnant women with preeclampsia, as well as evaluating the
impact of those factors on maternal and fetal outcomes. METHOD: We studied 44
pregnant women diagnosed with preeclampsia and admitted to an intensive care unit
(ICU). The preeclampsia was classified (by weeks of gestation at delivery) as
early-onset (<34 weeks) or late-onset (>=34 weeks). We analyzed serum PlGF and
sFlt-1, as well as urinary PlGF at admission to the ICU. RESULTS: In the early
onset preeclampsia group, the sFlt-1/PlGF ratio was higher, as was serum sFlt-1,
whereas serum PlGF was lower. Serum sFlt-1 and the sFlt-1/PlGF ratio correlated
positively with proteinuria and length of maternal hospital stay and correlated
negatively with birth weight. The sFlt-1/PlGF ratio correlated positively with
length of newborn stay in the neonatal ICU. CONCLUSION: Angiogenic imbalance is
more pronounced in patients with early-onset preeclampsia and correlates with
worse clinical outcomes, especially for the neonates.
PMID- 24916788
TI - Circulating irisin levels and coronary heart disease: association with future
acute coronary syndrome and major adverse cardiovascular events.
AB - INTRODUCTION: Irisin is a newly discovered myokine, associated with 'browning' of
the white adipose tissue, obesity, insulin resistance and metabolic syndrome. The
purpose of this study is to evaluate circulating irisin as a predictor of acute
coronary syndromes (ACSs) and major adverse cardiovascular events (MACE).
METHODS: Sub-study 1: a case-control study, nested within the Veteran's Affairs
Normative Ageing Study, evaluating circulating irisin levels in 88 ACS cases and
158 age- and sampling year-matched controls, as a predictor of ACS. Sub-study 2:
a prospective cohort study, where 103 participants with established coronary
artery disease were stratified by circulating irisin levels at the time they
received percutaneous coronary interventions (PCIs) and were followed for the
development of MACE. RESULTS: Study 1: there was no association between irisin
levels and ACS in otherwise healthy individuals (odds ratio: 1.00 95% confidence
interval: (0.99-1.00)). Study 2: the incidence of MACE was significantly lower in
the first irisin tertile compared with the second and third (incidence rate 0 vs
0.92 (0.51-1.61) vs 0.57 (0.28-1.14) events per 1000 person-days; P < 0.01). This
was primarily driven by the lower incidence of unstable angina (incidence rate 0
vs 0.61 (0.31-1.22) vs 0.43 (0.19-0.96) per 1000 person-days; P = 0.01).
CONCLUSION: This is the first study to date that demonstrates that, although
circulating irisin levels do not predict the development of ACS in healthy
individuals, increased irisin levels are associated with the development of MACE
in patients with established coronary artery disease after PCI.
PMID- 24916791
TI - Mutual interaction between iron homeostasis and obesity pathogenesis.
AB - Obesity is identified as an important medical problem. One of the pathologic
conditions observed in obesity is systemic iron deficiency and hypoferremia.
Along with a large number of studies indicating disturbed iron homeostasis in
obesity, recent data indicate a cause-effect relationship between iron status and
obesity-related pathologies. The primary objective of the article is to consider
two aspects of the iron-obesity interplay: (1) the mechanisms leading to impaired
iron balance, and (2) the pathways of iron participation in obesity-related
pathogenesis. While considering disturbance of iron homeostasis in obesity, a
number of potential mechanisms of hypoferremia are proposed. At the same time,
the inflammation of obesity and obesity-related hepcidin and lipocalin 2
hyperproduction seem to be the most probable reasons of obesity-related
hypoferremia. Oversecretion of these proteins leads to iron sequestration in
reticuloendothelial system cells. The latter also leads to increased adipose
tissue iron content, thus producing preconditions for adverse effects of local
iron overload. Being a redox-active metal, iron is capable of inducing oxidative
stress as well as endoplasmic reticulum stress, inflammation and adipose tissue
endocrine dysfunction. Iron-mediated mechanisms of toxicity may influence aspects
of obesity pathogenesis possibly even leading to obesity aggravation. Thus, a
mutual interaction between disturbance in iron homeostasis and obesity
pathogenesis is proposed. All sides of this interaction should be considered to
design new therapeutic approaches to the treatment of disturbed iron homeostasis
in obesity.
PMID- 24916792
TI - Pro-inflammatory effects of metals in persons and animals exposed to tobacco
smoke.
AB - Metals present in tobacco smoke have the ability to cause a pro
oxidant/antioxidant imbalance through the direct generation of free radicals in
accordance with the Fenton or Haber-Weiss reaction and redox properties. Metals
can also interact with antioxidant enzymes (superoxide dismutase, catalase,
glutathione peroxidase) and small molecular antioxidants (glutathione) through
binding to SH groups or by replacement of metals ions in the catalytic center of
enzymes. Excessive free radicals production can induce an inflammatory response.
The aim of this study was to review the information on the induction of
inflammation by metals present in tobacco smoke such as lead (Pb), cadmium (Cd),
arsenic (As), aluminum (Al), nickel (Ni) and mercury (Hg). In cellular immune
response, it was demonstrated that radicals induced by metals can disrupt the
transcription signaling pathway mediated by the mitogen-activated protein kinase
(induced by Pb), NLRP3-ASC-caspase 1 (induced by Ni), tyrosine kinase Src
(induced by As) and the nuclear factor kappaB (induced by Pb, Ni, Hg). The result
of this is a gene transcription for early inflammatory cytokines, such as
Interleukine 1beta, Interleukine 6, and Tumor necrosis factor alpha). These
cytokines can cause leukocytes recruitment and secretions of other pro
inflammatory cytokines and chemokines, which intensifies the inflammatory
response. Some metals, such as cadmium (Cd), can activate an inflammatory
response through tissue damage induction mediated by free radicals, which also
results in leukocytes recruitment and cytokines secretions. Inflammation
generated by metals can be reduced by metallothionein, which has the ability to
scavenge free radicals and bind toxic metals through the release of Zn and
oxidation of SH groups.
PMID- 24916793
TI - Variance components of short-term biomarkers of manganese exposure in an
inception cohort of welding trainees.
AB - Various biomarkers of exposure have been explored as a way to quantitatively
estimate an internal dose of manganese (Mn) exposure, but given the tight
regulation of Mn in the body, inter-individual variability in baseline Mn levels,
and variability in timing between exposure and uptake into various biological
tissues, identification of a valuable and useful biomarker for Mn exposure has
been elusive. Thus, a mixed model estimating variance components using restricted
maximum likelihood was used to assess the within- and between-subject variance
components in whole blood, plasma, and urine (MnB, MnP, and MnU, respectively) in
a group of nine newly-exposed apprentice welders, on whom baseline and subsequent
longitudinal samples were taken over a three month period. In MnB, the majority
of variance was found to be between subjects (94%), while in MnP and MnU the
majority of variance was found to be within subjects (79% and 99%, respectively),
even when controlling for timing of sample. While blood seemed to exhibit a
homeostatic control of Mn, plasma and urine, with the majority of the variance
within subjects, did not. Results presented here demonstrate the importance of
repeat measure or longitudinal study designs when assessing biomarkers of Mn, and
the spurious associations that could result from cross-sectional analyses.
PMID- 24916794
TI - Immunosuppression and oxidative stress induced by subchronic exposure to
carbosulfan in rat spleen: immunomodulatory and antioxidant role of N
acetylcysteine.
AB - The present study was designed to determine the immunosuppressive effects of
carbosulfan (CB) and their relationship with an increased formation of reactive
oxygen species in rat. Further, we aimed to evaluate the protective effects of N
acetyl-cysteine (NAC) against immunopathological changes induced by CB.
Carbosulfan (25 mg/kg) and NAC (2 g/l) were given daily to rats during 30 days,
via oral gavage and drinking water, respectively. Cell-mediated immune function,
cytokines production, biomarkers of cell redox state maintenance, lipid
peroxidation and the activities of antioxidant enzymes were measured in the
spleen. Our data showed an increase in WBC percent (28.42%), a reduction in
spleen CD8 T-lymphocytes (-85.63%) and a decrease in immunosuppressive cytokines
production such as INF-gamma and IL-4. There was a switch from Th1-type to Th2
type cytokines with an unbalance toward anti-inflammatory cytokines. Moreover, a
significant decrease in reduced glutathione (-71.68%) and total thiols (-39.81%)
levels were observed in treated rats. Conversely, malondialdehyde level in spleen
was increased (-42.3%), while glutathione-S-transferase, glutathione peroxidase,
superoxide dismutase and catalase activities were depleted. Our results suggest
that subchronic CB administration affects cellular enzyme and non-enzyme-mediated
antioxidant defense systems and promotes immunotoxicity in rat. On the other
hand, our data showed protective effects of NAC. Indeed, there was a recovery of
oxidative stress markers and cytokines production. The use of NAC, in our study,
as a therapeutic agent showed interesting results against CB toxicity.
PMID- 24916795
TI - Liquid chromatography high-resolution TOF analysis: investigation of MSE for
broad-spectrum drug screening.
AB - BACKGROUND: High-resolution mass spectrometry (HRMS) has the potential to
supplement other drug screening platforms used in toxicology laboratories. HRMS
offers high analytical specificity, which can be further enhanced by
incorporating a fragment ion for each analyte. The ability to obtain precursor
ions and fragment ions using elevated collision energies (MS(E)) can help improve
the specificity of HRMS methods. METHODS: We developed a broad-spectrum screening
method on an ultraperformance liquid chromatography TOF mass spectrometer (UPLC
TOF-MS) using the MS(E) mode. A diverse set of patient samples were subjected to
a simple dilute, hydrolyze, and shoot protocol and analyzed in a blind manner.
Data were processed with 3 sets of criteria with increasing stringency, and the
results were compared with the reference laboratory results. RESULTS: A
combination of retention time match (+/-0.2 min), a protonated analyte, and
fragment ion mass accuracy of +/-5 ppm produced zero false-positive results.
Using these criteria, we confirmed 92% (253/275) of true positives. The positive
confirmation rate increased to 98% (270/275) when the requirement for a fragment
ion was dropped, but also produced 53 false positives. A total of 136 additional
positive drug findings not identified by the reference methods were identified
with the UPLC-TOF-MS. CONCLUSIONS: MS(E) provides a unique way to incorporate
fragment ion information without the need of precursor ion selection. A primary
limitation of requiring a fragment ion for positive identification was that
certain drug classes required high-energy collisions, which formed many fragment
ions of low abundance that were not readily detected.
PMID- 24916796
TI - Synthesis of large-pore mesostructured cellular foam silica spheres for the
adsorption of biomolecules.
AB - Mesostructured cellular foam (MCF) silica spheres with different textual
parameters were synthesized using a triblock copolymer as a template. The effects
of acid concentration and aging time on the window size and morphology were
discussed. Besides, the adsorption performances of lysozyme and bovine serum
albumin on the blank MCF with different window size and aminopropyl-modified MCFs
were studied. The adsorption capacity and rates were shown to be dependent on the
window size and surface chemical properties of the adsorbents. In particular, the
MCF with window size of 15.2 nm showed fast adsorption for lysozyme with an
adsorption capacity of 500 mg/g in 10 min. Furthermore, it has been shown that
MCF spheres are potential materials in the separation of biomolecules because of
their chemical tunable surface and molecular sieve properties.
PMID- 24916798
TI - Effect of local chain deformability on the temperature-induced morphological
transitions of polystyrene-b-poly(N-isopropylacrylamide) micelles in aqueous
solution.
AB - The effect of temperature on the micellar morphology of two polystyrene-b-poly(N
isopropylacrylamide) (PS-b-PNIPAM) diblock copolymers in an aqueous solution was
investigated by dynamic light scattering (DLS) and transmission electron
microscopy (TEM). At 25 degrees C, a mixture of vesicles and spheres are
observed for the micelles of PS65-b-PNIPAM108, while PS65-b-PNIPAM360 exhibits
mixed cylindrical and spherical micellar morphology. Upon increasing the
temperature, the micellar morphology becomes spherical for PS65-b-PNIPAM108 at 60
degrees C and for PS65-b-PNIPAM360 at 40 degrees C. Such vesicle-to-sphere and
cylinder-to-sphere transitions of micellar morphology are reversible when the
micellar solutions are cooled back to 25 degrees C. However, these temperature
induced morphological transitions of the PS-b-PNIPAM micelles are contrary to the
theoretical prediction. Qualitative analysis of the free energy shows that
vesicular or cylindrical micelles tend to form at higher temperatures if only the
overall volume change of the PNIPAM block is considered. The contradiction
between the experimental results and theoretical prediction is interpreted in
terms of the local deformability of the PNIPAM chains. At elevated temperatures,
the collapsed PNIPAM globules are less deformable and must occupy larger areas at
the micellar interface, although the overall volume is smaller at higher
temperatures. This will lead to a larger repulsion between the PNIPAM globules
and a remarkable increase in the free energy of the corona; thus, the formation
of vesicles or cylinders at higher temperatures is prohibited.
PMID- 24916797
TI - Membrane deformation and scission by the HSV-1 nuclear egress complex.
AB - The nuclear egress complex (NEC) of herpesviruses such as HSV-1 is essential for
the exit of nascent capsids from the cell nucleus. The NEC drives nuclear
envelope vesiculation in cells, but the precise budding mechanism and the
potential involvement of cellular proteins are unclear. Here we report that HSV-1
NEC alone is sufficient for membrane budding in vitro and thus represents a
complete membrane deformation and scission machinery. It forms ordered coats on
the inner surface of the budded vesicles, suggesting that it mediates scission by
scaffolding the membrane bud and constricting the neck to the point of scission.
The inward topology of NEC-mediated budding in vitro resembles capsid budding
into the inner nuclear membrane during HSV-1 infection and nuclear envelope
vesiculation in NEC-transfected cells. We propose that the NEC functions as
minimal virus-encoded membrane-budding machinery during nuclear egress and does
not require additional cellular factors.
PMID- 24916799
TI - Pattern of respiratory diseases in children presenting to the paediatric
emergency unit of the University of Nigeria Teaching Hospital, Enugu: a case
series report.
AB - BACKGROUND: Respiratory diseases are one of the causes of childhood morbidity and
mortality as well as hospitalization globally. The patterns of different
respiratory illnesses in several parts of the world have been reported but there
are few on the combined burden of the diseases. Determination of the burden of
respiratory diseases as a group will help ascertain their collective impact on
the health systems in order to develop intervention measures. METHODS: Data from
case notes of children with respiratory diseases admitted to the University of
Nigeria Teaching Hospital Enugu, Nigeria over a six year period were extracted.
Age, gender, admission rates, types of respiratory illness, duration of
admission, season of presentation and outcome were analysed. Descriptive and
inferential (Chi square) statistics were used to describe the various disease
types and ascertain association of the disease outcome, seasonal pattern with the
types of diseases. RESULTS: Of the total of 8974 children admissions, 2214
(24.7%) were due to respiratory diseases. The mean age of all the children with
respiratory diseases was 3.3 years (SD 3.9). Communicable diseases were the
common cause of admission cases throughout the seasons, p < 0.001. The highest
admission rates were for pneumonia, (34.0%), acute bronchial asthma, (27.7%) and
rhinosinusitis (14.6%) p < 0.001. The frequency of respiratory disease decreases
with age and children less than five years of age and of low socio-economic
status were commonly affected, p=0.01. The median duration of hospital stay was
two days [range 1 to 8 days], children less than five years old and those of low
socio-economic status, spent more than four days (p=0.01 and p < 0.001
respectively). The all-cause mortality was 0.5% (11/2214) of which 81.8% (9/11)
was due to pneumonia. CONCLUSIONS: Respiratory diseases constitute a significant
burden of childhood illnesses in our centre. Efforts are required to reduce the
impact as part of the steps towards the achievement of the Millennium Development
Goals.
PMID- 24916800
TI - Effect of swirling desolvation gas flow in an atmospheric pressure ion source.
AB - A numerical study is performed to examine the effect of introducing a swirling
desolvation gas flow on the flow transport characteristics in an electrospray and
an atmospheric pressure chemical ionization (APCI) system. An ion source having
three coaxial tubes is considered: (1) an inner capillary tube to inject the
liquid sample, (2) a center coaxial tube to provide a room temperature gas flow
to nebulize the liquid, referred to as the nebulizing gas flow, and (3) an outer
coaxial tube having a converging exit to supply a high temperature gas for
droplet desolvation, referred to as the desolvation gas flow. The results show
that a swirling desolvation gas flow reduces the dispersion of the nebulizing gas
and suppresses turbulent diffusion. The effect of swirling desolvation flow on
the trajectory of a range of droplet sizes emitted from a source is also
considered.
PMID- 24916801
TI - Effects of formulation and process factors on the crystal structure of freeze
dried Myo-inositol.
AB - The objective of this study was to elucidate effects of formulation and process
variables on the physical forms of freeze-dried myo-inositol. Physical properties
of myo-inositol in frozen solutions, freeze-dried solids, and cooled heat-melt
solids were characterized by powder X-ray diffraction (PXRD), thermal analysis
(differential scanning calorimetry [DSC] and thermogravimetric), and simultaneous
PXRD-DSC analysis. Cooling of heat-melt myo-inositol produced two forms of
metastable anhydrate crystals that change to stable form (melting point 225
degrees C-228 degrees C) with transition exotherms at around 123 degrees C and
181 degrees C, respectively. Freeze-drying of single-solute aqueous myo-inositol
solutions after rapid cooling induced crystallization of myo-inositol as
metastable anhydrate (transition at 80 degrees C-125 degrees C) during
secondary drying segment. Contrarily, postfreeze heat treatment (i.e., annealing)
induced crystallization of myo-inositol dihydrate. Removal of the crystallization
water during the secondary drying produced the stable-form myo-inositol anhydrate
crystal. Shelf-ramp slow cooling of myo-inositol solutions resulted in the stable
and metastable anhydrous crystal solids depending on the solute concentrations
and the solution volumes. Colyophilization with phosphate buffer retained myo
inositol in the amorphous state. Crystallization in different process segments
varies crystal form of freeze-dried myo-inositol solids.
PMID- 24916802
TI - Cloning and expression characteristics of the notch-associated gene
BmE(spl)mgamma from silkworm, Bombyx mori.
AB - The E(spl)mgamma gene in Drosophila is a regulatory target gene downstream of the
Notch pathway. BmE(spl)mgamma (Bombyx mori, E(spl)mgamma) is an ortholog of the
Drosophila E(spl)mgamma gene, and the gene encodes a protein with 248 amino acid
residues. This gene was cloned and overexpressed in Escherichia coli BL21(DE3).
The recombinant protein was purified and subsequently used to generate a rabbit
polyclonal antibody. Western blotting analyses showed that BmE(spl)mgamma
expression is high in pupa and egg, and low in larva and moth. In the fifth
instar larva, the protein levels are high in head, epidermis, sexual gland,
trachea, and the fatbody and low in the Malpighian tubule, hemolymph, gut, and
silk gland. The further immunohistochemical analyses also showed higher
BmE(spl)mgamma expression in the head of fifth instar larva and pupa. Of the four
moth parts studied, the thorax had the highest expression level. Thus,
BmE(spl)mgamma might be associated with neurogenesis in silkworm. Furthermore,
DAPT (a gamma-secretase inhibitor and an indirect inhibitor of Notch) blocking
experiments showed that higher concentrations of the blocking agent and a longer
processing time reduce the transcription levels of the BmE(spl)mgamma gene,
demonstrating that the silkworm BmE(spl)mgamma gene is associated with the Notch
signal pathway. These findings suggest that the function of BmE(spl)mgamma may be
similar to that of its Drosophila homolog.
PMID- 24916803
TI - Morphological control of conductive polymers utilized electrolysis polymerization
technique: trial of fabricating biocircuit.
AB - Conductive polymers are a strong contender for making electronic circuits. The
growth pattern in conductive polymer synthesis by the electrolysis polymerization
method was examined. The growth pattern is deeply related to the coupling
reaction of the radical cation and the deprotonation reaction following it and
changes suddenly depending on the kind and concentration of the supporting
electrolyte and the solvent used. That is, when the electrophilic substitution
coupling reaction becomes predominant, the three-dimensional growth form is
observed, and when the radical coupling reaction becomes predominant, the two
dimensional growth morphology is observed. In addition, the growth pattern can be
comparatively easily controlled by changing the value of the polymerization
constant current, and it is considered that the indicator and development for
biocircuit research with neuron-type devices made of conjugated polymers was
obtained.
PMID- 24916804
TI - Graphene oxide-based biosensor for food toxin detection.
AB - We report results of the studies relating to the fabrication of a highly
sensitive label free biosensor based on graphene oxide (GO) platform for the
detection of aflatoxin B1 (AFB1) which is most toxic and predominant food toxin,
using electrochemical impedance spectroscopy. The structural and optical
characterization of GO/Au and anti-AFB1/GO/Au has been done by electron
microscopy, Raman, X-ray diffraction (XRD), UV-vis and electrochemical impedance
spectroscopy (EIS). The impedimetric sensing response of immunoelectrode as a
function of AFB1 concentration reveals wider linear detection range (0.5-5
ng/ml), high sensitivity (639 Omega ng(-1) ml), improved detection limit (0.23 ng
ml(-1)) and good stability (5 weeks) for the label-free detection. Association
constant (k a) for antigen-antibody interaction obtained as 0.46 ng ml(-1)
indicates high affinity.
PMID- 24916805
TI - Kinetic modelling of thermal inactivation of a keratinase from Purpureocillium
lilacinum LPSC # 876 and the influence of some additives on its thermal
stability.
AB - Thermal inactivation of a keratinase produced by Purpureocillium lilacinum LPSC
#876 was kinetically investigated using several enzyme inactivation models at the
temperature range of 50-65 degrees C. Among the models studied, the Weibull
distribution was the best model that describes the residual activity of P.
lilacinum keratinase after heat treatment over the selected temperatures. The
stabilising effect of metal ions (Ca2+ or Mg2+, 5 mmol l(-1)) or polyols
(propylene glycol and glycerol, 10% v/v) was investigated, showing that the
presence of Ca2+ increases the enzyme stability significantly. Conforming to the
increased Ca2+ concentration, thermal stability of the enzyme also increased,
with 10 mM of Ca2+ being the concentration of metal in which the enzyme retained
100% of its original activity after being incubated for 1 h at 55 degrees C. The
effects of temperature on Weibull equation parameters and on the characteristics
of the inactivation curves were evaluated. In the absence of any additives
(control), the reliable time (t R) of the keratinase, analogous to D value,
ranged from 484.16 to 63.67 min, while in the presence of Ca2+ the t R values
ranged from 6,221 to 414.95 min at 50-65 degrees C. P. lilacinum keratinase is a
potentially useful biocatalyst, and therefore, kinetic modelling of thermal
inactivation addresses an important topic for its application in various
industrial processes.
PMID- 24916806
TI - Objective tongue inspection on 142 liver cancer patients with damp-heat syndrome.
AB - OBJECTIVE: To establish the diagnosis evidence of objective tongue inspection for
liver cancer (LC) patients with damp-heat syndrome (DHS) by dynamically observing
their tongue figures using modern tongue image analytic apparatus, and to explore
the effect of intervention on the tongue figures. METHODS: Tongue figures were
collected from 142 LC patients with DHS by tongue image analytic apparatus. Red
(R), green (G) and blue (B) values were analyzed. The r and g values were
calculated requesting r=R/(R+G+B), g=G/(R+G+B), and b=1-r-g, and scored in
combination with Chinese medical symptoms scale. The tongue figure and correlated
scores were collected from 59 of them 3 days after transcatheter arterial
chemoembolization intervention. RESULTS: The range of objective tongue inspection
of LC patients with DHS was as follows: as for tongue fur, 0.360=65 years). RESULTS: An estimated 1.4 billion antibiotics were
dispensed over the study period. Overall antibiotic prescribing decreased 18%
(risk ratio (RR) 0.82, 95% confidence interval (95% CI) 0.72 to 0.94) among
children and adolescents, remained unchanged for adults, and increased 30% (1.30,
1.14 to 1.49) among older adults. Rates of broad-spectrum antibiotic
prescriptions doubled from 2000 to 2010 (2.11, 1.81 to 2.47). Proportions of
broad-spectrum antibiotic prescribing increased across all age groups: 79% (1.79,
1.52 to 2.11) for children and adolescents, 143% (2.43, 2.07 to 2.86) for adults
and 68% (1.68, 1.45 to 1.94) for older adults. ARTI antibiotic prescribing
decreased 57% (0.43, 0.35 to 0.52) among children and adolescents and 38% (0.62,
0.48 to 0.80) among adults; however, it remained unchanged among older adults.
While the number of ARTI visits declined by 19%, patients with ARTI visits were
more likely to receive an antibiotic (73% versus 64%; P <0.001) in 2010 than in
2000. CONCLUSIONS: Antibiotic use has decreased among children and adolescents,
but has increased for older adults. Broad-spectrum antibiotic prescribing
continues to be on the rise. Public policy initiatives to promote the judicious
use of antibiotics should continue and programs targeting older adults should be
developed.
PMID- 24916810
TI - MN typing discrepancies based on GYPA-B-A hybrid.
AB - BACKGROUND AND OBJECTIVES: Gene conversion events between GYPA and GYPB or GYPA
and GYPE are facilitated by the close chromosomal proximity and high degree of
sequence homology and can lead to the formation of GP hybrid genes. Discrepant
results between blood group genotyping and haemagglutination in 22 random blood
donors induced molecular characterization. MATERIALS AND METHODS: Sequence
analysis of GYPA exons 1-7 and GYPB exons 1-5 was performed for gDNA and cDNA.
The linkage of the nucleotide alterations was defined by haplotype separation.
RESULTS: DNA analysis demonstrated a normal GYPA haplotype (GYPA*N n = 20, GYPA*M
n = 2) with an altered GP hybrid nucleotide sequence in trans. A GYPB homologue
sequence of minimal 10-bp encompassing intron 1 and exon 2 was translated into
GYPA, accounting for an amino acid substitution from arginine to glutamic acid at
position 13 (38 C>A). Genomic DNA analysis demonstrated the cis-linkage of the
hybrid nucleotide sequence with each GYPA(Ser20, Gly24) (n = 20) associated with
the expression of M and GYPA(Leu20, Glu24) (n = 2) encoding the N phenotype. The
serologic data indicate that the changes do not affect the expression of a normal
M and N antigen. cDNA sequences confirmed the gDNA results and furthermore
identified a heterozygous deletion of GYPB exon 2 in all probands. CONCLUSION:
The results document a GYPA-B-A hybrid gene, probably produced via a single
unequal homologous recombination event. A segmental transfer of GYPB seems most
likely accounting for the allelic dropout.
PMID- 24916811
TI - Chitosan-protein scaffolds loaded with lysostaphin as potential
antistaphylococcal wound dressing materials.
AB - AIMS: The development of technology for preparing chitosan-protein scaffolds
loaded with lysostaphin, which potentially could be used as dressing for wound
treatment and soft tissue infections caused by Staphylococcus aureus. METHODS AND
RESULTS: The unique technology of chitosan solubilization using gaseous CO(2)
instead of organic or inorganic acids was used for the incorporation of
lysostaphin, the enzyme that exhibits bactericidal activity against
staphylococci, within the structure of chitosan-protein sponges. The developed
chitosan-protein scaffolds loaded with lysostaphin revealed high
antistaphylococcal activity, which has been confirmed with a large (n = 143)
collection of clinical (skin and wound infections) and animal (bovine mastitis)
isolates of these bacteria, including MRSA. No change of bactericidal activity of
the lyophilized materials has been observed during half-year storage at 4 degrees
C. CONCLUSIONS: The developed materials are potential candidates for preparing
biologically active, antistaphylococcal wound dressing materials. SIGNIFICANCE
AND IMPACT OF THE STUDY: Staphylococci belong to the most popular and most
burdensome aetiological factors of wound and soft tissues infections. The
developed chitosan-protein scaffolds loaded with lysostaphin could be a possible
solution to problems associated with treatment of these infections.
PMID- 24916812
TI - Perceived Control and Psychological Contract Breach as Explanations of the
Relationships Between Job Insecurity, Job Strain and Coping Reactions: Towards a
Theoretical Integration.
AB - This study aims to further knowledge on the mechanisms through which job
insecurity is related to negative outcomes. Based on appraisal theory, two
explanations-perceived control and psychological contract breach-were
theoretically integrated in a comprehensive model and simultaneously examined as
mediators of the job insecurity-outcome relationship. Different categories of
outcomes were considered, namely work-related (i.e. vigour and need for recovery)
and general strain (i.e. mental and physical health complaints), as well as
psychological (i.e. job satisfaction and organizational commitment) and
behavioural coping reactions (i.e. self-rated performance and innovative work
behaviour). The hypotheses were tested using data of a heterogeneous sample of
2413 Flemish employees by means of both single and multiple mediator structural
equation modelling analyses (bootstrapping method). Particularly, psychological
contract breach accounted for the relationship between job insecurity and strain.
Both perceived control and psychological contract breach mediated the
relationships between job insecurity and psychological coping reactions, although
the indirect effects were larger for psychological contract breach. Finally,
perceived control was more important than psychological contract breach in
mediating the relationships between job insecurity and behavioural coping
reactions. This study meets previous calls for a theoretical integration
regarding mediators of the job insecurity-outcome relationship.
PMID- 24916813
TI - Cyclodextrin-templated porphyrin nanorings.
AB - alpha- and beta-cyclodextrins have been used as scaffolds for the synthesis of
six- and seven-legged templates by functionalizing every primary CH2OH with a 4
pyridyl moiety. Although these templates are flexible, they are very effective
for directing the synthesis of macrocyclic porphyrin oligomers consisting of six
or seven porphyrin units. The transfer of chirality from the cyclodextrin
templates to their nanoring hosts is evident from NMR and circular dichroism
spectroscopy. Surprisingly, the mean effective molarity for binding the flexible
alpha-cyclodextrin-based template within the six-porphyrin nanoring (74 M) is
almost as high as for the previously studied rigid hexadentate template (180 M).
The discovery that flexible templates are effective in this system, and the
availability of a template with a prime number of binding sites, open up many
possibilities for the template-directed synthesis of larger macrocycles.
PMID- 24916814
TI - Predictive equations for the estimation of body size in seals and sea lions
(Carnivora: Pinnipedia).
AB - Body size plays an important role in pinniped ecology and life history. However,
body size data is often absent for historical, archaeological, and fossil
specimens. To estimate the body size of pinnipeds (seals, sea lions, and
walruses) for today and the past, we used 14 commonly preserved cranial
measurements to develop sets of single variable and multivariate predictive
equations for pinniped body mass and total length. Principal components analysis
(PCA) was used to test whether separate family specific regressions were more
appropriate than single predictive equations for Pinnipedia. The influence of
phylogeny was tested with phylogenetic independent contrasts (PIC). The accuracy
of these regressions was then assessed using a combination of coefficient of
determination, percent prediction error, and standard error of estimation. Three
different methods of multivariate analysis were examined: bidirectional stepwise
model selection using Akaike information criteria; all-subsets model selection
using Bayesian information criteria (BIC); and partial least squares regression.
The PCA showed clear discrimination between Otariidae (fur seals and sea lions)
and Phocidae (earless seals) for the 14 measurements, indicating the need for
family-specific regression equations. The PIC analysis found that phylogeny had a
minor influence on relationship between morphological variables and body size.
The regressions for total length were more accurate than those for body mass, and
equations specific to Otariidae were more accurate than those for Phocidae. Of
the three multivariate methods, the all-subsets approach required the fewest
number of variables to estimate body size accurately. We then used the single
variable predictive equations and the all-subsets approach to estimate the body
size of two recently extinct pinniped taxa, the Caribbean monk seal (Monachus
tropicalis) and the Japanese sea lion (Zalophus japonicus). Body size estimates
using single variable regressions generally under or over-estimated body size;
however, the all-subset regression produced body size estimates that were close
to historically recorded body length for these two species. This indicates that
the all-subset regression equations developed in this study can estimate body
size accurately.
PMID- 24916815
TI - The BMJ's own patient journey.
PMID- 24916816
TI - Editorial.
PMID- 24916817
TI - Diet blues: Methodological problems in comparing non-pharmacological weight
management programs for patients with schizophrenia.
AB - Obesity is an evident problem in patients with schizophrenia because it involves
serious risks of health and has major effects on morbidity and mortality.
Compared with the general population the prevalence of obesity is significantly
increased in people with schizophrenia. Since second-generation antipsychotics
have been established, the problem has become even more prevalent. Causes and
treatment of obesity are both very complex issues. This article analyzes weight
management programs for people with schizophrenia in regard to scientific
methodology like intervention criteria, target definition and study design.
PMID- 24916818
TI - A comparative study of the components of sleep quality in medical outpatients.
AB - Background. Almost any medical illness that causes significant pain or discomfort
may negatively affect the quality of sleep. Moreover sleep disorders may coexist
with medical disorders in people of all ages. Measuring sleep dysfunction is an
area of active research, but few studies examined subjective ratings of sleep
quality in medical patients Method. A total of 250 medical patients with various
somatic complaints who attended the ENT, internal, neurology, orthopaedics and
urology clinics participated in this study. The patients completed the Pittsburgh
Sleep Quality Index (PSQI) which measures the quality of sleep in seven major
domains and helps discriminate between individuals who experience poor sleep
versus individuals who sleep well. A score >=6 is considered as a significant
sleep disturbance. Results. The PSQI score of the patients from all selected
clinics were higher than the reported cut-off point (Mean = 8, SD = 3.42).
Significant differences were found in sleep duration (component 3) and sleep
disturbances (component 5) between clinics. Pain and worry were the major causes
of sleep disturbances reported by the majority of the patients. Conclusion. Sleep
disturbances in medically ill patients require careful evaluation for proper
treatment that will alleviate the sleep problem without exacerbating concomitant
illnesses. Essentially any condition that causes pain or discomfort may cause
insomnia and must be considered in the overall treatment plan.
PMID- 24916819
TI - Clinical utility of Patient Health Questionnaire-9 (PHQ-9) in memory clinics.
AB - Background. Both dementia and depression may produce complaints of memory
impairment. Differential diagnosis may be difficult, but has practical
implications regarding choice of appropriate treatment. Aim. To assess the
clinical utility of the Patient Health Questionnaire-9 (PHQ-9), a validated
instrument for measurement of depression severity, in differentiating patients
with and without dementia, referred with complaints of memory impairment to
dedicated memory clinics. Methods. Pragmatic prospective study of consecutive
referrals (n=113) to two dementia clinics, diagnosed using standard clinical
diagnostic criteria for dementia (DSM-IV) and also administered the PHQ-9.
Results. PHQ-9 proved acceptable to patients, and was quick and easy to use.
Using traditional parameters of diagnostic utility (sensitivity, specificity,
positive predictive value, likelihood ratios), PHQ-9 performance was modest for
the diagnosis of dementia. However, pragmatically PHQ-9 scores proved helpful in
deciding which patients, both with and without dementia, required a trial of
antidepressant medication. Conclusions. PHQ-9 proved useful as a brief screen for
depression in patients attending dementia clinics with a complaint of memory
impairment.
PMID- 24916820
TI - Effectiveness and tolerability of long-acting risperidone: A 9-month open-label
extension of a 12-week switching study from oral antipsychotics.
AB - Objectives. The aim of this non-randomized, single-arm, multi-center, 9-month
extension study was to evaluate the maintained efficacy and tolerability of long
acting risperidone injection when we switched to it from previous oral
antipsychotics in symptomatically stable patients with schizophrenia or other
psychotic disorders. Methods. A total of 98 patients who had completed a previous
12-week acute phase study were included. Efficacy and tolerability were assessed
with the Positive and Negative Syndrome Scale (PANSS), Clinical Global Impression
(CGI), Global Assessment of Functioning (GAF), and Extrapyramidal Symptom Rating
Scale (ESRS). Results. The remission rate of 77.6% (76/98) at baseline and 57.1%
(56/98) at the end of the study. Of patients who were in remission at baseline,
65.8% (50/76) maintained their remission state until the end. The symptom
worsening rate was relatively low (11.1%), and there was no aggravation in mean
PANSS total and subscale scores. Spontaneous treatment-emergent adverse events
(TEAE) were reported by 21 (21.4%) patients, and most commonly reported adverse
events were extrapyramidal symptoms (N=6, 6.1%) and insomnia (N=4, 4.1%).
Extrapyramidal symptoms were significantly improved. Conclusions. Switching to
long-acting risperidone injection from oral antipsychotics was a safe and well
tolerated strategy for maintaining clinical stability in symptomatically stable
patients with schizophrenia.
PMID- 24916821
TI - Comparison of plasma levels between oral solution and fine granule dosage forms
of risperidone.
AB - Objective. In Japan, there are several clinical reports that risperidone (RIS)
oral solution (OS) requires shorter time for tranquilization and induces fewer
extrapyramidal symptoms (EPS) than other dosage forms; i.e. fine granules (FG).
Our aim is to compare plasma levels of RIS, its active metabolite (9-OH-RIS), and
their sum (active moiety; AM) between RIS-OS and RIS-FG in a multiple-dose
regimen. Method. A 12-week cross-over study was conducted in nine patients with
schizophrenia treated with 3 mg of RIS twice daily. The study period was divided
into four terms, each term being 3 weeks. RIS-FG and RIS-OS were given in two
alternate terms each. Blood samples were collected on the last day of each term
just before and at 1 h after RIS treatment to measure plasma levels of RIS, 9-OH
RIS, and prolactin. Result. Plasma levels of RIS, 9-OH-RIS, AM, and prolactin
before treatment were significantly lower for RIS-OS than for RIS-FG, while no
significant difference was observed between the two forms at 1 h after
administration. Conclusion. In a multiple-dose regimen, RIS-OS treatment caused a
larger diurnal fluctuation in plasma level of AM than RIS-FG. These variations
may explain the differences in severity of EPS between the 2 forms.
PMID- 24916822
TI - Prospective evaluation of insomnia in prison using the Pittsburgh Sleep Quality
Index: Which are the factors predicting insomnia?
AB - Objectives. To measure the subjective sleep quality of prisoners complaining of
insomnia and to compare it to the subjective sleep quality of prisoners who
report "good sleep", in order to determine factors that predict insomnia and
severity of insomnia. Participants and methods. PSQI and GHQ scores and patient
history were obtained for 86 randomly chosen remanded prisoners complaining of
insomnia and 61 randomly chosen prisoners who did not complain of insomnia.
Results. PSQI total and component scores were significantly different between
insomniac and not insomniac prisoners, except for C7 (daytime dysfunction). A
history of sleeping problems before prison (odds ratio: 13.3), the subjective
experience of having had stressful events during the past week (odds ratio: 8.5),
being separated or divorced (odds ratio: 8.8), GHQ >10 (odds ratio: 8.8), a
history of psychiatric problems (odds ratio: 8.3) and the consumption of opiates
(odds ratio: 7.9), and to a lesser degree "no sports in prison" and stress
related to judicial, familial and prison problems, were predictors of insomnia.
We did not find any evidence in this study that work or consumption of caffeine
in prison were factors that distinguished good sleepers from insomnia patients.
Conclusions. Our study helps prison physicians to identify prisoners at risk for
insomnia and to obtain some orientation for treatment decisions. Psychological
support to reduce context related stress should be routinely offered to insomniac
prisoners.
PMID- 24916823
TI - Psychosocial aspects of living-related donor renal transplantation: Quality of
life and mood in recipients, donors and controls.
AB - Due to the high frequency of living-related donor renal transplantation (LRDRT)
in our country, we were concerned both about the recipient and the donor quality
of life and psychological well-being. We investigated HRQL and mood in LRDRT
recipients, donors, and controls using the Beck Depression Inventory, Beck
Anxiety Inventory, and 36-item Short Form Health Survey. The recipient group
consisted of 69 individuals who underwent renal transplantation between August
2002 and June 2004. The donor group consisted of 35 and the control group 45
healthy individuals. Multivariate analysis of variance and the post hoc (Tukey)
test were used to assess and to analyze differences among and between the three
groups. The depression scores of the recipients were higher than donors (P <
0.05) but were similar to controls. The recipient scores indicated poorer
physical functioning (P < 0.001), greater physical limitation on roles (P <
0.001), and lower levels of general health (P < 0.01) compared to controls. The
donor scores indicated higher vitality (P < 0.01), better social functioning (P <
0.05) and greater mental health (P < 0.01) than controls. Poorer health and mood
status among the recipient group might reflect the various negative impacts of
chronic debilitating disease. It is therefore recommended to screen recipients
regularly for clinically relevant HRQL impairments after transplantation.
PMID- 24916824
TI - What is the "mask" of depressed inpatients from the viewpoint of surgeons and
internal medicine physicians?
AB - Objective. Depressed inpatients are easily misrecognized by general hospital
physicians. Therefore, our study aimed to examine the following issues: (1) how
primary care doctors recognize depressed inpatients; (2) if there are any
differences between internal medicine physicians and surgeons with regard to this
recognition; (3) the factors associated with the accurate recognition of
depression. Methods. Four hundred and twenty-five consecutive patients from
internal medical or surgical wards who had been diagnosed with depression were
enrolled in this study. The reasons for referral were recorded from the referral
sheet. Accurate recognition of depression was defined as depressive disorder or
depressed core symptoms, which were the reasons for referral. Results. The rate
of correct recognition of depression was the same for both physicians and
surgeons. Depressed inpatients from the internal medicine wards were referred
more commonly for suicide problems and unexplained physical symptoms, while a
greater number of depressed patients from the surgical wards were referred for
insomnia, agitation/irritability, cognitive impairment, and past psychiatric
history. Multiple physical comorbidities, depression treatment history, and
depression of a higher severity were independent factors associated with accurate
recognition. Conclusions. Postgraduate education is still needed with regarded to
understanding depression. The educational content should be specific to the
different specialties and the patient characteristics in different wards.
PMID- 24916825
TI - Repeated suicidal behaviour: Stressful life events and 5-HTTLPR genetic
polymorphism.
AB - Stressful life events and dysregulated mono-aminergic neurotransmission have been
associated with suicidal behaviour. The aim of this investigation was to analyze
suicidal behaviour in multiple attempters in relation to the stressful life
events, and to the polymorphism of the serotonin transporter (SERT) gene.
Multiple suicide attempters, admitted to the University Psychiatric Clinic, were
interviewed for the number of previous suicide attempts and for the occurrence of
stressful life events, recorded in a Life History Calendar. The patients were
further genotyped for 5-HTTLPR polymorphism of SERT. The number of suicide
attempts was found to be significantly correlated with the number of negative
life events experienced during the 6 months preceding each suicide attempt. The
L/L genotype was associated with a reduced number of multiple suicide attempts.
These results should prompt future study with a larger number of subjects to
further investigate the interaction of genetic and environmental factors in
repeated suicidal behaviour.
PMID- 24916826
TI - A re-examination of childhood trauma and somatic preoccupation.
AB - In this study, we assessed five types of childhood trauma (i.e., physical,
sexual, and emotional abuses; the witnessing of violence; physical neglect) and
their relationship to somatic preoccupation in adulthood. Using a cross-sectional
sample of convenience, we surveyed 113 individuals who were being seen as
outpatients in an internal medicine setting and seeking non-emergent medical
care. With yes/no response options, we inquired about five types of childhood
trauma (i.e., physical, sexual, and emotional abuses; the witnessing of violence;
physical neglect) and measured somatic preoccupation with the Bradford Somatic
Inventory, a self-report measure. In this study, both physical and emotional
abuses demonstrated significant correlations with scores on the Bradford Somatic
Inventory. These findings suggest that physical and emotional abuses in childhood
may have some relationship with somatic preoccupation in adulthood.
PMID- 24916827
TI - Childhood trauma and self-harm behavior among chronic pain patients.
AB - Associations between childhood trauma and self-harm behavior in adulthood have
been explored in a variety of populations, but few studies have assessed multiple
forms of childhood trauma as well as 22 self-harm behaviors, and none (that we
are aware of) in a chronic pain population. In this study, we examined 5 types of
childhood trauma (i.e., sexual, physical, and emotional abuses; physical neglect;
witnessing of violence) and 22 self-harm behaviors in a sample of 117 chronic
pain patients who were being evaluated by a pain management specialist in a
private setting. All five forms of childhood trauma demonstrated statistically
significant relationships with self-harm behavior in adulthood. We discuss the
implications of these findings.
PMID- 24916828
TI - Adverse events of placebo-treated, drug-resistant, focal epileptic patients in
randomized controlled trials: a systematic review.
AB - Health-related quality of life of patients with epilepsy is heavily influenced by
antiepileptic drug (AED) tolerability. However, an accepted method for precise
assessment of AED-induced adverse events (AEs) has not yet been established.
Assessment of tolerability and of the frequency of predefined AEs among drug
resistant epilepsy patients through an analysis of placebo-treated patients from
randomized controlled studies (RCTs) performed in patients with partial onset
epilepsies (POS) and evaluation of factors which may influence the occurrence of
AEs in these patients are the objectives of this study. We searched all double
blind, placebo-controlled trials investigating any AED on adult patients with POS
and extracted both for patients treated with placebo and for those treated with
the active drug, number of patients, number of responders, number of patients
withdrawing because of AEs, number of patients with AEs, and number of patients
with 11 predefined AEs. We also explored the effect of multiple factors on AEs
reporting. Seventy-nine RCTs were included in our study with 12,594 patients,
6,793 of whom randomized to placebo. In placebo-treated patients, overall
responder rate was 15.2 %, proportion of placebo-treated patients withdrawing
because of AEs was 3.9 %, and proportion of patients with AE was 60.3 %. The four
most frequently reported AEs were headache (12.4 %) somnolence (8.6 %), dizziness
(8.2 %), and fatigue (7.9 %). Several factors were found to influence these
outcomes. Several factors influence AEs' appearance in RCTs. Among the most
important, we found the expectations of patients and doctors and their attitudes
on the positive or negative effect of a drug.
PMID- 24916829
TI - Internal consistency of the University of Michigan RBD Questionnaire.
PMID- 24916830
TI - Wilhelm Uhthoff (1853-1927).
PMID- 24916831
TI - A case of amyotrophic lateral sclerosis with intermediate ATXN-1 CAG repeat
expansion in a large family with spinocerebellar ataxia type 1.
PMID- 24916833
TI - Evaluating different methods used in ethnobotanical and ecological studies to
record plant biodiversity.
AB - BACKGROUND: This study compares the efficiency of identifying the plants in an
area of semi-arid Northeast Brazil by methods that a) access the local knowledge
used in ethnobotanical studies using semi-structured interviews conducted within
the entire community, an inventory interview conducted with two participants
using the previously collected vegetation inventory, and a participatory workshop
presenting exsiccates and photographs to 32 people and b) inventory the
vegetation (phytosociology) in locations with different histories of disturbance
using rectangular plots and quadrant points. METHODS: The proportion of species
identified using each method was then compared with Cochran's Q test. We
calculated the use value (UV) of each species using semi-structured interviews;
this quantitative index was correlated against values of the vegetation's
structural importance obtained from the sample plot method and point-centered
quarter method applied in two areas with different historical usage. The analysis
sought to correlate the relative importance of plants to the local community (use
value-UV) with the ecological importance of the plants in the vegetation
structure (importance value-IV; relative density-RD) by using different sampling
methods to analyze the two areas. RESULTS: With regard to the methods used for
accessing the local knowledge, a difference was observed among the ethnobotanical
methods of surveying species (Q=13.37, df=2, p=0.0013): 44 species were
identified in the inventory interview, 38 in the participatory workshop and 33 in
the semi-structured interviews with the community. There was either no
correlation between the UV, relative density (RD) and importance value (IV) of
some species, or this correlation was negative. CONCLUSION: It was concluded that
the inventory interview was the most efficient method for recording species and
their uses, as it allowed more plants to be identified in their original
environment. To optimize researchers' time in future studies, the use of the
point-centered quarter method rather than the sample plot method is recommended.
PMID- 24916832
TI - Age dependency of safety and outcome of endovascular therapy for acute stroke.
AB - Elderly patients generally experience less favorable outcomes and higher
mortality after acute stroke than younger patients. The aim of this study was to
analyze the influence of age on outcome and safety after endovascular therapy in
a large cohort of patients aged between 20 and 90 years. We prospectively
acquired data of 1,000 stroke patients treated with endovascular therapy at a
single center. Logistic regression analysis was performed to determine predictors
of outcome and linear regression analysis to evaluate the association of age and
outcome after 3 months. Younger age was an independent predictor of favorable
outcome (OR 0.954, p < 0.001) and survival (OR 0.947, p < 0.001) in multivariate
regression analysis. There was a linear relationship between age and outcome.
Ever increase in 26 years of age was associated with an increase in the modified
Rankin Scale of 1 point (p < 0.001). However, increasing age was not a risk
factor for symptomatic (p = 0.086) or asymptomatic (p = 0.674) intracerebral
hemorrhage and did not influence recanalization success (p = 0.674). Advancing
age was associated with a decline of favorable outcomes and survival after
endovascular therapy. This decline was linear from age 20 to 90 years, but was
not related to lower recanalization rates or higher bleeding risk in the elderly.
The efficacy of endovascular stroke therapy seems to be preserved also in the
elderly and other factors than efficacy of endovascular therapy such as decreased
plasticity are likely to explain the worse outcome with advancing age.
PMID- 24916834
TI - Responses of high-elevation herbaceous plant assemblages to low glacial CO2
concentrations revealed by fossil marmot (Marmota) teeth.
AB - Atmospheric CO2 cycles of the Quaternary likely imposed major constraints on the
physiology and growth of C3 plants worldwide. However, the measured record of
this remains both geographically and taxonomically sparse. We present the first
reconstruction of physiological responses in a late Quaternary high-elevation
herbaceous plant community from the Southern Rocky Mountains, USA. We used a
novel proxy-fossilized tooth enamel of yellow-bellied marmots (Marmota
flaviventris)-which we developed using detailed isotopic analysis of modern
individuals. Calculated C isotopic discrimination (Delta) of alpine plants was
nearly 2 0/00 lower prior to the Last Glacial Maximum than at present, a response
almost identical to that of nonherbaceous taxa from lower elevations. However,
initial shifts in Delta aligned most closely with the onset of the late
Pleistocene bipolar temperature "seesaw" rather than CO2 increase, indicating
unique limitations on glacial-age high-elevation plants may have existed due to
both low temperatures and low CO2. Further development of system-specific faunal
proxies can help to clarify this and other plant- and ecosystem-level responses
to past environmental change.
PMID- 24916835
TI - Zonisamide attenuates hyperoxia-induced apoptosis in the developing rat brain.
AB - Oxygen therapy used in the treatment of perinatal hypoxia induces
neurodegeneration in babies with immature antioxidant mechanisms. Zonisamide is a
new antiepileptic drug used in childhood intractable seizures. Many studies
demonstrated its neuroprotective effects. There is no study evaluating its effect
on hyperoxic brain injury. The aim of this study was to investigate the
neuroprotective effect of zonisamide on hyperoxia-induced neonatal brain injury.
A total of 21 Wistar rat pups were used. The animals were divided into three
groups: control group, hyperoxia group, and zonisamide-treated group. The
zonisamide-treated group received an intraperitoneal injection of zonisamide.
Zonisamide significantly preserved the number of neurons in CA1 and dentate gyrus
parts of hippocampus, prefrontal, and parietal cortex. Zonisamide treatment also
decreased the number of apoptotic neurons in all examined parts of hippocampus,
prefrontal, and parietal cortex. We suggest that zonisamide treatment may be used
as a neuroprotective agent in hyperoxic brain injury.
PMID- 24916836
TI - Vestibular evoked myogenic potentials in Bell's palsy.
AB - The aim of the present study was to evaluate vestibular nerve involvement in
patients with Bell's palsy with ocular and cervical vestibular evoked myogenic
potentials (oVEMP and cVEMP). Ten patients who were diagnosed with Bell's palsy
and ten healthy controls were included. All patients underwent VEMP recordings
within 6 days after their initial presentation. Patients with Bell's palsy had
greater oVEMP asymmetry ratio comparing to healthy controls (-38.4 +/- 28.7 % vs
1.3 +/- 19.3 %, p = 0.005). As well N10 latencies of the oVEMP response were
prolonged comparing to healthy controls (11.575 vs 9.72 ms). There was no
difference in cVEMP asymmetry ratio or latencies between groups. We found no
correlation between House-Brackmann grading scale and oVEMP asymmetry ratio (r =
0.003, p = 0.994). There are three possible explanations for increased oVEMP
amplitudes on the affected side: (1) oVEMP response on the ipsilateral eye could
be contaminated by facial nerve activity (blink reflex); (2) the amplitude of N10
P33 could be affected through the stapedial reflex; and (3) increased oVEMP
amplitude could be the consequence of the vestibular nerve dysfunction itself,
with prolonged latencies of the N10 oVEMP further supporting this explanation.
The results of this study indicate possible involvement of the superior branch of
the vestibular nerve in patients with Bell's palsy.
PMID- 24916837
TI - Cognitive and affective influences on perceived risk of ovarian cancer.
AB - INTRODUCTION: Studies suggest that both affective and cognitive processes are
involved in the perception of vulnerability to cancer and that affect has an
early influence in this assessment of risk. We constructed a path model based on
a conceptual framework of heuristic reasoning (affect, resemblance, and
availability) coupled with cognitive processes involved in developing personal
models of cancer causation. METHODS: From an eligible cohort of 16 700 women in a
managed care organization, we randomly selected 2524 women at high, elevated, and
average risk of ovarian cancer and administered a questionnaire to test our model
(response rate 76.3%). Path analysis delineated the relationships between
personal and cognitive characteristics (number of relatives with cancer, age,
ideas about cancer causation, perceived resemblance to an affected friend or
relative, and ovarian cancer knowledge) and emotional constructs (closeness to an
affected relative or friend, time spent processing the cancer experience, and
cancer worry) on perceived risk of ovarian cancer. RESULTS: Our final model fit
the data well (root mean square error of approximation (RMSEA) = 0.028,
comparative fit index (CFI) = 0.99, normed fit index (NFI) = 0.98). This final
model (1) demonstrated the nature and direction of relationships between
cognitive characteristics and perceived risk; (2) showed that time spent
processing the cancer experience was associated with cancer worry; and (3) showed
that cancer worry moderately influenced perceived risk. DISCUSSION: Our results
highlight the important role that family cancer experience has on cancer worry
and shows how cancer experience translates into personal risk perceptions. This
understanding informs the discordance between medical or objective risk
assessment and personal risk assessment. Published in 2014. This article is a
U.S. Government work and is in the public domain in the USA.
PMID- 24916838
TI - A comparison of continuous intravenous insulin and subcutaneous insulin among
patients with type 2 diabetes and congestive heart failure exacerbation.
AB - BACKGROUND: The study aims to determine whether the route of insulin
administration influences glycaemic variability and inflammatory or neurohormonal
markers in patients with type 2 diabetes and congestive heart failure (CHF)
exacerbation. METHODS: Patients (n = 65) were randomized to intravenous (IV)
insulin (duration 48 h) or subcutaneous (SQ) insulin. Inflammatory cytokines and
markers of lipid oxidation, high-frequency heart rate variability (n = 27) and
cardiac impedance (pre-ejection period, n = 28) were used to estimate
parasympathetic and sympathetic tone in patients with valid cardiac data.
Glycaemic variability was measured using a continuous glucose monitor. RESULTS:
Mean glucose was lower (7.7 +/- 1.2 vs 9.4 +/- 2.7 mmol/L, p = 0.004),
coefficient of variation was higher (p = 0.03) and glycaemic lability index was
similar on day 1 in the IV group compared with the SQ group, but groups were
similar by day 2. The IV group had more confirmed hypoglycaemia (p = 0.005).
There were no differences in hospital readmission or hospital length of stay
between groups. There were no differences in CHF biomarkers, heart rate
variability or pre-ejection period between groups. Increasing log glycaemic
lability index was associated with lower on-treatment pre-ejection period (p =
0.03) while increasing coefficient of variation was associated with increasing
brain natriuretic peptide (p = 0.004) and paroxonase-1 (p = 0.02). Other
univariable analyses were not significant. CONCLUSIONS: There were modest,
transient differences in glucose control between IV and SQ insulin in
hospitalized CHF patients. However, the analyses do not support a link between
insulin route and inflammatory markers or autonomic tone. Further study is needed
to assess outcomes in hospitalized CHF patients.
PMID- 24916839
TI - Maximum linkage space-time permutation scan statistics for disease outbreak
detection.
AB - BACKGROUND: In disease surveillance, the prospective space-time permutation scan
statistic is commonly used for the early detection of disease outbreaks. The
scanning window that defines potential clusters of diseases is cylindrical in
shape, which does not allow incorporating into the cluster shape potential
factors that can contribute to the spread of the disease, such as information
about roads, landscape, among others. Furthermore, the cylinder scanning window
assumes that the spatial extent of the cluster does not change in time.
Alternatively, a dynamic space-time cluster may indicate the potential spread of
the disease through time. For instance, the cluster may decrease over time
indicating that the spread of the disease is vanishing. METHODS: This paper
proposes two irregularly shaped space-time permutation scan statistics. The
cluster geometry is dynamically created using a graph structure. The graph can be
created to include nearest-neighbor structures, geographical adjacency
information or any relevant prior information regarding the contagious behavior
of the event under surveillance. RESULTS: The new methods are illustrated using
influenza cases in three New England states, and compared with the cylindrical
version. A simulation study is provided to investigate some properties of the
proposed arbitrary cluster detection techniques. CONCLUSION: We have successfully
developed two new space-time permutation scan statistics methods with irregular
shapes and improved computational performance. The results demonstrate the
potential of these methods to quickly detect disease outbreaks with irregular
geometries. Future work aims at performing intensive simulation studies to
evaluate the proposed methods using different scenarios, number of cases, and
graph structures.
PMID- 24916840
TI - Milk and dairy consumption and risk of dementia in an elderly Japanese
population: the Hisayama Study.
AB - OBJECTIVES: To determine the effect of milk and dairy intake on the development
of all-cause dementia and its subtypes in an elderly Japanese population. DESIGN:
Prospective cohort study. SETTING: The Hisayama Study, Japan. PARTICIPANTS:
Individuals aged 60 and older without dementia (N = 1,081). MEASUREMENTS: Milk
and dairy intake was estimated using a 70-item semiquantitative food frequency
questionnaire grouped into quartiles. The risk estimates of milk and dairy intake
on the development of all-cause dementia, Alzheimer's disease (AD), and vascular
dementia (VaD) were computed using a Cox proportional hazards model. RESULTS:
Over 17 years of follow-up, 303 subjects developed all-cause dementia; 166 had
AD, and 98 had VaD. The age- and sex-adjusted incidence of all-cause dementia,
AD, and VaD significantly decreased as milk and dairy intake level increased (P
for trend = .03 for all-cause dementia, .04 for AD, .01 for VaD). After adjusting
for potential confounders, the linear relationship between milk and dairy intake
and development of AD remained significant (P for trend = .03), whereas the
relationships with all-cause dementia and VaD were not significant. The risk of
AD was significantly lower in the second, third, and fourth quartiles of milk and
dairy intake than in the first quartile. CONCLUSION: Greater milk and dairy
intake reduced the risk of dementia, especially AD, in the general Japanese
population.
PMID- 24916841
TI - Smartphones for cell and biomolecular detection.
AB - Recent advances in biomedical science and technology have played a significant
role in the development of new sensors and assays for cell and biomolecular
detection. Generally, these efforts are aimed at reducing the complexity and
costs associated with diagnostic testing so that it can be performed outside of a
laboratory or hospital setting, requiring minimal equipment and user involvement.
In particular, point-of-care (POC) testing offers immense potential for many
important applications including medical diagnosis, environmental monitoring,
food safety, and biosecurity. When coupled with smartphones, POC systems can
offer portability, ease of use and enhanced functionality while maintaining
performance. This review article focuses on recent advancements and developments
in smartphone-based POC systems within the last 6 years with an emphasis on cell
and biomolecular detection. These devices typically comprise multiple components,
such as detectors, sample processors, disposable chips, batteries, and software,
which are integrated with a commercial smartphone. One of the most important
aspects of developing these systems is the integration of these components onto a
compact and lightweight platform that requires minimal power. Researchers have
demonstrated several promising approaches employing various detection schemes and
device configurations, and it is expected that further developments in
biosensors, battery technology and miniaturized electronics will enable
smartphone-based POC technologies to become more mainstream tools in the
scientific and biomedical communities.
PMID- 24916842
TI - Identification of a novel overlapping sequential E epitope (E') on the bovine
leukaemia virus SU glycoprotein and analysis of immunological data.
AB - Bovine leukaemia virus (BLV), an oncogenic C-type retrovirus, is the causative
agent of enzootic bovine leucosis. Binding of BLV to its cellular receptor is
mediated by the surface envelope glycoprotein subunit (SU). Previous studies have
identified eight different epitopes (A through H) on the BLV SU. In this study, a
new sequential epitope was identified using the monoclonal antibody 2G7 (MAb 2G7)
on the C-terminal region of the BLV SU. To localise and refine the map of this
epitope, a series of deleted forms in the C and N-terminal ends of the
glycoprotein were made and synthesised in baculovirus and Escherichia coli
expression systems. The synthetic proteins were analysed both in Western blot and
MAb-capture ELISA assays. MAb 2G7 recognised a stretch of 11 amino acids, named
epitope E', corresponding to residues 189-SDWVPSVRSWA-199 (comprising the 33
amino acids signal peptide) overlapping with the E epitope of the SU. The data
obtained by Enzyme-Linked Immunosorbent Assay (ELISA) revealed that the E'
epitope was hidden on whole BLV particles and that the variation in reactivity
between epitope E' and MAb 2G7 depends on the glycosylation state of SU.
Similarly, the analysis of immunological data evidenced that the failure of
interaction between the MAb anti-DD' and its epitope was also due to a steric
hindrance of the glycosylation. Finally, the ELISA assay analysis performed with
the deleted and mutated forms of rSU evidenced that the conformational epitopes
F, G and H lied into in the 34-173 amino-acids residues of N-terminal region of
SU.
PMID- 24916843
TI - The complex role of DNA, histones and HMGB1 in the pathogenesis of SLE.
AB - Systemic lupus erythematosus (SLE) is a prototypic autoimmune disease
characterized by the production of antinuclear antibodies (ANA) in association
with protean clinic manifestations. ANA can bind to nuclear molecules, most
prominently DNA and histones in nucleosomes, to form complexes to promote
pathogenesis. Because of the intrinsic immunological activity of the nuclear
components, these complexes can amplify responses by interacting with diverse
pattern recognition receptors and internal sensing systems. Among molecules
associated with nucleosomal components, HMGB1, a non-histone protein, can emanate
from activated and dying cells; HMGB1's immune activity is determined by post
translational modifications, redox state, and binding to other immune mediators.
Although ANAs form complexes that deposit in the kidney or induce type 1
interferon, ANAs may also block immune activity. Together, these studies
highlight the importance of complexes in the pathogenesis of lupus and their role
as antigens, immunogens, and adjuvants.
PMID- 24916844
TI - Excited state potential energy surfaces and their interactions in Fe(IV)=O active
sites.
AB - The non-heme ferryl active sites are of significant interest for their
application in biomedical and green catalysis. These sites have been shown to
have an S = 1 or S = 2 ground spin state; the latter is functional in biology.
Low-temperature magnetic circular dichroism (LT MCD) spectroscopy probes the
nature of the excited states in these species including ligand-field (LF) states
that are otherwise difficult to study by other spectroscopies. In particular, the
temperature dependences of MCD features enable their unambiguous assignment and
thus determination of the low-lying excited states in two prototypical S = 1 and
S = 2 NHFe(IV)[double bond, length as m-dash]O complexes. Furthermore, some MCD
bands exhibit vibronic structures that allow mapping of excited-state
interactions and their effects on the potential energy surfaces (PESs). For the S
= 2 species, there is also an unusual spectral feature in both near-infrared
absorption and MCD spectra - Fano antiresonance (dip in Abs) and Fano resonance
(sharp peak in MCD) that indicates the weak spin-orbit coupling of an S = 1 state
with the S = 2 LF state. These experimental data are correlated with quantum
chemical calculations that are further extended to analyze the low-lying
electronic states and the evolution of their multiconfigurational characters
along the Fe-O PESs. These investigations show that the lowest-energy states
develop oxyl Fe(III) character at distances that are relevant to the transition
state (TS) for H-atom abstraction and define the frontier molecular orbitals that
participate in the reactivity of S = 1 vs. S = 2 non-heme Fe(IV)[double bond,
length as m-dash]O active sites. The S = 1 species has only one available channel
that requires the C-H bond of a substrate to approach perpendicular to the Fe-oxo
bond (the pi channel). In contrast, there are three channels (one sigma and two
pi) available for the S = 2 non-heme Fe(IV)[double bond, length as m-dash]O
system allowing C-H substrate approach both along and perpendicular to the Fe-oxo
bond that have important implications for enzymatic selectivity.
PMID- 24916846
TI - Prostate cancer survivorship guidelines.
PMID- 24916845
TI - Identification of a subtype-specific ENC1 gene related to invasiveness in human
pituitary null cell adenoma and oncocytomas.
AB - Non-functioning pituitary adenomas (NFPAs) may be locally invasive. Surgery is a
treatment option, but unlike the case for functional pituitary adenomas, there
are almost no drug treatments available for NFPAs. Markers of invasiveness are
needed to guide therapeutic decision-making and identify potential adjuvant
drugs. Owing to the highly heterogeneous nature of NFPAs, little is known
regarding the subtype-specific gene expression profiles associated with
invasiveness. To identify important biomarkers of invasiveness, we selected 23
null cell adenomas and 20 oncocytomas. These tumors were classified as invasive
or non-invasive adenomas based on magnetic resonance imaging, pathology slides
and surgical findings. Firstly, we observed that there were significant
differences in expression between invasive (n = 3) and non-invasive (n = 4)
adenomas by gene expression microarray. A total of 1,188 genes were
differentially expressed in the invasive and non-invasive adenomas. Among these
1,188 genes, 578 were upregulated and 610 were downregulated in invasive
adenomas. Secondly, the expression of ENC1, which displayed the significant
alterations, was further confirmed by qRT-PCR and Western blot analysis in all 43
tumor samples and three normal pituitary glands. Low levels of ENC1 were found in
tumor samples, while high levels were detected in normal pituitary glands.
Interestingly, the ENC1 expression level was low in invasive null cell adenomas
compared with non-invasive adenomas, but this relationship was not observed in
invasive oncocytomas. Immunohistochemistry also demonstrated that the staining of
ENC1 was different between invasive and non-invasive null cell adenomas. In
addition, bioinformatics studies, including gene ontology and protein interaction
analyses, were also performed to better understand the critical role of ENC1 in
the development and progression of null cell adenomas and oncocytomas.
Consequently, ENC1 may be an important biomarker for null cell adenomas and
oncocytomas, and it is specific to invasive null cell adenomas.
PMID- 24916847
TI - Implantable rhythm devices in the management of vasovagal syncope.
AB - The ECG registration during syncope allows physicians either to confirm or
exclude an arrhythmia as the mechanism of syncope. Implantable loop recorders
have an over-writeable memory buffer that continuously records and deletes the
patient's ECG for up to three years. Many studies have analyzed the utility of
implantable loop recorders in recurrent unexplained or high risk syncope. These
studies suggest that early use of the ILR provides more and earlier diagnoses and
could help in selecting patients with vasovagal syncope and prolonged asystolic
pauses who might benefit from pacemaker therapy. However many questions remain,
including its performance in the community by physicians with a range of
experience in diagnosing syncope. Furthermore there is no evidence that the use
of the ILR changes outcome. Numerous attempts have been made to determine whether
patients with predominantly cardioinhibitory syncope benefit from permanent
pacemakers, especially if symptoms are frequent and debilitating. While the first
open label trials of pacemakers in the treatment of vasovagal syncope showed
promising results, this effect has not been confirmed by blinded randomized
clinical trials. More recent data seem to suggest that patients over 40years with
severe asystolic vasovagal syncope might benefit from permanent pacemakers.
PMID- 24916848
TI - Hypomyelinating leukodystrophies: translational research progress and prospects.
AB - Hypomyelinating leukodystrophies represent a genetically heterogeneous but
clinically overlapping group of heritable disorders. Current management
approaches in the care of the patient with a hypomyelinating leukodystrophy
include use of serial magnetic resonance imaging (MRI) to establish and monitor
hypomyelination, molecular diagnostics to determine a specific etiology, and
equally importantly, careful attention to neurologic complications over time.
Emerging research in oligodendrocyte biology and neuroradiology with bedside
applications may result in the possibility of clinical trials in the near term,
yet there are significant gaps in knowledge in disease classification,
characterization, and outcome measures in this group of disorders. Here we review
the biological background of myelination, the clinical and genetic variability in
hypomyelinating leukodystrophies, and the insights that can be obtained from
current MRI techniques. In addition, we discuss ongoing research approaches to
define potential outcome markers for future clinical trials.
PMID- 24916849
TI - Deep sequencing reveals a novel class of bidirectional promoters associated with
neuronal genes.
AB - BACKGROUND: Comprehensive annotation of transcripts expressed in a given tissue
is a critical step towards the understanding of regulatory and functional
pathways that shape the transcriptome. RESULTS: Here, we reconstructed a
cumulative transcriptome of the human prefrontal cortex (PFC) based on
approximately 300 million strand-specific RNA sequence (RNA-seq) reads collected
at different stages of postnatal development. We find that more than 50% of
reconstructed transcripts represent novel transcriptome elements, including 8,343
novel exons and exon extensions of annotated coding genes, 11,217 novel antisense
transcripts and 29,541 novel intergenic transcripts or their fragments showing
canonical features of long non-coding RNAs (lncRNAs). Our analysis further led to
a surprising discovery of a novel class of bidirectional promoters (NBiPs)
driving divergent transcription of mRNA and novel lncRNA pairs and displaying a
distinct set of sequence and epigenetic features. In contrast to known
bidirectional and unidirectional promoters, NBiPs are strongly associated with
genes involved in neuronal functions and regulated by neuron-associated
transcription factors. CONCLUSIONS: Taken together, our results demonstrate that
large portions of the human transcriptome remain uncharacterized. The distinct
sequence and epigenetic features of NBiPs, as well as their specific association
with neuronal genes, further suggest existence of regulatory pathways specific to
the human brain.
PMID- 24916850
TI - An internal performance assessment of CancerGene Connect: an electronic tool to
streamline, measure and improve the genetic counseling process.
AB - CancerGene Connect (CGC) is a web-based program that combines the collection of
family and medical history, cancer risk assessment, psychosocial assessment,
report templates, a result tracking system, and a patient follow up system. The
performance of CGC was assessed in several ways: pre-appointment completion data
analyzed for demographic and health variables; a time study to assess overall
time per case and to compare the data entry by the genetic counselor compared to
the patient, and a measured quality assessment of the program via observation and
interview of patients. Prior to their appointment, 52.3% of 2,414 patients
completed the online patient questionnaire section of CGC. There were significant
differences in completion rates among racial and ethnic groups. County hospital
patients were less likely to complete the questionnaire than insured patients (p
< 0.0001); and likewise uninsured patients and patients with Medicare/Medicaid
were less likely to complete the questionnaire than private patients (p <
0.0001). The average genetic counseling time per case was 82 min, with no
significant differences whether the counselor or the patient completed CGC. CGC
reduces genetic counselor time by approximately 14-46% compared to average time
per case using traditional risk assessment and documentation methods previously
reported. All surveyed users felt the questionnaire was easy to understand. CGC
is an effective tool that streamlines workflow, and provides a standardized data
collection tool that can be used to evaluate and improve the genetic counseling
process.
PMID- 24916851
TI - Metals and nonsteroidal anti-inflammatory pharmaceuticals drugs present in water
from Madin Reservoir (Mexico) induce oxidative stress in gill, blood, and muscle
of common carp (Cyprinus carpio).
AB - Many toxic xenobiotics that enter the aquatic environment exert their effects
through redox cycling. Oxidative stress, which incorporates both oxidative damage
and antioxidant defenses, is a common effect induced in organisms exposed to
xenobiotics in their environment. The results of the present study aimed to
determine the oxidative stress induced in the common carp Cyprinus carpio by
contaminants [metals and nonsteroidal anti-inflammatory drugs (NSAIDs)] present
in Madin Reservoir. Five sampling stations (SSs), considered to have the most
problems due to discharges, were selected. Carp were exposed to water from each
SS for 96 h, and the following biomarkers were evaluated in gill, blood, and
muscle: hydroperoxide content, lipid peroxidation, protein carbonyl content, and
the activity of antioxidant enzymes superoxide dismutase and catalase. Results
show that contaminants (metals and NSAIDs) present in water from the different
SSs induce oxidative stress. Thus, water in this reservoir is contaminated with
xenobiotics that are hazardous to C. carpio, a species consumed by the local
human population.
PMID- 24916852
TI - A high birth weight is associated with increased risk of type 2 diabetes and
obesity.
AB - BACKGROUND: The association between low birth weight and adult disease is well
known. Less is known on long-term effects of high birth weight. OBJECTIVE: This
study aims to investigate whether a high birth weight increases risk for adult
metabolic disease. METHODS: Swedish term single births, 1973-1982 (n = 759,999),
were studied to age 27.5-37.5 years using Swedish national registers. Hazard
ratios (HRs) were calculated in relation to birth weight for type 2 diabetes,
obesity, hypertension and dyslipidaemia. RESULTS: Men with birth weights between
2 and 3 standard deviation score (SDS) had a 1.9-fold increased risk (HR 1.91,
95% confidence interval [CI] 1.25-2.90) of type 2 diabetes, whereas those with
birth weights above 3 SDS had a 5.4-fold increased risk (HR 5.44, 95% CI 2.70
10.96) compared to men with birth weights between -2 and 2 SDS. The corresponding
HRs for women were 0.60 (95% CI 0.40-0.91) and 1.71 (95% CI 0.85-3.43) for birth
weights 2-3 SDS and >3 SDS, respectively. Men with birth weights between 2 and 3
SDS had a 1.5-fold increased risk (HR 1.47, 95% CI 1.22-1.77) of obesity. The
corresponding risk for women was 1.3-fold increased (HR 1.32, 95% CI 1.19-1.46).
For men and women with birth weights above 3 SDS, the risks of adult obesity were
higher, HR 2.46 (95% CI 1.63-3.71) and HR 1.85 (95% CI 1.44-2.37), respectively.
CONCLUSIONS: A high birth weight, particularly very high, increases the risk of
type 2 diabetes in male young adults. The risk of obesity increases with
increasing birth weight in both genders.
PMID- 24916854
TI - Applied computational chemistry.
PMID- 24916853
TI - Higher clinical success in patients with ventilator-associated pneumonia due to
methicillin-resistant Staphylococcus aureus treated with linezolid compared with
vancomycin: results from the IMPACT-HAP study.
AB - INTRODUCTION: Controversy exists regarding optimal treatment for ventilator
associated pneumonia (VAP) due to methicillin-resistant Staphylococcus aureus
(MRSA). The primary objective of this study was to compare clinical success of
linezolid versus vancomycin for the treatment of patients with MRSA VAP. METHODS:
This was a multicenter, retrospective, observational study of patients with VAP
(defined according to Centers for Disease Control and Prevention criteria) due to
MRSA who were treated with linezolid or vancomycin. MRSA VAP was considered when
MRSA was isolated from a tracheal aspirate or bronchoalveolar lavage. Clinical
success was evaluated by assessing improvement or resolution of signs and
symptoms of VAP by day 14. After matching on confounding factors, logistic
regression models were used to determine if an association existed between
treatment arm and clinical success. RESULTS: A total of 188 patients were
evaluated (101 treated with linezolid and 87 with vancomycin). The mean +/-
standard deviation Acute Physiology and Chronic Health Evaluation (APACHE) II
score was 21 +/- 11 for linezolid- and 19 +/- 9 for vancomycin-treated patients
(P = 0.041). Clinical success occurred in 85% of linezolid-treated patients
compared with 69% of vancomycin-treated patients (P = 0.009). After adjusting for
confounding factors, linezolid-treated patients were 24% more likely to
experience clinical success than vancomycin-treated patients (P = 0.018).
CONCLUSIONS: This study adds to the evidence indicating that patients with MRSA
VAP who are treated with linezolid are more likely to respond favorably compared
with patients treated with vancomycin.
PMID- 24916855
TI - A systematic approach to definitive planning and designing single and multiple
unit implant abutments.
AB - With an increase in the availability of implant restorative components, the
selection of an appropriate implant abutment for a given clinical situation has
become more challenging. This article describes a systematic protocol to help the
practitioner more thoughtfully select abutments for single and multiple unit
fixed implant prostheses. The article examines the evaluation, planning, design,
and fabrication processes for the definitive restoration. It includes an
assessment of a variety of factors, namely restorative space, soft and hard
tissues, the location of the implant platform, the type of platform connection,
platform switching indications, tissue collar heights, emergence profile, implant
angulation, and finally the design and esthetic options for the final implant
abutment.
PMID- 24916857
TI - Adjustment of web-building initiation to high humidity: a constraint by humidity
dependent thread stickiness in the spider Cyrtarachne.
AB - Cyrtarachne is an orb-weaving spider belonging to the subfamily Cyrtarachninae
(Araneidae) which includes triangular-web-building Pasilobus and bolas spiders.
The Cyrtarachninae is a group of spiders specialized in catching moths, which is
thought to have evolved from ordinary orb-weaving araneids. Although the web
building time of nocturnal spiders is in general related to the time of sunset,
anecdotal evidence has suggested variability of web-building time in Cyrtarachne
and its closely related genera. This study has examined the effects of
temperature, humidity, moonlight intensity, and prey (moths) availability on web
building time of Cyrtarachne bufo, Cyrtarachne akirai, and Cyrtarachne
nagasakiensis. Generalized linear mixed model (GLMM) have revealed that humidity,
and not prey availability, was the essential variable that explained the daily
variability of web-building time. Experiments measuring thread stickiness under
different humidities showed that, although the thread of Cyrtarachne was found to
have strong stickiness under high humidity, low humidity caused a marked decrease
of thread stickiness. By contrast, no obvious change in stickiness was seen in an
ordinary orb-weaving spider, Larinia argiopiformis. These findings suggest that
Cyrtarachne adjusts its web-building time to favorable conditions of high
humidity maintaining strong stickiness, which enables the threads to work
efficiently for capturing prey.
PMID- 24916856
TI - Reactive microglia and macrophage facilitate the formation of Muller glia-derived
retinal progenitors.
AB - In retinas where Muller glia have been stimulated to become progenitor cells,
reactive microglia are always present. Thus, we investigated how the activation
or ablation of microglia/macrophage influences the formation of Muller glia
derived progenitor cells (MGPCs) in the retina in vivo. Intraocular injections of
the Interleukin-6 (IL6) stimulated the reactivity of microglia/macrophage,
whereas other types of retinal glia appear largely unaffected. In acutely damaged
retinas where all of the retinal microglia/macrophage were ablated, the formation
of proliferating MGPCs was greatly diminished. With the microglia ablated in
damaged retinas, levels of Notch and related genes were unchanged or increased,
whereas levels of ascl1a, TNFalpha, IL1beta, complement component 3 (C3) and C3a
receptor were significantly reduced. In the absence of retinal damage, the
combination of insulin and Fibroblast growth factor 2 (FGF2) failed to stimulate
the formation of MGPCs when the microglia/macrophage were ablated. In addition,
intraocular injections of IL6 and FGF2 stimulated the formation of MGPCs in the
absence of retinal damage, and this generation of MGPCs was blocked when the
microglia/macrophage were absent. We conclude that the activation of microglia
and/or infiltrating macrophage contributes to the formation of proliferating
MGPCs, and these effects may be mediated by components of the complement system
and inflammatory cytokines.
PMID- 24916858
TI - High diagnostic stability of confirmed migraine and confirmed tension-type
headache according to the ICHD-3 beta in adolescents.
AB - BACKGROUND: Stable headache diagnosis classification is a prerequisite for
identification of headache type specific risk factors. Does the stability of a
headache diagnosis over time vary between migraine and tension-type headache
(TTH)? Are there differences in diagnosis stability between a probable and a
definite headache diagnosis? FINDINGS: In a sample of 783 students (ages 12 to 18
years) participating in a headache intervention study in greater Munich, the
stability of headache classification according to the International
Classification of Headache Disorder - third edition (beta version) (ICHD-3 beta)
after a follow-up of 7 months was examined. Differences in stability of probable
or definite migraine and probable or definite TTH were assessed. The stability of
the headache diagnosis was assessed as predictive value of headache diagnosis
with regard to confirmation of the headache type using the same diagnostic
instrument 7 months later. Predictive values with 95% confidence intervals (CI)
are reported.Of students with initial migraine, a diagnosis of migraine was
confirmed in 65.71% of students after 7 months (95%-CI [59.40-71.64]). A clear
distinction between probable (44.71%, 95%-CI [33.91-53.89]) and confirmed
diagnosis (76.88% 95%-CI [69.56-83.17]) of migraine was observed. For TTH the
predictive value was 62.66% (95%-CI [57.07-68.01]) overall with a lower stability
for probable (46.10%, 95%-CI [37.68-54.69]) compared to the confirmed diagnosis
(69.71%, 95%-CI [23.58-37.67]). CONCLUSION: While confirmed migraine and
confirmed TTH diagnoses seem stable over time, stability of a probable diagnosis
for either headache type was lower. TRIAL REGISTRATION: The trial was registered
at the German Clinical Trial Register with the ID DRKS00003308.
PMID- 24916859
TI - The complete mitochondrial genome sequence of Brassica oleracea and analysis of
coexisting mitotypes.
AB - The complete mitochondrial genome sequences of Brassica species have provided
insight into inter- and intraspecific variation of plant mitochondrial genomes.
However, the size of mitochondrial genome sequenced for Brassica oleracea
hitherto does not match to its physical mapping data. This fact led us to
investigate B. oleracea mitochondrial genome in detail. Here we report novel B.
oleracea mitochondrial genome, derived from var. capitata, a cabbage cultivar
''Fujiwase''. The genome was assembled into a 219,952-bp circular sequence that
is comparable to the mitochondrial genomes of other Brassica species (ca. 220-232
kb). This genome contained 34 protein-coding genes, 3 rRNA genes and 17 tRNA
genes. Due to absence of a large repeat (140 kb), the mitochondrial genome of
''Fujiwase'' is clearly smaller than the previously reported mitochondrial genome
of B. oleracea accession ''08C717'' (360 kb). In both mitotypes, all genes were
identical, except cox2-2, which was present only in the Fujiwase type. At least
two rearrangement events via large and small repeat sequences have contributed to
the structural differences between the two mitotypes. PCR-based marker analysis
revealed that the Fujiwase type is predominant, whereas the 08C717 type coexists
at low frequency in all B. oleracea cultivars examined. Intraspecific variations
in the mitochondrial genome in B. oleracea may occur because of heteroplasmy,
coexistence of different mitotypes within an individual, and substoichiometric
shifting. Our data indicate that the Fujiwase-type genome should be used as the
representative genome of B. oleracea.
PMID- 24916860
TI - Effects of particle size and moisture levels in mixed rations on the feeding
behavior of dairy heifers.
AB - Two experiments on replacement heifers (175+/-12 days of age) assessed the
effects of forage particle length and moisture on feeding behavior. Both
experiments used a replicated 3*3 Latin square design, with nine heifers per
replication and three periods of 9 days each. Each group of nine heifers was
housed in one pen with access to three electronic feed bins. In Experiment 1, hay
chopped at different lengths was incorporated into three total mixed rations
(TMR) all having the same ingredient and nutrient composition but differing in
the percentage of long particles (>19 mm): 60% (Short), 64% (Medium) and 72%
(Long). In Experiment 2, heifers were fed a TMR with the same ingredient and
nutrient composition but differing in moisture content: 65% DM (Dry), 50% DM
(Moderate), and 35% DM (Wet). In both experiments, feeding behavior during the
last 5 days of each period was analyzed using a mixed model accounting for the
fixed effects of treatment and period, and the random effects of replication and
animal. In Experiment 1, dry matter intake (DMI) and eating rate (DMI/min) tended
to increase, whereas daily eating time decreased as the feed particle size
decreased. Heifers fed the Long diet selected in favor of long particles (>19 mm)
and against Short (1.18 to 8 mm) and fine (<1.18 mm) particles; heifers fed the
Short diet selected against long particles and in favor of short and fine
particles. Heifers fed the Medium diet showed a preference for medium particles
with no preference for the other particle sizes. In Experiment 2, heifers fed the
Dry diet tended to consume more feed than those fed the Moderate and Wet diets,
with no differences in feeding behavior or sorting activity. In conclusion the
Medium diet minimized sorting without reducing eating rates and intake, and
adding water to TMR to achieve a dry matter less than 65% tended to decrease DMI
without reducing sorting.
PMID- 24916861
TI - The use of computerized tomography in determining stature and sex from metatarsal
bones.
AB - This study evaluates the efficacy of a radiological method to estimate stature
from measurements of the first and second metatarsal taken from a collection of
metatarsals of a Portuguese Caucasian population in which the measurements were
made directly on the bone. The highest coefficient of determination and the
lowest standard error were obtained with the physiological length of the second
metatarsal (F2), using the equation S=895.4803+10.7848F2. The linear regressions
obtained show significant differences between the estimated heights from M1. In
addition, we offer a simple method for sex determination based on the maximum
length (M1) and width (W1) of the first metatarsal, where W1=x1; (M1/W1)=x2;
beta0=55.4767; beta1=-2.5796 and beta2=-4.6898. Here we present a method of
measurement using computerized tomography that enables population studies using
live volunteers without incurring the difficulties of on the bone measurement.
PMID- 24916862
TI - New pitfalls of high-density postmortem computed tomography.
AB - An 80-year-old female was transferred to the hospital due to a traffic accident.
Multiple cranial bone fractures with intracranial hemorrhage and intracranial air
were detected. Despite treatment, the patient died after 6h. Twenty-one hours
after the patient died, her whole body was scanned by postmortem CT, and a region
of high density was detected within the left putamen. The autopsy revealed a
cerebral contusion and multiple skull base fractures. Moreover, superabsorbent
polymers (SAPs) were found within the left lateral ventricle and adjacent to the
putamen, which appeared as a high-density lesion on postmortem CT at the left
putamen, where the SAPs were compacted. Both ante- and postmortem conditions
should be considered to prevent misdiagnoses based only on postmortem CT.
PMID- 24916863
TI - A fatal case of adult small bowel volvulus.
AB - A 56-year-old man was hit by a car while riding a bicycle, immediately brought to
the hospital, and treated. Four days after the accident, he reported abdominal
pain and vomiting in the night, and he died the next day. A forensic autopsy was
performed, and almost of the entire small bowel had gangrenous swelling. The
mesentery was twisted 180 degrees with clockwise rotation along the vascular
axis, and pre-autopsy computed tomography scan showed a whirl sign.
PMID- 24916864
TI - Typhoid fever: misuse of Widal test in Libya.
AB - The worldwide gold standard of diagnosing of enteric fever depends on the
isolation of Salmonella enterica serovar Typhi from a patient's bone marrow
and/or blood culture. In Libya clinicians are heavily dependent on the Widal test
for diagnosis of enteric fever which has been used without determining the
locally appropriate threshold titer, because the laboratories lack the skilled,
experienced personnel and appropriate facilities to detect and serotype
Salmonella isolates. To improve the diagnosis process, clinical management and
reliability of public health measures, there is an urgent need for the effective
training of laboratory technicians and to provide resources to culture Salmonella
species according to published guidelines. Clinicians should understand the
limitations of Widal test and recognize that it cannot be expected to give a
reliable diagnosis.
PMID- 24916865
TI - Molecular identification of the ompL1 gene within Leptospira interrogans standard
serovars.
AB - INTRODUCTION: Leptospirosis, caused by infection with pathogenic Leptospira
species, is one of the most prevalent zoonotic diseases in the world. Current
leptospiral vaccines are mainly multivalent dead whole-cell mixtures made of
several local dominant serovars. Therefore, design and construction of an
efficient recombinant vaccine for leptospirosis control is very important. OmpL1
is an immunogenic porin protein that could be of special significance in
vaccination and serodiagnosis for leptospirosis. METHODOLOGY: Three strains
belonging to pathogenic L. interrogans were analyzed. The specific primers for
proliferation of the ompL1 gene were designed. The amplified gene was cloned. In
order to investigate the ompL1 nucleotide sequence and homological analysis of
this gene, ompL1 genes cloned from standard vaccinal Leptospira serovars
prevalent in Iran were sequenced and cloned. RESULTS: PCR amplification of the
ompL1 gene using the designed primers resulted in a 963 bp ompL1 gene product.
The PCR based on the ompL1 gene detected all pathogenic reference serovars of
Leptospira spp. tested. Based on alignment and phylogenetic analysis, although
the ompL1 nucleotide sequence was slightly different within three vaccinal
serovars (100%-85% identity), amino acid alignment of the OmpL1 proteins revealed
that there would be inconsiderable difference among them. CONCLUSION: The ompL1
gene of the three isolates was well conserved, differing only by a total of 6 bp
and the proteins by 2 amino acids. The cloned gene could be further used for
expression and recombinant OmpL1 as an efficient and conserved antigen, and may
be a useful vaccine candidate against leptospirosis in our region.
PMID- 24916866
TI - Occurrence of Clostridium difficile infections due to PCR ribotype 027 in
Bucharest, Romania.
AB - INTRODUCTION: Little is known about prevailing ribotypes of Clostridium difficile
infection in Romania where CDI is not a mandatory notifiable disease.
METHODOLOGY: We studied 64 non-duplicate C. difficile isolates from patients
hospitalised at the National Institute of Infectious Diseases, Bucharest, Romania
between March 2011 and March 2012. RESULTS: Sixty-three of the 64 C. difficile
isolates produced toxins A and B whereas 44 (69%) isolates produced a binary
toxin. Ribotype 027 accounted for 43 (68%) of the 63 toxigenic strains. The
remaining 20 isolates belonged to ribotypes 018 (n = 9), 012 (n = 3), and, with
one isolate each, 014, 031, 081, 416, 433, 500, 507 and PR03035 (new ribotype).
Information on hospital mortality was available for 62 of the 64 patients; among
these 62 cases, 4 (6.4%) ended fatal. Recurrence was documented for 11 (18.3%) of
the 60 patients for whom this information was available. Multilocus variable
number tandem repeat analysis of the 43 isolates of ribotype 027 yielded a unique
cluster for the Romanian isolates when compared to Austrian or Italian isolates.
CONCLUSION: Our findings sustain the hypothesis of a recent emerged outbreak of
C. difficile PCR ribotype 027 infections in the area of Bucharest.
PMID- 24916867
TI - Frequency and antibiotic susceptibility patterns of urinary pathogens in male
outpatients in Argentina.
AB - INTRODUCTION: Knowledge of the etiology and antimicrobial susceptibility patterns
of uropathogens is important for determining the best treatment option. This
study aimed to determine the distribution and antibiotic susceptibility patterns
of bacterial strains isolated from adult male outpatients. METHODOLOGY: Between
November 2012 and April 2013, 3,105 community urine samples were analyzed from
adult male patients who attended the Laboratorio Hidalgo, Buenos Aires,
Argentina. Antimicrobial susceptibility testing was performed by the Kirby-Bauer
disc diffusion method. Isolates resistant to third generation cephalosporin were
tested for extended-spectrum beta-lactamase (ESBL) production using the double
disk synergy test. RESULTS: Of the 3,105 urine samples analyzed, 791 (25.5%) had
significant bacteriuria. The frequency of positive urine cultures increased
significantly with patient age. Escherichia coli was isolated most frequently
(47.3%), followed by Enterococcus faecalis (13.6%), and Klebsiella pneumoniae
(11.9%). Gram-negative organisms represented 78.8% of urinary pathogens. The
highest activities against Gram-negative bacteria were found with imipenem
(99.0%), amikacin (98.1%), ertapenem (94.2%), fosfomycin (90.7%), and
piperacillin-tazobactam (90.1%). The frequencies of ESBLs among E. coli, K.
pneumoniae, and P. mirabilis were 15.2 %, 22.3%, and 8%, respectively.
Fosfomycin, piperacillin-tazobactam, and nitrofurantoin were most effective
against Gram-positive organisms. CONCLUSIONS: Fosfomycin may be an excellent
option for cystitis treatment in patients without risk factors, whereas
piperacillin-tazobactam is preferred for the treatment of parenchymatous UTIs,
complicated UTIs, and UTIs associated with risk factors. To ensure the optimal
selection of antibiotics, physicians should have access to up-to-date information
about the local prevalence of antimicrobial resistance.
PMID- 24916868
TI - Antimicrobial resistance, class 1 integrons, and horizontal transfer in
Salmonella isolated from retail food in Henan, China.
AB - INTRODUCTION: Salmonellosis remains one of the most frequently occurring
foodborne diseases worldwide, especially in developing countries. The increasing
prevalence of multidrug resistance among Salmonella isolates from food has been
an emerging problem in China. METHODOLOGY: In this study, a total of 638 food
samples including raw meat, seafood, vegetables, and cooked meat were collected
in Henan province of China between July 2007 and August 2008 to determine the
prevalence of Salmonella. These isolates were subjected to serotyping,
antimicrobial susceptibility, presence of class 1 integrons, and horizontal
transfer of integrons. RESULTS: The overall percentage of Salmonella prevalence
was 9.7% (n = 62). Among these isolates, S. Anatum and S. Senftenberg were most
common, and high rates of antimicrobial resistance were observed to
sulfamethoxazole (90.3%), trimethoprim/sulfamethoxazole (87.1%), streptomycin
(29.0%), and ciprofloxacin (25.8%). Class 1 integrons were detected in 16.1% of
these isolates, and contained gene cassettes dfrA12-aadA2, dfrA1-aadA1, and
dfrA1. Three Salmonella isolates could transfer their integrons and resistance
genes to Escherichia coli by conjugation. CONCLUSIONS: Our findings indicate that
the mobile DNA elements could play an important role in the dissemination of
resistance determinants among those Salmonella isolates.
PMID- 24916869
TI - Molecular characterization and antibiotic resistance of Salmonella in children
with acute gastroenteritis in Abuja, Nigeria.
AB - INTRODUCTION: In Nigeria, acute gastroenteritis in children under five years of
age is a major cause of mortality and morbidity; identification and
characterization of microbial agents of acute gastroenteritis, including
Salmonella, remains a powerful tool for effective management, surveillance, and
control. METHODOLOGY: Diarrheal stool samples were directly plated onto
differential and selective media to isolate Salmonella. Extended-spectrum beta
lactamases were screened using the double disk diffusion technique and by PCR
targeting the blaTEM and blaCTX-M genes. Pulsed-field gel electrophoresis (PFGE)
was performed usingthe PulseNet Canada Laboratory protocol for molecular
subtyping using the restriction enzymes XbaI and BlnI. RESULTS: The serotypes
identified were Salmonella enterica serovar Zanzibar (n = 5), Salmonella
Brancaster (n = 3), and one isolate of Salmonella Enteritidis (phage type 1). The
following levels of resistance were found among the Salmonella strains:
amoxicillin, five strains (55.6%); amoxicillin-clavulanic acid, two strains
(22.2%); cephalexin, five strains (55.6%); and cefuroxime, five strains (55.6%).
Intermediate resistance was found in five strains (55.6%) only to amoxicillin
clavulanic acid. All isolates were susceptible to nalidixic acid, ciprofloxacin,
and ceftriaxone, and no ESBL-producing Salmonella were detected. CONCLUSIONS: Our
findings demonstrated the involvement of three Salmonella serovars in acute
gastroenteritis; resistance to penicillins and cephalosporins was common.
PMID- 24916870
TI - Cholera outbreaks in Malawi in 1998-2012: social and cultural challenges in
prevention and control.
AB - INTRODUCTION: Cholera still remains a significant cause of morbidity and
mortality in developing countries, although comprehensive surveillance data to
inform policy and strategies are scarce. METHODOLOGY: A desk review of the
national cholera database and zonal and districts reports was conducted.
Interviews were conducted with district health management teams, health workers,
and participants in communities in six districts affected by cholera in 2011/2012
to obtain data on water, sanitation, and sociocultural issues. RESULTS: From 1998
to 2012, cholera outbreaks occurred every year in Malawi, with the highest number
of cases and deaths reported in 2001/2002 (33,546 cases, 968 deaths; case
fatality rate [CFR] 2.3%). In 2011/2012, cholera outbreak was widespread in the
southern region, affecting 10 out of 13 districts, where 1,806 cases and 38
deaths (CFR 2.1%) were reported. Unsafe water sources, lack of maintenance of
broken boreholes, frequent breakdown of piped water supply, low coverage of pit
latrines (range 40%-60%), lack of hand washing facilities (< 5%), salty borehole
water, fishermen staying on Lake Chilwa, cross-border Malawi-Mozambique disease
spread, and sociocultural issues were some of the causes of the persistent
cholera outbreaks in Malawi. CONCLUSIONS: Despite improvements in safe drinking
water and sanitation, cholera is still a major public health problem.
Introduction of a community-led total sanitation approach, use of social and
cultural information in community mobilization strategies, and introduction of an
oral cholera vaccine could help to eliminate cholera in Malawi.
PMID- 24916871
TI - Isolation of the Bacillus thuringiensis plasmid carrying Bacthuricin F4 coding
genes and evidence of its conjugative transfer.
AB - INTRODUCTION: Conjugation is an excellent natural mode of DNA transfer in vivo
between bacteria, particularly when these conjugative elements carry
technological traits such as bacteriocin encoding genes. In the present work, the
bacteriocinogenic plasmid pIBF4 from Bacillus thuringiensis responsible of
Bacthuricin F4 synthesis was isolated and characterized. METHODOLOGY: To isolate
pIBF4, the total plasmid DNA from a non-bacteriocin transposant carrying the mini
Tn10 spectinomycin selective marker was extracted and used to transform
Escherichia coli strain Top10. PIBF4 was extracted from the obtained transformant
and then subjected to restriction enzyme analysis. Plasmid curing experiments
were conducted to test the stability of pIBF4 at a stringent temperature of 42
degrees C. Conjugative behavior of pIBF4 was assessed by mating experiments using
the non-bacteriocin transposant mutant as a donor strain and several Bacillus
thuringiensis strains as recipients. RESULTS: The pIBF4 plasmid was isolated and
had a molecular weight of 19.1 kb. Ninety-five percent of cells retained the
pIBF4 plasmid after 200 generations, demonstrating its high stability. PIBF4 was
successfully transferred to Bacillus thuringiensis HD1CryB strain with a transfer
frequency of 1x10(-8) transconjugants per donor cell. The study of the recipient
host range revealed that pIBF4 is specifically transferable to Bacillus
thuringiensis strains with variable transfer frequencies depending on the
recipient host strain. CONCLUSION: Our results show that pIBF4 is a 19.1 kb
highly stable plasmid transferable by conjugation to Bacillus thuringiensis
strains with deferent transfer frequencies.
PMID- 24916872
TI - Molecular surveillance of Dengue in Sukabumi, West Java province, Indonesia.
AB - INTRODUCTION: Dengue is endemic and affects people in all Indonesian provinces.
Increasing dengue cases have been observed every year in Sukabumi in West Java
province. Despite the endemicity, limited data is available on the genetic of
dengue viruses (DENV) circulating in the country. To understand the dynamics of
dengue disease, we performed molecular and serological surveillance of dengue in
Sukabumi. METHODOLOGY: A total of 113 patients were recruited for this study.
Serological data were obtained using anti-dengue IgM and IgG tests plus dengue
NS1 antigen detection. Dengue detection and serotyping were performed using real
time RT-PCR. Viruses were isolated and the envelope genes were sequenced.
Phylogenetic and evolutionary analyses were performed to determine the genotype
of the viruses and their evolutionary rates. RESULTS: Real-time RT-PCR detected
DENV in 25 (22%) of 113 samples. Serotyping revealed the predominance of DENV-2
(16 isolates, 64%), followed by DENV-1 (5 isolates, 20%), and DENV-4 (4 isolates,
16%). No DENV-3 was detected in the samples. Co-circulation of genotype I and IV
of DENV-1 was observed. The DENV-2 isolates all belonged to the Cosmopolitan
genotype, while DENV-4 isolates were grouped into genotype II. Overall, their
evolutionary rates were similar to DENV from other countries. CONCLUSIONS: We
revealed the distribution of DENV serotypes and genotypes in Sukabumi. Compared
to data obtained from other cities in Indonesia, we observed the differing
predominance of DENV serotypes but similar genotype distribution, where the
infecting viruses were closely related with Indonesian endemic viruses isolated
previously.
PMID- 24916873
TI - Quantifying the mortality caused by the H1N1 influenza virus during the 2009
pandemic in Mexico.
AB - INTRODUCTION: The frequency and mortality of the pandemic caused by influenza
A(H1N1)pdm09 might have been underestimated, especially in developing countries.
This study was designed to quantify the possible underestimation of pandemic
influenza mortality and evaluate the concordance between the data reported for
A(H1N1)pdm09 mortality and the causes of death reported during the pandemic
period of April 2009 to February 2010. METHODOLOGY: The death certificates of 754
confirmed cases of A(H1N1)pdm09 infection were included in the study. Data was
analyzed using the United States Centers for Disease Control and Prevention's
statistical model accounts for the variability in the proportion at each step
using the Monte Carlo probabilistic model sampled from a uniform probability
distribution. RESULTS: A total of 1,969 deaths were estimated, with an estimated
lethality of 5.53 per 100,000 (range, 3.5-8.76 per 100,000) in contrast with the
754 deaths and a lethality of 1.98 per 100,000 infected patients officially
reported. In 631 of 754 (83.7%) death certificates from A(H1N1)pdm09 influenza
positive patients, influenza was not mentioned as a cause of death. CONCLUSIONS:
It is possible that the mortality of the pandemic was three times higher than
officially reported in Mexico. One source of error that could explain this
underestimation is in the completion of death certificates, because in > 80% of
confirmed cases of infection with influenza virus, it was not reported as the
cause of death.
PMID- 24916874
TI - Crimean-Congo hemorrhagic fever and its relationship with climate factors in
southeast Iran: a 13-year experience.
AB - INTRODUCTION: Crimean-Congo hemorrhagic fever (CCHF) is endemic in southeast
Iran. In this study we present the epidemiological features of CCHF and its
relationship with climate factors in over a 13-year span. METHODOLOGY:
Surveillance system data of CCHF from 2000 to 2012 were obtained from the
Province Health Centre of Zahedan University of Medical Sciences in southeast
Iran. The climate data were obtained from the climate organization. The seasonal
auto-regression integrated moving average (SARIMA) model was used for time series
analysis to produce a model as applicable as possible in predicting the
variations in the occurrence of the disease. RESULTS: Between 2000 and 2012, 647
confirmed CCHF cases were reported from Sistan-va-Baluchistan province. The total
case fatality rate was about 10.0%. Climate variables including mean temperature
( degrees C), accumulated rainfall (mm), and maximum relative humidity (%) were
significantly correlated with monthly incidence of CCHF (p <0.05). There was no
clear pattern of decline in the reported number of cases within the study's time
span. The first spike in the number of CCHF cases in Iran occurred after the
first surge of the disease in Pakistan. CONCLUSIONS: This study shows the
potential of climate indicators as predictive factors in modeling the occurrence
of CCHF, even though it has to be appreciated whether there is any need for a
practically applicable model. There are also other factors, such as entomological
indicators and virological finding that must be considered.
PMID- 24916875
TI - Detection and gB genotyping of CMV in Mexican preterm infants in the context of
maternal seropositivity.
AB - INTRODUCTION: Congenital (CI) and perinatal cytomegalovirus (CMV) infections (PI)
can be linked to maternal CMV seropositivity, with fatal consequences in preterm
newborns. GB genotyping has been used to analyze genotypic similarity in mothers
and infants. The frequency of CMV infection in the context of maternal
seropositivity and the viral gB genotypes as well as the genotypic similarity in
mothers and preterm infants were investigated. METHODOLOGY: Saliva samples and
dry blood spots (DBS) were taken weekly from preterm newborns from birth until
the first month of life, and breast milk samples were taken from their mothers
weekly during the first month of lactation. CMV IgG seroprevalence of the mothers
and CI or PI in the infants were established. The gB status and genotypic
similarities were established retrospectively in DBS and in the breast milk
samples. RESULTS: In total, 387 neonates and 375 mothers were enrolled. The
maternal CMV-positive IgG serology was 97.3% (365/375). Neonatal CMV was found in
5.1% (20/387) of newborns, and one infant presented with CMV-compatible symptoms.
CI was 2.5% and PI in the first month after birth was 11.8%. GB2 was the most
prevalent genotype and was also the genotype preferentially transmitted to
newborns by mothers with mixed infections. CONCLUSIONS: CMV PI and CI in preterm
infants from highly seropositive mothers was high, but the rate of symptomatic
infection was low. The prevalent genotype was gB2, and this genotype was
preferentially transmitted to newborns by mothers with mixed infections.
PMID- 24916876
TI - Pfcrt mutant haplotypes may not correspond with chloroquine resistance.
AB - INTRODUCTION: Chloroquine resistance in Plasmodium falciparum is associated with
mutations in pfcrt and pfmdr1 genes. The frequency distribution of pfcrt K76T and
pfmdr1 N86Y mutations and their association with chloroquine susceptibility was
studied in an endemic area along the Indo-Bangladesh border. METHODOLOGY: A
single-arm prospective study of clinical and parasitological responses in P.
falciparum malaria patients to chloroquine was conducted in vivo. PCR-RFLP assay
was used to detect pfcrt K76T and pfmdr1 N86Y mutations in P. falciparum. The PCR
products of pfcrt gene were sequenced, translated and aligned for haplotyping.
RESULTS: Out of 63 cases, 44 (69.8%) responded adequately to chloroquine
treatment. Pfcrt K76T mutation was recorded in 100% of the treatment failure
cases, whereas pfmdr1 N86Y mutation was found in 52.6% of the cases only. Early
treatment failure (84.2%) occurred more frequently than late treatment failure
(15.8%). Kaplan-Meier survival analysis showed that the probability estimate for
treatment success after 7 and 15 days was 0.84 (95% CI = 0.72-0.92) and 0.70 (95%
CI = 0.57-0.80), respectively. Sequence analysis of 72 to 76 pfcrt gene codons
revealed the presence of two mutant (CVMNT, CVIET) and two wild (CVMNK, CVIEK)
haplotypes. The mutant CVIET haplotype was predominantly distributed (42.1%).
CONCLUSIONS: The presence of mutations in pfcrt K76T and pfmdr1 N86Y genes is not
sufficient to explain the therapeutic efficacy of chloroquine to P. falciparum.
Study suggests that pfcrt K76T mutant haplotypes are widely distributed and are
spreading diligently, which needs to be taken into account in devising an
antimalarial policy.
PMID- 24916877
TI - Occurrence of extended-spectrum beta-lactamases in human and bovine isolates of
Escherichia coli from Oyo state, Nigeria.
AB - INTRODUCTION: The main objective of the study was the molecular characterization
of extended spectrum beta-lactamases (ESBL) in Escherichia coli isolates
collected from human and bovine samples in Oyo state, Nigeria. METHODOLOGY:
Between August 2010-2011, 114 E. coli isolates were collected from hospitals (n =
57) and bovine (n = 57). PCR and sequencing were used for identification of
ESBLs, upstream sequences, plasmid-mediated quinolone resistance (PMQR) genes and
class 1 integrons. Plasmid incompatibility groups were identified among ESBL
positive isolates by PCR. Genetic relatdness was assessed by rep-PCR and MLST.
Transfer of ESBL determinants to the recipient strain E. coli J53 was performed
by broth mating assays. RESULTS: CTX-M15 was the unique ESBL found in eight human
isolates. Six CTX-M-15 producers also carry the aac(6')-lb-cr gene and/or qnrB
gene, and class 1 integrons. FIA, FIB, H11, H12, F, Y and K were the plasmid
replicon types found. CTX-M-15 and PMQR determinants were transferred by
conjugation in two E. coli assigned by MLST to ST131 and ST2695, a new allele.
CONCLUSIONS: The study highlights the dissemination hability of CTX-M-15
associated with PMQR, and the presence of class 1 integrons, able to capture
additional genes, justifying the urgent need of antimicrobial resistance
surveillance in Nigeria.
PMID- 24916878
TI - Understanding of antibiotic use and resistance among final-year pharmacy and
medical students: a pilot study.
AB - INTRODUCTION: This study is aimed to investigate the understanding of antibiotic
use and antibiotic resistance and its correlate factors among final-year medical
and pharmacy students at International Islamic University Malaysia (IIUM).
METHODOLOGY: This was a cross-sectional study. The study instrument was developed
by extensive literature search and was subjected to face validity and content
validity to medical and pharmacy academics. A pilot study was conducted to
ascertain the reliability coefficient. Data was entered to SPSS version 17 and
descriptive and inferential statistics were applied. RESULTS: A total of 123
questionnaires were included in the study. Out of 123 respondents, 58.5% (n = 72)
were final-year medical students, while 41.5% (n = 51) were final-year pharmacy
students. The majority of the respondents showed adequate knowledge regarding the
course contents related to antibiotics (n = 116; 94.3%). Almost all the
respondents correctly reported the difference between bactericidal and
bacteriostatic antibiotics. Only 15.4% (n = 19) and 27.6% (n = 34) of students
were able to recognize Streptococcus pyogenes as non-pencillin resistant
bacterium and Enterococcus as vancomycin-resistant bacterium, respectively.
CONCLUSIONS: The students showed good understanding regarding antibiotic
resistance. In comparison to medical students, pharmacy students showed better
understanding and more adequate knowledge, as the mean value for each domain was
slightly higher for pharmacy students. Extensively improving the curriculum and
educating healthcare professionals, especially physicians and pharmacists, right
from the time of their educational training can inculcate a moral responsibility
toward the judicious use of antibiotics, which can serve to eradicate antibiotic
resistance.
PMID- 24916880
TI - First report of Chikungunya virus infection in Nepal.
AB - Chikungunya virus is an emerging arboviral disease that has been spreading
rapidly across south Asia in recent years. Until recently, no chikungunya cases
have been reported in Nepal. For the first time, we report three cases of
chikungunya virus infection in Nepal.
PMID- 24916879
TI - Intestinal parasites in children hospitalized at the Central Hospital in Maputo,
Mozambique.
AB - INTRODUCTION: Intestinal parasites are important contributors to the global
disease burden, especially in children of low-income countries. The present study
determined the frequency of intestinal parasites in children hospitalized at the
diarrhea section of the Infectious-Contagious Diseases ward and at the
Malnutrition ward of the Department of Pediatrics of the Maputo Central Hospital
in Mozambique. METHODOLOGY: This pilot study conducted between February and March
2009 enrolled a total of 93 children between 1.5 and 48.2 months of age; 87.1%
were younger than 24 months. Parasite detection in stool samples was achieved
using direct microscopic observation and Ritchie's concentration technique.
RESULTS: Infection with pathogenic intestinal parasites was detected in 16.1%
(15/93) of the children. Giardia duodenalis and Trichuris trichiura were the most
common parasites (6.5%, 6/93 each), followed by Ascaris lumbricoides (2.2%,
2/93). One case of mixed infection with A. lumbricoides plus T. trichiura was
also detected. CONCLUSION: This study reinforces the importance of routinely
examining stool samples for the diagnosis of intestinal parasites (including
protozoa) in children hospitalized in endemic areas.
PMID- 24916881
TI - Bloodstream infection with Oligella ureolytica in a newborn infant: a case report
and review of the literature.
AB - Oligella species are small, Gram-negative, nonsaccharolytic aerobic rods or
coccobacilli that are catalase and oxidase-positive, mostly isolated from the
urinary tract and rarely from wounds, bloodstream infections, septic arthritis,
or peritonitis.In this article, we report a case of O.ureolytica-related
bloodstream infection in a newborn infant and we review the literature for
previously reported cases of Oligella infections.
PMID- 24916882
TI - Frequency of mutations in the rpoB gene of multidrug-resistant Mycobacterium
tuberculosis clinical isolates from Sudan.
PMID- 24916883
TI - Bleeding complications in dengue are not associated with significant changes in
the modulators of the endothelial barrier.
AB - Bleeding complications in dengue may occur irrespective of the presence of plasma
leakage. We compared plasma levels of modulators of the endothelial barrier among
three dengue groups: bleedings without plasma leakage, dengue hemorrhagic fever,
and non-complicated dengue. The aim was to evaluate whether the presence of
subtle alterations in microvascular permeability could be detected in bleeding
patients. Plasma levels of VEGF-A and its soluble receptors were not associated
with the occurrence of bleeding in patients without plasma leakage. These results
provide additional rationale for considering bleeding as a complication
independent of endothelial barrier breakdown, as proposed by the 2009 WHO
classification.
PMID- 24916884
TI - Influence of resistance exercise intensity and metabolic stress on anabolic
signaling and expression of myogenic genes in skeletal muscle.
AB - INTRODUCTION: We investigated the effect of resistance exercise intensity and
exercise-induced metabolic stress on the activation of anabolic signaling and
expression of myogenic genes in skeletal muscle. METHODS: Ten strength-trained
athletes performed high-intensity [HI, 74% of 1-repetition maximum (RM)], middle
intensity (MI, 54% 1RM), or middle-intensity (54% 1RM) no-relaxation exercise
(MIR). Kinase phosphorylation level and myogenic gene expression in muscle
samples were evaluated before, 45 min, 5 h, and 20 h after exercise. RESULTS: The
lactate concentration in MI was approximately 2-fold lower than in the 2 other
sessions, and was highest in MIR. The phosphorylation level of extracellular
kinase 1/2Thr202/Tyr204 after exercise was related to metabolic stress. Metabolic
stress induced a decrease in myostatin mRNA expression, whereas mechano-growth
factor mRNA level depended on exercise intensity. CONCLUSIONS: This study
demonstrates that both intensity and exercise-induced metabolic stress can be
manipulated to affect muscle anabolic signaling.
PMID- 24916885
TI - Engineered thermostable fungal cellulases exhibit efficient synergistic cellulose
hydrolysis at elevated temperatures.
AB - A major obstacle to using widely available and low-cost lignocellulosic
feedstocks to produce renewable fuels and chemicals is the high cost and low
efficiency of the enzyme mixtures used to hydrolyze cellulose to fermentable
sugars. One possible solution entails engineering current cellulases to function
efficiently at elevated temperatures in order to boost reaction rates and exploit
several other advantages of a higher temperature process. Here, we describe the
creation of the most stable reported fungal endoglucanase, a derivative of
Hypocrea jecorina (anamorph Trichoderma reesei) Cel5A, by combining stabilizing
mutations identified using consensus design, chimera studies, and structure-based
computational methods. The engineered endoglucanase has an optimal temperature
that is 17 degrees C higher than wild type H. jecorina Cel5A, and hydrolyzes 1.5
times as much cellulose over 60 h at its optimum temperature compared to the wild
type enzyme at its optimal temperature. This enzyme complements previously
engineered highly active, thermostable variants of the fungal cellobiohydrolases
Cel6A and Cel7A in a thermostable cellulase mixture that hydrolyzes cellulose
synergistically at an optimum temperature of 70 degrees C over 60 h.The
thermostable mixture produces three times as much total sugar as the best mixture
of the wild type enzymes operating at its optimum temperature of 60 degrees C,
clearly demonstrating the advantage of higher temperature cellulose hydrolysis.
PMID- 24916886
TI - Cutting-edge discussions of management, policy, and program issues in emergency
care.
PMID- 24916887
TI - Directing peptide crystallization through curvature control of nanotubes.
AB - In the absence of efficient crystallization methods, the molecular structures of
fibrous assemblies have so far remained rather elusive. In this paper, we present
a rational method to crystallize the lanreotide octapeptide by modification of a
residue involved in a close contact. Indeed, we show that it is possible to
modify the curvature of the lanreotide nanotubes and hence their diameter. This
fine tuning leads to crystallization because the radius of curvature of the
initially bidimensional peptide wall can be increased up to a point where the
wall is essentially flat and a crystal is allowed to grow along a third
dimension. By comparing X-ray diffraction data and Fourier transform Raman
spectra, we show that the nanotubes and the crystals share similar cell
parameters and molecular conformations, proving that there is indeed a structural
continuum between these two morphologies. These results illustrate a novel
approach to crystallization and represent the first step towards the acquisition
of an A-resolution structure of the lanreotide nanotubes beta-sheet assembly.
PMID- 24916888
TI - Phlorins bearing different substituents at the sp3-hybridized meso-position.
AB - Phlorins bearing different substituents at the sp(3)-hybridized meso-position
were investigated. The extent to which different substituents at this unique
position can influence phlorin spectroscopic properties, structure, and stability
is of interest given that such substituents are not in direct conjugation with
the phlorin macrocycle. While the effect of various substituents at the sp(2)
hybridized positions has been the subject of prior investigations, the impact of
different substituents at the saturated carbon atom has not been systematically
examined. In this study, phlorins with different combinations of geminal methyl
and phenyl substituents were prepared in yields of 24-49% via dipyrromethane +
dipyrromethanedicarbinol routes, and their NMR spectra, UV-vis spectra, X-ray
crystal structures, and stability toward light and air were compared. The nature
of the substituents at the sp(3)-hybridized position was found to impact
spectroscopic properties, structure, and stability to varying degrees. Thus, the
choice of substituents at the sp(3)-hybridized meso-position provides a further
option for altering phlorin properties.
PMID- 24916889
TI - Dental trauma: prevalence and risk factors in schoolchildren.
AB - OBJECTIVES: This cross-sectional study aimed to determine the prevalence of
traumatic injury to the permanent incisors in 8- to 12-year-old children and to
test associations between dental trauma and nutritional status and physical
activity level, with adjustment for demographic, behavioral, and psychosocial
variables. Differences in risk factors between sexes were also assessed. METHODS:
Two-stage cluster sampling was used to select 1210 children in 20 public and
private schools in Pelotas, Brazil, for study participation. Dental trauma was
assessed using the O'Brien criteria. Parents provided information about
socioeconomic characteristics and their children's history of trauma in early
childhood via questionnaire. Children were interviewed to obtain demographic and
psychosocial information and to assess physical activity level. Anthropometric
measures were collected for body mass index calculation. Hierarchical Poisson
regression was used for data analyses. RESULTS: The prevalence of dental trauma
was 12.6% [95% confidence interval (CI), 10.8-14.7%] in the entire sample; it
increased with age from 7.2% at 8 years to 21.5% at 12 years. In the adjusted
analysis, dental trauma was more prevalent in boys [prevalence ratio (PR) = 0.71;
95% CI, 0.50-0.99], older children (PR = 3.57; 95% CI, 1.73-7.34), those with
inadequate lip coverage (PR = 2.03; 95% CI, 1.22-3.38), and those with histories
of trauma in the primary dentition (PR = 2.60; 95% CI, 1.80-3.75). In a sex
stratified analysis, dental trauma was more prevalent in overweight/obese boys
(PR = 1.65; 95% CI, 1.10-2.92). No significant association was found with
socioeconomic variables, psychosocial characteristics, physical activity level,
or school retention among boys or girls. CONCLUSIONS: The pronounced increase in
the prevalence of dental trauma with age highlights the need to establish
preventive strategies among schoolchildren. The risk of dental injury was
increased in overweight/obese boys and children with histories of dental trauma
in early childhood, confirming the existence of accident-prone children.
PMID- 24916890
TI - A prognostic classifier consisting of 17 circulating cytokines is a novel
predictor of overall survival for metastatic colorectal cancer patients.
AB - We aimed to determine the prognostic values of 39 circulating cytokines in
Chinese patients with metastatic colorectal cancer (CRC) and to develop a novel
cytokine-based prognostic classifier (CBPC) for prognostic prediction. A total of
176 patients were divided into two cohorts based on the date of first-line
chemotherapy. The first 99 cases were assigned to the training cohort, and the
remaining 77 cases were assigned to the validation cohort. Thirty-nine cytokines
were simultaneously analyzed in the patient serum samples using multiplex bead
based Luminex technology. We used support vector machine-based methods and Cox
proportional hazards models to develop a CBPC from the training cohort, which we
then validated using the second patient cohort. Univariate analysis showed that
FGF-2, TGFalpha, Flt-3L, GM-CSF, INFalpha2, GRO, IL-10, MCP-3, MDC, sIL-2Ralpha,
IL-2, IL-7, IL-8, MCP-1, MIP-1beta, TNFalpha and VEGF were significant risk
factors affecting the overall survival (OS) of both the training cohort and the
validation cohort. We developed a CBPC to predict the OS of metastatic CRC
patients using these 17 cytokines (sensitivity, 0.835; specificity, 0.800). In
the validation cohort, the CBPC was found to have significant power in predicting
the OS of metastatic CRC patients. Our study showed that there were significant
associations between cytokine expression and prognosis of the patients with
metastatic CRC. The CBPC that we developed includes multiple circulating
cytokines and may serve as a novel screening tool for identifying metastatic CRC
patients with a high risk of short OS. These high-risk individuals may also be
suitable for cytokine-targeted therapies.
PMID- 24916891
TI - Does the CONSORT checklist for abstracts improve the quality of reports of
randomized controlled trials on clinical pathways?
AB - RATIONALE AIMS AND OBJECTIVES: The extension of the Consolidated Standards of
Reporting Trials (CONSORT) statement provides reporting guidelines to improve the
reporting quality of randomized controlled trials (RCTs). This present study was
aim to assess the reporting quality of abstracts of RCTs on clinical pathway.
METHODS: Eight databases were searched from inception to November 2012 to
identify RCTs. We extracted basic information and CONSORT items from abstracts.
Each abstract was assessed independently by two reviewers. Statistical analyses
were performed with SPSS 13.0. Level of significance was set at P < 0.05.
RESULTS: 328 abstracts were included. 300 (91.5%) were published in Chinese, of
which 292 were published on high impact factor journals. 28 English abstracts
were all published on Science Citation Index (SCI) journals. (1) Intervention,
objective and outcome were almost fully reported in all abstracts, while
recruitment and funding were never reported. (2) There are nine items (P < 0.05)
in Chinese that were of low quality compared with in English. There was
statistically difference on total score between Chinese and English abstracts (P
< 0.00001). (3) There was no difference in any items between high and low impact
factor journal in China. (4) In SCI journals, there were significant changes in
reporting for three items trial design (P = 0.026), harms (P = 0.039) and trial
registration (P = 0.019) in different periods (pre- and post-CONSORT), but only
the numbers of randomized (P = 0.003) changed in Chinese abstracts. CONCLUSIONS:
The reporting quality of abstracts of RCTs on clinical pathway still should be
improved. After the publication of CONSORT for abstracts guideline, the RCT
abstracts reporting quality were improvement to some extent. The abstracts in
Chinese journals showed non-adherence to the CONSORT for abstracts guidelines.
PMID- 24916893
TI - Habiterpenol, a novel abrogator of bleomycin-induced G2 arrest in Jurkat cells,
produced by Phytohabitans suffuscus 3787_5.
AB - A small molecule named habiterpenol produced by actinomycete Phytohabitans
suffuscus 3787_5 was found to abrogate bleomycin-induced G2 arrest in Jurkat
cells. Habiterpenol showed no cytotoxic effect on Jurkat cells even at 273 MUM;
however, the compound inhibited bleomycin-induced G2 arrest in Jurkat cells with
an IC50 value of 3.55 MUM, while it showed no effect on colchicine-induced M
arrest even at 273 MUM. These results indicated that habiterpenol selectively
abrogated bleomycin-induced G2 arrest in Jurkat cells.
PMID- 24916892
TI - Development of the Migrant Friendly Maternity Care Questionnaire (MFMCQ) for
migrants to Western societies: an international Delphi consensus process.
AB - BACKGROUND: Through the World Health Assembly Resolution, 'Health of Migrants',
the international community has identified migrant health as a priority.
Recommendations for general hospital care for international migrants in receiving
countries have been put forward by the Migrant Friendly Hospital Initiative;
adaptations of these recommendations specific to maternity care have yet to be
elucidated and validated. We aimed to develop a questionnaire measuring migrant
friendly maternity care (MFMC) which could be used in a range of maternity care
settings and countries. METHODS: This study was conducted in four stages. First,
questions related to migrant friendly maternity care were identified from
existing questionnaires including the Migrant Friendliness Quality Questionnaire,
developed in Europe to capture recommended general hospital care for migrants,
and the Mothers In a New Country (MINC) Questionnaire, developed in Australia and
revised for use in Canada to capture the maternity care experiences of migrant
women, and combined to create an initial MFMC questionnaire. Second, a Delphi
consensus process in three rounds with a panel of 89 experts in perinatal health
and migration from 17 countries was undertaken to identify priority themes and
questions as well as to clarify wording and format. Third, the draft
questionnaire was translated from English to French and Spanish and back
translated and subsequently culturally validated (assessed for cultural
appropriateness) by migrant women. Fourth, the questionnaire was piloted with
migrant women who had recently given birth in Montreal, Canada. RESULTS: A 112
item questionnaire on maternity care from pregnancy, through labour and birth, to
postpartum care, and including items on maternal socio-demographic, migration and
obstetrical characteristics, and perceptions of care, has been created--the
Migrant Friendly Maternity Care Questionnaire (MFMCQ)--in three languages
(English, French and Spanish). It is completed in 45 minutes via interview
administration several months post-birth. CONCLUSIONS: A 4-stage process of
questionnaire development with international experts in migrant reproductive
health and research resulted in the MFMCQ, a questionnaire measuring key aspects
of migrant-sensitive maternity care. The MFMCQ is available for further
translation and use to examine and compare care and perceptions of care within
and across countries, and by key socio-demographic, migration, and obstetrical
characteristics of migrant women.
PMID- 24916894
TI - Structure elucidation of meroterpenoid habiterpenol, a novel abrogator of
bleomycin-induced G2 arrest in Jurkat cells, produced by Phytohabitans suffuscus
3787_5.
AB - A novel abrogator of bleomycin-induced G2 arrest in Jurkat cells, habiterpenol
(1), was isolated from the culture broth of Phytohabitans suffuscus 3787_5. The
planar structure of 1 was elucidated by spectroscopic study (1D and 2D NMR, MS,
UV and IR), and the relative stereochemistry was elucidated by ROESY experiments.
Compound 1 belongs to a pentacyclic meroterpenoid having a labdan-type diterpene
connecting to an indane moiety.
PMID- 24916895
TI - The ATP synthase inhibitor bedaquiline interferes with small-molecule efflux in
Mycobacterium smegmatis.
PMID- 24916896
TI - Prenatal diagnosis and epidemiology of multicystic kidney dysplasia in Europe.
AB - OBJECTIVES: The aim of this study is to describe the prenatal diagnosis and
epidemiology of multicystic kidney dysplasia (MCKD). METHODS: The study is based
on routinely collected data from a European database of major congenital
anomalies including 13 registries with cases born in 1997-2006 and covering 1 458
552 births. RESULTS: There were 601 MCKD cases giving an overall prevalence of
4.12 per 10 000 births with regional variation. In live births, 87% of cases had
an isolated renal anomaly and 13% had associated major nonrenal anomalies
(chromosomal, syndrome or other major anomalies). For the cases with isolated
renal anomalies, 51/386 (11%) and 7/386 (2%) choose to terminate the pregnancy or
resulted in an intrauterine fetal death, respectively. The prenatal detection
rate was 88% in both unilateral and bilateral cases. Birth outcome differed with
92% of unilateral MCKD cases being liveborn compared with 33% of bilateral MCKD
cases. For unilateral MCKD cases, 84% had an isolated renal anomaly compared with
51% of bilateral MCKD cases (p < 0.001). CONCLUSIONS: Cases with unilateral MCKD
are mainly liveborn, and only 16% have associated major malformations or a
syndrome. Cases with bilateral MCKD are often associated with nonrenal major
congenital anomalies or part of a syndrome, and only one third of bilateral MCKD
cases in this study were liveborn. Prenatal detection rate of MCKD was high for
both unilateral and bilateral cases. (c) 2014 John Wiley & Sons, Ltd.
PMID- 24916897
TI - Preoperative virtual screening examination of the airway.
AB - A case of a preoperative airway examination performed using telemedicine is
presented. The use of this technology has the ability to provide crucial
information regarding the feasibility of office-based anesthesia in advance and
limit schedule interruptions on the day of surgery.
PMID- 24916898
TI - SEDASYS, sedation, and the unknown.
PMID- 24916899
TI - In vitro glucuronidation of Armillarisin A: UDP-glucuronosyltransferase 1A9 acts
as a major contributor and significant species differences.
AB - 1. This study is performed to investigate liver microsomal glucuronidation of
Armillarisin A (A.A), an effective cholagogue drug, aiming at characterizing the
involved UDP-glucuronosyltranferases (UGT) and revealing potential species
differences. 2. A.A glucuronidation in human liver microsomes (HLM) generates one
metabolite (M2) glucuronidated at the phenol hydroxyl group, obeying Michaelis
Menten kinetic model. Multiple isoforms including UGT1A1, 1A7, 1A9 and 2B15 can
catalyze A.A glucuronidation. Kinetic assays and chemical inhibition studies both
demonstrate that UGT1A9 is responsible for A.A glucuronidation in HLM. A.A
glucuronidation in Cynomolgus monkey microsomes (CyLM) also follows Michaelis
Menten model, but can additionally catalyze the traced glucuronosyl substitution
at the alcohol hydroxyl group (M1). The reactions in liver microsomes from
Sprague-Dawley rats (RLM), ICR/CD-1 mouse (MLM), Beagle dog (DLM) all display
biphasic kinetics and only M2 is detected. HLM, RLM and CyLM exhibit very similar
catalytic activities towards A.A glucuronidation, with the intrinsic clearance
values of respective 38, 37 and 37 MUL/min/mg, which are much higher than MLM and
DLM. 3. This in vitro study indicates that UGT1A9 acts as a major contributor to
A.A glucuronidation in human liver, and the reaction displays large species
differences.
PMID- 24916900
TI - Absorption, distribution, metabolism and excretion of loxoprofen after dermal
application of loxoprofen gel to rats.
AB - 1. Loxoprofen (LX), is a prodrug of the pharmacologically active form, trans
alcohol metabolite (trans-OH form), which shows very potent analgesic effect. In
this study, the pharmacokinetics and metabolism of [(14)C]LX-derived
radioactivity after dermal application of [(14)C]LX gel (LX-G) to rats were
evaluated. 2. The area under concentration-time curve (AUC0-infinity) of
radioactivity in the plasma after the dermal application was 13.6% of that of the
oral administration (p < 0.05). 3. After the dermal application, the
radioactivity remained in the skin and skeletal muscle at the treated site for
168 h, whereas the AUC0-168 h of the radioactivity concentration in every tissue
examined except the treated site was statistically lower than that after the oral
administration (p < 0.05). 4. The trans-OH form was observed at high levels in
the treated skin site at 0.5 h. Metabolite profiles in plasma, non-treated skin
site and urine after the dermal application were comparable with those after the
oral administration. 5. Renal excretion was the main route of elimination after
the dermal application. 6. In conclusion, compared to the oral administration,
the dermal application of [(14)C]LX-G showed lower systemic and tissue exposure
with higher exposure in the therapeutic target site. The radioactivity revealed
similar metabolite profiles in both administration routes.
PMID- 24916901
TI - Development of pressurized liquid extraction and solid-phase microextraction
combined with gas chromatography and flame photometric detection for the
determination of organophosphate esters in sediments.
AB - Organophosphate esters have been extensively used as flame retardants and
plasticizers. The analysis of organophosphate esters in the environment is a hot
topic because many of them are toxic and persistent. We developed a novel
procedure for determining organophosphate esters in sediment. In this work,
pressurized liquid extraction and solid-phase microextraction are used for sample
preparation to extract and concentrate the analytes, which are then analyzed by
gas chromatography with flame photometric detection. The extraction parameters of
pressurized liquid extraction were investigated and optimized by orthogonal
design and then evaluated by range analysis and analysis of variance. Under the
optimal conditions, the proposed procedure showed wide linear ranges (0.90-100
ng/g) with correlation coefficients ranging from 0.9921 to 0.9990. The detection
limits of the method were in the range of 0.009-0.280 ng/g with standard
deviations ranging from 2.2 to 9.5%. Recoveries of the proposed method ranged
from 82.3 to 108.9% with relative standard deviations <8.4%. The obtained method
was applied successfully to the determination of organophosphate esters in real
sediments with recoveries varying from 79.8 to 107.3%. The proposed method was
proved to be simple, easy, and sensitive for analyzing organophosphate esters in
sediment samples.
PMID- 24916904
TI - Letter by Dai et al regarding article, "Targeting recombinant tissue-type
plasminogen activator in acute ischemic stroke based on risk of intracranial
hemorrhage or poor functional outcome: an analysis of the Third International
Stroke Trial".
PMID- 24916903
TI - Neuronal production of lipocalin-2 as a help-me signal for glial activation.
AB - BACKGROUND AND PURPOSE: We explored the hypothesis that injured neurons release
lipocalin-2 as a help me signal. METHODS: In vivo lipocalin-2 responses were
assessed in rat focal cerebral ischemia and human stroke brain samples using a
combination of ELISA and immunostaining. In vitro, microglia and astrocytes were
exposed to lipocalin-2, and various markers and assays of glial activation were
quantified. Functional relevance of neuron-to-glia lipocalin-2 signaling was
examined by transferring conditioned media from lipocalin-2-activated microglia
and astrocytes onto neurons to see whether activated glia could protect neurons
against oxygen-glucose deprivation and promote neuroplasticity. RESULTS: In human
stroke samples and rat cerebral ischemia, neuronal expression of lipocalin-2 was
significantly increased. In primary cell cultures, exposing microglia and
astrocytes to lipocalin-2 resulted in glial activation. In microglia, lipocalin-2
converted resting ramified shapes into a long-rod morphology with reduced
branching, increased interleukin-10 release, and enhanced phagocytosis. In
astrocytes, lipocalin-2 upregulated glial fibrillary acid protein, brain-derived
neurotropic factor, and thrombospondin-1. Conditioned media from lipocalin-2
treated astrocytes upregulated synaptotagmin, and conditioned media from
lipocalin-2-treated microglia upregulated synaptophysin and post-synaptic density
95 (PSD95) and protected neurons against oxygen-glucose deprivation. CONCLUSIONS:
These findings provide proof of concept that lipocalin-2 is released by injured
neurons as a help me distress signal that activates microglia and astrocytes into
potentially prorecovery phenotypes.
PMID- 24916905
TI - Letter by Kawada regarding article, "Sleep duration and risk of stroke mortality
among Chinese adults: Singapore Chinese Health Study".
PMID- 24916906
TI - Six-minute magnetic resonance imaging protocol for evaluation of acute ischemic
stroke: pushing the boundaries.
AB - BACKGROUND AND PURPOSE: If magnetic resonance imaging (MRI) is to compete with
computed tomography for evaluation of patients with acute ischemic stroke, there
is a need for further improvements in acquisition speed. METHODS: Inclusion
criteria for this prospective, single institutional study were symptoms of acute
ischemic stroke within 24 hours onset, National Institutes of Health Stroke Scale
>=3, and absence of MRI contraindications. A combination of echo-planar imaging
(EPI) and a parallel acquisition technique were used on a 3T magnetic resonance
(MR) scanner to accelerate the acquisition time. Image analysis was performed
independently by 2 neuroradiologists. RESULTS: A total of 62 patients met
inclusion criteria. A repeat MRI scan was performed in 22 patients resulting in a
total of 84 MRIs available for analysis. Diagnostic image quality was achieved in
100% of diffusion-weighted imaging, 100% EPI-fluid attenuation inversion recovery
imaging, 98% EPI-gradient recalled echo, 90% neck MR angiography and 96% of brain
MR angiography, and 94% of dynamic susceptibility contrast perfusion scans with
interobserver agreements (k) ranging from 0.64 to 0.84. Fifty-nine patients (95%)
had acute infarction. There was good interobserver agreement for EPI-fluid
attenuation inversion recovery imaging findings (k=0.78; 95% confidence interval,
0.66-0.87) and for detection of mismatch classification using dynamic
susceptibility contrast-Tmax (k=0.92; 95% confidence interval, 0.87-0.94).
Thirteen acute intracranial hemorrhages were detected on EPI-gradient recalled
echo by both observers. A total of 68 and 72 segmental arterial stenoses were
detected on contrast-enhanced MR angiography of the neck and brain with k=0.93,
95% confidence interval, 0.84 to 0.96 and 0.87, 95% confidence interval, 0.80 to
0.90, respectively. CONCLUSIONS: A 6-minute multimodal MR protocol with good
diagnostic quality is feasible for the evaluation of patients with acute ischemic
stroke and can result in significant reduction in scan time rivaling that of the
multimodal computed tomographic protocol.
PMID- 24916907
TI - Critique of Effective Anticoagulation with Factor Xa Next Generation in Atrial
Fibrillation trial.
PMID- 24916909
TI - Can response-adaptive randomization increase participation in acute stroke
trials?
AB - BACKGROUND AND PURPOSE: A response-adaptive randomization (RAR) trial design
actively adjusts the ratio of participants assigned to each trial arm, favoring
the better performing treatment by using outcome data from participants already
in the trial. Compared with a standard clinical trial, an RAR study design has
the potential to improve patient participation in acute stroke trials. METHODS:
This cross-sectional randomized survey included adult emergency department
patients, age>=18, without symptoms of stroke or other critical illness. A
standardized protocol was used, and subjects were randomized to either an RAR or
standard hypothetical acute stroke trial. After viewing the video describing the
hypothetical trial (http://youtu.be/cKIWduCaPZc), reviewing the consent form, and
having questions answered, subjects indicated whether they would consent to the
trial. A multivariable logistic regression model was fitted to estimate the
impact of RAR while controlling for demographic factors and patient understanding
of the design. RESULTS: A total of 418 subjects (210 standard and 208 RAR) were
enrolled. All baseline characteristics were balanced between groups. There was
significantly higher participation in the RAR trial (67.3%) versus the standard
trial (54.5%), absolute increase: 12.8% (95% confidence interval, 3.7-22.2). The
RAR group had a higher odds ratio of agreeing to research (odds ratio, 1.89; 95%
confidence interval, 1.2-2.9) while adjusting for patient level factors. Trial
designs were generally well understood by the participants. CONCLUSIONS: The
hypothetical RAR trial attracted more research participation than standard
randomization. RAR has the potential to increase recruitment and offer benefit to
future trial participants.
PMID- 24916908
TI - Emergence of the primary pediatric stroke center: impact of the thrombolysis in
pediatric stroke trial.
AB - BACKGROUND AND PURPOSE: In adult stroke, the advent of thrombolytic therapy led
to the development of primary stroke centers capable to diagnose and treat
patients with acute stroke rapidly. We describe the development of primary
pediatric stroke centers through preparation of participating centers in the
Thrombolysis in Pediatric Stroke (TIPS) trial. METHODS: We collected data from
the 17 enrolling TIPS centers regarding the process of becoming an acute
pediatric stroke center with capability to diagnose, evaluate, and treat
pediatric stroke rapidly, including use of thrombolytic therapy. RESULTS: Before
2004, <25% of TIPS sites had continuous 24-hour availability of acute stroke
teams, MRI capability, or stroke order sets, despite significant pediatric stroke
expertise. After TIPS preparation, >80% of sites now have these systems in place,
and all sites reported increased readiness to treat a child with acute stroke.
Use of a 1- to 10-Likert scale on which 10 represented complete readiness, median
center readiness increased from 6.2 before site preparation to 8.7 at the time of
site activation (P<=0.001). CONCLUSIONS: Before preparing for TIPS, centers
interested in pediatric stroke had not developed systematic strategies to
diagnose and treat acute pediatric stroke. TIPS trial preparation has resulted in
establishment of pediatric acute stroke centers with clinical and system
preparedness for evaluation and care of children with acute stroke, including use
of a standardized protocol for evaluation and treatment of acute arterial stroke
in children that includes use of intravenous tissue-type plasminogen activator.
CLINICAL TRIAL REGISTRATION URL: http://www.clinicaltrials.gov. Unique
identifier: NCT01591096.
PMID- 24916910
TI - Response to letter regarding article, "Targeting recombinant tissue-type
plasminogen activator in acute ischemic stroke based on risk of intracranial
hemorrhage or poor functional outcome: an analysis of the Third International
Stroke Trial".
PMID- 24916911
TI - Modification of outcomes with aspirin or apixaban in relation to female and male
sex in patients with atrial fibrillation: a secondary analysis of the AVERROES
study.
AB - BACKGROUND AND PURPOSE: The main objective of the present analysis was to assess
the effect of treatment with aspirin compared with apixaban on ischemic stroke
and major bleeding in women compared with men. Female patients with atrial
fibrillation are at increased stroke risk compared with male patients, and the
underlying reasons for higher risk are uncertain. METHODS: Ancillary analysis of
the Apixaban Versus Acetylsalicylic Acid [ASA] to Prevent Stroke in Atrial
Fibrillation Patients Who Have Failed or Are Unsuitable for Vitamin K Antagonist
Treatment (AVERROES) trial, comparing aspirin and apixaban, focused on sex
differences. Mean follow-up was 1.1 years. RESULTS: Women compared with men
tended to be older (aspirin, 71.8 versus 68.8 years; apixaban, 71.4 versus 68.6
years), with a higher proportion of those aged>=75 years. Also, women had less
peripheral artery disease (aspirin, 2.4% versus 3.7%; apixaban, 1.4% versus
3.0%), more heart failure, and higher mean CHADS2 (congestive heart failure,
hypertension, age of 75 years or older, diabetes [1 point each], stroke or
transient ischemic attack [2 points]) scores (aspirin, 2.2 versus 2.0; apixaban,
2.1 versus 2.0). Women compared with men had higher ischemic stroke rates
(aspirin, 3.99% versus 2.28%; apixaban, 1.55% versus 0.82%) but similar bleeding
rates (aspirin, 1.29% versus 1.22%; apixaban, 1.15% versus 1.36%). The relative
effect of apixaban compared with aspirin was similar in men and women for both
ischemic stroke (women, 3.99% versus 1.55%; hazard ratio, 0.39; 95% confidence
interval, 0.23-0.64; men, 2.28% versus 0.82%; hazard ratio, 0.36; 95% confidence
interval, 0.19-0.63; Pint=0.84) and major bleeding (women, 1.29% versus 1.15%;
hazard ratio, 1.15; 95% confidence interval, 0.59-2.23; men, 1.36% versus 1.22%;
hazard ratio, 1.13; 95% confidence interval, 0.64-2.02; Pint=0.96). CONCLUSIONS:
Female patients with atrial fibrillation had higher ischemic stroke rates
compared with male patients, but the relative effects of apixaban compared with
aspirin on both ischemic stroke and bleeding were similar in men and women.
PMID- 24916912
TI - Length of occlusion predicts recanalization and outcome after intravenous
thrombolysis in middle cerebral artery stroke.
AB - BACKGROUND AND PURPOSE: The length of large vessel occlusion is considered a
major factor for therapy in patients with ischemic stroke. We used 4D-CT
angiography evaluation of middle cerebral artery occlusion in prediction of
recanalization and favorable clinical outcome and after intravenous thrombolysis
(IV-tPA). METHODS: In 80 patients treated with IV-tPA for acute complete middle
cerebral artery/M1 occlusion determined using CT angiography and temporal maximum
intensity projection, calculated from 4D-CT angiography, the length of middle
cerebral artery proximal stump, occlusion in M1 or M1 and M2 segment were
measured. Univariate and multivariate analyses were performed to define
independent predictors of successful recanalization after 24 hours and favorable
outcome after 3 months. RESULTS: The length of occlusion was measureable in all
patients using temporal maximum intensity projection. Recanalization thrombolysis
in myocardial infarction 2 to 3 was achieved in 37 individuals (46%). The
extension to M2 segment as a category (odds ratio, 4.58; 95% confidence interval,
1.39-15.05; P=0.012) and the length of M1 segment occlusion (odds ratio, 0.82;
95% confidence interval, 0.73-0.92; P=0.0007) with an optimal cutoff value of 12
mm (sensitivity 0.67; specificity 0.71) were significant independent predictors
of recanalization. Favorable outcome (modified Rankin scale 0-2) was achieved in
25 patients (31%), baseline National Institutes of Health Stroke Scale (odds
ratio, 0.82; 95% confidence interval, 0.72-0.93; P=0.003) and the length of
occlusion M1 in segment (odds ratio, 0.79; 95% confidence interval, 0.69-0.91;
P=0.0008) with an optimal cutoff value of 11 mm (sensitivity 0.74; specificity
0.76) were significant independent predictors of favorable outcome. CONCLUSIONS:
The length of middle cerebral artery occlusion is an independent predictor of
successful IV-tPA treatment.
PMID- 24916913
TI - Leukocyte invasion of the brain after experimental intracerebral hemorrhage in
mice.
AB - BACKGROUND AND PURPOSE: Neuroinflammatory processes contribute to secondary
neuronal damage after intracerebral hemorrhage. We aimed to characterize the time
course of brain immigration of different leukocyte subsets after striatal
injection of either autologous blood or collagenase in mice. METHODS:
Intracerebral hemorrhage was induced by injection of either autologous blood (20
MUL) or collagenase (0.03 U) in C57Bl/6J mice. Hematoma volumetry was performed
on cryosections. Blood volume was measured by hemoglobin spectrophotometry.
Leukocytes were isolated from hemorrhagic hemisphere 1, 3, 5, and 14 days after
intracerebral hemorrhage, stained for leukocyte markers, and measured by flow
cytometry. Heterologous blood injection from CD45.1 mice was used to investigate
the origin of brain-invading leukocytes. RESULTS: Collagenase injection induced a
larger hematoma volume but a similar blood content compared with blood injection.
Cerebral leukocyte infiltration in the hemorrhagic hemisphere was similar in both
models. The majority of leukocytes isolated from the brain originated from the
circulation. CD4+ T lymphocytes were the predominant brain leukocyte population
in both models. However, cerebral granulocyte counts were higher after
collagenase compared with blood injection. CONCLUSIONS: Brain infiltration of
systemic immune cells is similar in both murine intracerebral hemorrhage models.
The pathophysiological impact of invading leukocytes and, in particular, of T
cells requires further investigation.
PMID- 24916914
TI - Picometre-precision analysis of scanning transmission electron microscopy images
of platinum nanocatalysts.
AB - Measuring picometre-scale shifts in the positions of individual atoms in
materials provides new insight into the structure of surfaces, defects and
interfaces that influence a broad variety of materials' behaviour. Here we
demonstrate sub-picometre precision measurements of atom positions in aberration
corrected Z-contrast scanning transmission electron microscopy images based on
the non-rigid registration and averaging of an image series. Non-rigid
registration achieves five to seven times better precision than previous methods.
Non-rigidly registered images of a silica-supported platinum nanocatalyst show pm
scale contraction of atoms at a (111)/(111) corner towards the particle centre
and expansion of a flat (111) facet. Sub-picometre precision and standardless
atom counting with <1 atom uncertainty in the same scanning transmission electron
microscopy image provide new insight into the three-dimensional atomic structure
of catalyst nanoparticle surfaces, which contain the active sites controlling
catalytic reactions.
PMID- 24916915
TI - Identification of GPM6A and GPM6B as potential new human lymphoid leukemia
associated oncogenes.
AB - BACKGROUND: Previously, we found that the Graffi murine leukemia virus (MuLV) is
able to induce a wide spectrum of hematologic malignancies in vivo. Using high
density oligonucleotide microarrays, we established the gene expression profiles
of several of these malignancies, thereby specifically focusing on genes
deregulated in the lymphoid sub-types. We observed over-expression of a variety
of genes, including Arntl2, Bfsp2, Gfra2, Gpm6a, Gpm6b, Nln, Fbln1, Bmp7, Etv5
and Celsr1 and, in addition, provided evidence that Fmn2 and Parm-1 may act as
novel oncogenes. In the present study, we assessed the expression patterns of
eight selected human homologs of these genes in primary human B-cell
malignancies, and explored the putative oncogenic potential of GPM6A and GPM6B.
METHODS: The gene expression levels of the selected human homologs were tested in
human B-cell malignancies by semi-quantitative RT-PCR. The protein expression
profiles of human GPM6A and GPM6B were analyzed by Western blotting. The
localization and the effect of GPM6A and GPM6B on the cytoskeleton were
determined using confocal and indirect immunofluorescence microscopy. To confirm
the oncogenic potential of GPM6A and GPM6B, classical colony formation assays in
soft agar and focus forming assays were used. The effects of these proteins on
the cell cycle were assessed by flow cytometry analysis. RESULTS: Using semi
quantitative RT-PCR, we found that most of the primary B-cell malignancies
assessed showed altered expression patterns of the genes tested, including GPM6A
and GPM6B. Using confocal microscopy, we found that the GPM6A protein (isoform 3)
exhibits a punctate cytoplasmic localization and that the GPM6B protein (isoform
4) exhibits a peri-nuclear and punctate cytoplasmic localization. Interestingly,
we found that exogenous over-expression of both proteins in NIH/3T3 cells alters
the actin and microtubule networks and induces the formation of long filopodia
like protrusions. Additionally, we found that these over-expressing NIH/3T3 cells
exhibit anchorage-independent growth and enhanced proliferation rates. Cellular
transformation (i.e., loss of contact inhibition) was, however, only observed
after exogenous over-expression of GPM6B. CONCLUSIONS: Our results indicate that
several human homologs of the genes found to be deregulated in Graffi MuLV
experimental mouse models may serve as candidate biomarkers for human B-cell
malignancies. In addition, we found that GPM6A and GPM6B may act as novel
oncogenes in the development of these malignancies.
PMID- 24916917
TI - Preliminary study of the Southampton Hand Assessment Procedure for Children and
its reliability.
AB - BACKGROUND: The Southampton Hand Assessment Procedure (SHAP) is currently used in
the adult population for evaluating the functionality of impaired or prosthetic
hands. The SHAP cannot be used for children because of the relatively larger size
of the objects used to perform SHAP tasks and unknown clinimetric properties. The
aims of this study were to adapt the SHAP for use in children (SHAP-C), to
determine norm values for the SHAP-C, and to analyze the reliability of the SHAP
C. METHODS: The SHAP-C was adapted based on the SHAP protocol. Some objects were
downsized, and the timing of tasks was performed by the rater instead of the
participant. Intra- and inter-rater reliability were assessed in 24 children (5
[0.54] y/o) with unimpaired hands. The repeatability coefficients (RCs) were
calculated. An RC <= 75% of the mean SHAP-C task values was considered good
reliability. RESULTS: Participants were able to perform all SHAP-C tasks. The
means of the SHAP-C tasks ranged from 0.75 to 1.21 seconds for abstract objects
and from 0.64-19.13 seconds for activities of daily living. The RCs of a single
assessor did not exceed 75% in 17/26 SHAP-C tasks, displaying a relatively good
intra-rater reliability, whereas the RCs for the inter-rater reliability exceeded
75% in 22/26 SHAP-C tasks, thus displaying poor reliability. CONCLUSION: In this
first study that adjusted the SHAP for pediatric use, we found that all SHAP-C
objects and tasks could be performed by children. The intra-rater reliability was
better than the inter-rater reliability. Although the SHAP-C appears to be a
promising instrument, the protocol requires further modifications to provide
reliable measurements in children.
PMID- 24916916
TI - Testing demographic differences for alcohol use initiation among adolescents for
the decisional balance and situational temptation prevention inventories.
AB - Alcohol use by middle school-aged students is a public health concern because of
the numerous adverse social, health and psychological outcomes. Prevention
programs attempt to intervene before alcohol use begins. A tailored, computer
delivered program for the prevention of alcohol use and a series of new
transtheoretical model measures were developed, including decisional balance
(Pros and Cons) of alcohol use and Situational Temptations to Try Alcohol. This
study investigated if there were any demographic differences on these measures in
a sample of 6th grade middle school students from 20 schools (N=4151) at
baseline. Three factorial analysis of variance tests were conducted to explore
the impact of race (whites vs. non-whites), ethnicity (Hispanics vs. Non
Hispanics) and gender (males vs. females). A significant two-way interaction
effect was found between gender and ethnicity for Pros of Alcohol Use. A
significant three-way interaction effect was found between gender, race and
ethnicity for Cons of Alcohol Use. Main effects were found for the three
demographic factors for Situational Temptations to Try Alcohol. However, the
effect sizes for the interaction effects and main effects were very small (all
below eta(2)=.01), suggesting that race/ethnicity and gender alone may not be
highly influential factors in the Decisional Balance for the Prevention of
Alcohol and Situational Temptations to Try Alcohol in adolescence. The
implications for these results and alcohol use prevention among this group are
discussed.
PMID- 24916918
TI - Deregulation of miR-200b, miR-200c and miR-429 indicates its potential relevant
role in patients with colorectal cancer liver metastasis.
PMID- 24916919
TI - Neuroimaging and cognition using functional near infrared spectroscopy (fNIRS) in
multiple sclerosis.
AB - The present study utilized functional near infrared spectroscopy (fNIRS) to
detect neural activation differences in the orbitofrontal brain region between
individuals with multiple sclerosis (MS) and healthy controls (HCs) during a
working memory (WM) task. Thirteen individuals with MS and 12 HCs underwent fNIRS
recording while performing the n-back WM task with four levels of difficulty (0-,
1-, 2-, and 3-back). Subjects were fitted with the fNIRS cap consisting of 30
'optodes' positioned over the forehead. The results revealed different patterns
of brain activation in MS and HCs. The MS group showed an increase in brain
activation, as measured by the concentration of oxygenated hemoglobin (oxyHb), in
the left superior frontal gyrus (LSFG) at lower task difficulty levels (i.e. 1
back), followed by a decrease at higher task difficulty (2- and 3-back) as
compared with the HC group. HC group achieved higher accuracy than the MS group
on the lower task loads (i.e. 0- and 1-back), however there were no performance
differences between the groups at the higher task loads (i.e. 2- and 3-back).
Taken together, the results suggest that individuals with MS experience a task
with the lower cognitive load as more difficult than the HC group, and the brain
activation patterns observed during the task confirm some of the previous
findings from functional magnetic resonance imaging (fMRI) studies. This study is
the first to investigate brain activation by utilizing the method of fNIRS in MS
during the performance of a cognitive task.
PMID- 24916920
TI - Memory detection with the Concealed Information Test: a meta analysis of skin
conductance, respiration, heart rate, and P300 data.
AB - The Concealed Information Test (CIT) uses psychophysiological measures to
determine the presence or absence of crime-related information in a suspect's
memory. We conducted a meta-analysis on the validity of the CIT based on four
physiological measures-skin conductance response (SCR), respiration line length
(RLL), changes in heart rate (HR), and enhanced amplitudes of the P300 component
of the event-related potential (P300). This meta-analysis relied on laboratory
studies that used either the mock-crime or the personal-item paradigm. Results
showed a mean effect size (d*) of 1.55 for SCR, 1.11 for RLL, 0.89 for HR, and
1.89 for P300. However, P300 outperformed SCR only in the personal-item paradigm,
but not in the mock-crime paradigm. Motivation level, number of questions,
publication year, and the inclusion of innocent participants emerged as
significant moderators for the SCR, while only the type of paradigm used
moderated the P300 effect.
PMID- 24916921
TI - Studies on the factors modulating indole-3-acetic acid production in endophytic
bacterial isolates from Piper nigrum and molecular analysis of ipdc gene.
AB - AIMS: The study mainly aimed quantitative analysis of IAA produced by endophytic
bacteria under various conditions including the presence of extract from Piper
nigrum. Analysis of genetic basis of IAA production was also conducted by
studying the presence and diversity of the ipdc gene among the selected isolates.
MATERIALS AND METHODS: Five endophytic bacteria isolated previously from P.
nigrum were used for the study. The effect of temperature, pH, agitation,
tryptophan concentration and plant extract on modulating IAA production of
selected isolates was analysed by colorimetric method. Comparative and
quantitative analysis of IAA production by colorimetric isolates under optimal
culture condition was analysed by HPTLC method. Presence of ipdc gene and thereby
biosynthetic basis of IAA production among the selected isolates were studied by
PCR-based amplification and subsequent insilico analysis of sequence obtained.
CONCLUSIONS: Among the selected bacterial isolates from P. nigrum, isolate PnB 8
(Klebsiella pneumoniae) was found to have the maximum yield of IAA under various
conditions optimized and was confirmed by colorimetric, HPLC and HPTLC analysis.
Very interestingly, the study showed stimulating effect of phytochemicals from P.
nigrum on IAA production by endophytic bacteria isolated from same plant.
SIGNIFICANCE AND IMPACT OF THE STUDY: This study is unique because of the
selection of endophytes from same source for comparative and quantitative
analysis of IAA production under various conditions. Study on stimulatory effect
of phytochemicals on bacterial IAA production as explained in the study is a
novel approach. Studies on molecular basis of IAA production which was confirmed
by sequence analysis of ipdc gene make the study scientifically attractive. Even
though microbial production of IAA is well known, current report on detailed
optimization, effect of plant extract and molecular confirmation of IAA
biosynthesis is comparatively novel in its approach.
PMID- 24916923
TI - Factors Influencing Facebook Usage and Facebook Addictive Tendency in University
Students: The Role of Online Psychological Privacy and Facebook Usage Motivation.
AB - There are few studies analysing the influence of personal traits and motivation
factors on Facebook usage and Facebook addictive tendency as seen in university
students. In this study, 225 Taiwanese university students completed a
questionnaire to determine their online psychological privacy scale, Facebook
usage motivation scale, Facebook usage scale and Facebook addictive tendency
scale, in order to evaluate the items that can be conceptualized as the effect of
university students' online psychological privacy personal trait and motive
factors, and Facebook usage motivation with respect to Facebook usage and
Facebook addictive tendency. The study found that a desire for more online
psychological privacy correlates with a stronger motivation to use Facebook and
more Facebook usage behaviour among university students who may become high-risk
groups for Facebook addictive tendency. The study found that a desire for or an
acceptance of a lower online psychological privacy correlates with a stronger
motivation to use Facebook among university students who may have more Facebook
usage behaviour. This study can help understand university students' Facebook
usage and Facebook addictive tendency and provide feature indicators for those
who may become high-risk groups for Facebook addictive tendency. Finally, this
study conducts discussion and proposes relevant suggestions for future study.
PMID- 24916922
TI - Hypertonic saline alleviates cerebral edema by inhibiting microglia-derived TNF
alpha and IL-1beta-induced Na-K-Cl Cotransporter up-regulation.
AB - BACKGROUND: Hypertonic saline (HS) has been successfully used clinically for
treatment of various forms of cerebral edema. Up-regulated expression of Na-K-Cl
Cotransporter 1 (NKCC1) and inflammatory mediators such as tumor necrosis factor
alpha (TNF-alpha) and interleukin-1 beta (IL-1beta) has been demonstrated to be
closely associated with the pathogenesis of cerebral edema resulting from a
variety of brain injuries. This study aimed to explore if alleviation of cerebral
edema by 10% HS might be effected through down-regulation of inflammatory
mediator expression in the microglia, and thus result in decreased NKCC1
expression in astrocytes in the cerebral cortex bordering the ischemic core.
METHODS: The Sprague-Dawley (SD) rats that underwent right-sided middle cerebral
artery occlusion (MCAO) were used for assessment of NKCC1, TNF-alpha and IL-1beta
expression using Western blotting, double immunofluorescence and real time RT
PCR, and the model also was used for evaluation of brain water content (BWC) and
infarct size. SB203580 and SP600125, specific inhibitors of the p38 and JNK
signaling pathways, were used to treat primary microglia cultures to determine
whether the two signaling pathways were required for the inhibition of HS on
microglia expressing and secreting TNF-alpha and IL-1beta using Western blotting,
double immunofluorescence and enzyme-linked immunosorbent assay (ELISA). The
effect of TNF-alpha and IL-1beta on NKCC1 expression in primary astrocyte
cultures was determined. In addition, the direct inhibitory effect of HS on NKCC1
expression in primary astrocytes was also investigated by Western blotting,
double immunofluorescence and real time RT-PCR. RESULTS: BWC and infarct size
decreased significantly after 10% HS treatment. TNF-alpha and IL-1beta
immunoexpression in microglia was noticeably decreased. Concomitantly, NKCC1
expression in astrocytes was down-regulated. TNF-alpha and IL-1beta released from
the primary microglia subjected to hypoxic exposure and treatment with 100 mM HS
were decreased. NKCC1 expression in primary astrocytes was concurrently and
progressively down-regulated with decreasing concentration of exogenous TNF-alpha
and IL-1beta. Additionally, 100 mM HS directly inhibited NKCC1 up-regulation in
astrocytes under hypoxic condition. CONCLUSIONS: The results suggest that 10% HS
alleviates cerebral edema through inhibition of the NKCC1 Cotransporter, which is
mediated by attenuation of TNF-alpha and IL-1beta stimulation on NKCC1.
PMID- 24916924
TI - A biocompatible alkene hydrogenation merges organic synthesis with microbial
metabolism.
AB - Organic chemists and metabolic engineers use orthogonal technologies to construct
essential small molecules such as pharmaceuticals and commodity chemicals. While
chemists have leveraged the unique capabilities of biological catalysts for small
molecule production, metabolic engineers have not likewise integrated reactions
from organic synthesis with the metabolism of living organisms. Reported herein
is a method for alkene hydrogenation which utilizes a palladium catalyst and
hydrogen gas generated directly by a living microorganism. This biocompatible
transformation, which requires both catalyst and microbe, and can be used on a
preparative scale, represents a new strategy for chemical synthesis that combines
organic chemistry and metabolic engineering.
PMID- 24916925
TI - Actual endoscopic versus predicted surgical mortality for treatment of advanced
mucosal neoplasia of the colon.
AB - BACKGROUND: EMR of advanced mucosal neoplasia (AMN) (ie, sessile or laterally
spreading lesions of >=20 mm) of the colon has become an increasingly popular
alternative to surgical resection. However, data regarding safety and mortality
of EMR in comparison to surgery are limited. OBJECTIVE: To compare actual
endoscopic with predicted surgical mortality. DESIGN: Prospective, observational,
multicenter cohort study. SETTING: Academic, high-volume, tertiary-care referral
center. PATIENTS: Consecutive patients referred for EMR. INTERVENTION EMR MAIN
OUTCOME MEASUREMENTS: To predict hypothetical surgical mortality, the Association
of Coloproctology of Great Britain and Ireland score, composed of physiological
and surgical components, was calculated for each patient. Predicted surgical
mortality was then compared with actual outcomes of EMR. The results were
validated by an unselected subcohort by using the Colorectal Physiologic and
Operative Severity Score for Enumeration of Mortality and Morbidity. RESULTS:
Among 1050 patients with AMN treated by EMR, including patients with a predicted
mortality rate of greater than 5% (13.8% of cohort), no deaths occurred within 30
days after the procedure. The predicted surgical mortality rate was 3.3% with the
Association of Coloproctology of Great Britain and Ireland score (P < .0001).
This suggests a significant advantage of EMR over surgery. The results were
validated by using the Colorectal Physiologic and Operative Severity Score for
Enumeration of Mortality and Morbidity in 390 patients predicting a surgical
mortality rate of 3.2% (P = .0003). LIMITATIONS: Nonrandomized study. CONCLUSION:
In this large multicenter study of EMR for colonic AMN, the predicted surgical
mortality rate was significantly higher than the actual endoscopic mortality
rate. Given that endoscopic therapy is less morbid and less expensive than
surgery and can be performed as an outpatient treatment, it should be considered
as the first line of treatment for most patients with these lesions.
PMID- 24916926
TI - Submucosal tunneling endoscopic resection of a giant esophageal leiomyoma.
PMID- 24916927
TI - Shape covariation between the craniofacial complex and first molars in humans.
AB - The occurrence of mutual genetic loci in morphogenesis of the face and teeth
implies shape covariation between these structures. However, teeth finalize their
shape at an early age, whereas the face grows and is subjected to environmental
influences for a prolonged period; it is therefore conceivable that covariation
might modulate with age. Here we investigate the extent of this covariation in
humans by measuring the 3D shape of the occlusal surface of the permanent first
molars and the shape of the craniofacial complex from lateral radiographs, at two
maturations stages. A sample of Greek subjects was divided into two groups (110
adult, 110 prepubertal) with equally distributed gender. The occlusal surfaces of
the right first molars were 3D scanned from dental casts; 265 and 274 landmarks
(including surface and curve semilandmarks) were digitized on the maxillary and
mandibular molars, respectively. The corresponding lateral cephalometric
radiographs were digitized with 71 landmarks. Geometric morphometric methods were
used to assess shape variation and covariation. The vertical dimension of the
craniofacial complex was the main parameter of shape variation, followed by
anteroposterior deviations. The male craniofacial complex was larger (4.0-5.7%)
and was characterized by a prominent chin and clockwise rotation of the cranial
base (adult group only). Allometry was weak and statistically significant only
when examined for the sample as a whole (percent variance explained: 2.1%, P =
0.0002). Covariation was statistically significant only between the lower first
molar and the craniofacial complex (RV = 14.05%, P = 0.0099, and RV = 12.31%, P =
0.0162, for the prepubertal and adult groups, respectively). Subtle age-related
covariation differences were noted, indicating that environmental factors may
influence the pattern and strength of covariation. However, the main pattern was
similar in both groups: a class III skeletal pattern (relative maxillary
retrusion and mandibular protrusion), hyperdivergency, forward rotation of the
posterior cranial base and upward rotation of the anterior cranial base were
associated with mesiodistal elongation of the lower molars and height reduction
of their distal cusps. This pattern mimics phylogeny in humans, where flexion and
counterclockwise rotation of the cranial base, considered advantageous to
survival, co-occur with tooth reductions that cannot be easily explained in
evolutionary terms. The similarity of the phylogenetic and covariation patterns
seems to support the pleiotropic gene hypothesis.
PMID- 24916928
TI - Using logistic regression to improve the prognostic value of microarray gene
expression data sets: application to early-stage squamous cell carcinoma of the
lung and triple negative breast carcinoma.
AB - BACKGROUND: Numerous microarray-based prognostic gene expression signatures of
primary neoplasms have been published but often with little concurrence between
studies, thus limiting their clinical utility. We describe a methodology using
logistic regression, which circumvents limitations of conventional Kaplan Meier
analysis. We applied this approach to a thrice-analyzed and published squamous
cell carcinoma (SQCC) of the lung data set, with the objective of identifying
gene expressions predictive of early death versus long survival in early-stage
disease. A similar analysis was applied to a data set of triple negative breast
carcinoma cases, which present similar clinical challenges. METHODS: Important to
our approach is the selection of homogenous patient groups for comparison. In the
lung study, we selected two groups (including only stages I and II), equal in
size, of earliest deaths and longest survivors. Genes varying at least four-fold
were tested by logistic regression for accuracy of prediction (area under a ROC
plot). The gene list was refined by applying two sliding-window analyses and by
validations using a leave-one-out approach and model building with validation
subsets. In the breast study, a similar logistic regression analysis was used
after selecting appropriate cases for comparison. RESULTS: A total of 8594
variable genes were tested for accuracy in predicting earliest deaths versus
longest survivors in SQCC. After applying the two sliding window and the leave
one-out analyses, 24 prognostic genes were identified; most of them were B-cell
related. When the same data set of stage I and II cases was analyzed using a
conventional Kaplan Meier (KM) approach, we identified fewer immune-related genes
among the most statistically significant hits; when stage III cases were
included, most of the prognostic genes were missed. Interestingly, logistic
regression analysis of the breast cancer data set identified many immune-related
genes predictive of clinical outcome. CONCLUSIONS: Stratification of cases based
on clinical data, careful selection of two groups for comparison, and the
application of logistic regression analysis substantially improved predictive
accuracy in comparison to conventional KM approaches. B cell-related genes
dominated the list of prognostic genes in early stage SQCC of the lung and triple
negative breast cancer.
PMID- 24916929
TI - Total IgE and eotaxin (CCL11) contents in tears of patients suffering from
seasonal allergic conjunctivitis.
AB - BACKGROUND: To prospectively investigate patients with seasonal allergic
conjunctivitis (SAC) during the pollen season and test associations between tears
total IgE, eotaxin concentrations, and SAC severity. METHODS: Enrolled patients
presented ocular symptoms and clinical signs of SAC at the time of presentation.
Ocular itching, hyperaemia, chemosis, eyelid swelling, and tearing were scored,
and the sum of these scores was defined as the clinical score. Conjunctival
papillae were separately graded. We measured eotaxin concentration in tears by an
enzyme-linked immunosorbent assay (ELISA) and total tear IgE by Lacrytest strip.
RESULTS: Among thirty patients (30 eyes), 11 showed neither tear IgE nor tear
eotaxin, while 15 out of 19 patients with positive IgE values presented a
positive amount of eotaxin in their tears (Fisher's test: p < 0.001). The mean
eotaxin concentration was 641 +/- 154 (SEM) pg/ml. In patients with no amount of
tear IgE, we observed a lower conjunctival papilla grade than in patients whose
tears contained some amount of IgE (trend test: p = 0.032). In the 15 patients
whose tear eotaxin concentration was null, tear IgE concentration was 5.3 +/- 3.5
arbitrary units; in the other 15 patients whose eotaxin was positive, IgE reached
21 +/- 4.3 arbitrary U (Mann-Whitney: p < 0.001). We measured 127 +/- 47 pg/ml
eotaxin in patients with no history of SAC but newly diagnosed as suffering from
SAC, and 852 +/- 218 pg/ml eotaxin in patients with a known SAC (p = 0.008). In
contrast, tear IgE concentrations of both groups did not differ statistically
significantly (p = 0.947). CONCLUSIONS: If IgE and eotaxin secreted in tears are
major contributors in SAC pathogenesis, they however act at different steps of
the process.
PMID- 24916931
TI - Editorial.
PMID- 24916932
TI - How to improve adherence to antipsychotic treatment: Outcomes of the IMproving
PAtient outComes in psychiaTry (IMPACT) Berlin 2009 meeting workshop.
AB - Objective. To challenge psychiatrists about their practice regarding patients'
treatment adherence in severe mental disease, and make them discuss possible ways
to improve this through their relationship with patients. Methods. A total of 423
physicians from 32 countries were assigned across 41 parallel groups during a
workshop organised on adherence in severe mental diseases. Factors that influence
adherence, and questions and measures to help patient-clinician interaction were
discussed and rated. Results. The most important factor influencing adherence to
treatment was considered to be insight. The factor over which clinicians felt
they had the greatest influence was the therapeutic relationship. The question
that most physicians considered the most useful for improving communication with
patients was, "Does taking medication cause any problems for you?" Additional
areas identified as important in improving adherence included addressing the
positive and negative aspects of medication, patient expectations and what
patients hoped to gain from seeing their physician. Intervention programmes to
improve adherence included patient education, motivational interviewing and
cognitive-behavioural therapy. A composite toolkit based on the top-rated
questions to improve communication with patients and measures to aid adherence
was recommended. Conclusion. Patient-centred practice is fundamental to ensuring
adherence in mentally ill patients. Working tools can be successfully developed
in interactive workshop settings, through reviewing and challenging current
clinical practice.
PMID- 24916930
TI - CD44 and RHAMM hyaluronan receptors in human ocular surface inflammation.
AB - BACKGROUND: CD44 and RHAMM hyaluronan (HA) receptors have been studied in several
systemic diseases such as osteoarthritis and cancer. However, not too much is
known about their role in ocular surface disorders. The purpose of this research
was to determine if CD44 and RHAMM are implicated in human ocular surface
inflammation. METHODS: Upper tarsal conjunctival epithelial samples from patients
with active ocular surface inflammation (n = 17) and healthy controls (n = 14)
were recovered by brush cytology. Patients were evaluated by an ophthalmologist
and classified in different groups according to the etiology (immune atopic
diseases or immune non-atopic diseases) and inflammation intensity (mild/moderate
or severe). CD44, RHAMM, and p53 mRNAs were measured using real-time PCR.
RESULTS: CD44, RHAMM, and p53 mRNAs were detected in all samples. In immune
atopic diseases, higher levels of CD44 and RHAMM mRNAs were present, reaching a
300 % increase for RHAMM in severe inflammation (p < 0.001). In contrast, in
immune non-atopic diseases, the HA receptors were downregulated. CD44 tended to
decrease up to 30 % in severe patients (p = 0.06), and RHAMM decreased 40 % in
severe inflammation (p = 0.021). CONCLUSIONS: RHAMM may be implicated in severe
ocular surface inflammation affecting the upper tarsal conjunctiva.
PMID- 24916933
TI - The use of prn medication in a child and adolescent mental health inpatient
service in France.
AB - Objective. The aim of this study was to investigate the use of "as needed" (pro
re nata or prn) psychotropic medication in a child and adolescent psychiatric
inpatient population. The study was carried out on the psychiatry ward of a
paediatric teaching hospital in Paris, France. Methods. A prospective analysis of
prn psychotropic drug prescriptions and administrations was conducted for all
patients hospitalised over a period of 4 months. The study group consisted of 187
patients. Results. In total, 93 prn prescriptions were written, for 27% of the
patients (51) but only 14% (26) received a total of 76 administrations.
Antipsychotic drugs accounted for 54% of the prescriptions, anxiolytics for 33%,
antiepileptic drugs for 8%, antiparkinsonian drugs for 4% and hypnotic drugs for
1%. Anxiety was the reason given for 67% of the prn administrations, with
hydroxyzine used in 69% of these cases. Disruptive behaviour accounted for 22% of
prn administrations, with antipsychotic drugs accounting for 88% of these
administrations. Insomnia accounted for 8% of prn administrations, and
antipsychotic drug-induced dystonia accounted for 3% of such administrations.
Conclusion. Controlled studies are required to assess the efficacy and safety of
prn medication and the conditions in which its use is indicated.
PMID- 24916934
TI - The relationship between elderly suicides rates, household size and family
structure: A cross-national study.
AB - Background. Cultural factors may influence cross-national variations in elderly
suicide rates. Methods. A cross-national study examining the relationship between
elderly suicide rates and (i) mean household size and (ii) family structure was
conducted with the "a priori" unidirectional hypothesis that larger mean
household size and greater proportion of extended families may imply a greater
number of people being potentially available within the household to provide
support and respect to the elderly and to hold them in high esteem, and
ultimately leading to reduction in elderly suicide rates. Data on elderly suicide
rates was ascertained from the World Health Organisation website. Data on mean
household size and family structure was ascertained from a report from the Inter
American Development Bank. Results. The main findings were elderly suicide rates
in both sexes were significantly correlated with the mean household size
(negative), percentage of extended households (negative; except in males aged 65
74 years where this approached significance) and percentage of single person
households (positive). Conclusions. The impact of mean household size and family
structure on elderly suicide rates may interact with and be modified and mediated
through cultural factors. The contribution of cross-national differences in
cultural factors on elderly suicide rates requires further study by formally
measuring cultural factors with validated instruments.
PMID- 24916935
TI - Mood regulation beliefs in depressed psychiatric inpatients: Examining affect,
behavior, cognitive, and social strategies.
AB - The present study was designed to evaluate patient expectations regarding their
ability to manage negative emotional reactions. Strategies for managing negative
emotional reactions were classified in terms of a focus on affect regulation,
behavioral activation, cognitive restructuring, and social involvement. Depressed
psychiatric inpatients (N=137) reported weak expectations regarding their ability
to regulate their negative moods (M = 82.37, SD = 16.61). Depression severity was
closely related to male patients' expectations regarding their ability to control
negative moods through affect regulation (r(44)=- 0.37, P<0.05), behavioral
activation (r(44)=- 0.59, P< 0.01), cognitive restructuring (r(44)- 0.50,
P<0.01), and social involvement (r(44)=- 0.33, P<0.05). Suicide risk was
significantly related to male patients' expectations regarding their ability to
control negative moods. Similar results were observed for female patients. Recent
suicide attempters, past attempters, and non-suicidal depressed inpatients
reported similar mood regulation expectations (F(2,134)=0.46, ns). Therapy may
help patients develop confidence in their ability to manage negative emotional
reactions. It may be useful to assess patient expectations in order to guide
therapy toward specific coping actions that may help them control their negative
moods.
PMID- 24916936
TI - Does adversity earlier in life affect elderly suicide rates? A cross-national
study.
AB - Background. Although life-long adversity has been suggested as a protective
factor for elderly suicides, studies examining protective factors for elderly
suicides are scarce. Methods. The relationship between elderly suicide rates and
five proxy measures of adversity earlier in life was examined using data from the
World Health Organisation and the United Nations data banks. Results. Suicide
rates on univariate analysis, in males and females in both the elderly age-bands,
were lower when the severity of adversity was higher for at least four of the
five proxy measures of adversity earlier in life. However, on multiple regression
analyses, the only independent predictor of elderly suicide rates in males in
both the elderly age-bands and in females in the age-band 65-74 years was the
proportion of elderly in the total population. Conclusions. The mechanism through
which adversity early in life and the proportion of elderly in the general
population affect elderly suicide rates requires formal testing in long-term
within-country longitudinal studies where adversity early in life changes over
time within a country.
PMID- 24916937
TI - Factor analysis of the Schizophrenia Quality of Life Scale Revision 4 (SQLS-R4)
Chinese version and related factors.
AB - Objectives. To validate the Schizophrenia Quality of Life Scale Revision 4 (SQLS
R4) Chinese version with factor analysis. We also investigated factors
influencing quality of life results. Method. One hundred patients with
schizophrenia participated in this study. Experienced psychiatrists evaluated
each patient's current psychological condition to assure intact judgment.
Participants then filled out the quality of life questionnaire, SQLS-R4. We used
principal component analysis to analyze SQLS-R4 with oblique rotation. We also
collected demographic data, another subjective and objective quality of life
questionnaire, and information about the functional status of the participants at
the same time. Multiple linear regression was used to analyze collected factors
which may influence the quality of life of schizophrenic patients. Results. We
extracted seven factors that explained a 68.1% variance in the patients' quality
of life. The factors were relationships with others, loneliness, exhaustion,
depressed thinking, somatic concerns, vitality, and worry. Conclusion. We found
that the SQLS-R4 factor analysis provided insights into the structure of the
quality of life of patients with schizophrenia. We also found that subjective
social participation, subjective health, and depression were quality-of-life
related factors. Whether other factors influence the quality of life in patients
with schizophrenia requires further investigation.
PMID- 24916938
TI - Population-attributable risk of childhood sexual abuse for symptoms of depression
and suicidal ideation in adulthood.
AB - Objective. The aim of the study was to assess the population-attributable risks
(PAR) of childhood sexual abuse (CSA) for depressive symptoms and suicidal
ideation in adulthood. Method. A total of 2501 adult participants were randomly
recruited and interviewed using a computer-assisted telephone interviewing
system. They responded to items designed to assess depressive symptoms (SF-12),
suicidal ideation (GHQ-28), and other distressing events, including CSA, as part
of a broader, mental health survey of the South Australian population. Results.
Logistic regression analyses indicated that CSA was associated with both
depressive symptoms and suicidal ideation. However, PAR estimates indicated that
only 0.8% of depressive symptoms could be attributed to CSA. Similarly, only 2.2%
of suicidal ideation in the population could be attributed to CSA. Conclusions.
Notwithstanding the individual distress associated with CSA, a population
perspective indicated that the impact of CSA in adulthood was not as great as one
might interpret from media reports.
PMID- 24916939
TI - Investigation of attention deficit and hyperactivity disorder in adult patients
with atopic dermatitis.
AB - Background. Atopic dermatitis (AD) is a common chronic inflammatory disease that
is associated with significant psychosocial morbidity and a decrease in health
related quality of life. Attention deficit hyperactivity disorder may be present
in atopic dermatitis patients. Objective. The present study aims to investigate
the co-presence of ADHD in adult patients with AD. Material and method. The study
registered 60 adult patients with AD (48 females and 12 males) and 50 non-atopic
control subjects (38 females and 12 males). The AD patient group and the control
group were assessed using the Turgay adult Attention-Deficit/Hyperactivity
Disorder (ADD/ADHD) DSM-IV-Based Diagnostic Screening and Rating Scale (Turkish
Version), which was studied by a team of psychologists and psychiatrists in
Turkey for validity, reliability and norms. The scale covers three dimensions of
the disease, namely inattention, hyperactivity and impulsivity, and associated
features of ADHD. The groups were compared and contrasted in terms of their
similarities and differences in ADD/ADHD symptoms. Results. Three sub-dimensions
of ADD/ADHD scale (Attention Deficit, Hyperactivity/ Impulsivity and Problem
subdivisions) in AD patients were found statistically significantly elevated
relative to controls (P<0.001, P<0.001, P<0.001, respectively). Conclusions. In
conclusion we established the co-presence of ADHD in AD patients in the adult age
group.
PMID- 24916940
TI - Risperidone long acting injection: Findings of a 2-year retrospective follow-up
study.
AB - Objective. The aim of this retrospective study was to assess the clinical
effectiveness of risperidone long acting injection (RLAI) at 2 years within an
acute mental health setting. Method. All patients who received RLAI between July
2002 and December 2004 were identified from pharmacy records, and data collected
by retrospective case note review. In order to give an indication that their
condition was unresponsive to treatment, patients' drug histories were reviewed
to determine whether or not they had previously been treated with clozapine or
received two or more failed trials of other antipsychotic medication. Results.
Eighty-four patients were included in the study and 56 discontinued at 2 years.
The most common reason for treatment discontinuation was lack of effectiveness,
accounting for 32 patients, whilst eight patients discontinued due to adverse
effects. Patients remaining on treatment spent 67% of the time in an outpatient
setting. Conclusion. The proportion of patients remaining on RLAI at 2 years was
33%. Limitations of the naturalistic methodology used in this study hindered any
precise determination of which patients were likely to continue RLAI. However, it
was apparent that a history of previous treatment failure with at least two
antipsychotics was associated with RLAI treatment discontinuation.
PMID- 24916941
TI - The reliability and validity of the Chinese version of the Modified Overt
Aggression Scale.
AB - Objective. Instruments to assess aggressive behaviors in the psychiatric ward are
crucial for monitoring risky behaviors. The purpose of this study was to assess
the validity and reliability of the Chinese version of the Modified Overt
Aggression Scale (MOAS). Methods. We translated the English version of MOAS into
Chinese. We interviewed and trained two volunteers to act as agitated patients in
the seclusion room. One senior psychiatrist, experienced in using the MOAS,
directed scenarios of different aggressive intensity and established the standard
scores. The validity was assessed by comparing the other raters' scores with
those of the director's. Inter-rater reliability was also assessed. Results.
Inter-rater reliability, based on Intra-class correlation coefficient (ICC=0.94,
P<0.001) and Kendall's W coefficient of concordance (W=0.83, P= 0.001), was high.
The validity was assessed by the Mann-Whitney test. The results showed that the
raters adequately differentiated (z=- 2.89, P= 0.002) between the above-average
and below-average scores of the MOAS. Conclusion. The Chinese version of the MOAS
has modest psychometric properties. The model, which used trained volunteers
acting as patients and compared their scores with those of the director, may be
used in further studies for developing psychometric instruments to assess abrupt
behaviors.
PMID- 24916942
TI - Assessing and managing suicidal behaviour in the primary care setting: A model
for an integrated regional suicide prevention strategy.
AB - Although suicide rates are decreasing in most countries, suicide is still a major
health concern. Our aim was to introduce a complex, integrative, regional suicide
prevention strategy. Based on reviewing the literature and on our previous
studies we developed a suicide prevention model, which includes recognition, risk
assessment and intervention. The main steps of the model are the recognition of
warning signs (communicative or behavioural), exploration of crisis situation
and/or psychopathologic symptoms, assessment of protective and risk factors,
estimation of suicide risk and a plan for management of suicidal patients through
different levels of interventions. In the management of suicidal behaviour, the
complex stress-diathesis model has to be adjusted by considering biological
markers and psycho-social factors. Only after the assessment of these factors can
primary care professionals, as gatekeepers, manage suicidal patients effectively
by using adequate psychopharmacotherapeutic and psychotherapeutic interventions
in the recognition, treatment and prevention of suicidal behaviour.
PMID- 24916943
TI - The prevalence of comorbid anxiety disorders in outpatients with schizophrenia.
AB - Objective. The purpose of this study was to determine the prevalence of lifetime
anxiety disorders in patients with schizophrenia in Sanliurfa, Turkey, and to
assess the association between comorbidity and several demographic and clinical
variables. Methods. Eighty-two outpatients diagnosed with schizophrenia were
recruited for the study. They were assessed by means of the Structured Clinical
Interview for DSM-IV axis I Disorders-Clinician Version (SCID-I-CV), Anxiety
Disorder Module, the Positive and Negative Syndrome Scale (PANSS), the Hamilton
Anxiety Rating Scale (HARS), and the Global Assessment of Functioning Scale
(GAF). Results. Fifty-five (67.1%) were diagnosed with at least one lifetime
comorbid anxiety disorder. The most common anxiety disorder was obsessive
compulsive disorder (39.0%), followed by social phobia (13.4%). We found patients
with a diagnosis of schizophrenia with comorbid anxiety disorders had shorter
duration of illness than those without such comorbid conditions. Atypical
antipsychotics were more commonly prescribed to treat these patients. Conclusion.
As comorbidity of anxiety disorders is relatively prevalent among patients with a
diagnosis of schizophrenia, special attention to this issue should be paid when
interviewing these patients.
PMID- 24916944
TI - The association between children's ADHD subtype and parenting stress and parental
symptoms.
AB - Objective. To investigate the association between two attention
deficit/hyperactivity disorder (ADHD) subtypes-combined and inattentive subtypes
and parental stress in a Taiwanese population. Method. One hundred and nine
children with ADHD were interviewed using the MINI Kid questionnaire. The
children were divided into combined and inattentive subtypes. The level of
parenting stress was measured with the self-report Parenting Stress Index and
Parental Symptom Scale (Symptom Check List, SCL-90). The data were used to
identify child and parental risk factors. Results. Combined subtype was highly
associated with parental stress. Parents of children in this group were
significantly younger, had a poorer understanding of ADHD, and had significantly
higher levels of marital discord, parenting stress, parental symptoms, and life
stress (all P<0.05). Multivariate analysis revealed that age of mother, child
with comorbidity diagnosis, and parents with poor understanding of ADHD were
significantly associated with the combined subtype relative to inattentive
subtype (all P<0.05). Conclusion. The combined subtype of ADHD is associated with
more parental psychopathology and stress than the inattentive subtype and the
presence of combined subtype may indicate that such a child is at greater risk
than the inattentive subtype for comorbid conditions such as oppositional defiant
disorder and conduct disorder.
PMID- 24916945
TI - The forgotten caregivers: Siblings of schizophrenic patients.
AB - Objective. The situation of caregivers of psychiatric patients is mostly focussed
on burdens of parents or spouses of patients. The burden of siblings due to the
illness, however, is mostly underestimated and disregarded. Methods. Thirty-seven
narrative interviews with siblings of schizophrenia inpatients were analysed by
using a summarizing content analysis. The founded global statements were
quantitatively analysed. Regression-analysis as well as regression trees were
used to evaluate the data linked with sociodemographic and disease-related
variables of the patient and siblings. Results. The results showed a high
proportion of siblings engaged in caregiving activities. A total of 492
individual statements were summarized in 26 global types of statements. The three
most often reported burdens by the healthy siblings are: "Handling the symptoms
of illness" (100%), "Emotional burden due to the illness of the sibling" (100%)
and "Uncertainty in judging what amount of stress the patient can cope with"
(81.1%). Linear regression and regression tree analysis show predictors for
higher burdened siblings. Conclusion. Siblings of schizophrenia patients are
burdened in various aspects and in a specific matter. Their special needs will
therefore have to be recognised before they can receive appropriate intervention.
PMID- 24916946
TI - Psychophysiological disorders among buprenorphine patients.
AB - In this study, we examined the prevalence of 14 psychophysiological disorders
among 114 opioid-dependent individuals (a sample that previously evidenced high
rates of borderline personality) as well as the relationship between these
disorders and borderline personality. In the aftermath of analyses, only migraine
headaches (28.9%) and chronic pain (33.3%) demonstrated relatively high frequency
rates in this sample. Only migraine headaches showed a significant relationship
with the diagnosis of borderline personality symptoms. In conclusion, in an
opioid-dependent population, the prevalence of psychophysiological disorders
appears to be rather unremarkable.
PMID- 24916947
TI - Childhood trauma and sexual behavior in adulthood among internal medicine
outpatients.
AB - Abuse in childhood may be associated with a variety of sexual behaviors in
adulthood. However, previous studies have been limited by population type, number
of traumas inquired about, and number of sexual behaviors explored. In this
study, we examined five forms of childhood abuse or trauma (i.e. sexual abuse,
physical abuse, emotional abuse, physical neglect, and the witnessing of
violence) and 13 sexuality variables (e.g., age at first intercourse, number of
different sexual partners) among 76 women in an outpatient resident-provider
internal medicine setting. Participants who had experienced childhood "sexual
abuse" reported a younger "age at first intercourse" and a greater incidence of
having "ever been raped by a stranger" and having "ever been raped by a partner"
but not multiple sexual partners (i.e. promiscuity), as expected. We discuss the
implications of these findings.
PMID- 24916949
TI - AMP-activated protein kinase is required for cell survival and growth in HeLa-S3
cells in vivo.
AB - Activation of the AMP-dependent protein kinase (AMPK) is linked to cancer cell
survival in a variety of cancer cell lines, particularly under conditions of
stress. As a potent activator of AMPK, metformin has become a hot topic of
discussion for its effect on cancer cell. Here, we report that AMPK activated by
metformin promotes HeLa-S3 cell survival and growth in vivo. Our results show
that metformin inhibited cell proliferation in MCF-7 cells, but not in LKB1
deficient HeLa-S3 cells. Re-expression of LKB-1 in HeLa-S3 cells restored the
growth inhibitory effect of metformin, indicating a requirement for LKB-1 in
metformin-induced growth inhibition. Moreover, AMPK activation exerted a
protective effect in HeLa-S3 cells by relieving ER stress, modulating ER Ca(2+)
storage, and finally contributing to cellular adaptation and resistance to
apoptosis. Our findings identify a link between AMPK activation and cell survival
in HeLa-S3 cells, which demonstrates a beneficial effect of AMPK activated by
metformin in cancer cell, and suggests a discrete re-evaluation on the role of
metformin/AMPK activation on tumor cell growth, proliferation, and on clinical
application in cancer therapy.
PMID- 24916950
TI - Optimization of HPV DNA detection in urine by improving collection, storage, and
extraction.
AB - The benefits of using urine for the detection of human papillomavirus (HPV) DNA
have been evaluated in disease surveillance, epidemiological studies, and
screening for cervical cancers in specific subgroups. HPV DNA testing in urine is
being considered for important purposes, notably the monitoring of HPV
vaccination in adolescent girls and young women who do not wish to have a vaginal
examination. The need to optimize and standardize sampling, storage, and
processing has been reported.In this paper, we examined the impact of a DNA
conservation buffer, the extraction method, and urine sampling on the detection
of HPV DNA and human DNA in urine provided by 44 women with a cytologically
normal but HPV DNA-positive cervical sample. Ten women provided first-void and
midstream urine samples. DNA analysis was performed using real-time PCR to allow
quantification of HPV and human DNA.The results showed that an optimized method
for HPV DNA detection in urine should (a) prevent DNA degradation during
extraction and storage, (b) recover cell-free HPV DNA in addition to cell
associated DNA, (c) process a sufficient volume of urine, and (d) use a first
void sample.In addition, we found that detectable human DNA in urine may not be a
good internal control for sample validity. HPV prevalence data that are based on
urine samples collected, stored, and/or processed under suboptimal conditions may
underestimate infection rates.
PMID- 24916951
TI - Inflammation and psychosocial factors mediate exercise effects on sleep quality
in breast cancer survivors: pilot randomized controlled trial.
AB - OBJECTIVE: To improve mechanistic understanding, this pilot randomized controlled
trial examined mediators of an exercise intervention effects on sleep in breast
cancer survivors (BCS). METHODS: Forty-six postmenopausal BCS (<=Stage II, off
primary treatment) were randomized to a 3-month exercise intervention or control
group. Intervention included 160 min/week of moderate intensity aerobic walking,
twice weekly resistance training (resistance bands), and six discussion groups
(to improve adherence). Blinded assessments at baseline and post-intervention
included sleep disturbance (PSQI and PROMIS(r)), objective sleep quality
(accelerometer), serum cytokines, accelerometer physical activity,
cardiorespiratory fitness, body composition, fatigue, and psychosocial factors.
Mediation was tested using Freedman-Schatzkin difference-in-coefficients tests.
RESULTS: When compared with control, the intervention group demonstrated a
significant increase in PSQI sleep duration (i.e., fewer hours of sleep/night) (d
= 0.73, p = .03). Medium to large but non-significant standardized effect sizes
were noted for PSQI daytime somnolence (d = -0.63, p = .05) and accelerometer
latency (d = -0.49, p = .14). No statistically significant mediators were
detected for PSQI sleep duration score or accelerometer latency. Daytime
somnolence was mediated by tumor necrosis factor-alpha (mediated 23% of
intervention effect, p < .05), interleukin (IL)-6:IL-10 (16%, p < .01), IL-8:IL
10 (26%, p < .01), and fatigue (38%, p < .05). Mediating or enhancing
relationships for several of the sleep outcomes were noted for accelerometer
physical activity, PROMIS(r) fatigue, exercise social support, and/or physical
activity enjoyment. CONCLUSIONS: Inflammation and psychosocial factors may
mediate or enhance sleep response to our exercise intervention. Further study is
warranted to confirm our results and translate our findings into more effective
interventions aimed at improving sleep quality in BCS.
PMID- 24916953
TI - Randomized controlled trial of a prehospital decision system by emergency medical
services to ensure optimal treatment for older adults in Sweden.
AB - OBJECTIVES: To evaluate the feasibility and appropriateness of a prehospital
system allowing ambulance nurses to transport older adults directly to geriatric
care at a community-based hospital (CH) or to an emergency department (ED).
DESIGN: Randomized controlled trial. SETTING: Emergency medical services in
Stockholm, Sweden. PARTICIPANTS: Older adults who called the emergency number
were randomized to an intervention group (n = 410) or a control group (n = 396).
INTERVENTION: The dispatcher randomized the individuals. Those randomized to the
intervention group were transported to several alternative destinations decided
by a trained nurse performing a comprehensive assessment, using the new
prehospital system. Those randomized to the control group were transported to the
ED. MEASUREMENTS: Primary endpoint: number of individuals triaged directly to a
CH (feasibility). Secondary endpoint: number of subsequent transfers
(appropriateness) from CH to ED within 24 hours after initial admission. RESULTS:
After exclusion and crossover, the control group consisted of 217 and the
intervention group of 449 older adults. The nurse sent 20% of the intervention
group (90/449) (95% confidence interval (CI) = 16.6-24.0) directly to the CH when
using the prehospital system. Six of those individuals (6.7%) (95% CI = 3.1-13.8)
were subsequently transferred from the CH to the ED. Overall, the nurse
appropriately triaged 93.3% of the participants (84/90) and transferred them to
the CH, avoiding an ED visit. CONCLUSION: Ambulance nurses are able to send older
adults to an alternative healthcare facility with the help of a prehospital
decision support system. In this geographical setting, this appears to be a
promising method to optimize resources and improve emergency care of elderly
adults.
PMID- 24916952
TI - Construction and immunogenicity of a DNA vaccine coexpressing GP3 and GP5 of
genotype-I porcine reproductive and respiratory syndrome virus.
AB - BACKGROUND: The European (EU) genotype of porcine reproductive and respiratory
syndrome virus (Genotype-I PRRSV) has recently emerged in China. The coexistence
of Genotype-I and -II PRRSV strains could cause seriously affect PRRSV diagnosis
and management. Current vaccines are not able to protect against PRRSV infection
completely and have inherent drawbacks. Thus, genetically engineered vaccines,
including DNA vaccine and live vector engineered vaccines, have been developed.
This study aimed to determine the enhanced immune responses of mice inoculated
with a DNA vaccine coexpressing GP3 and GP5 of a Genotype-I PRRSV. RESULTS: To
evaluate the immunogenicity of GP3 and GP5 proteins from European-type PRRSV,
three DNA vaccines, pVAX1-EU-ORF3-ORF5, pVAX1-EU-ORF3 and pVAX1-EU-ORF5, were
constructed, which were based on a Genotype-I LV strain (GenBank ID: M96262).
BALB/c mice were immunized with the DNA vaccines; delivered in the form of
chitosan-DNA nanoparticles. To increase the efficiency of the vaccine, Quil A
(Quillaja) was used as an adjuvant. GP3 and GP5-specific antibodies, neutralizing
antibodies and cytokines (IL-2, IL-4, IL-10 and IFN gamma) from the immunized
mice sera, and other immune parameters, were examined, including T-cell
proliferation responses and subgroups of spleen T-lymphocytes. The results showed
that ORF3 and ORF5 proteins of Genotype-I PRRSV induced GP3 and GP5-specific
antibodies that could neutralize the virus. The levels of Cytokines IL-2, IL-4,
IL-10, and IFN-gamma of the experimental groups were significantly higher than
those of control groups after booster vaccination (P < 0.05). The production of
CD3+CD4+ and CD3+CD8+ T lymphocyte was also induced. T lymphocyte proliferation
assays showed that the PRRSV LV strain virus could stimulate the proliferation of
T lymphocytes in mice in the experimental group. CONCLUSIONS: Using Quil A as
adjuvant, Genotype-I PRRSV GP3 and GP5 proteins produced good immunogenicity and
reactivity. More importantly, better PRRSV-specific neutralizing antibody titers
and cell-mediated immune responses were observed in mice immunized with the DNA
vaccine co-expressing GP3 and GP5 proteins than in mice immunized with a DNA
vaccine expressing either protein singly. The results of this study demonstrated
that co-immunization with GP3 and GP5 produced a better immune response in mice.
PMID- 24916954
TI - A randomized controlled study of manikin simulator fidelity on neonatal
resuscitation program learning outcomes.
AB - The neonatal resuscitation program (NRP) has been developed to educate physicians
and other health care providers about newborn resuscitation and has been shown to
improve neonatal resuscitation skills. Simulation-based training is recommended
as an effective modality for instructing neonatal resuscitation and both low and
high-fidelity manikin simulators are used. There is limited research that has
compared the effect of low and high-fidelity manikin simulators for NRP learning
outcomes, and more specifically on teamwork performance and confidence. The
purpose of this study was to examine the effect of using low versus high-fidelity
manikin simulators in NRP instruction. A randomized posttest-only control group
study design was conducted. Third year undergraduate medical students
participated in NRP instruction and were assigned to an experimental group (high
fidelity manikin simulator) or control group (low-fidelity manikin simulator).
Integrated skills station (megacode) performance, participant satisfaction,
confidence and teamwork behaviour scores were compared between the study groups.
Participants in the high-fidelity manikin simulator instructional group reported
significantly higher total scores in overall satisfaction (p = 0.001) and
confidence (p = 0.001). There were no significant differences in teamwork
behaviour scores, as observed by two independent raters, nor differences on
mandatory integrated skills station performance items at the p < 0.05 level.
Medical students' reported greater satisfaction and confidence with high-fidelity
manikin simulators, but did not demonstrate overall significantly improved
teamwork or integrated skills station performance. Low and high-fidelity manikin
simulators facilitate similar levels of objectively measured NRP outcomes for
integrated skills station and teamwork performance.
PMID- 24916955
TI - The impact of 2011 ACGME duty hour restrictions on internal medicine resident
workload and education.
AB - The Accreditation Council for Graduate Medical Education (ACGME) implemented work
hour restrictions for physicians in training in 2003 that were revised July 1,
2011. Current published data are insufficient to assess whether such work hour
restrictions will have long-term impact on residents' education. We searched
computer-generated reports of hospital in-patient census, continuity clinic
census, in-training exam scores and first-year resident attendance at educational
conferences for the academic years 2010-2011 (August 1, 2010-May 31, 2011) and
2011-2013 (August 1, 2011-May 31, 2013). During the first year of the study
period, the residents' inpatient internal medicine services admitted 1,754
patients; during this same period for academic years 2011-2012 and 2012-2013, the
teaching services admitted 1,539 and 1,428 patients respectively, yielding a
decrease of 16.4%. Monthly, these services cared for a mean of 27.1 (27.1/175.4
[15.4%]) fewer patients and 9.7 (9.7/34.4 [28.2%]) fewer patients per intern than
in the previous year. No statistical difference was observed regarding continuity
clinic attendance and in-training exam scores. Residents in the years following
work hours restrictions attended more educational conferences. Implementation of
2011 ACGME work hour regulations resulted in fewer patients seen by first-year
residents in hospital, but did not affect in-training exam scores. Whether these
findings will translate into differences in patient outcomes, and quality of care
remains to be seen.
PMID- 24916956
TI - Mammary tumour development is dose-dependently inhibited by n-3 polyunsaturated
fatty acids in the MMTV-neu(ndl)-YD5 transgenic mouse model.
AB - BACKGROUND: Breast cancer is attributable to modifiable risk factors including
the intake of dietary n-3 polyunsaturated fatty acids (PUFA). A key piece of
evidence, yet to be addressed, that would demonstrate a causal relationship
between n-3 PUFA and breast cancer, is a dose-dependent effect of n-3 PUFA on
tumour outcomes. Thus, the objective of the present study was to determine
whether n-3 PUFA reduces mammary gland tumor outcomes in a dose-dependent manner
in female MMTV-neu(ndl)-YD5 transgenic mice, an aggressive model of human breast
cancer. METHODS: Harems were provided one of three experimental diets comprised
of 0, 3 or 9% (w/w) menhaden fish oil containing n-3 PUFA. Female offspring were
weaned onto the same parental diet and maintained on their respective diet for 20
weeks. Tumour onset, size and multiplicity were measured throughout the study.
Fatty acid composition of mammary gland and tumours were determined by gas-liquid
chromatography. RESULTS: Tumour size was significantly (p < 0.05) reduced in a
dose-dependent manner. n-3 PUFA were also incorporated in a dose-dependent
manner; differential incorporation was observed for eicosapentaenoic and
docosapentaenoic acids into mammary gland tissue, while docosahexaenoic acid was
preferentially incorporated into tumours. CONCLUSION: Overall, the present study
provides fundamental knowledge about the dose-dependent effect of n-3 PUFA on
tumour outcomes in a pre-clinical model and also sheds light on the differential
role of individual n-3 PUFA on tumour outcomes.
PMID- 24916957
TI - Expression of orexins and their precursor in the porcine ovary and the influence
of orexins on ovarian steroidogenesis in pigs.
AB - Orexins A and B are hypothalamic neuropeptides associated with homeostasis and
the reproductive system. The aim of the study was to compare the expression of
the prepro-orexin gene and the intensity of orexins immunoreactivity in the
porcine ovary (corpora lutea, granulosa and theca interna cells) during four
different stages of the oestrous cycle (days: 2-3, 10-12, 14-16 and 17-19) and to
examine the in vitro effect of orexins on the secretion of steroid hormones by
porcine luteal, granulosa and theca interna cells. The highest expression of
prepro-orexin mRNA was observed in theca interna cells on days 17-19 of the
oestrous cycle. The highest content of immunoreactive orexin A was noted in
corpora lutea on days 10-12 and the highest level of immunoreactive orexin B on
days 14-16 of the cycle. Immunoreactive orexin A concentrations were higher in
theca interna cells than in granulosa cells, whereas similar levels of
immunoreactive orexin B were observed in both cell types. Under in vitro
conditions, at the concentration of 10 nM, orexins A and B inhibited FSH-induced
oestradiol secretion by granulosa cells. The obtained results suggest that the
pattern of orexin peptide expression in the porcine ovary is related to the
animals' hormonal status. Our findings imply that orexins can affect porcine
reproductive functions through modulation of ovarian steroidogenesis.
PMID- 24916959
TI - World Cup 2014: festival of football or alcohol?
PMID- 24916958
TI - RsaM: a transcriptional regulator of Burkholderia spp. with novel fold.
AB - Burkholderia cepacia complex is a set of closely related bacterial species that
are notorious pathogens of cystic fibrosis patients, responsible for life
threatening lung infections. Expression of several virulence factors of
Burkholderia cepacia complex is controlled by a mechanism known as quorum sensing
(QS). QS is a means of bacterial communication used to coordinate gene expression
in a cell-density-dependent manner. The system involves the production of
diffusible signaling molecules (N-acyl-l-homoserine lactones, AHLs), that bind to
cognate transcriptional regulators and influence their ability to regulate gene
expression. One such system that is highly conserved in Burkholderia cepacia
complex consists of CepI and CepR. CepI is AHL synthase, whereas CepR is an AHL
dependent transcription factor. In most members of the Burkholderia cepacia
complex group, the cepI and cepR genes are divergently transcribed and separated
by additional genes. One of them, bcam1869, encodes the BcRsaM protein, which was
recently postulated to modulate the abundance or activity of CepI or CepR. Here,
we show the crystal structure of BcRsaM from B. cenocepacia J2315. It is a single
domain protein with unique topology and presents a novel fold. The protein is a
dimer in the crystal and in solution. This regulator has no known DNA-binding
motifs and direct binding of BcRsaM to the cepI promoter could not be detected in
in vitro assays. Therefore, we propose that the modulatory action of RsaM might
result from interactions with other components of the QS machinery rather than
from direct association with the DNA promoter. DATABASE: The atomic coordinates
and structure factors have been deposited in the Protein Data Bank under entry
4O2H. STRUCTURED DIGITAL ABSTRACT: BcRsaM and BcRsaM bind by x-ray
crystallography (View interaction) BcRsaM and BcRsaM bind by molecular sieving
(View interaction).
PMID- 24916961
TI - Co-administration of creatine plus pyruvate prevents the effects of phenylalanine
administration to female rats during pregnancy and lactation on enzymes activity
of energy metabolism in cerebral cortex and hippocampus of the offspring.
AB - Phenylketonuria (PKU) is the most frequent inborn error of metabolism. It is
caused by deficiency in the activity of phenylalanine hydroxylase, leading to
accumulation of phenylalanine and its metabolites. Untreated maternal PKU or
hyperphenylalaninemia may result in nonphenylketonuric offspring with low birth
weight and neonatal sequelae, especially microcephaly and intellectual
disability. The mechanisms underlying the neuropathology of brain injury in
maternal PKU syndrome are poorly understood. In the present study, we evaluated
the possible preventive effect of the co-administration of creatine plus pyruvate
on the effects elicited by phenylalanine administration to female Wistar rats
during pregnancy and lactation on some enzymes involved in the phosphoryltransfer
network in the brain cortex and hippocampus of the offspring at 21 days of age.
Phenylalanine administration provoked diminution of body, brain cortex an
hippocampus weight and decrease of adenylate kinase, mitochondrial and cytosolic
creatine kinase activities. Co-administration of creatine plus pyruvate was
effective in the prevention of those alterations provoked by phenylalanine,
suggesting that altered energy metabolism may be important in the pathophysiology
of maternal PKU. If these alterations also occur in maternal PKU, it is possible
that pyruvate and creatine supplementation to the phenylalanine-restricted diet
might be beneficial to phenylketonuric mothers.
PMID- 24916962
TI - Genetic dynamics underlying phenotypic development of biomass yield in triticale.
AB - BACKGROUND: The nature of dynamic traits with their phenotypic plasticity
suggests that they are under the control of a dynamic genetic regulation. We
employed a precision phenotyping platform to non-invasively assess biomass yield
in a large mapping population of triticale at three developmental stages.
RESULTS: Using multiple-line cross QTL mapping we identified QTL for each of
these developmental stages which explained a considerable proportion of the
genotypic variance. Some QTL were identified at each developmental stage and thus
contribute to biomass yield throughout the studied developmental phases.
Interestingly, we also observed QTL that were only identified for one or two of
the developmental stages illustrating a temporal contribution of these QTL to the
trait. In addition, epistatic QTL were detected and the epistatic interaction
landscape was shown to dynamically change with developmental progression.
CONCLUSIONS: In summary, our results reveal the temporal dynamics of the genetic
architecture underlying biomass accumulation in triticale and emphasize the need
for a temporal assessment of dynamic traits.
PMID- 24916963
TI - Multiparametric MRI for prostate cancer: seeing is believing.
PMID- 24916964
TI - Acute mechanisms underlying antibody effects in anti-N-methyl-D-aspartate
receptor encephalitis.
AB - OBJECTIVE: A severe but treatable form of immune-mediated encephalitis is
associated with antibodies in serum and cerebrospinal fluid (CSF) against the
GluN1 subunit of the N-methyl-D-aspartate receptor (NMDAR). Prolonged exposure of
hippocampal neurons to antibodies from patients with anti-NMDAR encephalitis
caused a reversible decrease in the synaptic localization and function of NMDARs.
However, acute effects of the antibodies, fate of the internalized receptors,
type of neurons affected, and whether neurons develop compensatory homeostatic
mechanisms were unknown and are the focus of this study. METHODS: Dissociated
hippocampal neuron cultures and rodent brain sections were used for
immunocytochemical, physiological, and molecular studies. RESULTS: Patient
antibodies bind to NMDARs throughout the rodent brain, and decrease NMDAR cluster
density in both excitatory and inhibitory hippocampal neurons. They rapidly
increase the internalization rate of surface NMDAR clusters, independent of
receptor activity. This internalization likely accounts for the observed decrease
in NMDAR-mediated currents, as no evidence of direct blockade was detected. Once
internalized, antibody-bound NMDARs traffic through both recycling endosomes and
lysosomes, similar to pharmacologically induced NMDAR endocytosis. The antibodies
are responsible for receptor internalization, as their depletion from CSF
abrogates these effects in hippocampal neurons. We find that although anti-NMDAR
antibodies do not induce compensatory changes in glutamate receptor gene
expression, they cause a decrease in inhibitory synapse density onto excitatory
hippocampal neurons. INTERPRETATION: Our data support an antibody-mediated
mechanism of disease pathogenesis driven by immunoglobulin-induced receptor
internalization. Antibody-mediated downregulation of surface NMDARs engages
homeostatic synaptic plasticity mechanisms, which may inadvertently contribute to
disease progression.
PMID- 24916965
TI - The Activation of Reward Versus Relief Gambling Outcome Expectancies in Regular
Gamblers: Relations to Gambling Motives.
AB - Gambling outcome expectancies refer to the anticipated outcomes that gamblers
expect will occur from gambling (i.e., learned memory associations between
gambling cues, behavior, and outcomes). Unlike previous approaches to gambling
outcome expectancies that have predominantly focused on the valence of outcome
expectancies (positive vs. negative), the present study investigated two specific
types of positive gambling outcome expectancies: reward and relief gambling
outcome expectancies. Specifically, the primary purpose of the current research
was to examine whether gambling prime exposure activates different types of
positive gambling outcome expectancies in enhancement- versus coping-motivated
gamblers. Fifty adult, community-recruited regular gamblers performed a reaction
time (RT) task and completed a self-report expectancy scale, both designed to
assess reward and relief gambling outcome expectancies. They also completed the
Gambling Motives Questionnaire (Stewart and Zack in Addiction 103:1110-1117 2008)
to assess their levels of coping and enhancement motives for gambling. As
hypothesized, reward gambling outcome expectancies were more strongly activated
by gambling prime exposure than relief outcome expectancies on the RT task for
gamblers with high enhancement motives. On the self-report expectancy measure,
high enhancement-motivated gamblers endorsed stronger reward gambling outcome
expectancies than low enhancement-motivated gamblers, and high coping-motivated
gamblers endorsed stronger relief gambling outcome expectancies than low coping
motivated gamblers. Results suggest that automatic activation of reward gambling
outcome expectancies is particularly strong for high enhancement-motivated
gamblers. Possible reasons for the failure to observe an association between
coping gambling motives and automatic relief gambling outcome expectancies are
discussed.
PMID- 24916967
TI - Methodologic comparison of left ventricular stroke volumes in the early neonatal
period by echocardiography.
AB - Several methods for evaluating left ventricular stroke volume (SV) in neonates
using echocardiography have been reported. However, no studies on methodologic
comparison of SV with three-dimensional (3D) echocardiography are available. This
is the first detailed report on a methodologic comparison of SV in the early
neonatal period. The study group included 70 normal neonates (35 boys and 35
girls). An iE33 echocardiograph and Q-LAB supplied by Philips Electronics were
used to examine and calculate volumes. Comparisons of SV were performed using
Teichholz (T), the velocity time integral (VTI), Pombo (P), modified Simpson
(MS), and 3D methods with normal neonates who had no persistent ductus arteriosus
less than 7 days after birth. The mean SVs were 33.7 mL/m(2) (T), 30.6 mL/m(2)
(VTI), 22.0 mL/m(2) (P), 17.5 mL/m(2) (3D), and 14.9 mL/m(2) (MS) using Haycock's
formula of body surface area. The stroke volumes differed significantly depending
on the different methods. The correlation coefficient was highest between the MS
and 3D methods. The SVs of the T and VTI methods were significantly greater than
those previously reported, and it seemed inappropriate to evaluate volumes in
neonates. The 3D and MS methods were appropriate for measuring SV in neonates
during the early neonatal period.
PMID- 24916968
TI - Progesterone receptor-dependent regulation of genes in the oviducts of female
mice.
AB - Oviducts play a critical role in gamete and embryo transport, as well as
supporting early embryo development. Progesterone receptor (PGR) is a
transcription factor highly expressed in oviductal cells, while its activating
ligand, progesterone, surges to peak levels as ovulation approaches. Progesterone
is known to regulate oviduct cilia beating and muscular contractions in vitro,
but how PGR may mediate this in vivo is poorly understood. We used PGR null mice
to identify genes potentially regulated by PGR in the oviducts during the
periovulatory period. Histologically, oviducts from PGR null mice showed no gross
structural or morphological defects compared with normal littermates. However,
microarray analysis of oviducts at 8 h posthuman chorionic gonadotropin revealed
>1,000 PGR-dependent genes. Using reverse-transcription polymerase chain reaction
(RT-PCR) we selected 10 genes for validation based on their potential roles in
oocyte/embryo transport and support. Eight genes were confirmed to be
downregulated (Adamts1, Itga8, Edn3, Prlr, Ptgfr, Des, Myocd, and Actg2) and one
upregulated (Agtr2) in PGR null oviducts. Expression of these genes was also
assessed in oviducts of naturally cycling mice during ovulation and day 1 and day
4 of pregnancy. Adamts1, Itga8, Edn3, Prlr, and Ptgfr were significantly
upregulated in oviducts at ovulation/mating. However, most genes showed basal
levels of expression at other times. The exceptions were Prlr and Ptgfr, which
showed pulsatile increases on day 1 and/or day 4 of pregnancy. This is the first,
comprehensive study to elucidate putative PGR-regulated genes in the oviduct and
reveals key downstream targets potentially mediating oocyte and embryo transport.
PMID- 24916969
TI - Associations of I148M variant in PNPLA3 gene with plasma ALT levels during 2-year
follow-up in normal weight and overweight children: the PANIC Study.
AB - OBJECTIVES: PNPLA3 I148M polymorphism (rs738409) has been strongly associated
with liver fat content and plasma alanine aminotransferase (ALT) levels in obese
adults and children, but little is known about these relationships in normal
weight individuals. We studied the associations and interactions of overweight
and the PNPLA3 I148M polymorphism with plasma ALT levels during 2-year follow-up
in children. METHODS: Subjects were a population sample of 481 Caucasian children
aged 6-8 years examined at baseline and 419 children re-examined after 2-year
follow-up. Altogether, 58 (12%) of 481 children at baseline and 71 (17%) of 419
children after 2-year follow-up were overweight. We assessed plasma ALT levels
and other cardiometabolic risk factors and genotyped the PNPLA3 I148M
polymorphism. RESULTS: Being overweight and carrying PNPLA3 148M allele were
associated with increased ALT levels at baseline (P = 0.002; P = 0.033) and after
2-year follow-up (P < 0.001; P = 0.001). Being overweight (P < 0.001) and
carrying PNPLA3 148M allele (P = 0.001) were also associated with increase in ALT
levels during 2-year follow-up. PNPLA3 148M allele carriers had increased ALT
levels at baseline (P = 0.024 for interaction) and after 2-year follow-up (P =
0.002 for interaction) as well as a larger increase in ALT levels during 2-year
follow-up (P = 0.002 for interaction) if they were overweight but not if they
were normal weight. Further adjustment for clinical puberty, dietary factors,
physical activity or sedentary behaviour had little or no effect on these
associations. CONCLUSION: PNPLA3 148M allele carriers had higher plasma ALT
levels and larger increase in ALT levels during follow-up than non-carriers only
among overweight children.
PMID- 24916970
TI - The role of targeted BRCA1/BRCA2 mutation analysis in hereditary breast/ovarian
cancer families of Portuguese ancestry.
AB - We report the analysis of altogether 1050 suspected hereditary breast/ovarian
cancer (HBOC) families, 524 fully screened for BRCA1/BRCA2 mutations and 526
tested only for the most common mutations. Of the 119 families with pathogenic
mutations, 40 (33.6%) had the BRCA2 c.156_157insAlu rearrangement and 15 (12.6%)
the BRCA1 c.3331_3334del mutation, the former being specific of Portuguese
ancestry and the latter showing a founder effect in Portugal. Interestingly, the
two most common mutations were found in a significant proportion of the HBOC
families with an a priori BRCAPRO mutation probability <10%. We recommend that
all suspected HBOC families from Portugal or with Portuguese ancestry, even those
fulfilling moderately stringent clinical-criteria for genetic testing, should be
specifically analyzed for the two most common BRCA1/BRCA2 founder mutations, and
we here present a simple method for this first tier test. Screening of the entire
coding regions of BRCA1 and BRCA2 should subsequently be offered to those
families with a mutation probability >=10% if none of those founder mutations are
found.
PMID- 24916971
TI - Transcriptome and methylome profiling reveals relics of genome dominance in the
mesopolyploid Brassica oleracea.
AB - BACKGROUND: Brassica oleracea is a valuable vegetable species that has
contributed to human health and nutrition for hundreds of years and comprises
multiple distinct cultivar groups with diverse morphological and phytochemical
attributes. In addition to this phenotypic wealth, B. oleracea offers unique
insights into polyploid evolution, as it results from multiple ancestral
polyploidy events and a final Brassiceae-specific triplication event. Further, B.
oleracea represents one of the diploid genomes that formed the economically
important allopolyploid oilseed, Brassica napus. A deeper understanding of B.
oleracea genome architecture provides a foundation for crop improvement
strategies throughout the Brassica genus. RESULTS: We generate an assembly
representing 75% of the predicted B. oleracea genome using a hybrid
Illumina/Roche 454 approach. Two dense genetic maps are generated to anchor
almost 92% of the assembled scaffolds to nine pseudo-chromosomes. Over 50,000
genes are annotated and 40% of the genome predicted to be repetitive, thus
contributing to the increased genome size of B. oleracea compared to its close
relative B. rapa. A snapshot of both the leaf transcriptome and methylome allows
comparisons to be made across the triplicated sub-genomes, which resulted from
the most recent Brassiceae-specific polyploidy event. CONCLUSIONS: Differential
expression of the triplicated syntelogs and cytosine methylation levels across
the sub-genomes suggest residual marks of the genome dominance that led to the
current genome architecture. Although cytosine methylation does not correlate
with individual gene dominance, the independent methylation patterns of
triplicated copies suggest epigenetic mechanisms play a role in the functional
diversification of duplicate genes.
PMID- 24916972
TI - Novel H3K4me3 marks are enriched at human- and chimpanzee-specific cytogenetic
structures.
AB - Human and chimpanzee genomes are 98.8% identical within comparable sequences.
However, they differ structurally in nine pericentric inversions, one fusion that
originated human chromosome 2, and content and localization of heterochromatin
and lineage-specific segmental duplications. The possible functional consequences
of these cytogenetic and structural differences are not fully understood and
their possible involvement in speciation remains unclear. We show that
subtelomeric regions--regions that have a species-specific organization, are more
divergent in sequence, and are enriched in genes and recombination hotspots--are
significantly enriched for species-specific histone modifications that decorate
transcription start sites in different tissues in both human and chimpanzee. The
human lineage-specific chromosome 2 fusion point and ancestral centromere locus
as well as chromosome 1 and 18 pericentric inversion breakpoints showed
enrichment of human-specific H3K4me3 peaks in the prefrontal cortex. Our results
reveal an association between plastic regions and potential novel regulatory
elements.
PMID- 24916974
TI - Impact of home-delivered meal programs on diet and nutrition among older adults:
a review.
AB - BACKGROUND: Poor diet quality and insufficient nutrient intake is of particular
concern among older adults. The Older Americans Act of 1965 authorizes home
delivered meal services to homebound individuals aged 60 years and older.
OBJECTIVE: The purpose of this study was to review scientific evidence on the
impact of home-delivered meal services on diet and nutrition among recipients.
METHODS: Keyword and reference searches were conducted in Cochrane Library,
Google Scholar, PubMed and Web of Science. Inclusion criteria included: study
design (randomized controlled trials, cohort studies, pre-post studies, or cross
sectional studies); main outcome (food and nutrient intakes); population (home
delivered meal program participants); country (US); language (articles written in
English); and article type (peer-reviewed publications or theses). RESULTS: Eight
studies met the inclusion criteria, including two randomized controlled trial
studies (from the same intervention), one cohort study, two pre-post studies, and
three cross-sectional studies. All but two studies found home-delivered meal
programs to significantly improve diet quality, increase nutrient intakes, and
reduce food insecurity and nutritional risk among participants. Other beneficial
outcomes include increased socialization opportunities, improvement in dietary
adherence, and higher quality of life. CONCLUSIONS: Home-delivered meal programs
improve diet quality and increase nutrient intakes among participants. These
programs are also aligned with the federal cost-containment policy to rebalance
long-term care away from nursing homes to home- and community-based services by
helping older adults maintain independence and remain in their homes and
communities as their health and functioning decline.
PMID- 24916973
TI - Reconfiguration of nucleosome-depleted regions at distal regulatory elements
accompanies DNA methylation of enhancers and insulators in cancer.
AB - It is well established that cancer-associated epigenetic repression occurs
concomitant with CpG island hypermethylation and loss of nucleosomes at
promoters, but the role of nucleosome occupancy and epigenetic reprogramming at
distal regulatory elements in cancer is still poorly understood. Here, we
evaluate the scope of global epigenetic alterations at enhancers and insulator
elements in prostate and breast cancer cells using simultaneous genome-wide
mapping of DNA methylation and nucleosome occupancy (NOMe-seq). We find that the
genomic location of nucleosome-depleted regions (NDRs) is mostly cell type
specific and preferentially found at enhancers in normal cells. In cancer cells,
however, we observe a global reconfiguration of NDRs at distal regulatory
elements coupled with a substantial reorganization of the cancer methylome.
Aberrant acquisition of nucleosomes at enhancer-associated NDRs is associated
with hypermethylation and epigenetic silencing marks, and conversely, loss of
nucleosomes with demethylation and epigenetic activation. Remarkably, we show
that nucleosomes remain strongly organized and phased at many facultative distal
regulatory elements, even in the absence of a NDR as an anchor. Finally, we find
that key transcription factor (TF) binding sites also show extensive peripheral
nucleosome phasing, suggesting the potential for TFs to organize NDRs genome-wide
and contribute to deregulation of cancer epigenomes. Together, our findings
suggest that "decommissioning" of NDRs and TFs at distal regulatory elements in
cancer cells is accompanied by DNA hypermethylation susceptibility of enhancers
and insulator elements, which in turn may contribute to an altered genome-wide
architecture and epigenetic deregulation in malignancy.
PMID- 24916975
TI - Thoracic vertebral osteomyelitis: an unusual complication of Crohn's disease.
AB - Vertebral osteomyelitis complicating Crohn's disease is a rare occurrence and
mostly occurred in patients with Crohn's disease complicated by an abscess or
fistulising disease. We report a case of thoracic vertebral osteomyelitis,
occurring in a refractory Crohn's disease without contiguous abscess or fistula
with the bowel.
PMID- 24916976
TI - Persistence of hepatitis B surface antigen in blood in a chronic haemodialysis
patient following vaccination booster.
AB - Patients receiving haemodialysis are at an increased risk of hepatitis B
infection; regular screening for incident infection and vaccination of
susceptible individuals is recommended. Haemodialysis patients often require
repeated high-dose hepatitis B vaccination boosters because of impaired response.
Since the hepatitis B surface antigen is used as an immunogenic agent for
vaccination and as a marker of hepatitis B infection, it has occasionally been
detected in the blood shortly after vaccine administration and can be mistaken
for a new infection. These transient results, however, are unlikely to persist
for longer than 14 days after vaccination. We report the case of a haemodialysis
patient who tested weakly positive for hepatitis B surface antigen 52 days after
a vaccine booster. This is the longest vaccine-induced antigenaemia described in
the literature and indicates that vaccination can cause weakly positive hepatitis
B surface antigen results for longer than previously reported.
PMID- 24916977
TI - Treating enuresis in a patient with ADHD: application of a novel behavioural
modification therapy.
AB - We report the case of a 6-year-old patient diagnosed with attention-deficit
hyperactivity disorder (ADHD) and comorbid enuresis disorder, who was treated
with methylphenidate for the past 3 months and a novel behavioural modification
therapy by using an application called 'Enuresis Trainer'. This therapeutic
application is basically an interactive 'Bedwetting Calendar', based on
traditional cognitive behavioural modification therapies and positive
reinforcement systems. Enuresis is defined as the failure of voluntary control of
the urethral sphincter. The prevalence of enuresis is 15-20% in the child
population; however, children with ADHD had a 2.7 times higher incidence of
nocturnal enuresis. Bedwetting is a common cause of isolation in children as well
as loss of self-esteem and other psychological distress for the child and the
family.
PMID- 24916978
TI - Tophaceous calcium pyrophosphate dihydrate deposition disease of the knee
mimicking an aggressive soft tissue tumour.
AB - Calcium pyrophosphate crystal deposition disease (CPPD), previously pseudogout,
is the second most common crystal arthropathy after gout. There is increased
radiographic prevalence associated with age, trauma and several metabolic
disorders. Tophaceous CPPD (T-CPPD) is a rare form of CPPD which, though non
neoplastic, mimics a bone or soft tissue malignancy. T-CPPD usually presents as a
mass in the temporomandibular joint and occasionally in the paraspinal tissues,
but rarely in the joints of the extremities. We present an exceptionally rare
case of T-CPPD that was subtle on plain radiography, presenting as a soft tissue
mass in the knee joint.
PMID- 24916979
TI - Robotic-assisted laparoscopic repair of a vesicovaginal fistula: a time-consuming
novelty or an effective tool?
AB - Vesicovaginal fistulas are a rare complication of hysterectomy. When conservative
therapy fails, vaginal or abdominal repair is necessary. A robotic-assisted
laparoscopic approach can be a useful tool to repair complex fistulas. A 50-year
old woman with a vesicovaginal fistula located at the top of the vagina, was
treated with robotic-assisted laparoscopic repair. The fistula tissue was removed
from the vaginal wall and bladder, and epiploic of the sigmoid was interposed in
between. The total operation time was 104 min. The hospital stay was 3 days; no
complications occurred. Cystography 6 weeks and 6 months postoperative confirmed
a successful repair. A review of current literature is presented regarding the
application of robotic assistance during this procedure. The presented case shows
that robotic-assisted laparoscopic repair of a vesicovaginal fistula seems to be
a feasible technique with promising results.
PMID- 24916980
TI - Belly button piercings: a saving grace? A patent urachus presenting in a 17-year
old girl.
AB - We report the case of a 17-year-old girl who presented to the accident and
emergency department with dysuria and foul smelling, bloody discharge from her
umbilicus. The definitive diagnosis was that of a patent urachus, which is a
fistulous communication between the bladder and the umbilicus, usually diagnosed
in early infancy. The incidence of a patent urachus is approximately 1 in 70,000
in the general population. It is highly likely that removal of a recent belly
button piercing resulted in the acute presentation by completing the fistulous
tract to the skin. This case is of clinical relevance as the diagnosis was missed
18 months prior with a milder presentation. The recommended treatment option is
surgical excision due to the potential risk of malignant change, with urachal
adenocarcinoma accounting for 0.3% of all bladder cancers.
PMID- 24916981
TI - Unusual panuveitis in a child: toxocariasis associated with ocular myiasis.
AB - Most panuveitis in children are caused by infectious agents. A detailed clinical
history and clinical examination are helpful in the diagnosis, but specific
techniques are sometimes required to identify the causing specimen. We report the
first published case of panuveitis in a child caused by simultaneous ocular
infection by Toxocara canis and a fly larva and the innovative use of
immunodiffusion technique in the vitreous for the diagnosis.
PMID- 24916982
TI - Successful treatment of Salmonella aortitis with endovascular aortic repair and
antibiotic therapy.
AB - We report a case of a 77-year-old Asian man with a history significant for type
II diabetes mellitus and chronic kidney disease who presented with abdominal
pain, nausea, vomiting and a 20-pound weight loss of 3 weeks' duration. Further
evaluation revealed an infected mycotic aneurysm and distal abdominal aortitis as
a result of Salmonella. This case demonstrates an interesting case of aortitis
and a successful therapeutic approach.
PMID- 24916983
TI - Where's the ulcer? Spontaneous bleeding from Zenker's diverticulum.
AB - An 89-year-old man with a history of coronary artery disease status post coronary
artery bypass grafting and atrial fibrillation on aspirin and warfarin, presented
to the emergency department with a symptom of haemoptysis. He reported a history
of dysphagia for 3 months prior to presentation, but had yet to seek medical
attention. On presentation, he was hypotensive and tachycardic with a haemoglobin
of 6.6 g/dL and an International Normalised Ratio (INR) of 3.9.
Esophagogastroduodenoscopy was performed and showed active bleeding from Zenker's
diverticulum. The patient's INR was reversed with fresh frozen plasma and his
anaemia was treated with packed red blood cells. The bleeding stopped after
reversal of his INR. A barium swallow confirmed a 9 cm Zenker's diverticulum. The
patient had a diverticulectomy and cricopharyngeal myotomy. Histology showed mild
chronic inflammation of the mucosa, but no ulceration. The patient was discharged
without any further bleeding episodes.
PMID- 24916984
TI - Three stripes sign: muscle involvement with internal fibrosis in a patient with
sarcoidosis.
PMID- 24916985
TI - Atypical cutaneous leishmaniasis in the immunosuppressed.
AB - A 45-year-old woman, known case of seronegative arthritis and on
immunosuppressive therapy, presented with a 2-week history of a macular lesion on
the left calf that became papular and eventually ulcerated. The rest of the
history was otherwise unremarkable and systemic examination did not reveal any
abnormalities. The lesion was repeatedly biopsied but failed to reveal Leishmania
donovani bodies. Concurrent Leishmania IgG was positive but IgM was negative.
Leishmania IgG confirmatory testing by ELISA was negative. A biopsy from the
lesion eventually tested positive for L. donovani through PCR. The patient was
treated with sodium stibogluconate together with intravenous ciprofloxacin and
amoxicillin to cover the secondary cutaneous bacterial infection. This led to
complete resolution of the lesion.
PMID- 24916986
TI - Concurrent diffuse alveolar haemorrhage and venous thromboembolism in p-ANCA
associated vasculitis treated with rituximab.
PMID- 24916987
TI - Duchenne muscular dystrophy.
PMID- 24916988
TI - Foreword: applied computational chemistry.
PMID- 24916989
TI - Patient throughput benefits of triage liaison providers are lost in a resource
neutral model: a prospective trial.
AB - OBJECTIVES: Patient throughput is an increasingly important cause of emergency
department (ED) crowding. The authors previously reported shorter patient length
of stay (LOS) when adding a triage liaison provider, which required additional
personnel. Here, the objective was to evaluate the effect of moving a fast-track
provider to the triage liaison role. METHODS: This was a prospective
observational before-and-after study design with predefined outcomes measures. A
"standard staffing" situation (where an advanced practice provider staffed
treatment rooms in the fast track) was compared with an advanced practice
provider performing the triage liaison staffing role, with no additional staff.
Eleven intervention ("triage liaison staffing") days were compared with 11
matched control ("standard staffing") days immediately preceding the
intervention. Total LOS was measured for all adult Emergency Severity Index (ESI)
3, 4, and 5 patients (excluding behavioral health patients), and results were
compared using Wilcoxon rank-sum and chi-square tests. RESULTS: A total of 681
patients registered on control days and 599 on intervention days. There was no
significant difference in total patient LOS: median = 273 minutes, interquartile
range (IQR) 176 to 384 minutes on intervention days versus median = 253 minutes,
IQR = 175 to 365 minutes on control days (p = 0.20). There was no difference in
left-without-being-seen (LWBS) rates (n = 48, 7% on control days vs. n = 35, 6%
on intervention days; p=0.38). Secondary analysis of only ESI 3 patients showed
no difference in total LOS between periods (median = 284 minutes, IQR = 194 to
396 minutes on intervention days vs. median = 290 minutes, IQR = 217 to 397
minutes on control days; p = 0.22). There was, however, significantly greater
total LOS for ESI 4 and 5 patients during the intervention period (median = 238
minutes, IQR = 124 to 350 minutes on intervention days vs. median = 192 minutes,
IQR = 124 to 256 minutes on control days; p = 0.011). CONCLUSIONS: The previously
reported benefits on patient LOS and LWBS rates after adding a triage liaison
(resource additive) were lost when that provider was moved from fast track to the
triage role (resource neutral). While the triage liaison provider role may be a
way to improve ED throughput when additional resources are available, as
evidenced by our prior study, the triage liaison model itself does not appear to
replace the staffing of treatment rooms, as evidenced by this study.
PMID- 24916990
TI - Prospecting sugarcane resistance to Sugarcane yellow leaf virus by genome-wide
association.
AB - KEY MESSAGE: Using GWAS approaches, we detected independent resistant markers in
sugarcane towards a vectored virus disease. Based on comparative genomics,
several candidate genes potentially involved in virus/aphid/plant interactions
were pinpointed. Yellow leaf of sugarcane is an emerging viral disease whose
causal agent is a Polerovirus, the Sugarcane yellow leaf virus (SCYLV)
transmitted by aphids. To identify quantitative trait loci controlling resistance
to yellow leaf which are of direct relevance for breeding, we undertook a genome
wide association study (GWAS) on a sugarcane cultivar panel (n = 189)
representative of current breeding germplasm. This panel was fingerprinted with
3,949 polymorphic markers (DArT and AFLP). The panel was phenotyped for SCYLV
infection in leaves and stalks in two trials for two crop cycles, under natural
disease pressure prevalent in Guadeloupe. Mixed linear models including co
factors representing population structure fixed effects and pairwise kinship
random effects provided an efficient control of the risk of inflated type-I error
at a genome-wide level. Six independent markers were significantly detected in
association with SCYLV resistance phenotype. These markers explained individually
between 9 and 14 % of the disease variation of the cultivar panel. Their
frequency in the panel was relatively low (8-20 %). Among them, two markers were
detected repeatedly across the GWAS exercises based on the different disease
resistance parameters. These two markers could be blasted on Sorghum bicolor
genome and candidate genes potentially involved in plant-aphid or plant-virus
interactions were localized in the vicinity of sorghum homologs of sugarcane
markers. Our results illustrate the potential of GWAS approaches to prospect
among sugarcane germplasm for accessions likely bearing resistance alleles of
significant effect useful in breeding programs.
PMID- 24916991
TI - A unique semiconductor-metal-graphene stack design to harness charge flow for
photocatalysis.
AB - A novel semiconductor-metal-graphene stack design, which reduces interfacial
defect density as well as provides channels for charge transport, has been
demonstrated to harness the charge flow for efficient electron-hole separation.
As a direct outcome, the designed hybrid structures exhibit significantly
improved performance in photocatalytic hydrogen production from water.
PMID- 24916992
TI - Influences of evergreen gymnosperm and deciduous angiosperm tree species on the
functioning of temperate and boreal forests.
AB - It has been recognized for a long time that the overstorey composition of a
forest partly determines its biological and physical-chemical functioning. Here,
we review evidence of the influence of evergreen gymnosperm (EG) tree species and
deciduous angiosperm (DA) tree species on the water balance, physical-chemical
soil properties and biogeochemical cycling of carbon and nutrients. We used
scientific publications based on experimental designs where all species grew on
the same parent material and initial soil, and were similar in stage of stand
development, former land use and current management. We present the current state
of the art, define knowledge gaps, and briefly discuss how selection of tree
species can be used to mitigate pollution or enhance accumulation of stable
organic carbon in the soil. The presence of EGs generally induces a lower rate of
precipitation input into the soil than DAs, resulting in drier soil conditions
and lower water discharge. Soil temperature is generally not different, or
slightly lower, under an EG canopy compared to a DA canopy. Chemical properties,
such as soil pH, can also be significantly modified by taxonomic groups of tree
species. Biomass production is usually similar or lower in DA stands than in
stands of EGs. Aboveground production of dead organic matter appears to be of the
same order of magnitude between tree species groups growing on the same site.
Some DAs induce more rapid decomposition of litter than EGs because of the
chemical properties of their tissues, higher soil moisture and favourable
conditions for earthworms. Forest floors consequently tend to be thicker in EG
forests compared to DA forests. Many factors, such as litter lignin content,
influence litter decomposition and it is difficult to identify specific litter
quality parameters that distinguish litter decomposition rates of EGs from DAs.
Although it has been suggested that DAs can result in higher accumulation of soil
carbon stocks, evidence from field studies does not show any obvious trend.
Further research is required to clarify if accumulation of carbon in soils (i.e.
forest floor + mineral soil) is different between the two types of trees.
Production of belowground dead organic matter appears to be of similar magnitude
in DA and EG forests, and root decomposition rate lower under EGs than DAs.
However there are some discrepancies and still are insufficient data about
belowground pools and processes that require further research. Relatively larger
amounts of nutrients enter the soil-plant biogeochemical cycle under the
influence of EGs than DAs, but recycling of nutrients appears to be slightly
enhanced by DAs. Understanding the mechanisms underlying forest ecosystem
functioning is essential to predicting the consequences of the expected tree
species migration under global change. This knowledge can also be used as a
mitigation tool regarding carbon sequestration or management of surface waters
because the type of tree species affects forest growth, carbon, water and
nutrient cycling.
PMID- 24916993
TI - Wars versus SARS: Are epidemiological studies biased?
PMID- 24916995
TI - Naturally and stimulated levels of reactive oxygen species in cooled stallion
semen destined for artificial insemination.
AB - The decrease in foaling rates after artificial insemination with cooled semen
warrants the search for new predictors of fertility. The objectives were to
investigate levels of naturally occurring reactive oxygen species (ROS) in
cooled, stored stallion semen doses for artificial insemination (AI), and their
relationship with parameters of semen quality and with pregnancy rate. Semen was
collected from warmblood stallions (n=15) and used to prepare commercial semen
doses for AI. Sperm quality was evaluated after cooled transport to the
laboratory overnight. The results were correlated with observed foaling and
pregnancy rates. Hydroethidine and dichlorodihydrofluorescein diacetate were used
as indicators for the ROS superoxide and hydrogen peroxide, respectively. Sperm
morphology, motility, plasma membrane integrity and chromatin integrity were also
evaluated. These variables were correlated with each other and with pregnancy
rates. We found a high inter-individual variation in the ROS levels between
stallions. The proportion of live, hydrogen peroxide-negative spermatozoa was
correlated with progressive motility, whereas live hydrogen peroxide-negative
spermatozoa and chromatin damage were negatively correlated, indicating that low
levels of hydrogen peroxide were correlated with good chromatin integrity. The
percentage of dead hydrogen peroxide-positive sperm was negatively related to the
foaling rate. The negative relationships were stronger when combining results
from both assays for ROS. These results for stored semen samples indicate that
high individual variation exists for superoxide and hydrogen peroxide
measurements, and that ROS status can influence sperm quality. Thus, ROS may be
some of the factors influencing fertility. Moreover, combinations of ROS
variables improved the correlation with fertility, indicating the usefulness of
including these variables in a future model for prediction of the fertility of a
semen sample.
PMID- 24916994
TI - Place of upbringing in early childhood as related to inflammatory bowel diseases
in adulthood: a population-based cohort study in Northern Europe.
AB - BACKGROUND: The two inflammatory bowel diseases (IBD), ulcerative colitis and
Crohn's disease, has increased rapidly during the twentieth century, but the
aetiology is still poorly understood. Impaired immunological competence due to
decreasing biodiversity and altered microbial stimulation is a suggested
explanation. OBJECTIVE: Place of upbringing was used as a proxy for the level and
diversity of microbial stimulation to investigate the effects on the prevalence
of IBD in adulthood. METHODS: Respiratory Health in Northern Europe (RHINE) III
is a postal follow-up questionnaire of the European Community Respiratory Health
Survey (ECRHS) cohorts established in 1989-1992. The study population was 10,864
subjects born 1945-1971 in Denmark, Norway, Sweden, Iceland and Estonia, who
responded to questionnaires in 2000-2002 and 2010-2012. Data were analysed in
logistic and Cox regression models taking age, sex, smoking and body mass index
into consideration. RESULTS: Being born and raised on a livestock farm the first
5 years of life was associated with a lower risk of IBD compared to city living
in logistic (OR 0.54, 95 % CI 0.31; 0.94) and Cox regression models (HR 0.55, 95
% CI 0.31; 0.98). Random-effect meta-analysis did not identify geographical
difference in this association. Furthermore, there was a significant trend
comparing livestock farm living, village and city living (p < 0.01). Sub-analyses
showed that the protective effect was only present among subjects born after 1952
(OR 0.25, 95 % CI 0.11; 0.61). CONCLUSION: This study suggests a protective
effect from livestock farm living in early childhood on the occurrence of IBD in
adulthood, however only among subjects born after 1952. We speculate that lower
microbial diversity is an explanation for the findings.
PMID- 24916996
TI - Fraud in a population-based study of headache: prevention, detection and
correction.
AB - BACKGROUND: In medicine, research misconduct is historically associated with
laboratory or pharmaceutical research, but the vulnerability of epidemiological
surveys should be recognized. As these surveys underpin health policy and
allocation of limited resources, misreporting can have far-reaching implications.
We report how fraud in a nationwide headache survey occurred and how it was
discovered and rectified before it could cause harm. METHODS: The context was a
door-to-door survey to estimate the prevalence and burden of headache disorders
in Pakistan. Data were collected from all four provinces of Pakistan by non
medical interviewers and collated centrally. Measures to ensure data integrity
were preventative, detective and corrective. We carefully selected and trained
the interviewers, set rules of conduct and gave specific warnings regarding the
consequences of falsification. We employed two-fold fraud detection methods:
comparative data analysis, and face-to-face re-contact with randomly selected
participants. When fabrication was detected, data shown to be unreliable were
replaced by repeating the survey in new samples according to the original
protocol. RESULTS: Comparative analysis of datasets from the regions revealed
unfeasible prevalences and gender ratios in one (Multan). Data fabrication was
suspected. During a surprise-visit to Multan, of a random sample of addresses
selected for verification, all but one had been falsely reported. The data (from
840 cases) were discarded, and the survey repeated with new interviewers. The new
sample of 800 cases was demographically and diagnostically consistent with other
regions. CONCLUSION: Fraud in community-based surveys is seldom reported, but no
less likely to occur than in other fields of medical research. Measures should be
put in place to prevent, detect and, where necessary, correct it. In this
instance, had the data from Multan been pooled with those from other regions
before analysis, a damaging fraud might have escaped notice.
PMID- 24916997
TI - Investigating the sonophoresis effect on the permeation of diclofenac sodium
using 3D skin equivalent.
AB - Ultrasound temporally increases skin permeability by altering stratum corneum SC
function (sonophoresis). The objective of this study was to evaluate the effect
of variable ultrasound conditions on the permeation of diclofenac sodium DS with
range of physicochemical properties through EpiDermTM. Permeation studies were
carried out in vitro using Franz diffusion cell. HPLC method was used for the
determination of the concentration of diclofenac sodium in receiving compartment.
Parameters like ultrasound frequency, application time, amplitude, and mode of
sonication and distance of ultrasound horn from skin were investigated, and the
conditions where the maximum enhancement rate obtained were determined.
Application of ultrasound enhanced permeation of diclofenac sodium across
EpiDermTM by fivefolds. The most effective enhancing parameters were power
sonication of 20kHz frequency, 20% amplitude at continuous mode for 5min.
PMID- 24916998
TI - Dissociation between running economy and running performance in elite Kenyan
distance runners.
AB - The purpose of this study was to investigate the relationship between running
economy (RE) and performance in a homogenous group of competitive Kenyan distance
runners. Maximal aerobic capacity (VO2max) (68.8 +/- 3.8 ml?kg(-1)?min(-1)) was
determined on a motorised treadmill in 32 Kenyan (25.3 +/- 5.0 years; IAAF
performance score: 993 +/- 77 p) distance runners. Leg anthropometry was assessed
and moment arm of the Achilles tendon determined. While Achilles moment arm was
associated with better RE (r(2) = 0.30, P = 0.003) and upper leg length, total
leg length and total leg length to body height ratio were correlated with running
performance (r = 0.42, P = 0.025; r = 0.40, P = 0.030 and r = 0.38, P = 0.043,
respectively), RE and maximal time on treadmill (t(max)) were not associated with
running performance (r = -0.01, P = 0.965; r = 0.27; P = 0.189, respectively) in
competitive Kenyan distance runners. The dissociation between RE and running
performance in this homogenous group of runners would suggest that RE can be
compensated by other factors to maintain high performance levels and is in line
with the idea that RE is only one of many factors explaining elite running
performance.
PMID- 24916999
TI - [Treatment strategy for activating EGFR-mutated non-small cell lung cancer after
failure of first-generation EGFR-TKIs].
AB - Somatic activating mutations such as a deletion in exon 19 or the missense
mutation L858R in the tyrosine kinase domain of the epidermal growth factor
receptor(EGFR)are important mediators of cancer cell oncogenesis, proliferation,
and survival. In the last decade, two EGFR target agents have significantly
contributed to the understanding of non-small cell lung cancer (NSCLC). Gefitinib
and erlotinib are first-generation EGFR-tyrosine kinase inhibitors(EGFR-TKIs)that
play a key role in activating EGFR-mutated NSCLC. Although these reversible,
small, molecular target agents provide a significant response and survival
benefit, all responders eventually acquire resistance. Although various
mechanisms of resistance have been identified, nearly 3 0% of patients who
acquire resistance to EGFR-TKIs have an unknown mechanism of resistance.
Approximately half the patients with EGFR-mutated NSCLC who develop acquired
resistance to these molecular target agents have a secondary mutation T790M in
the threonine gatekeeper residue that coexists with a primary EGFR activating
mutation. The strategy for overcoming acquired resistance to first-generation
EGFR-TKIs is a major clinical concept. Afatinib is a second-generation EGFR
targeting agent and an irreversible pan-HER inhibitor. It may improve survival
further and help in potentially overcoming resistance to first-generation EGFR
TKIs in EGFR-mutated NSCLC. In patients harboring activating EGFR mutations,
certain treatments could be suggested for subsequent therapy after failure of
first-generation EGFR-TKIs. This review discusses novel therapeutic strategies
for activating EGFR-mutated, advanced NSCLC after failure of first-generation
EGFR-TKIs.
PMID- 24917000
TI - [Cancer screening in Japan and prostate cancer screening].
AB - National cancer control programs are structured to include four main areas:
prevention, screening, diagnosis and treatment, and palliative care. Cancer
screening is particularly important because it helps to reduce medical costs and
achieve maximum benefits for both patients and the country. There are many issues
with cancer screening in Japan that need a solution, such as low participation
rate and lack of sufficient quality control. Prostate cancer screening frequently
leads to over-diagnosis and over-treatment, and therefore it should not be part
of the 6th national screening program in Japan.
PMID- 24917001
TI - [Future perspectives on screening for prostate cancer - an experienced
Urologist's viewpoint].
AB - Recently, large prospective randomized controlled trials, the European Randomized
Study of Screening for Prostate Cancer (ERSPC)and the Goteborg study, revealed
that prostate specific antigen(PSA)-based screening can reduce mortality due to
prostate cancer. In the Goteborg study, the reduction in mortality in the
screening group during the median 14 years of follow-up was very high at 44%,
based on intention-to-screen analysis. The merits of introducing PSA-based
screening could be mortality reduction and prevention of progression to
metastatic disease, which could decrease patient quality of life (QOL).
Furthermore, the impact of screening for prostate cancer on mortality reduction
was not inferior to that of the other population- based cancer screening program
conducted in Japan, at the least. Therefore, PSA-based screening should be
undertaken both as part of population-based screening and as part of
opportunistic screening in Japan. However, as disadvantages, some participants in
the screening program might be at risk of over detection and overtreatment.
Therefore, providing a fact sheet for prostate cancer screening and an optimal
screening system with more accurate detection methods, minimally invasive
treatment, and an active surveillance strategy, which minimizes over detection,
overtreatment, and a compromise in QOL due to treatment, would be very important
issues to address for PSA screening. Although the merits of screening for
prostate cancer would increase and the disadvantages would decrease in future,
with the progress in diagnostic modalities and treatment strategies, it is
crucial that PSA-based screening be conducted in accordance with well-balanced
guidelines published by the Japanese Urological Association.
PMID- 24917002
TI - [CONs].
AB - In 2012, USA Preventive Services Task Force revised the guidelines for prostate
cancer screening and recommended against prostate specific antigen(PSA)-based
screening for prostate cancer for all age groups. This revision had a great
impact worldwide. Immediately after the announcement, many expert societies
expressed disagreement with this revision, but some of these have gradually come
to accept it. When multiple organizations develop guidelines for a particular
topic, the recommendations may differ. In this regard, the "standards for
developing trustworthy clinical practice guidelines" presented in the report by
the Institute of Medicine, will be helpful. The number of cases and the incidence
rates of prostate cancer have been rapidly increasing in Japan, especially in the
elderly population. Accordingly, sufficient information needs to be provided for
appropriate decision-making, considering the balance between the risks and
benefits, if cancer screening in the elderly population is to be implemented.
PMID- 24917003
TI - [A phase II pharmacological study of leuprolide acetate 6-month depot, TAP-144-SR
(6M), in treatment-Nazve patients with prostatic cancer who received a single
subcutaneous or intramuscular injection].
AB - The aim of this phase II study was to evaluate the pharmacokinetics,
pharmacodynamics, efficacy, and safety of a 6- month depot formulation of a
luteinizing hormone-releasing hormone (LH-RH) agonist, TAP-144-SR (6M), in
Japanese treatment-naIve patients with prostatic cancer. Each subject received a
single subcutaneous or intramuscular injection of TAP- 144-SR (6M) and was
monitored for 24 weeks. The primary endpoint was the change in serum testosterone
levels. The serum testosterone level in six subjects who received 22.5 mg of TAP
144 (SR) subcutaneously decreased below the castrate level after 4 weeks and
remained suppressed during the 24 weeks of follow-up. With regard to safety, TAP
144-SR (6M)was not associated with any additional concerns compared to those
reported for the approved 1-month and 3-month depot formulations of TAP-144-SR.
In addition, 30 mg of TAP-144-SR (6M) was administered subcutaneously to six
subjects, and, on the basis of the results, the optimal clinical dosage of TAP
144-SR (6M) in Japan was considered to be 22.5 mg. Outcomes with 22.5mg TAP-144
SR (6M) administered intramuscularly were similar to those with TAP-144-SR (6M)
administered subcutaneously.
PMID- 24917004
TI - [Estimation of peritoneal dissemination in patients with unresectable advanced or
recurrent colorectal cancer who underwent curative resection after combination
chemotherapy].
AB - In a group of 209 colorectal cancer patients with unresectable tumors, 10
patients underwent curative resection after combination chemotherapy at our
hospital between 2006 and 2012. Of these 10 patients, 5 presented with peritoneal
dissemination at the start of chemotherapy. With the exception of 1 patient with
peritoneal recurrence, peritoneal dissemination and liver metastasis were
observed in all patients at the time of diagnosis of colorectal cancer. Computed
tomography (CT) and/ or positron emission tomography-CT examination revealed
disappearance of peritoneal dissemination in response to chemotherapy, except in
1 patient with peritoneal recurrence. After combination chemotherapy, surgical
resection of liver metastases and peritoneal dissemination was performed.
Pathological and intraoperative findings indicated disappearance of peritoneal
dissemination in 3 patients and P2 grade peritoneal dissemination in 1 patient.
In the patient with peritoneal recurrence, 1 tumor was completely resected.
Interestingly, none of the 3 patients that exhibited complete disappearance of
peritoneal dissemination showed peritoneal recurrence, although 1 patient
exhibited metastases in the lung and non-regional lymph nodes. In contrast, the
patient with P2 grade peritoneal dissemination showed peritoneal recurrence and
lung metastasis. All 5 patients survived (duration from diagnosis of colorectal
cancer, 31-83 months). Herein, we report the use of combination chemotherapy to
achieve the disappearance of peritoneal dissemination, changing unresectable
colorectal cancer with peritoneal dissemination into resectable cancer.
PMID- 24917005
TI - [Risk factors for cardiotoxicity during fluorouracil and cisplatin combination
chemotherapy].
AB - We investigated the incidence of cardiovascular symptoms in patients treated with
fluorouracil and cisplatin (FP) combination chemotherapy. Between April 2010 and
March 2011, 61 patients were treated with FP therapy at the Department of
Gastroenterology, Niigata Prefectural Cancer Center Hospital. Within 1 week of
treatment and within the first or second course of therapy, six patients
developed chest pain. To investigate the risk factors for cardiotoxicity
following FP therapy, patients were divided into cardiotoxicity incidence and non
incidence groups. The prevalence of diabetes, hypertension, and heart disease was
not significantly different between the two groups. Furthermore, serum sodium and
potassium levels were not altered following FP therapy. Therefore, no definitive
risk factors for cardiotoxicity were identified. These results suggest that while
FP chemotherapy-induced nausea, vomiting, and renal damage are serious adverse
effects, further attention should also be paid to the potential cardiotoxic
effects of FP therapy.
PMID- 24917006
TI - [Awareness about medical expenses and certifications of eligibility for limited
health insurance payments for chemotherapy among clinicians at the Ehime Cancer
Care Network Priority Hospitals (Ehime Cancer Kyoten Hospitals)].
AB - The "Cancer Chemotherapy and its Management" subcommittee at the Ehime Cancer
Care Network Priority Hospitals (Ehime Cancer Kyoten Hospitals)with a focus on
medical expenses associated with chemotherapy, surveyed awareness among 98
clinicians regarding certifications of eligibility for Limited Health Insurance
Payments during cancer treatment. This committee also lists social and clinical
problems encountered at the Ehime Cancer Care Network Priority Hospitals. In our
survey, 78% of clinicians were consulted about medical expenses associated with
chemotherapy and were actively involved in resolving medical expense problems and
resulting correspondences. However, only 38% of clinicians could explain the
details of the Japanese guideline on the catastrophic cap and the certifications
of eligibility for Limited Health Insurance Payments. This knowledge deficit was
more pronounced in younger residents. From our analyses of the awareness about
medical expenses among clinicians, we recommend the establishment of the
following systems for the management of cancer patients. First, establish a
reporting system and early consultation on the catastrophic cap and the
certifications of eligibility before initiating cancer treatment. Second,
education regarding medical expenses should be mandatory for clinicians,
especially for young residents. Third, patients with cancer suffering in the
interval of the medical expense and the social system should be relieved with new
systems.
PMID- 24917007
TI - [Verification of reduction in preparation time and cost of cyclophosphamide when
using the closed-system drug transfer device].
AB - The closed-system transfer device (CSTD), which is used to prevent the exposure
of medical staff to anticancer drugs, has been reported to allow safe preparation
and administration of these drugs to patients. At many medical institutions,
however, the use of such devices is limited to select anticancer drugs. This
could be attributable to the longer preparation time compared to the conventional
injection technique with a syringe and needle, as well as the fact that the
anticancer drugs are too expensive to be covered by the remuneration available
for medical services. Against this background, we measured the time required to
prepare cyclophosphamide(CPA)and estimated the cost incurred. Our results
indicated that the preparation time for either a single dose of 100 mg CPA or a
combination of 100 mg CPA and 500 mg of another drug(100mg+500 mg group)was
significantly longer than that for 500 mg of a single drug. On the other hand,
use of a CSTD reduced the total cost (drug cost+CSTD cost)on switching to a
single dose of 500 mg, resulting in a 5-year savings as follows: 3,755,217 yen
for ChemoCLAVE(r), 6,302,622 yen for PhaSeal(r), and 2,698,451 yen for
Chemosafe(r). These findings suggest that the appropriate selection of drugs,
including a large standard dose of CPA, allows shortened preparation time and
reduced total drug cost as well as CSTD cost.
PMID- 24917008
TI - [Comparison of the chemoimmunotherapeutic effect of doxorubicin and bafilomycin
A1 in mouse neuroblastoma cells].
AB - The aim of this study was to compare the ability of the drugs doxorubicin and
Bafilomycin-A1(Baf-A1)to promote an immune reaction following the induction of
cell death in a mouse neuroblastoma model. Neuro-2a cells were cultured in medium
containing doxorubicin or Baf-A1. Bone marrow-derived dendritic cells(BM-DCs)were
co-cultured with neuro-2A cells that were grown in doxorubicin- or Baf-A1
containing media, and phagocytosis of neuro-2a cells by the BN-DCs was evaluated.
Additionally, dead neuro-2a cells were co-cultured with CD8a + lymphocytes and BM
DCs, and the proliferation of CD8a + cells was evaluated. Interferon-g(IFN
g)production was used as an indexof the immune response. Dead neuro-2a cells
treated with doxorubicin were phagocytosed effectively compared to the cells
treated with Baf-A1. However, phagocytosis of cells treated with Baf-A1 was
promoted after stimulation with CpG oligodeoxynucleotide (CpG-ODN). When CD8a +
cells were co-cultured with BM-DCs and doxorubicin-treated neuro-2a cells, CD8a +
lymphocyte proliferation was observed. There was no statistical difference in IFN
g secretion between the doxorubicin-treated and Baf-A1-treated cells. However,
after stimulation by CpG-ODN, IFN-g production was more effectively observed in
the Baf-A1-treated cells. Induction of cell death by doxorubicin or Baf-A1 could
possibly enhance antitumor immunity in patients receiving chemotherapy for
neuroblastoma. Selection of anti-tumor agents and stimulation of BM-DCs with a
toll-like receptor (TLR) agonist is considered important in promoting antitumor
activity after chemotherapy.
PMID- 24917009
TI - [Clinical experience of the use of pemetrexed (PEM) combined with cisplatin
(CDDP)/Carboplatin (CBDCA) followed by long-term maintenance PEM in patients with
non-squamous non-small cell lung cancer].
AB - This study evaluated the efficacy and safety of pemetrexed and carboplatin
(CBDCA) or cisplatin (CDDP) followed by maintenance pemetrexed for cases of
advanced non-squamous non-small cell lung cancer (NSCLC) that were treated in our
hospital. Patients received pemetrexed (PEM 500mg/m2) and CBDCA(area under the
curve, 5 mg/[mL/min]) or CDDP (75 mg/m2) every 21 days. For patients without
disease progression after 4-6 courses, pemetrexed was continued until disease
progression or unacceptable toxicity. Fourteen patients received maintenance
pemetrexed(median, 11.5 courses; range, 4- 43 courses). Among the 14 patients,
the median progression-free survival time from the beginning of the induction
treatment was 13 months. Continuation maintenance with pemetrexed after cisplatin
plus pemetrexed induction is recommended as a first-line treatment for patients
who have advanced non-squamous NSCLC and as a maintenance strategy.
PMID- 24917010
TI - [A case of primary unknown cancer difficult to distinguish from lung cancer].
AB - A 26-year-old man was admitted to our hospital with dyspnea, fever, and weight
loss. A chest X-ray showed multiple tumor shadows, and a computed tomography (CT)
scan showed swelling of the mediastinal and hilar lymph nodes, a mass in the
retroperitoneum, and an embolus in the inferior vena cava. A biopsy from the left
cervical lymph node revealeda poorly differentiated adenocarcinoma. Metastatic
lung cancer was suspected, but in spite of the examinations, its primary site was
unknown. Serum alfa-fetoprotein(AFP)was slightly elevated, but an AFP stain of
the tumor was negative. The patient's respiratory failure rapidly worsened, and
therefore, additional examinations could not be performed. The patient received
chemotherapy with carboplatin and paclitaxel. His condition improved, but the
tumor increased in size after 5 courses of chemotherapy. He received chemotherapy
with docetaxel as second-line treatment, but it was not effective. The third-line
chemotherapy regimen with carboplatin and gemcitabine was effective. In total, he
received 7 lines of chemotherapy, and he lived for approximately 12 months since
receiving the first chemotherapy regimen. After he died, we were able to perform
OCT-4 immunohistochemistry on a tumor biopsy specimen from the lymph node, which
came back positive for OCT-4. Therefore, we made a final diagnosis of
extragonadal germ cell cancer syndrome.
PMID- 24917011
TI - [A case of breast cancer treated with chemotherapy after resection of giant
ovarian metastasis].
AB - A 49-year-old female patient presented with lower abdominal pain and
constipation. Computed tomography revealed left breast cancer with lymph node
metastases, a peritoneal metastasis, bilateral hydronephrosis, and ovarian
metastasis. The giant ovarian metastasis occupied the pelvic cavity and was
responsible for her symptoms of digestive obstruction. Both ovaries were resected
as a palliative measure. Three cycles of weekly paclitaxel were successfully
administered, leading to the disappearance of malignant ascites. Hence, reduction
surgery for ovarian metastasis in the treatment of breast cancer increased the
effectiveness of the chemotherapy by improving the patient's general condition.
PMID- 24917012
TI - Locally advanced breast cancer with bleeding - two cases effectively treated with
bevacizumab plus weekly paclitaxel.
AB - Bleeding is one of the serious adverse events of bevacizumab (BV). In our report,
two patients had locally advanced breast cancer with bleeding. They received BV
plus weekly paclitaxel (PTX), and good local control was observed. Case 1: The
patient was a 50-year-old postmenopausal woman. She had left-sided breast cancer
(T4cN2cM1 [bone]-stageIV) that was negative for estrogen receptor (ER), negative
for progesterone receptor(PgR), and 1+for human epidermal growth factor receptor
2 (HER2). The patient began receiving different regimens of chemotherapy: 5
fluorouracil (5-FU), epirubicin (EPI), and cyclophosphamide(CPA), (FEC); PTX;
docetaxel (DTX); and gemcitabine (GEM) plus PTX. Subsequently, she received BV
plus PTX. The tumor was markedly reduced in size at the completion of 2 cycles.
Bleeding and exudate were also reduced. The patient had a partial response until
the sixth cycle, and good local control was obtained. However, the patient had
progressive disease at the completion of 8 cycles. Therefore, therapy was changed
to capecitabine(CAP)plus CPA, but the patient died one year after she began
treatment with BV plus PTX. Case 2: The patient was a 76-year-old postmenopausal
woman. She had right-sided breast cancer (T4bN3bM1[lung]-stageIV) that was
negative for ER, negative for PgR, and 0 for HER2. The patient began receiving
different regimens of chemotherapy: EPI and CPA (EC); and PTX. Subsequently, she
received BV plus PTX. The tumor was markedly reduced in size at the completion of
2 cycles. Bleeding and exudate were also reduced. The patient had a partial
response until the third cycle, and good local control was obtained. However, the
patient had progressive disease at the completion of 4 cycles. Therefore, therapy
was changed to CAP and DTX, but the patient died six months after she began
treatment with BV plus PTX.
PMID- 24917013
TI - [A case of advanced gastric cancer with para-aortic lymph node metastases
responding to S-1/CDDP chemotherapy that leads to a pathological complete
response].
AB - A 66-year-old man complaining of epigastralgia was referred to our hospital. We
examined the patient and diagnosed advanced gastric cancer (ML, type 3, por, cT3,
cN3, cH0, cP0, cM1[LYM], cStage IV). A poor outcome was predicted, so we
attempted induction chemotherapy and expected tumor downstaging. We chose S
1/CDDP therapy. S-1 was administered orally for 21 days, followed by CDDP div on
day 8. Total gastrectomy and lymph node dissection (D2+No. 12a, No. 13,16) was
performed using Roux-en-Y reconstruction. Histological examination of the
resected stomach and lymph nodes revealed no residual cancer cells, suggesting
complete histological remission (grade 3) according to the Japanese
classification of gastric carcinoma. The patient has been in good health without
recurrence for 12 months after surgery.
PMID- 24917014
TI - [Report of a gastric adenocarcinoma patient who developed multiple skin
metastasis after gastrectomy].
AB - A 59-year-old man with gastric cancer underwent a total gastrectomy with
splenectomy and D2 lymph node dissection. Pathological findings after the first
operation were as follows: ML, AntLess, type 3, por, pT3, ly1, v0, and M, Post, 0
II c, tub1, pT1b2, ly0, v0, pN2M0P0H0, pStage IIIA. At 3 years and 6 months after
the operation, multiple small nodules were noted on the skin of his face, neck,
body, and arms. Biopsy of a skin lesion indicated that it was a metastatic skin
cancer resulting from an adenocarcinoma. Thus, we diagnosed the lesions as skin
metastases originating from an adenocarcinoma of the stomach. We also detected
the presence of multiple metastases to the bone and lymph nodes, and we have
treated the patient with chemotherapy. Metastases to the umbilicus from gastric
cancer are termed as Sister Mary Joseph's nodules (SMJN). Although cases of SMJN
are often reported, cases of multiple metastases from gastric cancer, without
invasion to the umbilicus, are rare.
PMID- 24917015
TI - [A case of S-1/CDDP-resistant recurrent gastric cancer responsive to
capecitabine/CDDP].
AB - We present a case of recurrent gastric cancer in which stable disease status was
achieved for four months due to treatment with capecitabine/cisplatin (CDDP)after
the failure of multiple anticancer drugs including S-1/CDDP. A 67-year-old man
was diagnosed with multiple liver metastases one year after distal gastrectomy+D2
dissection for gastric cancer. S-1/CDDP was given as the first-line treatment,
followed by paclitaxel (PTX), irinotecan (CPT-11), and docetaxel (DOC). The tumor
in the anterior segment of the liver was resistant to all of these chemotherapies
except for PTX, which is why the regimens were changed. However, this tumor
shrank and achieved stable disease status for four months after capecitabine/CDDP
therapy given as fifth-line treatment. Our case suggests that S-1 and
capecitabine do not always exhibit cross-resistance. Therefore, capecitabine may
be effective in S-1-pretreated patients, and vice versa.
PMID- 24917016
TI - [A case of advanced rectal cancer that showed complete response to the addition
of XELOX+bevacizumab therapy to preoperative chemoradiotherapy with S-1/CPT-11].
AB - A 51-year-old man presented with a chief complaint of constipation. Rectal cancer
was detected up to 13 cm proximal to the anal verge. The cancer was a fully
circumferential type II tumor that was accompanied by prostate invasion and lymph
node metastasis. After sigmoid colostomy, preoperative chemoradiotherapy with S
1/irinotecan (CPT-11; total 50 Gy) was administered, resulting in tumor volume
reduction. However, because of residual invasion in some parts of the prostate,
therapy with capecitabine and oxaliplatin (XELOX) plus bevacizumab was added to
avoid pelvic exenteration. Because magnetic resonance imaging revealed no
invasion prostate after 7 courses of the therapy, abdominoperineal resection of
the rectum was performed. Pathological examination revealed no residual tumor
cells, and a pathological complete response was thus confirmed. The addition of
chemotherapy to preoperative chemoradiotherapy was considered to be an effective
strategy for locally advanced rectal cancer in this case.
PMID- 24917017
TI - [A case of pathological complete response of advanced rectal cancer with liver
metastasis accompanied by tumor thrombus following treatment with
bevacizumab/FOLFOX4 chemotherapy].
AB - A 58-year-old man underwent low anterior resection for type 2 rectal cancer with
liver metastasis. An abdominal computed tomography (CT) scan showed multiple
hepatic tumors (in S2, S3, S4, and S6) and a filling defect in the left portal
vein. Pathological examination revealed a moderately differentiated
adenocarcinoma, pSS, pN0, ly0, v3, with a tumor thrombus in the portal vein.
After surgery, the patient was treated with combined chemotherapy of
bevacizumab/Leucovorin and fluorouracil with oxaliplatin (FOLFOX4). After 11
courses of chemotherapy, tumor marker levels normalized, and the sizes of the
liver metastases and thrombus in the left portal vein remarkably decreased.
Resection of the left hepatic lobe and a partial resection of S6 were performed.
Pathological examination revealed no residual cancer cells and indicated that the
histological classification due to the chemotherapy regimen was Grade 3. The
patient was alive for 5 years after the initial surgery, without recurrence.
PMID- 24917018
TI - [Effective chemotherapy with bevacizumab/FOLFOX4 for neuroendocrine carcinoma of
the ascending colon - a case study].
AB - A 63-year-old woman underwent surgery for Stage IV cancer of the ascending colon
with multiple lymph node metastases. The pathological diagnosis was
neuroendocrine carcinoma. Following first-line chemotherapy, the patient
presented clinically with progressive disease (PD). Second-line chemotherapy with
bevacizumab/Leucovorin and 5-fluorouracil with oxaliplatin (FOLFOX4) was
effective and a partial response (PR) was achieved after 7 courses of therapy, as
determined by computed tomography (CT) examination. Neuroendocrine carcinoma is
known to be extremely malignant; however, this case suggests that chemotherapy
with bevacizumab may improve the prognosis of this disease.
PMID- 24917020
TI - [Radical resection of a locally advanced pancreatic tail adenosquamous carcinoma
treated with S-1 and gemcitabine as neoadjuvant chemotherapy - a case report].
AB - We report a case of locally advanced pancreatic tail adenosquamous carcinoma that
was treated by performing R0 resection after neoadjuvant chemotherapy with S-1
and gemcitabine. A 75-year-old man visited our hospital because of left lateral
abdominal pain. On the basis of computed tomography and endoscopic biopsy
findings, an 80-mm locally advanced pancreatic tail carcinoma with direct
invasion to the gastric upper body, splenic flexure of the colon, and left kidney
was diagnosed. Combined chemotherapy with S-1 and gemcitabine was initiated for
reduction in the tumor size. After 11 courses of treatment, computed tomography
revealed a partial response in tumor size reduction. Grade 3 neutropenia was
observed as an adverse event. Distal pancreatectomy, proximal gastrectomy,
partial resection of the descending colon, resection of the left kidney and left
adrenal gland, and D2 lymph node dissection were performed. The pathological
diagnosis was adenosquamous carcinoma in the pancreatic tail, and an R0 resection
was achieved. However, a month after surgery, multiple distant liver metastases
were observed. Neoadjuvant chemotherapy with S-1 and gemcitabine may reduce the
tumor size in locally advanced pancreatic tail adenosquamous carcinoma and
increase the R0 resection rate. However, treatment for distant metastasis is
warranted in cases of pancreatic adenosquamous carcinoma.
PMID- 24917019
TI - [A case of effective treatment with S-1/gemcitabine chemotherapy and resection
for advanced pancreatic cancer with peritoneal dissemination].
AB - A 69-year-old man was admitted to our hospital for abdominal pain. An abdominal
computed tomography(CT)scan revealed pancreatictail cancer with peritoneal
dissemination. We administered systemic chemotherapy consisting of S-1 and
gemcitabine. After 10 courses, the peritoneal dissemination had disappeared and
tumor marker levels returned to almost normal values. Thus, we considered the
patient to have an effective response, so we performed a distalpancreatectomy and
partial resection of the stomach, transverse colon, and left adrenal gland.
Eleven months after the operation, the patient is alive with no recurrence.
PMID- 24917021
TI - [Retrospective analysis of Charlson comorbidity index (CCI)].
AB - Owing to the advance of supportive care and the development of molecular targeted
therapies, the elderlies or patients who have comorbidities have been treated
more than before. The assessment of the comorbidity is indispensable to select
the appropriate treatment or the control of following therapy. Some indices to
determine them have been developed in western countries but not in Japan. The
index which is used most is the Charlson comorbidity index (CCI). This index has
never been evaluated in Japan. So we investigated the utility of the index for
Japanese population. We surveyed retrospectively 498 patients aged 65 or more
patients with colon cancer, breast cancer, lung cancer that have been treated in
our hospital during 2002-2007. According to CCI, patients are classified into
three groups and verified 1-year and 3-year survival rate. 1-year survival rate
was 76.9% in groups of 0 points, 83.5% in groups of1 -5 points, 75.0% in the
group of six or more points respectively (p=0.19). 3-year survival rate were
59.0%, 63.1%, 75.0%, respectively (p=0.46). Multivariate analysis identified age
(>= 50), Sex (man), stage (III and IV) as significant predictors for worse OS at
3-year. However, there was no significant difference in CCI. There are some items
which frequency is zero, so the items of CCI may not match to Japanese
population. Presence of existing disease is an important factor for the cancer
therapy, and it should be evaluated accurately. It is urgently necessary to
develop an evaluation method and establish the scale.
PMID- 24917022
TI - Twitch potentiation induced by two different modalities of neuromuscular
electrical stimulation: implications for motor unit recruitment.
AB - INTRODUCTION: We tested the hypothesis that twitch potentiation would be greater
following conventional (CONV) neuromuscular electrical stimulation (50-us pulse
width and 25-Hz frequency) compared with wide-pulse high-frequency (WPHF)
neuromuscular electrical stimulation (1-ms, 100-Hz) and voluntary (VOL)
contractions, because of specificities in motor unit recruitment (random in CONV
vs. random and orderly in WPHF vs. orderly in VOL). METHODS: A single twitch was
evoked by means of tibial nerve stimulation before and 2 s after CONV, WPHF, and
VOL conditioning contractions of the plantar flexors (intensity: 10% maximal
voluntary contraction; duration: 10 s) in 13 young healthy subjects. RESULTS:
Peak twitch increased (P<0.05) after CONV (+4.5+/-4.0%) and WPHF (+3.3+/-5.9%),
with no difference between the 2 modalities, whereas no changes were observed
after VOL (+0.8+/-2.6%). CONCLUSIONS: Our results demonstrate that presumed
differences in motor unit recruitment between WPHF and CONV do not seem to
influence twitch potentiation results.
PMID- 24917023
TI - Serum IL-18 as a potential specific marker for differentiating systemic juvenile
idiopathic arthritis from incomplete Kawasaki disease.
AB - Clinical features and laboratory parameters in patients with incomplete Kawasaki
disease (KD) and systemic juvenile idiopathic arthritis (s-JIA) tend to overlap.
Furthermore, there have been no definite biomarkers for these diseases. This
situation makes the clinical diagnosis of these patients difficult. In this
study, we aimed to measure serum interleukin (IL)-18 and IL-6 levels in patients
with s-JIA who were initially diagnosed with incomplete KD and compare these data
with those in patients with complete KD and arthritis. Serum IL-18 levels in
patients with s-JIA were significantly elevated compared with those in patients
with KD and arthritis. Pediatricians should be aware that the presentation of s
JIA can mimic incomplete KD. Because the clinical features overlap, a high index
of suspicion is warranted. The measurement of serum IL-18 may be useful for
differentiating s-JIA from KD.
PMID- 24917024
TI - A case of cutaneous sarcoid vasculitis with livedo and review of the literature.
PMID- 24917025
TI - Highly efficient folding of multi-disulfide proteins in superoxidizing
Escherichia coli cytoplasm.
AB - In this study, we monitored the thiol-disulfide redox potential of different
Escherichia coli strains using redox-sensitive variants of green fluorescent
protein. The cells with extreme oxidizing cytoplasm were generated by introducing
a highly efficient disulfide relay system. The developed cells have exceptionally
efficient de novo disulfide bond formation and significantly improve the
oxidative folding of the client multi-disulfide proteins. Superoxidizing E. coli
strain provides an effective method for the high-level production of recombinant
disulfide-containing proteins.
PMID- 24917026
TI - Spinal neuronal correlates of tapentadol analgesia in cancer pain: a back
translational approach.
AB - BACKGROUND: Pain is a common and highly debilitating complication for cancer
patients significantly compromising their quality of life. Cancer-induced bone
pain involves a complex interplay of multiple mechanisms including both
inflammatory and neuropathic processes and also some unique changes. Strong
opioids are a mainstay of treatments but side effects are problematic and can
compromise optimal pain control. Tapentadol is a novel dual-action drug, both
stimulating inhibitory MU-opioid receptors (MOR) and mediating noradrenaline
reuptake inhibition (NRI) leading to activation of the inhibitory alpha-2
adrenoceptor. It has been demonstrated to treat effectively both acute and
chronic pain. We here demonstrate the efficacy in a model of cancer-induced bone
pain. METHODS: MRMT-1 mammary carcinoma cells were inoculated into the tibia of 6
week-old rats and 2 weeks after, the neuronal responses to a wide range of
peripheral stimulation were evaluated. The recordings were made from wide-dynamic
range neurons in lamina V of the dorsal horn before and after administration of
tapentadol as well as antagonists of the two mechanisms, naloxone or atipamezole.
RESULTS: We found marked inhibitions of the neuronal activity with efficacy
against mechanical, thermal and electrically evoked activity following tapentadol
administration. In addition, the effects of the drug were fully reversible by
naloxone and partly by atipamezole, supporting the idea of MOR-NRI dual actions.
CONCLUSIONS: These findings add to the mechanistic understanding of cancer
induced bone pain and support the sparse clinical data indicating a possible use
of the drug as a therapeutic alternative for cancer patients with metastatic pain
complication.
PMID- 24917027
TI - Cardiac RNAi therapy using RAGE siRNA/deoxycholic acid-modified polyethylenimine
complexes for myocardial infarction.
AB - Inflammatory response in myocardial ischemia-reperfusion injury plays a critical
role in ventricular remodeling. To avoid deleterious effects of overwhelming
inflammation, we blocked the expression of receptor for advanced glycation end
products (RAGE), a key mediator of the local and systemic inflammatory responses,
via RNAi mechanism. Herein, a facial amphipathic deoxycholic acid-modified low
molecular weight polyethylenimine (DA-PEI) was used as a siRNA delivery carrier
to myocardium. The DA-PEI conjugate formed a stable complex with siRNA via
electrostatic and hydrophobic interactions. The siRAGE/DA-PEI formulation having
negligible toxicity could enhance intracellular delivery efficiency and
successfully suppress RAGE expression both in vitro and in vivo. Furthermore, the
cardiac administration of siRAGE/DA-PEI reduced apoptosis and inflammatory
cytokine release, subsequently led to attenuation of left ventricular remodeling
in rat myocardial infarction model. The potential therapeutic effects of RAGE
gene silencing on myocardial ischemia-reperfusion injury may suggest that the
siRAGE/DA-PEI delivery system can be considered as a promising strategy for
treating myocardial infarction.
PMID- 24917029
TI - The degeneration of biological cardiovascular prostheses under pro-calcific
metabolic conditions in a small animal model.
AB - In order to allow for a comparative evaluation of the in vivo degeneration of
biological and tissue-engineered heart valves and vascular grafts, a small animal
model of accelerated cardiovascular calcification is desired. Wistar rats (n =
102; 6 groups) were fed ad libitum with regular chow and 5 different regimens of
pro-calcific diet supplemented with combinations of vitamin D (VD), cholesterol
(CH) and dicalcium phosphate (PH). Moreover, cryopreserved (n = 7) or detergent
decellularized rat aortic conduit grafts (n = 6) were infrarenally implanted in
Wistar rats under severely pro-calcific conditions. The follow-up lasted up to 12
weeks. High-dose application of VD (300,000 IU/kg), CH (2%) and PH (1.5%)
resulted in elevated serum calcium and cholesterol levels as well as LDL/HDL
ratio. It increased the tissue MMP activity visualized by in situ zymography and
caused significantly aggravated calcification of the native aortic valve as well
as the aortic wall as assessed by histology and micro-computed tomography.
(Immuno)histology and quantitative real-time PCR revealed chondro-osteogenic cell
transformation, lipid deposition, nitrosative stress and low-level inflammation
to be involved in the formation of calcific lesions. Despite pro-calcific in vivo
conditions, decellularization significantly reduced calcification, inflammation
and intimal hyperplasia in aortic conduit implants. A well balanced dietary
trigger for pathologic metabolic conditions may represent an appropriate mid-term
treatment to induce calcifying degeneration of aortic valves as well as vascular
structures in the systemic circulation in rats. With respect to experimental
investigation focusing on calcifying degeneration of native or prosthetic tissue,
this regimen may serve as a valuable tool with a rapid onset and multi-facetted
character of cardiovascular degeneration.
PMID- 24917028
TI - Naturally enveloped AAV vectors for shielding neutralizing antibodies and robust
gene delivery in vivo.
AB - Recently adeno-associated virus (AAV) became the first clinically approved gene
therapy product in the western world. To develop AAV for future clinical
application in a widespread patient base, particularly in therapies which require
intravenous (i.v.) administration of vector, the virus must be able to evade pre
existing antibodies to the wild type virus. Here we demonstrate that in mice, AAV
vectors associated with extracellular vesicles (EVs) can evade human anti-AAV
neutralizing antibodies. We observed different antibody evasion and gene transfer
abilities with populations of EVs isolated by different centrifugal forces. EV
associated AAV vector (ev-AAV) was up to 136-fold more resistant over a range of
neutralizing antibody concentrations relative to standard AAV vector in vitro.
Importantly in mice, at a concentration of passively transferred human antibodies
which decreased i.v. administered standard AAV transduction of brain by 80%,
transduction of ev-AAV transduction was not reduced and was 4000-fold higher.
Finally, we show that expressing a brain targeting peptide on the EV surface
allowed significant enhancement of transduction compared to untargeted ev-AAV.
Using ev-AAV represents an effective, clinically relevant approach to evade human
neutralizing anti-AAV antibodies after systemic administration of vector.
PMID- 24917030
TI - A microchip filter device incorporating slit arrays and 3-D flow for detection of
circulating tumor cells using CAV1-EpCAM conjugated microbeads.
AB - Circulating tumor cells (CTCs) are rare cells and the presence of these cells may
indicate a poor prognosis and a high potential for metastasis. Despite highly
promising clinical applications, CTCs have not been investigated thoroughly, due
to many technical limitations faced in their isolation and identification.
Current CTC detection techniques mostly take the epithelial marker epithelial
cell adhesion molecule (EpCAM), however, accumulating evidence suggests that CTCs
show heterogeneous EpCAM expression due to the epithelial-to-mesenchymal
transition (EMT). In this study, we report that a microchip filter device
incorporating slit arrays and 3-dimensional flow that can separate heterogeneous
population of cells with marker for CTCs. To select target we cultured breast
cancer cells under prolonged mammosphere culture conditions which induced EMT
phenotype. Under these conditions, cells show upregulation of caveolin1 (CAV1)
but down-regulation of EpCAM expression. The proposed device which contains CAV1
EpCAM conjugated bead has several tens of times increased throughput. More
importantly, this platform enables the enhanced capture yield from metastatic
breast cancer patients and obtained cells that expressed various EMT markers.
Further understanding of these EMT-related phenotypes will lead to improved
detection techniques and may provide an opportunity to develop therapeutic
strategies for effective treatment and prevention of cancer metastasis.
PMID- 24917032
TI - The psychological impact of providing women with risk information for pre
eclampsia: a qualitative study.
AB - OBJECTIVE: a new first-trimester universal antenatal screening test for pre
eclampsia was introduced into two UK hospitals. The aim of this study was to
investigate the potential psychological benefits, harms and acceptability of
providing pregnant women with formal risk information for pre-eclampsia. DESIGN:
cross-sectional interview study. Women were interviewed using a theoretically
informed semi-structured schedule and transcripts were analysed thematically
using Framework Analysis. SETTING AND PARTICIPANTS: primigravid women receiving
antenatal care at a central London National Health Service Foundation Trust found
either high-risk or low-risk for pre-eclampsia. FINDINGS: 15 primigravid women
who received high risk (n=10) or low risk (n=5) results of a 12-week pre
eclampsia screening test were interviewed. Two types of coping typologies were
evident from the data. The first were 'danger managers' who had an internal sense
of control, were focused on the risk that pre-eclampsia presented to them and
exhibited information seeking, positive behaviour changes, and cognitive
reappraisal coping mechanisms. The second were 'fear managers' who had an
external sense of control, were focused on the risk that pre-eclampsia presented
to the fetus, and exhibited avoidance coping mechanisms. In addition to these
typologies, three universal themes of 'medicalising the pregnancy', 'embracing
technology' and 'acceptability' emerged from the data. KEY CONCLUSIONS: there are
potential positive and negative unintended consequences following a first
trimester screening test for pre-eclampsia. A positive consequence could be self
instigated behaviour change, whereas a negative consequence could be reduced self
monitoring of fetal movements as the pregnancy develops. IMPLICATIONS FOR
PRACTICE: this study indicates that women with an increased risk of pre-eclampsia
would be willing to engage in efforts to reduce their risk of pre-eclampsia, and
there is a potential to use this screening test as a basis for improving health
more broadly.
PMID- 24917031
TI - The use of bi-layer silk fibroin scaffolds and small intestinal submucosa
matrices to support bladder tissue regeneration in a rat model of spinal cord
injury.
AB - Adverse side-effects associated with enterocystoplasty for neurogenic bladder
reconstruction have spawned the need for the development of alternative graft
substitutes. Bi-layer silk fibroin (SF) scaffolds and small intestinal submucosa
(SIS) matrices were investigated for their ability to support bladder tissue
regeneration and function in a rat model of spinal cord injury (SCI). Bladder
augmentation was performed with each scaffold configuration in SCI animals for 10
wk of implantation and compared to non-augmented control groups (normal and SCI
alone). Animals subjected to SCI alone exhibited a 72% survival rate (13/18)
while SCI rats receiving SIS and bi-layer SF scaffolds displayed respective
survival rates of 83% (10/12) and 75% (9/12) over the course of the study period.
Histological (Masson's trichrome analysis) and immunohistochemical (IHC)
evaluations demonstrated both implant groups supported de novo formation of
smooth muscle layers with contractile protein expression [alpha-smooth muscle
actin (alpha-SMA) and SM22alpha] as well as maturation of multi-layer urothelia
expressing cytokeratin (CK) and uroplakin 3A proteins. Histomorphometric analysis
revealed bi-layer SF and SIS scaffolds respectively reconstituted 64% and 56% of
the level of alpha-SMA+ smooth muscle bundles present in SCI-alone controls,
while similar degrees of CK+ urothelium across all experimental groups were
detected. Parallel evaluations showed similar degrees of vascular area and
synaptophysin+ boutons in all regenerated tissues compared to SCI-alone controls.
In addition, improvements in certain urodynamic parameters in SCI animals, such
as decreased peak intravesical pressure, following implantation with both matrix
configurations were also observed. The data presented in this study detail the
ability of acellular SIS and bi-layer SF scaffolds to support formation of
innervated, vascularized smooth muscle and urothelial tissues in a neurogenic
bladder model.
PMID- 24917034
TI - Substituent parameters impacting isomer composition and optical properties of
dihydroindolizine molecular switches.
AB - In an attempt to understand which factors influence constitutional isomer control
of 6'- and 8'-substituted dihydroindolizines (DHIs), a series of asymmetric
pyridines was condensed with dimethyl spiro[cycloprop[2]ene-1,9'-fluorene]-2,3
dicarboxylate. The substituents on the pyridial derivatives ranged from donating
to withdrawing and demonstrated control over the isomeric ratios for all DHIs.
Substituent control proved to be selective for the highly donating amino, which
exclusively formed the 8' isomer. The same ratios were reproduced via photolytic
experiments, which suggested that the condensation reaction is dominated by the
product's thermodynamic stability. The electronic influences of the substituents
extends beyond isomer control, as it impacts the DHIs' optical properties and
electrocyclization (switching) rates to the spiro conformers. Our results allow
us to predict the syntheses and properties of future 6'- or 8'-substituted DHIs,
molecules that will be applied in understanding the role of the dipole vector
orientation to work function switching.
PMID- 24917033
TI - Validation of the VE1 immunostain for the BRAF V600E mutation in melanoma.
AB - BACKGROUND: BRAF mutation status, and therefore eligibility for BRAF inhibitors,
is currently determined by sequencing methods. We assessed the validity of VE1, a
monoclonal antibody against the BRAF V600E mutant protein, in the detection of
mutant BRAF V600E melanomas as classified by DNA pyrosequencing. METHODS: The
cases were 76 metastatic melanoma patients with only one known primary melanoma
who had had BRAF codon 600 pyrosequencing of either their primary (n = 19),
metastatic (n = 57) melanoma, or both (n = 17). All melanomas (n = 93) were
immunostained with the BRAF VE1 antibody using a red detection system. The
staining intensity of these specimens was scored from 0 to 3+ by a
dermatopathologist. Scores of 0 and 1+ were considered as negative staining while
scores of 2+ and 3+ were considered positive. RESULTS: The VE1 antibody showed a
sensitivity of 85% and a specificity of 100% as compared to DNA pyrosequencing
results. There was 100% concordance between VE1 immunostaining of primary and
metastatic melanomas from the same patient. V600K, V600Q, and V600R BRAF
melanomas did not positively stain with VE1. CONCLUSIONS: This hospital-based
study finds high sensitivity and specificity for the BRAF VE1 immunostain in
comparison to pyrosequencing in detection of BRAF V600E in melanomas.
PMID- 24917035
TI - Self-reported adherence by MARS-CZ reflects LDL cholesterol goal achievement
among statin users: validation study in the Czech Republic.
AB - RATIONALE, AIMS AND OBJECTIVES: Measuring self-reported adherence may contribute
to minimizing the risk of therapy failure. Hence, the main aim of the study was
to assess the psychometric properties of the Czech version of Medication
Adherence Report Scale (MARS-CZ) and its appropriateness for use in long-term
statin therapy where goal levels of low-density lipoprotein cholesterol (LDL-c)
should be achieved. METHODS: Anonymous structured interview was performed to
determine self-reported adherence by MARS-CZ in outpatients chronically treated
with statins. At the same time, medication records were reviewed for inclusion of
patients into groups of those who achieved and do not achieved LDL-c goal
according to cardiovascular risk level. Reliability and validity of MARS-CZ were
tested as well as the relationship between adherence and LDL-c goal achievement
was examined. RESULTS: A total of 136 (86.6%) patients completed the interview;
mean age was 66.1 years; 49.3% were male. The mean score of MARS-CZ was 24.4 and
showed positive skewing. Satisfactory internal consistency (Cronbach's
alpha=0.54), strong test-retest reliability (r=0.83, P<0.001; intra-class
correlation=0.63, 95% confidence interval: 0.35-0.81) and positive correlation
with eight-item Morisky Medication Adherence Scale (r=0.62, P<0.001) were
indicated. Low validity values were found between MARS-CZ and 12-item Short Form
Health Survey mental and physical subscales. MARS-CZ score significantly
correlated with LDL-c goal achievement (P<0.05) when all patients who achieved
LDL-c goal (35%) reported high adherence to statin. MARS-CZ score also correlated
with cardiovascular risk level and doctor's judgments on adjusting treatment
targets for each patient. CONCLUSION: This study proved MARS-CZ as an acceptable
self-reported adherence measure. In routine clinical practice, MARS-CZ could be
helpful to reveal medication non-adherence before the alteration of drug regimen
and thereby contributing to enhancement of statin therapy management.
PMID- 24917036
TI - Identification and bioinformatics analysis of microRNAs associated with stress
and immune response in serum of heat-stressed and normal Holstein cows.
AB - MicroRNAs (miRNAs) are small single-stranded non-coding RNAs that have an
important regulatory function in animal growth and developmental processes.
However, the differential expression of miRNA and the role of these miRNAs in
heat-stressed Holstein cows are still unknown. In this study, the profile of
differentially expressed miRNAs and the target genes analysis in the serum of
heat-stressed and normal Holstein cows were investigated by a Solexa deep
sequencing approach and bioinformatics. The data identified 52 differentially
expressed miRNAs in 486 known miRNAs which were changed significantly between
heat-stressed and normal Holstein cows (fold change >2, P < 0.001). Target genes
analysis showed that at least 7 miRNAs (miR-19a, miR-19b, miR-146a, miR-30a-5p,
miR-345-3p, miR-199a-3p, and miR-1246) were involved in the response to stress,
oxidative stress, development of the immune system, and immune response among the
identified 52 differentially expressed miRNAs. Five miRNAs (miR-27b, miR-181a,
miR-181b, miR-26a, and miR-146b) were involved in stress and immune responses and
the expression of five miRNAs was striking (P < 0.001). In addition, RT-qPCR and
deep-sequencing methods showed that 8 miRNAs among the 12 selected miRNAs (miR
19a, miR-19b, miR-27b, miR-30a-5p, miR-181a, miR-181b, miR-345-3p, and miR-1246)
were highly expressed in the serum of heat-stressed Holstein cows. GO and KEGG
pathway analysis showed that these differentially expressed miRNAs were involved
in a pathway that may differentially regulate the expression of stress response
and immune response genes. Our study provides an overview of miRNAs expression
profile and the interaction between miRNAs and their target genes, which will
lead to further understanding of the important roles of miRNAs in heat-stressed
Holstein cows.
PMID- 24917037
TI - Associations of pre-pregnancy body mass index and gestational weight gain with
pregnancy outcome and postpartum weight retention: a prospective observational
cohort study.
AB - BACKGROUND: Excessive gestational weight gain (GWG) is associated with pregnancy
complications, and Norwegian Health Authorities have adopted the GWG
recommendations of the US Institute of Medicine and National Research Council
(IOM). The aim of this study was to evaluate if a GWG outside the IOM
recommendation in a Norwegian population is associated with increased risk of
pregnancy complications like hypertension, low and high birth weight,
preeclampsia, emergency caesarean delivery, and maternal post-partum weight
retention (PPWR) at 6 and 18 months. METHODS: This study was performed in 56 101
pregnant women included in the prospective national Norwegian Mother and Child
Cohort Study (MoBa) in the years 1999 to 2008. Women who delivered a singleton
live born child during gestational week 37 to 42 were included. Maternal
prepregnant and postpartum weight was collected from questionnaires at 17th week
of gestation and 6 and 18 months postpartum. RESULTS: A weight gain less than the
IOM recommendations (GWG < IOM rec.) increased the risk for giving birth to a low
weight baby among normal weight nulliparous women. A weight gain higher than the
IOM recommendations (GWG > IOM rec.) significantly increased the risk of
pregnancy hypertension, a high birth weight baby, preeclampsia and emergency
cesarean delivery in both nulliparous and parous normal weight women. Similar
results were found for overweight women except for no increased risk for
gestational hypertension in parous women with GWG > IOM rec. Seventy-four percent
of the overweight nulliparous women and 66% of the obese women had a GWG > IOM
rec. A GWG > IOM rec. resulted in increased risk of PPWR > 2 kg in all weight
classes, but most women attained their prepregnant weight class by 18 months post
partum. CONCLUSIONS: For prepregnant normal weight and overweight women a GWG >
IOM rec. increased the risk for unfavorable birth outcomes in both nulliparous
and parous women. A GWG > IOM rec. increased the risk of a PPWR > 2 kg at 18
months in all weight classes. This large study supports the Norwegian Health
authorities' recommendations for normal weight and overweight women to comply
with the IOM rec.
PMID- 24917038
TI - How wasting is saving: weight loss at altitude might result from an evolutionary
adaptation.
AB - At extreme altitude (>5,000 - 5,500 m), sustained hypoxia threatens human
function and survival, and is associated with marked involuntary weight loss
(cachexia). This seems to be a coordinated response: appetite and protein
synthesis are suppressed, and muscle catabolism promoted. We hypothesise that,
rather than simply being pathophysiological dysregulation, this cachexia is
protective. Ketone bodies, synthesised during relative starvation, protect
tissues such as the brain from reduced oxygen availability by mechanisms
including the reduced generation of reactive oxygen species, improved
mitochondrial efficiency and activation of the ATP-sensitive potassium (KATP )
channel. Amino acids released from skeletal muscle also protect cells from
hypoxia, and may interact synergistically with ketones to offer added protection.
We thus propose that weight loss in hypoxia is an adaptive response: the amino
acids and ketone bodies made available act not only as metabolic substrates, but
as metabolic modulators, protecting cells from the hypoxic challenge.
PMID- 24917039
TI - Imaging neurovascular function and functional recovery after stroke in the rat
striatum using forepaw stimulation.
AB - Negative functional magnetic resonance imaging (fMRI) response in the striatum
has been observed in several studies during peripheral sensory stimulation, but
its relationship between local field potential (LFP) remains to be elucidated. We
performed cerebral blood volume (CBV) fMRI and LFP recordings in normal rats
during graded noxious forepaw stimulation at nine stimulus pulse widths. Albeit
high LFP-CBV correlation was found in the ipsilateral and contralateral sensory
cortices (r=0.89 and 0.95, respectively), the striatal CBV responses were neither
positively, nor negatively correlated with LFP (r=0.04), demonstrating that the
negative striatal CBV response is not originated from net regional inhibition. To
further identify whether this negative CBV response can serve as a marker for
striatal functional recovery, two groups of rats (n=5 each) underwent 20- and 45
minute middle cerebral artery occlusion (MCAO) were studied. No CBV response was
found in the ipsilateral striatum in both groups immediately after stroke.
Improved striatal CBV response was observed on day 28 in the 20-minute MCAO group
compared with the 45-minute MCAO group (P<0.05). This study shows that fMRI
signals could differ significantly from LFP and that the observed negative CBV
response has potential to serve as a marker for striatal functional integrity in
rats.
PMID- 24917040
TI - The vascular steal phenomenon is an incomplete contributor to negative
cerebrovascular reactivity in patients with symptomatic intracranial stenosis.
AB - 'Vascular steal' has been proposed as a compensatory mechanism in hemodynamically
compromised ischemic parenchyma. Here, independent measures of cerebral blood
flow (CBF) and blood oxygenation level-dependent (BOLD) magnetic resonance
imaging (MRI) responses to a vascular stimulus in patients with ischemic
cerebrovascular disease are recorded. Symptomatic intracranial stenosis patients
(n=40) underwent a multimodal 3.0T MRI protocol including structural (T1-weighted
and T2-weighted fluid-attenuated inversion recovery) and hemodynamic (BOLD and
CBF-weighted arterial spin labeling) functional MRI during room air and
hypercarbic gas administration. CBF changes in regions demonstrating negative
BOLD reactivity were recorded, as well as clinical correlates including
symptomatic hemisphere by infarct and lateralizing symptoms. Fifteen out of forty
participants exhibited negative BOLD reactivity. Of these, a positive
relationship was found between BOLD and CBF reactivity in unaffected (stenosis
degree<50%) cortex. In negative BOLD cerebrovascular reactivity regions, three
patients exhibited significant (P<0.01) reductions in CBF consistent with
vascular steal; six exhibited increases in CBF; and the remaining exhibited no
statistical change in CBF. Secondary findings were that negative BOLD reactivity
correlated with symptomatic hemisphere by lateralizing clinical symptoms and
prior infarcts(s). These data support the conclusion that negative hypercarbia
induced BOLD responses, frequently assigned to vascular steal, are heterogeneous
in origin with possible contributions from autoregulation and/or metabolism.
PMID- 24917041
TI - Mouse intracerebral hemorrhage models produce different degrees of initial and
delayed damage, axonal sprouting, and recovery.
AB - The mechanisms of delayed damage and recovery after intracerebral hemorrhage
(ICH) remain poorly defined. Two rodent models of ICH are commonly used:
injection of the enzyme collagenase (cICH) and injection of autologous blood
(bICH). In mice, we compared the effects of these two models on initial and
delayed tissue damage, motor system connections, and behavioral recovery. There
is no difference in lesion size between models. Injection of autologous blood
causes greater mass effect and early mortality. However, cICH produces greater
edema, inflammation, and cell death. Injection of the enzyme collagenase causes
greater loss of cortical connections and secondary shrinkage of the striatum.
Intracerebral hemorrhage occurs within the motor system connections of the
striatum. Mapping of the projections of the forelimb motor area shows a
significant sprouting in motor cortex projections only in cICH. Both models of
ICH produce deficits in forelimb motor control. Behavioral recovery occurs by 5
weeks in cICH and 9 weeks in bICH. In summary, cICH and bICH differ in almost
every facet of initial and delayed stroke pathophysiology, with cICH producing
greater initial and secondary tissue damage and greater motor system axonal
sprouting than bICH. Motor recovery occurs in both models, suggesting that motor
system axonal sprouting in cICH is not causally associated with recovery.
PMID- 24917042
TI - Dual vulnerability of TDP-43 to calpain and caspase-3 proteolysis after
neurotoxic conditions and traumatic brain injury.
AB - Transactivation response DNA-binding protein 43 (TDP-43) proteinopathy has
recently been reported in chronic traumatic encephalopathy, a neurodegenerative
condition linked to prior history of traumatic brain injury (TBI). While TDP-43
appears to be vulnerable to proteolytic modifications under neurodegenerative
conditions, the mechanism underlying the contribution of TDP-43 to the
pathogenesis of TBI remains unknown. In this study, we first mapped out the
calpain or caspase-3 TDP-43 fragmentation patterns by in vitro protease
digestion. Concurrently, in cultured cerebrocortical neurons subjected to cell
death challenges, we identified distinct TDP-43 breakdown products (BDPs) of 35,
33, and 12 kDa that were indicative of dual calpain/caspase attack.
Cerebrocortical culture incubated with calpain and caspase-fragmented TDP-43
resulted in neuronal injury. Furthermore, increased TDP-43 BDPs as well as
redistributed TDP-43 from the nucleus to the cytoplasm were observed in the mouse
cortex in two TBI models: controlled cortical impact injury and overpressure
blast-wave-induced brain injury. Finally, TDP-43 and its 35 kDa fragment levels
were also elevated in the cerebrospinal fluid (CSF) of severe TBI patients. This
is the first evidence that TDP-43 might be involved in acute neuroinjury and TBI
pathology, and that TDP-43 and its fragments may have biomarker utilities in TBI
patients.
PMID- 24917044
TI - Effect of chromatographic conditions and plasmid DNA size on the dynamic binding
capacity of a monolithic support.
AB - DNA therapies are becoming recognized alternatives for the treatment and
prevention of severe pathologies. Although most current trials have used plasmids
<10 kbp, in the future larger plasmids would be required. The purpose of this
work was to study the chromatographic behavior of nongrafted carbonyldiimidazole
monolithic disks using plasmids with different sizes under hydrophobic
conditions. Thereunto, the purification of several plasmids was performed. Higher
size plasmids needed lower ammonium sulfate concentration, due to the greater
number of interactions between the plasmids and monolith. The dynamic binding
capacity experiments for the different plasmids revealed a lower capacity for
bigger plasmids. It was also verified that the increase of salt concentration
from 2.5 to 3 M of ammonium sulfate increased the capacity. At the highest salt
concentration, a slight improvement in the capacity using lower flow rate was
observed, possibly due to compaction of plasmid molecules and its better
organization on the monolith channels. Finally, a low pH also had a positive
effect on the capacity. So, this monolithic support proved to be appropriate to
purify the supercoiled isoform of different plasmids with different sizes,
providing a valuable instrument as a purification technique.
PMID- 24917045
TI - Hepatic metabolite profiles in mice with a suboptimal selenium status.
AB - Selenium is an essential trace element and mediates its functions via various
selenoproteins such as glutathione peroxidases or thioredoxin reductases. A
suboptimal selenium supply causes metabolic disturbances and is associated with
an increased risk to develop different disorders, including cancer or
cardiovascular diseases. This study aimed to assess the impact of a suboptimal
selenium status on the hepatic metabolome of male mice analyzed by a targeted
liquid chromatography/tandem mass spectrometry and a method based on non-targeted
gas chromatography hyphenated with mass spectrometry. Feeding animals a diet with
about half of the recommended selenium content supplied as selenomethionine
caused liver glutathione peroxidase and thioredoxin reductase activities to
decline and lipid peroxidation to increase. Serum T3 thyroid hormone
concentration also declined via a reduced hepatic deiodinase activity. Metabolite
profiling revealed predominantly changes in cysteine and carbon-1 metabolism as
well as in selected lipid subclasses. In particular the concentrations of
palmitoylcarnitines and oleoylcarnitines (C18:1 and C16:1) and various
phosphatidylcholine species containing saturated fatty acids were elevated.
Increased taurine levels suggested an enhanced cysteine flux through the salvage
pathway whereas increased homocysteine levels appeared to be a consequence of a
massive down-regulation of cystathionine beta lyase (cystathionine beta synthase)
and a reduced flux through the transsulfuration pathway. The findings demonstrate
that a suboptimal selenium status causes alterations in lipid and carbon-1
metabolism in mouse liver. These changes may contribute to the development of
diseases associated with a suboptimal selenium status.
PMID- 24917046
TI - Capsaicin-induced transcriptional changes in hypothalamus and alterations in gut
microbial count in high fat diet fed mice.
AB - Obesity is a global health problem and recently it has been seen as a growing
concern for developing countries. Several bioactive dietary molecules have been
associated with amelioration of obesity and associated complications and
capsaicin is one among them. The present work is an attempt to understand and
provide evidence for the novel mechanisms of anti-obesity activity of capsaicin
in high fat diet (HFD)-fed mice. Swiss albino mice divided in three groups (n=8
10) i.e. control, HFD fed and capsaicin (2mg/kg, po)+HFD fed were administered
respective treatment for 3months. After measuring phenotypic and serum related
biochemical changes, effect of capsaicin on HFD-induced transcriptional changes
in hypothalamus, white adipose tissue (WAT) (visceral and subcutaneous), brown
adipose tissue (BAT) and gut microbial alterations was studied and quantified.
Our results suggest that, in addition to its well-known effects, oral
administration of capsaicin (a) modulates hypothalamic satiety associated
genotype, (b) alters gut microbial composition, (c) induces "browning" genotype
(BAT associated genes) in subcutaneous WAT and (d) increases expression of
thermogenesis and mitochondrial biogenesis genes in BAT. The present study
provides evidence for novel and interesting mechanisms to explain the anti
obesity effect of capsaicin.
PMID- 24917043
TI - MC1R variants increased the risk of sporadic cutaneous melanoma in darker
pigmented Caucasians: a pooled-analysis from the M-SKIP project.
AB - The MC1R gene is a key regulator of skin pigmentation. We aimed to evaluate the
association between MC1R variants and the risk of sporadic cutaneous melanoma
(CM) within the M-SKIP project, an international pooled-analysis on MC1R, skin
cancer and phenotypic characteristics. Data included 5,160 cases and 12,119
controls from 17 studies. We calculated a summary odds ratio (SOR) for the
association of each of the nine most studied MC1R variants and of variants
combined with CM by using random-effects models. Stratified analysis by
phenotypic characteristics were also performed. Melanoma risk increased with
presence of any of the main MC1R variants: the SOR for each variant ranged from
1.47 (95%CI: 1.17-1.84) for V60L to 2.74 (1.53-4.89) for D84E. Carriers of any
MC1R variant had a 66% higher risk of developing melanoma compared with wild-type
subjects (SOR; 95%CI: 1.66; 1.41-1.96) and the risk attributable to MC1R variants
was 28%. When taking into account phenotypic characteristics, we found that MC1R
associated melanoma risk increased only for darker-pigmented Caucasians: SOR
(95%CI) was 3.14 (2.06-4.80) for subjects with no freckles, no red hair and skin
Type III/IV. Our study documents the important role of all the main MC1R variants
in sporadic CM and suggests that they have a direct effect on melanoma risk,
independently on the phenotypic characteristics of carriers. This is of
particular importance for assessing preventive strategies, which may be directed
to darker-pigmented Caucasians with MC1R variants as well as to lightly
pigmented, fair-skinned subjects.
PMID- 24917047
TI - Extra virgin olive oil intake delays the development of amyotrophic lateral
sclerosis associated with reduced reticulum stress and autophagy in muscle of
SOD1G93A mice.
AB - Amyotrophic lateral sclerosis is a neurodegenerative disease associated with
mutations in antioxidant enzyme Cu/Zn-superoxide dismutase 1. Albeit there is no
treatment for this disease, new insights related to an exacerbated lipid
metabolism have been reported. In connection with the hypermetabolic lipid
status, the hypothesis whether nature of dietary fat might delay the progression
of the disease was tested by using a transgenic mouse that overexpresses the
human SOD1G93A variant. For this purpose, SOD1G93A mice were assigned randomly to
one of the following three experimental groups: (1) a standard chow diet
(control, n=21), (2) a chow diet enriched with 20% (w/w) extra virgin olive oil
(EVOO, n=22) and (3) a chow diet containing 20% palm oil (palm, n=20). They
received the diets for 8 weeks and the progression of the disease was assessed.
On the standard chow diet, average plasma cholesterol levels were lower than
those mice receiving the high-fat diets. Mice fed an EVOO diet showed a
significant higher survival and better motor performance than control mice. EVOO
group mice survived longer and showed better motor performance and larger muscle
fiber area than animals receiving palm. Moreover, the EVOO-enriched diet improved
the muscle status as shown by expression of myogenic factors (Myod1 and Myog) and
autophagy markers (LC3 and Beclin1), as well as diminished endoplasmic reticulum
(ER) stress through decreasing Atf6 and Grp78. Our results demonstrate that EVOO
may be effective in increasing survival rate, improving motor coordination
together with a potential amelioration of ER stress, autophagy and muscle damage.
PMID- 24917049
TI - The Patella Pro study - effect of a knee brace on patellofemoral pain syndrome:
design of a randomized clinical trial (DRKS-ID:DRKS00003291).
AB - BACKGROUND: Patellofemoral pain syndrome (PFPS) is a frequent cause of anterior
knee pain predominantly affecting young female patients who do not have
significant chondral damage. Development of PFPS is probably multifactorial,
involving various knee, hip, and foot kinematic factors. Biomechanical studies
have described patellar maltracking and dynamic valgus (functional malalignment)
in patients with patellofemoral pain syndrome. The literature provides evidence
for short-term use of nonsteroidal anti-inflammatory drugs; short-term medially
directed taping; and exercise programs focusing on the lower extremity, hip, and
trunk muscles. Evidence supporting the use of patellar braces is limited because
previous studies have been low quality. The aim of this article is to publish the
design of a prospective randomized trial that examines the outcomes of patients
with PFPS after treatment with a new patellar brace (Patella Pro) that applies
medially directed force on the patella. METHODS/DESIGN: For this multicenter
trial, 156 patients (adolescents and young adults) with PFPS were recruited from
orthopedic practices and orthopedic hospitals and randomly allocated to 3 months
of supervised physiotherapy in combination with the Patella Pro brace or
supervised physiotherapy alone. The primary outcome measures are pain (numerical
analog scale); knee function (Kujala score and Knee Injury and Osteoarthritis
Outcome Score); and self-reported perception of recovery at baseline, 6 weeks, 3
months, and 1 year. DISCUSSION: Only limited evidence for the use of a patellar
brace for the treatment of PFPS exists in the literature. Disputable evidence for
the use of orthoses for PFPS patients has been presented in one meta-analysis, in
which only one of three studies found the effect of a medially directed patellar
brace to be significant. Because of these low-quality studies, the authors
concluded that this evidence should be regarded as limited, and we feel there is
a need for further well-designed studies to evaluate the effect of patellar
bracing on PFPS-related pain. The Patella Pro study is a prospective randomized
trial in which supervised physiotherapy in combination with a patellar brace is
compared with supervised physiotherapy alone. This trial started in April 2012
and finished in October 2013. TRIAL REGISTRATION: DRKS-ID:DRKS00003291, January
3rd, 2012.
PMID- 24917050
TI - Retrieval speeds context fluctuation: why semantic generation enhances later
learning but hinders prior learning.
AB - In recent work, retrieval has been shown to enhance memory for events following
that retrieval. In this set of experiments, we examined the effects of
interleaved semantic retrieval on both previous and future learning within a
multilist learning paradigm. Interleaved retrieval led to enhanced memory for
lists learned following retrieval. In contrast, memory was impaired for lists
learned prior to retrieval (Experiment 1). These results are consistent with
recent work in multilist learning, directed forgetting, and list-before-last
retrieval, all of which indicate a crucial role for retrieval in enhancing mental
list segregation. This pattern of results follows clearly from a theoretical
perspective in which retrieval drives internal contextual change and in which
contextual overlap between study and test promotes better memory. Consistent with
that perspective, a 15-min delay before the final test eliminated both effects
(Experiment 2). Experiment 2 replicated the results of Experiment 1 with
materials and assessments more appropriate for educational settings: Interleaved
semantic retrieval led learners to be more able to answer questions correctly
about texts studied after a retrieval event but less able to do so for texts
studied earlier.
PMID- 24917051
TI - Thinking you can catch mental illness: how beliefs about membership attainment
and category structure influence interactions with mental health category
members.
AB - We explored beliefs about mental disorder categories that influence potential
interactions with category members. Specifically, we investigated beliefs related
to how membership in a mental disorder category is obtained (communicability and
causal origin) as well as beliefs related to the underlying reality of disorder
categories (essentialism and controllability). In Experiment 1, participants'
interaction-willingness decisions were predicted by their beliefs that a mental
disorder category was (1) communicable, (2) psychologically caused, (3)
environmentally caused, and (4) possessed all-or-none membership. With fictitious
mental disorders, people were less willing to interact with people described as
having a communicable mental disorder than with those described as possessing any
of the other factors of interest, highlighting the independent influence of these
contagion beliefs (Experiment 2). We further explored beliefs about the
communicability of mental disorders in Experiment 3 by asking participants to
generate descriptions of how mental disorders are transferred between people. Our
findings suggest the importance of understanding contagion beliefs in discovering
why people distance themselves from people diagnosed with mental disorders. More
generally, our findings help in understanding how our basic category knowledge is
used to guide interactions with category members, illustrating how knowledge is
translated into action.
PMID- 24917052
TI - Analysis of human serum and whole blood for mineral content by ICP-MS and ICP
OES: development of a mineralomics method.
AB - Minerals are inorganic compounds that are essential to the support of a variety
of biological functions. Understanding the range and variability of the content
of these minerals in biological samples can provide insight into the
relationships between mineral content and the health of individuals. In
particular, abnormal mineral content may serve as an indicator of illness. The
development of robust, reliable analytical methods for the determination of the
mineral content of biological samples is essential to developing biological
models for understanding the relationship between minerals and illnesses. This
paper describes a method for the analysis of the mineral content of small volumes
of serum and whole blood samples from healthy individuals. Interday and intraday
precision for the mineral content of the blood (250 MUL) and serum (250 MUL)
samples was measured for eight essential minerals--sodium (Na), calcium (Ca),
magnesium (Mg), potassium (K), iron (Fe), zinc (Zn), copper (Cu), and selenium
(Se)--by plasma spectrometric methods and ranged from 0.635 to 10.1% relative
standard deviation (RSD) for serum and 0.348-5.98% for whole blood. A comparison
of the determined ranges for ten serum samples and six whole blood samples
provided good agreement with literature reference ranges. The results demonstrate
that the digestion and analysis methods can be used to reliably measure the
content of these minerals and potentially of other minerals.
PMID- 24917053
TI - Percutaneous transesophageal gastro-tubing for management of gastric leakage
after sleeve gastrectomy.
AB - Gastric leakage is a challenging complication of sleeve gastrectomy. Multimodal
approaches, including drainage, clipping, and stenting of the leak, are
occasionally insufficient. We report successful management of refractory gastric
leakage using percutaneous transesophageal gastro-tubing (PTEG). Drainage and
stenting proved inadequate for treating sleeve leakage near the esophagogastric
junction in two patients. PTEG was finally performed, and enteral feeding was
started on the following day. The patients were discharged within 1 week. The
PTEG-tube was removed after confirming oral food intake. Both patients continue
to do well without recurrence. PTEG was developed for patients who are unsuitable
for percutaneous endoscopic gastrostomy. PTEG provides decompression and permits
enteral feeding in patients refractory to other endoscopic treatments. PTEG is an
option for managing intractable sleeve leakage without surgery.
PMID- 24917054
TI - A new flexible plug and play scheme for modeling, simulating, and predicting
gastric emptying.
AB - BACKGROUND: In-silico models that attempt to capture and describe the
physiological behavior of biological organisms, including humans, are
intrinsically complex and time consuming to build and simulate in a computing
environment. The level of detail of description incorporated in the model depends
on the knowledge of the system's behavior at that level. This knowledge is
gathered from the literature and/or improved by knowledge obtained from new
experiments. Thus model development is an iterative developmental procedure. The
objective of this paper is to describe a new plug and play scheme that offers
increased flexibility and ease-of-use for modeling and simulating physiological
behavior of biological organisms. METHODS: This scheme requires the modeler
(user) first to supply the structure of the interacting components and
experimental data in a tabular format. The behavior of the components described
in a mathematical form, also provided by the modeler, is externally linked during
simulation. The advantage of the plug and play scheme for modeling is that it
requires less programming effort and can be quickly adapted to newer modeling
requirements while also paving the way for dynamic model building. RESULTS: As an
illustration, the paper models the dynamics of gastric emptying behavior
experienced by humans. The flexibility to adapt the model to predict the gastric
emptying behavior under varying types of nutrient infusion in the intestine
(ileum) is demonstrated. The predictions were verified with a human intervention
study. The error in predicting the half emptying time was found to be less than
6%. CONCLUSIONS: A new plug-and-play scheme for biological systems modeling was
developed that allows changes to the modeled structure and behavior with reduced
programming effort, by abstracting the biological system into a network of
smaller sub-systems with independent behavior. In the new scheme, the modeling
and simulation becomes an automatic machine readable and executable task.
PMID- 24917055
TI - Control of three-dimensional cell adhesion by the chirality of nanofibers in
hydrogels.
AB - In the three-dimensional (3D) extracellular matrix (ECM), the influence of
nanofiber chirality on cell behavior is very important; the helical nanofibrous
structure is closely related to the relevant biological events. Herein, we
describe the use of the two enantiomers of a 1,4-benzenedicarboxamide
phenylalanine derivative as supramolecular gelators to investigate the influence
of the chirality of nanofibers on cell adhesion and proliferation in three
dimensions. It was found that left-handed helical nanofibers can increase cell
adhesion and proliferation, whereas right-handed nanofibers have the opposite
effect. These effects are ascribed to the mediation of the stereospecific
interaction between chiral nanofibers and fibronectin. The results stress the
crucial role of the chirality of nanofibers on cell-adhesion and cell
proliferation behavior in 3D environments.
PMID- 24917056
TI - Survival of the biological control agent Candida sake CPA-1 on grapes under the
influence of abiotic factors.
AB - AIMS: As reliability of preharvest applications of biological control agents
(BCAs) to control fruit pathogens is highly dependent on the survival of the
selected organism, this study aimed to describe the population dynamics of the
yeast-BCA Candida sake (Saito & Ota) CPA-1 on grape berries under the effect of
abiotic factors such as temperature, relative humidity, sunlight and rainfall.
METHODS AND RESULTS: Candida sake (5 * 10(7) CFU ml(-1)), combined with different
concentrations of the food additive Fungicover((r)), was applied on grape berry
clusters. Treated clusters were then exposed to abiotic factors in field or
laboratory conditions, recovering populations to describe C. sake population
dynamics. The addition of Fungicover significantly increased C. sake
multiplication under optimal growth conditions and improved survival under
fluctuating abiotic factors. After field applications, significant differences in
populations on grape bunches exposed or covered by fine foliage were detected.
Simulated rainfall washed off C. sake populations by 0.6-0.9 log units after 20
mm of rain volume. Allowing populations to establish for 24 h or more, prior to a
rain event, persistence on grape berries significantly increased and the effect
of rain intensity was not observable. CONCLUSIONS: Candida sake demonstrated high
survival ability under unfavourable environmental conditions and persistence
under intense rain. The study evidenced the importance of the first period just
after application for C. sake survival on grape tissues and also the protective
effect of the additive Fungicover. SIGNIFICANCE AND IMPACT OF THE STUDY: This
research provides new information on the survival of C. sake under field
conditions and its practical implications for recommending timing of spray with
this antagonist. Our results could be useful for other yeast antagonists applied
before harvest. This work, for the first time, defines population dynamics of a
yeast BCA using simulated rainfall.
PMID- 24917057
TI - Uncalibrated continuous cardiac output measurement in liver transplant patients:
LiDCOrapidTM system versus pulmonary artery catheter.
AB - OBJECTIVE: The aim of the study was to assess the level of agreement between
continuous cardiac output estimated by uncalibrated pulse-power analysis
(PulseCOLiR) and intermittent (ICO) and continuous cardiac output (CCO) obtained
using a pulmonary artery catheter (PAC). DESIGN: Prospective cohort study.
SETTING: University hospital intensive care unit. PARTICIPANTS: Twenty patients
after liver transplantation. INTERVENTION: Pulmonary artery catheters were placed
in all patients, and ICO and CCO were determined using thermodilution. PulseCOLiR
measurements were made using a LiDCOrapid(TM) (LiDCO Ltd, Cambridge, UK).
MEASUREMENTS AND MAIN RESULTS: ICO data were determined after intensive care unit
admission and every 8 hours until the 48th postoperative hour. CCO and PulseCOLiR
measurements were recorded simultaneously at these same time intervals as well as
hourly. For the 8-hour data set (140 data pairs), the mean bias and percentage
errors (PE) were, respectively,-0.10 L/min and 39.2% for ICO versus PulseCOLiR
and 0.79 L/min and 34.6% for CCO versus PulseCOLiR. For the hourly comparison of
CCO versus PulseCOLiR (980 data pairs), the bias was 0.75 L/min and the PE 37%.
To assess the ability to measure change, a 4-quadrant plot was produced for each
pair of methods. The performance of PulseCOLiR was moderate in detecting changes
in ICO. CONCLUSIONS: In conclusion, the uncalibrated PulseCOLir method should not
be used as a substitute for the thermodilution technique for the monitoring of
cardiac output in liver transplant patients.
PMID- 24917058
TI - Poor preoperative left ventricular function is associated with decreased insulin
sensitivity during cardiac surgery.
AB - OBJECTIVE: To investigate the association between preoperative left ventricular
ejection function (LVEF) and whole-body insulin sensitivity during cardiac
surgery. DESIGN: A prospective, non-randomized trial. SETTING: A tertiary care
hospital setting. PARTICIPANTS: Patients undergoing elective cardiac surgery.
INTERVENTIONS: Consenting, non-diabetic patients scheduled for elective cardiac
surgery requiring cardiopulmonary bypass (CPB) were assigned either to a group
with normal LVEF (EF>=55%) or one with low LVEF (EF<=45%) as assessed by coronary
angiography. Insulin sensitivity was assessed by the hyperinsulinemic
normoglycemic clamp technique before and towards the end of CPB. The association
between LVEF and insulin sensitivity was tested using Student t-test. RESULTS:
One hundred forty patients were studied, with 48 patients in the low and 92
patients in the normal LVEF group. Patient demographics were similar in both
groups except for preoperative LVEF (p<0.001). Before CPB, patients with normal
LVEF showed higher insulin sensitivity when compared to patients with low LVEF (p
= 0.04). Insulin sensitivity decreased towards the end of CPB (p<0.001) in both
groups, resulting in similar values. CONCLUSIONS: Poor preoperative left
ventricular function is associated with reduced insulin sensitivity before the
onset of CPB in cardiac surgery.
PMID- 24917059
TI - Decline in serum hemoglobin in the 7 days after cardiac catheterization.
AB - OBJECTIVES: Bleeding is an established complication following cardiac
catheterization and lower preoperative hemoglobin concentration is a potentially
modifiable risk factor for adverse outcomes after cardiac surgery. However,
typical changes in serum hemoglobin concentration after cardiac catheterization
are poorly defined. The authors sought to identify the pattern of change in serum
hemoglobin concentration within 7 days after cardiovascular catheterization,
factors associated with this change and any association with adverse outcomes.
DESIGN: Retrospective observational study over a 1-year period. SETTING: U.S.
academic medical institution. PARTICIPANTS: Participants were 284 adult patients
with baseline hemoglobin concentration>=12 g/dL undergoing nonemergent cardiac
surgery after cardiovascular catheterization via the femoral arterial route.
INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS: Lowest daily hemoglobin
concentration was recorded where available for up to 7 days after catheterization
and before surgery. Generalized estimating equations identified the pattern of
change in serum hemoglobin while regression models identified factors associated
with hemoglobin decline. Following cardiovascular catheterization average serum
hemoglobin declined over time, reaching a nadir 1.4 g/dL (95% CI 1.0-1.8) below
baseline 6 days after catheterization. A higher baseline hemoglobin concentration
and lower body mass index were associated with greater maximal decline in
hemoglobin concentration after catheterization. Acute preoperative hemoglobin
decline was not associated with acute kidney injury (AKI) or a composite adverse
outcome that may reflect organ ischemia. CONCLUSIONS: In a cohort of patients
before cardiac surgery serum hemoglobin declines during the week after cardiac
catheterization, with maximal average decline observed 5 to 7 days after
catheterization.
PMID- 24917060
TI - Cardiopulmonary bypass is associated with altered vascular reactivity of isolated
pulmonary artery in a porcine model: therapeutic potential of inhaled tezosentan.
AB - OBJECTIVE: Whereas it is established that endothelin-1 elicits sustained
deleterious effects on the cardiovascular system during cardiopulmonary bypass
(CPB), presently it remains unknown whether the inhaled administration of the
dual ETA and ETB antagonist tezosentan prevents the development of pulmonary
endothelial dysfunction. DESIGN: A prospective, randomized laboratory
investigation. SETTING: University research laboratory. PARTICIPANTS: Landrace
swine. INTERVENTIONS: Three groups of animals underwent a 90-minute period of
full bypass followed by a 60-minute period of reperfusion. Among treated groups,
one received tezosentan through inhalation prior to CPB, whereas the other one
received it intravenously at weaning from CPB; the third group remained
untreated. Pulmonary vascular reactivity studies, realized on a total of 285
rings, were performed in all groups, including 1 sham. MEASUREMENTS AND MAIN
RESULTS: The contractility of pulmonary arteries to prostaglandin F2alpha and to
the thromboxane A2 mimetic U46619 was preserved in animals submitted to CPB. By
contrast, there were significant increases both in the maximal contraction to
endothelin-1 and in the plasma levels of the peptide 60 minutes after
reperfusion. Tezosentan administered by inhalation or intravenously did not
prevent the development of pulmonary CPB-associated endothelial dysfunction.
However, while hemodynamic disturbances were improved with both routes, the
inhaled administration had a beneficial effect on oxygen parameters over
intravenous administration. CONCLUSIONS: Despite the blockade of the endothelin-1
pathway with tezosentan, the development of the pulmonary endothelial dysfunction
associated with CPB still occurred. However, only the inhalation route had a
significant impact on gas exchange during CPB.
PMID- 24917061
TI - Defining fluid responsiveness: a guide to patient-tailored volume titration.
PMID- 24917062
TI - Electrical bioimpedance cardiography: an old technology with new hopes for the
future.
PMID- 24917063
TI - CASE 5-2014 challenging perioperative management of a massive anterior
mediastinal mass in a symptomatic adult.
PMID- 24917064
TI - Pro: the total artificial heart: is it an appropriate replacement for existing
biventricular assist devices?
PMID- 24917065
TI - In reply to professor Bolsin and colleagues.
PMID- 24917066
TI - Decreasing end-tidal carbon dioxide in a case of ascending aortic aneurysm.
PMID- 24917067
TI - Chlamydia Trachomatis and Neisseria Gonorrhoeae prevalence among women of
reproductive age living in urogenital schistosomiasis endemic area in Ghana.
AB - BACKGROUND: Many studies have shown an overlap in the epidemiology of sexually
transmitted infections (STIs) and urogenital schistosomiasis among young women
living in schistosomiasis endemic areas. Yet we found no study assessing the
prevalence of STI infections in urogenital schistosomiasis endemic areas in
Ghana. As part of an epidemiological study on urogenital schistosomiasis and HIV,
we sought to assess the prevalence of both Chlamydia trachomatis (CT) and
Neisseria gonorhoeae (NG) infections among women living in schistosomiasis
endemic communities and explore the relationship between the sexually transmitted
infections (STIs) and demographic characteristics, sexual behaviour and self
reported symptoms. METHODS: This was a cross-sectional study in which
endocervical samples were collected from 191 women aged 15-49 years from October
2005 to March 2006. Samples were examined for CT and NG using Polymerase Chain
Reaction (PCR). A structured questionnaire was also used to elicit information on
study participant's gynaecological and obstetric history and symptoms for genital
infection. Chi-square test and binary logistic regression were used to assess
association between CT and NG and other variables such as age, sexual behaviour
and self-reported symptoms. RESULTS: The overall prevalence of CT and NG were
6.3% and 2.6% respectively.The highest prevalence rates of CT were in the 15 to
19 year group while only individuals between 15 and 39 years were positive for
NG. There was no association between CT and age, contraceptive use and the other
variables assessed. NG on the other hand was found to be associated with age,
number of births and number of sexual partners only by chi-square test.
CONCLUSIONS: Our research revealed higher prevalence of CT and NG infections when
compared to previous studies conducted among higher risk groups in non-urogenital
schistosomiasis areas in Ghana. We therefore recommend further studies of these
STIs in urogenital schistosomiasis endemic areas in the country.
PMID- 24917068
TI - Polymorphism in gyrA is associated to quinolones resistance in Chilean
Piscirickettsia salmonis field isolates.
PMID- 24917070
TI - Identification of FOPDT and SOPDT process dynamics using closed loop test.
AB - In this paper, identification of stable and unstable first order, second order
overdamped and underdamped process dynamics with time delay is presented. Relay
with hysteresis is used to induce a limit cycle output and using this
information, unknown process model parameters are estimated. State space based
generalized analytical expressions are derived to achieve accurate results. To
show the performance of the proposed method expressions are also derived for
systems with a zero. In real time systems, measurement noise is an important
issue during identification of process dynamics. A relay with hysteresis reduces
the effect of measurement noise, in addition a new multiloop control strategy is
proposed to recover the original limit cycle. Simulation results are included to
validate the effectiveness of the proposed method.
PMID- 24917069
TI - Morphometric analysis of variation in the ribs with age and sex.
AB - Rib cage morphology changes with age and sex are expected to affect thoracic
injury mechanisms and tolerance, particularly for vulnerable populations such as
pediatrics and the elderly. The size and shape variation of the external geometry
of the ribs was characterized for males and females aged 0-100 years. Computed
tomography (CT) scans from 339 subjects were analyzed to collect between 2700 and
10 400 homologous landmarks from each rib. Rib landmarks were analyzed using the
geometric morphometric technique known as Procrustes superimposition. Age- and
sex-specific functions of 3D rib morphology were produced representing the
combined size and shape variation and the isolated shape variation. Statistically
significant changes in the size and shape variation (P < 0.0001) and shape
variation (P < 0.0053) of all 24 ribs were found to occur with age in males and
females. Rib geometry, location, and orientation varied according to the rib
level. From birth through adolescence, the rib cage experienced an increase in
size, a decrease in thoracic kyphosis, and inferior rotation of the ribs relative
to the spine within the sagittal plane. From young adulthood into elderly age,
the rib cage experienced increased thoracic kyphosis and superior rotation of the
ribs relative to the spine within the sagittal plane. The increased roundedness
of the rib cage and horizontal angling of the ribs relative to the spine with age
influences the biomechanical response of the thorax. With the plane of the rib
oriented more horizontally, loading applied in the anterior-posterior direction
will result in increased deformation within the plane of the rib and an increased
risk for rib fractures. Thus, morphological changes may be a contributing factor
to the increased incidence of rib fractures in the elderly. The morphological
functions derived in this study capture substantially more information on
thoracic skeleton morphology variation with age and sex than is currently
available in the literature. The developed models of rib cage anatomy can be used
to study age and sex variations in thoracic injury patterns due to motor vehicle
crashes or falls, and clinically relevant changes due to chronic obstructive
pulmonary disease or other diseases evidenced by structural and anatomic changes
to the chest.
PMID- 24917071
TI - Robust adaptive tracking control for nonholonomic mobile manipulator with
uncertainties.
AB - In this paper, mobile manipulator is divided into two subsystems, that is,
nonholonomic mobile platform subsystem and holonomic manipulator subsystem.
First, the kinematic controller of the mobile platform is derived to obtain a
desired velocity. Second, regarding the coupling between the two subsystems as
disturbances, Lyapunov functions of the two subsystems are designed respectively.
Third, a robust adaptive tracking controller is proposed to deal with the unknown
upper bounds of parameter uncertainties and disturbances. According to the
Lyapunov stability theory, the derived robust adaptive controller guarantees
global stability of the closed-loop system, and the tracking errors and adaptive
coefficient errors are all bounded. Finally, simulation results show that the
proposed robust adaptive tracking controller for nonholonomic mobile manipulator
is effective and has good tracking capacity.
PMID- 24917072
TI - Paris polyphylla: chemical and biological prospectives.
AB - Paris polyphylla J.E. Smith is extensively used in traditional systems of Indian
and Chinese medicines mainly for its anticancerous property. The national and
international demand for P. polyphylla is constantly increasing and most of the
supplies come from wild. Illegal and unscientific exploitation coupled with
habitat destruction decreases the natural population of the herb, as a
consequence this species comes under vulnerable category. Restoration and
conservation of the natural population of this potential herb is prerequisites.
This article aims to provide an overview on chemical and biological prospective
of P. polyphylla. Secondary metabolites such as daucosterol, polyphyllin D, beta
ecdysterone, Paris saponins I, II, V, VI, VII, H, dioscin, oligosaccharides,
heptasaccharide, octasaccharide, trigofoenoside A, protogracillin, Paris
yunnanosides G-J, padelaoside B, pinnatasterone, formosanin C and 20
hydroxyecdyson saponins have been isolated from P. polyphylla. Several biological
activities such as anticancerous, antitumor, cytotoxic, anthelmintic,
antimicrobial, antiangiogenic, immunostimulating, contractile and hemostatic have
also been reported. Consequently, this review will be helpful to the researcher
and scientist for further research.
PMID- 24917073
TI - The Work-Family Interface as a Mediator between Job Demands and Employee
Behaviour.
AB - In this investigation, we draw from the job demands-resource model and
conservation of resources theory to examine the relationship between job demands,
the work-family interface and worker behaviours. Data collected from an online
survey of workers revealed that hindrance demands indirectly increase
interpersonal and organizational deviance through work interference with family
and family interference with work. Challenge demands indirectly predict
interpersonal and organizational deviance through work interference with family.
Finally, hindrance demands indirectly decreased individual-directed
organizational citizenship behaviours through work-to-family enrichment. Taken
together, these results stress the relevance of job demand management and
resource drain/acquisition to counterproductive and extra-role behaviours.
PMID- 24917074
TI - A prospective observational multicenter study of balloon angioplasty for the
treatment of native and recurrent coarctation of the aorta.
AB - OBJECTIVES: Balloon angioplasty (BA) is an important treatment option for
coarctation of the aorta. The congenital cardiovascular interventional study
consortium (CCISC) represents a multi-institutional and multi-national effort to
prospectively investigate congenital cardiac interventions. A prospective
observational analysis of the efficacy and safety of balloon aortic angioplasty
was conducted. METHODS: Data were collected prospectively from 36 CCISC sites
from 2004 to 2012. One hundred and thirty patients underwent BA for native (n =
76) and recurrent (n = 54) coarctation. Acute, short-term, and intermediate
outcomes are described for BA performed in the setting of native and recurrent
coarctation of the aorta. Outcome measures included residual upper to lower
extremity blood pressure gradient (ULG), use of antihypertensive medications,
aortic wall injury, reobstruction, and need for reintervention. RESULTS: There
was no procedural mortality. Acutely in native and recurrent coarctation, BA
achieved an ULG less than 15 mm Hg in 73-80% and to less than 10 mm Hg in 54-68%
of patients, respectively. At intermediate follow-up, ULG further improved,
particularly for those who underwent initial reintervention for recurrent
coarctation. No significant differences in aortic wall complications were seen
and intervention free survival was similar for both groups. Following
angioplasty, there was no significant difference in aortic wall complications;
however follow up integrated imaging decreased over time. CONCLUSIONS: BA is a
safe and effective treatment for coarctation of the aorta acutely and at
intermediate term. Although aortic injury occurred in patients with both native
and recurrent coarctation, at intermediate follow-up, aneurysm was noted more
often in those with initial intervention for native coarctation.
PMID- 24917075
TI - Change in donor artery fractional flow reserve after recanalization of a chronic
total occlusion: not as impressive as some might have us believe.
PMID- 24917077
TI - Work-related symptoms in indoor environments: a puzzling problem for the
occupational physician.
AB - PURPOSES: People who work indoors often manifest symptoms related to the work
environment. Sick building syndrome (SBS) is a condition closely associated with
sealed, air-conditioned workplaces and is especially frequent in countries with a
cold climate. However, it is also present in Mediterranean countries where
artificial ventilation accompanies the natural one. The significance of personal
factors, air quality perception, and psychosocial work conditions in relation to
SBS and other work-related symptoms needs to be clarified. METHODS: Workers from
28 companies in the Latium region of Italy were invited to answer a questionnaire
during their routine medical examination at the workplace. A total of 4,029 out
of 4,129 took part in the survey, giving a response rate of 97.6 %. RESULTS: A
high percentage of workers (31.9 %) reported symptoms related to work, and two
thirds of the employees (65.4 %) complained of environmental problems. In
logistic regression models, personal factors (gender, smoking habit, age, and
atopy), anxiety and depression, environmental discomfort and job strain were
associated both with symptoms of SBS and other work-related symptoms. There was a
significant association between the perception of stuffy air, dry air, and
electricity and cases of SBS. Some associations between symptoms and the work
environment lacked biological plausibility. CONCLUSIONS: The occupational
physician's task is to systematically monitor workers' symptoms and their
perception of the work environment in order to analyze this relationship and
indicates the best mode of preventing illness/discomfort. This paper provides a
method and reference values.
PMID- 24917078
TI - Quality-adjusted time without symptoms or toxicity (Q-TWiST): patient-reported
outcome or mathematical model? A systematic review in cancer.
AB - OBJECTIVE: Successful cancer treatment is defined as an increase in overall
survival and/or progression-free survival. Despite their importance, these
metrics omit patient quality of life. Quality-adjusted time without symptoms or
toxicity (Q-TWiST) was developed to adjust survival gained, accounting for
quality of life. The purpose of this systematic review was to assess the methods
reported in cancer literature to determine Q-TWiST values and how these are
currently translated to the clinic. METHODS: The Preferred Reporting Items for
Systematic Reviews and Meta-analyses guidelines were used to conduct a systematic
review of studies indexed on MEDLINE and Web of Science through April 2013.
Cancer studies that measured Q-TWiST either as a primary outcome or
retrospectively and determined utility coefficients from a patient population
were identified, and their methods reviewed to determine how the utility
coefficient was calculated. Additionally, other relevant factors such as
definitions of health states and significant findings were collected and
summarized. RESULTS: Out of 284 studies, 11 were identified that calculated
patient-defined utility coefficients. Several methods to determine utility
coefficients were reported, and multiple definitions of health state toxicity
were applied. Of these studies, seven reported significant differences (p < 0.05)
in quality-adjusted survival. No studies, however, directly discussed the
clinical relevance of their findings. CONCLUSIONS: Currently, Q-TWiST is utilized
as a mathematical theory rather than a clinical tool. Standardization of
terminology plus reliability and validity testing of determining both utility
coefficients and time frame definitions must be performed before Q-TWiST can
become clinically useful to physicians and patients alike for making treatment
decisions.
PMID- 24917079
TI - A highly reactive and enhanced thermal stability nanocomposite catalyst based on
Au nanoparticles assembled in the inner surface of SiO2 hollow nanotubes.
AB - A novel hollow tubular SiO2-Au catalyst with a mesoporous structure (HTMS) was
successfully fabricated by a combination of the sol-gel and calcination
processes. This method involves the preparation of modified MWCNTs, the
sequential deposition of Au and then silica layers through the sol-gel processes,
and finally the calcination at the desired temperature to remove the MWCNTs. The
obtained samples were characterized by several techniques, such as N2 adsorption
desorption isotherms, transmission electron microscopy, energy-dispersive X-ray
spectroscopy analysis, UV-Vis spectra, X-ray diffraction and Thermogravimetric
Analysis (TGA). The results established that a different calcination temperature
has an obvious influence on the morphology and structure of the final hollow
tubular. When the temperature is 550 degrees C, the obtained materials exhibit
the distinctly tubular structure because of the decomposition of MWCNTs and the
preservation of hollow tubes. Furthermore, in the catalyst system, the mesoporous
silica layer can act as the physical barrier to resist the agglomeration and
sintering of Au nanoparticles even after being subjected to harsh treatments up
to 650 degrees C. In our experiments, the catalytic activities of HTMS SiO2-Au
were investigated by photometrically monitoring the reduction of p-nitrophenol (p
NPh) by an excess of NaBH4. It was found that the prepared HTMS SiO2-Au catalysts
exhibited a high catalytic activity and this sample could be easily recycled
without a decrease of the catalytic activities in the reaction.
PMID- 24917081
TI - Abstracts for the 3rd International Forum INNOPSY 09 (INNOVATION IN PSYCHIATRY)
Impact of Early Intervention and Duration of Treatment on the Outcome of
Psychiatric Disorders Milan, Italy, 18-20 November.
PMID- 24917082
TI - Loss to follow-up in a cohort of HIV-infected patients in a regional referral
outpatient clinic in Brazil.
AB - One of the main aspects related to non-adherence to combined antiretroviral
therapy (cART) for patients infected with the Human Immunodeficiency Virus (HIV)
refers to the abandonment of outpatient care. This study was aimed to estimate
the loss to follow-up in outpatient HIV care at a Regional Referral Clinic (SAE)
for HIV/AIDS in the city of Juiz de Fora, Brazil, and to identify associated
factors and predictors. This is a prospective cohort of patients older than 18
years, under cART and regular outpatient care. The study included patients who
attended medical visits during July-August 2011. Those who did not return to the
clinic for new medical appointments within 90 days after the sixth month of
follow up were considered lost to follow-up in outpatient care. Variables with P
value <=0.25 in the univariate analysis were included in a logistic regression
model, adopting a significance level of 0.05. Among the 250 patients included in
the study, 44 (17.6 %) were lost to follow up in outpatient care. Among these, 38
(86.4 %) were located in the cART delivery database system (SICLOM). Younger
patients (<=43 versus >43 years) (OR 2.30 CI 1.06-5.00, P = 0.04), and patients
attended by physician "E", when compared with physicians "A", "B", "C" or "D" (OR
5.90 CI 2.64-13.18, P = 0.00) were more likely to be lost to follow-up. Patients
admitted in the service for 7 years or more were also more likely to be to lost
to follow-up (OR 2.27 CI 1.2-4.4, P = 0.01), although this association did not
remain statistically significant in the multivariate analysis. Although the
purpose of the study, to identify individual factors associated to loss to follow
up, positives associations with a specific physician and with patients admitted
in the service for 7 years or more suggest organizational factors. Although the
majority of patients lost to follow-up in outpatient care were detected by
SICLOM, a detectable viral load in most of these patients suggest a quality of
outpatient HIV care proved ineffective, despite the availability of cART. We
conclude on the need for further studies to investigate structural factors
associated to loss to follow-up when enhanced retention strategies should be
implemented in order to maintain an effective outpatient HIV care.
PMID- 24917080
TI - Efficacy and safety of fractional carbon dioxide laser for treatment of unwanted
facial freckles in phototypes II-IV: a pilot study.
AB - Facial freckles are a cosmetic concern to Egyptians, particularly young females.
Several therapeutic lines exist with variable response rates and limitations.
Fractional carbon dioxide (FCO2) laser provides minimal ablation and therefore
less down time and less side effects. The efficacy and safety of this laser
technology have still not been studied in freckles. The aim of this study is to
assess the efficacy and safety of FCO2 laser in the treatment of unwanted facial
freckles in Egyptians. Twenty patients undergone a single session of FCO2 laser
and then were followed up clinically a month later. Photographs were taken before
treatment and at follow-up visit and were assessed by three blinded
investigators. Percent of global improvement was measured on a 4-point grading
scale. Patient's satisfaction and adverse events were recorded. Two patients (10
%) showed grade 1 improvement, while eight patients (40 %) showed grade 2
improvement. Nine patients (45 %) showed grade 3 improvement, and only one
patient (5 %) showed grade 4 improvement. FCO2 laser resurfacing is effective and
safe in treatment of facial freckles in skin phototypes II-IV. It can offer a
more practical alternative to topical treatments, and a cheaper alternative to Q
switched lasers.
PMID- 24917083
TI - 2012 American Geriatrics Society Beers criteria: enhanced applicability for
detecting potentially inappropriate medications in European older adults? A
comparison with the Screening Tool of Older Person's Potentially Inappropriate
Prescriptions.
AB - OBJECTIVES: To determine the prevalence of potentially inappropriate medications
(PIMs) and related factors through a comparative analysis of the Screening Tool
of Older Person's Potentially Inappropriate Prescriptions (STOPP), the 2003 Beers
criteria, and the 2012 AGS update of the Beers criteria. DESIGN: Cross-sectional.
SETTING: Primary care. PARTICIPANTS: Community-dwelling persons aged 65 and older
who live on the island of Lanzarote, Spain (N = 407). MEASUREMENTS:
Sociodemographic characteristics; independence in activities of daily living;
cognitive function; Geriatric Depression Scale; clinical diagnoses; and complete
data on indication, dosage, and length of drug treatments. One thousand eight
hundred seventh-two prescriptions were examined, and the rate of PIMs was
assessed with the three criteria. The primary endpoint was the percentage of
participants receiving at least one PIM. Multivariate logistic regression was
used to examine the factors related to PIMs. RESULTS: Potentially inappropriate
medications were present in 24.3%, 35.4%, and 44% of participants, according to
the 2003 Beers criteria, STOPP, and 2012 Beers criteria, respectively. The
profile of PIMs was also different (the most frequent being benzodiazepines in
both Beers criteria lists and aspirin in the STOPP). The number of drugs was
associated with risk of prescribing PIMs in all three models, as was the presence
of a psychological disorder in the 2003 Beers criteria (odds ratio (OR) = 2.07,
95% confidence interval (CI) = 1.26-3.40) and the 2012 Beers criteria (OR = 2.91,
95% CI = 1.83-4.66). The kappa for degree of agreement between STOPP and the 2012
Beers criteria was 0.35 (95% CI = 0.25-0.44). CONCLUSION: The 2012 Beers criteria
detected the highest number of PIMs, and given the scant overlapping with the
STOPP criteria, the use of both tools may be seen as complementary.
PMID- 24917084
TI - Confirmation that "Brachyspira hampsonii" clade I (Canadian strain 30599) causes
mucohemorrhagic diarrhea and colitis in experimentally infected pigs.
AB - BACKGROUND: "Brachyspira hampsonii", discovered in North America in 2010
associated with dysentery-like illness, is an economically relevant swine
pathogen resulting in decreased feed efficiency and increased morbidity,
mortality and medication usage. "B. hampsonii" clade II strain 30446 has been
shown to be causally associated with mucohemorrhagic diarrhea and colitis. Our
objectives were to determine if "Brachyspira hampsonii" clade I strain 30599 is
pathogenic to pigs, and to evaluate the relative diagnostic performance of three
ante mortem sampling methodologies (direct PCR on feces, PCR on rectal GenoTube
Livestock swabs, Brachyspira culture from rectal swabs). Five-week old pigs were
intragastrically inoculated thrice with 108 genomic equivalents "B. hampsonii" (n
= 12), or served as sham controls (n = 6). Feces were sampled and consistency
assessed daily. Necropsies were performed 24 h after peak clinical signs.
RESULTS: One pig died due to unrelated illness. Nine of 11 inoculated pigs, but
no controls, developed mucoid or mucohemorrhagic diarrhea (MHD). Characteristic
lesions of swine dysentery were observed in large intestine. "B. hampsonii"
strain 30599 DNA was detected by qPCR in feces of all inoculated pigs for up to 6
days prior to the onset of MHD. The organism was isolated from the feces and
colons of pigs demonstrating MHD, but not from controls. B. intermedia was
isolated from inoculated pigs without MHD, and from 5 of 6 controls. CONCLUSIONS:
We conclude that "Brachyspira hampsonii" clade I strain 30599 is pathogenic and
causes mucohemorrhagic diarrhea and colitis in susceptible pigs. Moreover, the
three sampling methodologies performed similarly. GenoTube Livestock, a forensic
swab designed to preserve DNA during shipping is a useful tool especially in
settings where timely transport of diagnostic samples is challenging.
PMID- 24917085
TI - Usefulness of video-EEG in the paediatric emergency department.
AB - Over the past two decades the EEG has technically improved from the use of analog
to digital machines and more recently to video-EEG systems. Despite these
advances, recording a technically acceptable EEG in an electrically hostile
environment such as the emergency department (ED) remains a challenge,
particularly with infants or young children. In 1996, a meeting of French experts
established a set of guidelines for performing an EEG in the ED based on a review
of the available literature. The authors highlighted the most suitable
indications for an emergency EEG including clinical suspicion of cerebral death,
convulsive and myoclonic status epilepticus, focal or generalized relapsing
convulsive seizures as well as follow-up of known convulsive patients. They
further recommended emergency EEG in the presence of doubt regarding the
epileptic nature of the presentation as well as during the initiation or
modification of sedation following brain injury. Subsequently, proposals for
expanding the use of EEG in emergency patients have been advocated including
trauma, vascular and anoxic-ischemic injury due to cardiorespiratory arrest,
postinfective encephalopathy and nonconvulsive status epilepticus. The aim of
this review is to show the diagnostic importance of video-EEG, as well as
highlighting the predictive prognostic factors for positive and negative
outcomes, when utilized in the pediatric ED for seizures as well as other
neurological presentations.
PMID- 24917088
TI - Similar but different: the importance of context.
PMID- 24917086
TI - Effects of camptothecin derivatives and topoisomerase dual inhibitors on
Trypanosoma cruzi growth and ultrastructure.
AB - BACKGROUND: Trypanosoma cruzi is the etiological agent of Chagas' disease that is
an endemic disease in Latin America and affects about 8 million people. This
parasite belongs to the Trypanosomatidae family which contains a single
mitochondrion with an enlarged region, named kinetoplast that harbors the
mitochondrial DNA (kDNA). The kinetoplast and the nucleus present a great variety
of essential enzymes involved in DNA replication and topology, including DNA
topoisomerases. Such enzymes are considered to be promising molecular targets for
cancer treatment and for antiparasitic chemotherapy. In this work, the
proliferation and ultrastructure of T. cruzi epimastigotes were evaluated after
treatment with eukaryotic topoisomerase I inhibitors, such as topotecan and
irinotecan, as well as with dual inhibitors (compounds that block eukaryotic
topoisomerase I and topoisomerase II activities), such as baicalein, luteolin and
evodiamine. Previous studies have shown that such inhibitors were able to block
the growth of tumor cells, however most of them have never been tested on
trypanosomatids. RESULTS: Considering the effects of topoisomerase I inhibitors,
our results showed that topotecan decreased cell proliferation and caused
unpacking of nuclear heterochromatin, however none of these alterations were
observed after treatment with irinotecan. The dual inhibitors baicalein and
evodiamine decreased cell growth; however the nuclear and kinetoplast
ultrastructures were not affected. CONCLUSIONS: Taken together, our data showed
that camptothecin is more efficient than its derivatives in decreasing T. cruzi
proliferation. Furthermore, we conclude that drugs pertaining to a certain class
of topoisomerase inhibitors may present different efficiencies as
chemotherapeutical agents.
PMID- 24917087
TI - The cost of treating severe refractory asthma in the UK: an economic analysis
from the British Thoracic Society Difficult Asthma Registry.
AB - Severe refractory asthma poses a substantial burden in terms of healthcare costs
but relatively little is known about the factors which drive these costs. This
study uses data from the British Thoracic Society Difficult Asthma Registry
(n=596) to estimate direct healthcare treatment costs from an National Health
Service perspective and examines factors that explain variations in costs. Annual
mean treatment costs among severe refractory asthma patients were L2912 (SD
L2212) to L4217 (SD L2449). Significant predictors of costs were FEV1% predicted,
location of care, maintenance oral corticosteroid treatment and body mass index.
Treating individuals with severe refractory asthma presents a substantial cost to
the health service.
PMID- 24917089
TI - Sustainability in clinical skills teaching.
AB - BACKGROUND: The deleterious effects of climate change mean that environmental
sustainability is increasingly becoming a moral and economic necessity.
Consequently, clinicians will increasingly be called upon to manage the effects
of health care on climate change, and they must therefore do as much as is
practically possible to limit the negative effects of their practice on the
environment. As medical educators we have the opportunity not only to reduce the
environmental impact of our own clinical practice, but also that of those who we
teach, through innovation. Such novelty can be explored during student-selected
components (SSCs). Clinicians will increasingly be called upon to manage effects
of health care on climate change CONTEXT: The project, entitled 'Can we introduce
sustainability to clinical skills teaching?' was led by two third-year medical
students during their SSC periods. New ways to make existing skills more
sustainable were explored by surveying existing practice in the workplace,
analysing selected skills in a lab-based setting and through discussions with
sustainability champions. INNOVATION: Cannulation and intravenous (IV) antibiotic
preparation were chosen by the students as prototype skills. These skills were
observed by the students in the workplace and adapted by them to appease the
'triple bottom line' of sustainability: environmental, social and economic
factors were addressed. The revised skills were taught by the students to their
peers in a sustainably conscious fashion. IMPLICATIONS: Provided that such
innovations in sustainable skills teaching are deemed appropriate by clinical
skills directors, such methods could be adopted across medical schools and
expanded to cover a wider range of skills.
PMID- 24917090
TI - Observing trainee encounters using a one-way mirror.
AB - BACKGROUND: Direct observation of patient encounters is a key component of
evaluating residents during training, but there are scarce data on the various
methods of observation. AIM: To implement a novel method for direct observation
of out-patient encounters via a one-way mirror in an internal medicine resident
practice, and to assess the feasibility and acceptance of this method. METHODS:
Each selected resident-patient encounter was directly observed by a preceptor
through a one-way mirror. The preceptor provided feedback to the resident at the
conclusion of each encounter. A post-visit survey assessed resident satisfaction
and comfort with this method of observation. RESULTS: Using a one-way mirror was
a feasible method of observation. Fifty residents had a clinic visit that was
directly observed, and 42 (84%) completed the post-visit survey. Residents
reported that they preferred direct observation through a one-way mirror compared
with other methods, including videotaped encounters or having a preceptor
physically present in the room. They also felt that having a preceptor observing
through the one-way mirror had no negative effect on the clinic visit. Direct
observation of patient encounters is a key component of evaluating residents
CONCLUSIONS: Direct observation through a one-way mirror is a viable method in
the out-patient setting, and might be preferable for evaluating certain skills.
PMID- 24917091
TI - Musculoskeletal pareidolia in medical education.
AB - BACKGROUND: Medical educators use a variety of strategies to help medical
students and resident doctors understand and remember complex topics. METHODS:
One teaching tool is matching up radiographic appearances with unrelated, common,
non-medical images, in order to help students easily recognise clinical patterns.
DISCUSSION: However, even among medical educators who use this approach, many are
not aware of the neuropsychiatric phenomenon they are using, known as pareidolia.
We will describe pareidolia (a form of patternicity) and give two examples of its
use in the clinical teaching of musculoskeletal imaging abnormalities: the
winking owl and the Scottie dog.
PMID- 24917092
TI - Medical students in gynaecology clinics.
AB - OBJECTIVE: This study aimed to investigate factors that influence a patient's
acceptance of a medical student's involvement in their consultation when
attending a public hospital gynaecology clinic. Factors that influence a
patient's acceptance of a medical student's involvement in a consultation
METHODS: This was an observational study of women attending gynaecology clinics
at Royal Prince Alfred Hospital (RPAH) from January to December 2011. The
questionnaire sought demographic information and asked women about their
knowledge of medical student attendance at the clinics, if they would allow a
student to be present during their consultation and whether they would allow a
student to examine them. It also sought reasons for their responses. RESULTS: Of
the 460 questionnaires distributed, 97 per cent (446) were completed. Overall,
85.6 per cent (382) of patients expressed an acceptance of medical students being
present in their consultation, and 63.9 per cent (285) said they would allow
students to examine them. Factors significantly associated with an increased
acceptance of examination by medical students included being aware that a student
may be present (p=0.003), and being married or in a committed relationship
(p=0.023). Age and education level were not significantly associated with
acceptance of being examined by a student, and ethnicity was too diverse to
assess any possible bias. All groups maintained a preference for female students.
CONCLUSION: This study has found that being aware that medical students may be
present in gynaecology clinics may increase patient acceptance of being examined
by a student. This demonstrates a role for information to be distributed to
patients prior to their appointment to facilitate medical training.
PMID- 24917093
TI - Peer-teaching of evidence-based medicine.
AB - BACKGROUND: Many medical schools teach the principles of evidence-based medicine
(EBM) as part of their undergraduate curriculum. Medical students perceive that
EBM is valuable to their undergraduate and postgraduate career. Students may
experience barriers to applying EBM principles, especially when searching for
evidence or identifying high-quality resources. CONTEXT: The UK National
Institute for Health and Care Excellence (NICE) Evidence Search is a service that
enables access to authoritative clinical and non-clinical evidence and best
practice through a web-based portal. INNOVATION: Evidence-based medicine
workshops were organised and delivered by fourth-year medical students, having
first received training from NICE to become NICE student champions. The workshops
covered the basic principles of EBM and focused on retrieving EBM resources for
study through the NICE Evidence Search portal. The scheme was evaluated using a
pre-workshop survey and an 8-12 week post-workshop survey. Self-reported
confidence in searching for evidence-based resources increased from 29 per cent
before the workshop to 87 per cent after the workshop. Only 1 per cent of
students rated evidence-based resources as their first preference pre-workshop,
compared with 31 per cent post-workshop. IMPLICATIONS: The results show that
although many students were aware of evidence-based resources, they tended not to
use them as their preferred resource. Despite appreciating the value of evidence
based resources, few students were confident in accessing and using such
resources for pre-clinical study. A peer-taught workshop in EBM improved
students' confidence with, and use of, evidence-based resources.
PMID- 24917094
TI - The role of social media in clinical excellence.
AB - BACKGROUND: The provision of excellent patient care is a goal shared by all
doctors. The role of social media (SM) in helping medical students and doctors
achieve clinical excellence is unknown. Social media may help facilitate the
achievement of clinical excellence PURPOSE: This report aimed to identify
examples of how SM may be used to help promote the achievement of clinical
excellence in medical learners. METHODS: Three of the authors previously
conducted a systematic review of the published literature on SM use in
undergraduate, graduate and continuing medical education. Two authors re-examined
the 14 evaluative studies to identify any examples of SM use that may facilitate
the achievement of clinical excellence and to consider whether there were any
aspects of clinical excellence for which no studies had been performed, and, if
so, whether SM was relevant to these domains. RESULTS: Each study touched on one
or more of the following domains of clinical excellence: communication and
interpersonal skills; professionalism and humanism; knowledge; diagnostic acumen;
exhibiting a passion for patient care; a scholarly approach to clinical practice;
and explicitly modelling expertise to medical trainees. No study addressed the
role of SM to promote the skillful negotiation of the health care system, and in
collaboration with investigators to advance science and discovery; however,
additional evidence suggested that SM may play an adjunctive role in promoting
the achievement of these aspects of clinical excellence. CONCLUSION: This report
supports the hypothesis that SM may help facilitate the achievement of clinical
excellence; however, further research is needed into the role of SM in promoting
the achievement of clinical excellence.
PMID- 24917095
TI - Teledebriefing: connecting learners to faculty members.
AB - BACKGROUND: Simulation has become widespread among medical educators. Although
simulation facilities are available at most teaching institutions, the number of
qualified instructors to facilitate post-simulation debriefing is inadequate,
resulting in sub-par educational experiences for learners. CONTEXT: Efforts to
broaden medical curricula to include simulation have been successful. An integral
component of simulation-based education is the debriefing stage, in which
learning and reflection are believed to be greatest. To be maximally effective,
debriefing should be performed by faculty members who have both expertise in the
subject matter and a strong grasp of debriefing principles. Unfortunately, the
debriefing portion of simulation exercises is often performed in a sub-par
fashion because many simulation centres do not have access to trained debriefers.
As a result, the overall experience of simulation training programmes is reduced,
and the exercises have much less educational benefit to the learner. INNOVATION:
This article outlines a novel use of videoconferencing technology for debriefing
learners at remote locations, which we term teledebriefing. This can be
accomplished in a cost-effective and straightforward manner using basic
equipment: a smartphone and a television. Many simulation centres do not have
access to trained debriefers IMPLICATIONS: Teledebriefing can create a mutually
beneficial faculty instructor network between institutions. By connecting
clinical educators from geographically distant areas or from specialties not
available locally, learners are exposed to faculty that can provide content
expertise and high-quality debriefing during simulation exercises. Evaluating the
effectiveness and feasibility of teledebriefing is warranted.
PMID- 24917096
TI - Evaluation of an online medical teaching forum.
AB - BACKGROUND: Social media is increasingly being used for teaching and assessment.
We describe the design and implementation of a Facebook(c) teaching forum for
medical students, and evaluate its effectiveness. METHODS: A Facebook(c) teaching
forum was set up in a London Hospital to assist with learning and assessment for
undergraduate medical students. An independent online survey was used to collate
their experiences. Accessibility to the forum, usefulness in stimulating peer-to
peer discussion and the use of weekly formative assessments were evaluated using
a Likert scale. RESULTS: In total, 91 per cent (n=68/75) of students who had
Facebook(c) joined the teaching forum. The majority of students completed the
questionnaire (n=39/68, 57%). All students visited the teaching forum group at
least once a week. A significant proportion attempted all 10 question sets
(n=16/39, 41%). Students felt more comfortable asking questions in the forum than
in ward rounds and clinics (n=22/39, 56%). The general consensus was that
Facebook(c) could be used for educational purposes, with just 5 per cent of
students (n=2/39) thinking that Facebook(c) should only be used socially and with
92 per cent believing that the forum helped to achieve the learning objectives of
the curriculum (n=36/39). DISCUSSION: Facebook(c) provides a safe environment for
learning and discussion amongst medical undergraduates undergoing their clinical
attachments. Furthermore, through formative assessments set by a medical
educator, it provides a useful revision tool for summative assessments and
reinforces knowledge learned through conventional teaching methods.
PMID- 24917097
TI - Written feedback on supervised learning events.
AB - BACKGROUND: Medical students value constructive feedback, as it helps them to
improve their performance. Supervised learning events (SLEs) were developed as
performance assessments and to create opportunities for students to receive
feedback. Although many would argue the strengths of SLEs, there is a lack of
literature assessing the quality of written feedback for medical students.
METHOD: A random sample of Year 4 students at a London medical school provided a
total of 250 SLE forms for analysis. A coding framework was developed and applied
to the sample to grade the quality of the feedback. RESULTS: Overall, 63.1 per
cent of feedback entries were graded weak. More of the 'areas for improvement'
comments were graded weak (73.6%) compared with the 'points of good performance'
(52.8%). Of the feedback graded weak, many were left blank (40.6%), were non
specific (34.1%), only used words such as 'keep practising' (19.5%), were
irrelevant (4.6%) or were illegible (1.2%). CONCLUSION: The quality of written
feedback is clearly an area for development. Factors that impede this include a
lack of time and the fact that some assessors may be uncomfortable providing
negative feedback. In order to improve, it is important that both students and
assessors are trained more thoroughly in giving effective written feedback. The
quality of written feedback is clearly an area for development.
PMID- 24917098
TI - Online assessment: what influences students to engage with feedback?
AB - BACKGROUND: The intention of giving written feedback is to close the gap between
the standard achieved and the standard desired, but students do not always read
it. Web-based marking tools are increasingly being used in assessment practices
to deliver the feedback. What motivates students to read the feedback provided,
especially since the advent of these online marking tools, is poorly understood.
This research looked at the factors likely to influence a medical student's
engagement with written feedback delivered through an online marking tool
(grademark by Turnitin). What motivates students to read the feedback provided
METHODS: Third-year medical students on a UK undergraduate medical course
submitted an assignment online. A questionnaire was distributed to a cohort of
them following the release of their results and feedback, allowing quantitative
and qualitative data collection. Software recorded whether they opened their
feedback. Previous examination performance figures were also collated. RESULTS:
Online feedback is accessible and acceptable to the majority of students.
Personal demographics, computer literacy, previous course performance, or
personal motivational drivers did not predict those who did or did not read it.
Some students reported seeing little value in feedback because of their previous
negative experiences. A minority found feedback hurtful, and were likely to show
avoidance behaviours. DISCUSSION: This research found that feedback provided
through an online marking tool overcame many of the problems associated with
handwritten feedback, but alone was not enough to ensure universal engagement.
Feedback dialogues are proposed as a method to overcome negative student
experiences, enhance tutor performance and encourage future student engagement.
PMID- 24917099
TI - Interprofessional learning for medication safety.
AB - BACKGROUND: Patient safety is a worldwide priority. Recommendations have been
made that doctors, nurses and pharmacists could interact more effectively to
improve patient outcomes, and that interprofessional education should be
encouraged. In 2009, the North East Strategic Health Authority awarded Workforce
Development Initiative funding to Northumbria Healthcare National Health Service
(NHS) Foundation Trust to develop an undergraduate interprofessional training
activity in medication safety for medicine, pharmacy and nursing students.
CONTEXT: Interprofessional seminars for medication safety and therapeutics were
developed that were delivered across the North East of England. The initial
seminars took place between January and April 2011 at 10 teaching hospitals, and
were attended by over 400 students (from medicine, pharmacy and nursing).
INNOVATION: The majority of the workshops were facilitated by an
interprofessional team comprised of pharmacists, doctors and nurses, with all
students working in small groups with participants from each of the professional
groups, where possible. All seminars had standardised materials, but it was up to
individual facilitators to choose which of the five case studies were used within
the seminar. The seminars lasted between 2 and 3 hours, and depending on which
case studies were used, two or three cases could be discussed. Student feedback
showed that the seminar was particularly successful in highlighting and improving
the students' understanding of each other's roles and responsibilities in
relation to medication safety. There are considerable organisational challenges
in arranging interprofessional groups. Scenarios need to provide tasks that
engage and challenge all of the professions involved. Facilitation is an
important element. Interprofessional education should be encouraged.
PMID- 24917100
TI - A guide for interprofessional case presentations.
AB - BACKGROUND: Opportunities for interprofessional learning (IPL) and the promotion
of interprofessional (IP) communication at the undergraduate level are important
goals of health science faculties. IPL activities with shared curriculum validity
to promote full student engagement can be challenging to identify. Case
presentations that focus on patient-centred learning are one type of activity
that is likely to have clinical relevance to all undergraduate groups. Guiding
students and facilitators on this approach using a structured framework is
necessary to maximise the desired IPL outcomes. CONTEXT: The framework was
informed by two settings. Firstly, by a large metropolitan health service that
provided IP clinical placements (ICPs). Six 2-week placements in aged care
rehabilitation were completed by medical, nursing and allied health students
Secondly, by a an inner Melbourne private hospital where weekly IP case
presentations were established. INNOVATION: The innovation was a framework
developed by clinical teachers and academics across two health services to guide
facilitators and students participating in an IP case presentation. IMPLICATIONS:
This framework, highlighting both strategies for success and potential pitfalls,
may provide a guide to teachers wishing to establish student IP case
presentations within their health service or clinical placement context. The
deployment and use of this framework may then provide a basis to evaluate IP case
based presentations for formative or summative student assessment.
PMID- 24917101
TI - The expert patient as teacher: an interprofessional Health Mentors programme.
AB - BACKGROUND: To meet future health care needs, medical education must increase the
emphasis on chronic illness care, interprofessional teamwork, and working in
partnership with patients and families. One way to address these needs is to
involve patients as teachers in longitudinal interprofessional educational
programmes grounded in principles of patient-professional partnerships and shared
decision-making. CONTEXT: The University of British Columbia has a history of
initiatives designed to bring patient and community voices into health
professional education. Increasing opportunities for interprofessional education
has become important because of accreditation requirements. INNOVATION: We
describe preliminary findings from a 3-year pilot of an interprofessional Health
Mentors programme, an elective patient-as-teacher initiative in which groups of
four students from different disciplines learn together, with and from a mentor
with a chronic condition (an 'expert by experience') over three semesters. The
goals, achieved through six themed meetings and a symposium, are to learn about
living with a chronic condition from the patient's perspective and to develop
interprofessional competencies. Groups are given suggested topics for each
meeting, but function as self-managed learning communities, and are encouraged to
explore their own questions. Faculty members support direct learning between
students and mentors through setting broad objectives and responding to the
student reflections written after each group meeting. Students and mentors rate
the programme highly, and a wide range of important learning outcomes have been
documented. Medical education must increase the emphasis on chronic illness care,
working in partnership with patients IMPLICATIONS: Key characteristics,
generalisable to other educational programmes, include the role of faculty staff
in supporting learning between students and patients, a minimalist structure to
promote ownership and creativity, and flexible delivery.
PMID- 24917102
TI - Medical school choice: what influences applicants?
AB - BACKGROUND: Little is known about factors influencing applicants' choice of
medical school, yet it is important that both the academic and non-academic needs
of medical students are satisfied, and that medical schools attract students
suited to the courses provided. Relevant evidence suggests that there are five
main factors influencing choice: curriculum; reputation of the school; personal
contact; location; and facilities. Aberdeen has the particular challenge of being
the most northern medical school in the UK. METHOD: To address this challenge,
and learning from the literature, a medical school open day was developed to
showcase the medical school, the wider university and the city of Aberdeen.
RESULTS: The main messages from this small study were that interactive events
were more popular than static displays, and that applicants preferred to interact
with student representatives rather than just hear about student life, suggesting
that the opportunity to ask questions and hear the 'uncensored' version of what
being a student is like are important. DISCUSSION: There are some generalisable
messages about what prospective students want from an open day, which along with
the prospectus and the website can contribute to informing choice. Further work
is needed to identify what is critical in terms of first point of contact, and
how much this influences the choice of medical school.
PMID- 24917103
TI - Value of assessment of medical students.
PMID- 24917104
TI - Early specialty courses and the spiral of learning.
PMID- 24917105
TI - MedEdPORTAL: a resource for health educators.
PMID- 24917106
TI - An orchestral metaphor for interprofessional collaborative practice?
PMID- 24917107
TI - Perspective from a student problem-based learning facilitator.
PMID- 24917108
TI - Is the keyboard mightier than the pen?
PMID- 24917109
TI - Response to 'Is the keyboard mightier than the pen?'.
PMID- 24917110
TI - Teaching ultrasound in a developing country.
PMID- 24917111
TI - Fibulin-5 (FBLN5) gene polymorphism is associated with pelvic organ prolapse.
AB - OBJECTIVE: FBLN5 encodes a key protein of elastic fiber matrix assembly and
function that contributes to maintaining pelvic support and plays the important
role in the pathophysiology of pelvic organ prolapse (POP). The aim of the study
was to investigate whether there is an association between common single
nucleotide polymorphisms (SNPs) of the FBLN5 gene and POP. STUDY DESIGN: A total
of eleven tag SNPs of the FBLN5 gene were genotyped using the polymerase chain
reaction with confronting two-pair primers (PCR-CTPP) in 210 patients with POP
(stages III-IV) and 292 controls with no even minimal POP. RESULTS: We revealed
significant associations of tag SNPs rs2018736 and rs12589592 with POP. The top
association signal was found for SNP rs2018736 (protective effect for the minor
allele A) in the entire set: p=0.0026, OR=0.42, 95% CI: 0.24-0.75; in the stratum
with pelvic floor trauma: p=0.0018, OR=0.27, 95% CI: 0.11-0.64; and in the
stratum with fetal macrosomia: p=0.013, OR=0.14, 95% CI: 0.03-0.71. The results
of the haplotype analyses were consistent with the single SNP analysis. In the
strata without perineal trauma and fetal macrosomia effects were non-significant,
possibly, due to the smaller effect sizes. CONCLUSIONS: Current data provide, for
the first time, strong evidence that common SNPs of the FBLN5 gene are associated
with POP especially after pelvic floor injury.
PMID- 24917112
TI - Increasing nontuberculous mycobacteria infection in cystic fibrosis.
AB - BACKGROUND: Nontuberculous mycobacteria (NTM) are emerging infections in the CF
population. AIMS: To assess NTM infection prevalence and associated features in
our CF clinic population. METHODS: Patient records, 2002-2011, were reviewed for
NTM infection. FEV1, pancreatic function, sputum microbiology, and serum
cytokines were compared in patients with and without NTM infection. RESULTS:
Incidence rate of NTM infection increased from 0 in 2002 to 8.7% in 2011
(p<0.001). NTM infection prevalence increased 3-fold from 5% (4/79) in 2003 to
14.5% (16/110) in 2011 (p=0.05). Prevalence of chronic NTM lung disease has
decreased somewhat since a peak in 2009, with institution of aggressive triple
therapy. Of NTM-infected compared to uninfected patients, 88.2% vs. 60.3% had a
known 'severe' CFTR genotype (p=0.04), 88.2% vs. 58.9% were pancreatic
insufficient (p=0.02); 70.6% vs. 43.8% had chronic Pseudomonas aeruginosa
(p=0.06); 75% vs. 32% had Aspergillus infection (p=0.007) and 23.5% vs 2.7% had
allergic bronchopulmonary aspergillosis (p=0.01). Patients infected with
Mycobacterium abscessus had increased TGF-beta, TNF-alpha, IL-1beta, IL-2, IL-4
and IL-5 levels (p<0.05). There was no difference in cytokine levels for all NTM
infected compared to uninfected patients. M. abscessus comprised 46% of all NTM
infections. Comparing M. abscessus versus other NTM, duration was 10.5 (1-118)
months versus 1 (1-70) month, median (range) (p=0.004); lung disease occurred in
69% versus 17% (p=0.0004), with sputum conversion in 4/11 versus 5/6,
respectively (NS). CONCLUSIONS: NTM incidence and prevalence have increased
dramatically in our CF clinic, associated with a severe CF genotype and
phenotype. M. abscessus, the most prevalent NTM, caused prolonged infection
despite therapy. There has been some decrease in the prevalence of NTM lung
disease since 2009.
PMID- 24917113
TI - Lung clearance index during hospital admission in school-age children with cystic
fibrosis.
AB - BACKGROUND: There is currently limited information regarding lung clearance index
(LCI) and its response to treatment of pulmonary exacerbations in CF. We aimed to
examine the utility of LCI for assessing short term clinical response to IV
antibiotic therapy in school-age children with CF. METHODS: Subjects experiencing
exacerbations and hospitalised for IV antibiotics performed both multiple breath
nitrogen washout (MBNW) and spirometry on admission to hospital and prior to
discharge. RESULTS: 27 patients (aged 6-20 years) had paired data for MBNW and
spirometry. Mean LCI reduced from 12.18 to 11.65 (4.4%) by time of discharge and
FEV1z-score improved from -3.05 to -2.86 (6.2%). Overall, LCI improved in n=15
(55%) patients compared with n=18 (67%) where FEV1 improved. CONCLUSIONS: In
summary, these findings do not support the use of LCI (or indeed, FEV1) to gauge
the short term clinical response to IV antibiotic therapy in school-age children
with cystic fibrosis.
PMID- 24917114
TI - Early attained weight and length predict growth faltering better than velocity
measures in infants with CF.
AB - BACKGROUND: CF infants often do not grow as expected which adversely affects
later clinical outcomes, thus sensitive early measures of growth deficiency are
important. This study compared attained growth for age with velocity standards to
determine which better predicts growth deficits at 24 months of age. METHODS:
Growth deficiency in infancy based on weight and length velocity, and attained
growth was calculated for 1992 infants in the US CF Foundation National Registry
using the World Health Organization (WHO) and US growth standards. One, two and
three month increments were used for calculating velocity and pooled for each age
interval. Sensitivity and specificity of early indicators to predict growth
deficiency at 24 months were calculated. RESULTS: Observed prevalence of weight
deficiency (<10th percentile) during the first year of life was 26.8% higher (95%
CI=(25.6, 28.1%), p<0.001) on average when measured by attained weight for age
than velocity. Attained weight for age at four months was a more sensitive
predictor of diminished weight for age (<10th percentile) at 24 months
(sensitivity=100%, 95% CI=(87, 100%)) than weight velocity (sensitivity=40%, 95%
CI=(23, 59%)). Attained length at four months was more sensitive to detecting
subsequent stunting (<10th percentile length for age) (77%, 95% CI=(62, 87%))
than length velocity (30%, 95% CI=(19, 45%)). CONCLUSIONS: In CF infancy,
attained weight or length is more sensitive than velocity-based definitions for
predicting subsequent diminished growth.
PMID- 24917115
TI - Adherence to clinical care guidelines for cystic fibrosis-related diabetes in 659
German/Austrian patients.
AB - BACKGROUND: In Germany/Austria, data on medical care for cystic fibrosis-related
diabetes (CFRD) is limited. METHODS: Anonymized data from 659 CFRD patients were
analyzed and compared to the latest ADA/CFF guidelines. RESULTS: Specialized
diabetes clinics were attended less frequently than recommended (3.1 vs. 4.0
times yearly). 7.9% of patients had a complete profile of examinations: diabetes
education (44.9%), HbA1c (88.8%), blood pressure (79.5%), BMI (86.5%), lipid
status (37.5%), retinopathy (29.9%), microalbuminuria (33.2%), and self
monitoring of blood glucose (71.6%). HbA1c and blood pressure were measured less
frequently than recommended (2.3 and 2.0 vs. 4.0 times yearly). Overall,
guidelines were followed more frequently in children than adults. Contrary to
recommendations, not all patients were treated with insulin (77.2 vs. 100.0%).
Insulin therapy was initiated earlier in children than adults, but there was
still a substantial delay (0.9 vs. 2.7years after diagnosis, p<0.001).
CONCLUSION: In CFRD patients studied, adherence to care guidelines was
suboptimal.
PMID- 24917116
TI - Outcome in patients with cystic fibrosis liver disease.
AB - BACKGROUND: Liver disease is an important complication in CF. AIMS: To determine
if CFLD is a risk factor for mortality in CF, and which baseline characteristics
predict all-cause mortality. METHODS: Irish children with CFLD, and their age and
gender matched controls were enrolled at baseline and reviewed after 10years to
determine which characteristics predict mortality. RESULTS: 72/84 (85.71%)
participants were followed, (mean age Cases 21.71yrs SD 6.5, CF controls 23.62 SD
5.6, 22 (61%) males), with no difference in duration of follow-up. Nineteen
participants (26.4%) died, 38.9% (14/36) with CFLD and 13.89% (5/36) CF controls
(Odds Ratio (OR) 3.94 95% CI:1.23-12.56 p=0.005). In logistic regression, liver
disease (OR 4.28 95% CI 1.07-17.16) female gender (OR 12.25 95% CI 2.37-63.24),
reduced pulmonary function, (OR 5.11 95% CI 1.09-23.81) were each independent
risk factors for mortality in CF. CONCLUSIONS: Liver disease is an independent
risk factor for mortality in CF.
PMID- 24917118
TI - Peptide formation mechanism on montmorillonite under thermal conditions.
AB - The oligomerization of amino acids is an essential process in the chemical
evolution of proteins, which are precursors to life on Earth. Although some
researchers have observed peptide formation on clay mineral surfaces, the
mechanism of peptide bond formation on the clay mineral surface has not been
clarified. In this study, the thermal behavior of glycine (Gly) adsorbed on
montmorillonite was observed during heating experiments conducted at 150 degrees
C for 336 h under dry, wet, and dry-wet conditions to clarify the mechanism.
Approximately 13.9 % of the Gly monomers became peptides on montmorillonite under
dry conditions, with diketopiperazine (cyclic dimer) being the main product. On
the other hand, peptides were not synthesized in the absence of montmorillonite.
Results of IR analysis showed that the Gly monomer was mainly adsorbed via
hydrogen bonding between the positively charged amino groups and negatively
charged surface sites (i.e., Lewis base sites) on the montmorillonite surface,
indicating that the Lewis base site acts as a catalyst for peptide formation. In
contrast, peptides were not detected on montmorillonite heated under wet
conditions, since excess water shifted the equilibrium towards hydrolysis of the
peptides. The presence of water is likely to control thermodynamic peptide
production, and clay minerals, especially those with electrophilic defect sites,
seem to act as a kinetic catalyst for the peptide formation reaction.
PMID- 24917119
TI - Efficacy of Grintuss(r) pediatric syrup in treating cough in children: a
randomized, multicenter, double blind, placebo-controlled clinical trial.
AB - BACKGROUND: Cough is an extremely common problem in pediatrics, mostly triggered
and perpetuated by inflammatory processes or mechanical irritation leading to
viscous mucous production and increased sensitivity of the cough receptors.
Protecting the mucosa might be very useful in limiting the contact with micro
organisms and irritants thus decreasing the inflammation and mucus production.
Natural molecular complexes can act as a mechanical barrier limiting cough
stimuli with a non pharmacological approach but with an indirect anti
inflammatory action. OBJECTIVE: Aim of the study was to assess the efficacy of a
medical device containing natural functional components in the treatment of cough
persisting more than 7 days. METHODS: In this randomized, parallel groups, double
blind vs. placebo study, children with cough persisting more than 7 days were
enrolled. The clinical efficacy of the study product was assessed evaluating
changes in day- and night-time cough scores after 4 and 8 days (t4 and t8) of
product administration. RESULTS: In the inter-group analysis, in the study
product group compared with the placebo group, a significant difference (t4 study
treatment vs. t4 placebo, p = 0.03) was observed at t4 in night-time cough
score.Considering the intra-group analysis, only the study product group
registered a significant improvement from t0 to t4 in both day-time (t0 vs. t4, p
= 0.04) and night-time (t0 vs. t4, p = 0.003) cough scores.A significant
difference, considering the study product, was also found in the following intra
group analyses: day-time scores at t4 vs. t8 (p =0.01) and at t0 vs. t8 (p =
0.001); night-time scores at t4 vs. t8 (p = 0.05), and at t0 vs. t8 (p = 0.005).
Considering a subgroup of patients with higher cough (>= 3) scores, 92.9% of them
in the study product group improved at t0 vs. t4 day-time. CONCLUSIONS:
Grintuss(r) pediatric syrup showed to possess an interesting profile of efficacy
and safety in the treatment of cough persisting more than 7 days.
PMID- 24917120
TI - Structured RNAs and synteny regions in the pig genome.
AB - BACKGROUND: Annotating mammalian genomes for noncoding RNAs (ncRNAs) is
nontrivial since far from all ncRNAs are known and the computational models are
resource demanding. Currently, the human genome holds the best mammalian ncRNA
annotation, a result of numerous efforts by several groups. However, a more
direct strategy is desired for the increasing number of sequenced mammalian
genomes of which some, such as the pig, are relevant as disease models and
production animals. RESULTS: We present a comprehensive annotation of structured
RNAs in the pig genome. Combining sequence and structure similarity search as
well as class specific methods, we obtained a conservative set with a total of
3,391 structured RNA loci of which 1,011 and 2,314, respectively, hold strong
sequence and structure similarity to structured RNAs in existing databases. The
RNA loci cover 139 cis-regulatory element loci, 58 lncRNA loci, 11 conflicts of
annotation, and 3,183 ncRNA genes. The ncRNA genes comprise 359 miRNAs, 8
ribozymes, 185 rRNAs, 638 snoRNAs, 1,030 snRNAs, 810 tRNAs and 153 ncRNA genes
not belonging to the here fore mentioned classes. When running the pipeline on a
local shuffled version of the genome, we obtained no matches at the highest
confidence level. Additional analysis of RNA-seq data from a pooled library from
10 different pig tissues added another 165 miRNA loci, yielding an overall
annotation of 3,556 structured RNA loci. This annotation represents our best
effort at making an automated annotation. To further enhance the reliability, 571
of the 3,556 structured RNAs were manually curated by methods depending on the
RNA class while 1,581 were declared as pseudogenes. We further created a multiple
alignment of pig against 20 representative vertebrates, from which RNAz predicted
83,859 de novo RNA loci with conserved RNA structures. 528 of the RNAz
predictions overlapped with the homology based annotation or novel miRNAs. We
further present a substantial synteny analysis which includes 1,004 lineage
specific de novo RNA loci and 4 ncRNA loci in the known annotation specific for
Laurasiatheria (pig, cow, dolphin, horse, cat, dog, hedgehog). CONCLUSIONS: We
have obtained one of the most comprehensive annotations for structured ncRNAs of
a mammalian genome, which is likely to play central roles in both health
modelling and production. The core annotation is available in Ensembl 70 and the
complete annotation is available at
http://rth.dk/resources/rnannotator/susscr102/version1.02.
PMID- 24917121
TI - Irreversible inhibitors of the epidermal growth factor receptor: thienopyrimidine
core with alpha,beta-unsaturated amide side chain.
AB - Overexpression of epidermal growth factor receptor (EGFR) tyrosine kinases has
been found in a variety of cancers such as breast, ovarian, colon, and non-small
cell lung cancers, which is associated with poor prognosis in patients. In an
effort to find effective irreversible inhibitors of the EGFR tyrosine kinase
family (mainly HER2), two series of HER2 tyrosine kinase inhibitors with
thieno[3,2-d]pyridine and thieno[2,3-d]pyridine as central part and with a basic
alpha,beta-unsaturated amide side chain were developed. The alpha,beta
unsaturated amide side chain (the Michael acceptor) at the 6-position, which
forms a covalent bond to Cys773 located in the ATP binding pocket of the EGFR
enzyme, is a major factor in the generation of irreversible inhibition. In our
study, thienopyrimidine instead of quinazoline was used as the central structure,
and different substituents were introduced at the 4-position to investigate the
structure-activity relationships. The thieno[2,3-d]pyrimidine derivatives 16a-d
showed potent HER2 enzyme inhibition and anti-proliferative activity against SK
BR-3 cells. Especially, (E)-N-(4-((3-chloro-4-(pyridin-2
ylmethoxy)phenyl)amino)thieno[2,3-d]pyrimidin-6-yl)-4-(dimethylamino)but-2
enamide 16d was identified as a potential irreversible HER2 inhibitor. Both its
catalytic enzyme activity profile and its cellular efficacy were found to be
superior to those of the marketed drug lapatinib.
PMID- 24917122
TI - Multiparametric magnetic resonance imaging outperforms the Prostate Cancer
Prevention Trial risk calculator in predicting clinically significant prostate
cancer.
AB - BACKGROUND: The Prostate Cancer Prevention Trial risk calculator for high-grade
(PCPTHG) prostate cancer (CaP) was developed to improve the detection of
clinically significant CaP. In this study, the authors compared the performance
of the PCPTHG against multiparametric magnetic resonance imaging (MP-MRI) in
predicting men at risk of CaP. METHODS: Men with an abnormal prostate-specific
antigen (PSA) level or digital rectal examination (DRE) and a suspicious lesion
on a 3-Tesla MP-MRI were enrolled prospectively. Three radiologists reviewed and
graded all lesions on a 5-point Likert scale. Biopsy of suspicious lesion(s) was
performed using a proprietary MRI/transrectal ultrasound fusion-guided prostate
biopsy system, after which 12-core biopsy was performed. A genitourinary
pathologist reviewed all pathology slides. The performance of PCPTHG was compared
with that of MP-MRI in predicting clinically significant CaP. RESULTS: Of 175 men
who were eligible for analysis, 64.6% (113 of 175 men) were diagnosed with CaP,
including 93 of 113 men (82.3%) who had clinically significant disease. Age,
abnormal DRE, PSA, PSA density, prostate size, extraprostatic extension on MRI,
apparent diffusion coefficient value, and MRI lesion size were identified as
significant predictors of high-grade CaP (all P < .05). The area under the
receiver operating characteristic curve of PCPTHG for predicting high-grade CaP
was 0.676 (95% confidence interval [CI], 0.592-0.751). By using a risk cutoff of
>=15% for biopsy as, proposed previously for high-grade CaP, sensitivity was
96.4%, specificity was 7.6%, and the false-positive rate was 51.1%. In contrast,
the area under the receiver operating characteristic curve of MP-MRI for high
grade CaP was 0.769 (95% CI, 0.703-0.834), and it was 0.812 (95% CI, 0.754-0.869)
for clinically significant CaP. CONCLUSIONS: MP-MRI outperforms PCPTHG in
predicting clinically significant CaP, and its application may help select
patients who will benefit from CaP diagnosis and treatment.
PMID- 24917123
TI - Saudi Arabia reveals 113 previously undisclosed cases of Middle Eastern
respiratory syndrome.
PMID- 24917124
TI - Living environment matters: relationships between neighborhood characteristics
and health of the residents in a Dutch municipality.
AB - Characteristics of an individual alone cannot exhaustively explain all the causes
of poor health, and neighborhood of residence have been suggested to be one of
the factors that contribute to health. However, knowledge about aspects of the
neighborhood that are most important to health is limited. The main objective of
this study was to explore associations between certain features of neighborhood
environment and self-rated health and depressive symptoms in Maastricht (The
Netherlands). A large amount of routinely collected neighborhood data were
aggregated by means of factor analysis to 18 characteristics of neighborhood
social and physical environment. Associations between these characteristics and
self-rated health and presence of depressive symptoms were further explored in
multilevel logistic regression models adjusted for individual demographic and
socio-economic factors. The study sample consisted of 9,879 residents (mean age
55 years, 48 % male). Residents of unsafe communities were less likely to report
good health (OR 0.88 95 % CI 0.80-0.97) and depressive symptoms (OR 0.81 95 % CI
0.69-0.97), and less cohesive environment was related to worse self-rated health
(OR 0.81 95 % CI 0.72-0.92). Residents of neighborhoods with more car traffic
nuisance and more disturbance from railway noise reported worse mental health (OR
0.79 95 % CI 0.68-0.92 and 0.85 95 % CI 0.73-0.99, respectively). We did not
observe any association between health and quality of parking and shopping
facilities, facilities for public or private transport, neighborhood aesthetics,
green space, industrial nuisance, sewerage, neighbor nuisance or satisfaction
with police performance. Our findings can be used to support development of
integrated health policies targeting broader determinants of health. Improving
safety, social cohesion and decreasing traffic nuisance in disadvantaged
neighborhoods might be a promising way to improve the health of residents and
reduce health inequalities.
PMID- 24917125
TI - Pyrosequencing analysis yields comprehensive assessment of microbial communities
in pilot-scale two-stage membrane biofilm reactors.
AB - We studied the microbial community structure of pilot two-stage membrane biofilm
reactors (MBfRs) designed to reduce nitrate (NO3(-)) and perchlorate (ClO4(-)) in
contaminated groundwater. The groundwater also contained oxygen (O2) and sulfate
(SO4(2-)), which became important electron sinks that affected the NO3(-) and
ClO4(-) removal rates. Using pyrosequencing, we elucidated how important
phylotypes of each "primary" microbial group, i.e., denitrifying bacteria (DB),
perchlorate-reducing bacteria (PRB), and sulfate-reducing bacteria (SRB),
responded to changes in electron-acceptor loading. UniFrac, principal coordinate
analysis (PCoA), and diversity analyses documented that the microbial community
of biofilms sampled when the MBfRs had a high acceptor loading were
phylogenetically distant from and less diverse than the microbial community of
biofilm samples with lower acceptor loadings. Diminished acceptor loading led to
SO4(2-) reduction in the lag MBfR, which allowed Desulfovibrionales (an SRB) and
Thiothrichales (sulfur-oxidizers) to thrive through S cycling. As a result of
this cooperative relationship, they competed effectively with DB/PRB phylotypes
such as Xanthomonadales and Rhodobacterales. Thus, pyrosequencing illustrated
that while DB, PRB, and SRB responded predictably to changes in acceptor loading,
a decrease in total acceptor loading led to important shifts within the "primary"
groups, the onset of other members (e.g., Thiothrichales), and overall greater
diversity.
PMID- 24917126
TI - Do postliver transplant patients need thromboprophylactic anticoagulation?
AB - Postoperative thromboprophylactic anticoagulation against Deep Vein Thrombosis
(DVT) and Pulmonary Embolism (PE) is standard of care with current evidence-based
guidelines. However, majority of liver transplant (LT) patients have
thrombocytopenia and/or prolonged INR before surgery. Studies or guidelines
regarding role of prophylactic anticoagulation after LT are lacking. There is a
need to balance the risk of thrombosis with significant hemorrhage, implying
those needing transfusion or return to OR due to bleeding. We conclude that after
LT, anticoagulation is not required routinely for DVT/PE prophylaxis. Rather, it
is indicated in specific circumstances, chiefly for prophylaxis of hepatic artery
thrombosis or portal vein thrombosis in cases with use of grafts, pediatric
cases, small size vessels, Budd Chiari syndrome, amongst others.
PMID- 24917127
TI - Embolisation for caecal bleeding in a child with typhlitis.
AB - A 16-year-old girl being treated for a relapse of promyelocytic leukaemia
developed typhlitis of the caecum and ascending colon related to Klebsiella
septicaemia during the neutropenic phase, 2 weeks after the start of induction
treatment with chemotherapy. After 10 days of treatment with parenteral feeding
and antibiotics, massive rectal blood loss occurred, causing haemodynamic
instability. Contrast-enhanced abdominal CT showed contrast extravasation in the
caecal lumen. This life-threatening situation prompted visceral angiography,
which confirmed a contrast blush in the caecum. Subsequent embolisation resulted
in haemodynamic stability.
PMID- 24917128
TI - The role of exclusive breastfeeding and sugar-sweetened beverage consumption on
preschool children's weight gain.
AB - BACKGROUND: Sugar-sweetened beverages (SSBs) and breastfeeding practices have
been recognized as important factors linked to children's weight status. However,
no other studies have simultaneously investigated the role of each factor on
children's conditional weight gain (CWG). OBJECTIVE: To evaluate the role of
exclusive breastfeeding (EB) and the SSBs consumption on CWG from birth to the
survey date among Brazilian preschool children (24-59 months old). METHODS: A
nationally represented cross-sectional survey with complex probability sampling
(n = 2421) was conducted. The outcome variable - CWG - represents how much an
individual has deviated from its expected weight gain, given his or her prior
weight. The multivariate linear regression to analyse the effects of EB and the
consumption of SSBs on CWG were adjusted for economic status and maternal
variables. RESULTS: There was a significantly protective effect of EB duration
during the first year of life on CWG from birth to the survey date (-0.02 [-0.03;
0.00 95% confidence interval]); however, the SSBs intake promoted an effect on
the weight gain that was 2.5-fold higher (0.05 [0.02; 0.08 95% confidence
interval]) than the EB. CONCLUSION: As hypothesized, the exposure variables acted
in opposite directions, but the harmful effect of SSBs intake had greater
magnitude than the beneficial effect of EB on children's CWG.
PMID- 24917129
TI - Identification of novel mutations in the VPS33B gene involved in arthrogryposis,
renal dysfunction, and cholestasis syndrome.
AB - Arthrogryposis, renal dysfunction, and cholestasis (ARC) syndrome is an autosomal
recessive disorder caused by mutations in the VPS33B and VIPAS39. Here, we report
novel mutations identified in four patients with ARC syndrome. We analyzed the
entire coding regions of the VPS33B and VIPAS39 genes by direct sequencing. To
detect novel splice site mutations, mRNA transcripts were analyzed by reverse
transcription-polymerase chain reaction (RT-PCR) and sequencing. All four
patients had compound heterozygous variants in the VPS33B gene. One patient had a
previously reported splice site variant with unknown significance, c.239+5G>A,
and a novel nonsense mutation, c.621G>A. The other three patients had the
c.403+2T>A mutation, and each of them carried one of the splice site variants,
c.239+5G>A or c.499-11G>A. c.239+5G>A and c.499-11G>A created novel splice sites
which resulted in abnormal transcripts. No significant VIPAS39 mutation was
detected in all patients. In patients suspected with ARC syndrome, mutation
analysis of the VPS33B gene should be employed as a primary diagnostic test
before performing invasive testing procedures such as organ biopsies. Performing
mRNA analysis can be useful in predicting the pathogenic phenotype when the
mutation seems to affect a normal splicing mechanism.
PMID- 24917130
TI - Mapping-by-sequencing accelerates forward genetics in barley.
AB - Mapping-by-sequencing has emerged as a powerful technique for genetic mapping in
several plant and animal species. As this resequencing-based method requires a
reference genome, its application to complex plant genomes with incomplete and
fragmented sequence resources remains challenging. We perform exome sequencing of
phenotypic bulks of a mapping population of barley segregating for a mutant
phenotype that increases the rate of leaf initiation. Read depth analysis
identifies a candidate gene, which is confirmed by the analysis of independent
mutant alleles. Our method illustrates how the genomic resources of barley
together with exome resequencing can underpin mapping-by-sequencing.
PMID- 24917131
TI - Crystalglobulinemia syndrome due to monoclonal gammopathy of renal significance.
PMID- 24917132
TI - Peptide YY: more than just an appetite regulator.
AB - Replenishment of beta cell mass is a key aim of novel therapeutic interventions
for diabetes, and the implementation of new strategies will be aided by
understanding the mechanisms employed to regulate beta cell mass under normal
physiological conditions. We have recently identified a new role for the gut
hormone peptide YY (PYY) and the neuropeptide Y (NPY) receptor systems in the
control of beta cell survival. PYY is perhaps best known for its role in
regulating appetite and body weight, but its production by islet cells, the
presence of NPY receptors on islets and the demonstration that Y1 activation
causes proliferation of beta cells and protects them from apoptosis, suggest a
role for this peptide in modulating beta cell mass. This review introduces PYY
and its potential role in glucose homeostasis, then focuses on evidence
supporting the concept that PYY and NPY receptors are exciting new targets for
the preservation of beta cells.
PMID- 24917133
TI - Effects of sacral neuromodulation on isolated urinary bladder function in a rat
model of spinal cord injury.
AB - INTRODUCTION: Sacral neuromodulation has been considered as an effective
treatment option for various types of chronic voiding dysfunction, but the
mechanism of action has not been well understood. The aim of this study was to
evaluate the effect of chronic sacral neuromodulation on isolated bladder
functions in a rat model of spinal cord injury. MATERIALS AND METHODS: Female
Sprague-Dawley rats (250-300 g; N = 20) were assigned to four groups as follows:
1) control group (N = 6); 2) spinal cord transection group (SCT; N = 5); 3)
spinal cord transection + sacral neuromodulation group (SCT + SNM; N = 5); 4)
sham (spinal cord transection + electrode wire implantation without sacral
neuromodulation; N = 4). The rats in the SCT, SCT + SNM, and sham groups were
anesthetized with ketamine (60 mg/kg, i.p.) and xylazine (7 mg/kg, i.p.). The
spinal cord was completely transected at T8-T9 level in SCT and SCT + SNM groups.
Electrode wires were implanted into S3 dorsal foramina in both sham and SNM
groups, but only the SNM group was subjected to electrical stimulation for four
hours a day for three weeks. Twenty-one days later, the rats were sacrificed via
anesthetic overdose, and isolated longitudinal bladder strip preparations were
placed in organ baths for the investigation of their isometric responses to
pharmacological agents. RESULTS: In isometric contraction experiments, SCT was
found to increase the contraction responses of the bladder strips to muscarinic
stimulation, and SNM could not prevent this increase. In isometric relaxation
experiments, SCT caused a decrease in beta-adrenergic relaxation responses, and
SNM augmented the bladder's beta-adrenergic relaxation responses. Nitric oxide
did not affect the relaxation responses. CONCLUSION: In our rat model of SCT, SNM
seemed to alter adrenergic receptor function in the urinary bladder. Further
studies are required to clarify the mechanism of these alterations at the level
of bladder receptors following sacral neuromodulation.
PMID- 24917134
TI - The ecology of the planktonic diatom Cyclotella and its implications for global
environmental change studies.
AB - The fossil record of diatoms in lake sediments can be used to assess the effects
of climate variability on lake ecosystems if ecological relationships between
diatom community structure and environmental parameters are well understood.
Cyclotella sensu lato taxa are a key group of diatoms that are frequently
dominant members of phytoplankton communities in low- to moderate-productivity
lakes. Their relative abundances have fluctuated significantly in
palaeolimnological records spanning over a century in arctic, alpine, boreal and
temperate lakes. This suggests that these species are sensitive to environmental
change and may serve as early indicators of ecosystem effects of global change.
Yet patterns of change in Cyclotella species are not synchronous or
unidirectional across, or even within, regions, raising the question of how to
interpret these widespread changes in diatom community structure. We suggest that
the path forward in resolving seemingly disparate records is to identify clearly
the autecology of Cyclotella species, notably the role of nutrients, dissolved
organic carbon and light, coupled with better consideration of both the
mechanisms controlling lake thermal stratification processes and the resulting
effects of changing lake thermal regimes on light and nutrients. Here we begin by
reviewing the literature on the resource requirements of common Cyclotella taxa,
illustrating that many studies reveal the importance of light, nitrogen,
phosphorus, and interactions among these resources in controlling relative
abundances. We then discuss how these resource requirements can be linked to
shifts in limnological processes driven by environmental change, including
climate-driven change in lakewater temperature, thermal stratification and
nutrient loading, as well as acidification-driven shifts in nutrients and water
clarity. We examine three case studies, each involving two lakes from the same
region that have disparate trends in the relative abundances of the same species,
and illustrate how the mechanisms by which these species abundances are changing
can be deciphered. Ultimately, changes in resource availability and water clarity
are key factors leading to shifts in Cyclotella abundances. Tighter integration
of the autecology of this important group of diatoms with environmental change
and subsequent alterations in limnological processes will improve interpretations
of palaeolimnological records, and clarify the drivers of seemingly disparate
patterns in fossil records showing widespread and rapid changes across the
northern hemisphere.
PMID- 24917136
TI - Intrastromal application of riboflavin for corneal crosslinking.
AB - PURPOSE: To experimentally evaluate the efficacy of corneal crosslinking (CXL) by
injecting the photomediator riboflavin into the corneal stroma via intrastromal
channels. METHODS: Five groups of pig corneas, nine each, were compared regarding
stress-strain relationship and UV-absorption. Group 1 had intrastromal channels
floated with riboflavin 0.5%-solution followed by UVA-irradiation (3 mW/cm(2) for
30 minutes); group 2 was handled like group 1, but were irradiated with 9
mW/cm(2) for 10 minutes; group 3 was treated according to the Dresden protocol
(epi-off, 9 mW/cm(2) for 10 minutes); group 4 had the identical channel system,
no riboflavin but identical irradiation; group 5 with native corneas served as a
control group. The intrastromal channels were created with a femtosecond laser.
The stress-strain relations were measured in corneal strips using a uniaxial
material tester at strains up to 12%. The UV-transmission of the corneas was
measured in groups 1, 3, and 5. RESULTS: The stress needed for a 10% strain was
significantly increased by 82% in the corneas treated with the Dresden protocol
compared with native cornea (P = 0.0005). With intrastromal application of
riboflavin the significant increase was 87% (P = 0.0005) in group 1 and 64% (P =
0.007) in group 2. The channel formation alone did not alter biomechanics (P =
0.923). The corneal UVA-transmission was 2.4% after intrastromal riboflavin
application, 8.9% after the treatment according to the Dresden protocol, and
57.9% in native corneas. CONCLUSIONS: The experiments demonstrate the
intrastromal application of riboflavin by means of intrastromal channels a
feasible "epi-on" approach for CXL. More experimental data are needed before
clinical testing.
PMID- 24917135
TI - Mitigation of oxygen-induced retinopathy in alpha2beta1 integrin-deficient mice.
AB - PURPOSE: The alpha2beta1 integrin plays an important but complex role in
angiogenesis and vasculopathies. Published GWAS studies established a correlation
between genetic polymorphisms of the alpha2beta1 integrin gene and incidence of
diabetic retinopathy. Recent studies indicated that alpha2-null mice demonstrate
superior vascularization in both the wound and diabetic microenvironments. The
goal of this study was to determine whether the vasculoprotective effects of
alpha2-integrin deficiency extended to the retina, using the oxygen-induced
retinopathy (OIR) model for retinopathy of prematurity (ROP). METHODS: In the OIR
model, wild-type (WT) and alpha2-null mice were exposed to 75% oxygen for 5 days
(postnatal day [P] 7 to P12) and subsequently returned to room air for 6 days
(P12-P18). Retinas were collected at postnatal day 7, day 13, and day 18 and
examined via hematoxylin and eosin and Lectin staining. Retinas were analyzed for
retinal vascular area, neovascularization, VEGF expression, and Muller cell
activation. Primary Muller cell cultures from WT and alpha2-null mice were
isolated and analyzed for hypoxia-induced VEGF-A expression. RESULTS: In the
retina, the alpha2beta1 integrin was minimally expressed in endothelial cells and
strongly expressed in activated Muller cells. Isolated alpha2-null primary Muller
cells demonstrated decreased hypoxia-induced VEGF-A expression. In the OIR model,
alpha2-null mice displayed reduced hyperoxia-induced vaso-attenuation, reduced
pathological retinal neovascularization, and decreased VEGF expression as
compared to WT counterparts. CONCLUSIONS: Our data suggest that the alpha2beta1
integrin contributes to the pathogenesis of retinopathy. We describe a newly
identified role for alpha2beta1 integrin in mediating hypoxia-induced Muller cell
VEGF-A production.
PMID- 24917138
TI - Cyclic stretch and hypertension increase retinal succinate: potential mechanisms
for exacerbation of ocular neovascularization by mechanical stress.
AB - PURPOSE: We investigated succinate metabolism in cells undergoing clinically
relevant cyclic stretch and in spontaneously hypertensive rat (SHR) retina.
METHODS: We seeded ARPE-19 cells on 6-well BioFlex collagen I-coated, silicone
elastomer-bottomed culture plates. Cells then were subjected to pulsatile stretch
using a computer-controlled vacuum stretch apparatus. A physiologic stretch
frequency of 60 cycles per minute and 5% to 15% prolongation of the elastomer
bottomed plates were used. Succinate concentration was assessed by enzymatic
analysis and high-performance liquid chromatography-mass spectrometry. The VEGF
was measured using enzyme-linked immunosorbent assays. The 12-week-old male SHRs
and weight-matched Wistar-Kyoto (WKY) control rats were treated with or without
100 mg.kg(-1).day(-1) captopril for 1 week. The vitreous body and retina of each
rat were extracted after 1 week of therapy, and the vitreoretinal succinate
concentration was measured. RESULTS: Cells exposed to cyclic stretch accumulated
intracellular succinate in a time- and magnitude-dependent manner, and also
accumulated VEGF protein levels. Moreover, BAPTA/AM, an intracellular calcium
chelate reagent, significantly inhibited the stretch-induced succinate increase.
After cyclic stretch, levels of intracellular fumarate, a citric acid cycle
intermediate, also were significantly increased compared to controls. The
BAPTA/AM inhibited this increase. For the in vivo experiments, hypertension
increased vitreoretinal succinate and fumarate in SHRs compared to the
normotensive WKY controls. When hypertension was reduced using captopril,
vitreoretinal succinate returned to baseline levels. CONCLUSIONS: These findings
suggest that cyclic stretch and hypertension increased intracellular succinate in
cultured retinal pigment epithelial cells and the vitreoretinal succinate of SHRs
through a calcium-dependent pathway.
PMID- 24917137
TI - RNA expression analysis of passive transfer myasthenia supports extraocular
muscle as a unique immunological environment.
AB - PURPOSE: Myasthenia gravis demonstrates a distinct predilection for involvement
of the extraocular muscles (EOM), and we have hypothesized that this may be due
to a unique immunological environment. To assess this hypothesis, we took an
unbiased approach to analyze RNA expression profiles in EOM, diaphragm, and
extensor digitorum longus (EDL) in rats with experimentally acquired myasthenia
gravis (EAMG). METHODS: Experimentally acquired myasthenia gravis was induced in
rats by intraperitoneal injection of antibody directed against the acetylcholine
receptor (AChR), whereas control rats received antibody known to bind the AChR
but not induce disease. After 48 hours, animals were killed and muscles analyzed
by RNA expression profiling. Profiling results were validated using qPCR and
immunohistochemical analysis. RESULTS: Sixty-two genes common among all muscle
groups were increased in expression. These fell into four major categories: 12.8%
stress response, 10.5% immune response, 10.5% metabolism, and 9.0% transcription
factors. EOM expressed 212 genes at higher levels, not shared by the other two
muscles, and a preponderance of EOM gene changes fell into the immune response
category. EOM had the most uniquely reduced genes (126) compared with diaphragm
(26) and EDL (50). Only 18 downregulated genes were shared by the three muscles.
Histological evaluation and disease load index (sum of fold changes for all
genes) demonstrated that EOM had the greatest degree of pathology. CONCLUSIONS:
Our studies demonstrated that consistent with human myasthenia gravis, EOM
demonstrates a distinct RNA expression signature from EDL and diaphragm, which is
based on differences in the degree of muscle injury and inflammatory response.
PMID- 24917139
TI - Translation, cultural adaptation, and Rasch analysis of the visual function (VF
14) questionnaire.
AB - PURPOSE: To translate, culturally adapt, and validate the original and previously
validated shorter versions of the Visual Function Index (VF-14) questionnaire in
a Chinese population. METHODS: The VF-14 was completed by patients with cataract.
The analysis was carried out in three phases: phase I, testing whether the VF-14
and its valid shorter versions,VF-8R and VF-11R, form valid scales in Chinese
settings using Rasch analysis; phase II, developing completely new Chinese
versions of the VF-14; phase III, testing whether the previously validated
shorter versions of the VF-14 could be applied in a Chinese population. This was
tested by assessing the agreement between the new Chinese (developed in phase II)
and the previously validated shorter versions of the VF-14 using Bland-Altman
plots. RESULTS: A total of 456 patients (median age, 70 years; range, 40-92
years; females, 58%) completed the Chinese translated version of the VF-14. The
VF-14 and the VF-11R demonstrated good Rasch based psychometric properties when a
grossly misfitting item was removed. The VF-8R formed a valid scale without any
modification. The scores of the VF-11R and the Chinese shorter version (VF
11RChin) showed very good agreement, with a mean difference of -0.18 logits and
95% limits of agreement between 0.11 and -0.47. CONCLUSIONS: The Chinese
translated VF-14, VF-11R, and VF-8R were valid and could be applied to assess
cataract outcomes in Chinese settings. The existing shorter version had good
agreement with the new Chinese version, which signifies that there was no need to
develop a different version of the VF-14 in China.
PMID- 24917140
TI - Longitudinal study of iris concavity, corneal biomechanics, and correlations to
ocular biometry in a cohort of 10- to 12-year-old UK schoolboys: 2-year follow-up
data.
AB - PURPOSE: To explore changes in iris curvature over a 2-year period. To
investigate associations between iris curvature and ocular biometric parameters.
To explore relationships between a number of nonocular measurements and ocular
biometric parameters. METHODS: Schoolboys enrolled 2 years previously were
invited to return for anterior segment optical coherence tomography, corneal
hysteresis (CH), corneal resistance factor (CRF), and axial biometric
measurements. Refractive error was assessed and measures of height, weight, waist
circumference, digit ratio, and percentage body fat taken. RESULTS: Mean
spherical equivalent refraction reduced by 0.76 diopters and mean iris concavity,
defined as a measurement of less than or equal to -0.1 mm, increased by 0.018 mm
at distance fixation and 0.04 mm on accommodation. Compared with 2 years
previously, the prevalence of iris concavity increased from 24% to 32% on
distance fixation and from 65% to 84% on accommodation. Variables significantly
associated with nonaccommodating iris curvature were anterior chamber depth (ACD,
P = 0.029) and mean scleral spur angle (P = 0.0001). Variables significantly
associated with accommodating iris curvature were ACD (P = 0.02), lens vault (P =
0.047), and scleral spur angle (P < 0.0001). Significant association was again
found between CH and accommodating spur-to-spur distance (R(2) = 0.13, P =
0.007). CONCLUSIONS: Iris concavity was more prevalent in this cohort of
schoolboys than 2 years earlier. The degree of concavity remains related to ACD
and lens vault. The association between spur-to-spur distance and CH was similar
at baseline and after 2 years.
PMID- 24917141
TI - Association of LOXL1 polymorphisms with pseudoexfoliation, glaucoma, intraocular
pressure, and systemic diseases in a Greek population. The Thessaloniki eye
study.
AB - PURPOSE: To investigate the association of the two single-nucleotide
polymorphisms (SNPs) in the lysyl oxidase-like 1 (LOXL1) gene with
pseudoexfoliation syndrome (PEX), pseudoexfoliative glaucoma (PEXG), and primary
open-angle glaucoma (POAG) in a Greek population-based setting, from the
Thessaloniki Eye study. METHODS: A total of 233 subjects with successful DNA
extraction, PCR amplification, and genotyping were included in the genetic
analysis of G153D and R141L SNPs of LOXL1 gene and classified into four groups:
controls (n = 93); subjects with PEX (n = 40); POAG (n = 66); and PEXG (n = 34).
Multinomial logistic regression was used to test their association with LOXL1
SNPs with adjustment for covariates. The association of LOXL1 with IOP (in
untreated subjects) and with systemic diseases was explored. RESULTS: Both LOXL1
SNPs were present in high frequencies in controls and cases. The G153D was
strongly associated with both PEX (odds ratio [OR] = 23.2, P = 0.003 for allele
G) and PEXG (OR = 24.75, P = 0.003 for allele G) and was not associated with POAG
(P = 0.451). In contrast, the R141L was not associated with PEX (P = 0.81), PEXG
(P = 0.063), or POAG (P = 0.113). No association of the G153D with either
intraocular pressure (IOP) or systemic diseases was found. CONCLUSIONS: In the
Thessaloniki Eye Study, the G153D SNP of LOXL1 gene was strongly associated with
both PEX and PEXG, whereas the R141L was not associated. No association of the
LOXL1 with IOP or with systemic diseases was found. These findings further
support the hypothesis that the LOXL1 gene contributes to onset of PEXG through
PEX. Gene variants of LOXL1 do not help to identify those with PEX at increased
risk for glaucoma development.
PMID- 24917143
TI - Retinal topography of myopic eyes: a spectral-domain optical coherence tomography
study.
AB - PURPOSE: The purpose of this study was to investigate topographic characteristics
of the retina in myopic eyes. METHODS: We reviewed spectral-domain optical
coherence tomography (SD-OCT) images of patients with myopia retrospectively.
Retinal topography (RT) was defined as the topography of the retinal pigment
epithelium layers. Retinal topographies were classified into several types, and
the distribution and characteristics of each type were assessed in eyes with
varying degrees of axial length (AL). RESULTS: A total of 167 subjects with
myopia were included in this study. Seventy eyes (41.9%) were classified as
regular (R) type, 48 (28.7%) were wave (W) type, 32 (19.2%) were band (B) type,
and 17 (10.2%) were pond (P) type. The distribution of these types varied
significantly among eyes with different degrees of AL (P < 0.001); R-type was
predominant in eyes with 24 mm less than or equal to AL less than 26 mm, and P
type in eyes with AL greater than or equal to 28 mm. The retina sloped away from
the cornea more frequently on the nasal side than it did on the temporal side or
in between the nasal and the temporal sides, with the exception of the P-type RT.
Topography of the central zone was frequently slanted, except in B-type eyes. The
difference between the refractive and corneal astigmatism of eyes with P-type RT
was greater than that of B-type eyes (P = 0.002). CONCLUSIONS: Retinal topography
varies according to the degree of AL. This variation in RT may originate from the
differences between healthy eyes and highly myopic eyes, and may be related to
the optical characteristics of the eye.
PMID- 24917142
TI - The role of cytochrome P450 epoxygenases in retinal angiogenesis.
AB - PURPOSE: The purpose of this study was to investigate the role(s) of cytochrome
P450 epoxygenases (CYPs) and their products, the epoxyeicosatrienoic acids
(EETs), in hypoxia-induced VEGF production and pathologic retinal angiogenesis.
METHODS: Human retinal astrocytes, Muller cells, and retinal microvascular
endothelial cells (HRMEC) were exposed to hypoxia, and relative CYP2C expression
was measured by RT-PCR. Astrocyte and Muller cell VEGF production was measured by
ELISA after exposure to hypoxia and treatment with the general CYP inhibitor, SKF
525a. Human retinal microvascular endothelial cells were treated with the CYP
product, 11,12-epoxyeicosatrienoic acid [EET], or SKF-525a in the presence or
absence of VEGF. Proliferation of HRMEC and tube formation were assayed. Oxygen
induced retinopathy (OIR) was induced in newborn rats. Retinal CYP2C11 and
CYP2C23 expression were measured by RT-PCR. The OIR rats received SKF-525a by
intravitreal injection and preretinal neovascularization (NV) was quantified.
Retinal VEGF protein levels were measured by ELISA. RESULTS: Human retinal
astrocytes were the only cells to exhibit significant induction of CYP2C8 and
CYP2C9 mRNA expression by hypoxia. Astrocytes, but not Muller cells, exhibited
reduced hypoxia-induced VEGF production when treated with SKF-525a. 11,12-EET
induced HRMEC proliferation and tube formation, and SKF-525a inhibited VEGF
induced proliferation. Oxygen-induced retinopathy induced expression of CYP2C23,
but had no effect on CYP2C11. SKF-525a inhibited retinal NV and reduced retinal
VEGF levels in OIR rats. CONCLUSIONS: The CYP-derived 11,12-EET may exhibit a
proangiogenic biological function in the retina following stimulation by hypoxia
in astrocytes. Inhibition of CYP may provide a rational therapy against retinal
NV, because it can reduce VEGF production and VEGF-induced angiogenic responses
in endothelial cells.
PMID- 24917145
TI - Angiopoietin-1 is regulated by miR-204 and contributes to corneal
neovascularization in KLEIP-deficient mice.
AB - PURPOSE: Corneal neovascularization can cause loss of vision. The introduction of
anti-VEGF therapy has been a major improvement in therapeutic options. Recently,
we established Kelch-like Ect2-interacting protein (KLEIP/KLHL20) knockout mice
as a model of spontaneous corneal neovascular dystrophy. The aim of the present
study was to characterize corneal neovascularization in progressive corneal
dystrophy in KLEIP(-/-) mice, to evaluate the efficacy of anti-VEGF therapy, and
to identify novel molecular regulators in this experimental model. METHODS:
Corneal neovascularization was assessed by immunohistochemistry. Vascular
endothelial growth factor signaling was inhibited by injection of a blocking
antibody. Microarrays were used to measure expression of mRNA and microRNA
(miRNA) in dystrophic corneae. Results were validated by immunohistochemistry and
Western blotting. RESULTS: Blood vessels and lymphatics grew from the limbus
toward the dystrophic epithelium in corneae of KLEIP(-/-) mice. Blocking VEGF
signaling did not reduce phenotype progression. Correspondingly, microarray
analysis revealed no upregulation of canonical vascular growth factors in late
dystrophy. During phenotype progression, angiopoietin-1 expression increased
while miR-204 expression decreased. Bioinformatic analysis identified a binding
site for miR-204 in the angiopoietin-1 gene. Validation by in vitro experiments
confirmed regulation of angiopoietin-1 by miR-204. CONCLUSIONS: Vascular
endothelial growth factor does not act as a major player in corneal
neovascularization in KLEIP(-/-) mice. However, the proangiogenic factor
angiopoietin-1 was strongly upregulated in late-stage phenotype, correlating with
loss of miR-204 expression. Correspondingly, we identified miR-204 as a novel
regulator of angiopoietin-1 in vitro. These findings may explain the incomplete
efficacy of anti-VEGF therapy in the clinic and may provide new candidates for
pharmaceutical intervention.
PMID- 24917146
TI - NF-kappaB mediates the survival of corneal myofibroblast induced by angiotensin
II.
AB - PURPOSE: To investigate the role of angiotensin II (Ang II) in the regulation of
corneal myofibroblast apoptosis and the possible signaling pathway. METHODS:
Rabbit corneal myofibroblasts were cultured in vitro and the cell phenotype was
identified by expression of alpha-smooth muscle actin (alpha-SMA) and formation
of F-actin. The expression of Ang II type I receptor (AT1R) in keratocytes and
corneal myofibroblasts were detected by immunofluorescence staining and Western
blot. The effect of Ang II on corneal myofibroblast apoptosis induced by serum
starvation and TNFalpha plus cycloheximide (CHX) was examined by TUNEL, Hoechst
33258 staining, and caspase 3/7 activity assay. The effect of Ang II on nuclear
factor-kappaB (NF-kappaB)-dependent DNA binding activity and transcriptional
activity was studied by electrophoresis mobility shift assay (EMSA) and
luciferase reporter assay, respectively. Ang II-induced TGFbeta1 secretion by
corneal myofibroblasts was determined by ELISA. RESULTS: Ang II type I receptor
expression was more abundant in corneal myofibroblasts compared with keratocytes.
Ang II reduced corneal myofibroblasts apoptotic response to serum starvation or
treatment with TNFalpha plus CHX. This protective effect was attenuated in the
presence of AT1R antagonist losartan or NF-kappaB-specific inhibitor Bay11-7082.
Ang II increased NF-kappaB-dependent DNA-binding activity and transcriptional
activity, and also increased TGFbeta1 production by corneal myofibroblasts.
CONCLUSIONS: Ang II induces corneal myofibroblasts resistance to apoptosis via
activating NF-kappaB signaling pathway, and thus should be further investigated
as a possible target for therapy of corneal fibrosis.
PMID- 24917144
TI - Mitochondrial polymorphism A10398G and Haplogroup I are associated with Fuchs'
endothelial corneal dystrophy.
AB - PURPOSE: We investigated whether mitochondrial DNA (mtDNA) variants affect the
susceptibility of Fuchs endothelial corneal dystrophy (FECD). METHODS: Ten mtDNA
variants defining European haplogroups were genotyped in a discovery dataset
consisting of 530 cases and 498 controls of European descent from the Duke FECD
cohort. Association tests for mtDNA markers and haplogroups were performed using
logistic regression models with adjustment of age and sex. Subset analyses
included controlling for additional effects of either the TCF4 SNP rs613872 or
cigarette smoking. Our replication dataset was derived from the genome-wide
association study (GWAS) of the FECD Genetics Consortium, where genotypes for
three of 10 mtDNA markers were available. Replication analyses were performed to
compare non-Duke cases to all GWAS controls (GWAS1, N = 3200), and to non-Duke
controls (GWAS2, N = 3043). RESULTS: The variant A10398G was significantly
associated with FECD (odds ratio [OR] = 0.72; 95% confidence interval [CI] =
[0.53, 0.98]; P = 0.034), and remains significant after adjusting for smoking
status (min P = 0.012). This variant was replicated in GWAS1 (P = 0.019) and
GWAS2 (P = 0.036). Haplogroup I was significantly associated with FECD (OR =
0.46; 95% CI = [0.22, 0.97]; P = 0.041) and remains significant after adjusting
for the effect of smoking (min P = 0.008) or rs613872 (P = 0.034). CONCLUSIONS:
The 10398G allele and Haplogroup I appear to confer significant protective
effects for FECD. The effect of A10398G and Haplogroup I to FECD is likely
independent of the known TCF4 variant. More data are needed to decipher the
interaction between smoking and mtDNA haplogroups.
PMID- 24917147
TI - Rates of glaucomatous visual field change in a large clinical population.
AB - PURPOSE: To determine the rate of glaucomatous visual field change in routine
clinical care. METHODS: Mean deviation (MD) rate was computed in one randomly
selected eye of all glaucoma patients and suspects with >=5 examinations in a
tertiary eye-care center. Proportions of "fast" (MD rate, <-1 to -2 dB/y) and
"catastrophic" (<-2 dB/y) progressors were determined. The MD rates were computed
in tertile groups by the number of examinations, baseline age, and MD. The MD
rates were compared to the Canadian Glaucoma Study (CGS), a prospective study
with IOP interventions mandated by visual field progression, by pairwise matching
of patients by baseline MD. RESULTS: There were 2324 patients with median
(interquartile range) baseline age and MD of 65 (56, 74) years and -2.44 (-5.44,
0.86) dB, and follow-up of 7.1 (4.8, 10.2) years with 8 (6, 11) examinations. The
median MD rate was -0.05 (0.13, -0.30) dB/y, while the mean follow-up IOP was
17.1 (15.0, 19.7) mm Hg. The MD rate was progressively worse, with a doubling of
fast and catastrophic progressors, with each tertile of increasing age. Worse MD
rate was associated with lower follow-up IOP. Neither MD rate nor the number of
fast and catastrophic progressors was significantly different in clinical care
patients matched to CGS patients. CONCLUSIONS: Most patients under routine
glaucoma care demonstrate slow rates of visual field progression. The MD rate in
the current study was similar to an interventional prospective study, but
considerably less negative compared to published studies with similar design.
PMID- 24917148
TI - Evaluation of protein supplementation for growing cattle fed grass silage-based
diets: a meta-analysis.
AB - The objective of this meta-analysis was to develop empirical equations predicting
growth responses of growing cattle to protein intake. Overall, the data set
comprised 199 diets in 80 studies. The diets were mainly based on grass silage or
grass silage partly or completely replaced by whole-crop silages or straw. The
concentrate feeds consisted of cereal grains, fibrous by-products and protein
supplements. The analyses were conducted both comprehensively for all studies and
also separately for studies in which soybean meal (SBM; n=71 diets/28 studies),
fish meal (FM; 27/12) and rapeseed meal (RSM; 74/35) were used as a protein
supplement. Increasing dietary CP concentration increased (P<0.01) BW gain (BWG),
but the responses were quantitatively small (1.4 g per 1 g/kg dry matter (DM)
increase in dietary CP concentration). The BWG responses were not different for
bulls v. steers and heifers (1.4 v. 1.3 g per 1 g/kg DM increase in dietary CP
concentration) and for dairy v. beef breeds (1.2 v. 1.7 g per 1 g/kg,
respectively). The effect of increased CP concentration declined (P<0.01) with
increasing mean BW of the animals and with improved BWG of the control animals
(the lowest CP diet in each study). The BWG responses to protein supplementation
were not related to the CP concentration in the control diet. The BWG responses
increased (P<0.05) with increased ammonia N concentration in silage N and
declined marginally (P>0.10) with increasing proportion of concentrate in the
diet. All protein supplements had a significant effect on BWG, but the effects
were greater for RSM (P<0.01) and FM (P<0.05) than for SBM. Increasing dietary CP
concentration improved (P<0.01) feed efficiency when expressed as BWG/kg DM
intake, but decreased markedly when expressed as BWG/kg CP intake. Assuming CP
concentration of 170 g/kg BW marginal efficiency of the utilisation of
incremental CP intake was only 0.05. Increasing dietary CP concentration had no
effects on carcass weight, dressing proportion or conformation score, but it
increased (P<0.01) fat score. Owing to limited production responses, higher
prices of protein supplements compared with cereal grains and possible increases
the N and P emissions, there is generally no benefit from using protein
supplementation for growing cattle fed grass silage-based diets, provided that
the supply of rumen-degradable protein is not limiting digestion in the rumen.
PMID- 24917149
TI - Update on the genomics and basic biology of Brachypodium: International
Brachypodium Initiative (IBI).
AB - The scientific presentations at the First International Brachypodium Conference
(abstracts available at http://www.brachy2013.unimore.it) are evidence of the
widespread adoption of Brachypodium distachyon as a model system. Furthermore,
the wide range of topics presented (genome evolution, roots, abiotic and biotic
stress, comparative genomics, natural diversity, and cell walls) demonstrates
that the Brachypodium research community has achieved a critical mass of tools
and has transitioned from resource development to addressing biological
questions, particularly those unique to grasses.
PMID- 24917150
TI - Selecting the quality of mule duck fatty liver based on near-infrared
spectroscopy.
AB - BACKGROUND: "Foie gras" is produced predominantly in France and about 90% of the
commercialized product is obtained from male mule ducks. The melting rate
(percentage of fat released during cooking) is the main criterion used to
determine the quality of "foie gras". However, up to now the melting rate could
not be predicted without causing liver damage, which means that selection
programs could not use this criterion. METHODS: Fatty liver phenotypes were
obtained for a population of over 1400 overfed male mule ducks. The phenotypes
were based on two types of near-infrared spectra (on the liver surface and on
ground liver) in order to predict the melting rate and liver composition (ash,
dry matter, lipid and protein contents). Genetic parameters were computed in
multiple traits with a "sire-dam" model and using a Gibbs sampling approach.
RESULTS: The estimates for the genetic parameters show that the measured melting
rate and the predicted melting rate obtained with two near-infrared spectrometer
devices are genetically the same trait: genetic correlations are very high
(ranging from +0.89 to +0.97 depending on the mule duck parental line and the
spectrometer) and heritabilities are comparable. The predictions based on the
spectra of ground liver samples using a laboratory spectrometer correlate with
those based on the surface spectra using a portable spectrometer (from +0.83 to
+0.95 for dry matter, lipid and protein content) and are particularly high for
the melting rate (higher than +0.95). Although less accurate than the predictions
obtained using the spectra of ground liver samples, the phenotypic prediction of
the melting rate based on surface spectra is sufficiently accurate to be used by
"foie gras" processors. CONCLUSIONS: Near-infrared spectrometry is an efficient
tool to select liver quality in breeding programs because animals can be ranked
according to their liver melting rate without damaging their livers. Thus, these
original results will help breeders to select ducks based on the liver melting
rate, a crucial criterion that defines the quality of the liver and for which
there was previously no accurate predictor.
PMID- 24917151
TI - Predicting climate change effects on surface soil organic carbon of Louisiana,
USA.
AB - This study aimed to assess the degree of potential temperature and precipitation
change as predicted by the HadCM3 (Hadley Centre Coupled Model, version 3)
climate model for Louisiana, and to investigate the effects of potential climate
change on surface soil organic carbon (SOC) across Louisiana using the Rothamsted
Carbon Model (RothC) and GIS techniques at the watershed scale. Climate data sets
at a grid cell of 0.5 degrees * 0.5 degrees for the entire state of Louisiana
were collected from the HadCM3 model output for three climate change scenarios:
B2, A2, and A1F1, that represent low, higher, and even higher greenhouse gas
emissions, respectively. Geo-referenced datasets including USDA-NRCS Soil
Geographic Database (STATSGO), USGS Land Cover Dataset (NLCD), and the Louisiana
watershed boundary data were gathered for SOC calculation at the watershed scale.
A soil carbon turnover model, RothC, was used to simulate monthly changes in SOC
from 2001 to 2100 under the projected temperature and precipitation changes. The
simulated SOC changes in 253 watersheds from three time periods, 2001-2010, 2041
2050, and 2091-2100, were tested for the influence of the land covers and
emissions scenarios using SAS PROC GLIMMIX and PDMIX800 macro to separate Tukey
Kramer (p < 0.01) adjusted means into letter comparisons. The study found that
for most of the next 100 years in Louisiana, monthly mean temperature under all
three emissions projections will increase; and monthly precipitation will,
however, decrease. Under three emission scenarios, A1FI, A2, and B2, the mean SOC
in the upper 30-cm depth of Louisiana forest soils will decrease from 33.0 t/ha
in 2001 to 26.9, 28.4, and 29.2 t/ha in 2100, respectively; the mean SOC of
Louisiana cropland soils will decrease from 44.4 t/ha in 2001 to 36.3, 38.4, and
39.6 t/ha in 2100, respectively; the mean SOC of Louisiana grassland soils will
change from 30.7 t/ha in 2001 to 25.4, 26.6, and 27.0 t/ha in 2100, respectively.
Annual SOC changes will be significantly different among the land cover classes
including evergreen forest, mixed forest, deciduous forest, small grains, row
crops, and pasture/hay (p < 0.0001), emissions scenarios (p < 0.0001), and their
interactions (p < 0.0001).
PMID- 24917152
TI - Cdk5 phosphorylation of EFhd2 at S74 affects its calcium binding activity.
AB - EFhd2 is a calcium binding protein, which is highly expressed in the central
nervous system and associated with pathological forms of tau proteins in
tauopathies. Previous phosphoproteomics studies and bioinformatics analysis
suggest that EFhd2 may be phosphorylated. Here, we determine whether Cdk5, a
hyperactivated kinase in tauopathies, phosphorylates EFhd2 and influence its
known molecular activities. The results indicated that EFhd2 is phosphorylated by
brain extract of the transgenic mouse CK-p25, which overexpresses the Cdk5
constitutive activator p25. Consistently, in vitro kinase assays demonstrated
that Cdk5, but not GSK3beta, directly phosphorylates EFhd2. Biomass, tandem mass
spectrometry, and mutagenesis analyses indicated that Cdk5 monophosphorylates
EFhd2 at S74, but not the adjacent S76. Furthermore, Cdk5-mediated
phosphorylation of EFhd2 affected its calcium binding activity. Finally, a
phospho-specific antibody was generated against EFhd2 phosphorylated at S74 and
was used to detect this phosphorylation event in postmortem brain tissue from
Alzheimer's disease and normal-aging control cases. Results demonstrated that
EFhd2 is phosphorylated in vivo at S74. These results imply that EFhd2's
physiological and/or pathological function could be regulated by its
phosphorylation state.
PMID- 24917153
TI - Low-intensity running exercise enhances the capillary volume and pro-angiogenic
factors in the soleus muscle of type 2 diabetic rats.
AB - INTRODUCTION: We determined the effects of low-intensity exercise on the three
dimensional capillary structure and associated angiogenic factors in the soleus
muscle of Goto-Kakizaki (GK) diabetic rats. METHODS: Four groups of male rats
were studied: sedentary nondiabetic (Con), exercised nondiabetic control (Ex),
sedentary GK, and exercised GK (GK+Ex). Rats in the Ex and GK+Ex groups were
subjected to chronic low-intensity running on a treadmill (15 m/min, 60
min/session, 5 sessions/week for 3 weeks). RESULTS: Although mean capillary
volume and diameter were lower in the GK compared with all other groups, low
intensity exercise increased both of these measures in GK rats. Mitochondrial
markers, i.e., SDH activity and PGC-1alpha expression, and the levels of
angiogenic factors were higher in the GK+Ex than all other groups. Exercise
increased vascular endothelial growth factor (VEGF) protein levels and the VEGF
to-TSP-1 ratio, an indicator of angiogenesis, in GK rats. CONCLUSIONS: Combined,
the results indicate that low-intensity exercise reduces some of the
microcirculatory complications in type 2 diabetic muscles.
PMID- 24917154
TI - [A study of the usefulness of flow-adjustable bilateral pulmonary artery banding
(FABPAB) for hypoplastic left heart syndrome( HLHS) or HLHS variant].
AB - BACKGROUNDS: Bilateral pulmonary artery banding( BPAB), a relatively minimally
invasive procedure, has begun to be undertaken as the initial operation for the
treatment of hypoplastic left heart syndrome (HLHS) or HLHS variant. In the
opinion of the present authors, it is practicable to avoid stepwise operations
with cardiopulmonary bypass (CPB) in newborn patients by conducting an initial
operation consisting of flow-adjustable bilateral pulmonary artery banding
(FABPAB) combined with transcatheter pulmonary artery balloon dilatation( PABD),
followed in a subsequent treatment course by transcatheter PABD, which would
allow for more minimally invasive adjustment of the pulmonary artery bloodflow.
This study was conducted as a retrospective evaluation of the usefulness of this
therapeutic strategy. METHODS: Fourteen patients who underwent BPAB as the
initial operation for HLHS/HLHS variant between April 2008 and October 2013 were
included in this study. Stepwise surgical repair including BPAB was performed via
a median sternotomy as the initial operation, without the use of CPB. And 7
patients underwent transcatheter PABD successfully. RESULTS: In this series, 7
patients developed a significant pulmonary artery index (PAI) after PABD (pre
PABD:148.3+/-63.9 vs. post PABD:232.5+/-73.2, p<0.05). No need of the pulmonary
artery reconstruction at the 2nd operation. One patient failed to survive the
operation, in which difficulty in adjustment of atrioventricular valvular
regurgitation was encountered after the Norwood procedure. There were 3 patients
who underwent the Norwood combined BDG at the mean age of 4.6 months and the mean
body weight of 5.5 kg. Fontan procedure was accomplished in 3 of the 6 patients
of the survivor, while 3 patients were on standby for the Fontan procedure.
CONCLUSION: We performed BPAB as the initial operation combined with PABD in the
treatment of HLHS/HLHS variant, which enabled avoidance of the initial operation
with cardiopulmonary bypass at the neonatal stage and developed a significant
pulmonary artery index after PABD.
PMID- 24917155
TI - [Bilateral pulmonary artery banding using ligation clips and facile Norwood-Glenn
procedure].
AB - Bilateral pulmonary artery banding( BPAB), though a less-invasive surgical option
for hypoplastic left heart syndrome (HLHS), entails considerable risk of residual
pulmonary artery stenosis after de-banding. Autologous aortic reconstruction in
Norwood procedure is attractive in terms of growth potential, but technically
demanding. To overcome these drawbacks, we modified the 2 techniques. Eight
patients with HLHS underwent BPAB whereby ligation clips were half-closed into
rhombic shape to deform bilateral pulmonary arteries. The arterial duct was kept
patent by prostaglandin E1 infusion. One patient died of sepsis( age 8 months),
while the 7 survivors underwent Norwood-Glenn procedure. Both pulmonary arteries
were excised from the pulmonary trunk with minimal cuffs. Resultant defect in the
pulmonary trunk was longitudinally closed. After arterial duct excision,
pulmonary trunk-to descending aorta continuity was reconstructed by end-to-end
anastomosis. Ascending aorta-to-aortic arch complex was anastomosed to the
pulmonary trunk in a side-to-side fashion. After bilateral pulmonary artery
continuity was reconstructed, Glenn anastomosis was made. One patient died of
pneumonia(age 5 months). Currently, the 6 surviving patients(age 4~30 months),
enjoy good health. Four of them have completed Fontan procedure. Our modified
techniques are facile, reproducible, and pose low risk of residual pulmonary
artery stenosis or aortic stenosis.
PMID- 24917156
TI - [Reconstruction of pulmonary blood flow in the Norwood procedure; Blalock-Taussig
shunt; from bench to surgery].
AB - Although the right-ventricle to pulmonary artery( RV-PA) shunt as a source of
pulmonary blood supply of Norwood procedure has improved early outcomes,
disadvantages including right ventricular dysfunction or arrhythmias have been
reported. So it has been still remained controversial whether BT shunt or RV-PA
conduit should be selected. We examined the influence of Blalock-Taussig( BT)
shunt size on regulation of the pulmonary blood flow in experimental model of a
univentricular heart to determine the specific guidelines regarding suitable
shunt size in the Norwood procedure. The canine univentricular heart model with
the ratio of shunt size to body weight (SS/BW) of 0.8 to 1.1 showed significant
negative correlation between the pulmonary/systemic blood flow ratio( Qp/Qs)and
arterial PCo2, but those with SS/BW of 1.1 to 1.4 did not. Similar phenomena were
shown with the grouped data on relationship between the Qp/Qs and inspired oxygen
fraction. These findings imply that when SS/BW is 0.8 to 1.1, the Qp/Qs is
controllable by physiologic respiratory manipulations. In the context of our
clinical experiences, SS/BW of 0.9 to 1.0 is considered a useful index for
suitable BT shunt in the Norwood procedure.
PMID- 24917157
TI - [Impacts of bilateral pulmonary artery banding on the surgical and long-term
outcomes in hypoplastic left heart syndrome( HLHS) and its variants].
AB - Although Norwood-type operation is a fundamental procedure for hypoplastic left
heart syndrome and its variants, the surgical risk is still high even in the high
volume center. Bilateral pulmonary artery (PA) banding( BPAB) is an alternative
procedure to avoid the risk in the neonatal period. We clarified the impacts of
BPAB on the surgical and long-term outcomes in HLHS and its variants. Consecutive
85 patients( classical HLHS:52, variant:33) who underwent surgical intervention
between July, 1993 and December, 2012 were evaluated. Fetal diagnosis was done in
37 patients (44%). Forty-two patients underwent primary Norwood operation[ Gp N,
Blalock-Taussig( BT):29, right-ventricle( RV)-PA conduit:13] and the remaining 43
patients underwent BPAB followed by Norwood operation. Among them, 4 patients did
not reach Norwood [9%, atrioventricular valve regurgitation (AVVR):1,
infection:1, preoperative shock:2). The survived 39 patients were divided into 2
groups;Gp BN (BPAB -> Norwood+BT/RV-PA conduit, n=22), Gp BG [BPAB ->
Norwood+bidirectional Glenn procedure(BDG), n=17]. BPAB for HLHS and its variants
is useful in terms of lower mortality. The 2nd stage palliation is so important
that modified Norwood procedure with BT shunt or RV-PA conduit contribute to the
lower morbidity including neurological outcome. Norwood+BDG strategy might be
beneficial for the high risk patients such as with poor cardiac function or low
body weight.
PMID- 24917158
TI - [Treatment strategy toward Fontan completion in hypoplastic left heart syndrome].
AB - The Norwood procedure with right ventricle-pulmonary artery (RV-PA) conduit is
thought to improve post-operative hemodynamics in hypoplastic left heart
syndrome( HLHS). Prematurity( GA<37 weeks), body weight less than 2.5 kg and
tricuspid regurgitation( TR)2+ or more were associated with mortality. For
requiring better outcome, paralyzed ventilation, inherent N2 are indicated, to
avoid preoperative high pulmonary blood flow followed by progressive TR. Rapid
staged bilateral pulmonary artery banding( PAB) might improve the outcome for
this high mortality group. As operative improvement, the distal neoaortic
reconstruction was completed without any patch materials in most case. For
achievement this, it is important that aortic arch and ascending aorta just
opposite the site of the innominate artery were sutured inferiorly to extend the
width of the aortic arch. Also we have developed a modified strategy to control
RV-PA shunt flow, which is partial clipping on the ePTFE graft. Because
significant TR associated with mortality, TR should be surgically treated at any
sage of reconstruction. At bidirectional Glenn operation, 70% of patient were
left the RV-PA conduit as additional flow. Hospital mortality after Fontan
completion for HLHS is excellent with short averaging more than 95%. Extracardiac
conduit with fenestration is indicated in all HLHS patients.
PMID- 24917159
TI - [Improvement of outcomes in the surgical treatment of hypoplastic left heart
syndrome (HLHS) with staged Norwood operation].
AB - On behalf of rapid progress of diagnostic technologies and new development of
surgical technique or strategy, outcomes of surgical treatment of hypoplastic
left heart syndrome (HLHS) has remarkably improved in the current practice. One
of such approaches is the staged Norwood operation. We have reviewed our patients
(n=54) between 2003 and 2013. A half of the patients with the staged group
accomplished Norwood procedure and concomitant bidirectional cavopulmonary shunt
at the mean age of 4 months old. An another half of the patients underwent
secondary Norwood operation with Blalock-Taussig( BT) shunt or right-ventricle to
pulmonary artery( RV-PA) conduit, because of ductal closure in spite of
prostaglandin, or progressive reversed coarctation. Cardiac catheterization
showed good results in both the primary (n=17) and the staged approach (n=37),
partly because even in the primary Norwood group we used a smaller calibered
graft for BT shunt or RV-PA conduit to unload the ventricle as much as possible.
In conclusion, since the surgical mortality of staged group was superior to that
of the primary group and the mid-term survival in the staged seemed to be better
than that of primary, we would pursue our strategy of the staged approach in the
surgical treatment of hypoplastic left heart syndrome.
PMID- 24917160
TI - [Surgical strategy and the results for hypoplastic left heart syndrome].
AB - OBJECTIVE: We retrospectively reviewed our surgical results in patients with
hypoplastic left heart syndrome( HLHS) to investigate the influence of surgical
strategy on outcome. METHODS: Seventy-seven patients with classic HLHS were
involved in this study. For the initial palliation, 23 patients underwent Norwood
operation with modified Blalock-Taussig (BT) shunt, 23 patients underwent Norwood
operation with right ventricle to pulmonary artery( RV-PA) shunt and 31 patients
underwent bilateral pulmonary artery banding (BPAB). Surgical results, freedom
from Fontan operation, hemodynamic data and incidence of complication after
Fontan operation were compared between the 3 groups. RESULTS: BPAB group had more
preoperative risk factors than Norwood group. Total actuarial survival was 62.4%
at 1 year and 58.2% at 3 and 5 years, and there was no difference between the
groups. Freedom from Fontan completion was 59.4% at 3 years and 48.7% at 5 years
without difference between the groups. The incidence of intervention for
pulmonary artery stenosis was higher in Norwood with RV-PA shunt group(52.9%).
Hemodynamic data obtained by cardiac catheterization were similar in the 3
groups, however, end-systolic elastance, which represents ventricular
contractility, was lower in Norwood with RV-PA group. CONCLUSIONS: Surgical
results of Norwood with BT shunt and Norwood with RV-PA shunt were comparably
satisfactory, however, there was possible concern of reduced ventricular
contractility in RV-PA shunt group. BPAB was a effective and useful initial
palliation in high risk cases.
PMID- 24917161
TI - [The treatment strategy for hypoplastic left heart syndrome].
AB - In the recent years, the outcomes of treatment for hypoplastic left heart
syndrome (HLHS) is improved because of perioperative management and procedure. We
adopt a strategy of the primary Norwood procedure basically for neonates with
HLHS, however bilateral pulmonary artery banding precede in patients with risk
factors. In the Norwood procedure, aortic arch is reconstructed without
artificial prostheses and pulmonary blood flow is supplied from the Blalock
Taussig shunt or the right ventricle-pulmonary artery shunt by case. We
administer high dose vasodilators and nitric oxide gas with low resistance
strategy after the Norwood procedure. The survival rate of patients with HLHS
after 2005 is 84.2% in this strategy.
PMID- 24917162
TI - [Empyema that was diagnosed as primary ciliary dyskinesia by electron
microscopical image of nasal mucosa].
AB - A patient was 17-year-old. She had a history of repeated pneumonia and sinusitis.
She was admitted to our hospital with the diagnosis of pneumonia. Although she
was prescribed a course of antibiotics, chest roentgenogram and computed
tomography showed increased and capselized pleural effusion, suggesting the
development of acute empyema. Capsulized effusion and pus in thorax were removed
by surgery. A part of lingular segment was raptured necessitating the partial
resection of lingular segment. Her postoperative course was well. Her history of
repeated pneumonia and sinusitis indicated the existence of primary ciliary
dyskinesia, and the biopsy of nasal mucosa was done. The electron microscopical
image of nasal mucosa showed defect of inner dynein arm. We made a diagnosis of
primary ciliary dyskinesia based on her previous history and electron
microscopical image.
PMID- 24917163
TI - [Video-assisted thoracoscopic surgery for intrathoracic extramedullary
hematopoiesis].
AB - Extramedullary hematopoiesis (EMH) is associated with hematopoietic disorders,
with intrathoracic EMH being a rare presentation. We report a case of posterior
mediastinal EMH masses in 63-year-old male with congenital hemolytic anemia.
Multiple paravertebral masses with dense soft parts and well defined borders, but
without accompanying calcification or bone erosion were identified on a chest
computed tomography (CT) scan during an examination for another disease. A left
posterior mediastinal mass was resected using video-assisted thoracoscopic
surgery. Post-operative pathological examination showed that the tumor was
covered completely by a capsule, and composed of mature adipose tissue and
hematopoietic tissue including erythroblasts and myeloid cells. Based on these
findings we diagnosed intrathoracic EMH.
PMID- 24917164
TI - [A patient with descending necrotizing mediastinitis successfully treated by
cervical, transthoracic, and mediastinoscopic drainage].
AB - A 62-year-old man was admitted to a local hospital for cervical abscess. He was
given an antibiotic, but his symptoms worsened. Computed tomographic cervical and
chest scan 6 days after hospitalization revealed that left cervical abscess
extended to the mediastinum. He was transferred to our hospital following a
diagnosis of descending necrotizing mediastinitis. Cervical and left
transthoracic drainage through a left cervical incision and a left antero
axillary thoracotomy were performed on hospital day 1. On hospital day 2, chest
radiograph revealed enlargement of the superior mediastinal shadow.
Mediastinoscopic drainage was performed for the abscess in the paratracheal space
on hospital day 3. Two mediastinal drainage tubes were placed in the upper and
middle mediastinal space using mediastioscopy. Postoperatively, he required
additional right transthoracic drainage by chest tube for pleural effusion on
hospital day 5. Then his clinical and radiological findings gradually improved,
and he was discharged from hospital day 37.
PMID- 24917165
TI - [Cutaneous metastasis from lung adenocarcinoma resected 34 months before
surviving 5-year after skin tumor resection without relapse].
AB - A 79-year-old man complained incurable skin ulcer that was treated in the
neighborhood hospital during 2 months. He had been undergone right upper
lobectomy for the lung adenocarcinoma in our hospital 34 months ago. The skin
lesion in the right axilla was an elastic hard tumor about 2 cm large in
thesubcutaneous tissue with ulceration, and was diagnosed as adenocarcinoma by
cytology. Fluorodeoxy glucose-positron emission tomography (FDG-PET) /computed
tomography (CT) showed no metastatic lesion other than the skin tumor, and the
tumor was resected with a margin. Immunohistochemistry [cytokeratin (CK)-7(+++),
CK-20(-), thyroid transcription factor (TTF)-1(-)] indicated the skin tumor to be
metastasis from lung adenocarcinoma. He is alive over 8 years after right upper
lobectomy(RUL)and 5 years after skin tumor resection without relapse.
PMID- 24917166
TI - [Solitary pulmonary metastasis of low-grade uterine endometrial stromal sarcoma
resected 31 years before].
AB - A 74-year-old female underwent hysterectomy and bilateral ovariectomy for uterine
endometrial stromal sarcoma( ESS), low grade, at another hospital 31 years
previously. When the patient was admitted for pneumonia, a lung tumor was pointed
out on her chest X-ray and computed tomography( CT) scan. Chest X-ray showed an
oval figure shadow of about 3.5 cm in diameter in the right lower lung
field.Chest CT scan revealed an irregular form tumor of 3.5 cm in diameter in the
right S8 of the lung. Fluorodeoxyglucose-positron emission tomography (FDG-PET)
scan identified an abnormal accumulation of FDG at the tumor site. A pulmonary
metastasis of the ESS was suspected by transbronchial lung biopsy, and the
patient underwent the thoracoscopic partial resection of the right lower lobe of
the lung.Histologically, the tumor was diagnosed as metastasis of the ESS, low
grade.
PMID- 24917167
TI - [Three cases of spontaneous pneumothorax by ruptured paravertebral gourd-shaped
bullae].
AB - We reported 3 elderly patients with right pneumothorax caused by ruptured
paravertebral gourdshaped bullae. Two patients showed the characteristic symptom
of air leakage of this type of pneumothorax, which decreased in the supine
position, but increased in the sitting position. Chest computed tomography
imaging showed bullae spreading in the space of the azygoesophageal recess. All
patients underwent video-assisted thoracic surgery (VATS), and 2 patients
underwent mini-thoracotomy because of adhesion and pyothorax. Ruptured bullae
with stalks were found at the mediastinal sides of their right lower lobes in all
patients. Bullectomy using an endoscopic stapler or ligation at the bulla root
was performed easily and safely. The right pneumothorax caused by ruptured
paravertebral gourd-shaped bulla was considered to be a good indication for the
VATS due to the unique shape of the bulla, which has a stalk at its root.
PMID- 24917168
TI - [Simultaneous bilateral spontaneous pneumothorax; report of a case].
AB - Simultaneous bilateral spontaneous pneumothorax (SBSP) occurred in a 16-year-old
male. He presented with severe dyspnea. Chest X-ray showed bilateral
pneumothorax. Computed tomography revealed bilateral apical bullas. Chest tubes
were promptly inserted bilaterally. The patient underwent single-stage bilateral
video-assisted thoracoscopic surgery( VATS). Bilateral bullectomy was performed
sequentially. Saline poured in to test for air leakage did not escape to the
opposite pleural cavity, suggesting no pleuro-pleural communication. Post
operative course was uneventful. A bilateral single-stage VATS by a conventional
lateral approach with intra-operative patient repositioning is a safe, feasible
and familiar technique for treatment of SBSP.
PMID- 24917169
TI - A novel method for simulating insulin mediated GLUT4 translocation.
AB - Glucose transport in humans is a vital process which is tightly regulated by the
endocrine system. Specifically, the insulin hormone triggers a cascade of
intracellular signals in target cells mediating the uptake of glucose. Insulin
signaling triggers cellular relocalization of the glucose transporter protein
GLUT4 to the cell surface, which is primarily responsible for regulated glucose
import. Pathology associated with the disruption of this pathway can lead to
metabolic disorders, such as type II diabetes mellitus, characterized by the
failure of cells to appropriately uptake glucose from the blood. We describe a
novel simulation tool of the insulin intracellular response, incorporating the
latest findings regarding As160 and GEF interactions. The simulation tool differs
from previous computational approaches which employ algebraic or differential
equations; instead, the tool incorporates statistical variations of kinetic
constants and initial molecular concentrations which more accurately mimic the
intracellular environment. Using this approach, we successfully recapitulate
observed in vitro insulin responses, plus the effects of Wortmannin-like
inhibition of the pathway. The developed tool provides insight into transient
changes in molecule concentrations throughout the insulin signaling pathway, and
may be employed to identify or evaluate potentially critical components of this
pathway, including those associated with insulin resistance. In the future, this
highly tractable platform may be useful for simulating other complex cell
signaling pathways. Biotechnol. Bioeng. 2014;111: 2454-2465. (c) 2014 Wiley
Periodicals, Inc.
PMID- 24917170
TI - Modulation of the startle reflex by heat pain: does threat play a role?
AB - BACKGROUND: Previous studies have indicated that the startle reflex is
potentiated by phasic, but not by tonic, heat pain, although the latter is seen
as more strongly associated with emotional responses and more similar to clinical
pain. The threat value of pain might be a decisive variable, which is not
influenced alone by stimulus duration. OBJECTIVE: This study aimed at comparing
startle responses to tonic heat pain stimulation with varying degrees of threat.
We hypothesized that the expectation of unpredictable temperature increases would
evoke higher threat and thereby potentiate startle compared with the expectation
of constant stimulation. METHODS: Healthy, pain-free subjects (n = 40) underwent
painful stimulation in two conditions (low/high threat) in balanced order. The
only difference between the two conditions was that in the high-threat condition
50% of the trials were announced to include a short further noxious temperature
increase at the end. Startle tones were presented prior to this temperature
increase still in the phase of anticipation. RESULTS: We observed startle
potentiation in the high-threat compared with the low-threat condition, but only
in those participants who took part first in the high-threat condition.
Habituation could not account for these findings, as we detected no significant
decline of startle responses in the course of both conditions. CONCLUSIONS: Our
results suggest that subjective threat might indeed be decisive for the action of
pain on startle; the threat level appears not only influenced by actual
expectations but also by previous experiences with pain as threatening or not.
PMID- 24917171
TI - Albino midrib 1, encoding a putative potassium efflux antiporter, affects
chloroplast development and drought tolerance in rice.
AB - KEY MESSAGE: Mutation of the AM1 gene causes an albino midrib phenotype and
enhances tolerance to drought in rice K(+) efflux antiporter (KEA) genes encode
putative potassium efflux antiporters that are mainly located in plastid
containing organisms, ranging from lower green algae to higher flowering plants.
However, little genetic evidence has been provided on the functions of KEA in
chloroplast development. In this study, we isolated a rice mutant, albino midrib
1 (am1), with green- and white-variegation in the first few leaves, and albino
midrib phenotype in older tissues. We found that AM1 encoded a putative KEA in
chloroplast. AM1 was highly expressed in leaves, while lowly in roots.
Chloroplast gene expression and proteins accumulation were affected during
chlorophyll biosynthesis and photosynthesis in am1 mutants. Interestingly, AM1
was induced by salt and PEG, and am1 showed enhanced sensitivity to salinity in
seed germination and increased tolerance to drought. Taken together, we concluded
that KEAs were involved in chloroplast development and played important roles in
drought tolerance.
PMID- 24917172
TI - Timing of the G1/S transition in tobacco pollen vegetative cells as a primary
step towards androgenesis in vitro.
AB - KEY MESSAGE: Mid-bicellular pollen vegetative cells in tobacco escape from G1
arrest and proceed to the G1/S transition towards androgenesis within 1 day under
glutamine starvation conditions in vitro. In the Nicotiana tabacum pollen culture
system, immature pollen grains at the mid-bicellular stage can mature in the
presence of glutamine; however, if glutamine is absent, they deviate from their
native cell fate in a few days. The glutamine-starved pollen grains cannot
undergo maturation, even when supplied with glutamine later. Instead, they
undergo cell division towards androgenesis slowly within 10 days in a medium
containing appropriate nutrients. During the culture period, they ought to escape
from G1 arrest to proceed into S phase as the primary step towards androgenesis.
However, this event has not been experimentally confirmed. Here, we demonstrated
that the pollen vegetative cells proceeded to the G1/S transition within
approximately 15-36 h after the start of culture. These results were obtained by
analyzing transgenic pollen possessing a fusion gene encoding nuclear-localizing
GFP under the control of an E2F motif-containing promoter isolated from a gene
encoding one of DNA replication licensing factors. Observations using a 5-ethynyl
2'-deoxyuridine DNA labeling and detection technique uncovered that the G1/S
transition was soon followed by S phase. These hallmarks of vegetative cells
undergoing dedifferentiation give us new insights into upstream events causing
the G1/S transition and also provide a novel strategy to increase the frequency
of the androgenic response in tobacco and other species, including recalcitrants.
PMID- 24917173
TI - Crowdsourcing, the great meteor storm of 1833, and the founding of meteor
science.
AB - Yale science professor Denison Olmsted used crowdsourcing to gather observations
from across the United States of the unexpected deluge of meteors on 13 November
1833--more than 72,000/h. He used these observations (and newspaper accounts and
correspondence from scientists) to make a commendably accurate interpretation of
the meteor storm, overturning 2100 years of erroneous teachings about shooting
stars and establishing meteor science as a new branch of astronomy. Olmsted's
success was substantially based on his use of newspapers and their practice of
news pooling to solicit observations from throughout the country by lay and
expert observers professionally unaffiliated with Yale College and him. In
today's parlance, Olmsted was a remarkably successful early practitioner of
scientific crowdsourcing, also known as citizen science. He may have been the
first to use mass media for crowdsourcing in science. He pioneered many of the
citizen-science crowdsourcing practices that are still in use today: an open call
for citizen participation, a clearly defined task, a large geographical
distribution for gathering data and a rapid response to opportunistic events.
Olmsted's achievement is not just that he used crowdsourcing in 1833 but that
crowdsourcing helped him to advance science significantly.
PMID- 24917174
TI - Clinical efficacy of immediate implant loading protocols compared to conventional
loading depending on the type of the restoration: a systematic review.
AB - BACKGROUND AND OBJECTIVES: Immediate loading has become a predictable option to
restore all clinical situations. The aim of this systematic review was to assess
whether immediate loading protocols achieve comparable clinical outcomes when
compared to conventional loading protocols depending on the type of prosthetic
restoration. METHODS: A protocol was developed aimed to answer the following
focused question: "What are the effects of immediate implant loading protocols
compared to conventional implant loading, in terms of implant failure, marginal
bone levels, and biological and mechanical complications based on the type of
restoration?" The next subanalysis were performed as follows: the extent, type,
and material of the restoration and the type of occlusal contact in function.
This systematic review only included randomized controlled trials (RCTs) with a
follow-up of at least 6 months after implant loading. RESULTS: Thirty-seven final
papers were included. The results from the meta-analyses have shown that the
immediately loading implants demonstrated a statistically significant higher risk
of implant failure [RR = 1.92; 95% CI (1.04; 3.54); P = 0.036], a statistically
significant lower bone loss [WMD = 0.046; 95% CI (0.043; 0.049); P = 0.000] and a
smaller increase in ISQ values [WMD = -1.096; 95% CI (-1.615; -0.577); P < 0.001,
although both groups attained high survival rates (98.2% in the test and 99.6% in
the control). Single teeth implants were greater risk of failure, when compared
to immediately loaded full arch restorations (RR = 2 vs. 0.9), so as the occlusal
pattern when compared to non-occlusal (RR = 1.9 vs. 1.4). CONCLUSIONS: Immediate
loading may impose a greater risk for implant failure when compared to
conventional loading, although the survival rates were high for both groups.
PMID- 24917175
TI - Individual selection of gait retraining strategies is essential to optimally
reduce medial knee load during gait.
AB - BACKGROUND: The progression of medial knee osteoarthritis seems closely related
to a high external knee adduction moment, which could be reduced through gait
retraining. We aimed to determine the retraining strategy that reduces this knee
moment most effective during gait, and to determine if the same strategy is the
most effective for everyone. METHODS: Thirty-seven healthy participants underwent
3D gait analysis. After normal walking was recorded, participants received verbal
instructions on four gait strategies (Trunk Lean, Medial Thrust, Reduced Vertical
Acceleration, Toe Out). Knee adduction moment and strategy-specific kinematics
were calculated for all conditions. FINDINGS: The overall knee adduction moment
peak was reduced by Medial Thrust (-0.08Nm/Bw.Ht) and Trunk Lean (-0.07Nm/Bw.Ht),
while impulse was reduced by 0.03Nms/Bw.Ht in both conditions. Toeing out reduced
late stance peak and impulse significantly but overall peak was not affected.
Reducing vertical acceleration at initial contact did not reduce the overall
peak. Strategy-specific kinematics (trunk lean angle, knee adduction angle, first
peak of the vertical ground reaction force, foot progression angle) showed that
multiple parameters were affected by all conditions. Medial Thrust was the most
effective strategy in 43% of the participants, while Trunk Lean reduced external
knee adduction moment most in 49%. With similar kinematics, the reduction of the
knee adduction moment peak and impulse was significantly different between these
groups. INTERPRETATION: Although Trunk Lean and Medial Thrust reduced the
external knee adduction moment overall, individual selection of gait retraining
strategy seems vital to optimally reduce dynamic knee load during gait.
PMID- 24917176
TI - Ossifying fibroma in Buschke-Ollendorff syndrome.
AB - Buschke-Ollendorff syndrome represents an autosomal dominant disorder
characterized by connective tissue nevi and osteopoikilosis. Cutaneous lesions
may contain either predominantly elastic fibers or predominantly collagen fibers
or may show both connective tissue components. The disease results from mutations
in LEMD3 (MAN1), which lead to enhanced transforming growth factor-beta (TGF
beta) signaling and resultant changes in fibroblast function. TGF-beta
alterations have been implicated in a number of fibrotic disorders, and it is
therefore not surprising that a range of cutaneous and skeletal abnormalities
have been associated with Buschke-Ollendorff syndrome. Herein, we report a novel
association between ossifying fibroma and Buschke-Ollendorff syndrome and discuss
how these conditions are likely to be mechanistically linked.
PMID- 24917177
TI - Platelet-monocyte aggregate formation and mortality risk in older patients with
severe sepsis and septic shock.
AB - BACKGROUND: Aging-related changes in platelet and monocyte interactions may
contribute to adverse outcomes in sepsis but remain relatively unexamined. We
hypothesized that differential platelet-monocyte aggregate (PMA) formation in
older septic patients alters inflammatory responses and mortality. METHODS: We
prospectively studied 113 septic adults admitted to the intensive care unit with
severe sepsis or septic shock. Patients were dichotomized a priori into one of
two groups: older (age >= 65 years, n = 28) and younger (age < 65 years, n = 85).
PMA levels were measured in whole blood via flow cytometry within 24 hours of
admission. Plasma levels of IL-6 and IL-8, proinflammatory cytokines produced by
monocytes upon PMA formation, were determined by commercial assays. Patients were
followed for the primary outcome of 28-day, all-cause mortality. RESULTS:
Elevated PMA levels were associated with an increased risk of mortality in older
septic patients (hazard ratio for mortality 5.64, 95% confidence interval 0.64
49.61). This association remained after adjusting for potential confounding
variables in multivariate regression. Receiver operating curve analyses
demonstrated that PMA levels greater than or equal to 8.43% best predicted 28-day
mortality in older septic patients (area under the receiver operating curve
0.82). Plasma IL-6 and IL-8 levels were also significantly higher in older
nonsurvivors. In younger patients, neither PMA levels nor plasma monokines were
significantly associated with mortality. CONCLUSIONS: Increased PMA formation,
and associated proinflammatory monokine synthesis, predicts mortality in older
septic patients. Although larger studies are needed, our findings suggest that
heightened PMA formation in older septic patients may contribute to injurious
inflammatory responses and an increased risk of mortality.
PMID- 24917178
TI - Effects of Low-Load, Elastic Band Resistance Training Combined With Blood Flow
Restriction on Muscle Size and Arterial Stiffness in Older Adults.
AB - We examined the effect of low-load, elastic band resistance training with blood
flow restriction (BFR) on muscle size and arterial stiffness in older adults.
Healthy older adults (aged 61-85 years) were divided into BFR training (BFR-T, n
= 9) or non-BFR training (CON-T, n = 8) groups. Both groups performed low-load
arm curl and triceps down exercises (four sets, total 75 repetitions for each)
using an elastic band, 2 d/wk for 12 weeks. The BFR-T group wore inflated
pneumatic elastic cuffs (120-270 mm Hg) on both arms during training. Magnetic
resonance imaging-measured muscle cross-sectional area of the upper arm, maximum
voluntary isometric contraction of the elbow flexors and extensors, cardio-ankle
vascular index testing, and ankle-brachial pressure index were measured before
and 3-5 days after the final training session. Muscle cross-sectional area of the
elbow flexors (17.6%) and extensors (17.4%) increased, as did elbow flexion and
elbow extension maximum voluntary isometric contraction (7.8% and 16.1%,
respectively) improved (p < .05) in the BFR-T group, but not in the CON-T group.
In cardio-ankle vascular index and ankle-brachial pressure index testing, there
were no changes between pre- and post-results in either group. In conclusion,
elastic band BFR-T improves muscle cross-sectional area as well as maximal muscle
strength but does not negatively affect arterial stiffness in older adults.
PMID- 24917179
TI - A mechanistic pharmacokinetic model elucidating the disposition of trastuzumab
emtansine (T-DM1), an antibody-drug conjugate (ADC) for treatment of metastatic
breast cancer.
AB - Trastuzumab emtansine (T-DM1) is an antibody-drug conjugate (ADC) therapeutic for
treatment of human epidermal growth factor receptor 2 (HER2)-positive cancers.
The T-DM1 dose product contains a mixture of drug-to-antibody ratio (DAR)
moieties whereby the small molecule DM1 is chemically conjugated to trastuzumab
antibody. The pharmacokinetics (PK) underlying this system and other ADCs are
complex and have not been elucidated. Accordingly, we have developed two PK
modeling approaches from preclinical data to conceptualize and understand T-DM1
PK, to quantify rates of DM1 deconjugation, and to elucidate the link between
trastuzumab, T-DM1, and DAR measurements. Preclinical data included PK studies in
rats (n = 34) and cynomolgus monkeys (n = 18) at doses ranging from 0.3 to 30
mg/kg and in vitro plasma stability. T-DM1 and total trastuzumab (TT) plasma
concentrations were measured by enzyme-linked immunosorbent assay. Individual DAR
moieties were measured by affinity capture liquid chromatography-mass
spectrophotometry. Two PK modeling approaches were developed for T-DM1 using
NONMEM 7.2 software: a mechanistic model fit simultaneously to TT and DAR
concentrations and a reduced model fit simultaneously to TT and T-DM1
concentrations. DAR moieties were well described with a three-compartmental model
and DM1 deconjugation in the central compartment. DM1 deconjugated fastest from
the more highly loaded trastuzumab molecules (i.e., DAR moieties that are >=3 DM1
per trastuzumab). T-DM1 clearance (CL) was 2-fold faster than TT CL due to
deconjugation. The two modeling approaches provide flexibility based on available
analytical measurements for T-DM1 and a framework for designing ADC studies and
PK-pharmacodynamic modeling of ADC efficacy- and toxicity-related endpoints.
PMID- 24917180
TI - Diurnal variation in P-glycoprotein-mediated transport and cerebrospinal fluid
turnover in the brain.
AB - Nearly all bodily processes exhibit circadian rhythmicity. As a consequence, the
pharmacokinetic and pharmacodynamic properties of a drug may also vary with time
of day. The objective of this study was to investigate diurnal variation in
processes that regulate drug concentrations in the brain, focusing on P
glycoprotein (P-gp). This efflux transporter limits the distribution of many
drugs in the brain. To this end, the exposure to the P-gp substrate quinidine was
determined in the plasma and brain tissue after intravenous administration in
rats at six different time points over the 24-h period. Our results indicate that
time of administration significantly affects the exposure to quinidine in the
brain. Upon inhibition of P-gp, exposure to quinidine in brain tissue is constant
over the 24-h period. To gain more insight into processes regulating brain
concentrations, we used intracerebral microdialysis to determine the
concentration of quinidine in brain extracellular fluid (ECF) and cerebrospinal
fluid (CSF) after intravenous administration at two different time points. The
data were analyzed by physiologically based pharmacokinetic modeling using
NONMEM. The model shows that the variation is due to higher activity of P-gp
mediated transport from the deep brain compartment to the plasma compartment
during the active period. Furthermore, the analysis reveals that CSF flux is
higher in the resting period compared to the active period. In conclusion, we
show that the exposure to a P-gp substrate in the brain depends on time of
administration, thereby providing a new strategy for drug targeting to the brain.
PMID- 24917181
TI - Assessment of baicalin in mouse blood by monoclonal antibody-based icELISA.
AB - An indirect competitive enzyme-linked immunosorbent assay (icELISA) based on
monoclonal antibaodies (MAb) was recently developed. This new method displays
high sensitivity and accuracy, and is especially suitable for pharmacokinetic
studies in small laboratory animals. This study aimed to develop an icELISA
procedure for baicalin (BAL) quantitation in blood. We successfully developed the
icELISA and applied in pharmacokinetic assays of Gegen Qinlian Decoction in mice.
A linear correlation was obtained for BAL concentrations in the range from 34.69
to 2220.00 ug/L. The regression equation was y = 1.5557 - 0.4028log(C) with a
correlation coefficient of 0.9936. Precision and accuracy of the icELISA method
were evaluated by the variations between replicates from well to well (intra
assay) and plate to plate (inter-assay). The values obtained for these parameters
were within the normal range (<15%). The recovery rates ranged from 98.93 to
126.78%, meeting the requirements for biological samples. Stability studies
showed that BAL sample solutions were intact for 1 h, enough time for UV
detection. However, long-term storage and especially freeze-thaw procedures were
detrimental to BAL. The pharmacokinetic parameters derived from mouse experiments
were as follows: area under the curves from time 0 to 48 h, 1876.15 +/- 1108.14
mg h/L; mean maximum blood concentrations, 101.09 +/- 31.53 mg/L; time of maximum
concentration, 3.58 +/- 2.88 h; mean residence time, 79.30 +/- 61.21 h.
PMID- 24917182
TI - The colorectal cancer screening process in community settings: a conceptual model
for the population-based research optimizing screening through personalized
regimens consortium.
AB - Reducing colorectal cancer mortality by promoting screening has been a national
goal for two decades. The NCI's Population-Based Research Optimizing Screening
through Personalized Regimens (PROSPR) consortium is the first federal initiative
to foster coordinated, transdisciplinary research evaluating the entire cancer
screening process in community settings. PROSPR is creating a central data
repository to facilitate research evaluating the breast, cervical, and colorectal
cancer screening process across different patient populations, provider types,
and delivery systems. Data are being collected and organized at the multiple
levels in which individuals are nested (e.g., healthcare systems, facilities,
providers, and patients). Here, we describe a conceptual model of the colorectal
cancer screening process guiding data collection and highlight critical research
questions that will be addressed through pooled data. We also describe the three
research centers focused on colorectal cancer screening with respect to study
populations, practice settings, and screening policies. PROSPR comprehensively
elucidates the complex screening process through observational study, and has
potential to improve care delivery beyond the healthcare systems studied.
Findings will inform intervention designs and policies to optimize colorectal
cancer screening delivery and advance the Institute of Medicine's goals of
effective, efficient, coordinated, timely, and safe health care with respect to
evidence-based cancer screening.
PMID- 24917183
TI - Prediagnostic intake of dairy products and dietary calcium and colorectal cancer
survival--results from the EPIC cohort study.
AB - BACKGROUND: We investigated whether prediagnostic reported intake of dairy
products and dietary calcium is associated with colorectal cancer survival.
METHODS: Data from 3,859 subjects with colorectal cancer (42.1% male; mean age at
diagnosis, 64.2 +/- 8.1 years) in the European Investigation into Cancer and
Nutrition cohort were analyzed. Intake of dairy products and dietary calcium was
assessed at baseline (1992-2000) using validated, country-specific dietary
questionnaires. Multivariable Cox regression models were used to calculate HR and
corresponding 95% confidence intervals (CI) for colorectal cancer-specific death
(n = 1,028) and all-cause death (n = 1,525) for different quartiles of intake.
RESULTS: The consumption of total dairy products was not statistically
significantly associated with risk of colorectal cancer-specific death (adjusted
HR Q4 vs. Q1, 1.17; 95% CI, 0.97-1.43) nor that of all-cause death (Q4 vs. Q1,
1.16; 95% CI, 0.98-1.36). Multivariable-adjusted HRs for colorectal cancer
specific death (Q4 vs. Q1) were 1.21 (95% CI, 0.99-1.48) for milk, 1.09 (95% CI,
0.88-1.34) for yoghurt, and 0.93 (95% CI, 0.76-1.14) for cheese. The intake of
dietary calcium was not associated with the risk of colorectal cancer-specific
death (adjusted HR Q4 vs. Q1, 1.01; 95% CI, 0.81-1.26) nor that of all-cause
death (Q4 vs. Q1, 1.01; 95% CI, 0.84-1.21). CONCLUSIONS: The prediagnostic
reported intake of dairy products and dietary calcium is not associated with
disease-specific or all-cause risk of death in patients diagnosed with colorectal
cancer. IMPACT: The impact of diet on cancer survival is largely unknown. This
study shows that despite its inverse association with colorectal cancer risk, the
prediagnostic intake of dairy and dietary calcium does not affect colorectal
cancer survival.
PMID- 24917184
TI - Inflammatory biomarker C-reactive protein and radiotherapy-induced early adverse
skin reactions in patients with breast cancer.
AB - BACKGROUND: Breast cancer is the most frequently diagnosed cancer and the second
leading cause of cancer death in American women. Postsurgery adjuvant
radiotherapy (RT) significantly reduced the local recurrence rate. However, many
patients develop early adverse skin reactions (EASR) that impact quality of life
and treatment outcomes. METHODS: We evaluated an inflammatory biomarker, C
reactive protein (CRP), in predicting RT-induced EASRs in 159 patients with
breast cancer undergoing RT. In each patient, we measured pre- and post-RT plasma
CRP levels using a highly sensitive ELISA CRP assay. RT-induced EASRs were
assessed at weeks 3 and 6 using the National Cancer Institute Common Toxicity
Criteria (v3.0). Associations between EASRs and CRP levels were assessed using
logistic regression models after adjusting for potential confounders. RESULTS: RT
induced grade 2+ EASRs were observed in 8 (5%) and 80 (50%) patients at weeks 3
and 6 (end of RT), respectively. At the end of RT, a significantly higher
proportion of African Americans developed grade 3 EASRs (13.8% vs. 2.3% in
others); grade 2+ EASRs were significantly associated with: change of CRP > 1
mg/L [odds ratio (OR), 2.51; 95% confidence interval (CI), 1.06-5.95; P = 0.04],
obesity (OR, 2.08; 95% CI, 1.03-4.21; P = 0.04), or combined both factors (OR,
5.21; 95% CI, 1.77-15.38; P = 0.003). CONCLUSION: This is the first study to
demonstrate that an inflammatory biomarker CRP is associated with RT-induced
EASRs, particularly combined with obesity. IMPACT: Future larger studies are
warranted to validate our findings and facilitate the discovery and development
of anti-inflammatory agents to protect normal tissue from RT-induced adverse
effects and improve quality of life in patients with breast cancer undergoing RT.
PMID- 24917185
TI - Effect of automated drug distribution systems on medication error rates in a
short-stay geriatric unit.
AB - RATIONALE, AIMS AND OBJECTIVES: To assess the impact of an automated drug
distribution system on medication errors (MEs). METHODS: Before-after
observational study in a 40-bed short stay geriatric unit within a 1800 bed
general hospital in Valenciennes, France. Researchers attended nurse medication
administration rounds and compared administered to prescribed drugs, before and
after the drug distribution system changed from a ward stock system (WSS) to a
unit dose dispensing system (UDDS), integrating a unit dose dispensing robot and
automated medication dispensing cabinet (AMDC). RESULTS: A total of 615
opportunities of errors (OEs) were observed among 148 patients treated during the
WSS period, and 783 OEs were observed among 166 patients treated during the UDDS
period. ME [medication administration error (MAE)] rates were calculated and
compared between the two periods. Secondary measures included type of errors,
seriousness of errors and risk reduction for the patients. The implementation of
an automated drug dispensing system resulted in a 53% reduction in MAEs. All
error types were reduced in the UDDS period compared with the WSS period
(P<0.001). Wrong dose and wrong drug errors were reduced by 79.1% (2.4% versus
0.5%, P=0.005) and 93.7% (1.9% versus 0.01%, P=0.009), respectively. CONCLUSION:
An automated UDDS combining a unit dose dispensing robot and AMDCs could reduce
discrepancies between ordered and administered drugs, thus improving medication
safety among the elderly.
PMID- 24917186
TI - B-cell lymphoma 6 protein stimulates oncogenicity of human breast cancer cells.
AB - BACKGROUND: B-cell lymphoma 6 (BCL6) protein, an evolutionarily conserved zinc
finger transcription factor, showed to be highly expressed in various human
cancers in addition to malignancies in the lymphoid system. This study
investigated the role of BCL6 expression in breast cancer and its clinical
significance in breast cancer patients. METHODS: Expression of BCL6 protein was
assessed using in situ hybridization and immunohistochemistry in 127 breast
cancer patients and 50 patients with breast benign disease as well as in breast
cell lines. Expression of BCL6 was restored or knocked down in two breast cancer
cell lines (MCF-7 and T47D) using BCL6 cDNA and siRNA, respectively. The
phenotypic change of these breast cancer cell lines was assessed using cell
viability MTT, Transwell invasion, colony formation, and flow cytometry assays
and in a xenograft mice model. Luciferase reporter gene, immunoblot, and qRT-PCR
were used to investigate the molecular events after manipulated BCL6 expression
in breast cancer cells. RESULTS: BCL6 protein was highly expressed in breast
cancer cell lines and tissue specimens and expression of BCL6 protein was
associated with disease progression and poor survival of breast cancer patients.
In vitro, the forced expression of BCL6 results in increased proliferation,
anchorage-independent growth, migration, invasion and survival of breast cancer
cell lines, whereas knockdown of BCL6 expression reduced these oncogenic
properties of breast cancer cells. Moreover, forced expression of BCL6 increased
tumor growth and invasiveness in a nude mouse xenograft model. At the gene level,
BCL6 was a target gene of miR-339-5p. Expression of BCL6 induced expression of
CXCR4 and cyclinD1 proteins. CONCLUSIONS: The current study demonstrated the
oncogenic property of BCL6 in breast cancer and further study could target BCL6
as a novel potential therapeutic strategy for breast cancer.
PMID- 24917188
TI - Case-control study of occupational exposure to electric shocks and magnetic
fields and mortality from amyotrophic lateral sclerosis in the US, 1991-1999.
AB - We investigated the relationship between occupational exposure to electric shocks
(ES) and magnetic fields (MF) and amyotrophic lateral sclerosis (ALS) using 1991
1999 US mortality data. For each of the 5886 included ALS deaths, 10 controls
matched on sex-, age-, year- and region-were selected from among other deaths.
Usual occupation as reported on death certificates was linked to job-exposure
matrices for ES and MF. Education and electric occupations were associated with
moderately increased ALS risks (odds ratio (OR)=1.85, 95% confidence interval
(CI)=1.67, 2.04; OR=1.23, 95% CI=1.04, 1.47, respectively). For ES, ALS mortality
OR were 0.73 (95% CI=0.67, 0.79) for high and 0.90 (95% CI=0.84, 0.97) for medium
exposure compared with low exposure. For MF, ALS ORs were 1.09 (95% CI=1.00,
1.19) for high and 1.09 (95% CI=0.96, 1.23) for medium exposure as compared with
low exposure. For electric occupations, ALS ORs were insensitive to adjustments
for ES, MF or both. Consistent with previous publications, an association between
electric occupations and ALS was observed. Findings do not support occupational
exposure to ES or MF as an explanation.
PMID- 24917189
TI - Public, official, and industry submissions on a Bill to increase the alcohol
minimum purchasing age: A critical analysis.
AB - BACKGROUND: In 2005 a Bill was introduced to the New Zealand parliament to
increase the alcohol minimum purchasing age (MPA) from 18 to 20 years and
submissions were invited from interested parties. We sought to characterise and
critique the arguments tendered for and against the proposal. METHODS: We used
template analysis to study written submissions on the Bill from 178 people and
organisations in New Zealand. Independent raters coded submissions according to
the source, whether for or opposed, and the arguments employed. RESULTS: The most
common sources of submissions were members of the public (28%), the alcohol
industry (20%), and NGOs (20%). Overall, 40% opposed increasing the MPA, 40% were
in favour, 4% supported a split MPA (18 years for on-premise, 20 years for off
premise), 7% were equivocal, and 8% offered no comment. The most common
proponents of increasing the MPA were NGOs (36%) and members of the public (30%)
and their arguments concerned the expected positive effects on public health
(36%) and public disorder/property damage (16%), while 24% argued that other
strategies should be used as well. The most common sources of opposition to
increasing the MPA were the alcohol industry (50%) and the public (20%). It was
commonly claimed that the proposed law change would be ineffective in reducing
harm (22%), that other strategies should be used instead (16%), that it would
infringe adult rights (15%), and that licensed premises are safe environments for
young people (14%). There were noteworthy examples of NGOs and government
agencies opposing the law change. The alcohol industry maximised its impact via
multiple submissions appealing to individual rights while neglecting to report or
accurately characterise the scientific evidence. Several health and welfare
agencies presented confused logic and/or were selective in their use of
scientific evidence. CONCLUSION: In contrast to the fragmented and inconsistent
response from government and NGOs, the alcohol industry was organised and united,
with multiple submissions from the sector with most at stake, namely the
hospitality industry, and supporting submissions from the manufacturing, import,
and wholesale sectors. Systematic reviews of research evidence should be
routinely undertaken to guide the legislature and submissions should be
categorised on the basis of pecuniary interest.
PMID- 24917190
TI - Monitoring novel psychoactive substances allegedly offered online for sale in
Persian and Arabic languages.
AB - BACKGROUND: Numbers of novel psychoactive substances (NPS) have been rapidly
increasing over the past few years, with unprecedented challenges on traditional
drug control systems. The web has been involved in the promotion and knowledge
dissemination of NPS, which are being presented online as safer/legal
alternatives to illicit drugs. The physical, psychological and social harms
associated with NPS have been studied so far mainly in Europe and other English
speaking countries. The aim of this research is to provide knowledge on the
provision of NPS information/purchase opportunities to Middle East customers,
whilst monitoring the Internet in Arabic and Farsi. METHODS: Web
analysis/assessments were carried out in both Farsi and Arabic between 2011 and
2013. Sources were scrutinized with the help of different search engines,
including Google Arabic and Google Persian, to carry out searches focusing on
both NPS retailers' and social network websites. RESULTS: The research identified
45 NPS apparently offered for purchase online. Most of these products were of
synthetic origin; a few herbal stimulants were identified as well. The pro drug
websites were not here easily identified, being hidden behind other, unrelated,
websites. CONCLUSION: Present results may constitute a public health challenge to
be considered at both national and worldwide level. New legislative frameworks
should also be encouraged in order to ensure a better response to the current
threat posed by rapid emergence of these substances.
PMID- 24917187
TI - Forms of momentum across space: representational, operational, and attentional.
AB - Cognition can exhibit biases consistent with future expectations, and some of
these biases result in momentum-like effects and have been linked with the idea
of an internalization of the effects of momentum. These momentum-like effects
include representational momentum, operational momentum, and attentional
momentum. Similarities and differences between these different momentum-like
effects are considered. Hubbard's (2005) review of representational momentum is
updated to include studies published since that review appeared, and the first
full reviews of operational momentum and attentional momentum are provided. It is
suggested that (1) many variables that influence one of these momentum-like
effects have a similar influence on another momentum-like effect, (2)
representational momentum, operational momentum, and attentional momentum reflect
similar or overlapping mechanisms, and operational momentum and attentional
momentum are special cases of representational momentum, and (3) representational
momentum, operational momentum, and attentional momentum reflect properties of a
more general spatial representation in which change or transformation of a
stimulus is mapped onto motion in a spatial coordinate system.
PMID- 24917192
TI - Judging the carcinogenicity of rare human papillomavirus types.
PMID- 24917191
TI - Intractable duodenal ulcer caused by transmural migration of gossypiboma into the
duodenum--a case report and literature review.
AB - BACKGROUND: Gossypiboma is a term used to describe a mass that forms around a
cotton sponge or abdominal compress accidentally left in a patient during
surgery. Transmural migration of an intra-abdominal gossypiboma has been reported
to occur in the digestive tract, bladder, vagina and diaphragm. Open surgery is
the most common approach in the treatment of gossypiboma. However, gossypibomas
can be extracted by endoscopy while migrating into the digestive tract. We report
a case of intractable duodenal ulcer caused by transmural migration of
gossypiboma successfully treated by duodenorrhaphy. A systemic literature review
is provided and a scheme of the therapeutic approach is proposed. CASE
PRESENTATION: A 61-year-old Han Chinese man presented with intermittent
epigastric pain for the last 10 months. He had undergone laparoscopic
cholecystectomy conversion to open cholecystectomy for acute gangrenous
cholecystitis 10 months ago at another hospital. Transmural migration of
gossypiboma into the duodenum was found. Endoscopic intervention failed to remove
the entire gauze, and duodenal ulcer caused by the gauze persisted. Surgical
intervention was performed and the gauze was removed successfully. The penetrated
ulcer was repaired with duodenorrhaphy. The postoperative period was
uneventful.We systematically reviewed the literature on transmural migration of
gossypiboma into duodenum and present an overview of published cases. Our PubMed
search yielded seven reports of transmural migration of retained surgical sponge
into the duodenum. Surgical interventions were necessary in two patients.
CONCLUSION: Transmural migration of gossypiboma into the duodenum is a rare
surgical complication. The treatment strategies include endoscopic extraction and
surgical intervention. Prompt surgical intervention should be considered for
emergent conditions such as active bleeding, gastrointestinal obstruction, or
intra-abdominal sepsis. For non-emergent conditions, surgical intervention could
be considered for intractable cases in which endoscopic extraction failed.
PMID- 24917194
TI - H2O 2 induces myocardial hypertrophy in H9c2 cells: a potential role of Ube3a.
AB - Myocardial hypertrophy that often leads to eventual heart failure is a leading
cause of mortality worldwide. While both apoptosis and cell proliferation have
been reported to play an important part in heart failure, its exact triggering
mechanism is still unclear. Reports have shown that low concentrations of H2O2
(10-30 uM) can induce myocardial hypertrophy without affecting survival. The
ubiquitin ligase Ube3a has been reported to have a close affiliation with
Angelman syndrome; but many ubiquitin ligases have been reported in a variety of
cardiovascular conditions including myocardial hypertrophy. However, the
relationship between Ube3a and myocardial hypertrophy has never been reported in
literature. The rat cardiac myoblast cell line H9c2 and primary neonatal
cardiomyocytes showed similar hypertrophic responses in vitro. In this report, we
utilized H2O2 treatment on H9c2 cells to induce myocardial hypertrophy and
determined the relationship between Ube3a and myocardial hypertrophy. Our results
showed that 10-20 MUM H2O2 can induce myocardial hypertrophy without affecting
cell viability and inducing cell apoptosis, while the corresponding transcription
and translation levels of Ube3a are significantly increased during the process.
Therefore, these findings underline that Ube3a may play an important role in
myocardial hypertrophy.
PMID- 24917195
TI - Prevention of alcohol-heightened aggression by CRF-R1 antagonists in mice:
critical role for DRN-PFC serotonin pathway.
AB - Alcohol can escalate aggressive behavior in a significant subgroup of rodents,
humans, and nonhuman primates. The present study investigated whether blockade of
corticotropin-releasing factor receptor type 1 (CRF-R1) could prevent the
emergence of alcohol-heightened aggression in mice. The serotonin (5-HT) pathway
from the dorsal raphe nucleus (DRN) to the medial prefrontal cortex (mPFC) by CRF
R1 was investigated as a possible target for the prevention of alcohol-heightened
aggressive behavior. Male CFW mice that reliably exhibited aggressive behaviors
after consuming 1 g/kg of alcohol received systemic or intra-DRN administration
of CRF-R1 antagonists, CP-154,526 or MTIP, before a confrontation with a male
conspecific. Blockade of DRN CRF-R1 receptors with both antagonists significantly
reduced only alcohol-heightened aggression, whereas systemic administration
reduced both alcohol-heightened and species-typical aggression. Next, a 5-HT1A
agonist, 8-OH-DPAT, was coadministered with CP-154,526 into the DRN to
temporarily disrupt 5-HT activity. This manipulation abolished the antiaggressive
effects of intra-DRN CP-154,526. In the mPFC, in vivo microdialysis revealed that
extracellular 5-HT levels were increased in mice that consumed alcohol and were
then injected with CP-154,526, both systemically or intra-DRN. Neither alcohol
nor CP-154,526 alone affected 5-HT release in the mPFC. The present results
suggest the DRN as a critical site for CRF-R1 to modulate alcohol-heightened
aggression via action on the serotonergic DRN-PFC pathway.
PMID- 24917196
TI - Neuronal nitric oxide synthase (NOS1) polymorphisms interact with financial
hardship to affect depression risk.
AB - There is increasing evidence that genetic factors have a role in differential
susceptibility to depression in response to severe or chronic adversity. Studies
in animals suggest that nitric oxide (NO) signalling has a key role in depression
like behavioural responses to stress. This study investigated whether genetic
variation in the brain-expressed nitric oxide synthase gene NOS1 modifies the
relationship between psychosocial stress and current depression score. We
recruited a population sample of 1222 individuals who provided DNA and
questionnaire data on symptoms and stress. Scores on the List of Life-Threatening
Experiences (LTE) questionnaire for the last year and self-rated current
financial hardship were used as measures of recent/ongoing psychosocial stress.
Twenty SNPs were genotyped. Significant associations between eight NOS1 SNPs,
comprising two regional haplotypes, and current depression score were identified
that survived correction for multiple testing when current financial hardship was
used as the interaction term. A smaller three-SNP haplotypes (rs10507279,
rs1004356 and rs3782218) located in a regulatory region of NOS1 showed one of the
strongest effects, with the A-C-T haplotype associating with higher depression
scores at low adversity levels but lower depression scores at higher adversity
levels (p=2.3E-05). These results suggest that NOS1 SNPs interact with exposure
to economic and psychosocial stressors to alter individual's susceptibility to
depression.
PMID- 24917197
TI - Impaired adrenergic-mediated plasticity of prefrontal cortical glutamate synapses
in rats with developmental disruption of the ventral hippocampus.
AB - Neonatal ventral hippocampus (nVH) lesion in rats is a useful model to study
developmental origins of adult cognitive deficits and certain features of
schizophrenia. nVH lesion-induced reorganization of excitatory and inhibitory
neurotransmissions within prefrontal cortical (PFC) circuits is widely believed
to be responsible for many of the behavioral abnormalities in these animals. Here
we provide evidence that development of an aberrant medial PFC (mPFC) alpha-1
adrenergic receptor (alpha-1AR) function following neonatal lesion markedly
affects glutamatergic synaptic plasticity within PFC microcircuits and
contributes to PFC-related behavior abnormalities. Using whole-cell patch-clamp
recording, we report that norepinephrine-induced alpha-1AR-dependent long-term
depression (LTD) in a subset of cortico-cortical glutamatergic inputs is
strikingly diminished in mPFC slices from nVH-lesioned rats. The LTD impairment
occurs in conjunction with completely blunted alpha-1AR signaling through
extracellular signal-regulated kinase 1/2. These alpha-1AR abnormalities have
functional significance in a mPFC-related function, that is, extinction of
conditioned fear memory. Post-pubertal animals with nVH lesion show significant
resistance to extinction of fear by repeated presentations of the conditioned
tone stimulus. mPFC infusion of an alpha-1AR antagonist (benoxathian) or LTD
blocking peptide (Tat-GluR23Y) impaired fear extinction in sham controls, but had
no significant effect in the lesioned animals. The data suggest that impaired
alpha-1 adrenergic regulation of cortical glutamatergic synaptic plasticity may
be an important mechanism in cognitive dysfunctions reported in
neurodevelopmental psychiatric disorders.
PMID- 24917198
TI - A randomized, double-blind, placebo-controlled trial of pregnenolone for bipolar
depression.
AB - Depression in bipolar disorder (BPD) is challenging to treat. Therefore,
additional medication options are needed. In the current report, the effect of
the neurosteroid pregnenolone on depressive symptoms in BPD was examined. Adults
(n=80) with BPD, depressed mood state, were randomized to pregnenolone (titrated
to 500 mg/day) or placebo, as add-on therapy, for 12 weeks. Outcome measures
included the 17-item Hamilton Rating Scale for Depression (HRSD), Inventory of
Depressive Symptomatology-Self-Report (IDS-SR), Hamilton Rating Scale for Anxiety
(HRSA), and Young Mania Rating Scale (YMRS). Serum neurosteroid levels were
assessed at baseline and week 12. Data were analyzed using a mixed model ANCOVA
with a between factor of treatment assignment, a within factor (repeated) of
visit, and the baseline value, as well as age and gender, as covariates. In
participants with at least one postbaseline visit (n=73), a significant treatment
by week interaction for the HRSD (F(5,288)=2.61, p=0.025), but not IDS-SR, was
observed. Depression remission rates were greater in the pregnenolone group (61%)
compared with the placebo group (37%), as assessed by the IDS-SR (chi(2)(1)=3.99,
p=0.046), but not the HRSD. Large baseline-to-exit changes in neurosteroid levels
were observed in the pregnenolone group but not in the placebo group. In the
pregnenolone group, baseline-to-exit change in the HRSA correlated negatively
with changes in allopregnanolone (r(22)=-0.43, p=0.036) and pregNANolone (r(22)=
0.48, p=0.019) levels. Pregnenolone was well tolerated. The results suggest that
pregnenolone may improve depressive symptoms in patients with BPD and can be
safely administered.
PMID- 24917199
TI - Relationship between brain glutamate levels and clinical outcome in individuals
at ultra high risk of psychosis.
AB - Alterations in brain glutamate levels may be associated with psychosis risk, but
the relationship to clinical outcome in at-risk individuals is unknown. Glutamate
concentration was measured in the left thalamus and anterior cingulate cortex
(ACC) using 3-Tesla proton magnetic resonance spectroscopy in 75 participants at
ultra high risk (UHR) of psychosis and 56 healthy controls. The severity of
attenuated positive symptoms and overall functioning were assessed. Measures were
repeated in 51 UHR and 33 Control subjects after a mean of 18 months. UHR
subjects were allocated to either remission (no longer meeting UHR criteria) or
non-remission (meeting UHR or psychosis criteria) status on follow-up assessment.
Thalamic glutamate levels at presentation were lower in the UHR non-remission
(N=29) compared with the remission group (N=22) (t(49)=3.03; P=0.004), and were
associated with an increase in the severity of total positive symptoms over time
(r=-0.33; df=47; P=0.02), most notably abnormal thought content (r=-0.442; df=47;
P=0.003). In the UHR group, ACC glutamate levels were lower at follow-up compared
with baseline (F(80)=4.28; P=0.04). These findings suggest that measures of brain
glutamate function may be useful as predictors of clinical outcome in individuals
at high risk of psychosis.
PMID- 24917200
TI - Early-life experience, epigenetics, and the developing brain.
AB - Development is a dynamic process that involves interplay between genes and the
environment. In mammals, the quality of the postnatal environment is shaped by
parent-offspring interactions that promote growth and survival and can lead to
divergent developmental trajectories with implications for later-life
neurobiological and behavioral characteristics. Emerging evidence suggests that
epigenetic factors (ie, DNA methylation, posttranslational histone modifications,
and small non-coding RNAs) may have a critical role in these parental care
effects. Although this evidence is drawn primarily from rodent studies, there is
increasing support for these effects in humans. Through these molecular
mechanisms, variation in risk of psychopathology may emerge, particularly as a
consequence of early-life neglect and abuse. Here we will highlight evidence of
dynamic epigenetic changes in the developing brain in response to variation in
the quality of postnatal parent-offspring interactions. The recruitment of
epigenetic pathways for the biological embedding of early-life experience may
also have transgenerational consequences and we will describe and contrast two
routes through which this transmission can occur: experience dependent vs
germline inheritance. Finally, we will speculate regarding the future directions
of epigenetic research and how it can help us gain a better understanding of the
developmental origins of psychiatric dysfunction.
PMID- 24917201
TI - Improvement of the Rett syndrome phenotype in a MeCP2 mouse model upon treatment
with levodopa and a dopa-decarboxylase inhibitor.
AB - Rett Syndrome is a neurodevelopmental autism spectrum disorder caused by
mutations in the gene coding for methyl CpG-binding protein (MeCP2). The disease
is characterized by abnormal motor, respiratory, cognitive impairment, and
autistic-like behaviors. No effective treatment of the disorder is available.
Mecp2 knockout mice have a range of physiological and neurological abnormalities
that resemble the human syndrome and can be used as a model to interrogate new
therapies. Herein, we show that the combined administration of Levodopa and a
Dopa-decarboxylase inhibitor in RTT mouse models is well tolerated, diminishes
RTT-associated symptoms, and increases life span. The amelioration of RTT
symptomatology is particularly significant in those features controlled by the
dopaminergic pathway in the nigrostratium, such as mobility, tremor, and
breathing. Most important, the improvement of the RTT phenotype upon use of the
combined treatment is reflected at the cellular level by the development of
neuronal dendritic growth. However, much work is required to extend the duration
of the benefit of the described preclinical treatment.
PMID- 24917202
TI - Lipopolysaccharide reduces incentive motivation while boosting preference for
high reward in mice.
AB - Inflammation has been implicated in the development of various psychiatric
disorders, including depression. However, the neurobehavioral mechanism involved
in this relationship remains elusive. This gap in knowledge may best be filled by
evaluating elementary neurobehavioral units affected by inflammation rather than
behavioral changes in conventional animal tests of depression. To this end, the
current study used a concurrent choice paradigm to evaluate inflammation-induced
motivational changes. Male C57BL/6J mice (n=27) were food restricted to between
85 and 90% of their free-feeding weight and were trained to perform a concurrent
choice task where they nose-poked for grain rewards on a fixed ratio (FR) 1
schedule (low effort/low reward) and chocolate-flavored rewards on a FR-10
schedule (high effort/high reward). A counterbalanced-within subjects design was
used. A single intraperitoneal injection of 0.33 mg/kg lipopolysaccharide (LPS)
was used to induce peripheral inflammation. Twenty-four hours after LPS
administration, mice showed a reduction in the total number of nose pokes. A
proportionally greater reduction in nose pokes was observed for grain, resulting
in an increase in percent chocolate pellets earned. These behavioral changes
cannot be explained by reduced appetite as feeding before the test led to a
similar increase in percent chocolate pellets earned but without any decrease in
responding. These results indicate that inflammation modulates incentive
motivation by affecting willingness to exert effort for reward and not by
reducing sensitivity to reward.
PMID- 24917203
TI - Prevalence of enterotoxins and toxin gene profiles of Staphylococcus aureus
isolates recovered from a bakery involved in a second staphylococcal food
poisoning occurrence.
AB - AIMS: The study objective was to characterize and analyse the distribution of
enterotoxins and genes encoding enterotoxins in Staphylococcus aureus strains
recovered from the 601 environment and ingredient samples obtained during
multiple inspections of a bakery implicated in two separate staphylococcal food
poisoning incidents. METHODS AND RESULTS: Staphylococcus aureus isolates were
evaluated using serological assays for identification of classical staphylococcal
enterotoxins (SEs) SEA-SEE and polymerase chain reaction for the detection of
newly described SE and SE-like enterotoxin genes seg-seu. Pulsed field gel
electrophoresis identified thirteen pattern types. During these investigations, a
total of 585 environmental swabs and 16 raw ingredient samples were collected by
investigators, 85 of which were confirmed to contain Staph. aureus; of those
isolates, 95.3% (81/85) harboured enterotoxin genes and 4.7% (4/85) carried newly
described SE and SE-like enterotoxin genes in the absence of classical
enterotoxins. CONCLUSIONS: Our research demonstrates the prevalence and diversity
of classical SEs and the probable underestimated impact of nonclassical SE and SE
like enterotoxins role in domestic staphylococcal food poisoning outbreaks.
SIGNIFICANCE AND IMPACT OF THE STUDY: Given the abundance of SEs and SE-like
toxins, these findings illustrate the utilization of PCR for enterotoxin gene
identification and its significance in outbreak investigations.
PMID- 24917204
TI - Left ventricular pseudoaneurysm after reimplantation procedure.
AB - Postoperative left ventricular pseudoaneurysm is a rare, but potentially lethal,
complication because of the high risk of rupture and high mortality of repair. We
report a 64-year-old man with Marfan syndrome who underwent the reimplantation
valve-sparing aortic root replacement complicated by a postoperative left
ventricular pseudoaneurysm that required urgent repair. Careful handling of the
aortic root is required to avoid a left ventricular pseudoaneurysm, particularly
in patients with connective tissue disorder.
PMID- 24917205
TI - Feasibility of esophageal reconstruction using a pedicled jejunum with
intrathoracic esophagojejunostomy in the upper mediastinum for esophageal cancer.
AB - OBJECTIVE: An alternative conduit is needed when the gastric tube cannot be used
as an esophageal substitute for reconstruction after esophagectomy. We adopted
pedicle jejunal reconstruction with intrathoracic anastomosis in the upper
mediastinum under such circumstances. The aim of this study was to evaluate the
feasibility of this technique. METHODS: Two hundred and ten patients with
esophageal cancer underwent esophagectomy and reconstruction from 1998 to 2013.
Among them, 6 patients underwent colon interposition (colon group) and 13
underwent jejunum reconstruction (jejunum group) including 8 thoracoscopic
anastomosis. The operative results of both groups were compared with those of 191
gastric tube reconstructions (stomach group). RESULTS: The operative times in the
colon and jejunum groups were significantly longer than that in the stomach group
(P = 0.001 and P = 0.018, respectively). The colon group showed more operative
blood loss and more frequent anastomotic leakage and ischemic stenosis of the
conduit than did the stomach group (1605 vs. 530 g, P = 0.007; 50 vs. 12.6 %, P =
0.035; 16.7 vs. 0 %, P = 0.03, respectively). There was no anastomotic leakage,
conduit necrosis and mortality in the jejunum group. Ischemic stenosis of the
conduit occurred more frequently in jejunum group than in the stomach group (23.1
vs. 0 %, P < 0.001). However, the stenosis could be managed safely with
endoscopic treatment. Patient survival in the colon and jejunum groups was
consistent with that in the stomach group. CONCLUSIONS: Pedicle jejunal
reconstruction with intrathoracic anastomosis can be performed safely under
thoracotomy or thoracoscopic surgery when stomach cannot be used as an esophageal
substitute after esophagectomy.
PMID- 24917206
TI - A model of immunohistochemical differences between invasive breast cancers and
DCIS lesions tested on a consecutive case series of 1248 patients.
AB - BACKGROUND: A previous theoretic model (Tumour Biol 2013;34:1-7.) that breast
tumor types differ in the relative rate of tissue invasion was elaborated and
developed on a consecutive case series. METHOD: Histologic data of 68 ductal
breast cancer in situ (DCIS) and 1180 invasive ductal cancer (IDC) patients were
collected and analyzed. RESULTS: ER+PgR- phenotype was more common in Luminal B2
than among the pooled Luminal A&B1 (p = 0.0002), and more frequent in Luminal B1
than in Luminal A (p = 0.0167). The same phenotype was associated with the age
older than 54 years in Luminal B1 and in B2 patients. HER2 type cancers were more
frequent in older patients (p = 0.0038).Tumor progression from DCIS to IDC was
found 39% faster than the average in Luminal B1 tumors, supporting the clinical
importance of this tumor type. A rare combination of low Ki-67 in HER2 type
cancers (only 14% of HER2 type cancers) showed very slow transition to IDC
(occurring at only 53.55% of average progression rate), while triple-negative
cancers progressed faster than the average, despite Ki-67 value (104.63% for low
and 114.27% for high Ki-67 tumors).In three tumor types with positive steroid
receptors the ER+PgR- phenotype showed slower IDC transition than the ER+PgR+
phenotype of the same tumor type (difference in progression rate was 38% for
Luminal A, 46% for Luminal B1 and 67% for Luminal B2 with Ki67 > 14%).Triple
negative tumors in younger patients exceeded the expected average progression
rate by 24%, while in HER2 type tumors, the rate of tissue invasion was in
younger patients 20% lower than the expected value. CONCLUSIONS: The relative
rate of tissue invasion differed substantialy among our patients. Differences
depended on tumor types, steroid expression phenotypes and age. The dysfunctional
ERs in the ER+PgR- phenotype showed slower rates of tissue invasion, suggesting
that ligand binding to functional breast tumor ERs, beside promoting the PgR
expression, possibly also promotes tumor transition to the invasive phase.In
triple-negative tumors, an age dependent premenopausal mechanism possibly acted
as an accelerator of tissue invasion, while faster tissue invasion by HER2
overexpressed tumors in older patients possibly depended on an unidentified
mechanism that takes more time to be acquired, so it was less present in
premenopausal patients.
PMID- 24917207
TI - Invasion of Solanum tuberosum L. by Aspergillus terreus: a microscopic and
proteomics insight on pathogenicity.
AB - BACKGROUND: Aspergillus terreus is one of the most harmful filamentous fungal
pathogen of humans, animals and plants. Recently, researchers have discovered
that A. terreus can cause foliar blight disease in potato (Solanum tuberosum L.).
We used light and scanning electron microscopy, and performed proteomics analysis
in an attempt to dissect the invasion process of A. terreus in this important
crop. RESULTS: Microscopic study revealed that invasion of leaf tissue is marked
by rapid germination of A. terreus phialidic conidia (PC) by 4 h after
inoculation. By 8 h after inoculation, primary germ tubes from PC differentiated
into irregular protuberance, often displayed stomata atropism, and failed to
penetrate via the epidermal cells. Colonization of leaf tissues was associated
with high rate of production of accessory conidia (AC). These analyses showed the
occurrence of a unique opposing pattern of AC, tissue-specific and produced on
melanized colonizing hyphae during the infection of leaf tissue. A significant
proteome change hallmarked by differential expression of class I patatin,
lipoxygenase, catalase-peroxidase complex, and cysteine proteinase inhibitor were
observed during tuber colonization. These proteins are often involved in signal
transduction pathways and crosstalk in pathogenic responses. CONCLUSION: A.
terreus abundantly produced AC and multipolar germinating PC to invade potato
leaf tissue. Additionally, A. terreus differentially induced enzymes in potato
tuber during colonization which facilitates rapid disease development.
PMID- 24917208
TI - Immune responses of whiteleg shrimp, Litopenaeus vannamei (Boone, 1931), to
bacterially expressed dsRNA specific to VP28 gene of white spot syndrome virus.
AB - In this study, dsRNA specific to VP28 gene of white spot syndrome virus (WSSV) of
shrimp was synthesized in Escherichia coli in large scale and studied the immune
response of shrimp to dsRNA-VP28. The haematological parameters such as clotting
time and total haemocytes counts, and immunological parameters such as
prophenoloxidase (proPO), superoxide dismutase (SOD), superoxide anion (SOA) and
malondialdehyde content, as well as the mRNA expression of ten immune-related
genes were examined to estimate the effect of dsRNA-VP28 on the innate immunity
of Litopenaeus vannamei. The activities of proPO, SOA and SOD significantly
increased in haemocyte after dsRNA-VP28 treatment, whereas MDA content did not
change significantly. Among the ten immune-related genes examined, only the mRNA
expression of proPO, cMnSOD, haemocyanin, crustin, BGBP, lipopolysaccharides
(LPs), lectin and lysozyme in haemocytes, gill and hepatopancreas of L. vannamei,
was significantly upregulated at 12 h after dsRNA-VP28 treatment, while no
significant expression changes were observed in Toll receptor and tumour receptor
genes. The increase of proPO and SOD activities, and SOA level and mRNA
expression level of proPO, cMnSOD, haemocyanin, crustin, BGBP, LPs, lectin and
lysozyme after dsRNA-VP28 stimulation indicate that these immune-related genes
were involved in dsRNA-VP28-induced innate immunity in shrimp.
PMID- 24917210
TI - Syndesmosis screws: how many, what diameter, where and should they be removed? A
literature review.
AB - Although screw fixation remains the most commonly used method of syndesmosis
fixation, the ideal screw size, placement, and number remain controversial. In
addition, there has been debate as to whether the screw should always be removed,
and a number of studies have looked at radiological and functional outcomes. In
addition a number of cadaveric models have been developed, but the correlation
between cadaveric findings and functional outcomes remains unclear. This
systematic review of the literature aims to summarise the available evidence on
how many screws should be placed, of what diameter, through how many cortices, at
what level, and whether they should be removed.
PMID- 24917209
TI - The cubital tunnel: a radiologic and histotopographic study.
AB - Entrapment of the ulnar nerve at the elbow is the second most common compression
neuropathy in the upper limb. The present study evaluates the anatomy of the
cubital tunnel. Eighteen upper limbs were analysed in unembalmed cadavers using
ultrasound examination in all cases, dissection in nine cases, and microscopic
study in nine cases. In all cases, thickening of the fascia at the level of the
tunnel was found at dissection. From the microscopic point of view, the ulnar
nerve is a multifascicular trunk (mean area of 6.0 +/- 1.5 mm(2) ). The roof of
the cubital tunnel showed the presence of superimposed layers, corresponding to
fascial, tendineous and muscular layers, giving rise to a tri-laminar structure
(mean thickness 523 +/- 235 MUm). This multilayered tissue was hyperechoic (mean
thickness 0.9 +/- 0.3 mm) on ultrasound imaging. The roof of the cubital tunnel
is elastic, formed by a myofascial trilaminar retinaculum. The pathological
fusion of these three layers reduces gliding of the ulnar nerve during movements
of the elbow joint. This may play a role in producing the symptoms typical of
cubital tunnel syndrome. Independent from the surgical technique, decompression
should span the ulnar nerve from the triceps brachii muscle to the flexor carpi
ulnaris fascia.
PMID- 24917211
TI - Surgical resection of severe heterotopic ossification after open reduction and
internal fixation of acetabular fractures: a case series of 18 patients.
AB - OBJECTIVE: To evaluate the clinical results of surgical resection of severe
heterotopic ossification (HO) after the open reduction and internal fixation
(ORIF) of acetabular fractures. METHODS: A retrospective chart review was
performed between October 2005 and November 2010 on patients undergoing severe HO
resection following an acetabular fracture ORIF. Our primary outcome was
functional status evaluated by the Harris hip score (HSS). HO resection and hip
release was performed using a Kocher-Langenbeck approach in all cases, and a
combined radiation and indomethacin regimen was used to prevent HO recurrence.
Plain radiographs were also used to evaluate the hip joint for arthritic changes
and HO recurrence. RESULTS: A total of 18 patients (17 males and 1 female) were
included in our study analysis. The mean patient age was 36.8 (range: 22-54 years
old) when HO resection surgery was performed. The mean time interval between
acetabular fracture ORIF and HO resection was 9.9 months (range: 3-30 months): it
was within 6 months in 7 patients, 6-12 months in 8 patients, and >12 months in 3
patients. The HO was graded as Brooker grade III in 8 patients and grade IV in 10
patients. The mean time interval between HO resection and the latest follow-up
was 4.5 years (range: 2.1-7.8 years). The mean Harris hip score (HHS) was 84.5
(range: 38-100), with a clinical outcome rating of excellent in 9 patients, good
in 3 patients, fair in 4 patients, and poor in 2 patients (good and excellent
rating accounted for 66.7%). The mean hip joint motion arc was 194 degrees
(range: 90-260 degrees ). Complications included one intraoperative femoral neck
fracture, 1 sciatic nerve injury, 2 femoral head avascular necrosis, and 6 mild
HO recurrences (33.3%). There was 28.6% recurrence if HO resection was within 6
months and 36.4% if >6 months. There were no cases of severe HO recurrence, wound
infections, deep vein thrombosis, or pulmonary embolism. CONCLUSION: The early
surgical resection of severe HO after an acetabular fracture ORIF can provide
satisfactory results, however the complication rate is relatively high.
PMID- 24917212
TI - Covalent hypercoordination: can carbon bind five methyl ligands?
AB - C(CH3)5(+) is the first reported example of a five-coordinate carbon atom bound
only to separate (that is, monodentate) carbon ligands. This species illustrate
the limits of carbon bonding, exhibiting Lewis-violating "electron-deficient
bonds" between the hypercoordinate carbon and its methyl groups. Though not
kinetically persistent under standard laboratory conditions, its dissociation
activation barriers may permit C(CH3)5(+) fleeting existence near 0 K.
PMID- 24917213
TI - Interaction between the SLC19A1 gene and maternal first trimester fever on
offspring neural tube defects.
AB - BACKGROUND: Many studies have indicated that the reduced folate carrier gene
(SLC19A1) is associated with an increased risk of neural tube defects (NTDs).
However, the interaction between the SLC19A1 gene variant and maternal fever
exposure and NTD risk remains unknown. The aim of this study was to investigate
whether the risk for NTDs was influenced by the interactions between the SLC19A1
(rs1051266) variant and maternal first trimester fever. METHODS: We investigated
the potential interaction between maternal first trimester fever and maternal or
offspring SLC19A1 polymorphism through a population-based case-control study. One
hundred and four nuclear families with NTDs and 100 control families with nonmal
newborns were included in the study. SLC19A1 polymorphism was determined using
polymerase chain reaction-restricted fragment length polymorphism. RESULTS:
Mothers who had the GG/GA genotype and first trimester fever had an elevated risk
of NTDs (adjusted odds ratio, 11.73; 95% confidence interval, 3.02-45.58) as
compared to absence of maternal first trimester fever and AA genotype after
adjusting for maternal education, paternal education, and age, and had a
significant interactive coefficient (gamma = 3.17) between maternal GG/GA
genotype and first trimester fever. However, there was no interaction between
offspring's GG/GA genotype and maternal first trimester fever (the interactive
coefficient gamma = 0.97) after adjusting for confounding factors. CONCLUSION:
Our findings suggested that the risk of NTDs was potentially influenced by a gene
environment interaction between maternal SLC19A1 rs1051266 GG/GA genotype and
first trimester fever. Maternal GG/GA genotype may strengthen the effect of
maternal fever exposure on NTD risk in this Chinese population.
PMID- 24917214
TI - Life satisfaction and its correlates among older cancer survivors: critical role
of psychosocial factors.
PMID- 24917215
TI - The role of subcutaneous infusion of apomorphine in Parkinson's disease.
AB - Continuous subcutaneous apomorphine infusion therapy (CSAI) has proved to be
effective in advanced Parkinson's Disease patients with motor fluctuations not
controlled by oral or transdermal medication. In this clinical setting it
competes directly with intrajejunal levodopa and deep brain stimulation (DBS),
however randomised controlled comparative studies are lacking. The advantages of
CSAI is that it is the least invasive of these three therapeutic options, is
reversible, practical to use and has shown significant efficacy for the
management of both peak-effect dyskinesias and off-period nonmotor-symptoms.
Contraindications to the use of CSAI are severe dementia or neuropsychiatric
symptoms and severe biphasic dyskinesias, however unlike DBS, advanced age is not
a contraindication. This review summarises the evidence regarding efficacy,
safety and tolerability of CSAI, provides guidance on the selection of suitable
patients and gives practical instructions on how to initiate CSAI and manage
possible adverse events.
PMID- 24917216
TI - One-year mortality in elderly adults with non-ST-elevation acute coronary
syndrome: effect of diabetic status and admission hyperglycemia.
AB - OBJECTIVES: To determine whether type 2 diabetes mellitus and hyperglycemia on
admission should be considered independent predictors of mortality in elderly
adults with acute coronary syndrome (ACS). DESIGN: Prospective cohort study.
SETTING: Twenty-three hospitals in Italy. PARTICIPANTS: Individuals aged 75 and
older with non-ST-elevation ACS (NSTEACS) (mean age 82, 47% female) (N = 645).
MEASUREMENTS: Diabetic status and blood glucose levels were assessed on
admission. Hyperglycemia was defined as glucose greater than 140 mg/dL.
Multivariable Cox proportional hazard regression was used to assess the potential
confounding effect of major covariates on the association between diabetic
status, admission glucose, and 1-year mortality. RESULTS: A history of diabetes
mellitus was found in 231 participants (35.8%), whereas 257 (39.8%) had
hyperglycemia. Hyperglycemia was found in 171 participants with diabetes mellitus
(70%) and 86 (21%) without diabetes mellitus. Participants with diabetes mellitus
were significantly (P < .05) more likely to have had prior myocardial infarction
and stroke and had lower ejection fraction and blood hemoglobin. Hyperglycemia
was associated with lower (P < .05) ejection fraction and estimated glomerular
filtration rate (eGFR). Diabetic status and hyperglycemia were associated with
greater 1-year mortality according to univariate analysis (54 participants with
diabetes mellitus died (23.4%), versus 66 (15.9%) without diabetes mellitus
(hazard ratio (HR) = 1.5 95% confidence interval (CI) = 1.0-2.1), and 60
participants with hyperglycemia died (23.3%), versus 60 (15.5%) without
hyperglycemia (HR=1.6; 95% CI = 1.1-2.2), but this association was not
statistically significant after adjustment for ejection fraction, age, blood
hemoglobin, and eGFR. CONCLUSION: In elderly adults with NSTEACS, diabetes
mellitus and hyperglycemia on admission are associated with higher mortality,
mostly because of preexisting cardiovascular and renal damage.
PMID- 24917217
TI - Clozapine-induced blood dyscrasias in Saudi Arab patients.
AB - BACKGROUND: Clozapine has shown superior efficacy over other antipsychotics.
However, its use is complicated by the development of life-threatening
hematologic adverse effects. OBJECTIVES: This paper reports the incidence of
clozapine-induced hematologic toxicity in Saudi Arab patients. SETTING: King
Khalid University Hospital, Riyadh, Saudi Arabia. METHODS: Medical data of Saudi
Arab hospitalized patients receiving clozapine was retrospectively reviewed
during the period between August 2009 and August 2012. White blood cell (WBC)
counts and differentials were recorded in a specific form to watch for any
hematologic toxicity. The hematologic toxicities included in this report are:
eosinophilia, thrombocytopenia, lymphocytopenia, and
agranulocytosis/neutropenia/leukopenia combined. MAIN OUTCOME MEASURE: Complete
WBC count. RESULTS: During the study period 147 charts were reviewed. The mean
age of patients was 38 +/- 11.42 years and 52 % were males. During the study
period 61 patients (42 %) developed 82 blood dyscrasias. Sixteen patients (10.9
%) developed agranulocytosis, neutropenia and leukopenia combined, while nineteen
patients (12.9 %) developed lymphocytopenia, and seven patients (4.8 %) developed
thrombocytopenia. Eosinophilia developed in 40 patients (27.2 %). During the
first 18 weeks of therapy with clozapine, 21 (26 %) hematologic side effects were
developed. CONCLUSION: The data collected in this study does appear to indicate
there may be an increased incidence of blood dyscrasias in Saudi Arabs which
warrants further, more detailed, study. It would be of concern to psychiatric
clinicians if the case of a genetic predisposition to clozapine-induced blood
dyscrasias were proven in the future.
PMID- 24917218
TI - Patient characteristics among users of analgesic over-the-counter aspirin in a
Danish pharmacy setting.
AB - BACKGROUND: Use of over-the-counter (OTC) high-dose acetylsalicylic acid (ASA) is
a risk factor for experiencing gastric bleeding. However, more detailed knowledge
on the characteristics of users of OTC ASA is needed. OBJECTIVE: To characterise
users of OTC high-dose ASA in a Danish pharmacy setting. METHOD: We conducted an
interview based survey among users of OTC high-dose ASA. Questions were asked
regarding: (1) demographic characteristics; (2) use patterns; (3) knowledge about
adverse events; (4) risk factors for experiencing gastric bleeding; (5) reasons
for choosing an ASA-containing medicine; and (6) whether their GP was informed on
their use of high-dose ASA. RESULTS: One-hundred-seventeen interviews were
completed. Nineteen percent and 37 % used high-dose ASA on a daily or weekly
basis respectively. Sixty-eighth percent found high-dose ASA to be more effective
than other analgesics. Fourty-seven percent had one or more risk factors for
experiencing ulcer bleeding, most commonly age >60 years (32 %) and previous
peptic ulcer (9 %). The most well-known adverse events were abdominal pain (32 %)
and peptic ulcer (26 %). The most common source of information was friends and
family (32 %). CONCLUSION: A large proportion of users of high-dose ASA have risk
factors for experiencing gastric bleeding. Health-care professionals needs to
provide more information on potential adverse events.
PMID- 24917219
TI - A novel gene-protein assay for evaluating HER2 status in gastric cancer:
simultaneous analyses of HER2 protein overexpression and gene amplification
reveal intratumoral heterogeneity.
AB - BACKGROUND: Human epidermal growth factor receptor 2 (HER2) protein
overexpression and gene amplification are important biomarkers for trastuzumab
treatment in breast and gastric cancer patients. Gastric cancer presents high
rates of tumor heterogeneity, which may influence the results of HER2 testing. A
novel gene-protein assay (GPA) can allow the simultaneous analysis of HER2
protein and gene status on a single slide. METHODS: Using the tissue microarray
technique, the HER2 status of each of 875 gastric cancer cases was evaluated by
immunohistochemistry (IHC), brightfield dual-color in situ hybridization (DISH),
and GPA. Intratumoral phenotypic and genotypic heterogeneity were evaluated by
comparing the HER2 statuses of two tissue cores from each case. RESULTS: There
was excellent concordance between GPA and IHC (99.2 %), as well as between GPA
and DISH results (99.3 %). HER2 positivity obtained by GPA was almost identical
(99.8 %) to the results obtained by IHC and DISH assays. Intratumoral phenotypic
heterogeneity was more frequently observed in IHC 2+ cases (63.5 %) compared with
IHC 3+ cases (28.3 %). Phenotypic heterogeneity (48.8 %) was more frequently
observed than genotypic heterogeneity (26.8 %). Tumor heterogeneity was
consistently observed from early to advanced stages. CONCLUSIONS: HER2-positive
gastric cancers presented different levels of HER2 protein expression and gene
amplification statuses within the same lesion in almost half the cases examined.
Evaluating both phenotypic and genotypic heterogeneity may contribute to a deeper
understanding and improved prediction of clinical outcome in gastric cancer
patients treated with trastuzumab. This newly established GPA technology may also
be useful for developing biomarkers for other molecularly targeted therapies.
PMID- 24917220
TI - Mind over matter? The role of individual perceptions in understanding the social
ecology of housing environments for individuals with psychiatric disabilities.
AB - There is a disagreement in place-based research regarding whether objective
indicators or individual perceptions of environments are better predictors of
well-being. This study assessed environmental influences on well-being for 373
individuals with psychiatric disabilities living independently in 66
neighborhoods in the southeastern United States. Three questions were examined
utilizing random effects models: (1) How much variance in personal and
neighborhood well-being can be explained by neighborhood membership? (2) What is
the relationship between participant perceptions of neighborhood quality and
researcher ratings of neighborhood quality? and (3) What is the relative
influence of individual perceptions, perceptions aggregated by neighborhood, and
researcher ratings of neighborhood quality in predicting personal and
neighborhood well-being? Results indicate that individual perceptions of
neighborhood quality were more closely related to well-being than either
aggregated perceptions or researcher ratings. Thus, participants' perceptions of
their neighborhoods were more important indicators of their well-being than
objective ratings made by researchers. Findings have implications for measurement
approaches and intervention design in placed-based research.
PMID- 24917221
TI - Specialized operating room for cesarean section in the perinatal care unit: a
review of the opening process and operating room management.
AB - We have opened an operating room in the perinatal care unit (PNCU), separate from
our existing central operating rooms, to be used exclusively for cesarean
sections. The purpose is to meet the increasing need for both emergency cesarean
sections and non-obstetric surgeries. It is equipped with the same surgical
instruments, anesthesia machine, monitoring system, rapid infusion system and
airway devices as the central operating rooms. An anesthesiologist and a nurse
from the central operating rooms trained the nurses working in the new operating
room, and discussed solutions to numerous problems that arose before and after
its opening. Currently most of the elective and emergency cesarean sections
carried out during the daytime on weekdays are performed in the PNCU operating
room. A total of 328 and 347 cesarean sections were performed in our hospital
during 2011 and 2012, respectively, of which 192 (55.5 %) and 254 (73.2 %) were
performed in the PNCU operating room. The mean occupancy rate of the central
operating rooms also increased from 81 % in 2011 to 90 % in 2012. The PNCU
operating room was built with the support of motivated personnel and
multidisciplinary teamwork, and has been found to be beneficial for both surgeons
and anesthesiologists, while it also contributes to hospital revenue.
PMID- 24917222
TI - Reply to the comments on "impact of a new respiratory amplitude-based gating
technique in evaluation of upper abdominal PET lesions".
PMID- 24917223
TI - Diagnostic accuracy of FDG PET/CT in mediastinal lymph nodes from lung cancer.
PMID- 24917225
TI - Editorial.
PMID- 24917226
TI - Enuresis in childhood and premature ejaculation in adult life: An enigmatic
similarity.
AB - Abstract Objective. To investigate a possible association between enuresis in
childhood and premature ejaculation in adult life. Methods. The authors conducted
a retrospective study, with two cohorts, consisting of 60 men with premature
ejaculation, and 60 comparison subjects who were asked to assess their enuresis
in childhood, a history of psychological problems. Results. While 20 (33.3%)
subjects with premature ejaculation reported a history of enuresis in childhood,
only seven (11.6%) subjects without premature ejaculation had this problem in
childhood. Enuresis in childhood was significantly more common in men with a
premature ejaculation than controls. While 35 (58.3%) patients with premature
ejaculation reported a history of psychological problems, only four (6.6%)
controls reported this kind of problems. There was a significant difference
between these groups regarding psychological problems. Conclusion. The results of
this study suggest that the history of enuresis in childhood seems to increase
the risk of having premature ejaculation and psychological problems in adult
life. These results lead to a premise that these disorders may share a common
etiology and/or neurological pathophysiology.
PMID- 24917227
TI - An association between month of birth and method of suicide.
AB - Abstract Background. A statistically significant association between season of
birth and suicidal behaviour has been reported. However, the effect of month of
birth on the choice of suicide method is yet to be established. Aim. This study
examines the association between commonly used methods of suicide and season of
birth using data on suicide collected over a 21-year period in England, Wales and
Scotland. The sample size available, in excess of 52,000 suicides, greatly
exceeds all previous studies in this field. Method. Data on suicides registered
between 1979 and 2000(r) were obtained from the Office for National Statistics
(ONS) for England and Wales, and the General Register Office (GRO) for Scotland.
Our analyses include all suicides [ICD 9 codes; E950- E959] and undetermined
injury deaths [E980-E989], reported between 1979 and 2000 in England, Wales and
Scotland for persons born between 1941 and 1966. We used Poisson and negative
binomial generalised linear models (GLMs) with seasonal harmonic components.
Results. Adjusting for the year of birth, the model predicts that the average
increase in risk of suicide between the trough (October) and the peak (May) of
the seasonal component is 17.9% (95% CI= 13-33%). For males the estimated
increase in risk was 15% (95% CI 5-22%) and for females 27% (95% CI 8-47%). The
effect of month of birth on suicide applied to all commonly used methods, with
the exception of suicide by burning (SBB) with a significant increase of 16% (95%
CI 2-37%) in people born in January compared to other methods. Conclusion. Our
results replicate our earlier finding of an association between season of birth
and suicide incidence. Birth rates of persons who kill themselves show a
disproportionate excess in spring compared to other months. The unexpected
observed finding in suicide by burning (SBB) may represent the effect of latitude
and warrants further examination. Seasonality of birth in suicide may enhance our
understanding of some biological aspects in its aetiology and give directions for
future research.
PMID- 24917228
TI - Psychiatric morbidity in dermatological conditions.
AB - Abstract Objective. A relationship between psychological factors and skin
diseases has long been hypothesized. The objective of this study is to
investigate the association of dermatology conditions with depression, anxiety
and personality disorders. Method. A total of 144 dermatology outpatients and 100
controls were selected and assessed by the Structured Clinical Interview for DSM
III-R personality disorders and the Hospital Anxiety and Depression Scale for
presence of personality disorders and anxiety and depression. Results. A total of
77 (70%) of the patients and 26 (20%) of the control group reported moderate to
severe anxiety and depression. Twenty-two patients (15.27%) and five controls
(5%) suffered from personality disorders. Obsessive-compulsive personality
disorder was the most diagnosed personality disorder followed by avoidant,
borderline and dependent personalities. Conclusion. The association between
dermatological diseases and psychiatric and personality morbidity underscores the
deep emotional suffering that can be associated with skin diseases and confirms
the importance of psychiatric evaluation of dermatology patients. Our findings
highlight the need for a biopsychosocial approach to patients with skin disease.
PMID- 24917229
TI - Perceptions on efficacy and side effects of conventional depot antipsychotics
(CDA) and atypical depot antipsychotics (ADA): Psychiatrists versus patients in
Hong Kong.
AB - Abstract Objectives. We compared the satisfaction level of psychiatrists and
psychiatric patients towards conventional (CDA) and atypical (ADA) depot
antipsychotics on symptom management, role functioning, and side effects. Method.
Patients from an out-patient clinic of a public hospital and psychiatrists from
public hospitals participated in the survey in 2007-2008. A total of 153 patients
were interviewed by a tailor-made questionnaire and 72 psychiatrists self
administered a similar questionnaire. Results. Both groups shared similar
attitudes towards clinical effectiveness and treatment efficacy of ADA and CDA.
More patients were ambivalent towards relapse prevention of CDA than
psychiatrists (30.7 vs. 16.7%, P<0.044) and three quarters of psychiatrists
believed that ADA are associated with less side effects. More than half of the
patients showed negative attitudes towards the effectiveness of CDA on improving
quality of life (52.40%), work (57.50%), and recreation (55.50%). Psychiatrists
were more aware of the limitation of CDA and severity of side effects of CDA.
They did not, however, seem to incorporate patients' opinions and research
findings into their clinical practice. Conclusion. Evidence-based practice and
shared decision-making model between clinicians and mental patients should be
advocated. More investigations should be devoted to examine the efficacy of ADA
as the alternative to CDA.
PMID- 24917230
TI - Cognitive function, social functioning and quality of life in first-episode
psychosis: A 1-year longitudinal study.
AB - Abstract Objective. The majority of patients with schizophrenia have cognitive
deficits early in the disease. We evaluated the relationship between cognitive
function, social functioning and quality of life in patients with first-episode
psychosis. Methods. This was a longitudinal study in 15 patients aged 18-30 years
who had recently experienced a first psychotic episode and were treated with the
atypical antipsychotic aripiprazole, cognitive-behavioural therapy, psycho
educational sessions, family supportive sessions and social interventions.
Patients were evaluated at baseline and after 1 year. Cognitive assessment
included attention, memory, language skills and problem solving. Social
functioning, quality of life, and psychopathological evaluation were performed
with validated tools. Results. At baseline, patients had a severe impairment of
social functioning and a low quality of life, while a specific pattern of
cognitive functions was not identified. After 1-year, we observed a significant
improvement in social functioning and quality of life, without a significant
decrease in cognitive function. Conclusion. Contrary to previous findings, we
found that social functioning and quality of life are related, but independent of
cognitive impairment. The use of antipsychotic agents that do not interefere with
cognitive function plus psychological assistance is a valuable treatment approach
in patients with first-episode schizophrenia.
PMID- 24917231
TI - Determination of clozapine in serum of patients with schizophrenia as a
measurement of medication compliance.
AB - Abstract Although antipsychotic drugs have been effective in reducing symptoms of
schizophrenia, issues with adherence to these agents continue to be a barrier to
the implementation and delivery of a successful treatment plan. An estimated 25%
of patients with schizophrenia are partially adherent or non-adherent within 7-10
days of beginning therapy. There are some ways to evaluate the pharmacotherapy
adherence of the patients: evaluation of the disease symptoms and/or the side
effects of the drugs, questionnaires to evaluate quality of life, patient
attitude toward his (her) drugs and pill counts. Although these methods represent
a good option, they are subjective; for example, if the patients lie this leads
to false results. Drug monitoring of patients' biological fluids can be a useful
tool to evaluate adherence by relating the serum or plasma levels of drugs with
pharmacotherapy compliance. The aim of this study was to determine if serum
clozapine levels are a suitable method for evaluating patient adherence to
clozapine therapy. Clozapine concentration was determined in serum of 26
volunteer patients who were using this drug as pharmacotheraphy for 6 months to 5
years (steady state conditions at 7-10 days of treatment with the drug). The
analysis was done for 6 months, with three samples taken for each patient during
this time, relating clozapine serum concentration of lower than therapeutic range
with pharmacotherapy non-adherence of patients. Moreover, we compared the
evaluation of the pharmacotherapy adherence from serum levels of the drug, with
the evaluation of the pharmacotherapy adherence from an indirect tool to evaluate
symptoms of disease. Twelve patients were found non-adherent by clozapine serum
concentration (46.15%), whereas eight patients were found non-adherent using
clinician questionnaire (30.76%). After to evaluate some factors (cigarettes, co
medication, inter-individual variability) that could give different results of
adherence from evaluation of serum concentrations and from indirect methods, we
can conclude that the clozapine serum concentration is a more objective method of
evaluating pharmacotherapy adherence of patients receiving this drug.
PMID- 24917232
TI - Trends of benzodiazepine prescribing and the risk of hip fracture in elderly
patients in Taiwan: A population-based study.
AB - Abstract Objective. The aim of this study was to explore the trends of
prescribing potentially inappropriate benzodiazepine use (PIBU) and the risk of
fractures in an elderly population in Taiwan. Methods. Retrospective
administrative database analysis was conducted. Outpatient claim data were
retrieved from the Longitudinal Health Insurance Database (LHID) between 2000 and
2003, which contains all inpatient and outpatient medical claims of approximately
23 million patients in Taiwan. All patients with the diagnosis of hip fractures
(ICD codes) were identified from the database. The inappropriate use for the
elderly was defined as a prescription with the dose of short- and long-acting BZD
according to the 2003 version of Beers' criteria. Multiple logistic regressions
were used to determine the association of PIBU with fractures. Results. A total
of 62,023 elderly patients aged ?65 years were retrieved from the database. The
proportion of elderly patients taking short-and long-acting benzodiazepines
declined significantly from 28.1 to 25% and 19.5 to 14.5% from 2000 to 2003,
respectively (P<0.001). After adjustment for age, sex, co-morbidity, other
medications in one prescription and all of the covariates, the risk of hip
fractures (~50%) was still statistically significant (adjusted OR in the four
years studied: 1.57, 1.38, 1.68, 1.45) for elderly patients taking
benzodiazepines in Taiwan. Conclusion. These findings suggest that the
inappropriate use of benzodiazepine may be associated with the risk of fractures.
Physicians should prescribe benzodiazepines appropriately for the elderly to
minimize the risk of hip fractures.
PMID- 24917233
TI - Long-term observation of patients successfully switched to risperidone long
acting injectable: A retrospective, naturalistic 18-month mirror-image study of
hospitalization rates and therapy costs.
AB - Abstract Objective. The aim of the present 18-month retrospective study was to
assess the association between a continuous long-term treatment with risperidone
long-acting injectable (RLAI) of at least 12 months and in-patient care of
patients suffering of schizophrenia or schizoaffective disorder. Furthermore we
wanted to assess the cost-effectiveness of a long-term treatment with RLAI.
Methods. In a mirror-image design, data of 119 patients with schizophrenia and
schizoaffective disorder who were switched to RLAI treatment were analyzed
retrospectively. Hospitalization rates, the duration of inpatient treatment and
the overall treatment costs were assessed 12 and 18 months after switching to
RLAI and compared to the equivalent time preceding the switch. Results. After 12
and 18 months of RLAI treatment, the mean reduction of inpatient care was 27.4
and 38.4 days per patient, respectively, compared to the equivalent time period
prior to switching to RLAI (Wilcoxon P < 0.001). The overall savings in drug and
institutional-care costs were 21.1 and 21.9%, respectively. Conclusions. Patients
receiving RLAI for at least 12 months showed a reduction in inpatient days and
lower overall treatment costs.
PMID- 24917234
TI - Mental health services utilization and driving violations.
AB - Abstract Objective. The objective of this study was to determine whether there is
a relationship between the past utilization of four mental health services (i.e.
ever been seen by a psychiatrist, ever been in a psychiatric hospital, ever been
in counseling, ever been on psychotropic medication) and different types of
driving citations during one's lifetime. Methods. Using a consecutive, cross
sectional, primary care sample, we surveyed participants about their past use of
four mental health services and lifetime incidence of 29 different types of
driving citations (charges, not convictions). Results. The total number of
different types of moving violations was statistically significantly related to a
history of psychiatric hospitalization. The total number of different types of
non-moving violations was statistically significantly related to all mental
health services variables. Conclusions. In this study, general non-specific
queries about the past utilization of mental health services were correlated with
both moving violations (past psychiatric hospitalization) and non-moving
violations (all mental health services variables). These findings suggest that
patients who have received mental health treatment are at a higher risk for being
cited for driving violations than those who have not received mental health
treatment. However, among the mental health variables under study, only past
psychiatric hospitalization was associated with moving violations.
PMID- 24917235
TI - Efficacy of milnacipran in the treatment of chronic pain syndromes.
AB - Abstract Eleven outpatients with chronic pain syndromes other than fibromyalgia
were treated for 12 weeks with milnacipran, a novel serotonin noradrenaline
reuptake inhibitor. The agent was administered at 50-150 mg/day, and the mean +/-
SD dose at 12 weeks or at the time drug treatment was stopped was 84.1 +/- 32.2
mg/day. None of the patients met the DSM-IV criteria for a major depressive
disorder. Abdominal, chest, back, arm, leg or glossal pain, or headache was
involved. Pain was assessed clinically by means of a visual analog scale (VAS)
before and 12 weeks after the start of milnacipran treatment, or at the time drug
treatment was stopped. The mean +/- SD decrease in VAS scores was 42.3 +/- 31.6
(50.8 +/- 49.2%). One patient discontinued treatment after 4 weeks because of
nausea, whereas others tolerated the agent well. These results suggest that the
use of milnacipran in patients with a variety of chronic pain syndromes is
beneficial.
PMID- 24917236
TI - Instruments to identify post-natal depression: Which methods have been the most
extensively validated, in what setting and in which language?
AB - Abstract Objectives. To investigate which methods to identify post-natal
depression are the most extensively validated, in what setting and in what
language. Methods. A systematic search of the literature was undertaken to
retrieve English and non-English language articles available until February 2007.
This included searching 16 electronic databases, forward citation searching,
personal communication with authors and inspection of reference lists. Results. A
total of 60 studies (published in 64 articles) met the inclusion criteria. Four
PND specific measures and nine generic depression (and sometimes anxiety)
measures were found to have been validated against a diagnostic reference
standard in pregnant or post-natal populations. The Edinburgh Post-natal
Depression Scale (EPDS) was the most frequently validated method to identify
women with PND. The EPDS has been translated and validated in 20 different
languages. The majority of studies were undertaken at ante-natal clinics (n=15),
after the birth in post-natal wards (n=12) or during post-natal visits or follow
up clinics (n=16). Conclusions. The EPDS is the most frequently researched method
to identify PND and has been translated and validated in multiple different
languages.
PMID- 24917237
TI - The involvement of the HLA-DQB1 alleles in the risk and the severity of Iranian
coeliac disease patients.
AB - Coeliac disease (CD) is a highly prevalent autoimmune disorder that is triggered
by the ingestion of wheat gluten and related proteins in genetically susceptible
individuals. The CD is associated with human leucocyte antigen (HLA) genes
particularly with HLA-DQ alleles encoding HLA-DQ2 and DQ8 proteins. To define
risk and severity alleles for CD, a total of 120 definite CD patients and 100
healthy controls were genotyped for HLA-DQB1 gene. HLA-DQB1 genotyping was
performed in all patients and controls using PCR-SSP technique, and to evaluate
the clinical relevance of testing for HLA-DQB1 and determining absolute risk of
disease, prevalence-corrected positive predictive value and prevalence-corrected
negative predictive value (PcPPV and PcNPV) were calculated. Our results for a
first time show that DQB1*02:00 and DQB1*03:02 alleles and DQB1*02:01/03:02
genotype very significantly associated with increased risk of patients with CD,
and DQB1*03:01,4 allele provides protection against CD in Iranian patients.
Furthermore, the PcPPV for DQB*02:01 and 03:02 alleles in CD were 0.014 and
0.012, respectively, and the highest absolute risk presented by DQB*0201/0302
genotype (PcPPV = 0.079) and 98% of patients with CD carried DQB1*02:01/x or
DQB1*03:02/x genotype. The results also clearly demonstrated that the DQB1*02:01
allele significantly associated with severity of CD, while DQB1*03:02 allele
associated with mild form of CD. These results suggest that clinically suspected
individuals for CD and first-degree relatives of patients with CD to be screened
for HLA-DQB*0201 and DQB*0302 alleles for possible early diagnosis and
treatments.
PMID- 24917238
TI - Participation and risk of high grade cytological lesions among immigrants and
Italian-born women in an organized cervical cancer screening program in Central
Italy.
AB - Few studies analyzed the risk for high-grade squamous intraepithelial lesions or
worse (HSIL+) among immigrants and natives attending organized cervical cancer
(CC) screening programs (SP). We evaluated participation and diagnosis of HSIL+
by country of birth with logistic models. Overall 540,779 invitation letters were
delivered to target women of Florence SP in three screening rounds (years 2000
2002, 2003-2005, 2006-2008). The probability of attending screening was lower for
immigrants than natives, but the difference decreased from 35% (1st round) to 20%
(2nd-3rd round) for women born in high migration pressure (HMP) countries. The
risk of HSIL+ was double than natives for HMP-born women from countries with high
prevalence of human papillomavirus, even adjusting for age and previous history
of Pap test. This is an important public health problem due to an increasing
proportion over time of immigrant women with a lower attendance and greater risk
for CC.
PMID- 24917239
TI - Prevalence of Blood Pressure, Blood Glucose and Serum Lipids Abnormalities Among
Ethiopian Immigrants: A Community-Based Cross-Sectional Study.
AB - The main objective of this study was to investigate the prevalence of
hypertension, glucose and blood lipid abnormalities among a community of
Ethiopian immigrants in Minnesota. This cross-sectional study used data from the
parish nursing program 2007-2012. A total of 673 encounters were included in this
study. Various dependent variables including systolic blood pressure (SBP),
diastolic blood pressure (DBP), blood glucose (BG), and serum lipids were
examined. High blood pressure was defined as a mean SBP equal to or higher than
140 mm/Hg and/or DBP equal to or higher than 90 mmHg. Elevated fasting glucose
defined as levels equal to or higher than 126 mg/dL. High level of total
cholesterol (TC), total triglyceride (TG), low-density lipoprotein (LDL)
cholesterol, and low high-density lipoprotein (HDL) cholesterol were defined as
>=240, >=200, >=160 and <=40 mg/dL, respectively. General linear regression
models were used to investigate the relationship of participants' age and gender,
to the continuously distributed response variables, which included systolic and
DBP, BG, TC, TG, LDL cholesterol and HDL cholesterol. This is a nonrandom sample
of adult Ethiopian church members who were invited to participate in a parish
nurse cardiovascular disease (CVD) risk factor screening program. Participants in
this sample were 43 % male and 57 % female. The overall prevalence of
hypertension was 30.1 % with a cut off mark of 140/90 mm/Hg. The prevalence of
hypertension was 33 and 24 % among men than among women, respectively (p < 0.01).
Of all participants, 12 % had BG level of equal to or higher than 126 mg/dL. Low
levels of HDL were reported in 30 % of the participants (<40 mg/dL). A higher
prevalence of high LDL level (20 %) was observed among women compared to those
found in men (16 %). High TC levels (>240 mg/dL) were observed in 15 % of the
women and 10 % of the men (p = 0.2). Higher SBP and DBP were significantly higher
in male participants than their female counterparts (p < 0.05) and in contrast,
women showed a significantly higher TC (p < 0.01) and LDL (0.05) and HDL (p <
0.001). Female participants also had higher BG than male participants but the
difference was not statistically significant (p > 0.05). This opportunity sample
suggests high prevalence of CVD risk factors in a community of Ethiopian-American
adults, and a pressing need for more comprehensive and systematic assessment of
chronic disease health needs in this growing community.
PMID- 24917240
TI - Exploring the Association of Homicides in Northern Mexico and Healthcare Access
for US Residents.
AB - Many legal residents in the United States (US)-Mexico border region cross from
the US into Mexico for medical treatment and pharmaceuticals. We analyzed whether
recent increases in homicides in Mexico are associated with reduced healthcare
access for US border residents. We used data on healthcare access, legal entries
to the US from Mexico, and Mexican homicide rates (2002-2010). Poisson regression
models estimated associations between homicide rates and total legal US entries.
Multivariate difference-in-difference linear probability models evaluated
associations between Mexican homicide rates and self-reported measures of
healthcare access for US residents. Increased homicide rates were associated with
decreased legal entries to the US from Mexico. Contrary to expectations,
homicides did not have significant associations with healthcare access measures
for legal residents in US border counties. Despite a decrease in border
crossings, increased violence in Mexico did not appear to negatively affect
healthcare access for US border residents.
PMID- 24917241
TI - Persistent Desquamation of the Scalp as a Manifestation of Tinea Capitis in Sub
Saharan Children.
AB - Scalp hyperkeratosis of childhood is most often associated with atopic or
seborrheic dermatitis. However, in black children can be associated with tinea
capitis. We undertook a retrospective study in all Sub-Saharan children
presenting with chronic scalp scaling between June 2010 and June 2013, to
determine whether chronic desquamation of the scalp is a clinical manifestation
of tinea capitis. The criterion used to diagnose tinea capitis was a positive
mycolological culture. Of the 23 Sub-Saharan African children attended, 12
(43.4%) presented with chronic scalp flaking. Mycological culture was performed
in 9 of the 12 cases. The culture was positive in 6 out of 9, so 26% of the Sub
Saharan African children attended were diagnosed with tinea capitis. In 52.1% of
the cases with persistent scalp scaling the culture was positive. In conclusion,
chronic scaling of the scalp may well be the sole form of presentation of tinea
capitis in Sub-Saharan children.
PMID- 24917242
TI - Cloning and characterization of feline islet glucokinase.
AB - BACKGROUND: Glucokinase (GK) is a metabolic enzyme encoded by the GCK gene and
expressed in glucose-sensitive tissues, principally pancreatic islets cell and
hepatocytes. The GK protein acts in pancreatic islets as a "glucose sensor" that
couples fluctuations in the blood glucose concentration to changes in cellular
function and insulin secretion. GCK and GK have proposed importance in the
development and progression of diabetes mellitus and are potential therapeutic
targets for diabetes treatment. The study was undertaken to determine the
nucleotide sequence of feline pancreatic GK cDNA, predict the amino acid sequence
and structure of the feline GK protein, and perform comparative bioinformatic
analysis of feline cDNA and protein. Routine PCR techniques were used with cDNA
from feline pancreas. Clones were assembled to obtain the full length cDNA.
Protein prediction and modeling were performed using bioinformatic tools.
RESULTS: Full-length feline pancreatic GK cDNA contains a 1398 nucleotide coding
sequence with high identity to other pancreatic GK cDNAs. The deduced 465 amino
acid feline protein has 15 amino acid substitutions not found in other mammalian
GK proteins but maintains high structural homology with human GK. Feline
pancreatic GK is highly conserved at nucleotide and protein levels. Residues
crucial for substrate binding and catalysis are completely conserved in the
feline protein. CONCLUSION: Molecular analysis predicts that feline pancreatic GK
functions similarly to other mammalian GK proteins.
PMID- 24917243
TI - Identification of candidate long non-coding RNAs in response to myocardial
infarction.
AB - BACKGROUND: Long non-coding RNAs (lncRNAs) constitute a novel class of non-coding
RNAs. LncRNAs regulate gene expression, thus having the possibility to modulate
disease progression. In this study, we investigated the changes of lncRNAs
expression in the heart after myocardial infarction (MI). RESULTS: Adult male
C57/BL6 mice were subjected to coronary ligation or sham operation. In a
derivation group of 4 MI and 4 sham-operated mice sacrificed 24 hours after
surgery, microarray analysis showed that MI was associated with up-regulation of
20 lncRNAs and down-regulation of 10 lncRNAs (fold-change >2). Among these, 2
lncRNAs, called myocardial infarction-associated transcript 1 (MIRT1) and 2
(MIRT2), showed robust up-regulation in the MI group: 5-fold and 13-fold,
respectively. Up-regulation of these 2 lncRNAs after MI was confirmed by
quantitative PCR in an independent validation group of 8 MI and 8 sham-operated
mice (9-fold and 16-fold for MIRT1 and MIRT2, P < 0.001). In a time-course
analysis involving 21 additional MI mice, the expression of both lncRNAs peaked
24 hours after MI and returned to baseline after 2 days. In situ hybridization
revealed an up-regulation of MIRT1 expression in the left ventricle of MI mice.
Expression of MIRT1 and MIRT2 correlated with the expression of multiple genes
known to be involved in left ventricular remodeling. Mice with high level of
expression of MIRT1 and MIRT2 had a preserved ejection fraction. CONCLUSION:
Myocardial infarction induces important changes in the expression of lncRNAs in
the heart. This study motivates further investigation of the role of lncRNAs in
left ventricular remodeling.
PMID- 24917244
TI - Transcriptional coexpression network reveals the involvement of varying stem cell
features with different dysregulations in different gastric cancer subtypes.
AB - Despite the advancements in the cancer therapeutics, gastric cancer ranks as the
second most common cancers with high global mortality rate. Integrative
functional genomic investigation is a powerful approach to understand the major
dysregulations and to identify the potential targets toward the development of
targeted therapeutics for various cancers. Intestinal and diffuse type gastric
tumors remain the major subtypes and the molecular determinants and drivers of
these distinct subtypes remain unidentified. In this investigation, by exploring
the network of gene coexpression association in gastric tumors, mRNA expressions
of 20,318 genes across 200 gastric tumors were categorized into 21 modules. The
genes and the hub genes of the modules show gastric cancer subtype specific
expression. The expression patterns of the modules were correlated with
intestinal and diffuse subtypes as well as with the differentiation status of
gastric tumors. Among these, G1 module has been identified as a major driving
force of diffuse type gastric tumors with the features of (i) enriched
mesenchymal, mesenchymal stem cell like, and mesenchymal derived multiple
lineages, (ii) elevated OCT1 mediated transcription, (iii) involvement of Notch
activation, and (iv) reduced polycomb mediated epigenetic repression. G13 module
has been identified as key factor in intestinal type gastric tumors and found to
have the characteristic features of (i) involvement of embryonic stem cell like
properties, (ii) Wnt, MYC and E2F mediated transcription programs, and (iii)
involvement of polycomb mediated repression. Thus the differential transcription
programs, differential epigenetic regulation and varying stem cell features
involved in two major subtypes of gastric cancer were delineated by exploring the
gene coexpression network. The identified subtype specific dysregulations could
be optimally employed in developing subtype specific therapeutic targeting
strategies for gastric cancer.
PMID- 24917245
TI - Temporal trends in population-based death rates associated with chronic liver
disease and liver cancer in the United States over the last 30 years.
AB - BACKGROUND: The health and economic burden from liver disease in the United
States is substantial and rising. The objective of this study was to characterize
temporal trends in mortality from chronic liver disease and liver cancer and the
incidence of associated risk factors using population-based data over the past 30
years. METHODS: Population-based mortality data were obtained from the National
Vital Statistics System, and population estimates were derived from the national
census for US adults (aged >45 years). Crude death rates (CDRs), age-adjusted
death rates (ADRs), and average annual percentage change (AAPC) statistics were
calculated. RESULTS: In total, 690,414 deaths (1.1%) were attributable to chronic
liver disease, whereas 331,393 deaths (0.5%) were attributable to liver cancer
between 1981 and 2010. The incidence of liver cancer was estimated at 7.1 cases
per 100,000 population. Mortality rates from chronic liver disease and liver
cancer increased substantially over the past 3 decades, with ADRs of 23.7 and
16.6 per 100,000 population in 2010, respectively. The AAPC from 2006 to 2010
demonstrated an increased ADR for chronic liver disease (AAPC, 1.5%; 95%
confidence interval, 0.3%-2.8%) and liver cancer (AAPC, 2.6%; 95% confidence
interval, 2.4%-2.7%). CONCLUSIONS: A comprehensive approach that involves primary
and secondary prevention, increased access to treatment, and more funding for
liver-related research is needed to address the high death rates associated with
chronic liver disease and liver cancer in the United States.
PMID- 24917246
TI - Targeting the TGF-beta receptor with kinase inhibitors for scleroderma therapy.
AB - Scleroderma (systemic sclerosis) is a connective tissue disease that affects
various organ systems; the treatment of scleroderma is still difficult and
remains a challenge to the clinician. Recently, kinase inhibitors have shown
great potential against fibrotic diseases and, specifically, the transforming
growth factor-beta receptor (TGF-betaR) was found as a new and promising target
for scleroderma therapy. In the current study, we propose that the large pool of
existing kinase inhibitors could be exploited for inhibiting the TGF-betaR to
suppress scleroderma. In this respect, we developed a modeling protocol to
systematically profile the inhibitory activities of 169 commercially available
kinase inhibitors against the TGF-betaR, from which five promising candidates
were selected and tested using a standard kinase assay protocol. Consequently,
two molecular entities, namely the PKB inhibitor MK-2206 and the mTOR C1/C2
inhibitor AZD8055, showed high potency when bound to the TGF-betaR, with IC50
values of 97 and 86 nM, respectively, which are close to those of the recently
developed TGF-betaR selective inhibitors SB525334 and LY2157299 (IC50 = 14.3 and
56 nM, respectively). We also performed atomistic molecular dynamics simulations
and post-molecular mechanics/Poisson-Boltzmann surface area analyses to dissect
the structural basis and energetic properties of intermolecular interactions
between the TGF-betaR kinase domain and these potent compounds, highlighting
intensive nonbonded networks across the tightly packed interface of non-cognate
TGF-betaR-inhibitor complexes.
PMID- 24917247
TI - Measurement of habitual physical activity and sedentary behaviour of youth with
cerebral palsy: work in progress.
PMID- 24917248
TI - Lithium cycling in a self-assembled copper chloride-polyether hybrid electrode.
AB - Atomic-scale integration of polyether molecules and copper(II) chloride layers in
a two-dimensional perovskite affords, to the best of our knowledge, the first
example of extended Li(+) cycling in a metal chloride electrode. The hybrid can
cycle over 200 times as a cathode in a lithium battery with an open-circuit
voltage of 3.2 V. In contrast, CuCl2 alone or the precursors to the hybrid cannot
be cycled in a lithium battery, demonstrating the importance of the layered,
organic-inorganic architecture. This work shows that appropriate organic groups
can enable Li(+) cycling in inexpensive, nontoxic, metal halide electrodes, which
is promising for large-scale applications.
PMID- 24917249
TI - Is evolutionary biology becoming too politically correct? A reflection on the
scala naturae, phylogenetically basal clades, anatomically plesiomorphic taxa,
and 'lower' animals.
AB - The notion of scala naturae dates back to thinkers such as Aristotle, who placed
plants below animals and ranked the latter along a graded scale of complexity
from 'lower' to 'higher' animals, such as humans. In the last decades,
evolutionary biologists have tended to move from one extreme (i.e. the idea of
scala naturae or the existence of a general evolutionary trend in complexity from
'lower' to "higher" taxa, with Homo sapiens as the end stage) to the other,
opposite, extreme (i.e. to avoid using terms such as 'phylogenetically basal' and
'anatomically plesiomorphic' taxa, which are seen as the undesired vestige of old
teleological theories). The latter view tries to avoid any possible connotations
with the original anthropocentric idea of a scala naturae crowned by man and, in
that sense, it can be regarded as a more politically correct view. In the past
years and months there has been renewed interest in these topics, which have been
discussed in various papers and monographs that tend to subscribe, in general, to
the points defended in the more politically correct view. Importantly, most
evolutionary and phylogenetic studies of tetrapods and other vertebrates, and
therefore most discussions on the scala naturae and related issues have been
based on hard tissue and, more recently, on molecular data. Here we provide the
first discussion of these topics based on a comparative myological study of all
the major vertebrate clades and of myological cladistic and Bayesian phylogenetic
analyses of bony fish and tetrapods, including Primates. We specifically (i)
contradict the notions of a scala naturae or evolutionary progressive trends
leading to more complexity in 'higher' animals and culminating in Homo sapiens,
and (ii) stress that the refutation of these old notions does not necessarily
mean that one should not keep using the terms 'phylogenetically basal' and
particularly 'anatomically plesiomorphic' to refer to groups such as the urodeles
within the Tetrapoda, or the strepsirrhines and lemurs within the Primates, for
instance. This review will contribute to improving our understanding of these
broad evolutionary issues and of the evolution of the vertebrate Bauplans, and
hopefully will stimulate future phylogenetic, evolutionary and developmental
studies of these clades.
PMID- 24917250
TI - Spatial and temporal patterns of larval dispersal in a coral-reef fish
metapopulation: evidence of variable reproductive success.
AB - Many marine organisms can be transported hundreds of kilometres during their
pelagic larval stage, yet little is known about spatial and temporal patterns of
larval dispersal. Although traditional population-genetic tools can be applied to
infer movement of larvae on an evolutionary timescale, large effective population
sizes and high rates of gene flow present serious challenges to documenting
dispersal patterns over shorter, ecologically relevant, timescales. Here, we
address these challenges by combining direct parentage analysis and indirect
genetic analyses over a 4-year period to document spatial and temporal patterns
of larval dispersal in a common coral-reef fish: the bicolour damselfish
(Stegastes partitus). At four island locations surrounding Exuma Sound, Bahamas,
including a long-established marine reserve, we collected 3278 individuals and
genotyped them at 10 microsatellite loci. Using Bayesian parentage analysis, we
identified eight parent-offspring pairs, thereby directly documenting dispersal
distances ranging from 0 km (i.e., self-recruitment) to 129 km (i.e., larval
connectivity). Despite documenting substantial dispersal and gene flow between
islands, we observed more self-recruitment events than expected if the larvae
were drawn from a common, well-mixed pool (i.e., a completely open population).
Additionally, we detected both spatial and temporal variation in signatures of
sweepstakes and Wahlund effects. The high variance in reproductive success (i.e.,
'sweepstakes') we observed may be influenced by seasonal mesoscale gyres present
in the Exuma Sound, which play a prominent role in shaping local oceanographic
patterns. This study documents the complex nature of larval dispersal in a coral
reef fish, and highlights the importance of sampling multiple cohorts and
coupling both direct and indirect genetic methods in order disentangle patterns
of dispersal, gene flow and variable reproductive success.
PMID- 24917251
TI - Successful treatment of intractable complex regional pain syndrome type I of the
knee with dorsal root ganglion stimulation: a case report.
AB - OBJECTIVES: To report on the efficacy of dorsal root ganglion stimulation in a
patient with complex regional pain syndrome (CRPS) type I of the knee. MATERIALS
AND METHODS: A 48-year-old woman with CRPS type I of the right knee, diagnosed
according to the Budapest criteria set, received DRG stimulation for intractable
CRPS type I of the knee. RESULTS: After a successful trial period with three DRG
stimulation leads on spinal levels L2, L3, and L4 (covering 90% of the painful
area of her knee), a definitive pulse generator was implanted. Three months after
implantation, the entire painful area was covered, and the patient reported a
numeric rating scale score of 1-2. CONCLUSION: Placement of three DRG stimulation
leads at levels L2, L3, and L4 in a patient with intractable CRPS type I of the
knee resulted in major pain relief. We recommend further investigation of the
effect of DRG stimulation on pain due to CRPS of the knee.
PMID- 24917252
TI - Notes from the guest editor.
AB - In 2009, four institutes of the Canadian Institutes of Health Research (Gender
and Health; Health Services and Policy Research; Neurosciences, Mental Health and
Addiction; and Population and Public Health) sponsored the Fourth Annual Canadian
Research Congress on Mental Health and Addictions in the Workplace, in Toronto,
Ontario. Hosted by the Work and Well-Being Research and Evaluation Program at the
Centre for Addiction and Mental Health, the theme of the congress was We Can Do
It! Evidence and Interventions for Transforming Mental Health in the Workplace
(see http://www.kewa.camh.net/researchers/areas/work_wellbeing/Pages/default.aspx
for more details). Coincidentally, in the same year, an idealistic presidential
hopeful named Barack Obama adopted a similar slogan for his White House run.
Obama recognized that change that challenges established barriers can only come
about through co-operation and a collective investment.
PMID- 24917253
TI - Psychosocial and biological indicators in the evaluation of and intervention in
mental health problems at work.
AB - This article discusses how biological and psychosocial perspectives might
integrate into a unified framework - the bio-psychosocial model - that can be
used to explain how mental health problems at work are generated and that can be
subsequently employed in workplace intervention. It concludes with a brief review
of the advantages and ethical pitfalls that arise with this approach.
PMID- 24917254
TI - Law, public policy and mental health in the workplace.
AB - The first part of this article describes regulatory interventions, drawn from
different Canadian jurisdictions, designed to reduce worker exposure to
psychosocial hazards, including occupational violence, and to protect workers'
mental health. It also addresses legislative provisions providing workers'
compensation for mental health problems and regulatory provisions supporting the
return to work of those who have been absent from work because of work-related
mental health problems. The second part of the article, relying on illustrations
from case law in which workers' compensation claims for mental health problems
have been accepted, examines ways in which law and policy can actually contribute
directly or indirectly to behaviours that may lead to increasing illness and
disability associated with mental health problems.
PMID- 24917255
TI - Workplace design contributions to mental health and well-being.
AB - People spend much of their waking time in their workplaces (approximately 33% on
a weekly basis), which raises the possibility that the conditions they experience
at work influence their health and well-being. The workplace design literature
has given scant attention to mental health outcomes, instead focusing on healthy
populations. Conversely, the mental health literature gives scant attention to
the potential contribution of workplace design in preventing mental health
problems; nor does it provide much insight into facilitating return to work.
Taken together, however, the literature does suggest both lines of research and
possible interventions. Existing knowledge proposes that workplace design can
influence mental health via the effects of light exposure on circadian
regulation, social behaviour and affect; the effects of aesthetic judgement on at
work mood and physical well-being and at-home sleep quality; access to nature and
recovery from stressful experiences; and privacy regulation and stimulus control.
This paper includes a short review of the literature in this area, proposals for
new research directions and consideration of the implications of this information
on the design choices made by business owners, designers and facility managers.
Providing suitable working conditions for all employees avoids stigmatizing
employees who have mental health problems, while facilitating prevention and
return to work among those who do.
PMID- 24917256
TI - Intervention study on psychosocial work factors and mental health and
musculoskeletal outcomes.
AB - Organizations are facing ever-stiffer competition in the current globalized
economy, and employees are consequently being exposed to increasingly adverse
psychosocial work factors. Psychosocial work factors, also called psychosocial
stressors, refer to all organizational factors and interpersonal relationships in
the workplace that may affect workers' health. Two well-defined and
internationally recognized theoretical models are used to assess these factors:
the Karasek demand-latitude-support model and the Siegrist effort-reward
imbalance model. The Karasek and Siegrist models reflect specific components of
the work environment for which there is empirical evidence of a deleterious
effect on health. Preventive interventions targeting these factors are conducted
in workplaces. However, few studies have rigorously documented these
interventions and their effectiveness in reducing adverse work factors and
improving health outcomes. Most previous intervention studies were limited by (1)
a short follow-up that may not have provided sufficient time for effects to
appear, (2) small sample sizes (N <= 100) that limited the statistical power and
the possibility of detecting results and (3) rare assessment of the Siegrist
model. The current paper presents the overall design and the main results of an
intervention study on psychosocial work factors and related mental health and
musculoskeletal outcomes. The study integrated (1) a development phase that aimed
at identifying the changes needed to reduce psychosocial factors in the target
population and the best ways to bring about these changes, (2) an implementation
phase that systematically documented how the intervention was carried out and (3)
an effectiveness phase that evaluated whether the intervention was successful in
reducing adverse psychosocial work factors and health problems. In addition, the
study used repeated measurements of psychosocial work factors and health
indicators at baseline and six and 30 months post-intervention to assess short-
and medium-term effects of the intervention.
PMID- 24917257
TI - Intervention research on working conditions and mental health: persistent
challenges, new directions and opportunities to integrate research agendas.
AB - This commentary provides a summary of the four preceding research papers. Three
of the four papers, those by Gilbert-Ouimet et al., Marchand and Durand, and
Veitch, provide direction for future workplace psychosocial intervention studies,
while the remaining paper, by Lippel, offers insight into how existing
occupational health and safety and workers' compensation legislation offers few
motivations for employers to promote and protect the mental health of their
employees. In addition to fleshing out the directions and insight offered by
these papers, this commentary flags the challenges that persist in this area of
intervention research. To conclude, the authors offer a summary of directions for
future research, including opportunities to integrate research agendas.
PMID- 24917258
TI - Advancing research on mental health in the workplace.
AB - A complex topic like workplace mental health requires multidisciplinary, multi
sectoral, mixed methods research and effective knowledge translation of research
findings. In this commentary, two of the 13 institutes that comprise the Canadian
Institutes of Health Research - the institute of Gender and Health and the
Institute of Population and Public Health - discuss strategies for advancing
research on mental health and the workplace. With a focus on each Institute's
mandate, the commentary argues that there is a need to advance our understanding
of how biological, social, cultural and environmental determinants of workplace
mental health are influenced by sex and gender, and of how population health
intervention research can generate evidence that will strengthen the impact of
workplace interventions to reduce mental illness.
PMID- 24917259
TI - The business case: collaborating to help employees maintain their mental well
being.
AB - There has been a change in the mindset of businesses in recent years. Companies
are starting to realize that proactively helping their employees to maintain
mental health is beneficial, both for their workers and their business. In this
article, we present three different but complementary views - those of an
advocate, an employer and a provider - on helping employees maintain mental, and
physical, health. In the first section, Sari Sairanen outlines programs and
services to manage stress and maintain mental health that have been developed by
the Canadian Auto Workers' union and implemented in partnership with employers,
wellness providers, service agencies and other community partners. The union
focuses on raising awareness and providing education, as well as removing the
stigma associated with mental illness. Deanna Matzanke, in her section, discusses
the commitment of a company, Scotiabank, to create and maintain an inclusive and
accessible workplace for all its employees. It has recently worked with providers
to develop and implement integrated services dealing specifically with mental
health illness and addiction, which aid not only its current employees but also
possible future employees. Finally, Doug Smeall shares his observations as an
insurer at Sun Life Financial, who has seen the rates of both short-term and long
term disabilities increase. He elaborates on the collaborative work between
insurers and employers to help employees maintain their mental health, and to
return to work sooner when issues do occur. Ultimately, this article argues that
unions, employers and insurers can work together with partners and employees to
promote and maintain employee health because, as Sairanen asserts, "preventing a
problem in the first place is the best strategy."
PMID- 24917260
TI - Opportunities abound to improve mental health and psychological safety in the
workplace.
AB - This commentary provides a brief synopsis of the views expressed by the authors
of the invited essay "The Business Case," Sari Sairanen, Deanna Matzanke and Doug
Smeall. It then discusses the authors' views in light of the Mental Health
Commission's framework for a Mental Health Strategy for Canada, titled Toward
Recovery and Well-Being, and Dr. Martin Shain's two reports to the Mental Health
Commission of Canada - Stress at Work, Mental Injury and the Law in Canada and
Tracking the Perfect Legal Storm. The initiatives discussed in the lead paper are
then compared with a 2009 consensus statement generated at a forum co-hosted by
the Mental Health Commission and the Great-West Life Centre for Mental Health in
the Workplace. The consensus statement reflects the recommendation of the forum's
40 participants that a Canadian national standard for psychological health and
safety in the workplace should be developed.
PMID- 24917261
TI - Welcome to our world.
AB - Richard Branson, David Neeleman and Paul Orfalea - what do these entrepreneurs
have in common, other than wealth? They have a disorder that affects about one in
25 adults in North America - Attention Deficit/Hyperactivity Disorder. In this
article, comedian and TV producer Rick Green outlines some of the history and
costs of the disorder, and shares with us first-hand insight into the struggles -
and rewards - this disorder can present.
PMID- 24917262
TI - Effects of transient blur and VDT screen luminance changes on eyeblink rate.
AB - PURPOSE: A study was designed to evaluate the efficacy of three different
strategies aiming at increasing spontaneous eyeblink rate (SEBR) during computer
use. METHODS: A total of 12 subjects (5 female) with a mean age of 28.7 years
were instructed to read a text presented on a computer display terminal during
15min. Four reading sessions (reference and three "blinking events" [BE]) were
programmed in which SEBR was digitally recorded. "Blinking events" were based on
either a slight distortion of the text characters or on the presentation of a
white screen instead of the text, with or without accompanying blinking
instructions. All BE had a duration of 20ms and occurred every 15s. Participants
graded the intrusiveness of each BE configuration, and the number of lines
participants read in each session was recorded. RESULTS: Data from 11 subjects
was analysed. A statistically significant difference in SEBR was encountered
between the experimental configuration consisting on a white screen plus blinking
instructions (7.8 blinks/min) and both reference (5.2 blinks/min; p=0.049) and
white screen without blinking instructions (4.8 blinks/min; p=0.038). All three
BE had superior levels of intrusiveness than reference conditions, although the
performance of participants (line count) was not compromised. CONCLUSIONS: The
joint contribution of white screen and blinking instructions has been shown to
result in a short term improvement in blinking rate in the present sample of non
dry eye computer users. Further work is necessary to improve the acceptance of
any BE aiming at influencing SEBR.
PMID- 24917263
TI - The language of feelings: A reading and storytelling group in an adolescent unit.
AB - This article describes a "Reading and Storytelling Group" that ran at an
adolescent inpatient unit and outlines how fictional stories, both read and told,
can develop psychological insights such as mentalization and emotional literacy.
The structure of the group is described, and some of the activities and responses
of the young people are illustrated. How activities such as this can have
therapeutic benefits without being ostensibly "therapy" are discussed.
PMID- 24917264
TI - Quality measures for palliative care in patients with cancer: a systematic
review.
AB - PURPOSE: Quality assessment is a critical component of determining the value of
medical services, including palliative care. Characterization of the current
portfolio of measures that assess the quality of palliative care delivered in
oncology is necessary to identify gaps and inform future measure development.
METHODS: We performed a systematic review of MEDLINE/PubMed and the gray
literature for quality measures relevant to palliative care. Measures were
categorized into National Quality Forum domains and reviewed for methodology of
development and content. Measures were additionally analyzed to draw summative
conclusions on scope and span. RESULTS: Two hundred eighty-four quality measures
within 13 measure sets were identified. The most common domains for measure
content were Physical Aspects of Care (35%) and Structure and Processes of Care
(22%). Of symptom-related measures, pain (36%) and dyspnea (26%) were the most
commonly addressed. Spiritual (4%) and Cultural (1%) Aspects of Care were least
represented domains. Generally, measures addressed processes of care, did not
delineate benchmarks for success, and often did not specify intended
interventions to address unmet needs. This was most evident regarding issues of
psychosocial and spiritual assessment and management. CONCLUSION: Within a large
cohort of quality measures for palliative, care is often a focus on physical
manifestations of disease and adverse effects of therapy; relatively little
attention is given to the other aspects of suffering commonly observed among
patients with advanced cancer, including psychological, social, and spiritual
distress.
PMID- 24917265
TI - Claiming an Ethic of Care for midwifery.
AB - BACKGROUND: The public domain of midwifery practice, represented by the
educational and hospital institutions could be blamed for a subconscious ethical
dilemma for midwifery practitioners. The result of such tension can be seen in
complaints from maternity service users of dehumanised care. When expectations
are not met, women report dehumanising experiences that carry long term
consequences to both them and their child. OBJECTIVES: To revisit the ethical
foundation of midwifery practice to reflect the feminist Ethic of Care and
reframe what is valuable to women and midwives during the childbirth experience.
RESEARCH DESIGN: A comprehensive literature review is presented from the
midwifery and feminist ethics discourse. ETHICAL CONSIDERATIONS: Nil to report.
FINDINGS: Women are vulnerable during childbirth as they need care, yet they
prioritise elements of relationship in their experience. The Ethic of Care
approach equalises the relationship between the midwife and the woman, providing
the space for relationship building and allowing midwives to meet the
expectations of their accepted responsibility. DISCUSSION: Some midwives manage
to balance the demands of the institution with the needs of the woman. This is
described as both an emotional and professionally challenging balancing act.
CONCLUSION: Until there is a formal acknowledgement of the different ethical
approach to midwifery practice from within the profession and the Institution,
midwifery identity and practice will continue to be compromised.
PMID- 24917266
TI - Moral distress in nurses at an acute care hospital in Switzerland: results of a
pilot study.
AB - BACKGROUND: In the context of new reimbursement systems like diagnosis-related
groups, moral distress is becoming a growing problem for healthcare providers.
Moral distress can trigger emotional and physical reactions in nurses and can
cause them to withdraw emotionally from patients or can cause them to change
their work place. OBJECTIVE: The aim of this pilot study was to develop an
instrument to measure moral distress among acute care nurses in the German
speaking context, to test its applicability, and to obtain initial indications of
the instrument's validity. METHOD: The study was designed in 2011 as a cross
sectional pilot survey. Conducted on eight units of one university hospital in
German-speaking Switzerland, 294 registered nurses were asked to fill out a web
based questionnaire on moral distress. ETHICAL CONSIDERATIONS: The study proposal
was approved by the cantonal ethics committee. All participating nurses provided
informed consent and were assured of data confidentiality. RESULTS: The survey
had a response rate of 55%. The results show the prevalence of statements on the
questionnaire indicating situations with the potential to trigger moral distress.
The entire range of answers was used in the responses. Most participants found
the questionnaire comprehensible, while some criticized the phraseology of
certain statements. Many more found the registration process prior to online
access to be too time consuming. Nurses confirmed that the results reflect their
subjective assessment of their situation and their experience of moral distress.
CONCLUSION: The newly developed moral distress questionnaire appears to produce
face validity and is sufficiently applicable for use in our study. The results
indicate that moral distress appears to be a relevant phenomenon also in Swiss
hospitals and that nurses were experiencing it prior to the introduction of Swiss
diagnosis-related groups.
PMID- 24917267
TI - Ethical considerations on the value of patient knowledge in long-term care: a
qualitative study of patients suffering from Korsakoff 's syndrome.
AB - AIM: This study explores experiences of patients suffering from Korsakoff's
syndrome. It contributes to improved reflection on the value of patient
knowledge. BACKGROUND: An ethics of care perspective states the importance of
moving to patients in their vulnerable state of being, and to figure out
patients' individual needs necessary to provide good care. The information given
by patients suffering from Korsakoff's syndrome might be mistaken, invented and
even not true. The value of these patients' experiences and knowledge had not
been researched to date. METHOD: Data from six in-depth interviews were analysed
concurrently through the constant comparative method. ETHICAL CONSIDERATIONS: The
principles of voluntariness, confidentiality and anonymity were respected during
the research process. FINDINGS: Four important themes within patients' knowledge
emerged: health condition, involuntary admission into the nursing home, the daily
routine and interpretation of the caring abilities of healthcare professionals.
The findings show that our respondents were able to provide a window into their
inner perspective. CONCLUSION: This study deepens our understanding of the value
of patient knowledge in situations where patients see the world in a different
manner as do healthcare professionals. The conversations revealed personal
subjective knowledge which our respondents create and live by. Healthcare
professionals are encouraged to discover and affirm the understandings of these
patients, and not be prepossessed due to the diagnosis or general nursing home
rules. Understanding patients' unique knowledge through appreciation of the
complexity and richness of different views on the situation can assist to give a
more humane response to individual needs and pain. Health staff in healthcare
institutions are encouraged to evaluate the - unintended - effect of rigidly
applied nursing home rules, and the government is challenged to rethink its
policy about care, and provide more resources permitting relational care that
nurtures the dignity of patients suffering from Korsakoff's syndrome.
PMID- 24917268
TI - Toward interventions to address moral distress: navigating structure and agency.
AB - BACKGROUND: The concept of moral distress has been the subject of nursing
research for the past 30 years. Recently, there has been a call to move from
developing an understanding of the concept to developing interventions to help
ameliorate the experience. At the same time, the use of the term moral distress
has been critiqued for a lack of clarity about the concepts that underpin the
experience. DISCUSSION: Some researchers suggest that a closer examination of how
socio-political structures influence healthcare delivery will move moral distress
from being seen as located in the individual to an experience that is also
located in broader healthcare structures. Informed by new thinking in relational
ethics, we draw on research findings from neuroscience and attachment literature
to examine the reciprocal relationship between structures and agents and frame
the experience of moral distress. CONCLUSION: We posit moral distress as a form
of relational trauma and subsequently point to the need to better understand how
nurses as moral agents are influenced by-and influence-the complex socio
political structures they inhabit. In so doing, we identify this reciprocity as a
framework for interventions.
PMID- 24917269
TI - Developing nursing ethical competences online versus in the traditional
classroom.
AB - BACKGROUND: The development of society and science, especially medical science,
gives rise to new moral and ethical challenges in healthcare. RESEARCH
QUESTION/OBJECTIVES/HYPOTHESIS: In order to respond to the contemporary
challenges that require autonomous decision-making in different work contexts, a
pedagogical experiment was conducted to identify the readiness and responsiveness
of current organisation of nursing higher education in Slovenia. It compared the
successfulness of active learning methods online (experimental group) and in the
traditional classroom (control group) and their impact on the ethical competences
of nursing students. The hypothesis set in the experiment, hypothesis 1 (the
experimental group will be successful and will have good achievements in
comprehension and application of ethical principles) was confirmed based on pre
tests and post-tests. The hypothesis tested by the questionnaire, hypothesis 2
(according to the students, the active learning methods online in the
experimental group have a positive impact on the development of ethical
competences) was confirmed. RESEARCH DESIGN: The pedagogical experiment was
supported by a multiple-case study that enabled the in-depth analysis of the
students' attitudes towards the active learning methods in both settings.
PARTICIPANTS AND RESEARCH CONTEXT: The study included Slovenian first-year
nursing students (N = 211) of all the enrolled students (N = 225) at the
University of Ljubljana and University of Primorska in the academic year
2010/2011. ETHICAL CONSIDERATIONS: Before the study ethical permission was
obtained from the managements of both participating faculties. The students were
given all the necessary information of the experiment before the tutorials.
FINDINGS: No significant difference was found between the two learning settings
and both had a positive impact upon learning. The results of the content analysis
show that the students' active engagement with the active learning methods in the
group enables the development of ethical competences and the related
communicative competences, interpersonal skills, collaboration and critical
thinking. DISCUSSION: Active learning methods in the settings compared, online
and the traditional classroom, enabled the development of a higher level of
knowledge defined by the ability of critical thinking and reflective response,
the core of ethical competences. Students develop ethical competence through
active engagement in a group work, role play and discussion, and there is no
difference between online or traditional learning settings. CONCLUSION: In the
healthcare, it is crucial for providers to be capable of making autonomous
decisions and managing various communication situations and contexts in which the
moral attitudes and ethical sensibility are essential.
PMID- 24917270
TI - Ethical challenges when caring for dying children.
AB - BACKGROUND: Caring for dying children presents special challenges, according to
the children themselves, their relatives and healthcare professionals. OBJECTIVE:
The aim of this study was to describe caring as represented in healthcare
workers' experiences of caring for dying children. METHOD: A phenomenological
approach was chosen, in-depth interviews were carried out and data were analysed
in four steps focusing on (a) open reading, (b) meaning units, (c) constituents
and (d) essence. ETHICAL CONSIDERATIONS: Four nurses in a general acute
paediatric care setting in Sweden participated after providing written informed
consent. Voluntary participation and confidentiality were ensured, and the study
was ethically approved. FINDINGS: The essence of caring for dying children was
likened to a musically attuned composition, comprising five constituents:
presence, self-knowledge, injustice in dying, own suffering and in need of
others. Presence was found to be a prerequisite for caring when a child is dying.
Self-knowledge and support from others can be of help when struggling with
emotional pain and injustice. DISCUSSION: Caring for dying children has been
found to be a delicate task for healthcare workers all over the world, and the
ethical dimension is emphasized in international research. In this study,
emotional pain and suffering accompanied caring, but an atmosphere in which it is
possible to give and get support from colleagues and to have time to grieve and
time to focus on the patient's needs may ease the burden, as can having time to
process thoughts about life and death, and a possibility to grow in self
knowledge. CONCLUSION: Caring in ethically demanding situations may be
facilitated through presence, atmosphere, self-knowledge and time. The challenge
does not demand highly technological solutions; these assets are readily
available, no matter where on earth. However, there is a need to further
investigate these prerequisites for caring, particularly when a child is dying.
PMID- 24917271
TI - Neonatal influenza infection causes pathological changes in the mouse brain.
AB - Influenza A virus infections have been proposed to be associated with a broad
spectrum of central nervous system complications that range from acute
encephalitis/encephalopathy to neuropsychiatric disorders in humans. In order to
study early influenza virus exposure in the brain, we created an influenza
infection model in neonatal mice to investigate infection route and resulting
pathological changes in the brain. Real-time polymerase chain reaction and
immunohistochemical analyses showed that influenza virus infection induced by an
intraperitoneal injection was first detected as early as 1 day post infection
(dpi), and the peak infection was observed at 5 dpi. The viral antigen was
detected in a wide range of brain regions, including: the cerebral cortex,
hippocampus, cerebellum, and brainstem. Apoptotic cell death and gliosis were
detected in the areas of viral infection. Significant increases in
proinflammatory cytokine expression were also observed at 5 dpi. Viral RNAs were
detected in the cerebrospinal fluid of infected adult mice as early as 1 dpi. In
addition, many infected cells were observed near the ventricles, indicating that
the virus may enter the brain parenchyma through the ventricles. These results
demonstrate that influenza virus may effectively infect broad regions of the
brain through the hematogenous route, potentially through the cerebrospinal fluid
along the ventricles, and subsequently induce neuropathological changes in the
neonatal mouse brain.
PMID- 24917272
TI - Increased health care utilization by survivors of childhood lymphoblastic
leukemia is confined to those treated with cranial or total body irradiation: a
case cohort study.
AB - BACKGROUND: Previous studies have indicated that survivors of childhood acute
lymphoblastic leukemia (ALL) have an increased morbidity measured in terms of
health care utilization. However, earlier studies have several potentially
important limitations. To overcome some of these, we investigated hospital
contact rates, and predictors thereof, among 5-year survivors of ALL in a
population-based setting, and compared them to a control cohort regarding outcome
measures from a comprehensive nation-wide health register. METHODS: All
individuals diagnosed with ALL before the age of 18 in Southern Sweden during
1970-1999 and alive January 2007 (n=213; male=107) were identified through the
Swedish Cancer Register. Each subject was matched to fifty controls, identified
in the Swedish Population Register. All study subjects were linked to the
National Hospital Register and detailed information was obtained on all hospital
contacts (hospital admissions and outpatients visits) starting five years after
cancer diagnosis, and the corresponding date for the controls, until 2009.
RESULTS: The median follow-up among the 5-year survivors of ALL was 16 years
(range 5-33), accruing a total of 3,527 person-years. Of the 213 5-year
survivors, 105 (49.3%) had at least one hospital contact compared to 3,634
(34.1%) of the controls (p<0.001). Survivors had more hospital contacts (3 [1-6]
vs. 2 [1-4] contacts, p<0.001) and more total days in hospital (6 [2-18] vs. 3 [1
7] days, p<0.001) than the controls during the study period. Logistic regression
analysis showed that survivors treated with cranial irradiation and/or total body
irradiation (45% and 7%, respectively) had an increased risk of at least one
hospital contact (OR 2.3, 95%CI; 1.5-3.6 and OR 11.0, 95%CI; 3.2-50.7,
respectively), while there was no significant difference between the non
irradiated survivors and controls. CONCLUSIONS: We show that irradiated survivors
of childhood ALL have an increased morbidity measured in terms of hospital
contacts, in comparison to non-irradiated survivors and controls, while non
irradiated survivors have not. These findings are encouraging regarding the
future morbidity of children currently treated for ALL, as radiotherapy is
necessary only for a minority of these.
PMID- 24917274
TI - Effect of the Nicotinic alpha4beta2-receptor Partial Agonist Varenicline on Non
invasive Brain Stimulation-Induced Neuroplasticity in the Human Motor Cortex.
AB - Nicotine alters cognitive functions in animals and humans most likely by
modification of brain plasticity. In the human brain, it alters plasticity
induced by transcranial direct current stimulation (tDCS) and paired associative
stimulation (PAS), probably by interference with calcium-dependent modulation of
the glutamatergic system. We aimed to test this hypothesis further by exploring
the impact of the alpha4beta2-nicotinic receptor partial agonist varenicline on
focal and non-focal plasticity, induced by PAS and tDCS, respectively. We
administered low (0.1 mg), medium (0.3 mg), and high (1.0 mg) single doses of
varenicline or placebo medication before PAS or tDCS on the left motor cortex of
25 healthy non-smokers. Corticospinal excitability was monitored by single-pulse
transcranial magnetic stimulation-induced motor evoked potential amplitudes up to
36 h after plasticity induction. Whereas low-dose varenicline had no impact on
stimulation-induced neuroplasticity, medium-dose abolished tDCS-induced
facilitatory after-effects, favoring focal excitatory plasticity. High-dose
application preserved cathodal tDCS-induced excitability diminution and focal
excitatory PAS-induced facilitatory plasticity. These results are comparable to
the impact of nicotine receptor activation and might help to further explain the
involvement of specific receptor subtypes in the nicotinic impact on
neuroplasticity and cognitive functions in healthy subjects and patients with
neuropsychiatric diseases.
PMID- 24917273
TI - A randomized clinical trial comparing methotrexate and mycophenolate mofetil for
noninfectious uveitis.
AB - OBJECTIVE: To compare the relative effectiveness of methotrexate and
mycophenolate mofetil for noninfectious intermediate uveitis, posterior uveitis,
or panuveitis. DESIGN: Multicenter, block-randomized, observer-masked clinical
trial. PARTICIPANTS: Eighty patients with noninfectious intermediate, posterior,
or panuveitis requiring corticosteroid-sparing therapy at Aravind Eye Hospitals
in Madurai and Coimbatore, India. INTERVENTION: Patients were randomized to
receive 25 mg weekly oral methotrexate or 1 g twice daily oral mycophenolate
mofetil and were monitored monthly for 6 months. Oral prednisone and topical
corticosteroids were tapered. MAIN OUTCOME MEASURES: Masked examiners assessed
the primary outcome of treatment success, defined by achieving the following at 5
and 6 months: (1) <=0.5+ anterior chamber cells, <=0.5+ vitreous cells, <=0.5+
vitreous haze and no active retinal/choroidal lesions in both eyes, (2) <=10 mg
of prednisone and <=2 drops of prednisolone acetate 1% a day, and (3) no
declaration of treatment failure because of intolerability or safety. Additional
outcomes included time to sustained corticosteroid-sparing control of
inflammation, change in best spectacle-corrected visual acuity, resolution of
macular edema, adverse events, subgroup analysis by anatomic location, and
medication adherence. RESULTS: Forty-one patients were randomized to methotrexate
and 39 to mycophenolate mofetil. A total of 67 patients (35 methotrexate, 32
mycophenolate mofetil) contributed to the primary outcome. Sixty-nine percent of
patients achieved treatment success with methotrexate and 47% with mycophenolate
mofetil (P = 0.09). Treatment failure from adverse events or tolerability was not
different by treatment arm (P = 0.99). There were no differences between
treatment groups in time to corticosteroid-sparing control of inflammation (P =
0.44), change in best spectacle-corrected visual acuity (P = 0.68), or resolution
of macular edema (P = 0.31). CONCLUSIONS: There was no statistically significant
difference in corticosteroid-sparing control of inflammation between patients
receiving methotrexate or mycophenolate mofetil. However, there was a 22%
difference in treatment success favoring methotrexate.
PMID- 24917276
TI - Effect of endurance training on dental erosion, caries, and saliva.
AB - The aim of this investigation was to give insights into the impact of endurance
training on oral health, with regard to tooth erosion, caries, and salivary
parameters. The study included 35 triathletes and 35 non-exercising controls. The
clinical investigation comprised oral examination, assessment of oral status with
special regard to caries and erosion, saliva testing during inactivity, and a
self-administered questionnaire about eating, drinking, and oral hygiene
behavior. In addition, athletes were asked about their training habits and intake
of beverages and sports nutrition. For saliva assessment during exercise, a
subsample of n = 15 athletes volunteered in an incremental running field test
(IRFT). Athletes showed an increased risk for dental erosion (P = 0.001). No
differences were observed with regard to caries prevalence and salivary
parameters measured during inactivity between athletes and controls. Among
athletes, a significant correlation was found between caries prevalence and the
cumulative weekly training time (r = 0.347, P = 0.04). In athletes after IRFT and
at maximum workload, saliva flow rates decreased (P = 0.001 stimulated; P = 0.01
unstimulated) and saliva pH increased significantly (P = 0.003). Higher risk for
dental erosions, exercise-dependent caries risk, and load-dependent changes in
saliva parameters point out the need for risk-adapted preventive dental concepts
in the field of sports dentistry.
PMID- 24917275
TI - Transcallosal Projections Require Glycoprotein M6-Dependent Neurite Growth and
Guidance.
AB - The function of mature neurons critically relies on the developmental outgrowth
and projection of their cellular processes. It has long been postulated that the
neuronal glycoproteins M6a and M6b are involved in axon growth because these four
transmembrane domain-proteins of the proteolipid protein family are highly
enriched on growth cones, but in vivo evidence has been lacking. Here, we report
that the function of M6 proteins is required for normal axonal extension and
guidance in vivo. In mice lacking both M6a and M6b, a severe hypoplasia of axon
tracts was manifested. Most strikingly, the corpus callosum was reduced in
thickness despite normal densities of cortical projection neurons. In single
neuron tracing, many axons appeared shorter and disorganized in the double-mutant
cortex, and some of them were even misdirected laterally toward the subcortex.
Probst bundles were not observed. Upon culturing, double-mutant cortical and
cerebellar neurons displayed impaired neurite outgrowth, indicating a cell
intrinsic function of M6 proteins. A rescue experiment showed that the
intracellular loop of M6a is essential for the support of neurite extension. We
propose that M6 proteins are required for proper extension and guidance of
callosal axons that follow one of the most complex trajectories in the mammalian
nervous system.
PMID- 24917277
TI - [Aortic root replacement with the freestyle stentless bioprosthesis for infective
endocarditis associated with aortic annular destruction].
AB - Treatment of infective endocarditis (IE) associated with aortic annular
destruction remains a formidable surgical challenge. Discussions about the
optimum modality for reconstruction are still continuing. In such severe
endocarditis, we have performed aortic root replacement using the Freestyle
stentless bioprosthesis with the full root technique. From 2005 through 2012, 11
patients who had aortic valve endocarditis with annular destruction underwent
aortic root replacement at our institute. All of them were treated with the
Freestyle stentless bioprosthesis. Their mean age was 69.9 years, and 8 patients
were men. Two patients had native valve endocarditis and 9 patients had
prosthetic valve endocarditis. Despite appropriate antibiotic therapy, 3 patients
required emergency surgery because of hemodynamic deterioration. In-hospital
death occurred in 1 patient due to progressive hemodynamic failure. The 10
hospital survivors were followed up for a mean of 27.7+/-23.1 months (range 5 to
82). Although late death occurred in 2 patients, recurrent IE was not observed in
any patients during the follow-up. The results of our study suggest that the
Freestyle stentless bioprosthesis could be an excellent alternative to a
homograft in the treatment of infective endocarditis associated with aortic
annular destruction.
PMID- 24917278
TI - [Therapeutic use of amiodarone against postoperative fibrillation after cardiac
surgery].
AB - We investigated the effect of amiodarone (AMD) administered intravenously for the
treatment of post-operative atrial fibrillation( POAF) after cardiac surgery.
After rapid administration of 150 mg for 10 minutes, AMD was administered in 1.0
mg per minute for 6 hours followed by 0.5 mg per minute for 18 hours. AMD was
then administered orally 200 mg per day for 1 or 2 weeks. Twenty-five cases were
enrolled from January 2010 to June 2013. In 18 cases(72%), the patients were
successfully defibrillated by this protocol. In the other 7 cases, the patients
required electrical defibrillation, but in 1 patient developed chronic atrial
fibrillation. It was thought that AMD could be a choice for POAF.
PMID- 24917279
TI - [Assessment of traumatic rib fractures caused by traffic accident].
AB - We reviewed 66 cases of traumatic rib fracture by traffic accident between
January 2009 and December 2011. The age of patients ranged from 18 to 88 years,
with an average age of 55.6, and they were predominantly male. They met with
traffic accident when driving automobiles in 30 cases, driving motorcycles in 15
cases, and walking in 9 cases. The average number of fractured ribs was 4.1+/
3.2.Multiple rib fractures were observed in 75.8% of patients. Injuries other
than rib fractures were involved in all patients who suffered over 7 rib
fractures. Except one who died of pneumonia 62 days after traffic accident, 7 of
8 patients died within 48 hours:6 in a shock state and 1 in cardiac pulmonary
arrest on arrival. About 80 % of the patients with rib fractures were
hospitalized. As traffic accidents could cause any type of injuries including rib
fractures, it is important to examine the whole body when patients were
transported to a hospital.
PMID- 24917280
TI - [Outcomes of the surgical treatment of infectious endocarditis in a single
institute for 13-years].
AB - Recently, due to the progress in patient managements with antibiotics, the
prognosis of the active phase infectious endocarditis (IE) has improved, but in
some cases, urgent or emergent surgical treatment is inevitable because of the
uncontrollable acute heart failure due to valve regurgitation, uncontrollable
infection with circulatory collapse, or high embolization risk. We reviewed the
outcomes of the 57 IE patients who underwent surgical treatment in our hospital
from January, 2000 to April, 2012. Preoperative state including inflammatory
responses, bacterial blood culture, antibiotic administration, and surgical
procedures were examined. No statistical significances were detected in the
mortalities between elective cases (n=43)and urgent or emergent cases (n=14).
Totally, mortality was 5.3% including one case in prosthetic valve endocarditis,
and was satisfactory.
PMID- 24917281
TI - [Complete resection of a posterior mediastinal tumor after preoperative
identification of artery of Adamkiewicz].
AB - The thoracolumbar spinal cord receives its blood supply primarily from the artery
of Adamkiewicz (AA), a branch of thoracolumbar intercostal arteries. Aortic cross
clamping during operation for descending aortic aneurysms can cause paraplegia
due to spinal cord ischemia secondary to low blood flow through the AA. A 69-year
old woman was diagnosed with a left posterior mediastinal tumor measuring 66 mm.
The tumor was adjacent to the thoracic aorta between Th10 to Th12 vertebral
levels. Preoperative 3-dimensional computed tomography (3D-CT) imaging revealed 2
AAs originated from the 10th and 11th left intercostal arteries just near the
tumor. The patient underwent a left thoracotomy and the 2 intercostal arteries
were carefully dissected from the encapsulated tumor. Complete resection was
safely achieved with preservation of the AAs. Pathology revealed a schwannoma.
There were no complications. In performing thoracic surgery for posterior
mediastinal tumors, it is important to identify the AAs preoperatively and
preserve them.
PMID- 24917282
TI - [Long-term survival with complete response by gefitinib treatment followed by
noncurative operation for lung cancer].
AB - A 54-year-old man was referred to our hospital because of an abnormal shadow on
chest X-ray. A computed tomography( CT) scan of the chest revealed a 6.5 cm tumor
in the right upper lobe suspected of superior vena cava (SVC) infiltration. He
was diagnosed as lung cancer(adenocarcinoma), and the right upper lobectomy with
partial resection of SVC was performed. But the pathology confirmed the surgery
to be non-curative. Nine months after operation, carcinomatous pleuritis was
detected on chest X-ray. Since deoxyribonucleic acid (DNA)analysis revealed a
mutation of epidermal growth factor receptor (EGFR) gene in exon 21, gefitinib
treatment was started. Nine months later, pleural effusion regressed and his
cerum carcinoembrionic antigen( CEA) level was normalized. 4 years later,
gefitinib was discontinued by patient's request, however, he is alive without any
signs of relapse 9 years after the operation.
PMID- 24917283
TI - [Traumatic hemothorax treated with transcatheter arterial embolization].
AB - A 33-year-old man was transported to our hospital following a traffic accident.
He was found to have hemopneumothorax, multiple rib fractures and lung injury by
computed tomography(CT). Despite thoracic drainage and fluid resuscitation, he
became hemodynamically unstable. At 2 hours after arrival, CT revealed worsening
in hemothorax. Emergency angiography of intercostal arteries showed signs of
hemorrhage from intercostal arteries, and embolization of the 3~6th intercostal
arteries was performed. After transcatheter arterial embolization(TAE), his vital
signs got stable and he was discharged without significant complication.
PMID- 24917284
TI - [Successful management of aorto-esophageal fistula, using staged 3 times
operation].
AB - A 66-year-old man, presented with hematemesis and hemorrhagic shock, was
transported to our institution. Computed tomographic examination suggested a
pseudo-aneurysm formed by bleeding from aorto-esophageal fistula( AEF). We
planned staged operations. At first, in an emergent operation, graft replacement
of descending aorta, was performed under partial cardiopulmonary bypass, by left
thoracotomy approach. AEF was 5 mm in diameter, and existed inside of normal
diameter and non-aneurysmal aortic intima. AEF orifice into pseudo-aneurysm was
closed with aortic wall and was sutured tightly. Next day, gastrointestinal
fiberscopy was performed, and penetrating ulcer was found at lower esophagus.To
prevent infective complications, the 2nd operation, subtotal esophagectomy,
cervical esophagostomy, gastrostomy and tube ileostomy were performed by right
thoracotomy approach. Post- operative course was uneventful. Two months later,
3rd operation, cervical esophago-gastric anastomosis was performed, uneventfully.
Two years and four months elapsed, and no evidences of infection and formation of
pseudo-aneurysm were observed.
PMID- 24917285
TI - [Modified warden procedure for partial anomalous pulmonary venous connection in
an adult].
AB - A 46-year-old woman was admitted to our hospital because of congestive heart
failure and atrial fibrillation. She had undergone atrial septal defect repair at
the age of 7 years. Computed tomography( CT)scan revealed right upper pulmonary
vein returned to superior vena cave and the left upper pulmonary vein returned to
the innominate vein. Cardiac catheterization showed Qp/Qs of 2.6. Pulmonary
venous drainage repair and right-sided maze procedure was planned. The right
upper pulmonary vein was led to the left atrium using the modified Warden
Procedure. The left upper pulmonary vein was divided and anastomosed to the left
atrial appendage. Concomitantly right-sided maze procedure was performed.
Postoperative course was uneventful and CT scan revealed no stenosis at
anastomotic sites. Sinus rhythm was retained and she was discharged on
postoperative day 30.
PMID- 24917286
TI - [Total arch replacement for ruptured Stanford type B aortic dissection with
thrombosed pseudolumen presenting hemodynamic deterioration].
AB - We report 2 cases of ruptured type B aortic dissection with thrombosed
pseudolumen presenting hemodynamic deterioration which is a life-threatening
condition. In such cases, surgical treatment often resulted in high mortality
rates. We underwent total arch replacement via median sternotomy. In both cases,
postoperative courses were uneventful. This approach ensured us wider operative
field to establish cardiopulmonary bypass quickly, and to perform distal
anastomosis without much difficulty.
PMID- 24917287
TI - [Surgical treatment for prosthetic valve endocarditis after aortic root
replacement].
AB - Aorto-left ventricular continuity destruction due to prosthetic valve
endocarditis is rare, but it is one of the fatal complications after aortic root
operation. We report a case of surgical treatment for prosthetic valve
endocarditis after aortic root replacement. A 47-year-old man, who had undergone
aortic root replacement with a composite graft was transferred to our hospital
with sudden chest pain and high fever. Enhanced computed tomography showed a
large space with contrast enhancement suggesting perivalvular leakage around the
artificial composite graft. Emergency operation including aortic root re
replacement and reconstruction of the left ventricular outflow tract was
performed successfully. We focused on its technical aspect.
PMID- 24917288
TI - [Adenocarcinoma with cavity formation caused by check valve mechanism].
AB - A 63-year-old male who has a chief complaint of dyspnea on effort was admitted to
our hospital because of abnormal chest computed tomography (CT). Chest CT showed
a thin-walled cavity in the left lower lung that was 40 mm in diameter, and local
high density shadow near the cavity. The tumor was resected and diagnosed as
adenocarcinoma. The pathogenesis of the thin-wlled cavity formation in this case
was considered to be caused by check valve mechanism.
PMID- 24917289
TI - [Mitral valve repair for mitral regurgitation induced by infective endocarditis
complicated with ulcerative colitis; report of a case].
AB - Infective endocarditis is a rare complication of ulcerative colitis. We report on
a 22-year-old man, who had been treated of ulcerative colitis for 5 years. He
presented with dyspnea on effort. In spite of medical treatment, he developed
congestive heart failure. Transthoracic echocardiography showed a large
perforation on the anterior leaflet of the mitral valve, and prolapses of the
posterior leaflet of the mitral valve with rupture of the chordae. The patient
underwent mitral valve repair with an autologous pericardial patch on the
anterior leaflet combined with butterfly resection and suture of the posterior
leaflet. The postoperative course was uneventful.
PMID- 24917290
TI - [Penetrating injury of the left ventricle caused by a nail gun; report of a
case].
AB - Penetrating cardiac injuries are life-threatening emergencies. We present a case
of a 24-year-old construction worker who accidentally shot himself with a nail
gun. Chest X-ray showed a 6 cm-long nail overlapping the cardiac shadow. A
computed tomography (CT) scan revealed the nail penetrating the left ventricle
and a large amount of pericardial effusion. Median sternotomy was performed and
cardiopulmomary bypass was established. Then, the nail was removed and the left
ventricular wound was repaired by 4-0 Prolene mattress sutures buttressed with
felt-strips. He had an uncomplicated postoperative course and was discharged 7
days postoperatively.
PMID- 24917292
TI - [Left ventriculoplasty in a patient with suspected takotsubo cardiomyopathy
followed by a left ventricular aneurysm].
AB - A 42-year-old woman was admitted with chest pain. Coronary angiography did not
reveal any significant stenosis, but left ventriculography showed akinesis and
ballooning of the apex with a hyperkinetic basal segment indicating Takotsubo
cardiomyopathy. Cerebral embolism occurred after one and a half years because of
a left ventricular thrombus. The apical akinesis had worsened to a left
ventricular aneurysm (maximum diameter 43 mm). The left ventricle was
reconstructed to avoid repeated thrombus formation and cerebral infarction
despite anticoagulant therapy. A pathological assessment revealed a fibrotic
myocardium, but the cause of the cardiac aneurysm remained unknown. Although the
outcome of Takotsubo cardiomyopathy is relatively good, careful observation and
appropriate treatment are needed considering the possibility of aggravation.
PMID- 24917291
TI - [Acute type A aortic dissection with Birt-Hogg-Dube syndrome; report of a case].
AB - We describe a 76-year-old woman with cardiac tamponade who was admitted to our
hospital. She underwent ascending and partial arch aortic replacement to treat
acute type A aortic dissection. However, postoperative respiratory failure
developed and a chest X-ray revealed right lung pneumothorax. The lung was
finally expanded after difficulties with prolonged tube drainage. Chest computed
tomography(CT) showed multiple cystic changes in the bilateral lungs. Her sister
and her daughter also had a history of spontaneous pneumothorax. We finally
diagnosed Birt-Hogg-Dube syndrome after deoxyribonucleic asid(DNA)sequencing of
folliculin( FLCN) gene.
PMID- 24917293
TI - [Malignant peripheral nerve sheath tumor causing hemothorax; report of a case].
AB - We herein report a case of malignant peripheral nerve sheath tumor that caused a
hemothorax. A 39-year-old male was found to have an abnormal shadow on a chest X
ray. Chest computed tomography showed a 60-mm tumor in the right posterior
mediastinum. Fourteen months earlier, he underwent drainage of a hemothorax with
a medaistinal tumor but refused to undergo further examinations. We performed a
tumor resection combined with the right lower lobectomy and the partial resection
of the pericardium because of invasion. The histological examination confirmed
the diagnosis of a malignant peripheral nerve sheath tumor. Postoperative
radiotherapy was performed. The patient has been well without recurrence for 9
months.
PMID- 24917294
TI - [Hemothorax complicated with celiac artery compression syndrome (CACS); report of
a case].
AB - We report a case of hemothorax complicated with celiac artery compression
syndrome (CACS). A 43-year-old man presented with a sudden onset left back pain.
Computed tomography (CT) showed its hemothorax, esophageal artery aneurysm and
severe stenosis of the celiac truncus with its anterior compression by median
accurate ligament, and a diagnosis of CACS associated with rupture of the
aneurysm was made. Emergent transcatheter arterial embolization of the aneurysm
resulted in a technical failure, although the patient's condition was stable and
performed esophageal artery ligation through video-assisted thoracoscopic surgery
on day 5 after onset. After surgery, the patient recovered without significant
incidents. A cause of this aneurysmal development was supposed to be a
significantly increased esophageal arterial blood flow with its luminal dilation
to compensate a decreased celiac blood flow. Segmental arterial mediolysis could
not be excluded as another cause.
PMID- 24917295
TI - Evolution of repeat prostate biopsy strategies incorporating transperineal and
MRI-TRUS fusion techniques.
AB - PURPOSE: To test the hypothesis that MRI-TRUS fusion technique can increase the
detection rate of prostate cancer (PC) in patients with previously negative
biopsy. METHODS: Patient records of men with persisting suspicion for PC after
previous negative biopsy having undergone either extensive transrectal prostate
biopsies (MD Anderson protocol; MDA), transperineal saturation (STP) or magnetic
resonance imaging (MRI)/transrectal ultrasound (TRUS) fusion transperineal
biopsies (MTTP) in three consecutive time intervals were reviewed
retrospectively. The respective approach was the standard for the above
indication at these episodes. In Cambridge, 70 patients underwent MDA biopsies,
75 STP underwent biopsies and 74 patients underwent MTTP biopsies. In total, 164
MTTP patients with the same indication from Heidelberg were analysed as reference
standard. In total, 383 men were included into analysis. Low-grade PC was defined
as Gleason score 7 (3 + 4) or lower. RESULTS: Even though MTTP patients had
significantly larger prostates, the overall cancer detection rate for PC was the
highest in MTTP (24.2 % MDA, 41.3 % STP, 44.5 % MTTP, p = 0.027, Kruskal-Wallis
test). The detection rate for clinically relevant high-grade PC was highest in
MTTP; however, this did not reach statistical significance compared with MDA
(23.5 % MDA, 12.9 % STP, 27.2 % MTTP, p = 0.25, Fischer's exact test). Comparing
MTTP between Cambridge and Heidelberg, detection rates did not differ
significantly (44.5 vs. 48 %, p = 0.58). There was a higher detection rate of
high-grade cancer in Heidelberg. (36.3 vs. 27.2 %, p = 0.04). CONCLUSION:
Patients whom are considered for repeat biopsies may benefit from undergoing MRI
targeted TRUS fusion technique due to higher cancer detection rate of significant
PC.
PMID- 24917296
TI - The schooling of offspring and the survival of parents.
AB - Contemporary stratification research on developed societies usually views the
intergenerational transmission of educational advantage as a one-way effect from
parent to child. However, parents' investment in their offspring's schooling may
yield significant returns for parents themselves in later life. For instance,
well-educated offspring have greater knowledge of health and technology to share
with their parents and more financial means to provide for them than do their
less-educated counterparts. We use data from the 1992-2006 Health and Retirement
Study (HRS) to examine whether adult offspring's educational attainments are
associated with parents' survival in the United States. We show that adult
offspring's educational attainments have independent effects on their parents'
mortality, even after controlling for parents' own socioeconomic resources. This
relationship is more pronounced for deaths that are linked to behavioral factors:
most notably, chronic lower respiratory disease and lung cancer. Furthermore, at
least part of the association between offspring's schooling and parents' survival
may be explained by parents' health behaviors, including smoking and physical
activity. These findings suggest that one way to influence the health of the
elderly is through their offspring. To harness the full value of schooling for
health, then, a family and multigenerational perspective is needed.
PMID- 24917297
TI - G-protein-coupled receptors and localized signaling in the primary cilium during
ventral neural tube patterning.
AB - The primary cilium is critical in sonic hedgehog (Shh)-dependent ventral
patterning of the vertebrate neural tube. Most mutants that cause disruption of
the cilium result in decreased Shh signaling in the neural tube. In contrast,
mutations in the intraflagellar complex A (IFT-A) and the tubby family protein,
Tulp3, result in increased Shh signaling in the neural tube. Proteomic analysis
of Tulp3-binding proteins first pointed to the role of the IFT-A complex in
trafficking Tulp3 into the cilia. Tulp3 directs trafficking of rhodopsin family G
protein-coupled receptors (GPCRs) to the cilia, suggesting the role of a GPCR in
mediating the paradoxical effects of the Tulp3/IFT-A complex in causing increased
Shh signaling. Gpr161 has recently been identified as a Tulp3/IFT-A-regulated
GPCR that localizes to the primary cilium. A null knock-out mouse model of Gpr161
phenocopies Tulp3 and IFT-A mutants, and causes increased Shh signaling
throughout the neural tube. In the absence of Shh, the bifunctional Gli
transcription factors are proteolytically processed into repressor forms in a
protein kinase A (PKA) -dependent and cilium-dependent manner. Gpr161 activity
results in increased cAMP levels in a Galphas -coupled manner, and determines
processing of Gli3. Shh signaling also results in removal of Gpr161 from the
cilia, suggesting that Gpr161 functions in a positive feedback loop in the Shh
pathway. As PKA-null and Galphas mutant embryos also exhibit increased Shh
signaling in the neural tube, Gpr161 is a strong candidate for a GPCR that
regulates ciliary cAMP levels, and activates PKA in close proximity to the cilia.
PMID- 24917298
TI - The role of zinc in the stability of the marginally stable IscU scaffold protein.
AB - Understanding the factors that determine protein stability is interesting because
it directly reflects the evolutionary pressure coming from function and
environment. Here, we have combined experimental and computational methods to
study the stability of IscU, a bacterial scaffold protein highly conserved in
most organisms and an essential component of the iron-sulfur cluster biogenesis
pathway. We demonstrate that the effect of zinc and its consequence strongly
depend on the sample history. IscU is a marginally stable protein at low ionic
strength to the point that undergoes cold denaturation at around -8 degrees C
with a corresponding dramatic decrease of enthalpy, which is consistent with the
fluxional nature of the protein. Presence of constitutively bound zinc
appreciably stabilizes the IscU fold, whereas it may cause protein aggregation
when zinc is added back posthumously. We discuss how zinc coordination can be
achieved by different side chains spatially available and all competent for
tetrahedral coordination. The individual absence of some of these residues can be
largely compensated by small local rearrangements of the others. We discuss the
potential importance of our findings in vitro for the function in vivo of the
protein.
PMID- 24917299
TI - Predicting Australian adults' sun-safe behaviour: examining the role of personal
and social norms.
AB - OBJECTIVES: To address the scarcity of comprehensive, theory-based research in
the Australian context, this study, using a theory of planned behaviour (TPB)
framework, investigated the role of personal and social norms to identify the key
predictors of adult Australians' sun-safe intentions and behaviour. DESIGN: The
study used a prospective design with two waves of data collection, 1 week apart.
METHODS: Participants were 816 adults (48.2% men) aged between 18 and 88 years
recruited from urban, regional, and rural areas of Australia. At baseline,
participants completed a questionnaire assessing the standard TPB predictors
(attitude, subjective norm, and perceived behavioural control [PBC]), past
behaviour, behavioural intention, and additional measures of group norm for the
referent groups of friends and family, image norm, personal norm, personal
choice/responsibility, and Australian identity. Seventy-one per cent of the
participants (n = 577) reported on their sun-safe behaviour in the subsequent
week. RESULTS: Via path modelling, past behaviour, attitude, group norm
(friends), personal norm, and personal choice/responsibility emerged as
independent predictors of intentions which, in turn, predicted sun-safe behaviour
prospectively. Past behaviour, but not PBC, had direct effects on sun-safe
behaviour. The model explained 61.6% and 43.9% of the variance in intention and
behaviour, respectively. CONCLUSIONS: This study provides support for the use of
a comprehensive theoretical decision-making model to explain Australian adults'
sun-safe intentions and behaviours and identifies viable targets for health
promoting messages in this high-risk context. Statement of contribution What is
already known on this subject? Identifying determinants of sun-safe behaviour is
vital in high-risk cancer areas like Australia. For young Australians, friendship
group norm is a key influence of intentions and behaviour. Little is known about
drivers of sun safety, especially norms, among Australian adults in general. What
does this study add? This study drew on qualitative data and reconceptualized
norms for Australians' sun-safe decisions. Friendship group norm and personal
norm, not family group norm, influence sun-safe intentions. Perceived
responsibility and choice to be sun safe also impact on people's intentions.
PMID- 24917301
TI - Endocannabinoids: a protective receptor pool.
PMID- 24917300
TI - Grid cells and cortical representation.
AB - One of the grand challenges in neuroscience is to comprehend neural computation
in the association cortices, the parts of the cortex that have shown the largest
expansion and differentiation during mammalian evolution and that are thought to
contribute profoundly to the emergence of advanced cognition in humans. In this
Review, we use grid cells in the medial entorhinal cortex as a gateway to
understand network computation at a stage of cortical processing in which firing
patterns are shaped not primarily by incoming sensory signals but to a large
extent by the intrinsic properties of the local circuit.
PMID- 24917306
TI - Effects of metformin on endometrial cancer cell growth in vivo: a preoperative
prospective trial.
AB - BACKGROUND: Metformin, an antidiabetic drug, decreases the incidence of various
cancers in diabetic patients. Metformin-induced inhibition of cancer cell
proliferation has been confirmed in vitro but not in humans. Because endometrial
cancer is associated with insulin resistance, the authors investigated whether a
diabetes-therapeutic metformin dose inhibits cancer cell growth in patients with
endometrial cancer. METHODS: A dose of metaformin was administered (1500-2250
mg/day) to 31 patients with endometrial cancer preoperatively for 4 to 6 weeks.
Cell proliferation was assessed in patient tissues using immunohistochemical and
Western blot analyses and DNA synthesis was measured in serum using a thymidine
uptake assay. All statistical tests were 2-sided. P values of < .05 were
considered statistically significant. RESULTS: Preoperative metformin treatment
decreased DNA synthesis in sera and significantly reduced the Ki-67 (mean
proportional decrease, 44.2%; 95% confidence interval [95% CI], 35.4-53.0 [P <
.001]) and topoisomerase IIalpha (mean proportional decrease, 36.4%; 95% CI, 26.7
46.0 [P < .001]) labeling indices. Levels of phospho-ribosomal protein S6 and
phospho-extracellular signal-regulated kinase 1/2 (ERK1/2) were found to be
significantly decreased and phospho-adenosine monophosphate-activated protein
kinase and p27 levels were significantly increased. Preoperative metformin use
caused significant decreases in circulating factors, including insulin, glucose,
insulin-like growth factor 1, and leptin. DNA synthesis-stimulating activity in
patient sera was significantly decreased during metformin administration.
CONCLUSIONS: An antidiabetic dose of metformin inhibited endometrial cancer cell
growth in vivo, an effect likely due to its effect on humoral factor(s). This
translational study provides considerable rationale to initiate large clinical
trials.
PMID- 24917305
TI - Light as a central modulator of circadian rhythms, sleep and affect.
AB - Light has profoundly influenced the evolution of life on earth. As widely
appreciated, light enables us to generate images of our environment. However,
light - through intrinsically photosensitive retinal ganglion cells (ipRGCs) -
also influences behaviours that are essential for our health and quality of life
but are independent of image formation. These include the synchronization of the
circadian clock to the solar day, tracking of seasonal changes and the regulation
of sleep. Irregular light environments lead to problems in circadian rhythms and
sleep, which eventually cause mood and learning deficits. Recently, it was found
that irregular light can also directly affect mood and learning without producing
major disruptions in circadian rhythms and sleep. In this Review, we discuss the
indirect and direct influence of light on mood and learning, and provide a model
for how light, the circadian clock and sleep interact to influence mood and
cognitive functions.
PMID- 24917307
TI - Associations among survivorship care plans, experiences of survivorship care, and
functioning in older breast cancer survivors: CALGB/Alliance 369901.
AB - PURPOSE: Survivorship care plans (SCP) are recommended for all cancer patients
and could be especially useful to survivors 65 years and over ("older"). This
study examined receipt of SCPs among older breast cancer survivors and whether
SCPs were associated with improved patient-reported outcomes. METHODS: Three
hundred and twenty-eight older women diagnosed with invasive, nonmetastatic
breast cancer between 2007-2011 were recruited from 78 cooperative-group sites.
Participants completed telephone interviews at baseline and 1-year posttreatment.
Regression analyses examined SCP receipt (yes/no) and functioning (EORTC-QLQ
C30), cancer worry, and experiences of survivorship care (care coordination,
knowledge). RESULTS: Only 35% of women received SCPs. For each 1-year increase in
age, there was a 5% lower odds of receiving an SCP (odds ratio (OR) = 0.94, 95%
confidence interval (CI) 0.91-0.98, p = 0.007). Besides age, no other factor
predicted SCPs. SCP receipt was associated with greater knowledge and
understanding of requisite follow-up care (p < 0.05); however, functioning was
not significantly different among those with vs. without SCPs. CONCLUSIONS:
Receipt of care plans was limited. SCPs improved understanding of breast cancer
follow-up care among older survivors, but did not impact functioning one year
post-treatment. IMPLICATIONS FOR CANCER SURVIVORS: To impact functioning and
salient needs of the growing cohort of older survivors, survivorship care plans
likely should be tailored to geriatric-specific issues. To improve functioning,
SCP content should expand to include exercise, nutrition, polypharmacy, social
support and management of symptom burden from cancer, and other comorbid
conditions. To improve follow-up care for cancer survivors, SCPs should delineate
shared care roles between oncology and primary care in managing recurrence
surveillance, screening, and cancer sequelae.
PMID- 24917309
TI - A minimally invasive treatment option for large metastatic brain tumors: long
term results of two-session Gamma Knife stereotactic radiosurgery.
AB - BACKGROUND: Large brain metastases (BM) remain a significant cause of morbidity
and death for cancer patients despite current advances in multimodality
therapies. The goal of the present study was to evaluate the efficacy and
limitations of 2-session Gamma Knife stereotactic radiosurgery (SRS) for patients
with large BM. METHODS: This is a prospective, open-label and single arm study
analyzing 58 consecutive patients who received 2-session SRS for large BM (>= 10
mL). The median age was 66 years, and the median Karnofsky performance status
(KPS) score was 70. SRS was the initial treatment in 51 large tumors (84%) and
was used as salvage after failed prior treatments for 10 tumors (16%). The
fraction protocol was 20-30 Gy given in 2 fractions with 3-4 weeks between
fractions. Overall survival (OS) and neurological death (ND), local tumor control
and KPS were analyzed. RESULTS: The median follow-up time was 9.0 months. One-
and 2-year OS rates were 47% and 20%, respectively. The median OS time was 11.8
months (95% CI: 5.5-15.6). The causes of death were intracranial local
progression in 5 cases, meningeal carcinomatosis in 3 and progression of the
primary lesion in 39. One- and 2-year ND-free survival rates were 91% and 84%,
respectively. In 52 of 61 large BM (85%) with sufficient radiological follow-up
data, 6- and 12-month local tumor control rates were 85% and 64%, respectively.
The mean KPS improved from 70 at the 1st SRS to 82 at the 2nd; the first follow
up mean KPS was 87 (P < 0.001). Symptomatic radiation injury developed and
required conservative treatment in 3 patients (5%). CONCLUSIONS: Long-term follow
up showed that two-session Gamma Knife SRS achieved durable tumor control rates
as well as acceptable treatment-related morbidity. This treatment method may
potentially merit being offered to patients with large BM who are in poor
condition or are otherwise ineligible for standard care.
PMID- 24917311
TI - Isolation and screening of probiotic candidates from marron, Cherax cainii
(Austin, 2002) gastrointestinal tract (GIT) and commercial probiotic products for
the use in marron culture.
AB - Six strains of bacteria including Bacillus mycoides (A10) and Shewanella species
(A12) isolated from healthy marron intestine, Bacillus species (PM1), Bacillus
subtilis (PM3), Bacillus sp. (PM4) and Bacillus sp. (AQ) from commercial
probiotic products were investigated for probiotic potential in marron culture.
Antibiotic susceptibility tests indicated PM3 and PM4 were susceptible to all
nine antibiotics evaluated. A10, A12 and AQ were resistant to class penicillins,
whereas PM1 was resistant to class penicillin and macrolides. All strains were
non-pathogenic for marron. Strong inhibition against Vibrio mimicus and Vibrio
cholerae non-01 was exhibited by PM4 and PM3. A10 inhibited V. mimicus at 72 h of
growth, but not V. cholerae non-01, whereas A12 inhibited V. cholerae non-01 but
not V. mimicus, and AQ showed no inhibition activity. A wide range of enzymes
were produced by A10 and AQ using the API ZYM test. Protease enzymes were
produced by PM3, PM4, AQ and PM1. In order of effectiveness, the following
bacteria have probiotic potential: B. subtilis (PM3), Bacillus sp. (PM4) and B.
mycoides (A10). Further study is required to determine the bacterium or any
combination that gives a multibeneficial effect on marron.
PMID- 24917310
TI - Comparative functional anatomy of the epaxial musculature of dogs (Canis
familiaris) bred for sprinting vs. fighting.
AB - The axial musculoskeletal system of quadrupedal mammals is not currently well
understood despite its functional importance in terms of facilitating postural
stability and locomotion. Here we examined the detailed architecture of the
muscles of the vertebral column of two breeds of dog, the Staffordshire bull
terrier (SBT) and the racing greyhound, which have been selectively bred for
physical combat and high speed sprint performance, respectively. Dissections of
the epaxial musculature of nine racing greyhounds and six SBTs were carried out;
muscle mass, length, and fascicle lengths were measured and used to calculate
muscle physiological cross-sectional area (PCSA), and to estimate maximum muscle
potential for force, work and power production. The longissimus dorsi muscle was
found to have a high propensity for force production in both breeds of dog;
however, when considered in combination with the iliocostalis lumborum muscle it
showed enhanced potential for production of power and facilitating spinal
extension during galloping gaits. This was particularly the case in the
greyhound, where the m. longissimus dorsi and the m. iliocostalis lumborum were
estimated to have the potential to augment hindlimb muscle power by ca. 12%.
Breed differences were found within various other muscles of the axial
musculoskeletal system, particularly in the cranial cervical muscles and also the
deep muscles of the thorax which insert on the ribs. These may also highlight key
functional adaptations between the two breeds of dog, which have been selectively
bred for particular purposes. Additionally, in both breeds of dog, we illustrate
specialisation of muscle function by spinal region, with differences in both mass
and PCSA found between muscles at varying levels of the axial musculoskeletal
system, and between muscle functional groups.
PMID- 24917312
TI - Suspected acorn toxicity in nine horses.
AB - REASONS FOR PERFORMING STUDY: Acorn toxicity has been anecdotally reported to
cause fatal colitis and colic in horses but reports in the scientific literature
are sparse. OBJECTIVES: This study reports the diagnosis, treatment, prognosis
and outcome of 9 cases with suspected acorn toxicity admitted to 2 referral
hospitals. STUDY DESIGN: Retrospective case series. METHODS: Case records from
2004 to 2013 were reviewed. Horses were included in the study if they met 3 of 4
criteria: exposure to acorns; clinical and laboratory data suggesting alimentary
or renal dysfunction; acorn husks in the faeces or gastrointestinal tract; and
necropsy and histopathological findings consistent with acorn toxicity. Data
collected included case history, clinical presentation, clinicopathological data,
ultrasonographic findings, case progression, and necropsy and histopathological
findings. RESULTS: Nine horses met the inclusion criteria. Five cases presenting
with haemorrhagic diarrhoea deteriorated rapidly and were subjected to euthanasia
or died. Four cases showed signs of colic with gas distension, displacement of
the large colon and diarrhoea. Three of these (33%) survived with medical
management, the fourth was subjected to euthanasia. Post mortem examination of 6
cases demonstrated submucosal oedema of the large intestine and caecum (n = 6),
acute tubular nephrosis (n = 6), diffuse necrohaemorrhagic and ulcerative
typhlocolitis and enteritis (n = 4), and small intestinal oedema (n = 3).
CONCLUSIONS: Acorn ingestion may be associated with typhylocolitis leading to
diarrhoea, colic and acute renal tubular nephrosis. Recovery is possible in
mildly affected cases; more severe cases show hypovolaemia, intractable pain,
renal dysfunction and cardiovascular failure, and often succumb to the disease
process. Disease is only seen in a small proportion of the population exposed to
acorns and there seems to be an increased occurrence in certain years. Further
investigation into factors predisposing to disease is required, but limiting
exposure to acorns in the autumn seems prudent.
PMID- 24917314
TI - [Not Available].
PMID- 24917315
TI - Remission, prediction and stability of symptoms in schizophrenia: A naturalistic
12-month follow-up study.
AB - Abstract Objective. Since its introduction in 2005, schizophrenia remission
consensus criteria have been used in several prospective and cross-sectional
studies. The aim of this prospective assessment of patients with schizophrenia
was to employ the remission criteria in a naturalistic study design. Methods. One
hundred and six patients with schizophrenia (ICD10 F 20.x) were enrolled into the
study during inpatient treatment. Remission criteria with respect to changes in
psychopathology ratings were obtained by trained interviewers at discharge and at
12-month follow-up using BPRS. Furthermore, information on social functioning and
treatment-related quality of life were assessed using GAF (Global Assessment of
Functioning) and SWN-K (Subjective Wellbeing under Neuroleptic Treatment Scale).
Results. A total of 13.2% of the patients were found to meet remission criteria
during follow-up. While there was no significant change in the mean BPRS scores,
14.2% of the subjects showed significant worsening and 29.2% significant
improvement of their psychotic symptoms. Subsequent logistic regression analysis,
explaining approximately 32% of symptomatic remission variance, indicated a
significant influence of BPRS-Overall-Score and independent living at discharge.
Conclusions. The results of this naturalistic study indicate that only a minority
of former inpatients with schizophrenia achieve remission after 1 year and
relevant subgroups of patients have significant bi-directional changes in
symptoms during follow-up.
PMID- 24917316
TI - Demographic and clinical characteristics of users of psychiatric emergency
services on an off-shore island in Taiwan.
AB - Abstract Objective. This study assessed the socio-demographic and clinical
characteristics of psychiatric emergency service (PES) patients treated in a
hospital on an off-shore island of Taiwan. Method. Data were gathered on 472
identified psychiatric patients presenting to PES over a 4-year period. Results.
The majority of patients were male and were in the 50-59 age group with no
previous psychiatric treatment or family history of mental illness.
Hospitalization rates were low for both genders, but males spent a longer time in
the emergency department. Males were more often seen for violence and homicidal
behaviours, while females showed more evidence of suicidal behaviour. Males were
more likely to receive medication by injection with or without physical restraint
as opposed to oral medication and emotional support. Conclusions. The clinical
characteristics of male and female PES patients in this remote island showed
differences in length of stay, psychiatric diagnoses, behaviour characteristics,
and final disposition. These findings differ from those in studies of PES
patients on the main island of Taiwan, the United States and Europe. Future
studies of other remote areas may confirm these findings and highlight the need
to provide more and particular mental health services to these underserved areas.
PMID- 24917317
TI - Development of the Screener for Assessing Depression Scale: Why do we need
another depression scale?*.
AB - Abstract Depression is a significant problem which affects the lives of a
substantial number of people. Improved recognition of depression is an important
step towards the treatment and prevention of depression. Objective. The present
study examined the reliability and validity of the Screener for Assessing
Depression (SAD) Scale as a measure of depression severity and as a confirmation
of a major depressive episode. Method. The sample included 100 adults who were
receiving inpatient psychiatric treatment (60 met criteria for a major depressive
disorder and 40 met criteria for other psychological disorders without depression
as a main feature). Results. Different cut-off scores were proposed in order to
score the SAD Scale as a screening measure or to confirm a depressive episode.
Scoring the SAD Scale according to the criteria for a major depressive episode
correctly classified 87% of the sample. Conclusion. Overall, the results
indicated that the SAD Scale is a reliable and valid measure for detecting a
depressive episode and for assessing depression severity.
PMID- 24917318
TI - Development of a structured interview schedule to assess stage of psychological
recovery from enduring mental illness.
AB - Abstract Objective. To develop a brief interview-based assessment tool, feasible
for routine use in mental health service settings to measure an individual's
stage of psychological recovery from an enduring mental illness. Method. Key
indicators for each stage of psychological recovery were formulated according to
the stages of psychological conceptual framework and an analysis of transcribed
data wherein 17 consumer participants described their illness and recovery
experiences. Upon development of the measure, Short Interview to assess Stages of
Recovery (SIST-R), the instrument was evaluated by practitioners and consumers to
examine its feasibility for use in mental health service settings. A pilot test
with 18 mental health consumer participants compared results obtained by the SIST
R with those from an existing self-report stages of psychological recovery
measure (STORI), a measure of psychological distress (K-10), and a measure of
recovery (RAS). Results. Concordance between the SIST-R and the STORI was
substantial (Somers' D = 0.61, P = 0.004). The mean scores from other recovery
measures correspond with what could be theoretically expected across individual
stages of recovery. Conclusion. This study contributes towards the strengthening
of a recovery-oriented approach within clinical/mental health service settings
with the development of an assessment tool that demonstrates potential clinical
utility. There is a need to validate further the preliminary findings of this
study.
PMID- 24917319
TI - How do people with body dysmorphic disorder view themselves? A thematic analysis.
AB - Abstract Objectives. To examine the accounts of people with body dysmorphic
disorder (BDD) and qualitatively explore self perceptions. Methods. Eleven people
with BDD were interviewed using a semi-structured schedule. Participants brought
photographs of themselves and drew a self-portrait. Transcribed interviews were
analysed using a thematic analysis. Results. The most common theme was increased
threat perception resulting in disordered interpersonal relationships. Other
themes included the wish for regularity and symmetry in appearance, an idealised
childhood self, the duty to look good, and a focus on specific "defective"
features rather than general ugliness. Conclusions. Using thematic analysis and
visual methods, we identified core themes that appear to characterise the way
individuals with BDD perceive themselves and their interpersonal relationships.
Thematic analysis offers promise as a tool to explore the overlap between BDD and
other putatively related mental health problems.
PMID- 24917320
TI - Prevalence, pattern and impact of depression on quality of life of stroke
survivors.
AB - Abstract Objective. This study documented the incidence, pattern and impact of
depression on QoL of stroke-survivors within 6-month post-stroke. Methods. This
study involved 65 stroke survivors consecutively recruited at ictus from a
tertiary health institution in Nigeria. The National Institute of Health Stroke
Scale, Stroke-Specific Quality-of-Life (SSQOL), international classification of
diseases (tenth edition) and Center for Epidemiological Scale-Depression (CES-D)
were administered at ictus to assess stroke-severity, QoL and depression
respectively. The SSQOL, ICD-10 and CES-D were subsequently administered every
other week for 6 months. Data were treated using Spearman's correlation
coefficient, Mann-Whitney U-test and multivariable stepwise linear regression
analysis (P=0.05). Results. Participants were age 58.1+/-15.7 years; 38 and 27
hemorrhagic and ischaemic stroke respectively. Twenty-six (40%) and 60.0% had
moderate and severe stroke, respectively. The QoL at post-stroke periods were
significantly influenced (P<0.05) by depression, age, marital status, spouse
supports, stroke-severity, and educational-attainment. Depression determined
(P<0.05) poor QoL in stroke-survivors. Co-morbidity with stroke severity was a
determinant (P<0.05) of poor QoL and death. The haemorrhagic stroke survivors had
significantly higher (P<0.05) QoL at post-stroke periods. Conclusion. Depression
is associated with stroke. It is common within the first 6 months post-stroke and
has negative impact on quality of life of stroke-survivors.
PMID- 24917321
TI - The importance of systematic genetic approach to familial schizophrenia cases and
discussion of cryptic mosaic X chromosome aneuploidies in schizophrenia
pathogenesis.
AB - Abstract Objective. The aim of this study is to contribute to the understanding
of schizophrenia genetics by using efficient algorithmic examination techniques
including dysmorphic examination, karyotyping, and Fluoresence in situ
hybridization (FISH). Methods. In this study we have investigated 20 familial
schizophrenia patients from Turkey who had an affected first-degree relative.
Dysmorphic examination of the schizophrenia cases and their relatives have been
performed. High resolution banding (HRB), specific centromeric, subtelomeric and
22q11.2 region FISH probes were used for genotyping of patients. Results.
Dysmorphic examination revealed ear, palate, nose, columella anomalies, and
obesity in contributing patients, and the pale skin was noticed. The medical
histories and clinical findings of two schizophrenia twins were almost identical.
HRB study demonstrated the presence of 46,XX[55]/47,XXX[4]/48,XXXX[1]
constitution in a paranoid schizophrenia case and 46,XX[67]/45,X[5] karyotype in
her mother. FISH studies aiming subtelomeric chromosomal regions revealed no
rearrangements and 22q11.2 regions were intact in all of the patients.
Conclusions. The parental gonadal mosaicism lying at the origin of the mitotic
aneuploidy may be the reason for mosaic X chromosome aneuploidies in our mother
daughter schizophrenia couple. Mosaic X chromosome aneuploidies may accompany
schizophrenia cases and may contribute to pathogenesis of familial schizophrenia.
PMID- 24917322
TI - Drug-drug interactions in general hospital and psychiatric hospital in-patients
prescribed psychotropic medications.
AB - Abstract Objectives. Drug-drug interactions (DDIs) present a serious, ever
increasing clinical problem. Previous studies identified DDIs among psychiatric
inpatients prescribed psychotropics, but none have focused on psychotropics
prescribed to General Hospital inpatients. This study aimed to identify: putative
drug-drug interactions; mechanisms; potential seriousness among patients
prescribed psychotropes in both psychiatric and general hospital inpatients
settings. We hypothesised that potential interactions per person would be greater
in General Hospital inpatients on psychotropics, due to polypharmacy. Method. We
surveyed psychotropic prescribing in hospital wards in a public sector mental
health organisation and a 500-bed general hospital. Ward pharmacists collected
drug prescription data. A computer based protocol evaluated DDIs. Results. A
total of 7.4% of General Hospital inpatients and 100% of Psychiatric Unit
inpatients surveyed were prescribed psychotropic medication. The General Hospital
group had significantly more potential interactions per person (3.0) than
Psychiatric inpatients (1.3) (P<0.05). There were significantly more potentially
serious interactions in the general hospital group (P<0.025). Conclusions. DDIs
affect those prescribed psychotropics in both General and Psychiatric Hospitals.
The General Hospital patients had a higher number per person and more serious
potential interactions, yet are often poorly served by psychiatric services,
suggesting that liaison psychiatrists have a role in physician education and DDI
assessment.
PMID- 24917323
TI - A close correlation between plasma and serum levels of brain-derived neurotrophic
factor (BDNF) in healthy volunteers.
AB - Abstract Objective. Brain-derived neurotrophic factor (BDNF) is a member of the
neurotrophic family found in both the central and the peripheral nervous system.
Blood BDNF levels are considered as a state marker for depression. Methods. We
investigated serum and plasma levels of BDNF levels in 103 healthy volunteers
(M/F: 39/64, age: 37+/-12 years) using ELISA methods. Results and Conclusions.
Serum BDNF levels were 14-fold higher than plasma BDNF levels, and a close
relationship was found between serum and plasma BDNF levels.
PMID- 24917324
TI - Implementing electronic medical records in a psychiatric hospital: A qualitative
study.
AB - Abstract The objective of this study is to examine health care professionals'
opinions of the critical events (opportunities and barriers) surrounding EMR
implementation in a French teaching psychiatric hospital. This qualitative study
was based on semi-structured interviews with 115 health care professionals: 16
psychiatrists, 84 nurses, six psychologists or social assistants and nine
secretaries or administrative professionals. Interviews were thematically
analysed. Most responders (96%) used EMR. Among these EMR users, 70% perceived
EMR positively. Concerning the opportunities from implementing an EMR, the most
represented theme was "the improved access to medical record information" (75%),
followed by "the strategy to guarantee the adhesion of professionals and the use
of EMR" (69%), and "the technological performances of EMR" (49%). Concerning the
barriers to implementation, four themes emerged, as follows: "the workflow and
efficiency decrease" (73%), "the triangulation of the patient-professional
relationship" (47%), "the information sharing and confidentiality" (32%), and
"the ambiguity of EMR" (26%), which answers both to the production of care and to
an economic issue. In conclusion, EMR implementation involved several critical
components, and the strategy of implementation is particularly important.
Implementing an EMR should be considered a continuous process, and the principle
and theory of continuous quality improvement appears pertinent for addressing
this problem.
PMID- 24917325
TI - No influence of SLC6A3 40 base VNTR polymorphism on the response to risperidone.
AB - Abstract Objectives. The SLC6A3 40 base variable number of tandem repeats (VNTR)
polymorphism has been associated with several clinical phenotypes associated with
dysregulation of dopamine transmission. However, there is only little evidence
about a possible influence of such genetic variant on the response to
antipsychotics. The aim of the present study is to investigate whether SLC6A3 40
base VNTR polymorphism could modulate response to risperidone in a sample of
Korean schizophrenia subjects. Methods. One hundred and forty-two schizophrenia
inpatients were treated with a flexible dose of risperidone. Efficacy was
assessed at baseline and at discharge using the scores of the Clinical Global
Impression-severity (CGI-S), Brief Psychiatric Rating Scale (BPRS) and Positive
and Negative Symptom Score (PANSS). Multivariate analysis of covariance was used
to test possible influences of SLC6A3 VNTR variants on clinical scores. Results.
None of the genotypes and of the alleles under investigation was associated with
clinical scores at discharge or with changes of clinical scores over time. In
addition, we also failed to find any association between genotypes and allele
frequency distribution in accordance with treatment response defined as a 20% (or
30%) or more reduction in the total PANSS scores from the baseline to the end of
treatment. Conclusion. Our findings do not suggest a possible association between
SLC6A3 40 base VNTR polymorphism and response to risperidone. However, because of
several limitations including the investigation of a single drug, the flexible
design of the present study and the absence of a complete coverage of features
which could influence the response, further investigations could be required.
PMID- 24917326
TI - [Not Available].
PMID- 24917327
TI - The use of hybrid virus-like particles to enhance the immunogenicity of a broadly
protective HPV vaccine.
AB - Virus-like particles (VLPs) can serve as a highly immunogenic vaccine platform
for the multivalent display of epitopes from pathogens. We have used
bacteriophage VLPs to develop vaccines that target a highly conserved epitope
from the human papillomavirus (HPV) minor capsid protein, L2.VLPs displaying an
L2-peptide from HPV16 elicit antibodies that broadly neutralize infection by HPV
types associated with the development of cervical cancer. To broaden the cross
neutralization further, we have developed a strategy to display two different
peptides on a single, hybrid VLP in a multivalent, highly immunogenic fashion. In
general, hybrid VLPs elicited high-titer antibody responses against both targets,
although in one case we observed an immunodominant response against only one of
the displayed epitopes. Immunization with hybrid particles elicited antibodies
that were able to neutralize heterologous HPV types at higher titers than those
elicited by particles displaying one epitope alone, indicating that the hybrid
VLP approach may be an effective technique to target epitopes that undergo
antigenic variation.
PMID- 24917328
TI - Safety as experienced by patients themselves: a Finnish survey of the most recent
period of care.
AB - We examined patients' experiences of patient safety and participation in
promoting safe care during their most recent care period. A survey of patients (N
= 175) revealed that treatment, medication, and device safety were mostly
experienced as very good or excellent, but responses varied by age and
experience. Patients ages 66-75 were most critical of treatment and medication
safety. Device safety was rated the worst aspect of safety. Twenty percent of
respondents had experienced errors at some time during their care. Patients who
had experienced errors and those who were treated at inpatient wards versus a day
surgery unit were most critical towards patient participation. Open and
transparent error management involving patients is needed to promote treatment,
medication, and especially device safety.
PMID- 24917329
TI - Manipulation of visual biofeedback during gait with a time delayed adaptive
Virtual Mirror Box.
AB - BACKGROUND: A mirror placed in the mid-sagittal plane of the body has been used
to reduce phantom limb pain and improve movement function in medical conditions
characterised by asymmetrical movement control. The mirrored illusion of
unimpaired limb movement during gait might enhance the effect, but a physical
mirror is only capable of showing parallel movement of limbs in real time
typically while sitting. We aimed to overcome the limitations of physical mirrors
by developing and evaluating a Virtual Mirror Box which delays the mirrored image
of limbs during gait to ensure temporal congruency with the impaired physical
limb. METHODS: An application was developed in the CAREN system's D-Flow software
which mirrors selected limbs recorded by real-time motion capture to the
contralateral side. To achieve phase shifted movement of limbs during gait, the
mirrored virtual limbs are also delayed by a continuously calculated amount
derived from past gait events. In order to accommodate non-normal proportions and
offsets of pathological gait, the movements are morphed so that the physical and
virtual contact events match on the mirrored side. Our method was tested with a
trans-femoral amputee walking on a treadmill using his artificial limb. Joint
angles of the elbow and knee were compared between the intact and mirrored side
using cross correlation, root mean squared difference and correlation
coefficients. RESULTS: The time delayed adaptive virtual mirror box produced a
symmetrical looking gait of the avatar coupled with a reduction of the difference
between the intact and virtual knee and elbow angles (10.86 degrees and 5.34
degrees reduced to 4.99 degrees and 2.54 degrees respectively). Dynamic
morphing of the delay caused a non-significant change of toe-off events when
compared to delaying by 50% of the previous gait cycle, as opposed to the initial
contact events which showed a practically negligible but statistically
significant increase (p < 0.05). CONCLUSIONS: Adding an adaptive time delay to
the Virtual Mirror Box has extended its use to treadmill gait, for the first
time. Dynamic morphing resulted in a compromise between mirrored movement of the
intact side and gait events of the virtual limbs matched with physical events of
the impaired side. Asymmetrical but repeatable gait is expected to provide even
more faithful mirroring.
PMID- 24917330
TI - Application of iPS cells in dental bioengineering and beyond.
AB - The stem-cell-based tissue-engineering approaches are widely applied in
establishing functional organs and tissues for regenerative medicine. Successful
generation of induced pluripotent stem cells (iPS cells) and rapid progress of
related technical platform provide great promise in the development of
regenerative medicine, including organ regeneration. We have previously reported
that iPS cells could be an appealing stem cells source contributing to tooth
regeneration. In the present paper, we mainly review the application of iPS
technology in dental bioengineering and discuss the challenges for iPS cells in
the whole tooth regeneration.
PMID- 24917331
TI - Utility values for specific hepatic encephalopathy health states elicited from
the general public in the United Kingdom.
AB - BACKGROUND AND AIMS: To elicit utility values for five health states
corresponding to increasing severity of hepatic encephalopathy, from members of
the general public in the UK. The health states studied were Conn grades 0, 1, 2,
3 and 4. METHODS: Interviewer-administered time trade-off (TTO) and standard
gamble (SG) utilities were elicited for the five health states from a random
sample of 200 members of the general public in the UK, using health state
descriptions validated by clinicians and members of the general public. RESULTS:
Respondents' mean age was 49.5 years and 49% were female. Mean utilities were
0.962 (TTO) and 0.915 (SG) for Conn grade 0; 0.912 (TTO) and 0.837 (SG) for Conn
grade 1; 0.828 (TTO) and 0.683 (SG) for Conn grade 2; 0.691 (TTO) and 0.489 (SG)
for Conn grade 3; and 0.429 (TTO) and 0.215 (SG) for Conn grade 4. The TTO and SG
values between the five Conn grades were significantly different (p < 0.001).
Additionally, the TTO value was significantly higher than the SG value for the
corresponding state (p <0.0001). CONCLUSION: These findings quantify how
different Conn grades and level of response to treatment may impact on the health
related quality of life of patients with hepatic encephalopathy. There were
greater preference values for lower levels of disease, with the highest value
associated with Conn grade 0. These health state preference values can be used to
estimate the outcomes of different interventions for hepatic encephalopathy in
terms of quality-adjusted life years.
PMID- 24917332
TI - Cognitive aids: time for a change?
PMID- 24917333
TI - The effects of a displayed cognitive aid on non-technical skills in a simulated
'can't intubate, can't oxygenate' crisis.
AB - Guidelines outlining recommended actions are difficult to implement in the
stressful, time-pressured situation of an airway emergency. Cognitive aids such
as posters and algorithms improve performance during some anaesthetic
emergencies; however, their effects on team behaviours have not been determined.
In this study, 64 participants were randomly assigned into control (no cognitive
aid) and intervention (cognitive aid provided) groups before a simulated 'can't
intubate, can't oxygenate' scenario. Video analysis was undertaken of the non
technical skills and technical performance during the scenarios. All categories
had higher Anaesthetists' Non-Technical Skills (ANTS) scores when a cognitive aid
was supplied (mean (SD) total ANTS score 10.4 (3.1) vs. 13.2 (2.4), p < 0.001).
The number of times the cognitive aid was used was associated with higher ANTS
scores (rho = 0.383, p = 0.002). A trend towards the establishment of an
infraglottic airway within 3 min was also noted (control group 55.3% vs.
intervention 76.9%, p = 0.076). Non-technical skills are improved when a
cognitive aid is present during airway emergencies.
PMID- 24917334
TI - Accidental dural puncture: patch or wait?
PMID- 24917335
TI - Timing of post-dural puncture headache symptoms.
PMID- 24917336
TI - Aseptic precautions for epidural blood patch.
PMID- 24917337
TI - A reply.
PMID- 24917338
TI - Evidence-based fluid optimisation?
PMID- 24917339
TI - Fluid responsiveness in healthy volunteers: data precision and significance.
PMID- 24917340
TI - A reply.
PMID- 24917341
TI - Ventilator malfunction due to Luer lock misconnection.
PMID- 24917342
TI - Wrongly connected cylinder oxygen supply.
PMID- 24917343
TI - Cold--or hot? The risk of fire in the operating department.
PMID- 24917344
TI - Extended roles for videolayngoscopy.
PMID- 24917345
TI - Teaching fibreoptic bronchoscopy using smart phones.
PMID- 24917346
TI - Efficacy of one-handed ventilation techniques.
PMID- 24917347
TI - Scavenging volatiles is not enough to prevent pollution.
PMID- 24917348
TI - Training anaesthetists about quality improvement.
PMID- 24917349
TI - Use of intramuscular morphine in trauma patients.
PMID- 24917350
TI - Diclofenac sodium in Hartmanns solution.
PMID- 24917352
TI - Sudden asystole during radiofrequency ablation: a case report and literature
review.
AB - BACKGROUND: Radiofrequency (RF) ablation is a widely accepted and ideal
therapeutic tool to cure some tachycardias. The occurrence of complications
varies depending on the procedure being performed. Sudden unexpected prolonged
asystole is rare for most ablation procedures and the underlying mechanisms
remain unclear. CASE PRESENTATION: A case of sudden prolonged asystole induced by
RF ablation of a concealed left free wall accessory in a 59-year-old woman with
recurrent tachycardia. RF application provoked progressive slowing of the sinus
rhythm and then a 13.2-second period of asystole ensued. Asystole was self
healing and no complications were seen in the following follow-up. CONCLUSIONS:
RF ablation may develop prolonged asystole due to vagus response caused by
stimulation of unmyelinated vagal C-fibers or ganglionated plexus (GP).
Reflexible asystole is reproducible and resolves independently, without affecting
the procedure of RF ablation.
PMID- 24917351
TI - Neuroendocrine tumors of extrahepatic biliary tract.
AB - Neuroendocrine tumors of the extrahepatic bile ducts (EBNETs) are very rare. The
aim of the present review is to elucidate the characteristics of EBNETs, their
treatment and prognosis. An exhaustive systematic review of the literature was
performed from 1959 up-to-date. One hundred articles, describing 150 cases were
collected. Each article was carefully analyzed and a database was created. The
most common symptoms were jaundice (60.3 %) and pruritus (19.2 %). Cholelithiasis
co-existed in 15 cases (19.2 %). Hormone- and vasoactive peptide- related
symptoms were present in only 7 cases (9 %). The most frequent sites were found
to be the common hepatic duct and the proximal common bile duct (19.2 %).
Surgical management was considered the main treatment for EBNETs, while excision
of extrahepatic biliary tree (62.82 %) with portal vein lymphadenectomy (43.6 %)
was the most popular procedure. EBNETs are extremely rare. Their rarity makes
their characterization particularly difficult. Up to date the final diagnosis is
made after surgery by pathology and immunohistochemistry findings. The present
analysis of the existing published cases elucidates many aspects of these
tumours, giving complete clinicopathological documentation.
PMID- 24917353
TI - Revisualising 'porn': how young adults' consumption of sexually explicit Internet
movies can inform approaches to Canadian sexual health promotion.
AB - The Internet offers an invaluable opportunity to re-imagine ideas, constructs and
disciplines to create innovative sexual health promotion interventions. To gain
insight into what young adults (aged 19-28) may be seeking in online sexual
resources and approaches, this study explored how young people perceived their
sexual health to be influenced by their consumption of the highly utilised sexual
medium of Sexually Explicit Internet Movies [SEIM]. Employing an exploratory,
qualitative methodology, data were collected through semi-structured interviews
with 12 urban, heterosexual young adults, who self-identified as having consumed
SEIM for a period of at least one year. All interviews were audiotaped with
permission, transcribed verbatim and the data were analysed to identify emergent
thematic concepts. Participants described utilising SEIM as an all-inclusive
sexual forum to learn more about the positive aspects of sexual health, in a
context that they viewed as being devoid of alternatives. Young adults'
perceptions of the utility of SEIM suggest that it would be beneficial to create
novel, sex-positive online sexual health interventions. Further exploration is
clearly warranted on how Internet resources that are related to sexual health,
such as SEIM, can be utilised to facilitate innovative approaches to online
sexual health promotion.
PMID- 24917354
TI - Low-volume, high-intensity, aerobic interval exercise for sedentary adults:
VO2max, cardiac mass, and heart rate recovery.
AB - PURPOSE: The aim of this study was to compare the effects of low-volume, high
intensity aerobic interval training (HAIT) on maximal oxygen consumption
(VO2max), left ventricular (LV) mass, and heart rate recovery (HRR) with high
volume, moderate-intensity continuous aerobic training (CAT) in sedentary adults.
METHODS: Twenty-four healthy but sedentary male adults (aged 29.2 +/- 7.2 years)
participated in an 8-week, 3-day a week, supervised exercise intervention. They
were randomly assigned to either HAIT (18 min, 180 kcal per exercise session) or
CAT (45 min, 360 kcal). VO2max, LV mass (3T-MRI), and HRR at 1 min (HRR-1) and 2
min (HRR-2) after maximal exercise were measured pre- and post-intervention.
RESULTS: Changes in VO2max during the 8-week intervention were significant (P <
0.01) in both groups (HAIT, 8.7 +/- 3.2 ml kg(-1) min(-1), 22.4 +/- 8.9%; CAT,
5.5 +/- 2.8 ml kg(-1) min(-1), 14.7 +/- 9.5%), while the VO2max improvement in
HAIT was greater (P = 0.02) than in CAT. LV mass in HAIT increased (5.1 +/- 8.4
g, 5.7 +/- 9.1%, P = 0.05), but not in CAT (0.9 +/- 7.8 g, 1.1 +/- 8.4%, P =
0.71). While changes in HRR-1 were not significant in either group, change in HRR
2 for HAIT (9.5 +/- 6.4 bpm, 19.0 +/- 16.0%, P < 0.01) was greater (P = 0.03)
than for CAT (1.6 +/- 10.9 bpm, 3.9 +/- 16.2%, P = 0.42). CONCLUSIONS: This study
suggests that HAIT has potential as a time-efficient training mode to improve
cardiorespiratory capacity and autonomic nervous system function in sedentary
adults.
PMID- 24917355
TI - Comparison of the power spectral changes of the voluntary surface electromyogram
and M wave during intermittent maximal voluntary contractions.
AB - INTRODUCTION: To compare the power spectral changes of the voluntary surface
electromyogram (sEMG) and of the compound action potential (M wave) in the vastus
medialis and vastus lateralis muscles during fatiguing contractions. METHODS:
Interference sEMG and force were recorded during 48 intermittent 3-s isometric
maximal voluntary contractions (MVC) from 13 young, healthy subjects. M waves and
twitches were evoked using supramaximal femoral nerve stimulation between the
successive MVCs. Mean frequency (F mean), and median frequency were calculated
from the sEMG and M waves. Muscle fiber conduction velocity (MFCV) was computed
by cross-correlation. RESULTS: The power spectral shift to lower frequencies was
significantly greater for the voluntary sEMG than for the M waves (P < 0.05).
Over the fatiguing protocol, the overall average decrease in MFCV (~25%) was
comparable to that of sEMG F mean (~22%), but significantly greater than that of
M-wave F mean (~9%) (P < 0.001). The mean decline in MFCV was highly correlated
with the mean decreases in both sEMG and M-wave F mean. CONCLUSIONS: The present
findings indicated that, as fatigue progressed, central mechanisms could enhance
the relative weight of the low-frequency components of the voluntary sEMG power
spectrum, and/or the end-of-fiber (non-propagating) components could reduce the
sensitivity of the M-wave spectrum to changes in conduction velocity.
PMID- 24917356
TI - High intensity cycling before SCUBA diving reduces post-decompression
microparticle production and neutrophil activation.
AB - BACKGROUND: Venous gas emboli (VGE) have traditionally served as a marker for
decompression stress after SCUBA diving and a reduction in bubble loads is a
target for precondition procedures. However, VGE can be observed in large
quantities with no negative clinical consequences. The effect of exercise before
diving on VGE has been evaluated with mixed results. Microparticle (MP) counts
and sub-type expression serve as indicators of vascular inflammation and DCS in
mice. The goal of the present study is to evaluate the effect of anaerobic
cycling (AC) on VGE and MP following SCUBA diving. METHODS: Ten male divers
performed two dives to 18 m for 41 min, one dive (AC) was preceded by a repeated
Wingate cycling protocol; a control dive (CON) was completed without exercise.
VGE were analyzed at 15, 40, 80, and 120 min post-diving. Blood for MP analysis
was collected before exercise (AC only), before diving, 15 and 120 min after
surfacing. RESULTS: VGE were significantly lower 15 min post-diving in the AC
group, with no difference in the remaining measurements. MPs were elevated by
exercise and diving, however, post-diving elevations were attenuated in the AC
dive. Some markers of neutrophil elevation (CD18, CD41) were increased in the CON
compared to the AC dive. CONCLUSIONS: The repeated-Wingate protocol resulted in
an attenuation of MP counts and sub-types that have been related to vascular
injury and DCS-like symptoms in mice. Further studies are needed to determine if
MPs represent a risk factor or marker for DCS in humans.
PMID- 24917357
TI - The effects of poling on physiological, kinematic and kinetic responses in roller
ski skating.
AB - PURPOSE: We investigated the effects of poling on physiological, kinematic and
kinetic responses in the G4 skating technique where the poling movement is
synchronized with the leg push-off on one side (strong side) followed by a
forward arm swing during the leg push-off on the other side (weak side). METHODS:
G4 skating with (G4-P) and without (G4-NP) poling was compared in 17 elite male
cross-country skiers during 4-min submaximal tests on a 2% inclined roller ski
treadmill at 10, 15 and 20 km h(-1). RESULTS: G4-P demonstrated less ventilatory
stress and higher gross efficiency compared to G4-NP at all velocities, and the
blood lactate concentration was lower at the high velocity (all P < 0.05).
Furthermore, longer cycle lengths and lower cycle rates were found with G4-P at
all velocities, with correspondingly lower peak ski forces, increased ski
velocities and less angling and edging of the skis (all P < 0.05). The peak ski
forces on the strong side were lower than on the weak side with G4-P at all
velocities (all P < 0.05), but no differences between the sides were found with
G4-NP. CONCLUSIONS: The reduced physiological cost, higher gross efficiency and
longer cycle lengths together with the lower ski forces at a given work rate with
G4-P demonstrate the effectiveness of poling in the G4 skating technique. Thus,
poling provides possibilities to increase total propulsion, to reduce ski forces
and to enhance skiing efficiency.
PMID- 24917358
TI - Basal Ca(2+) signaling is particularly increased in mutated chronic lymphocytic
leukemia.
AB - On the basis of somatic hypermutation status of their B-cell antigen receptor
(BCR) genes, chronic lymphocytic leukemia (CLL) patients can be divided into
unmutated CLL (U-CLL) or mutated CLL (M-CLL). Approximately 30% of CLL patients
express a stereotypic BCR, which may indicate that specific antigenic stimulation
is driving CLL pathogenesis. Recently, it was reported that BCRs from CLL cells
are capable of antigen-independent, cell-autonomous signaling, through
recognition of an internal framework 2 (FR2) BCR epitope. We hypothesized that
the level of cell-autonomous signaling may differ between CLL subgroups.
Therefore, we analyzed Ca(2+) signaling in a series of primary stereotypic or
heterogeneous U-CLL and M-CLL (n=68) and healthy controls (n=14). We confirmed
that basal Ca(2+) signaling in CLL cells is higher than in normal B cells.
Interestingly, we found that basal signaling was particularly increased in M-CLL.
The degree of basal signaling did not correlate with membrane immunoglobulin
levels, HCDR3 characteristics or FR2/FR3 sequence. We conclude that the level of
basal Ca(2+) signaling is not uniformly enhanced in CLL B cells, but is
associated with CLL immunoglobulin heavy chain V mutational status, reflecting a
distinct cellular origin and possibly a different anergic state induced by
repetitive or continuous antigen binding in vivo.
PMID- 24917359
TI - Activating human genes with zinc finger proteins, transcription activator-like
effectors and CRISPR/Cas9 for gene therapy and regenerative medicine.
AB - New technologies have recently been developed to control the expression of human
genes in their native genomic context by engineering synthetic transcription
factors that can be targeted to any DNA sequence. The ability to precisely
regulate any gene as it occurs naturally in the genome provides a means to
address a variety of diseases and disorders. This approach also circumvents some
of the traditional challenges of gene therapy. In this editorial, we review the
technologies that have enabled targeted human gene activation, including the
engineering of transcription factors based on zinc finger proteins, transcription
activator-like effectors and the CRISPR/Cas9 system. Additionally, we highlight
examples in which these methods have been developed for therapeutic applications
and discuss challenges and opportunities.
PMID- 24917360
TI - Home kitchen ventilation, cooking fuels, and lung cancer risk in a prospective
cohort of never smoking women in Shanghai, China.
AB - Indoor air pollution (IAP) caused by cooking has been associated with lung cancer
risk in retrospective case-control studies in developing and rural countries. We
report the association of cooking conditions, fuel use, oil use, and risk of lung
cancer in a developed urban population in a prospective cohort of women in
Shanghai. A total of 71,320 never smoking women were followed from 1996 through
2009 and 429 incident lung cancer cases were identified. Questionnaires collected
information on household living and cooking practices for the three most recent
residences and utilization of cooking fuel and oil, and ventilation conditions.
Cox proportional hazards regression estimated the association for kitchen
ventilation conditions, cooking fuels, and use of cooking oils for the risk of
lung cancer by hazard ratios (HR) with 95% confidence intervals (95% CI). Ever
poor kitchen ventilation was associated with a 49% increase in lung cancer risk
(HR: 1.49; 95% CI: 1.15-1.95) compared to never poor ventilation. Ever use of
coal was not significantly associated. However, ever coal use with poor
ventilation (HR: 1.69; 95% CI: 1.22-2.35) and 20 or more years of using coal with
poor ventilation (HR: 2.03; 95% CI: 1.35-3.05) was significantly associated
compared to no exposure to coal or poor ventilation. Cooking oil use was not
significantly associated. These results demonstrate that IAP from poor
ventilation of coal combustion increases the risk of lung cancer and is an
important public health issue in cities across China where people may have lived
in homes with inadequate kitchen ventilation.
PMID- 24917362
TI - Selective extraction of catecholamines by packed fiber solid-phase using
composite nanofibers composing of polymeric crown ether with polystyrene.
AB - For the first time, electrospun composite nanofibers comprising polymeric crown
ether with polystyrene (PCE-PS) have been used for the selective extraction of
catecholamines - dopamine (DA), norepinephrine (NE) and epinephrine (E) - prior
to their analysis by high-performance liquid chromatography-electrochemical
detection. Using a minicartridge packed with PCE-PS composite nanofibers, the
target compounds were extracted effectively from urine samples to which
diphenylborinic acid 2-aminoethyl ester was added as a complexing reagent. The
extracted catecholamines could be liberated from the fiber by the addition of
acetic acid. A good linearity was observed for catecholamines in the range of 2.0
200 ng mL(-1) (NE, E and DA). The detection limits of catecholamines (signal-to
noise ratio = 3) were 0.5 ng mL(-1) (NE), 0.2 ng mL(-1) (E) and 0.2 ng mL(-1)
(DA), respectively. Under the optimized conditions, the absolute recoveries of
the above three catecholamines were 90.6% (NE), 88.5% (E) and 94.5% (DA). The
repeatability of extraction performance was from 5.4 to 9.2% (expressed as
relative standard deviation). Our results indicate that the proposed method could
be used for the determination of NE, E and DA in urine.
PMID- 24917361
TI - Low expression of secreted frizzled-related protein 4 in aggressive pituitary
adenoma.
AB - OBJECTIVE: The secreted frizzled-related proteins (sFRPs) are reported to be
antagonists of a number of tumors. This study was designed to investigate the
relationship of sFRP4 with aggressiveness of pituitary adenomas. MATERIAL AND
METHOD: Specimens were classified into three groups: normal control (n = 10), non
aggressive group (n = 42) and aggressive group (n = 26) according to preoperative
magnetic resonance imaging (MRI)/computed tomography. sFRP4 were investigated by
PCR, Western blotting, and immunohistochemistry (IHC). The methylation status of
the sFRP4 promoter region was observed by MassArray. Cell culture and 5-aza-2
deoxycytidine treatment was performed to observe the relationship of
downregulation of sFRP4 with methylation of the sFRP4 gene. RESULTS: PCR and
Western blot results showed that sFRP4 expression was downregulated in aggressive
pituitary adenomas, which was confirmed by IHC. Methylation of the sFRP4 promoter
was increased in aggressive pituitary adenomas. And methylation of the sFRP4
promoter lead to downregulation of sFRP4 expression. CONCLUSIONS: sFRP4
expression is inversely related to the aggressiveness of pituitary adenomas, and
act as a tumor suppressor.
PMID- 24917363
TI - Direct and indirect hydrogen abstraction in Cl + alkene reactions.
AB - Reactions between Cl atoms and propene can lead to HCl formation either by direct
H abstraction or through a chloropropyl addition complex. Barring stabilizing
collisions, the chloropropyl radical will either decompose to reactants or form
HCl and allyl products. Using velocity-map imaging to measure the quantum state
and velocity of the HCl products provides a view into the reaction dynamics,
which show signs of both direct and indirect reaction mechanisms. Simulated
trajectories of the reaction highlight the role of the direct H-abstraction
pathways, and the resultant simulated scattering images show reasonable agreement
with measurement. The simulations also show the importance of large excursions of
the Cl atom far from equilibrium geometries within the chloropropyl complex, and
these large-amplitude motions are the ultimate drivers toward HCl + allyl
fragmentation. Gas-phase measurements of larger alkenes, 2-methylpropene and 2,3
dimethylbut-2-ene, show slightly different product distributions but still
feature similar reaction dynamics. The current suite of experiments offers ready
extensions to liquid-phase bimolecular reactions.
PMID- 24917364
TI - Age-related changes in the distribution of transient receptor potential vanilloid
4 channel (TRPV4) in the central nervous system of rats.
AB - Transient receptor potential vanilloid type 4 (TRPV4) channels are expressed in
the central nervous system, but their role in regulating the aging process under
physiological and pathological conditions is still largely unknown. To identify
age-related changes in the TRPV4 channel that contribute to the central nervous
system, we investigated the distribution of TRPV4 in the brain and spinal cord
regions of adult and aged rats. The expression of TRPV4 in the brain and spinal
cord of adult and aged Sprague-Dawley rats was compared using
immunohistochemistry performed with antibodies recognizing TRPV4 on free floating
sections and western blotting analysis. TRPV4 immunoreactivity was significantly
increased in the cerebral cortex, hippocampal formation, thalamus, basal nuclei,
cerebellum and spinal cord of aged rats compared with adult control rats. In the
cerebral cortex, TRPV4 immunoreactivity was significantly increased in pyramidal
cells of aged rats. In addition, TRPV4 immunoreactivity was increased in the
spinal cord, hippocampal formation, thalamus, basal nuclei and cerebellum of aged
rats. This first demonstration of age-related increases in TRPV4 expression in
the brain and spinal cord may provide useful data for investigating the
pathogenesis of age-related neurodegenerative diseases. The exact regulatory
mechanism and its functional significance require further elucidation.
PMID- 24917365
TI - Associations between polymorphisms of HLA-B gene and postmenopausal osteoporosis
in Chinese Han population.
AB - Osteoporosis is a systemic skeletal disease, which is more prevalent in
postmenopausal women. Osteoporosis likely develops beginning with genetic risk.
This study explored the relationships between polymorphisms of HLA-B gene and
postmenopausal osteoporosis in a Chinese Han population. Polymerase chain
reaction sequence-based typing (PCR-SBT) method was used for DNA typing at HLA-B
locus in 70 patients with postmenopausal osteoporosis and 73 healthy controls in
female Han population of Shaanxi Province, situated in north-western China. We
found that 40 HLA-B alleles in postmenopausal osteoporosis patients and control
subjects, respectively. Furthermore, the frequency of HLA-B* 3501 allele was
significantly higher in postmenopausal osteoporosis patients than in the control
group (P = 0.033), and the relative risk was 7.632 (95% CI: 0.927-62.850). Our
results suggest that HLA-B* 3501 was likely an important risk factor for
postmenopausal osteoporosis. As different populations have different HLA
polymorphisms, further investigation of the relationship of various HLA genes and
osteoporosis with larger sample size is still necessary in the future.
PMID- 24917366
TI - Description of Brenneria roseae sp. nov. and two subspecies, Brenneria roseae
subspecies roseae ssp. nov and Brenneria roseae subspecies americana ssp. nov.
isolated from symptomatic oak.
AB - Gram-negative, facultatively anaerobic bacteria were isolated from symptomatic
oak tissue in the UK and USA. Partial gyrB sequencing placed ten strains in the
genus Brenneria, with B. goodwinii as the closest phylogenetic relative. The
strains were investigated further using a polyphasic approach including MLSA
(based on partial gyrB, rpoB, infB and atpD gene sequences), 16S rRNA gene
sequencing, DNA-DNA relatedness studies and both phenotypic and chemotaxonomic
assays. The MLSA and 16S rRNA gene analyses separated the strains into two groups
based on origin, suggesting that they belong to Brenneria as two novel species.
However, the DNA-DNA relatedness values revealed a closer relationship between
the groups and indicated that they should belong to the same species. As the two
groups of strains from the UK and USA can be differentiated from each other
phenotypically and by ERIC PCR fingerprints, it is proposed to classify them as
novel subspecies of a novel Brenneria species. The name Brenneria roseae sp. nov.
(FRB 222(T)=LMG 27714(T)=NCPPB 4581(T)) is proposed, with Brenneria roseae subsp.
roseae ssp. nov. (FRB 222(T)=LMG 27714(T)=NCPPB 4581(T)) for the strains from the
UK and Brenneria roseae subsp. americana ssp. nov. (FRB 223(T)=LMG 27715(T)=NCPPB
4582(T)) for the strains from the USA.
PMID- 24917367
TI - Co-treatment of fruit and vegetable waste in sludge digesters. An analysis of the
relationship among bio-methane generation, process stability and digestate
phytotoxicity.
AB - The co-digestion of a variable amount of fruit and vegetable waste in a waste
mixed sludge digester was investigated using a pilot scale apparatus. The organic
loading rate (OLR) was increased from 1.46 kg VS/m(3) day to 2.8 kg VS/m(3) day.
The hydraulic retention time was reduced from 14 days to about 10 days. Specific
bio-methane production increased from about 90 NL/kg VS to the maximum value of
about 430 NL/kg VS when OLR was increased from 1.46 kg VS/m(3) day to 2.1 kg
VS/m(3) day. A higher OLR caused an excessive reduction in the hydraulic
retention time, enhancing microorganism wash out. Process stability evaluated by
the total volatile fatty acids concentration (mg/l) to the alkalinity buffer
capacity (eq. mg/l CaCO3) ratio (i.e. FOS/TAC) criterion was <0.1 indicating high
stability for OLR <2.46 kg VS/m(3 )day. For higher OLR, FOS/TAC increased
rapidly. Residual phytotoxicty of the digestate evaluated by the germination
index (GI) (%) was quite constant for OLR<2.46 kg VS/m(3)day, which is lower than
the 60% limit, indicating an acceptable toxicity level for crops. For OLR>2.46 kg
VS/m(3) day, GI decreased rapidly. This corresponding trend between FOS/TAC and
GI was further investigated by the definition of the GI ratio (GIR) parameter.
Comparison between GIR and FOS/TAC suggests that GI could be a suitable criterion
for evaluating process stability.
PMID- 24917368
TI - Longitudinal data analysis in support of functional stability concepts for
leachate management at closed municipal landfills.
AB - Landfill functional stability provides a target that supports no environmental
threat at the relevant point of exposure in the absence of active control
systems. With respect to leachate management, this study investigates "gateway"
indicators for functional stability in terms of the predictability of leachate
characteristics, and thus potential threat to water quality posed by leachate
emissions. Historical studies conducted on changes in municipal solid waste (MSW)
leachate concentrations over time (longitudinal analysis) have concentrated on
indicator compounds, primarily chemical oxygen demand (COD) and biochemical
oxygen demand (BOD). However, validation of these studies using an expanded
database and larger constituent sets has not been performed. This study evaluated
leachate data using a mixed-effects regression model to determine the extent to
which leachate constituent degradation can be predicted based on waste age or
operational practices. The final dataset analyzed consisted of a total of 1402
samples from 101 MSW landfills. Results from the study indicated that all
leachate constituents exhibit a decreasing trend with time in the post-closure
period, with 16 of the 25 target analytes and aggregate classes exhibiting a
statistically significant trend consistent with well-studied indicators such as
BOD. Decreasing trends in BOD concentration after landfill closure can thus be
considered representative of trends for many leachate constituents of concern.
PMID- 24917369
TI - Extracavitary primary effusion lymphoma presenting as a cutaneous tumor: a case
report and literature review.
AB - Primary effusion lymphoma is an unusual form of aggressive B-cell lymphoma
universally associated with human herpesvirus 8 (HHV8) that involves mostly human
immunodeficiency virus (HIV)-infected patients. Characteristically, it presents
as a malignant serous effusion involving body cavities, but without associated
tumor mass. Exceptionally, HHV8-positive lymphomas with features identical to
primary effusion lymphoma may present as mass lesions in the absence of cavity
effusions along the course of the disease, and are regarded as extracavitary or
solid variants of the disorder. These rare forms are extremely rare in the skin.
We report a case of extracavitary primary effusion lymphoma arising in a HIV
infected male, who presented with two subcutaneous masses involving the skin of
the abdominal and inguinal regions as the first manifestation of the process.
Kaposi sarcoma was not present in the skin surface or mucous membranes. Extensive
studies failed to demonstrate involvement of other organs and the case was
considered as an example of extracavitary primary effusion lymphoma originating
primarily in the skin. Herein, we review the few reported cases of solid primary
effusion lymphoma involving the skin in order to delineate the clinicopathologic,
immunohistochemical and molecular characteristics of this rare lymphoma in the
skin.
PMID- 24917370
TI - Stroke self-management: a focus group study to identify the factors influencing
self-management following stroke.
AB - BACKGROUND: Self-management refers to the strategies, decisions and activities
individuals take to manage a long-term health condition. Self-management has
potential importance for reducing both the personal and health service impact of
illness. Stroke represents a significant health and social burden, however there
is a lack of clarity about the factors that support successful self-management
following stroke. OBJECTIVE: This study sought to investigate the factors which
facilitate or hinder stroke self-management from the patients' perspective.
DESIGN: Nested qualitative exploratory phase within a mixed-methods paradigm.
Data were analysed thematically using Analytic Induction to guide development of
themes. SETTING: Participants had experienced a stroke and were recruited from
rural and urban community stroke support groups based in the South of England.
METHOD: Five focus groups (n=28) using a semi-structured interview guide were
conducted. Interviews were digitally recorded and transcribed. FINDINGS: The term
'self-management' was unfamiliar to participants. On further exploration,
participants described how self-management activities were helped or hindered.
Self-management was viewed as an important, unavoidable feature of life after
stroke. Three key themes identified from the data affect stroke self-management:
Individual capacity; support for self-management and self-management environment.
People following stroke reported feeling ill-prepared to self-manage. The self
management support needs of patients following stroke are currently often unmet.
CONCLUSION: Successful stroke self-management consists of features which may be
modifiable at the individual level, in addition to the presence of external
support and an environment which supports and facilitates people following stroke
to self-manage. These findings extend current conceptualisations of stroke self
management.
PMID- 24917371
TI - Complication prevalence following use of tutoplast-derived human acellular dermal
matrix in prosthetic breast reconstruction: a retrospective review of 203
patients.
AB - Use of human acellular dermal matrix (ADM) during prosthetic breast
reconstruction has increased. Several ADM products are available produced by
differing manufacturing techniques. It is not known if outcomes vary with
different products. This study reports the complication prevalence following use
of a tutoplast-derived ADM (T-ADM) in prosthetic breast reconstruction. We
performed a retrospective chart review of 203 patients (mean follow-up times 12.2
months) who underwent mastectomy and immediate prosthetic breast reconstruction
utilizing T-ADM, recording demographic data, surgical indications and
complication (infection, seroma, hematoma, wound healing exceeding three weeks
and reconstruction failure). During a four-year period, 348 breast
reconstructions were performed Complications occurred in 16.4% of reconstructed
breasts. Infection occurred in 6.6% of breast reconstructions (3.7% - major
infection, requiring intravenous antibiotics and 2.9% minor infection, requiring
oral antibiotics only). Seromas occurred in 3.4% and reconstruction failure
occurred in 0.6% of breast reconstructions. Analysis suggested that complication
prevalence was significantly higher in patients with a BMI >30 (p = 0.03). The
complication profile following T-ADM use is this series is comparable to that
reported for with other ADM products. T-ADM appears to be a safe and acceptable
option for use in ADM-assisted breast reconstruction.
PMID- 24917372
TI - Response to "the ulnar palmar perforator flap: anatomical study and clinical
application".
PMID- 24917373
TI - A functional periorbital subunit allograft: vascular, anatomic, and technical
considerations for future subunit facial transplantation.
AB - BACKGROUND: Injury to the face can result in the loss of critical specialized
structures (the eyelids, lips, ears and nose). Vascularized composite
allotransplantation (VCA) allows the surgeon to replace exactly what has been
lost. The success of the clinical face transplants suggests the possibility of
transplanting specialized units of the face. In this study we explore the
neurovascular anatomy and technical specifics for harvest of a functional
composite eyelid subunit flap. METHODS: 12 fresh cadaver heads were studied, each
yielding two flaps (N = 24). The facial (FA) and superficial temporal arteries
(STA) were cannulated and injected with a gelatin/acrylic dye mixture. 6 cadaver
heads were evaluated via high-resolution three-dimensional CT scans with
contrast. RESULTS: The dye injected into the STA uniformly stained the tissue of
the eyelid/periorbital subunit. Injection into the FA resulted in staining of the
skin and soft tissues in the medial canthal region and superior eyelid skin in
66% of specimens. CT scan studies confirm our findings with injection into the
STA resulting in contrast infiltration of the palpebral arterial arcades in all
cases. Injection of the FA resulted in contrast infiltration of the palpebral
arterial arcades in 2 of 3 cases. CONCLUSIONS: Based this study, a periorbital
flap can be based on the STA. Motor innervation of the flap is via the zygomatic
and buccal branches of the facial nerve with sensory innervation via the
infraorbital, supraorbital and supratrochlear nerves. FA could be used, but its
ability to perfuse the entire flap was inconsistent.
PMID- 24917374
TI - Does primary closure for dog bite wounds increase the incidence of wound
infection? A meta-analysis of randomized controlled trials.
PMID- 24917375
TI - Confirming perforator patency and cross midline arterial arborisation in DIEP
flap surgery.
PMID- 24917376
TI - Development of an oral mucosa model to study host-microbiome interactions during
wound healing.
AB - Crosstalk between the human host and its microbiota is reported to influence
various diseases such as mucositis. Fundamental research in this area is however
complicated by the time frame restrictions during which host-microbe interactions
can be studied in vitro. The model proposed in this paper, consisting of an oral
epithelium and biofilm, can be used to study microbe-host crosstalk in vitro in
non-infectious conditions up to 72 h. Microbiota derived from oral swabs were
cultured on an agar/mucin layer and challenged with monolayers of keratinocytes
grown on plastic or collagen type I layers embedded with fibroblasts. The overall
microbial biofilm composition in terms of diversity remained representative for
the oral microbiome, whilst the epithelial cell morphology and viability were
unaffected. Applying the model to investigate wound healing revealed a reduced
healing of 30 % in the presence of microbiota, which was not caused by a
reduction of the proliferation index (52.1-61.5) or a significantly increased
number of apoptotic (1-1.13) or necrotic (32-30.5 %) cells. Since the model
allows the separate study of the microbial and cellular exometabolome, the
biofilm and epithelial characteristics after co-culturing, it is applicable for
investigations within fundamental research and for the discovery and development
of agents that promote wound healing.
PMID- 24917377
TI - The first set of expressed sequence tags (EST) from the medicinal mushroom
Agaricus subrufescens delivers resource for gene discovery and marker
development.
AB - Agaricus subrufescens is one of the most important culinary-medicinal cultivable
mushrooms with potentially high-added-value products and extended agronomical
valorization. The development of A. subrufescens-related technologies is hampered
by, among others, the lack of suitable molecular tools. Thus, this mushroom is
considered as a genomic orphan species with a very limited number of available
molecular markers or sequences. To fill this gap, this study reports the
generation and analysis of the first set of expressed sequence tags (EST) for A.
subrufescens. cDNA fragments obtained from young sporophores (SP) and vegetative
mycelium in liquid culture (CL) were sequenced using 454 pyrosequencing
technology. After assembly process, 4,989 and 5,125 sequences were obtained in SP
and CL libraries, respectively. About 87% of the EST had significant similarity
with Agaricus bisporus-predicted proteins, and 79% correspond to known proteins.
Functional categorization according to Gene Ontology could be assigned to 49% of
the sequences. Some gene families potentially involved in bioactive compound
biosynthesis could be identified. A total of 232 simple sequence repeats (SSRs)
were identified, and a set of 40 EST-SSR polymorphic markers were successfully
developed. This EST dataset provides a new resource for gene discovery and
molecular marker development. It constitutes a solid basis for further genetic
and genomic studies in A. subrufescens.
PMID- 24917378
TI - Synthesis, cytotoxic, and antitumor activities of 2-pyridylhydrazones derived
from 3-benzoylpyridazines.
AB - A series of 2-pyridylhydrazones derived from phenyl-pyridazin-3-yl-methanones
were prepared in search for potential novel antitumor agents. The stereochemistry
of these compounds was established by means of NMR spectroscopy. Whereas
hydrazones derived from 3-benzoylpyridazines (IC50 = 0.99-8.74 uM) inhibited the
proliferation of the tumor cell lines tested, the non-fully aromatic 3
benzoylpyridazinone hydrazones (IC50 >10 uM) turned out to be inactive.
Compounds E-1b (IC50 = 0.12 uM) and E-1d (IC50 = 0.18 uM) exert high cytotoxic
activities in clonogenic assays involving human tumor cells of different tissue
origins. In vivo application of compound E-1b (300 mg/kg/day) resulted in a 66%
reduction in tumor burden.
PMID- 24917380
TI - Experimental and computational studies of the neutral and reduced states of
indeno[1,2-b]fluorene.
AB - This study examines the intrinsic structural and optoelectronic properties of the
neutral indeno[1,2-b]fluorene skeleton as well as those of the corresponding
anion radical and dianion. We report their characterization by analysis of solid
state structures and EPR, NMR, and absorbance spectra. Additionally, 20 popular
density functional theory methods are used to evaluate their performance for
predicting NMR chemical shifts, EPR hyperfine coupling constants, and low-energy
transitions of the absorbance spectrum to act as a guide for future studies. This
information can be used for a better understanding and tuning of the electron
transporting/accepting ability of the indenofluorene core for use in organic
photovoltaics and organic field effect transistors.
PMID- 24917379
TI - Classification framework for graphene-based materials.
AB - Graphing graphene: Because the naming of graphene-based materials (GBMs) has led
to confusion and inconsistency, a classification approach is necessary. Three
physical-chemical properties of GBMs have been defined by the GRAPHENE Flagship
Project of the European Union for the unequivocal classification of these
materials (see grid).
PMID- 24917381
TI - Usefulness of contrast-enhanced ultrasonography using Sonazoid for the assessment
of therapeutic response to percutaneous radiofrequency ablation for
hepatocellular carcinoma.
AB - AIM: Accurate assessment of the coagulated area is imperative to achieve an
excellent outcome from percutaneous radiofrequency ablation (PRFA) for the
treatment of hepatocellular carcinoma (HCC). We evaluated the efficacy of
contrast-enhanced ultrasonography (CEUS) with the contrast-enhancing agent
Sonazoid for precisely assessing the therapeutic effect of PRFA for HCC. METHODS:
We enrolled 87 consecutive patients with solitary naive HCC of less than 3 cm in
diameter. PRFA treatment was performed with a 17-G cool-tip needle, and CEUS was
performed to assess the ablative margin 3 h after the procedure, when the
coagulated tumor outline was easiest to discern. The treatment was repeated until
an ablative margin greater than 5 mm was confirmed. After CEUS assessment of the
therapeutic response, the patients were followed to investigate local tumor
recurrence. RESULTS: In 78 patients (89.7%), the outline of the coagulated tumors
could be recognized by ultrasonography, and CEUS assessment of the ablative
margin was successful. The remaining nine patients were assessed by computed
tomography. The 5-year cumulative survival rate after the assessment of the
treatment response with CEUS was 58.4%, and the 4-year cumulative total
recurrence rate was 72.3%. The 5-year cumulative local tumor recurrence rate was
very low (2.3%). CONCLUSION: The assessment with CEUS at 3 h after the PRFA
procedure was successful in the majority of the patients, and it yielded a very
low rate of local recurrence.
PMID- 24917383
TI - Within-season variation in sexual selection in a fish with dynamic sex roles.
AB - The strength of sexual selection may vary between species, among populations and
within populations over time. While there is growing evidence that sexual
selection may vary between years, less is known about variation in sexual
selection within a season. Here, we investigate within-season variation in sexual
selection in male two-spotted gobies (Gobiusculus flavescens). This marine fish
experiences a seasonal change in the operational sex ratio from male- to female
biased, resulting in a dramatic decrease in male mating competition over the
breeding season. We therefore expected stronger sexual selection on males early
in the season. We sampled nests and nest-holding males early and late in the
breeding season and used microsatellite markers to determine male mating and
reproductive success. We first analysed sexual selection associated with the
acquisition of nests by comparing nest-holding males to population samples. Among
nest-holders, we calculated the potential strength of sexual selection and
selection on phenotypic traits. We found remarkable within-season variation in
sexual selection. Selection on male body size related to nest acquisition changed
from positive to negative over the season. The opportunity for sexual selection
among nest-holders was significantly greater early in the season rather than late
in the season, partly due to more unmated males. Overall, our study documents a
within-season change in sexual selection that corresponds with a predictable
change in the operational sex ratio. We suggest that many species may experience
within-season changes in sexual selection and that such dynamics are important
for understanding how sexual selection operates in the wild.
PMID- 24917384
TI - Positive regulations of adipogenesis by Italian ryegrass [Lolium multiflorum] in
3T3-L1 cells.
AB - BACK GROUND: Intramuscular fat deposition in the meat animal is relatively new
strategy for developing the meat quality. Fat deposition is largely depending on
the adipocyte proliferation and differentiation. Therefore, we investigated the
effect of chloroform extract of L. multiflorum [CELM] on cell proliferation,
lipid accumulation and adipocyte differentiation in 3T3-L1 cells and body weight
of mouse. RESULTS: We identified 6,9-Octadecatrienoic acid, Hexadecanoic acid, 2
hydroxypropanoic acid, butane-2,3-diol and hexane-1,2,3,4,5,6-hexaol in CELM. L.
multiflorum extract increased the cell viability, lipid accumulation, cell cycle
progression and key transcriptional and secretory factors like PPRAgamma2, C/CEBP
alpha, adiponectin, aP2, GLUT-4, FAS and SREBP-1 mRNA expression as compared with
control cells. For in-vivo, mice administered with CELM significantly increased
body weight throughout the experiment periods. Further, the identified fatty
acids like 3, 6, 9-Octadecatrienoic acid and Hexadecanoic acid was docked with
target protein [PPRAgamma2] using HEX 6.12. The least binding energy considered
as high affinity with target protein. The maximum affinity with the target
protein was observed in the Hexadecanoic acid followed by 3, 6, 9
Octadecatrienoic acid. The binding efficacy of Hexadecanoic acid and 3, 6, 9
Octadecatrienoic acid to the active site of PPAR-gamma2 may be enhanced the
adipocyte differentiations. CONCLUSION: These findings suggest that CELM
stimulates adipogenesis via activating the PPARgamma-mediated signaling pathway
in adipocyte which could be useful for the development of meat quality in
animals.
PMID- 24917385
TI - Eavesdropping on heterospecific alarm calls: from mechanisms to consequences.
AB - Animals often gather information from other species by eavesdropping on signals
intended for others. We review the extent, benefits, mechanisms, and ecological
and evolutionary consequences of eavesdropping on other species' alarm calls.
Eavesdropping has been shown experimentally in about 70 vertebrate species, and
can entail closely or distantly related species. The benefits of eavesdropping
include prompting immediate anti-predator responses, indirect enhancement of
foraging or changed habitat use, and learning about predators. Eavesdropping on
heterospecifics can provide more eyes looking for danger, complementary
information to that from conspecifics, and potentially information at reduced
cost. The response to heterospecific calls can be unlearned or learned. Unlearned
responses occur when heterospecific calls have acoustic features similar to that
used to recognize conspecific calls, or acoustic properties such as harsh sounds
that prompt attention and may allow recognition or facilitate learning. Learning
to recognize heterospecific alarm calls is probably essential to allow
recognition of the diversity of alarm calls, but the evidence is largely
indirect. The value of eavesdropping on different species is affected by problems
of signal interception and the relevance of heterospecific alarm calls to the
listener. These constraints on eavesdropping will affect how information flows
among species and thus affect community function. Some species are 'keystone'
information producers, while others largely seek information, and these
differences probably affect the formation and function of mixed-species groups.
Eavesdroppers might also integrate alarm calls from multiple species to extract
relevant and reliable information. Eavesdropping appears to set the stage for the
evolution of interspecific deception and communication, and potentially affects
communication within species. Overall, we now know that eavesdropping on
heterospecific alarm calls is an important source of information for many species
across the globe, and there are ample opportunities for research on mechanisms,
fitness consequences and implications for community function and signalling
evolution.
PMID- 24917386
TI - Spinal cord stimulation for electrical storm refractory to conventional medical
treatment: an emerging indication?
AB - OBJECTIVE: To observe the effect of thoracic spinal cord stimulation with dual
octipolar epidural electrodes on episodes of ventricular tachycardia and
ventricular fibrillation in a patient with nonischemic familial cardiomyopathy
and severe electrical storm refractory to conventional medical treatment.
RESULTS: Following implantation of temporary bilateral octipolar thoracic
epidural electrodes and constant low-grade stimulation, episodes of ventricular
tachycardia and ventricular fibrillation were eradicated, and a permanent system
was surgically implanted uneventfully. Electrical storm ceased thereafter, though
ventricular function from progressive cardiomyopathy worsened, requiring heart
transplantation several months later. CONCLUSIONS: Spinal cord stimulation may
play an important therapeutic role in the treatment of refractory electrical
storm when conventional medical treatments have failed. The mechanism by which
stimulation of the spinal cord confers a therapeutic effect is not completely
understood, although direct modulation of sympathetic and parasympathetic tone in
the cardiac conduction system is most likely, based on animal models of ischemia
induced ventricular tachycardia.
PMID- 24917387
TI - Risk of epilepsy among patients with atopic dermatitis: a nationwide longitudinal
study.
AB - OBJECTIVE: Both atopic dermatitis and epilepsy have been regarded as chronic
inflammatory diseases. However, their association has yet to be investigated.
METHODS: Using the Taiwan National Health Insurance Research Database, 35,312
patients with atopic dermatitis but without a history of epilepsy, and 35,312 age
/gender-matched controls were enrolled between 1998 and 2008, and followed to the
end of 2011 to identify the development of epilepsy. RESULTS: Subjects with
atopic dermatitis had a higher incidence of developing epilepsy (0.94 vs.
0.27/1,000 person-years, p < 0.001) than the control group. The Cox regression
model showed that atopic dermatitis increased the risk of developing epilepsy
(hazard ratio [HR] 2.91, 95% confidence interval [CI] 2.23-3.82) after adjusting
for demographic data and medical comorbidities. Sensitivity tests showed
consistent findings (HR 2.32, 95% CI 1.68-2.96) after excluding the first year of
observation. In addition, asthma (HR 1.34, 95% CI 1.04-1.72) and allergic
rhinitis (HR 1.34, 95% CI 1.04-1.73) were related to the risk of epilepsy.
SIGNIFICANCE: Subjects with atopic dermatitis were associated with an increased
risk of developing epilepsy in later life. Further studies would be needed to
investigate the underlying mechanisms.
PMID- 24917388
TI - Ferruginibacter profundus sp. nov., a novel member of the family
Chitinophagaceae, isolated from freshwater sediment of a reservoir.
AB - A Gram-negative, aerobic, non-motile, and rod-shaped bacterium, designated strain
DS48-5-3(T), was isolated from a 48 m sediment sample taken from Daechung
Reservoir, Republic of Korea. Comparative 16S rRNA gene sequence studies showed a
clear affiliation of this strain to the Bacteroidetes, notably most closely
related to Ferruginibacter alkalilentus HU1-GD23(T), Ferruginibacter lapsinanis
HU1-HG42(T) and Ferruginibacter yonginensis HME8442(T), showing 16S rRNA gene
sequence similarities to the type strains of these species of 95.2-96.4 %
similarity. The predominant ubiquinone was identified as MK-7. The major fatty
acids were identified as iso-C15:0, iso-C17:0 3-OH, and iso-C15:1 G. The G+C
content of the genomic DNA of strain DS48-5-3(T) was determined to be 37.2 %. On
the basis of polyphasic evidence, it is proposed that strain DS48-5-3(T) should
belong to a novel species, for which the name Ferruginibacter profundus sp. nov.
(type strain DS48-5-3(T) = KCTC 32478(T) = JCM 19431(T)), is proposed.
PMID- 24917389
TI - Oncologic, functional and surgical outcomes of primary Transoral Robotic Surgery
for early squamous cell cancer of the oropharynx: a systematic review.
AB - OBJECTIVES: Transoral Robotic Surgery (TORS) has emerged as an alternative to
radiotherapy or chemoradiotherapy for the treatment of oropharyngeal squamous
cell carcinoma (OPSCC). Many centers restrict the use of TORS to early (T1-2)
OPSCC. The purpose of this article was to assess oncologic and functional
outcomes of TORS for primary treatment of early OPSCC. STUDY DESIGN: Systematic
review. METHODS: A systematic literature search was performed for all relevant
English language studies using Embase, Medline, and Pubmed. Our primary outcome
measure was local control; secondary outcomes included overall survival (OS) and
tracheostomy tube (TT) and gastrostomy tube (GT) dependence rates. Three authors
independently extracted study information and analyzed all included articles for
quality and bias using the Newcastle-Ottawa Quality Assessment Scale. RESULTS: A
total of 206 papers were identified, with 11 meeting the inclusion criteria (190
patients). For T1-2 OPSCC, the aggregate local control rate was 96.3% with an OS
rate of 95.0%. Rates of prolonged (>12 month) TT and GT dependence were 0.0% and
5.0% respectively. CONCLUSIONS: This systematic review suggests that TORS offers
high rates of disease control with low rates of long-term TT or GT dependence in
T1-2 OPSCC. However, further study is needed to compare TORS outcomes to those of
traditional therapies.
PMID- 24917390
TI - Utility of CT after sonography for suspected appendicitis in children:
integration of a clinical scoring system with a staged imaging protocol.
AB - To improve diagnosis of pediatric appendicitis, many institutions have
implemented a staged imaging protocol utilizing ultrasonography (US) first and
then computed tomography (CT). A substantial number of children with suspected
appendicitis undergo CT after US, and the efficient and accurate diagnosis of
pediatric appendicitis continues to be challenging. The objective of the study is
to characterize the utility of CT following US for diagnosis of pediatric
appendicitis, in conjunction with a clinical appendicitis score (AS). Imaging
studies of children with suspected appendicitis who underwent CT after US in an
imaging protocol were retrospectively reviewed by three radiologists in
consensus. Chart review derived the AS (range 0-10) and obtained the patient
diagnosis and disposition, and an AS was applied to each patient. Clinical and
radiologic data were analyzed to assess the yield of CT after US. Studies of 211
children (mean age 11.3 years) were included. The positive threshold for AS was
determined to be 6 out of 10. When AS and US were concordant (N = 140), the
sensitivity and specificity of US were similar to CT. When AS and US were
discordant (N = 71) and also when AS >= 6 (N = 84), subsequent CT showed superior
sensitivity and specificity to US alone. In the subset where US showed neither
the appendix nor inflammatory change in the right lower quadrant (126/211, 60 %
of scans), when AS < 6 (N = 83), the negative predictive value (NPV) of US was
0.98. However, when AS >= 6 (N = 43), NPV of US was 0.58, and the positive
predictive value of subsequent CT was 1. There was a significant decrease in
depiction of the appendix on US with patient weight-to-age ratio of >6 (kg/year,
P < 0.001) and after-hours (1700 -0730 hours) performance of US (P < 0.001).
Results suggest that the appendicitis score has utility in guiding an imaging
protocol and support the contention that non-visualization of the appendix on US
is not intrinsically non-diagnostic. There was little benefit to additional CT
when AS < 6 and US did not show the appendix or evidence of inflammation; this
would have avoided CT in 140/211 (66 %) patients. CT demonstrated benefit when AS
>= 6, suggesting that cases with AS >= 6 and features that limit depiction of the
appendix on US may be triaged to CT.
PMID- 24917391
TI - CT and US findings of ovarian torsion within an incarcerated inguinal hernia.
AB - Inguinal hernia is relatively common in children. Although inguinal hernia is not
frequently encountered in girls in comparison to boys, there are occasional cases
of uterine or ovarian herniation in female indirect inguinal hernia. Incarcerated
ovary in hernia sac has the risk of torsion and strangulation. We present an 8
year-old girl with painful mass in her left groin. With computed tomography (CT)
and ultrasonography (US), we made the diagnosis of ovarian strangulation within
an incarcerated inguinal hernia. Since ultrasound is primarily used for
evaluation of groin mass, CT findings of an incarcerated inguinal hernia is
rarely reported.
PMID- 24917392
TI - Attributable fraction of alcohol consumption on cancer using population-based
nationwide cancer incidence and mortality data in the Republic of Korea.
AB - BACKGROUND: In the Republic of Korea, cancer is the most common cause of death,
and cancer incidence and mortality rates are the highest in East Asia. As
alcoholic beverages are carcinogenic to humans, we estimated the burden of cancer
related to alcohol consumption in the Korean population. METHODS: The cancer
sites studied were those for which there is convincing evidence of a positive
association with alcohol consumption: oral cavity, pharynx, esophagus, colon,
rectum, liver, larynx and female breast. Sex- and cancer-specific population
attributable fractions (PAF) were calculated based on: 1) the prevalence of
alcohol drinkers among adults >= 20 years of age in 1989; 2) the average daily
alcohol consumption (g/day) among drinkers in 1998; 3) relative risk (RR)
estimates for the association between alcohol consumption and site-specific
cancer incidence obtained either from a large Korean cohort study or, when more
than one Korean study was available for a specific cancer site, meta-analyses
were performed and the resulting meta-RRs were used; 4) national cancer incidence
and mortality data from 2009. RESULTS: Among men, 3% (2,866 cases) of incident
cancer cases and 2.8% (1,234 deaths) of cancer deaths were attributable to
alcohol consumption. Among women, 0.5% (464 cancer cases) of incident cancers and
0.1% (32 deaths) of cancer deaths were attributable to alcohol consumption. In
particular, the PAF for alcohol consumption in relation to oral cavity cancer
incidence among Korean men was 29.3%, and the PAFs for pharyngeal and laryngeal
cancer incidence were 43.3% and 25.8%, respectively. Among Korean women, the PAF
for colorectal cancer incidence was the highest (4.2%) and that for breast cancer
incidence was only 0.2%. Avoiding alcohol consumption, or reducing it from the
median of the highest 4th quartile of consumption (56.0 g/day for men, 28.0 g/day
for women) to the median of the lowest quartile (2.80 g/day for men, 0.80 g/day
for women), would reduce the burden of alcohol-related cancers in Korea.
CONCLUSIONS: A reduction in alcohol consumption would decrease the cancer burden
and a significant impact is anticipated specifically for the cancers oral cavity,
pharynx, and larynx among men in the Republic of Korea.
PMID- 24917394
TI - In silico approach to inhibition of tyrosinase by ascorbic acid using molecular
docking simulations.
AB - Current evidence suggests that endogenous dopamine may act as a neurotoxin
following its oxidation to an oquinone and reaction with cellular thiols, which
are neutoxic, which may occur spontaneously or via reaction with tyrosinase or
some other enzymes. Tyrosinase (E.C. 1.14.18.1) with two cupper ions coordinated
by three histidines is a bifunctional enzyme that catalyses both the
hydroxylation of tyrosine to L-DOPA and the consequent oxidation of the resulting
catechol-containing species to an o-quinone. Therefore, tyrosinase may play a
role in neuromelanin formation in the brain and could be central to dopamine
neurotoxicity by contributing to the neurodegeneration associated with
Parkinson's disease. In the present study, inhibitory effect of ascorbic acid
against tyrosinase has been investigated and it has shown a remarkable inhibitory
effect in in vitro assays. Then, the in silico-based experiments established
through molecular docking calculations and scoring, docking search algorithm, and
data plotting indicated that ascorbic acid is strong inhibitor of tyrosinase by
interacting with four amino acid units (histidine 263, serine 282, phenylalanine
264, and valin 283) in the active site of the enzyme. The compound also had two
long distant hydrogen bindings with Cu1 and Cu2 with distances of 3.57 and 3.41
A, respectively, through its O5 atom.
PMID- 24917393
TI - Identification and functional analysis of a new putative caveolin-3 variant found
in a patient with sudden unexplained death.
AB - BACKGROUND: Sudden cardiac death (SCD) is the clinical outcome of a lethal
arrhythmia that can develop on the background of unrecognized channelopathies or
cardiomyopathies. Several susceptibility genes have been identified for the
congenital forms of these cardiac diseases, including caveolin-3 (Cav-3) gene. In
the heart Cav-3 is the main component of caveolae, plasma membrane domains that
regulate multiple cellular processes highly relevant for cardiac excitability,
such as trafficking, calcium homeostasis, signal transduction and cellular
response to injury. Here we characterized a new putative Cav-3 variant, Cav-3
V82I, found in a patient with SCD. RESULTS: In heterologous systems Cav-3 V82I
was expressed at significantly higher level than Cav-3 WT and accumulated within
the cells. Cells expressing Cav-3 V82I exhibited a decreased activation of
extracellular-signal-regulated kinases (ERKs) and were more vulnerable to sub
lethal osmotic stress. CONCLUSION: Considering that abnormal loss of myocytes can
play a mechanistic role in lethal cardiac diseases, we suggest that the
detrimental effect of Cav-3 V82I variant on cell viability may participate in
determining the susceptibility to cardiac death.
PMID- 24917396
TI - [Reoperative cardiac surgery after previous coronary artery bypass grafting].
AB - Reoperative cardiac surgery after coronary artery bypass grafting( CABG) has been
increasing. We reviewed 25 cases of reoperative cardiac surgery after CABG. Re
CABG was not included in this study. The patients consisted of 15 men and 10
women. The mean patient age was 74.4+/-6.3 years old. The reoperations were
performed 6.3+/-5.1 years after CABG. They consisted of 7 aortic valve surgeries,
2 double valve surgeries, 12 mitral valve surgeries, and 4 total arch
replacements. Resternotomy was performed in 20 cases, while right thoracotomy was
performed in 5 cases. Internal thoracic artery( ITA)grafts had been used in 24
cases, and 22 of them were patent. Fifteen operations were performed under
cardioplegic arrest with the patent ITA graft clamped from the left pleural
space, while 5 operations were performed under perfused ventricular fibrillation
with hypothermia. No differences were observed between the 2 groups in terms of
cardiopulmonary bypass (CPB) time and peak creatine kinase MB (CK-MB). Operative
mortality was 4% (1/25). To clamp left internal thoracic artery (LITA) graft from
the left pleural space is easy and safe. In case clamping the patent graft is
difficult, perfused ventricular fibrillation with hypothermia is a useful
alternative.
PMID- 24917395
TI - Aldo-keto reductase 1C3 is overexpressed in skin squamous cell carcinoma (SCC)
and affects SCC growth via prostaglandin metabolism.
AB - Aldo-keto reductase 1C3 (AKR1C3) is an enzyme involved in metabolizing
prostaglandins (PGs) and sex hormones. It metabolizes PGD2 to 9alpha11beta-PGF2 ,
diverting the spontaneous conversion of PGD2 to the PPARgamma agonist, 15-Deoxy
Delta-12, 14-prostaglandin J2 (15d-PGJ2 ). AKR1C3 is overexpressed in various
malignancies, suggesting a tumor promoting function. This work investigates
AKR1C3 expression in human non-melanoma skin cancers, revealing overexpression in
squamous cell carcinoma (SCC). Effects of AKR1C3 overexpression were then
evaluated using three SCC cell lines. AKR1C3 was detected in all SCC cell lines
and its expression was upregulated in response to its substrate, PGD2 . Although
attenuating AKR1C3 expression in SCC cells by siRNA did not affect growth,
treatment with PGD2 and its dehydration metabolite, 15d-PGJ2 , decreased SCC
proliferation in a PPARgamma-dependent manner. In addition, treatment with the
PPARgamma agonist pioglitazone profoundly inhibited SCC proliferation. Finally,
we generated an SCC cell line that stably overexpressed AKR1C3 (SCC-AKR1C3). SCC
AKR1C3 metabolized PGD2 to 9alpha11beta-PGF2 12-fold faster than the parent cell
line and was protected from the antiproliferative effect mediated by PGD2 . This
work suggests that PGD2 and its metabolite 15d-PGJ2 attenuate SCC proliferation
in a PPARgamma-dependent manner, therefore activation of PPARgamma by agonists
such as pioglitazone may benefit those at high risk of SCC.
PMID- 24917397
TI - [Retrospective study on the effect of weekend admission for the treatment of the
spontaneous pneumothorax].
AB - Our aim is to evaluate whether outcomes differ by admission day of the week in
patients with spontaneous pneumothorax. One hundred twenty five patients
undergoing video-assisted thoracic surgery(VATS) in Fukuoka Tokusyukai Hospital
from 2007 through 2011 for spontaneous pneumothorax were reviewed. The clinical
characteristics and outcomes were compared between patients in weekend admission
and weekday admission groups. Patients were divided in 2 groups based on
admission between Monday thru Friday vs on Saturday or Sunday. Another 2 groups
by admission between Sunday thru Thursday vs on Friday or Saturday were also
examined. Time to operation was significantly shorter for admission on period
between Sunday thru Thursday compared to admission on Friday or Saturday. But
there was no difference in the other clinical factors and postoperative outcomes
between 2 groups. Patients admitted on the weekend proved to experience delayed
operation, but did not have increased adverse outcomes or longer length of
hospital stay compared to those admitted on a weekday. No significant "weekend
effect" for spontaneous pneumothorax was demonstrated by the present study.
PMID- 24917399
TI - [Typical bronchial carcinoid with repeated recurrence observed for 17 years after
radical operation].
AB - A 68-years-old male patient had been diagnosed as having bronchial carcinoid in
B2 and right upper lobectomy with systematic hiler and mediastinal
lymphadenectomy had been performed in 1996. Pathological diagnosis was a typical
carcinoid (pT1aN0M0, stage I A). In 2004, 4 tumors were found in the trachea,
right bronchial stump, right main bronchi and right B6 orfice. These were
diagnosed as typical carcinoids by pathology. In 2005 argon plasma coagration was
performed.In 2013, a localized recurrence was found in the right S6 by chest
computed tomography (CT). The segmentectomy of the right S6 was performed. Even
after radical operation for typical carcinoids, we should conduct long-term
observation.
PMID- 24917398
TI - [Pulmonary metastases from colon cancer and occult thyroid cancer in the same
lobe].
AB - A 70-year-old man with a history of surgery for colon cancer was found to have
multiple enlarging pulmonary nodules( 2 in the left lower lobe and 1 in the right
upper lobe), and was suspected of having pulmonary metastases from colon cancer.
He underwent left lower lobectomy, and subsequent histopathological examination
revealed that one was a pulmonary metastasis from colon cancer, and the other was
a pulmonary metastasis from papillary thyroid cancer. There were no abnormalities
in the thyroid gland according to computed tomography and ultrasonography
findings. Therefore, the patient was diagnosed with synchronous pulmonary
metastases of both colon cancer and occult thyroid cancer in the same lobe. This
is an exceedingly rare case;to the best of our knowledge, there has been no
report thus far regarding multiple pulmonary metastases from different primary
sites.
PMID- 24917400
TI - [A new method for reversed bevel technique using single-branched graft in hemi
arch replacement].
AB - We describe a reversed bevel technique in hemi-arch replacement with a single
branched graft that enables long elliptical distal anastomosis and easier
proximal anastomosis under antegrade systemic perfusion. If the distance between
the clamped graft and the proximal aorta is too short, it becomes challenging to
perform the anastomosis by everting the end of the graft. Because we clamp the
graft at the most distal site, the side branch ends up being located at the
beveled graft site.This method ensures sufficient surgical view during proximal
anastomosis.
PMID- 24917401
TI - [Mediastinal schwannoma resected through the 10th intercostal space via a
posterolateral thoracotomy].
AB - A 64-year-old woman with a mediastinal tumor incidentally found on computed
tomography( CT) was referred to our hospital. CT and magnetic resonance imaging(
MRI) showed the tumor had a clear border and was located on the right side of the
12th thoracic vertebra, spanning the thoracic and abdominal cavities. She was
suspected of having a benign neurogenic tumor of the mediastinum. She underwent
tumor resection through the tenth intercostal space via a posterolateral
thoracotomy. We easily resected the tumor using this approach. Pathological
examination revealed a benign schwannoma of the mediastinum. Although this
approach is rarely chosen by thoracic surgery, it is sometimes useful for the
resection of mediastinal tumors near the diaphragm.
PMID- 24917402
TI - [Rapid transition from purulent to constrictive pericarditis].
AB - A 48-year-old woman experienced a high fever and precordial pain. Computed
tomography revealed pericardial fluid, and she was diagnosed with viral
pericarditis. The pericardial fluid gradually increased, and she experienced pre
shock. Pericardial drainage was therefore performed, and the fluid was found to
be purulent on the 11th day. Pleural effusion and ascites retention, then
increased, and she was diagnosed with constrictive pericarditis on the 21st day
by the date of the right ventricular pressure. Excision of the pericardium was
performed through median sternotomy on the 27th day after the onset. As the
ventricular diastolic function improved, the heart swelled, and sternal closure
became impossible. It was finally closed 5 days after the surgery. The patient
had no recurring infection, and was discharged on the 36th postoperative day.
Although purulent pericarditis is a rare disease, you should keep in mind that it
may progress rapidly to constrictive pericarditis.
PMID- 24917403
TI - [Redo off-pump coronary artery grafting through left thoracotomy].
AB - An 80-year-old man successfully underwent reoperative coronary artery bypass
grafting( CABG) via left thoracotomy without cardiopulmonary bypass. The proximal
end of the saphenous vein graft( SVG)was connected to the thoracic aorta using an
automated proximal anastomosis system. Then the SVG routed beneath the pulmonary
hilum was anastomosed to the high lateral branch and the anterior descending
artery in a sequential mode. He recovered uneventfully and is free of chest pain
after redo CABG. In selected patients, redo CABG for the left coronary artery can
be safely performed through left thoracotomy.
PMID- 24917404
TI - [Sternomyelitis caused by delayed tracheal necrosis after thyroidectomy;treatment
using muscle flap of petoralis major].
AB - We experienced a rare case of delayed tracheal rupture after thyroidectomy for
papillary thyroid cancer, and the infection causing sternomyelitis. A 69-year-old
man presented subcutaneous emphysema after 6 days of total thyroidectomy with
bilateral cervical and mediastinal dissection for lymph node metastases by
adverse T sternotomy. He underwent tracheostomy on 10th postoperative day (POD),
debridement of sternum on 14th POD, and implantation of skin-muscle flap using
pectolaris major on 43th POD. The flap showed good adaptation and no infectious
complications recurred, so that he could consequently receive closing procedure
of tracheostomy on 94th POD.
PMID- 24917405
TI - [Surgical treatment for traumatic injury of the ascending aorta and aortic arch;
report of a case].
AB - We herein report a rare case of surgical treatment for blunt traumatic injury of
the ascending aorta and aortic arch. A 60-year-old male was crushed by an arm of
a forklift while working. He suffered from multiple thoracic traumas, including
injury of the ascending aorta and aortic arch, multiple fractures of the ribs and
bilateral hemopneumothorax. An emergency surgery for aortic repair was performed
because there were no other severe hemorrhagic compilations due to organ injures.
There was a massive hematoma around the aortic arch, and the intimae of several
parts of the distal ascending aorta and aortic arch were disrupted
circumferentially. The aorta was replaced with a prosthetic graft from
sinotubular junction to the aortic arch, between the left common carotid artery
and the left subclavian artery. The patient's postoperative course was
uneventful, and he was transferred to a rehabilitation facility on the 13th day
after surgery.
PMID- 24917406
TI - [An operative case of a chronic traumatic thoracic aortic aneurysm 16 years after
a jet skiing crash; report of a case].
AB - Injury of the thoracic aorta following a major blunt trauma to the chest occurs
most frequently at the aortic isthmus and more than 80% of such patients die
within 1st 30 minutes. However, less than 5% of patients survive and later
develop chronic thoracic aortic aneurysm (TAA). Usually, most cases of chronic
traumatic TAA have no symptoms for a long time after an accident. We report a
case of successful repair for a chronic traumatic TAA 16 years after a jet skiing
crash. A 37-year-old woman complained of left chest pain, back pain, and cough. A
computed tomography showed a descending TAA, which was 5 cm in a maximum
diameter. The final diagnosis was chronic traumatic TAA. Thoracic endovascular
aortic repair (TEVAR) or graft replacement was considered as an operative
procedure. We performed graft replacement to avoid complications of TEVAR,
considering her small external iliac arteries.
PMID- 24917407
TI - [Leg amputation for severe lower limb ischemia caused by type A acute aortic
dissection; report of a case].
AB - A 41-year-old man underwent total arch replacement and aorto-bifemoral bypass
owing to type A acute aortic dissection complicated by ischemia of both lower
extremities. Just after the operation, he developed myonephropathic metabolic
syndrome due to severe ischemia of the right leg, and hemodiafiltration was
performed. However, the serum potassium was elevated to an uncontrollable level.
Ligation of the right femoral artery and the right branch of the bypass graft was
performed one hour after the transfer to intensive care unit (ICU), and the serum
potassium dropped to a normal level. He underwent amputation of the right lower
limb above the knee on the 13th postoperative day, and was discharged after long
term rehabilitation.
PMID- 24917408
TI - [Valve plasty for mitral regurgitation caused by partial papillary muscle rupture
after acute myocardial infarction;report of a case].
AB - A 58-year-old woman was referred to our hospital because of acute myocardial
infarction. Percutaneous coronary intervention for total occlusion of the
circumflex coronary artery was performed successfully. However, she had heart
failure that was gradually getting worse because of mitral valve regurgitation.
Therefore, we performed valve plasty using the resection and suture method for
mitral regurgitation caused by partial papillary muscle rupture. Her
postoperative course was uneventful, and she was discharged on postoperative day
26. At 6 months after the operation, her functional status was New York Heart
Association(NYHA) class I and transthoracic echocardiogram showed no mitral
regurgitation( MR).
PMID- 24917409
TI - [Surgical treatment of coronary sinus orifice atresia; report of a case].
AB - We report a case of a 69-year-old man with coronary sinus orifice atresia
incidentally found during mitral and tricuspid valve operation in.
Preoperatively, a patent left superior vena cava was noted. An abnormal opening
of the coronary sinus was recognized in the inter-atrial septum of the left
atrium near the postero-medial commissure of the mitral valve. The coronary sinus
was absent in the right atrium. A coronary sinus was created by resecting the
inter-atrial septum of the right atrium, and the abnormal opening of the coronary
sinus at the left atrium was closed with an autologus pericardial patch.
Tricuspid annuloplasty was performed with a 30 mm MC3 ring. Postoperative
coronary sinus flow was confirmed normal by enhanced computed tomography (CT).
Preoperative recognition of this rare disease is important, especially when the
surgical candidate has a patent left superior vena cava.
PMID- 24917410
TI - [A re-do case of recurrent constrictive pericarditis 35 years after
pericardiectomy; report of a case].
AB - Reports of recurrent constrictive pericarditis are scarce. We report a re-do case
of recurrent constrictive pericarditis 35 years after pericardiectomy. The
calcified pericardium was thick and severely adhesive. We performed
pericardiectomy on pump, using Harmonic Scalpel and rib scissors for a safe and
secure operation. The calcified pericardium looked like a crab's shell. The
patient was discharged on 14th post-operative day. Long-term follow-up is
necessary for this patient.
PMID- 24917411
TI - [Warfarin effects diminishment by the Clostridium butyricum preparation after
cardiac surgery; report of a case].
AB - A 55-year-old gentleman diagnosed with severe aortic insufficiency and
annuloaortic ectasia underwent modified Bentall procedure using mechanical valve.
Anticoagulation therapy with warfarin was introduced after surgery, but
sufficient anticoagulation effect was not achieved with a large amount of dosage.
After discontinuing the use of the Clostridium butyricum preparation on
postoperative day 29, sufficient anticoagulationt effect was obtained within
several days. Clostridium butyricum might change the vitamin K production in the
intestinal bacterial flora and attenuated the anticoagulation effect of warfarin.
PMID- 24917412
TI - [Thymoma showing enlargement and reduction in size with inflammatory
events;report of a case].
AB - An 87-year-old male who had gone surgery for bladder cancer in 1994, was pointed
out a mass in the anterior mediastinum by follow up in May 2007. Thereafter, no
change in size of the mass had been noted. In February 2011, however, enlargement
of the tumor with inflammation and right pleural effusion was found. While
waiting for surgery, the patient experienced regression of the tumor, and the
pleural effusion disappeared. Tumor excision and combined resection of the lung
and pericardium were performed. Postoperative histopathological findings showed
Masaoka stageI thymoma of type B1 according to the World Health Organization(
WHO) Classification. Granulation due to old inflammation, precipitation of
cholesterin crystals, hemorrhages, and necrosis were noted in the tumor. In the
differential diagnosis of tumors in the anterior mediastinum with spontaneous
regression, thymoma should be considered.
PMID- 24917413
TI - [Re-operation for relapsed thymic carcinoid; report of a case].
AB - A 68-year-old male patient underwent extended thymectomy via median sternotomy, 4
years ago. The pathological diagnosis was thymic atypical carcinoid having
invaded the pleura and vessel. During follow-up, the new nodular shadows in the
anterior mediastinum were pointed out by a chest computed tomography (CT) scan,
40*38 mm and 11*10 mm in size. We performed again tumor resection and resection
of pleural disseminations. The patient was free of clinically evident recurrence
3 years after treatment.
PMID- 24917414
TI - Effects of flecainide on left ventricular pressure gradient and symptoms in
obstructive hypertrophic cardiomyopathy: a comparison of flecainide and
disopyramide.
AB - It remains unclear whether flecainide, a Class I antiarrhythmic drug, improves
left ventricular pressure gradient (LVPG) or symptoms in patients with
obstructive hypertrophic cardiomyopathy (HCM). Our study evaluated the long-term
efficacy of flecainide, compared to disopyramide, when administered orally, on
LVPG and symptoms in obstructive HCM patients. Among 164 obstructive HCM
patients, 15 were administered oral flecainide therapy and 33 administered oral
disopyramide therapy. LVPG declined from 79.8 +/- 36.6 to 39.2 +/- 36.7 mmHg (p =
0.003) after flecainide therapy and from 74.5 +/- 26.4 to 31.4 +/- 24.8 mmHg (p <
0.001) after disopyramide therapy. The percent reduction in LVPG was -47.9 +/-
43.2 % in patients treated with flecainide, comparable to the results for those
treated with disopyramide (-57.1 +/- 33.0 %; p = 0.425). We found no significant
differences in improvement in NYHA functional class between patients treated with
flecainide and those treated with disopyramide (p = 0.331). Patients treated with
flecainide exhibited no significant adverse side effects, and there was no need
for myectomy or alcohol septal ablation to reduce LVPG and symptoms. Improvements
in LVPG and symptoms were similar in patients treated with flecainide and
patients treated with disopyramide, suggesting that flecainide is a potentially
useful alternative for symptomatic obstructive HCM patients, particularly those
with disopyramide-induced vagolytic side effects, narrow angle glaucoma, or
prostatic hyperplasia and pre-existing urination difficulties. Our data must be
viewed with caution, however, in view of the small number of study patients.
Flecainide therapy will require further proof of safety before it can be
routinely recommended in patients with symptomatic obstructive HCM.
PMID- 24917416
TI - Clinical evaluation of compounds targeting PD-1/PD-L1 pathway for cancer
immunotherapy.
AB - Significant enthusiasm currently exists for new immunotherapeutic strategies:
blocking the interaction between programmed death-1 receptor on T-cells and
programmed death-ligand 1 on tumor cells to boost immune system stimulation to
fight cancer. Immunomodulation with the antiprogrammed death-1/programmed death
ligand 1 monoclonal antibodies has shown to mediate tumor shrinkage and extend
overall survival from several pivotal phase I/II studies in melanoma, renal cell
carcinoma, and non-small cell lung cancer. This has prompted multiple large
ongoing phase III trials with the expectation for fast-track FDA approvals to
satisfy unmet medical needs. Compounds targeting the programmed death-1 pathway
that are in clinical trials fall into two major categories, namely antiprogrammed
death-1 antibodies: Nivolumab, MK-3475, and pidilizumab; and antiprogrammed death
ligand 1 antibodies: MPDL3280A, BMS-936559, MEDI4736, and MSB0010718C. We
reviewed the clinical efficacy and safety of each compound based upon major
registered clinical trials and published clinical data. Overall, response rate of
more than 20% is consistently seen across all these trials, with maximal response
of approximately 50% achieved by certain single antiprogrammed death-1 agents or
when used in combination with cytotoxic T-lymphocyte antigen-4 blockade. The
responses seen are early, durable, and have continued after treatment
discontinuation. Immune-related adverse events are the most common side effects
seen in these clinical trials. Overall, the skin and gastrointestinal tract are
the most common organ systems affected by these compounds while hepatic,
endocrine, and neurologic events are less frequent. These side effects are low
grade, manageable, and typically resolve within a relatively short time frame
with a predictable resolution pattern given proper management. We therefore
propose detailed guidelines for management of major immune-related adverse events
that are anticipated with antiprogrammed death-1/programmed death-ligand 1
therapies based on general experience with other monoclonal antibodies and the
established management algorithms for immune-related adverse events for cytotoxic
T-lymphocyte antigen-4 blockade with ipilimumab. We anticipate that the
antiprogrammed death-1 strategy will become a viable and crucial clinical
strategy for cancer therapy.
PMID- 24917415
TI - Exploring secular changes in the association between BMI and waist circumference
in Mexican-origin and white women: a comparison of Mexico and the United States.
AB - OBJECTIVES: BMI and waist circumference (WC) tend to be highly correlated, but
changes in lifestyle behaviors may promote greater accumulation of abdominal fat
for the same BMI in recent years. We examined secular shifts in BMI and WC
distributions, and investigated whether WC for a given BMI has changed over time
among Mexican-origin women in Mexico and the US, and in US white women as a
comparison. METHODS: Nationally representative surveys for women aged 20-49 years
from Mexico (1988, 1999, and 2012) (n = 37,116) and the US (1988-1994, 1999-2002,
and 2007-2010) (n = 6,985) were used. Quantile regressions estimated age-adjusted
changes in BMI and WC across years; linear regression tested changes in mean WC
over time, adjusting for age and BMI. RESULTS: In all women, BMI and WC at most
centiles increased over time. WC was also significantly higher over time for the
same BMI, though the increase was largest in Mexican women. For example, WC was
6.7 cm (standard error (SE): 0.17, P < 0.0001) higher in 2012 than in 1999 among
Mexican women, holding age and BMI constant. Estimates were smaller in magnitude
for Mexican-American and white women (~3 cm, P < 0.01), even when comparing over
a longer timeframe (1988-1994 to 2007-2010). In all groups, WC adjusted for BMI
increased to a larger extent among younger cohorts. CONCLUSION: WC for the same
BMI has increased in Mexican-American, white, and Mexican women of reproductive
age. These patterns may have implications for future cardio-metabolic burden in
Mexico and the US.
PMID- 24917417
TI - Transamidation of gluten proteins during the bread-making process of wheat flour
to produce breads with less immunoreactive gluten.
AB - Due to an increasing incidence of celiac disease (CD) and other gluten-related
disorders, different gluten-free breads have been developed using starches and
additives as a substitute for gluten. Thus, patients miss not only the taste and
aroma of wheat bread but also risk their sensitive intestines. Therefore,
modifying gluten to avoid an immune response in CD and its application to baking
is in progress. The aim of the study was to enzymatically modify gluten on wheat
flour, during bread-making avoiding the use of additives, to reduce
immunoreactivity, preserving its properties. Microbial transglutaminase (mTG) or
chymotrypsin (ChT) was used to bind lysine or valine to gluten proteins in a
model system. The best conditions were directly applied to wheat flour for bread
making with and without punching at 45 min. Subsequently, the rheological
properties of the doughs, specific volume of the loaves, immunoreactive gluten
content and modification of the extracted proteins were evaluated. ChT-treated
breads presented a better appearance with a more homogeneous crumb, higher
specific volume values (3.34-4.25 cm(3) g(-1)) and higher reactive gluten
reduction (up to 71%) than the mTG-treated ones (1.23-2.66 cm(3) g(-1)) with only
a 42% reactive gluten reduction. Thus, transpeptidation during bread-making is a
promising technology, although it is necessary to improve the modification
process to obtain the reactive gluten reduction required in breads for the
treatment of CD patients and other gluten-related disorders.
PMID- 24917418
TI - Surgery of the femur in HIV positive patients: a retrospective review from 2005
to 2011.
AB - BACKGROUND: There are an estimated 6,900 people with HIV living in Ireland. There
is a significant prevalence of femoral osteonecrosis and risk factors for
osteoporotic fractures. With this potential, increasing surgical workload, it is
important to assess surgical demand and audit outcomes. METHODS: The hospital's
electronic records were examined. Between January 2005 and August 2011, 17
femoral surgeries were identified in seven patients. Patient records were
retrospectively reviewed. RESULTS: Elective operations undertaken were eight hip
replacements and one nail dynamisation. Eight emergency operations were
undertaken: two DHS, one plating, one nailing, one revision nailing, two incision
and drainages and one biopsy. All procedures were carried out using appropriate
safety guidelines. Indications for surgery included femoral head osteonecrosis (n
= 7), osteomyelitis (n = 3), proximal femoral fracture (n = 2), femoral shaft non
union (n = 1), dynamisation of a nail (n = 1), osteoarthritis (n = 1), fractured
femur (n = 1), and revision nailing (n = 1). For two procedures the patient was
not on highly active anti-retroviral treatment. All elective patients had CD4
counts greater than 200 pre-operatively. Six patients had undetectable viral
loads. Of the eight emergency procedures, four procedures had no preoperative
immune status recorded. Complications recorded were three non-unions, one nail
fracture, one lesser trochanter fracture and recurrence of osteomyelitis. No
surgical site infections were recorded. CONCLUSIONS: Complications were not
related to immune status. The rate of surgical site infection in both elective
and emergency procedures was low. The elective surgery patients can safely
receive orthopaedic treatment in their regional orthopaedic unit. Due to the high
non-infectious complication rates recorded in the emergency group, transfer to a
tertiary facility with infectious disease expertise is advised.
PMID- 24917419
TI - Malignant melanoma: factors affecting the surgical interval from excision biopsy
to definitive surgical management.
AB - INTRODUCTION: Surgery remains the mainstay of treatment for malignant melanoma.
Despite previous studies examining the surgical interval (SI) between the
diagnostic excision biopsy (DEB) and definitive surgical management there remains
few guidelines regarding an optimal time interval. The aim of this study was to
determine the SI between DEB and definitive surgery and elucidate factors
associated with delays in management of malignant melanoma. METHODS: A
retrospective study of 107 consecutive patients who had a DEB and subsequent wide
local excision between January 2011 and June 2012 was performed. Mode of referral
and dates of diagnostic biopsy/definitive surgery were documented. Patient
demographics and tumour characteristics were reviewed. RESULTS: The mean age was
59.6 years, and male:female ratio was 1:1.3. Median duration of the SI was 41 +/-
27 days (range 6-137 days). The SI was increased when dermatologists performed
the DEB as opposed to general surgeons (p = 0.035). The anatomic location of the
lesion predicted the SI, with lesions of the head/neck undergoing definitive
excision 48 +/- 32.3 days after DEB vs. 37.5 +/- 22.6 days for all other sites (p
= 0.001). Neither demographic factors nor histopathological prognostic features
affected the SI. Reasons for a prolonged SI included referrals to different
services and time for pre-operative planning. CONCLUSIONS: Significant variations
were noted in the SI predominantly accounted for by mode of referral and location
of the malignant melanoma. Further investigation is required to elucidate factors
affecting the SI and its subsequent effect on patient outcomes.
PMID- 24917420
TI - Quality of life, fatigue and local response of patients with unstable spinal bone
metastases under radiation therapy--a prospective trial.
AB - BACKGROUND: To evaluate the local response according to stability after
radiotherapy (RT) with a special focus on quality-of-life (QoL), fatigue, pain
and emotional distress in patients with unstable spinal bone metastases. METHODS:
In this prospective trial, 30 patients were treated from September 2011 until
March 2013. The stability of osteolytic metastases in the thoracic and lumbar
spine was evaluated on the basis of the Taneichi-score after three and six
months. EORTC QLQ-BM22, EORTC QLQ-FA13, and QSC-R10 were assessed at baseline,
and three months after RT. RESULTS: After 3 months, 25% (n = 6) and after 6
months 33.3% (n = 8) were classified as stable. QoL, fatigue, and emotional
distress showed no difference over the course. The pain response 3 months after
RT showed a significant difference (p < 0.001). Pathological fractures occurred
in 8.3% of the patients (n = 2) within six months following RT. CONCLUSIONS: Our
trial demonstrated that RT can improve stability in one third of patients over a
6-months period with unstable spinal metastases. Importantly, for these patients
pain relief was detected but RT had no impact on QoL, fatigue, and emotional
distress. TRIAL REGISTRATION: Clinical trial identifier NCT01409720.
PMID- 24917421
TI - The effect of stunning methods and season on muscle texture hardness in Atlantic
salmon (Salmo salar L.).
AB - Commercially collected records of Atlantic salmon (Salmo salar L.) muscle texture
hardness were used to evaluate the effect of slaughter procedures and seasonality
on texture quality. A database collected by Marine Harvest(r) contained flesh
hardness records of Atlantic salmon slaughtered at processing plants in Norway
from summer 2010 to summer 2011. The fish were slaughtered either by (1)
percussion followed by automated bleeding ("Percussive") or (2) live chilling
with exposure to carbon dioxide (CO2 ) followed by manual severing gill arches
and bleeding ("CO2 ") or (3) live chilling with exposure to CO2 followed by
percussive stunning and at the end automated bleeding ("CO2 .percussive").
Hardness in salmon muscle cutlets was measured in Newtons (N) by Materials
Testing Machine Zwick 500N. The hardness in salmon varied significantly over the
study period (P < 0.05, mixed effect model) and showed the softest value of 21.2
(+/- 0.7) Newton (N) in summer 2011 and hardest 24.1 (+/- 0.2) N in autumn 2010.
Slaughter procedures had a significant effect on salmon muscle hardness (P <
0.05, mixed effect model), where percussion followed by automated bleeding
resulted in the hardest value (24.0 +/- 0.4 N) as compared with CO2 stunning
(21.8 +/- 0.2 N) and combination of CO2 and percussive stunning (23.1 +/- 0.15
N). CO2 is suspected as a causal factor in accelerated postmortem softening of
the salmon muscle. PRACTICAL APPLICATION: Commercial use of CO2 in combination
with live chilling results in accelerated postmortem softening of the muscle
tissue in salmon and should be avoided.
PMID- 24917423
TI - Oscars, Emmys, Tonys, and Tanners.
PMID- 24917424
TI - Shiga-toxin genes and genetic diversity of Escherichia coli isolated from
pasteurized cow milk in Brazil.
AB - This study evaluated the genetic similarity and prevalence of the stx1, stx2,
eae, and ehxA genes in Escherichia coli isolated from pasteurized cow milk.
Eighty-seven E. coli isolates from pasteurized cow milk from 22 dairies located
in northwestern Parana state, Brazil, were analyzed. Genetic similarity was
evaluated using enterobacterial repetitive intergenic consensus sequence
polymerase chain reaction (ERIC-PCR) and repetitive extragenic palindromic
sequence PCR (REP-PCR). E. coli isolates were also analyzed by PCR to investigate
the presence of the stx1, stx2, eae, and ehxA genes. ERIC-PCR and REP-PCR
clustered 87 bacterial isolates in 76 and 81 genomic profiles, respectively. Both
techniques revealed high genetic diversity among the E. coli isolates, confirming
the possibility of their use in epidemiological studies. The stx1, stx2, eae, and
ehxA virulence genes were not detected in E. coli isolates, indicating a low
prevalence of Shiga toxin-producing E. coli in milk produced in the region
studied. PRACTICAL APPLICATION: Knowledge about the presence of diarrheagenic
Escherichia coli in pasteurized milk is important developing and implementing
control measures in milk and dairy production.
PMID- 24917426
TI - Low rates of adjuvant radiation in patients with nonmetastatic prostate cancer
with high-risk pathologic features.
AB - BACKGROUND: The 2013 American Urological Association/American Society for
Radiation Oncology consensus guidelines recommend offering adjuvant radiotherapy
(RT) after radical prostatectomy in patients with high-risk pathologic features
for recurrence. In the current study, the authors examined practice patterns of
adjuvant RT use in patients with elevated pathologic risk factors over a time
period spanning the publication of supporting randomized evidence. METHODS: Using
the National Cancer Data Base, a total of 130,681 patients were identified who
underwent surgical resection for prostate cancer between 2004 and 2011 with at
least 1 of the following pathologic risk factors for early biochemical failure:
pT3a disease or higher, positive surgical margins and/or lymph node-positive
disease. Using multivariable logistic regression, the authors examined factors
associated with adjuvant RT use including patient, clinical, demographic, and
temporal characteristics. RESULTS: Adjuvant RT was administered to 9.9% of the
patients with at least 1 pathologic risk factor. Use of adjuvant RT did not
change over the study period (P = .23). On multivariable analysis, we found that
patients treated at high-volume surgical facilities were less likely to receive
adjuvant RT (15.9% vs 7.8%; odds ratio, 0.58 [95% confidence interval, 0.50
0.65]; P < .0001). Older age, comorbidities, black race, lower income, and lower
population density were also associated with lower rates of adjuvant RT.
CONCLUSIONS: Use of adjuvant RT is uncommon and remained unchanged between 2004
and 2011. Patients treated at high-volume surgical facilities are less likely to
receive adjuvant RT, irrespective of margin status.
PMID- 24917427
TI - Detection of bacteraemia and host response in healthy neonatal foals.
AB - REASONS FOR PERFORMING THE STUDY: Neonatal sepsis is a common problem in foals
and is a primary cause of death in the post natal period. Transient bacteraemia
and subsequent host responses have not been described in the equine neonate.
OBJECTIVES: The primary objective of this study was to determine if transient
bacteraemia occurs in foals within the first 72 h of life. Additional objectives
included description of bacterial organisms associated with transient bacteraemia
and concurrent cytokine gene expression in healthy foals. STUDY DESIGN:
Prospective observational study in healthy foals. METHODS: Blood was aseptically
collected for bacterial culture from observed spontaneously born foals at birth
and 1, 2, 3, 4, 8, 12, 24, 48 and 72 h following birth. Samples taken at birth,
4, 12, 24, 48 and 72 h were analysed for interferon gamma (IFNgamma), interleukin
(IL)-1, IL-2, IL-6, IL-8, IL-10, IL-18 and monocyte chemotactic protein 1 (MCP1)
cytokine gene expression quantified by RT-PCR. RESULTS: Bacteria were cultured
from 9 of 70 samples submitted for blood culture. The positive samples were from
4 of the 7 foals, all of which remained healthy throughout and subsequent to the
study. All positive blood cultures were from blood samples obtained at 12 h of
age or earlier and IL-10 elevation coincided with positive blood cultures in
healthy foals. Cytokine gene expression fluctuated with age. CONCLUSIONS:
Positive blood cultures suggest transient bacteraemia may occur in healthy foals
early in the post natal period. Age corrected normal values may be necessary to
interpret cytokine concentration in diseased populations.
PMID- 24917429
TI - Biological threat detection in the air and on the surface: how to define the
risk.
AB - The improvements in the existing methods of rapid detection and biological
pathogen surveillance are still needed. The new spectroscopic methods that rely
on the unique structural features and intrinsic fluorescence of microorganisms
are well fitted for monitoring the spread of airborne biological agents or their
reagentless detection in the air, and these methods may bring a new quality to
bioaerosols remote detection. This review describes the problem of the confidence
in the environmental testing results that may affect clearance standard, sampling
techniques, and the estimation of risk of human exposure to the low
concentrations of harmful microorganisms during bioterrorist event or naturally
occurring outbreaks. Higher recovery efficiency of dangerous biological agents
from the air and contaminated surfaces would enable more reliable environmental
human risk exposure assessment.
PMID- 24917428
TI - Role of osteopontin in systemic lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is a multisystemic disease, caused by a
variety of factors, which lead to immunological abnormalities. Osteopontin (OPN)
is a pleiotropic protein, important in bone remodeling and immune system
signaling. OPN, produced by various cells, including immune cells, plays a key
role in regulating T-helper 1/T-helper 2 balance, stimulating B lymphocytes to
produce antibodies, regulating macrophages, neutrophils and inducing dendritic
cells. OPN expression is influenced by genetic polymorphisms of its promoter,
hormones and cytokines. Over expression of OPN has been associated with the
pathogenesis of immune-mediated diseases. OPN has been implicated in the
development of murine model of lupus and in humans with SLE. In this review, I
will present current state of research on the role of OPN and OPN gene
polymorphisms in pathogenesis and clinical course of SLE. A better understanding
of the role of OPN in SLE will contribute to more precise diagnosis and treatment
of the disease.
PMID- 24917431
TI - [Not Available].
PMID- 24917430
TI - Rapid temperature-dependent wound closure following adipose fin clipping of
Atlantic salmon Salmo salar L.
AB - Three groups of Atlantic salmon were kept at a constant temperature of 4, 10 and
14 degrees C. The adipose fins were removed; six fish/group were sampled at 11
subsequent time points post-clipping. Samples were prepared for histopathological
examination to study the course of re-epithelization. A score sheet was developed
to assess the regeneration of epidermal and dermal cell types. Wounds were
covered by a thin epidermal layer between 4 and 6 h post-clipping at 10 and 14
degrees C. In contrast, wound closure was completed between 6 and 12 h in fish
held at a constant temperature of 4 degrees C. By 18 h post-clipping,
superficial cells, cuboidal cells, prismatic basal cells and mucous cells were
discernible in all temperature groups, rapidly progressing towards normal
epidermal structure and thickness. Within the observation period, only minor
regeneration was found in the dermal layers. A positive correlation between water
temperature and healing rates was established for the epidermis. The rapid wound
closure rate, epidermal normalization and the absence of inflammatory reaction
signs suggest that adipose fin clipping under anaesthesia constitutes a minimally
invasive method that may be used to mark large numbers of salmon presmolts
without compromising fish welfare.
PMID- 24917432
TI - Body shape and psychiatric diagnosis revisited.
AB - Abstract Introduction. Body shape has been aim of study by Medicine throughout
centuries to find connections between shapes and illnesses. Methods. The
objective of this systematic review was to understand the origin, as well as
update empirical research, of body constitution. Results. The study of body
constitution and of some other groups of physical signs (such as minor physical
anomalies or joint hypermobility) provides insights into the biology of mental
disorders that may result in a greater understanding of its aetiology, treatment,
and prevention. Discussion. We discuss the role of body constitution in order to
support psychiatric nosology, especially in differential diagnosis, through an
overall or holistic "body and mind" perception.
PMID- 24917433
TI - Anxiety disorders as a risk factor for subsequent depression.
AB - Abstract Introduction. Patients with comorbid depression and anxiety disorders
have a higher suicide risk, increased social and vocational dysfunction and more
severe and refractory illness. Methods. This paper reviews the studies examining
the temporal relationship between onset of anxiety disorders and depression.
Results. The highest rates of subsequent depression have been found in
generalized anxiety disorder, followed by panic disorder and social anxiety
disorder. The largest numbers of studies have been done on social anxiety
disorder. Panic attacks, separation anxiety disorder and specific phobia also
have an association with higher rates of subsequent depression in a limited
number of studies. Conclusion. Further studies are needed to investigate whether
treatment and treatment outcomes in anxiety disorders has an effect on the risk
for developing subsequent depression.
PMID- 24917434
TI - Childhood trauma and pain medication prescription in adulthood.
AB - Abstract Objective. In this study, we examined the relationships between five
types of self-reported trauma in childhood and the prescription of pain
medications in adulthood. Methods. Using a cross-sectional sample of convenience,
we surveyed 80 internal medicine outpatients with regard to five types of
childhood trauma (i.e. sexual, physical, emotional abuses; physical neglect; the
witnessing of violence). We then retrospectively examined the medical record of
each participant for active prescriptions of pain medications during the
preceding 4 weeks (i.e. narcotic analgesics, non-steroidal anti-inflammatory
drugs or NSAIDS, "other" pain medications). Results. We found that the number of
different types of abuse in childhood correlated with NSAID prescriptions,
"other" pain medication prescriptions, and the total number of prescribed pain
medications-but not with narcotic analgesic prescriptions. Also, no individual
form of childhood trauma exceeded the others in contribution. Conclusions. While
a greater number of different types of childhood trauma demonstrate an
association with a greater number of different pain medications prescribed,
according to findings in the medical record, there is no associated increase in
the prescription of narcotic analgesics. We discuss the potential implications of
these findings.
PMID- 24917435
TI - Long-term effectiveness of aripiprazole in adolescents and young adults with
bipolar disorder: A naturalistic study.
AB - Abstract Objective. The purpose of this study was to investigate the long-term
effectiveness of aripiprazole in Taiwanese samples of adolescents and young
adults with bipolar disorders. Methods. This investigation comprises a 24-week,
observational, prospective study. A total of 28 patients with bipolar I disorder,
diagnosed using the Mini International Neuropsychiatric Interview, were
administered aripiprazole. Effectiveness was assessed by the change from the
baseline in Clinical Global Impression Scale (CGI), Brief Psychiatric Rating
Scale (BPRS) and The World Health Organization Quality of Life questionnaire
(WHOQOL). Results. The sample comprised 19 males and nine females with a mean
(SD) age of 18.5 (3.3) years. The diagnosis was bipolar I disorder with manic (n
= 8), depressive (n = 6) and mixed (n = 14) episodes. Eighteen patients (64.3%)
discontinued aripiprazole prematurely. The CGI severity, BPRS total score
improved significantly from the baseline to the endpoint. Conclusion.
Aripiprazole led to clinically meaningful improvement of global symptoms in
adolescents and young adults with bipolar disorder. However, discontinuation of
medication within 6 months was high. Whether aripiparzole benefits patients with
manic episodes more than those with depressive or mixed episodes warrants further
investigation.
PMID- 24917436
TI - Comparison of DRD2 rs1800497 (TaqIA) polymorphism between schizophrenic patients
and healthy controls: Lack of association in a Turkish sample.
AB - Abstract Objective. The association of DRD2 rs1800497 (TaqIA) polymorphisms and
schizophrenia has been studied in a number of populations, but the results are
contradictory. We aimed to define Taq IA allelic differences between
schizophrenic and healthy subjects. Methods. The schizophrenic group consisted of
99 schizophrenic inpatients, diagnosed and treated at Gazi University Hospital
Psychiatry Service, the healthy group was composed of 109 subjects who did not
suffer from any psychiatric or organic diseases. High molecular weight genomic
DNAs were prepared from peripheral venous blood cells by using proteinase K
digestion followed by salt extraction method. Target DNA amplification of DRD2
gene (Taq1A, 310-bp region) was performed by polymerase chain reaction (PCR) with
the primers 5014 and 971. Results. Of the 208 subjects involved in the study,
98.6% had A1 allele (hetero- or homo-zygote) and 1.4% had A2 allele (homozygote).
While all schizophrenia patients had A1 allele, 97.2%, of the healthy subjects
(n=106) had A1 allele and there was no significant difference between the groups.
Conclusion. This study was the first study related to DRD2 polymorphism conducted
in a Turkish schizophrenic patient sample. A great percentage of our sample has
A1 allele. Our study could not find a significant association between
schizophrenia and DRD2 rs1800497 polymorphism.
PMID- 24917437
TI - Alteration of serum bilirubin level in schizophrenia.
AB - Abstract Objective. Alteration of serum bilirubin level in acute episodes of
psychosis in patients with schizophrenia has been reported but the pattern of
this alteration is controversial. Methods. Patients diagnosed as schizophrenia
(162, group S) or bipolar disorder (155, group B) entered the study. The control
group consisted of 95 patients admitted to cardiac care unit who had no personal
or family history of major psychiatric disorders. Pre- and post-admission levels
of bilirubin were measured and compared within and between the groups. Patients
were examined to exclude all other causes of hyperbilirubinemia. Group S and B
participants were also evaluated using positive and negative syndrome subscale
(PANSS) both at admission and discharge. Results. The mean admission bilirubin
levels of all the groups were in the normal range (significantly higher in group
S than groups B and C) and were affected by the score of general psychopathology
subscale rather than the scores of positive symptoms subscale. Conclusions.
Although bilirubin decreased in all three groups at discharge, the rate of
decrease was significantly higher in group S. The reason for this is not clear
and needs further study.
PMID- 24917438
TI - Elevated salivary alpha-amylase and cortisol levels in unremitted and remitted
depressed patients.
AB - Abstract Objective. Major depressive disorder (MDD) is often associated with
dysregulation of the hypothalamic-pituitary-adrenal (HPA) axis via chronic
stress. Psychosocial stress-induced activation of salivary alpha-amylase (sAA)
represents sympathoadrenal medullary system (SAM) activity, and sAA has become an
emerging biomarker for sympathetic nervous system activity. In contrast to
salivary cortisol, sAA has been less extensively studied in depressed patients.
The present study sought to address this problem by measuring sAA and salivary
cortisol levels in patients with major depressive disorder. Methods. The authors
recorded Spielberger State-Trait Anxiety Inventory (STAI) scores along with,
levels of sAA and salivary cortisol in 28 patients with unremitted major
depressive disorder, 43 remitted patients and 103 healthy volunteers. Results.
STAI (State or Trait) measurements in unremitted patients with MDD were
significantly increased compared with healthy controls and remitted patients. SAA
and cortisol levels in unremitted patients were also significantly elevated
compared to controls and remitted patients. Finally, sAA levels were
significantly correlated with HRSD in unremitted patients with MDD. Conclusion.
These preliminary results suggest that sAA may be a state-dependent marker of
major depressive disorder in addition to salivary cortisol.
PMID- 24917439
TI - Telephone-based nursing strategy to improve adherence to antipsychotic treatment
in schizophrenia: A controlled trial.
AB - Abstract Objective. Adherence to prescribed antipsychotic medication is a major
factor in achieving optimal long-term clinical outcomes. The aim of this study
was to evaluate the impact of a telephone-based strategy provided by a nurse on
adherence to antipsychotic treatment among patients with schizophrenia. Methods.
A total of 928 clinically stable outpatients with schizophrenia were randomized
to receive a monthly telephone call by a nurse or routine clinical care.
Telephone calls were performed at weeks 4, 8, and 12, consisting of a
standardized interview to detect and assess therapeutic adherence and subjective
attitude towards medication. Patients were followed for 4 months. A cut-point of
>= 60% of prescribed dose was used to classify patients as being adherent.
Results. At week 16, a significantly higher percentage of patients who received a
telephone-based follow-up (96.7%, n = 410) were classified as adherent compared
to the control group (91.2%, n = 402) (P = 0.0007). Patients in the intervention
group were significantly more likely to be adherent than control group (adjusted
OR = 3.3 95% CI 1.6-6.6, P = 0.0001). Conclusions. Telephone-based nursing
strategy showed a significant improvement in adherence to antipsychotic drugs.
Further studies are necessary to confirm if this kind of intervention could be a
complementary strategy to optimize adherence in patients with schizophrenia.
PMID- 24917440
TI - Validity of the self-reported smoking status of schizophrenia patients, taking
gender-related differences into consideration.
AB - Abstract Objective. It is unclear whether self-reported smoking status reflects
the true smoking status in schizophrenia patients. This study aims to evaluate
the consistency in smoking status in schizophrenia patients, as determined using
self-reported questionnaires and objective measurements, while considering gender
related differences. Methods. The study involved 158 Japanese schizophrenia
patients (79 men and 79 women), who were diagnosed according to DSM-IV-TR
criteria. The patients answered questions about their smoking status and daily
cigarette consumption. The carbon monoxide (CO) level in the expired air was
measured to confirm the self-reported answers. We descriptively analysed the data
and assessed the proportion of results showing consistency between the self
reported smoking status and measured CO levels. Results. The self-reported
smoking status was consistent with the objective measurement results in both
genders. Among the various cut-off CO levels considered, 7 ppm was found to be
the optimal level in both men and women. Conclusions. Our study showed that self
reporting is a reliable method for evaluating the smoking status of schizophrenia
patients, regardless of gender. However, for precise assessment on the basis of
CO levels in expired air, lower cut-off points may be better than the recommended
ones.
PMID- 24917441
TI - The self-assessment Global Quality of Life scale: Reliability and construct
validity.
AB - Abstract Objective. The aim was to establish psychometric properties of the
Global Quality of Life Scale (GQL) for people with severe mental illness.
Methods. GQL is a stand-alone visual analogue scale included in "The Quality
Star", a minimal platform for clinical follow-up and efficiency documentation of
mental health services in eight dimensions widely used in Sweden. Validating
instruments included MANSA, Inventory of Problem and Solutions, Consumer
Satisfaction Rating Scale, Perceived Global Distress, health screening using UKU
Side Effect Rating Scale, GAF, and Perceived Global Burden (for next of kin).
Test-retest reliability of the GQL was examined between ratings at quarterly
intervals during 1 year. Results. There were three main results: test-retest
reliability at quarterly intervals was very satisfactory, concurrent validity
with the initial item of life satisfaction scale of MANSA, "Life as a whole", was
demonstrated and finally content validity was clarified by associations with a
number of validating measures from several contexts in three studies. Conclusion.
GQL have acceptable psychometric properties and is valid for serious mental ill
persons. Its use as easy-to-use instrument for screening of perceived global
quality of life was supported.
PMID- 24917442
TI - Mixed anxiety and depressive disorder before and after psychodynamic group
psychotherapy: a 1-year follow-up study.
AB - Abstract Objective. The aim of our study was to observe the outcome of MADD in
comparison with depressive (DD) and anxiety (AD) disorders. Method. Patients
treated with 12 weeks of group psychodynamic psychotherapy in a psychiatric day
care ward were examined using SCAN 2.1 at admission and 1 year after admission.
Treatment was indicated on the basis of diagnosis of ICD-10 - F4-F6. A total of
139 patients were included, 110 (79.1%) of whom were examined at the follow-up
point. Results. The prevalence of MADD increased from 22.7% at the baseline to
33.6% at the end. The outcome of MADD was statistically different from the
outcome of DD (chi(2)=18.4, P=0.0025), but not different from the outcome of
comorbid DD and AD (chi(2)=1.8, P=0.84), nor generalized anxiety disorder
(chi(2)=8.1, P=0.15), nor other AD (chi(2)=5.3, P=0.38). Conclusion. MADD is a
useful diagnosis of a transitional or residual form of comorbid DD and AD in some
specific population groups. A diagnosis of personality disorder can sustain long
term diagnosis of MADD.
PMID- 24917443
TI - Antidepressant monotherapy and combination of antidepressants in the treatment of
resistant depression in current clinical practice: A retrospective study.
AB - Abstract Objectives. The aim of this study was to compare efficacy of
antidepressant monotherapies and combinations of antidepressants in the treatment
of resistant patients in current clinical practice. Methods. We reviewed chart
documents of resistant depressive inpatients treated at least 4 weeks with a new
treatment. Depressive symptoms and clinical status were assessed using Montgomery
and Asberg Depression Rating Scale (MADRS), Beck Depression Inventory-Short Form
and Clinical Global Impression at the baseline, week 2 and in the end of
treatment. Results. We identified 81 patients (27 with combinations and 51 with
monotherapies) that were suitable for analyses. The combination group achieved
higher reduction of MADRS score (14.6 vs 10.2 pts., p=0.02) and response rate (>=
50% reduction of MADRS, 67% vs 39%, p=0.03). Number needed to treat for response
was 4. Conclusions. Based on our results, we suggest that combination of
antidepressants might be more effective than monotherapy in clinical practice.
PMID- 24917444
TI - Bright light therapy: Minimizing light induced side effects with an innovative
light setup.
AB - Abstract Objective. Bright light therapy (BLT) is regarded to be an effective
treatment against seasonal depression (SAD). Conventional BLT devices are
reported to evoke few, but inconvenient symptoms. This study evaluated side
effects associated with a new technology for BLT in a healthy population.
Methods. In an uncontrolled study design 20 healthy Caucasians received 30 min
light exposures on three consecutive mornings. Immediate side effects were
evaluated using questionnaires. The new light cabin was equipped with fluorescent
lamps (light colour 965 = 6,500 K, CRI >90) with a maximum illumination of 5,000
lux and a maximum luminance of 1,500 cd/m(2). Occurrence of headache was
determined to be the main objective. Results. Nineteen volunteers completed the
study. No headache was reported at any time. With a prevalence of 21.1% blurring
was observed to occur more often after light exposure. Conclusion. With the
evaluated light cabin the most prominent short-term side effects of BLT can be
minimized, enhancing patients' adherence.
PMID- 24917445
TI - The relationship between medicine, spirituality and religion: three models for
integration.
AB - The integration of medicine and religion is challenging for historical, ethical,
practical and conceptual reasons. In order to make more explicit the bases and
goals of relating spirituality and medicine, we distinguish here three
complementary perspectives: a whole-person care model that emphasizes teamwork
among generalists and spiritual professionals; an existential functioning view
that identifies a role for the clinician in promoting full health, including
spiritual well-being; and an open pluralism view, which highlights the importance
of differing spiritual and cultural traditions in shaping the relationship.
PMID- 24917446
TI - Insulin-like factor 3 plasma levels in acromegaly before and after somatostatin
analog treatment.
PMID- 24917447
TI - The association of atopy with incidence of ischemic heart disease, stroke, and
diabetes.
AB - Allergy is a systemic inflammatory disease that could theoretically affect the
risk of cardiovascular disease (CVD) and diabetes through inflammatory pathways
or mast cell-induced coronary spasm. Whether allergy is associated with an
increased risk of CVD and diabetes is largely unknown. We investigated the
association between atopy as assessed by IgE sensitization, a well-accepted
biomarker of allergy, and incidence of ischemic heart disease, stroke, and
diabetes in five Danish population-based cohorts. A total of 14,849 participants
were included in the study. Atopy was defined as serum-specific IgE positivity to
inhalant allergens. The Danish National Diabetes Register enabled identification
of incident diabetes. Likewise, the Danish Registry of Causes of Death and the
Danish National Patient Register provided information on fatal and non-fatal
ischemic heart disease and stroke. Data were analyzed by Cox regression analyses
with age as underlying time axis and adjusted for study cohort, gender,
education, body mass index, alcohol intake, smoking habits, physical activity
during leisure time, serum lipids, and blood pressure. The prevalence of atopy
was 26.9 % (n = 3,994). There were 1,170, 817, and 1,063 incident cases of
ischemic heart disease, stroke, and diabetes, respectively (median follow-up 11.2
years). The hazard ratios, HRs (95 % confidence intervals, CIs) for atopics
versus non-atopics: for ischemic heart disease (HR 1.00, 95 % CI 0.86, 1.16),
stroke (HR 1.18, 95 % CI 0.99, 1.41), and diabetes (HR 1.06, 95 % CI 0.91, 1.23).
Our results did not support the hypothesis that atopy is associated with higher
risk of ischemic heart disease, stroke, or diabetes. However, a small-moderately
increased risk cannot be excluded from our data.
PMID- 24917448
TI - Inhibition of mTORC1 inhibits lytic replication of Epstein-Barr virus in a cell
type specific manner.
AB - BACKGROUND: Epstein-Barr virus is a human herpesvirus that infects a majority of
the human population. Primary infection of Epstein-Barr virus (EBV) causes the
syndrome infectious mononucleosis. This virus is also associated with several
cancers, including Burkitt's lymphoma, post-transplant lymphoproliferative
disorder and nasopharyngeal carcinoma. As all herpesvirus family members, EBV
initially replicates lytically to produce abundant virus particles, then enters a
latent state to remain within the host indefinitely. METHODS: Through a genetic
screen in Drosophila, we determined that reduction of Drosophila Tor activity
altered EBV immediate-early protein function. To further investigate this
finding, we inhibited mTOR in EBV-positive cells and investigated subsequent
changes to lytic replication via Western blotting, flow cytometry, and
quantitative PCR. The student T-test was used to evaluate significance. RESULTS:
mTOR, the human homolog of Drosophila Tor, is an important protein at the center
of a major signaling pathway that controls many aspects of cell biology. As the
EBV immediate-early genes are responsible for EBV lytic replication, we examined
the effect of inhibition of mTORC1 on EBV lytic replication in human EBV-positive
cell lines. We determined that treatment of cells with rapamycin, which is an
inhibitor of mTORC1 activity, led to a reduction in the ability of B cell lines
to undergo lytic replication. In contrast, EBV-positive epithelial cell lines
underwent higher levels of lytic replication when treated with rapamycin.
CONCLUSIONS: Overall, the responses of EBV-positive cell lines vary when treated
with mTOR inhibitors, and this may be important when considering such inhibitors
as anti-cancer therapeutic agents.
PMID- 24917449
TI - Conditioning out-of-date bank-stored red blood cells using a cell-saver auto
transfusion device: effects on numbers of red cells and quality of suspension
fluid.
AB - We investigated the utility of a cell-saver device for processing out-of-date red
blood cells, by washing twenty bags of red blood cells that had been stored for
between 36 and 55 days. The volume of recovered cells, and the characteristics of
the suspension fluid, were measured before and after treatment. The ratio of free
haemoglobin to total haemoglobin was up to 0.02 before processing, and up to
0.011 afterwards, changing by between -0.013 and +0.003. This ratio met the
current standard for free haemoglobin (less than 0.008 in more than 75% of
samples), both before and after processing. Ninety-three percent of red blood
cells survived the process. Potassium ion concentration fell from above 15
mmol.l(-1) in all cases, to a mean of 6.4 mmol.l(-1) (p < 0.001). The pH rose to
a mean value of 6.44 (p = 0.001). Lactate ion concentration fell to a mean value
of 14 mmol.l(-1) (p < 0.001). Sodium ion concentration rose from a mean value of
93 mmol.l(-1) to a mean value of 140 mmol.l(-1) (p < 0.001). A useful proportion
of out-of-date red blood cells remained intact after conditioning using a cell
saver, and the process lowered concentrations of potentially toxic solutes in the
fluid in which they were suspended.
PMID- 24917450
TI - Ventilator-integrated jet nebulization systems: tidal volume control and
efficiency of synchronization.
AB - BACKGROUND: Jet nebulizers constitute the aerosolization devices most frequently
used during mechanical ventilation. Continuous nebulization can influence the
delivered tidal volume (V(T)) and lead to significant medication loss during
expiration. Ventilators thus provide integrated jet nebulization systems that are
synchronized during inspiration and ostensibly keep VT constant. METHODS: This
was a bench study of systems integrated in the Evita XL, Avea, Galileo, and G5
ventilators. The VT delivered with and without nebulization, the inspiratory
synchronization of nebulization, and the aerosol deposition were measured with 2
locations of the nebulizer. RESULTS: Changes in V(T) with the nebulizer were
below 20 mL and below 10% of set V(T) for all ventilators. Synchronization was
good at the beginning of insufflation, but prolonged nebulization was observed
with all ventilators at the end of insufflation, until up to 1 s during
expiration: 5-80% of nebulization occurred during expiration with significant
aerosol loss in the expiratory limb. Synchrony could be improved by (1) reducing
gas compression/decompression phenomena proximal to the jet nebulizer and (2)
increasing inspiratory time, which reduced the amount of nebulization occurring
during expiration. Placing the nebulizer upstream in the inspiratory limb did not
affect inspiratory synchrony but allowed reduction of the amount of aerosol lost
in the expiratory limb. CONCLUSIONS: Jet nebulizer systems integrated in the
tested ventilators are reliable in terms of V(T) control. Gas compression in
tubing driving gas to the nebulizer delays synchronization and reduces
nebulization yield if the nebulizer is placed close to the Y-piece. Increasing
inspiratory time with no end-inspiratory pause reduces the expiratory loss of
medication if placement of the nebulizer upstream in the inspiratory limb is not
feasible.
PMID- 24917451
TI - Sleep apnea risk in subjects with asthma with or without comorbid rhinitis.
AB - BACKGROUND: As many as 80% of patients with asthma suffer from allergic rhinitis
(AR), and rhinitis symptoms are associated with sleep complaints The aim of this
cross-sectional study was to assess the prevalence of obstructive sleep apnea
syndrome risk in patients with asthma and to explore the association between
comorbid rhinitis and obstructive sleep apnea syndrome risk. METHODS: Subjects
with asthma were recruited by general practitioners during a control visit.
Physicians compiled a questionnaire that assessed the presence of AR according to
ARIA (Allergic Rhinitis and Its Impact on Asthma) guidelines and factors
influencing the risk of obstructive sleep apnea syndrome (gastroesophageal reflux
disease, obesity, smoking). Subjects completed a questionnaire evaluating the
presence and severity of AR and the STOP-BANG questionnaire (snoring, tiredness
during daytime, observed apnea, high blood pressure, body mass index, age, neck
circumference, gender), a validated screening method to identify obstructive
sleep apnea syndrome risk. Physicians were blinded to the subjects'
questionnaires, ensuring objectivity of the method. RESULTS: The analyses were
conducted on 1,941 subjects (males 58%, mean age 48.2 +/- 15.2 y): 740 with
asthma alone and 1,201 with asthma and AR. STOP-BANG revealed that 52.6% of the
subjects were at increased risk of obstructive sleep apnea syndrome: 47.3% of
subjects with asthma alone and 55.9% of patients with asthma and AR. Rhinitis was
associated with a 1.44 times higher odds ratio for having obstructive sleep apnea
syndrome risk. Rhinitis duration and severity were associated with obstructive
sleep apnea syndrome risk, although the latter deserved greater importance. The
results showed that, once a correction for each of these factors was performed,
subjects with AR with an odds ratio of 1.99 were reported to be at risk of
obstructive sleep apnea syndrome. CONCLUSIONS: The probable increased risk of
obstructive sleep apnea syndrome is associated with the concomitant presence of
rhinitis, independent of obesity and other contributors to risk of obstructive
sleep apnea syndrome.
PMID- 24917452
TI - Clinical use of the volume-time curve for endotracheal tube cuff management.
AB - BACKGROUND: Previous investigation showed that the volume-time curve technique
could be an alternative for endotracheal tube (ETT) cuff management. However, the
clinical impact of the volume-time curve application has not been documented. The
purpose of this study was to compare the occurrence and intensity of a sore
throat, cough, thoracic pain, and pulmonary function between these 2 techniques
for ETT cuff management: volume-time curve technique versus minimal occlusive
volume (MOV) technique after coronary artery bypass grafting. METHODS: A total of
450 subjects were randomized into 2 groups for cuff management after intubation:
MOV group (n = 222) and volume-time curve group (n = 228). We measured cuff
pressure before extubation. We performed spirometry 24 h before and after
surgery. We graded sore throat and cough according to a 4-point scale at 1, 24,
72, and 120 h after extubation and assessed thoracic pain at 24 h after
extubation and quantified the level of pain by a 10-point scale. RESULTS: The
volume-time curve group presented significantly lower cuff pressure (30.9 +/- 2.8
vs 37.7 +/- 3.4 cm H2O), less incidence and intensity of sore throat (1 h, 23.7
vs 51.4%; and 24 h, 18.9 vs 40.5%, P < .001), cough (1 h, 19.3 vs 48.6%; and 24
h, 18.4 vs 42.3%, P < .001), thoracic pain (5.2 +/- 1.8 vs 7.1 +/- 1.7), better
preservation of FVC (49.5 +/- 9.9 vs 41.8 +/- 12.9%, P = .005), and FEV1 (46.6 +/
1.8 vs 38.6 +/- 1.4%, P = .005) compared with the MOV group. CONCLUSIONS: The
subjects who received the volume-time curve technique for ETT cuff management
presented a significantly lower incidence and severity of sore throat and cough,
less thoracic pain, and minimally impaired pulmonary function than those subjects
who received the MOV technique during the first 24 h after coronary artery bypass
grafting.
PMID- 24917453
TI - Pulmonary rehabilitation improves subjective sleep quality in COPD.
AB - BACKGROUND: Poor sleep quality is often reported among patients with COPD.
Pulmonary rehabilitation (PR) is beneficial in improving exercise capacity and
health-related quality of life (HRQOL). However, its benefit in terms of sleep
quality in patients with COPD remains unclear. This study aimed to investigate
the effects of PR on sleep quality of patients with COPD. METHODS: Thirty-four
subjects with COPD were studied. All subjects participated in a 12-week (2
sessions/week) hospital-based out-patient PR study. Baseline and post-PR status
were evaluated by spirometry, a sleep questionnaire (Pittsburgh Sleep Quality
Index [PSQI]), a disease-specific questionnaire of HRQOL (St George Respiratory
Questionnaire [SGRQ]), cardiopulmonary exercise testing, respiratory muscle
strength, and the Borg dyspnea scale. RESULTS: Mean FEV1/FVC in the subjects was
0.49 +/- 0.13, and the mean FEV1 was 1.06 +/- 0.49 L/min (49.7 +/- 18.0% of
predicted). After PR, the PSQI score decreased from 9.41 +/- 4.33 to 7.82 +/-
3.90 (P < .001). The number of subjects with a PSQI score > 5 also decreased
(85.3-64.7%, P = .006). There were significant improvements in HRQOL (SGRQ, P =
.003), exercise capacity (peak oxygen uptake, P < .001; and work rate, P < .001),
dyspnea score (P < .001), and respiratory muscle strength (inspiratory muscle
strength, P = .005; and expiratory muscle strength, P = .004) after PR. There
were no significant changes in pulmonary function test results (FEV1, P = .77;
FVC, P = .90; FEV1/FVC, P = .90). CONCLUSIONS: PR results in significant
improvement in sleep quality, along with concurrent improvements in HRQOL and
exercise capacity. PR is an effective nonpharmacologic treatment to improve sleep
quality in patients with COPD and should be part of their clinical management.
PMID- 24917454
TI - Intermittent aerosol delivery to the lungs during high-flow nasal cannula
therapy.
AB - INTRODUCTION: Use of submicrometer particles combined with condensational growth
techniques has been proposed to reduce drug losses within components of high-flow
nasal cannula therapy systems and to enhance the dose reaching the lower
respiratory tract. These methods have been evaluated using continuous inhalation
flow rather than realistic inhalation/exhalation breathing cycles. The goal of
this study was to evaluate in vitro aerosol drug delivery using condensational
growth techniques during high-flow nasal cannula therapy using realistic
breathing profiles and incorporating intermittent aerosol delivery techniques.
METHODS: A mixer-heater combined with a vibrating mesh nebulizer was used to
generate a submicrometer aerosol using a formulation of 0.2% albuterol sulfate
and 0.2% sodium chloride in water. Delivery efficiency of the aerosol for 1 min
through a nasal cannula was considered using an intermittent delivery regime with
aerosol being emitted for either the entire inhalation time (2 s) or half of the
inhalation period (1 s) and compared with continuous delivery. The deposition of
the aerosol was evaluated in the nasal delivery components (ventilator tubing and
cannula) and an in vitro adult nose-mouth-throat (NMT) model using 3 realistic
breathing profiles. RESULTS: Significant improvements in dose delivered to the
exit of the NMT model (ex-NMT) were observed for both condensational growth
methods using intermittent aerosol delivery compared with continuous delivery,
and increasing the tidal volume was found useful. The combination of the largest
tidal volume with the shortest intermittent delivery time resulted in the lowest
respiration losses and the highest ex-NMT delivered dose. CONCLUSIONS:
Intermittent aerosol delivery using realistic breathing profiles of submicrometer
condensational growth aerosols was found to be efficient in delivering nasally
administered drugs in an in vitro airway model.
PMID- 24917455
TI - Persistence of mucosal T-cell responses to herpes simplex virus type 2 in the
female genital tract.
AB - Relatively little is known about the human T-cell response to herpes simplex
virus type 2 (HSV-2) in the female genital tract, a major site of heterosexual
HSV-2 acquisition, transmission, and reactivation. In order to understand the
role of local mucosal immunity in HSV-2 infection, T-cell lines were expanded
from serial cervical cytobrush samples from 30 HSV-2-infected women and examined
for reactivity to HSV-2. Approximately 3% of the CD3+ T cells isolated from the
cervix were HSV-2 specific and of these, a median of 91.3% were CD4+, whereas a
median of 3.9% were CD8+. HSV-2-specific CD4+ T cells expanded from the cervix
were not only more frequent than CD8+ T cells but also exhibited greater breadth
in terms of antigenic reactivity. T cells directed at the same HSV-2 protein were
often detected in serial cervical cytobrush samples and in blood. Thus, broad and
persistent mucosal T-cell responses to HSV-2 were detected in the female genital
tract of HSV-2+ women suggesting that these cells are resident at the site of HSV
2 infection. Understanding the role of these T cells at this biologically
relevant site will be central to the elucidation of adaptive immune mechanisms
involved in controlling HSV-2 disease.
PMID- 24917456
TI - A role for CCL28-CCR3 in T-cell homing to the human upper airway mucosa.
AB - Lymphocyte recruitment to peripheral tissues is fundamental for immune
surveillance and homeostasis, but the chemokines and chemokine receptors
responsible for tissue-specific homing of T cells to the upper airway mucosa have
not been determined. To address this, we analyzed the chemokines expressed in the
normal human nasal mucosa and found that CCL28 is preferentially expressed at a
high level on the lumenal face of vascular endothelial cells in the mucosa.
Analysis of the cognate chemokine receptors revealed that close to 50% of the
CD4(+) T cells in the human nasal mucosa expressed the CCL28 receptor CCR3,
whereas CCR3 was hardly detectable on T cells in the small intestine and skin. In
the circulation, CCR3(+) T cells comprised a small subset that did not express
homing receptors to the intestine or skin. Moreover, depletion of CCR3(+)CD4(+) T
cells abrogated the proliferative response of human blood CD4(+) T cells against
the opportunistic nasopharyngeal pathogen Haemophilus influenzae, indicating that
the CCR3(+)CD4(+) T-cell subset in the circulation contains antigen specificities
relevant for the upper airways. Together, these findings indicate that CCL28-CCR3
interactions are involved in the homeostatic trafficking of CD4(+) T cells to the
upper airways.
PMID- 24917458
TI - Novel validated spectrofluorimetric methods for the determination of taurine in
energy drinks and human urine.
AB - Two sensitive, selective, economic and validated spectrofluorimetric methods were
developed for the determination of taurine in energy drinks and spiked human
urine. Method Iota is based on fluorimetric determination of the amino acid
through its reaction with Hantzsch reagent to form a highly fluorescent product
measured at 490 nm after excitation at 419 nm. Method IotaIota is based on the
reaction of taurine with tetracyanoethylene yielding a fluorescent charge
transfer complex, which was measured at lambdaex /em of (360 nm/450 nm). The
proposed methods were subjected to detailed validation procedures, and were
statistically compared with the reference method, where the results obtained were
in good agreement. Method Iota was further applied to determine taurine in energy
drinks and spiked human urine giving promising results. Moreover, the
stoichiometry of the reactions was studied, and reaction mechanisms were
postulated.
PMID- 24917457
TI - Short-chain fatty acids induce both effector and regulatory T cells by
suppression of histone deacetylases and regulation of the mTOR-S6K pathway.
AB - Microbial metabolites, such as short-chain fatty acids (SCFAs), are highly
produced in the intestine and potentially regulate the immune system. We studied
the function of SCFAs in the regulation of T-cell differentiation into effector
and regulatory T cells. We report that SCFAs can directly promote T-cell
differentiation into T cells producing interleukin-17 (IL-17), interferon-gamma,
and/or IL-10 depending on cytokine milieu. This effect of SCFAs on T cells is
independent of GPR41 or GPR43, but dependent on direct histone deacetylase (HDAC)
inhibitor activity. Inhibition of HDACs in T cells by SCFAs increased the
acetylation of p70 S6 kinase and phosphorylation rS6, regulating the mTOR pathway
required for generation of Th17 (T helper type 17), Th1, and IL-10(+) T cells.
Acetate (C2) administration enhanced the induction of Th1 and Th17 cells during
Citrobacter rodentium infection, but decreased anti-CD3-induced inflammation in
an IL-10-dependent manner. Our results indicate that SCFAs promote T-cell
differentiation into both effector and regulatory T cells to promote either
immunity or immune tolerance depending on immunological milieu.
PMID- 24917459
TI - Quantified sex: a critical analysis of sexual and reproductive self-tracking
using apps.
AB - Digital health technologies are playing an increasingly important role in
healthcare, health education and voluntary self-surveillance, self-quantification
and self-care practices. This paper presents a critical analysis of one digital
health device: computer apps used to self-track features of users' sexual and
reproductive activities and functions. After a review of the content of such apps
available in the Apple App Store and Google PlayTM store, some of their
sociocultural, ethical and political implications are discussed. These include
the role played by these apps in participatory surveillance, their configuration
of sexuality and reproduction, the valorising of the quantification of the body
in the context of neoliberalism and self-responsibility, and issues concerning
privacy, data security and the use of the data collected by these apps. It is
suggested that such apps represent sexuality and reproduction in certain defined
and limited ways that work to perpetuate normative stereotypes and assumptions
about women and men as sexual and reproductive subjects. Furthermore there are
significant ethical and privacy implications emerging from the use of these apps
and the data they produce. The paper ends with suggestions concerning the
'queering' of such technologies in response to these issues.
PMID- 24917460
TI - PKCdelta phosphorylation is an upstream event of GSK3 inactivation-mediated ROS
generation in TGF-beta1-induced senescence.
AB - Transforming growth factor beta1 (TGF-beta1) induces Mv1Lu cell senescence
through inactivating glycogen synthase kinase 3 (GSK3), thereby inactivating
complex IV and increasing intracellular ROS. In the present study, we identified
protein kinase C delta (PKCdelta) as an upstream regulator of GSK3 inactivation
in this mechanism of TGF-beta1-induced senescence. When Mv1Lu cells were exposed
to TGF-beta1, PKCdelta phosphorylation simultaneously increased with GSK3
phosphorylation, and then AKT and ERK were phosphorylated. AKT phosphorylation
and Smad signaling were independent of GSK3 phosphorylation, but ERK
phosphorylation was downstream of GSK3 inactivation. TGF-beta1-triggered GSK3
phosphorylation was blocked by inhibition of PKCdelta, using its pharmacological
inhibitor, Rottlerin, or overexpression of a dominant negative PKCdelta mutant,
but GSK3 inhibition with SB415286 did not alter PKCdelta phosphorylation.
Activation of PKCdelta by PMA delayed cell growth and increased intracellular ROS
level, but did not induce senescent phenotypes. In addition, overexpression of
wild type or a constitutively active PKCdelta mutant was enough to delay cell
growth and decrease the mitochondrial oxygen consumption rate and complex IV
activity, but weakly induce senescence. However, PMA treatment on Mv1Lu cells,
which overexpress wild type and constitutively active PKCdelta mutants,
effectively induced senescence. These results indicate that PKCdelta plays a key
role in TGF-beta1-induced senescence of Mv1Lu cells through the phosphorylation
of GSK3, thereby triggering mitochondrial complex IV dysfunction and
intracellular ROS generation.
PMID- 24917461
TI - A simple model of cortical culture growth: burst property dependence on network
composition and activity.
AB - This paper describes large-scale simulations of growth, network formation, and
behavior in cultures of dissociated cortical cells. A neuron model that
incorporates synaptic facilitation/depression and neurite outgrowth/retraction
was used to construct virtual cultures of 10,000 cells whose spiking behavior and
evolution were investigated in closed-loop simulations. This approach allows us
to perform detailed analysis of the effects of model parameters on burst shape
and timing, their changes, and the interrelationship among these behaviors, gross
network structure, and model parameters. We examined the effects of two
parameters--network composition (fraction of excitatory cells) and neuron
excitability (activity level corresponding to neurite outgrowth equilibrium)--on
network structure and behavior. Our results suggest that much of the burst shape
and timing observed in vitro can be explained by a model that includes only
closed-loop neurite outgrowth and dynamic synapses; features such as LTP/LTD,
random connectivity, long-distance connections, and detailed neurite topology are
not necessary.
PMID- 24917462
TI - Treatment of proximal humeral fractures with reverse shoulder arthroplasty in
elderly patients.
AB - BACKGROUND: Proximal humeral fractures in four or even only three parts, with
metaphyseal hinge distances of <8 mm, represent a serious and widely debated
problem. Reduction is complex and plating is often instable, especially in
elderly patients. Failures, sometimes involving necrosis of the head, are
frequent. Hemiarthroplasty has long been used for 3- or 4-part complex fractures,
even in young patients, although often with sub-optimal results, due to
reabsorption of tuberosities. This complication has partly been overcome with
reverse shoulder prostheses which, although more invasive than partial ones, may
lead to less disappointing results, even in cases of reabsorption of
tuberosities. We have data on a homogeneous series of patients treated with
reverse shoulder arthroplasty for proximal fractures, with a maximum follow-up of
10 years. The aim of this study was mainly to identify which cases can be
selected for effective treatment and which technical aspects are best to adopt.
MATERIALS AND METHODS: There were 33 patients in this study, mean age 76.6 years
(range 54-85). Fractures were classified according to Neer. Surgery was
undertaken on average 4.4 days after trauma. The deltopectoral approach was used.
Sutures were hooked over the major and lesser tubercles for later reduction and
fixation after the prosthesis had been applied. This passage was sometimes not
possible in cases of serious degeneration of the rotator cuff. One day after
surgery, a shoulder brace providing an abducted angle of 15 degrees was applied
for 30 days. Patients were re-assessed with DASH and Constant scores (CS), and
the ratio between healthy and operated shoulders was calculated. Physical
examination was followed by X-rays, mainly to evaluate and classify any
infraglenoid scapular notching according to Nerot. RESULTS: Mean follow-up was
42.3 months (range 10-121). According to the CS, mean pain was 12.6/15 (range 3
15/15), activities of daily living 16.3/20 (range 8-20/20), ROM 21.8 (range 8
32/40) and power 5.4/25 (range 2-12/25). Total mean CS was 56.4 (range 23
80/100). The mean DASH score was 49.7 (range 32-90). The ratio of the CS
parameters between opposite and operated shoulders was on average 72.8 % (range
28-90 %). Long-term complications were eight cases of scapular notching (24.2 %)
of which four of grade 2 (12.1 %) and four of grade 1 (12.1 %). CONCLUSIONS:
Total reverse prostheses are more invasive because they also compromise the
glenoid surface of the scapula, but they do offer good stability, even in cases
of damage to the rotator cuff. Reverse prostheses have great advantages as
regards to ROM, allowing functional recovery, which is good in cases with re
insertion of tuberosities, and acceptable in cases when tuberosities are not re
inserted or resorbed. In our cases, the first 3 reverse prostheses lasted 10, 8.3
and 7.3 years, and we believe that they will become increasingly long-lived, so
that applying them in cases of complex fractures becomes more feasible. We prefer
the deltopectoral approach because it can reduce and stabilize possible intra
operative diaphyseal fractures. Possible scapular notching must be foreseen when
inserting the glenosphere. We had eight cases (24.2 %), of which four were Nerot
grade 1 and four were grade 2. Applying the Kirschner wire in an infero-anterior
position allows the glenosphere to be lowered with a tilt of 10 degrees . Reverse
prostheses are suitable for 3- or 4-part complex proximal humeral fractures in
patients over 65. Prolonged physiokinesitherapy is essential.
PMID- 24917463
TI - MicroRNA expression signatures for the prediction of BRCA1/2 mutation-associated
hereditary breast cancer in paraffin-embedded formalin-fixed breast tumors.
AB - Screening for germline mutations in breast cancer-associated genes BRCA1 and
BRCA2 is indicated for patients with breast cancer from high-risk breast cancer
families and influences both treatment options and clinical management. However,
only 25% of selected patients test positive for BRCA1/2 mutation, indicating that
additional diagnostic biomarkers are necessary. We analyzed 124 formalin-fixed
paraffin-embedded (FFPE) tumor samples from patients with hereditary (104) and
sporadic (20) invasive breast cancer, divided into two series (A and B).
Microarray expression profiling of 829 human miRNAs was performed on 76 samples
(Series A), and bioinformatics tool Prophet was used to develop and test a
microarray classifier. Samples were stratified into a training set (n = 38) for
microarray classifier generation and a test set (n = 38) for signature
validation. A 35-miRNA microarray classifier was generated for the prediction of
BRCA1/2 mutation status with a reported 95% (95% CI = 0.88-1.0) and 92% (95% CI:
0.84-1.0) accuracy in the training and the test set, respectively. Differential
expression of 12 miRNAs between BRCA1/2 mutation carriers versus noncarriers was
validated by qPCR in an independent tumor series B (n = 48). Logistic regression
model based on the expression of six miRNAs (miR-142-3p, miR-505*, miR-1248, miR
181a-2*, miR-25* and miR-340*) discriminated between tumors from BRCA1/2 mutation
carriers and noncarriers with 92% (95% CI: 0.84-0.99) accuracy. In conclusion, we
identified miRNA expression signatures predictive of BRCA1/2 mutation status in
routinely available FFPE breast tumor samples, which may be useful to complement
current patient selection criteria for gene testing by identifying individuals
with high likelihood of being BRCA1/2 mutation carriers.
PMID- 24917464
TI - Determination and pharmacokinetics of linarin in rat plasma after intramuscular
administration of linarin solution and Yejuhua injection by HPLC.
AB - A simple and sensitive HPLC method was developed and validated for the
determination of linarin in rat plasma. Plasma samples were carried out by
protein precipitation with acetonitrile and separated on a Shim-pack VP-ODS
analytical column (250 * 4.6 mm, 5 um). The calibration curve was linear in the
measured range of 20.8-4160 ng/mL and the lower limit of quantification was 20.8
ng/mL for linarin. The validated method was successfully applied to the
pharmacokinetic study of linarin in rat plasma after intramuscular administration
of monomeric compound and traditional Chinese medicinal preparation - Yejuhua
injection.
PMID- 24917465
TI - New insights into the dynamics of vacuum impregnation of plant tissues and its
metabolic consequences.
AB - The complex and highly interconnected intercellular air spaces of plant tissues
occupied by gas or native liquid has offered the possibility for impregnation
with a wide range of compounds. In food processing, the development of vacuum
impregnation has allowed a controlled way to introduce these compounds to the
tissue structure aiming at modifying structural, nutritional, and/or functional
properties as well as improving the processability of fruits and vegetables. In
the last 10 years, more than 100 research articles have been published on the
topic and significant insights had been gained including improved understanding
of mechanisms for mass transfer as well as the development of new, fascinating
industrial applications. In the recent years, our knowledge on these aspects has
increased by bringing new exploration technologies for studying the impregnation
of porous materials and plant cell physiology approaches to bear on the topic.
The aim of this paper is to highlight some of these exciting advances.
PMID- 24917466
TI - Eleutheroside E ameliorates arthritis severity in collagen-induced arthritis mice
model by suppressing inflammatory cytokine release.
AB - Rheumatoid arthritis is the most common arthritis and is mainly characterized by
symmetric polyarticular joint disorders. Eleutheroside E (EE), a principal active
constituent of Acanthopanax senticosus, is reported to have anti-inflammatory
effect by inhibiting NF-kappaB activities. However, the effects of EE on
rheumatoid arthritis (RA) severity are largely unknown. The purpose of this study
was to indicate whether EE could ameliorate arthritis and reduce inflammatory
cytokine release in collagen-induced arthritis (CIA) mice. The results showed
that EE attenuated the severity of arthritis by reducing the mean arthritis score
and arthritis incidence. EE also significantly decreased the inflammatory cell
infiltration, pannus formation, cartilage damage, and bone erosion of CIA mice.
Furthermore, EE caused a marked decrease of the production of TNF-alpha and IL-6
in vivo and in vitro. These observations identify a novel function of EE that
results in inhibition of cytokine release, highlighting EE was a potential
therapeutic agent for RA.
PMID- 24917467
TI - Targeting dormant tuberculosis bacilli: results for molecules with a novel
pyrimidone scaffold.
AB - Our inability to completely control TB has been due in part to the presence of
dormant mycobacteria. This also renders drug regimens ineffective and is the
prime cause of the appearance of drug-resistant strains. In continuation of our
efforts to develop novel antitubercular agents that especially target dormant
mycobacteria, a set of 55 new compounds belonging to the pyrimidone class were
designed on the basis of CoMFA and CoMSIA studies, and these were synthesized and
subsequently tested against both the dormant and virulent BCG strain of M.
tuberculosis. Some novel compounds have been identified which selectively inhibit
the dormant tuberculosis bacilli with significantly low IC50 values. This study
reports the second molecule after TMC-207, having the ability to inhibit
tuberculosis bacilli exclusively in its dormant phase. The synthesis was
accomplished by a modified multicomponent Biginelli reaction. A classification
model was generated using the binary QSAR approach--recursive partitioning (RP)
to identify structural characteristics related to the activity. Physicochemical,
structural, topological, connectivity indices, and E-state key descriptors were
used for generation of the decision tree. The decision tree could provide
insights into structure-activity relationships that will guide the design of more
potent inhibitors.
PMID- 24917472
TI - Large cell acanthoma: a variant of solar lentigo with cellular hypertrophy.
AB - BACKGROUND: Large cell acanthoma (LCA) is an epidermal proliferation of enlarged
keratinocytes. There is a lack of consensus on whether it represents a unique
neoplasm or not. To determine whether it is a variant of solar lentigo, we
compared macroscopic, microscopic and immunophenotypic attributes of LCA with
conventional solar lentigo, seborrheic keratosis, actinic keratosis and Bowen
disease. METHODS: We constructed tissue microarrays containing multiple cores of
LCA, solar lentigo, seborrheic keratosis, actinic keratosis and Bowen disease.
Tissue microarray sections were blindly analyzed for microscopic morphologic
variables. Corresponding ex vivo dermoscopic images from the original cases were
blindly analyzed for macroscopic morphologic variables. Immunostained sections
from the tissue microarray were tested for keratin 10, keratin 5/6, Bcl-2 and Ki
67 expression by image analysis. RESULTS: There were no significant differences
in the studied morphologic attributes between LCA and solar lentigo. All other
tumor classes showed at least one significant morphologic difference with LCA.
LCA and solar lentigo showed different keratin 10 and Bcl-2 signal intensities.
CONCLUSIONS: LCA is best considered a variant of solar lentigo with cellular
hypertrophy. The differences in immunophenotype and cell size could be because of
differences in cell kinetics.
PMID- 24917473
TI - Ground reaction force estimation using an insole-type pressure mat and joint
kinematics during walking.
AB - Kinetic analysis of walking requires joint kinematics and ground reaction force
(GRF) measurement, which are typically obtained from a force plate. GRF is
difficult to measure in certain cases such as slope walking, stair climbing, and
track running. Nevertheless, estimating GRF continues to be of great interest for
simulating human walking. The purpose of the study was to develop reaction force
models placed on the sole of the foot to estimate full GRF when only joint
kinematics are provided (Type-I), and to estimate ground contact shear forces
when both joint kinematics and foot pressure are provided (Type-II and Type-II
val). The GRF estimation models were attached to a commercial full body skeletal
model using the AnyBody Modeling System, which has an inverse dynamics-based
optimization solver. The anterior-posterior shear force and medial-lateral shear
force could be estimated with approximate accuracies of 6% BW and 2% BW in all
three methods, respectively. Vertical force could be estimated in the Type-I
model with an accuracy of 13.75% BW. The accuracy of the force estimation was the
highest during the mid-single-stance period with an average RMS for errors of
3.10% BW, 1.48% BW, and 7.48% BW for anterior-posterior force, medial-lateral
force, and vertical force, respectively. The proposed GRF estimation models could
predict full and partial GRF with high accuracy. The design of the contact
elements of the proposed model should make it applicable to various activities
where installation of a force measurement system is difficult, including track
running and treadmill walking.
PMID- 24917474
TI - Isolation of a neutral boron-containing radical stabilized by a cyclic
(alkyl)(amino)carbene.
AB - Utilizing a cyclic (alkyl)(amino)carbene (CAAC) as a ligand, neutral CAAC
stabilized radicals containing a boryl functionality could be prepared by
reduction of the corresponding haloborane adducts. The radical species with a
duryl substituent was fully characterized by single-crystal X-ray structural
analysis, EPR spectroscopy, and DFT calculations. Compared to known neutral boryl
radicals, the isolated radical species showed larger spin density on the boron
atom. Furthermore, the compound that was isolated is extraordinarily stable to
high temperatures under inert conditions, both in solution and in the solid
state. Electrochemical investigations of the radical suggest the possibility to
generate a stable formal boryl anion species.
PMID- 24917475
TI - Rational selection of alternative, environmentally compatible surfactants for
biotechnological production of pharmaceuticals--a step toward green
biotechnology.
AB - The biotechnological production of pharmaceutical active substances needs
ancillary substances. Surfactants are used at the end of the cell culture as a
protection against potential viral or bacterial contamination and to lyse the
producing cells for isolation and purification of the products. To find a
replacement for a surfactant that had raised environmental concern,
environmentally relevant data for potential alternatives were searched for in the
literature. Significant data gaps were filled with additional tests:
biodegradability, algal growth inhibition, acute daphnid immobilization and
chronic daphnid reproduction toxicity, acute fish toxicity, and activated sludge
respiration inhibition. The results were used to model removal in the wastewater
treatment plants (WWTPs) serving 3 biotechnological production sites in the Roche
Group. Predicted environmental concentrations (PECs) were calculated using
realistic amounts of surfactants and site-specific wastewater fluxes, modeled
removals for the WWTPs and dilution factors by the respective receiving waters.
Predicted no-effect concentrations (PNECs) were derived for WWTPs and for both
fresh and marine receiving waters as the treated wastewater of 1 production site
is discharged into a coastal water. This resulted in a spreadsheet showing PECs,
PNECs, and PEC / PNEC risk characterization ratios for the WWTPs and receiving
waters for all investigated surfactants and all 3 sites. This spreadsheet now
serves as a selection support for the biotechnological developers. This risk
based prioritization of surfactants is a step toward green biotechnological
production.
PMID- 24917476
TI - Iron(III) bound by hydrosulfide anion ligands: NO-promoted stabilization of the
[Fe(III)-SH] motif.
AB - Spontaneous transformation of the thermally stable [HS](-)-bound {Fe(NO)2}(9)
dinitrosyl iron complex (DNIC) [(HS)2Fe(NO)2](-) (1) into [(NO)2Fe(MU-S)]2(2-)
(Roussin's red salt (RRS)) along with release of H2S, probed by NBD-SCN (NBD =
nitrobenzofurazan), was observed when DNIC 1 was dissolved in water at ambient
temperature. The reversible transformation of RRS into DNIC 1 (RRS -> DNIC 1) in
the presence of H2S was demonstrated. In contrast, the thermally unstable
hydrosulfide-containing mononitrosyl iron complex (MNIC) [(HS)3Fe(III)(NO)](-)
(3) and [Fe(III)(SH)4](-) (5) in THF/DMF spontaneously dimerized into the first
structurally characterized Fe(III)-hydrosulfide complexes [(NO)(SH)Fe(MU-S)]2(2-)
(4) with two {Fe(NO)}(7) motifs antiferromagnetically coupled and [(SH)2Fe(MU
S)]2(2-) (6) resulting from two Fe(III) (S = 5/2) centers antiferromagnetically
coupled to yield an S = 0 ground state with thermal occupancy of higher spin
states, respectively. That is, the greater the number of NO ligands bound to
[2Fe2S], the larger the antiferromagnetic coupling constant. On the basis of DFT
computation and the experimental (and calculated) reduction potential (E1/2) of
complexes 1, 3, and 5, the NO-coordinate ligand(s) of complexes 1 and 3 serves as
the stronger electron-donating ligand, compared to thiolate, to reduce the
effective nuclear charge (Zeff) of the iron center and prevent DNIC 1 from
dimerization in an organic solvent (MeCN).
PMID- 24917477
TI - Economic evaluations in bipolar disorder: a systematic review and critical
appraisal.
AB - BACKGROUND: Bipolar disorder (BD) is a chronic illness and is one of the
worldwide leading causes of disability. It is often a lifelong illness and incurs
a substantial economic burden on the health care system, the patients, and
society as a whole. However, there are few studies evaluating the economic impact
of alternative strategies in the management of BD. OBJECTIVES: We reviewed and
critically appraised the available published economic evidence on BD management.
In addition, we explored advantages and disadvantages of different methods used
in the economic evaluation of the management of BD. METHODS: A systematic
literature search was undertaken using seven electronic databases to identify all
English language articles published between January 1980 and March 2012 that
provided data on complete economic evaluations for any treatment strategy for BD.
The quality of included studies was appraised according to recommendations from
the Cochrane Collaboration. RESULTS: A total of 7,284 citations were obtained.
After initial screening, 20 eligible studies were identified, five of which were
trial-based, and 15 of which were model-based economic evaluations. Given the
variability in methods and the quality of the identified studies, no conclusive
recommendation for the most cost-effective therapy for BD could be provided.
CONCLUSIONS: The cost-effectiveness of different treatment strategies varied
between settings, and transferability of these results across settings remains
questionable. Although additional research using a longer time horizon is
required to validate the findings for trial-based economic evaluations, discrete
event simulation appears to be the most natural and plausible technique for
modeling the cost-effectiveness of alternative BD treatment strategies.
PMID- 24917478
TI - The relationship between laminoplasty opening angle and increased sagittal canal
diameter and the prediction of spinal canal expansion following double-door
cervical laminoplasty.
AB - PURPOSE: To clarify the relationship between laminoplasty opening angle (LOA) and
the increase in sagittal canal diameter (SCD) in double-door cervical
laminoplasty (DDCL) and to predict the increase in SCD using the resulting
formula. METHODS: We analyzed 20 patients with multilevel cervical spondylotic
myelopathy who underwent DDCL between September 2010 and January 2013. The pre-
and post-operative parameters of the cervical spinal canal were measured by
computed tomography. We deduced a formula describing the relationship between LOA
and the increase in SCD and used it to predict the increase in SCD of these
patients as LOA increased. RESULTS: When the C3-C7 LOA was 25 degrees -45 degrees
, the magnitude of the increase in SCD was notable (increases of 3.08-5.6 mm
compared with the pre-operative SCD). When the C3-C7 LOA was more than 45 degrees
, the magnitude of the increase in SCD was relatively smaller; the increase in C3
C7 SCD with a 55 degrees LOA was merely 0.4 mm more than with a 45 degrees LOA.
When LOA was 30 degrees at C3-C6 or 40 degrees at C7, the increase in SCD was
more than 4 mm. When the C3-C6 LOA was 40 degrees , SCD increased by more than 5
mm. CONCLUSIONS: The formula accurately showed the relationship between LOA and
the increase in SCD in DDCL. Based on the LOA, increases in SCD following C3-C7
laminoplasty can be accurately predicted using this formula. This enables DDCL
based on accurate individual LOAs, which prevents inadequate or excessive
opening.
PMID- 24917479
TI - Lumbo-pelvic related indexes: impact on adult spinal deformity surgery.
AB - PURPOSE: Lumbo-pelvic indexes appeared recently in the literature taking
advantage from the relationship between pelvic incidence (PI) and lumbar lordosis
(LL). Schwab proposed to subtract LL from PI (PI-LL) as Boissiere proposed the
lumbar lordosis index (LLI), which is the ratio between LL and PI (LL/PI). Both
indexes have been described to weight LL by a constant parameter not affected by
degenerative processes, the PI. The aim of this study is to evaluate these
parameters in adult spinal deformity (ASD) by analyzing their relationship with
spinal malalignment and vertebral osteotomies. METHODS: Two groups of patients
with an ASD were realized; an adult scoliosis group (n = 78) and a postoperative
flat-back syndrome group (n = 20). In the adult scoliosis group, 28 patients
underwent an osteotomy [pedicle subtraction osteotomy (PSO) or Smith Petersen
osteotomy] and 50 patients were corrected by posterior fusion without osteotomy.
In the postoperative flat-back syndrome group all patients underwent a PSO. All
patients had preoperative and postoperative full spine EOS radiographies to
measure spino-pelvic parameters. The lack of lordosis was calculated, after
prediction of theoretical LL from Legaye's formula, by subtracting measured LL to
theoretical LL. Correlation analysis between the different parameters was
performed. RESULTS: Both lumbo-pelvic parameters highly correlated with spinal
malalignment (r = 0.97 for PI-LL and r = -0.97 for LLI for total patients) and
were highly predictive of a spinal osteotomy performance (r = 0.88 for PI-LL >28
degrees and r = 0.94 for LLI <0.5). Sagittal vertical axis (r = 0.67) and pelvic
tilt (r = 0.64) correlated moderately with spinal malalignment for total
patients. The LLI was more correlated with spinal osteotomies in the adult
scoliosis group (r = 0.86 for PI-LL >28 degrees and r = 0.94 for LLI <0.5), as
Schwab's index was more precise to predict osteotomies in the postoperative flat
back syndrome group (Youden index = 0.95 for PI-LL >28 degrees vs 0.90 for LLI
<0.5). CONCLUSIONS: This study highlights the necessity to considerer spinal
malalignment with lumbo-pelvic indexes as they appear to be highly correlated
with lack of LL. They can be used as mathematical tools to detect spinal
malalignment in ASD and guide the surgeon's decision of realizing a vertebral
osteotomy for ASD sagittal correction. They can be used as well for the
interpretation of clinical series in ASD.
PMID- 24917480
TI - Association Between SLC6A4 Serotonin Transporter Gene Lainked Polymorphic Region
and ADRA2A -1291C>G and Irritable Bowel Syndrome in Korea.
AB - BACKGROUND/AIMS: Despite numerous studies on the relation of genetic
polymorphisms with irritable bowel syndrome (IBS), the results still remain
inconclusive. The aim of this study was to assess the possible association
between SLC6A4 serotonin transporter gene linked polymorphic region (5-HTTLPR),
ADRA2A -1291C>G, GNB3 825C>T, CCK1R intron 779T>C and TRPV1 945G>C polymorphisms
and IBS based on Rome III criteria in Korea. METHODS: Study subjects were
prospectively recruited from visitors to Seoul National University Bundang
Hospital between July 2009 and January 2014. Ninety-nine IBS patients and 171
healthy controls were enrolled. Polymorphisms of above-mentioned 5 genes were
genotyped. Serum serotonin from 101 participants was measured by ELISA and
compared according to SLC6A4 5-HTTLPR polymorphisms and IBS subtypes. RESULTS:
Regarding SLC6A4 5-HTTLPR polymorphism, L/L genotype was significantly associated
with the total IBS, constipation predominant IBS (IBS-C) and mixture of diarrhea
and constipation IBS (IBS-M) (adjusted OR: 4.35, 95% CI: 1.04-16.67; adjusted OR:
11.11, 95% CI: 1.69-50.00 and adjusted OR: 5.56, 95% CI: 1.05-33.33,
respectively). Carrying ADRA2A -1291G allele was significantly associated with
total IBS and diarrhea predominant IBS (adjusted OR: 3.37, 95% CI: 1.16-9.77 and
adjusted OR: 5.64, 95% CI: 1.18-27.01, respectively). IBS-C patients showed
reduced level of serum serotonin compared to controls and patients with diarrhea
predominant IBS (50.2 ng/mL vs. 69.0 ng/mL and 92.9 ng/mL, P = 0.017 and P =
0.001, respectively). CONCLUSIONS: Genetic polymorphisms of SLC6A4 5-HTTLPR and
ADRA2A -1291C>G could be one of the pathophysiological factors of IBS in Korea.
Reduced serum serotonin shown in the IBS-C group suggested a role of serotonin in
IBS, but large study is needed for confirming genotypic difference in serum
serotonin level.
PMID- 24917481
TI - An Interesting Case of Post-fundoplication Dysphagia.
PMID- 24917482
TI - Estimating quantitative genetic parameters in wild populations: a comparison of
pedigree and genomic approaches.
AB - The estimation of quantitative genetic parameters in wild populations is
generally limited by the accuracy and completeness of the available pedigree
information. Using relatedness at genomewide markers can potentially remove this
limitation and lead to less biased and more precise estimates. We estimated
heritability, maternal genetic effects and genetic correlations for body size
traits in an unmanaged long-term study population of Soay sheep on St Kilda using
three increasingly complete and accurate estimates of relatedness: (i) Pedigree
1, using observation-derived maternal links and microsatellite-derived paternal
links; (ii) Pedigree 2, using SNP-derived assignment of both maternity and
paternity; and (iii) whole-genome relatedness at 37 037 autosomal SNPs. In
initial analyses, heritability estimates were strikingly similar for all three
methods, while standard errors were systematically lower in analyses based on
Pedigree 2 and genomic relatedness. Genetic correlations were generally strong,
differed little between the three estimates of relatedness and the standard
errors declined only very slightly with improved relatedness information. When
partitioning maternal effects into separate genetic and environmental components,
maternal genetic effects found in juvenile traits increased substantially across
the three relatedness estimates. Heritability declined compared to parallel
models where only a maternal environment effect was fitted, suggesting that
maternal genetic effects are confounded with direct genetic effects and that more
accurate estimates of relatedness were better able to separate maternal genetic
effects from direct genetic effects. We found that the heritability captured by
SNP markers asymptoted at about half the SNPs available, suggesting that denser
marker panels are not necessarily required for precise and unbiased heritability
estimates. Finally, we present guidelines for the use of genomic relatedness in
future quantitative genetics studies in natural populations.
PMID- 24917484
TI - Stumbling into sexual crime: the passive perpetrator in accounts by male internet
sex offenders.
AB - Public reactions to internet child offending remain ambivalent in that, while
there is vocal condemnation of contact child sex offending, there is less
indignation about internet child abuse. This is potentially due to a lack of
recognition of this type of offence as sexual offending per se. This ambiguity is
reflected by internet sex offenders themselves in their verbalizations of their
offending. This article presents a qualitative analysis of the accounts offered
by seven individuals convicted of internet-based sexual offences involving the
downloading and viewing of images of children. In particular, this article
presents an analysis of the explanations of offenders for the commencement of
internet activity and the progression to more illicit online materials. The data
were collected through semi-structured interviews and analyzed using discursive
methods, paying close attention to language use and function. The analysis
documented the practices that internet child abusers employed in order to manage
their identities, distance themselves from the label of sex offender, and/or
reduce their personal agency and accountability. Implications of this analysis
are discussed with reference to the current minimization of the downloading of
sexually explicit images of children as a sexual crime per se by the public and
offenders alike and the risk assessment and treatment of individuals convicted of
these offences.
PMID- 24917483
TI - Oligomerization and nanocluster organization render specificity.
AB - Nanoclusters are anchored to membranes, either within them or in the cytoplasm
latched onto the cytoskeleton, whose reorganization can regulate their activity.
Nanoclusters have been viewed in terms of cooperativity and activation; here we
perceive nanocluster organization from a conformational standpoint. This leads us
to suggest that while single molecules encode activity, nanoclusters induce
specificity, and that this is their main evolutionary aim. Distinct, isoform
specific nanocluster organization can drive the preferred effector (and ligand)
interactions and thereby designate signalling pathways. The absence of detailed
structural information across the nanocluster, due to size and dynamics, hinders
an in-depth grasp of its mechanistic features; however, available data already
capture some of the principles and their functional 'raison d'etre'.
Collectively, clustering lends stability and reduces the likelihood of
proteolytic cleavage; it also increases the effective local concentration and
enables efficient cooperative activation. However, clustering does not determine
the ability of the single molecule to function. Drugs targeting nanoclusters can
attenuate activity by hampering cooperativity; however, this may not perturb
activation and signalling, which originate from the molecules themselves, and as
such, are likely to endure. What then is the major role of nanoclustering?
Assuming that single molecules evolved first, with a subsequent increase in
cellular complexity and emergence of highly similar isoform variants, evolution
faced the threat of signalling promiscuity. We reason that this potential risk
was thwarted by oligomerization and clustering; clustering confers higher
specificity, and a concomitant extra layer of cellular control. In our Ras
example, signalling will be more accurate as a dimer than as a monomer, where its
isomer specificity could be compromised.
PMID- 24917485
TI - Treatment for continuous spikes and waves during sleep (CSWS): survey on
treatment choices in North America.
AB - OBJECTIVE: Current literature does not allow an evidence-based approach to the
treatment of continuous spikes and waves during sleep (CSWS). The aim of this
study was to describe treatment choices made by clinicians caring for patients
with CSWS in North America. METHODS: A 24-question survey on treatment choices
for CSWS was distributed to the members of the American Epilepsy Society (AES).
The survey presented a clinical vignette of CSWS. The questions addressed
treatment choices for that clinical scenario. Surveys were self-administered and
collected using an online survey website (www.surveymonkey.com). RESULTS: Two
hundred thirty-two surveys were completed. Prominent sleep-potentiated spiking
was considered to warrant treatment by 81% of respondents. The proportion of
patients in whom cognitive improvement occurs when sleep-potentiated spiking is
effectively treated is in >75% of patients (according to 16% of respondents), in
25-75% of patients (according to 52% of respondents), in <25% of patients
(according to 20% of respondents), and no or unclear cognitive changes (according
to 12% of respondents). The preferred first choice to reduce sleep-potentiated
epileptiform activity was high-dose benzodiazepines (47%), valproate (26%), and
corticosteroids (15%). The preferred second-choice was valproate (26%), high-dose
benzodiazepines (24%), and corticosteroids (23%). Among high-dose
benzodiazepines, the preferred one was diazepam 1 mg/kg for one night followed by
0.5 mg/kg/day. The preferred dose of valproate was 30-49 mg/kg/day. Among
corticosteroids the preferred choice was oral prednisone 2 mg/kg/day. The most
commonly considered endpoints of treatment efficacy were (in decreasing order):
response of epileptiform activity in electroencephalography (EEG), cognitive
function, and seizure reduction. Results were consistent among respondents with
different levels of training and clinical experience. There were differences in
conceptualization and treatment approaches between pediatric and adult
neurologists. SIGNIFICANCE: Most clinicians considered that prominent sleep
potentiated epileptiform activity should be treated. There was no agreement on
best treatment, but potential candidates included high-dose benzodiazepines,
valproate, levetiracetam, and corticosteroids.
PMID- 24917486
TI - Survival analysis of platinum-refractory patients with advanced esophageal cancer
treated with docetaxel or best supportive care alone: a retrospective study.
AB - The survival benefit of second-line chemotherapy with docetaxel in platinum
refractory patients with advanced esophageal cancer (AEC) remains unclear. A
retrospective analysis of AEC patients with Eastern Cooperative Oncology Group
performance status (PS)<=2 was performed, and major organ functions were
preserved, who determined to receive docetaxel or best supportive care (BSC)
alone after failure of platinum-based chemotherapy. The post-progression survival
(PPS), defined as survival time after disease progression following platinum
based chemotherapy, was analyzed by multivariate Cox regression analysis using
factors identified as significant in univariate analysis of various 20
characteristics (age, sex, PS, primary tumor location, etc) including Glasgow
prognostic score (GPS), which is a well-known prognostic factor in many malignant
tumors. Sixty-six and 45 patients were determined to receive docetaxel and BSC
between January 2007 and December 2011, respectively. The median PPS was 5.4
months (95% confidence interval [CI] 4.8-6.0) in the docetaxel group and 3.3
months (95% CI 2.5-4.0) in the BSC group (hazard ratio [HR] 0.56, 95% CI 0.38
0.84, P=0.005). Univariate analysis revealed six significant factors: treatment,
PS, GPS, number of metastatic organs, liver metastasis, and bone metastasis.
Multivariate analysis including these significant factors revealed three
independent prognostic factors: docetaxel treatment (HR 0.62, 95% CI 0.39-0.99,
P=0.043), better GPS (HR 0.61, 95% CI 0.46-0.81, P=0.001), and no bone metastasis
(HR 0.31, 95% CI 0.15-0.68, P=0.003). There was a trend for PPS in favor of the
docetaxel group compared with patients who refused docetaxel treatment in the BSC
group (adjusted HR 0.61, 95% CI 0.29-1.29, P=0.20). Docetaxel treatment may have
prolonged survival in platinum-refractory patients with AEC.
PMID- 24917488
TI - Combining physiological threshold knowledge to species distribution models is key
to improving forecasts of the future niche for macroalgae.
AB - Species distribution models (SDM) are a useful tool for predicting species range
shifts in response to global warming. However, they do not explore the mechanisms
underlying biological processes, making it difficult to predict shifts outside
the environmental gradient where the model was trained. In this study, we combine
correlative SDMs and knowledge on physiological limits to provide more robust
predictions. The thermal thresholds obtained in growth and survival experiments
were used as proxies of the fundamental niches of two foundational marine
macrophytes. The geographic projections of these species' distributions obtained
using these thresholds and existing SDMs were similar in areas where the species
are either absent-rare or frequent and where their potential and realized niches
match, reaching consensus predictions. The cold-temperate foundational seaweed
Himanthalia elongata was predicted to become extinct at its southern limit in
northern Spain in response to global warming, whereas the occupancy of southern
lusitanic Bifurcaria bifurcata was expected to increase. Combined approaches such
as this one may also highlight geographic areas where models disagree potentially
due to biotic factors. Physiological thresholds alone tended to over-predict
species prevalence, as they cannot identify absences in climatic conditions
within the species' range of physiological tolerance or at the optima. Although
SDMs tended to have higher sensitivity than threshold models, they may include
regressions that do not reflect causal mechanisms, constraining their predictive
power. We present a simple example of how combining correlative and mechanistic
knowledge provides a rapid way to gain insight into a species' niche resulting in
consistent predictions and highlighting potential sources of uncertainty in
forecasted responses to climate change.
PMID- 24917487
TI - Patterns and predictors of attrition in a trial of a housing intervention for
homeless people with mental illness.
AB - PURPOSE: Participant retention is an important challenge in longitudinal research
on homeless people. High attrition can threaten validity, and may represent lost
opportunities to deliver interventions. In this article, we report on attrition
in the At Home/Chez Soi study, a multi-site randomized controlled trial of a
housing intervention for homeless people with mental illness. METHODS: We first
calculate life tables, and then use clustered logistic regression to implement a
discrete-time survival model. We use splines and indicator variables to capture
non-linear and group-specific variation over time in the hazard function. As
potential predictors, we consider study group, site, date of recruitment, age,
sex, baseline substance dependence, baseline psychotic disorder, time homeless in
life, community functioning, and education. RESULTS: The study recruited 2,148
homeless people with mental illness. Of these, 1,158 were randomized to the
housing first intervention (HF), and 990 to treatment as usual (TAU). Excluding
79 people known to have died, attrition was 14%. This proportion was higher in
TAU than in HF (21 vs. 8%, p < 0.01). Attrition was significantly lower in one
site than elsewhere, and was also lower among those with substance dependence (13
vs. 18%, p < 0.01) and among those enrolled earlier in the study. The hazard
varied over time in complex ways. CONCLUSIONS: Results imply that study factors
are more important than participant characteristics as determinants of retention,
and that the immediate period after randomization is a crucial one. The high
overall retention demonstrates the effectiveness of existing techniques for
retaining participants.
PMID- 24917489
TI - Design of a flexible component gathering algorithm for converting cell-based
models to graph representations for use in evolutionary search.
AB - BACKGROUND: The ability of science to produce experimental data has outpaced the
ability to effectively visualize and integrate the data into a conceptual
framework that can further higher order understanding. Multidimensional and shape
based observational data of regenerative biology presents a particularly daunting
challenge in this regard. Large amounts of data are available in regenerative
biology, but little progress has been made in understanding how organisms such as
planaria robustly achieve and maintain body form. An example of this kind of data
can be found in a new repository (PlanformDB) that encodes descriptions of
planaria experiments and morphological outcomes using a graph formalism. RESULTS:
We are developing a model discovery framework that uses a cell-based modeling
platform combined with evolutionary search to automatically search for and
identify plausible mechanisms for the biological behavior described in
PlanformDB. To automate the evolutionary search we developed a way to compare the
output of the modeling platform to the morphological descriptions stored in
PlanformDB. We used a flexible connected component algorithm to create a graph
representation of the virtual worm from the robust, cell-based simulation data.
These graphs can then be validated and compared with target data from PlanformDB
using the well-known graph-edit distance calculation, which provides a
quantitative metric of similarity between graphs. The graph edit distance
calculation was integrated into a fitness function that was able to guide
automated searches for unbiased models of planarian regeneration. We present a
cell-based model of planarian that can regenerate anatomical regions following
bisection of the organism, and show that the automated model discovery framework
is capable of searching for and finding models of planarian regeneration that
match experimental data stored in PlanformDB. CONCLUSION: The work presented
here, including our algorithm for converting cell-based models into graphs for
comparison with data stored in an external data repository, has made feasible the
automated development, training, and validation of computational models using
morphology-based data. This work is part of an ongoing project to automate the
search process, which will greatly expand our ability to identify, consider, and
test biological mechanisms in the field of regenerative biology.
PMID- 24917490
TI - Genetic polymorphisms altering microRNA activity in psoriasis--a key to solve the
puzzle of missing heritability?
AB - Psoriasis is a chronic immune-mediated skin disease in which the balance in the
interplay of immune cells and keratinocytes is disturbed. MicroRNAs (miRNAs) are
endogenous small regulatory RNAs that stabilize cellular phenotypes and fine-tune
signal transduction feedback loops through the regulation of gene networks.
Through the regulation of their multiple target genes, miRNAs regulate the
development of inflammatory cell subsets and have a significant impact on the
magnitude of inflammatory responses. Since the discovery of deregulated miRNA
expression in psoriasis, we have learned that they can regulate differentiation,
proliferation and cytokine response of keratinocytes, activation and survival of
T cells and the crosstalk between immunocytes and keratinocytes through the
regulation of chemokine production. In recent years, it became apparent that
genetic polymorphisms in miRNA genes and/or in miRNA binding sites of target
genes can affect miRNA activity and contribute to disease susceptibility.
Psoriasis has a strong genetic background; however, the contribution of genetic
variants involving miRNAs is largely unexplored in psoriasis. We propose that
changes in miRNA-mediated gene regulation may be a major contributor to the
disturbed balance in immune regulation that results in chronic skin inflammation.
In this viewpoint essay, we focus on the emerging new aspects of the role of
miRNAs in psoriasis and propose that genetic polymorphisms that affect miRNA
activity might be important in the pathogenesis of psoriasis.
PMID- 24917491
TI - Cranial metastatic alveolar rhabdomyosarcoma mimicking hematological malignancy
in an adolescent boy.
AB - BACKGROUND: Widespread alveolar rhabdomyosarcoma (ARMS) with bone marrow
involvement and with an unknown primary tumor, especially presenting with acute
tumor lysis syndrome can be easily misdiagnosed as a hematological malignancy.
Furthermore, brain metastasis of ARMS is rare seen in children. CASE REPORT:
Herein, we report a 14-year-old boy presenting with acute tumor lysis syndrome
due to bone marrow invasion of ARMS, who was diagnosed after abdominal paraaortic
lymph node biopsy. Despite radiological and nuclear medicine imaging, the primary
tumor site could not be found. He was treated with vincristine, topotecan, and
cyclophosphamide for 42 weeks. Six months after the completion of treatment, he
suffered from severe headache, blurred vision, right hemiplegia, and severe bone
pain. Cranial magnetic resonance imaging showed multiple hemorrhagic infarctions.
Brain biopsy showed brain metastasis with PAX3-FKHR fusion transcript.
CONCLUSION: The clinicians must be vigilant about solely brain metastasis in ARMS
without additional metastasis.
PMID- 24917492
TI - Results of posterior cranial vault remodeling for plagiocephaly and brachycephaly
by the meander technique.
AB - OBJECTIVE: Several techniques to remodel the posterior calvarium in order to
increase intracranial volume (ICV) and to improve cosmetic appearance are
reported. This study presents the results of meander technique in patients with
brachycephaly and posterior plagiocephaly. METHODS: During December 2011 and July
2013, a total of 12 children (median age: 15 months) underwent posterior cranial
vault remodeling by the meander technique (brachycephaly, n = 6; posterior
plagiocephaly, n = 6). The available pre- and postoperative MRIs were assessed
with regard to ICV, cranial index (CI) and asymmetry index (AI) as well as the
position of the cerebellar tonsils. RESULTS: No intra- or postoperative
complications were observed. Blood transfusions were necessary in nine of 12
patients. A significant increase of the ICV from 1,178.4 +/- 134.5 to 1,293.0 +/-
137.5 cm(3) (p < 0.05) is demonstrated. In the patients with brachycephaly the CI
was significantly improved from 0.97 +/- 0.12 to 0.89 +/- 0.12 postoperatively (p
< 0.05). The AI in patients with posterior plagiocephaly was significantly
ameliorated from 0.83 +/- 0.04 to 0.92 +/- 0.02 postoperatively (p < 0.05). There
was a significant effect on cerebellar tonsil position in relation to foramen
magnum level for patients with brachycephaly (right tonsil: 11.9 +/- 9.2 to 7.0
+/- 9.1 mm, p < 0.05; left: 10.8 +/- 9.5 to 9.7 +/- 10.6 mm; p < 0.05) as well as
in posterior plagiocephaly for the ipsilateral tonsil (3.2 +/- 3.5 to 1.6 +/- 3.5
mm; p < 0.01). CONCLUSION: The presented surgical technique is considered to be
safe. The technique is capable to significantly increase ICV and improve cosmetic
appearance of the remodeled calvarium. Further evidence that posterior cranial
vault remodeling influences the position of the cerebellar tonsils is added by
the results of the study.
PMID- 24917493
TI - Improving management support tools for reintroducing bivalve species (eastern
oyster [Crassostrea virginica Gmelin]) in urban estuaries.
AB - Successful reintroduction of "ecologically extinct" bivalve species into
anthropogenically impaired urban estuaries is problematic when employing existing
management tools used in estuaries where bivalves are present (GIS-based
restoration models, expanding existing shellfish beds, placement of shell
substrate, physical oceanographic parameters). A significant management challenge
is appropriate site selection. We are proposing the inclusion of a biological
parameter (evaluation of tissue histopathology) in an inexpensive and rapid site
selection model to inform management decision making and identify sites with the
greatest potential for reintroduction success. Use of biological biomarkers is
not a new concept, but it is important that they be included in a multitiered
management approach to bivalve reintroduction. This Case Study tested adult
Eastern Oysters (Crassostrea virginica Gmelin) from locations that supported
comparable short-term survival rates by evaluating growth and tissue health
and/or disease. Biomarkers indicated oyster tissues at one site were normal, the
female:male sex ratio was 50:50, and female oysters were in spawning condition.
Conversely, oyster tissues at the second site exhibited multiple abnormalities,
samples were 100% male, and the incidence of disease was high. Using the
biomarker tool, we evaluated 4 additional sites where oysters exhibited short
term (1 year) survival. At 2 locations, we observed chronic health impacts that
would preclude reintroduction, including samples from one site where a wild
population was surviving. We also analyzed tissue and shell heavy metal contents.
Soft tissue metal concentrations in Meadowlands samples were at the high range of
scientific literature values, averaging 1.1% of total body weight, whereas tissue
metal concentrations at the Keyport site were within acceptable ranges. Although
initial survival and growth rates at both locations were comparable, site
specific urban stressors reduced oyster fitness at 1 of the 2 locations. We are
proposing an Estuarine Reintroduction Site Selection Model, which includes a
biological in situ parameter, to increase the probability of successfully
managing a sustainable oyster reintroduction before commencing expensive large
scale restoration activities.
PMID- 24917494
TI - Dyadic Event Attribution in Social Networks with Mixtures of Hawkes Processes.
AB - In many applications in social network analysis, it is important to model the
interactions and infer the influence between pairs of actors, leading to the
problem of dyadic event modeling which has attracted increasing interests
recently. In this paper we focus on the problem of dyadic event attribution, an
important missing data problem in dyadic event modeling where one needs to infer
the missing actor-pairs of a subset of dyadic events based on their observed
timestamps. Existing works either use fixed model parameters and heuristic rules
for event attribution, or assume the dyadic events across actor-pairs are
independent. To address those shortcomings we propose a probabilistic model based
on mixtures of Hawkes processes that simultaneously tackles event attribution and
network parameter inference, taking into consideration the dependency among
dyadic events that share at least one actor. We also investigate using additive
models to incorporate regularization to avoid overfitting. Our experiments on
both synthetic and real-world data sets on international armed conflicts suggest
that the proposed new method is capable of significantly improve accuracy when
compared with the state-of-the-art for dyadic event attribution.
PMID- 24917495
TI - An interview with Phil Ingham.
PMID- 24917496
TI - How to make a hippocampal dentate gyrus granule neuron.
AB - Granule neurons in the hippocampal dentate gyrus (DG) receive their primary
inputs from the cortex and are known to be continuously generated throughout
adult life. Ongoing integration of newborn neurons into the existing hippocampal
neural circuitry provides enhanced neuroplasticity, which plays a crucial role in
learning and memory; deficits in this process have been associated with cognitive
decline under neuropathological conditions. In this Primer, we summarize the
developmental principles that regulate the process of DG neurogenesis and discuss
recent advances in harnessing these developmental cues to generate DG granule
neurons from human pluripotent stem cells.
PMID- 24917498
TI - Combinatorial actions of Tgfbeta and Activin ligands promote oligodendrocyte
development and CNS myelination.
AB - In the embryonic CNS, development of myelin-forming oligodendrocytes is limited
by bone morphogenetic proteins, which constitute one arm of the transforming
growth factor-beta (Tgfbeta) family and signal canonically via Smads 1/5/8.
Tgfbeta ligands and Activins comprise the other arm and signal via Smads 2/3, but
their roles in oligodendrocyte development are incompletely characterized. Here,
we report that Tgfbeta ligands and activin B (ActB) act in concert in the
mammalian spinal cord to promote oligodendrocyte generation and myelination. In
mouse neural tube, newly specified oligodendrocyte progenitors (OLPs) are first
exposed to Tgfbeta ligands in isolation, then later in combination with ActB
during maturation. In primary OLP cultures, Tgfbeta1 and ActB differentially
activate canonical Smad3 and non-canonical MAP kinase signaling. Both ligands
enhance viability, and Tgfbeta1 promotes proliferation while ActB supports
maturation. Importantly, co-treatment strongly activates both signaling pathways,
producing an additive effect on viability and enhancing both proliferation and
differentiation such that mature oligodendrocyte numbers are substantially
increased. Co-treatment promotes myelination in OLP-neuron co-cultures, and
maturing oligodendrocytes in spinal cord white matter display strong Smad3 and
MAP kinase activation. In spinal cords of ActB-deficient Inhbb(-/-) embryos,
apoptosis in the oligodendrocyte lineage is increased and OLP numbers transiently
reduced, but numbers, maturation and myelination recover during the first
postnatal week. Smad3(-/-) mice display a more severe phenotype, including
diminished viability and proliferation, persistently reduced mature and immature
cell numbers, and delayed myelination. Collectively, these findings suggest that,
in mammalian spinal cord, Tgfbeta ligands and ActB together support
oligodendrocyte development and myelin formation.
PMID- 24917497
TI - Chromatin features and the epigenetic regulation of pluripotency states in ESCs.
AB - In pluripotent stem cells, the interplay between signaling cues, epigenetic
regulators and transcription factors orchestrates developmental potency.
Flexibility in gene expression control is imparted by molecular changes to the
nucleosomes, the building block of chromatin. Here, we review the current
understanding of the role of chromatin as a plastic and integrative platform to
direct gene expression changes in pluripotent stem cells, giving rise to distinct
pluripotent states. We will further explore the concept of epigenetic asymmetry,
focusing primarily on histone stoichiometry and their associated modifications,
that is apparent at both the nucleosome and chromosome-wide levels, and discuss
the emerging importance of these asymmetric chromatin configurations in
diversifying epigenetic states and their implications for cell fate control.
PMID- 24917499
TI - Stochastic specification of primordial germ cells from mesoderm precursors in
axolotl embryos.
AB - A common feature of development in most vertebrate models is the early
segregation of the germ line from the soma. For example, in Xenopus and zebrafish
embryos primordial germ cells (PGCs) are specified by germ plasm that is
inherited from the egg; in mice, Blimp1 expression in the epiblast mediates the
commitment of cells to the germ line. How these disparate mechanisms of PGC
specification evolved is unknown. Here, in order to identify the ancestral
mechanism of PGC specification in vertebrates, we studied PGC specification in
embryos from the axolotl (Mexican salamander), a model for the tetrapod ancestor.
In the axolotl, PGCs develop within mesoderm, and classic studies have reported
their induction from primitive ectoderm (animal cap). We used an axolotl animal
cap system to demonstrate that signalling through FGF and BMP4 induces PGCs. The
role of FGF was then confirmed in vivo. We also showed PGC induction by
Brachyury, in the presence of BMP4. These conditions induced pluripotent
mesodermal precursors that give rise to a variety of somatic cell types, in
addition to PGCs. Irreversible restriction of the germ line did not occur until
the mid-tailbud stage, days after the somatic germ layers are established. Before
this, germline potential was maintained by MAP kinase signalling. We propose that
this stochastic mechanism of PGC specification, from mesodermal precursors, is
conserved in vertebrates.
PMID- 24917500
TI - Notch signaling functions in lymphatic valve formation.
AB - Collecting lymphatic ducts contain intraluminal valves that prevent backflow. In
mice, lymphatic valve morphogenesis begins at embryonic day 15.5 (E15.5). In the
mesentery, Prox1 expression is high in valve-forming lymphatic endothelial cells,
whereas cells of the lymphatic ducts express lower levels of Prox1. Integrin
alpha9, fibronectin EIIIA, Foxc2, calcineurin and the gap junction protein Cx37
are required for lymphatic valve formation. We show that Notch1 is expressed
throughout the developing mesenteric lymphatic vessels at E16.5, and that, by
E18.5, Notch1 expression becomes highly enriched in the lymphatic valve
endothelial cells. Using a Notch reporter mouse, Notch activity was detected in
lymphatic valves at E17.5 and E18.5. The role of Notch in lymphatic valve
morphogenesis was studied using a conditional lymphatic endothelial cell driver
either to delete Notch1 or to express a dominant-negative Mastermind-like
(DNMAML) transgene. Deletion of Notch1 led to an expansion of Prox1(high) cells,
a defect in Prox1(high) cell reorientation and a decrease in integrin alpha9
expression at sites of valve formation. Expression of DNMAML, which blocks all
Notch signaling, resulted in a more severe phenotype characterized by a decrease
in valves, failure of Prox1(high) cells to cluster, and rounding of the nuclei
and decreased fibronectin-EIIIA expression in the Prox1(high) cells found at
valve sites. In human dermal lymphatic endothelial cells, activation of Notch1 or
Notch4 induced integrin alpha9, fibronectin EIIIA and Cx37 expression. We
conclude that Notch signaling is required for proper lymphatic valve formation
and regulates integrin alpha9 and fibronectin EIIIA expression during valve
morphogenesis.
PMID- 24917502
TI - Sema6a and Plxna2 mediate spatially regulated repulsion within the developing eye
to promote eye vesicle cohesion.
AB - Organs are generated from collections of cells that coalesce and remain together
as they undergo a series of choreographed movements to give the organ its final
shape. We know little about the cellular and molecular mechanisms that regulate
tissue cohesion during morphogenesis. Extensive cell movements underlie eye
development, starting with the eye field separating to form bilateral vesicles
that go on to evaginate from the forebrain. What keeps eye cells together as they
undergo morphogenesis and extensive proliferation is unknown. Here, we show that
plexina2 (Plxna2), a member of a receptor family best known for its roles in axon
and cell guidance, is required alongside the repellent semaphorin 6a (Sema6a) to
keep cells integrated within the zebrafish eye vesicle epithelium. sema6a is
expressed throughout the eye vesicle, whereas plxna2 is restricted to the ventral
vesicle. Knockdown of Plxna2 or Sema6a results in a loss of vesicle integrity,
with time-lapse microscopy showing that eye progenitors either fail to enter the
evaginating vesicles or delaminate from the eye epithelium. Explant experiments,
and rescue of eye vesicle integrity with simultaneous knockdown of sema6a and
plxna2, point to an eye-autonomous requirement for Sema6a/Plxna2. We propose a
novel, tissue-autonomous mechanism of organ cohesion, with neutralization of
repulsion suggested as a means to promote interactions between cells within a
tissue domain.
PMID- 24917503
TI - A regulatory receptor network directs the range and output of the Wingless
signal.
AB - The potent activity of Wnt/Wingless (Wg) signals necessitates sophisticated
mechanisms that spatially and temporally regulate their distribution and range of
action. The two main receptor components for Wg - Arrow (Arr) and Frizzled 2
(Fz2) - are transcriptionally downregulated by Wg signaling, thus forming
gradients that oppose that of Wg. Here, we analyze the relevance of this
transcriptional regulation for the formation of the Wg gradient in the Drosophila
wing disc by combining in vivo receptor overexpression with an in silico model of
Wg receptor interactions. Our experiments show that ubiquitous upregulation of
Arr and Fz2 has no significant effects on Wg output, whereas clonal
overexpression of these receptors leads to signaling discontinuities that have
detrimental phenotypic consequences. These findings are supported by our in
silico model for Wg diffusion and signal transduction, which suggests that abrupt
changes in receptor levels causes discontinuities in Wg signaling. Furthermore,
we identify a 200 bp regulatory element in the arr locus that can account for the
Arr gradient, and we show that this is indirectly negatively controlled by Wg
activity. Finally, we analyze the role of Frizzled 3 (Fz3) in this system and
find that its expression, which is induced by Wg, contributes to the
establishment of the Arr and Fz2 gradients through counteracting canonical
signaling. Taken together, our results provide a model in which the regulatory
network of Wg and the three receptor components account for the range and shape
of this prototypical morphogen system.
PMID- 24917501
TI - A neuron autonomous role for the familial dysautonomia gene ELP1 in sympathetic
and sensory target tissue innervation.
AB - Familial dysautonomia (FD) is characterized by severe and progressive sympathetic
and sensory neuron loss caused by a highly conserved germline point mutation of
the human ELP1/IKBKAP gene. Elp1 is a subunit of the hetero-hexameric
transcriptional elongator complex, but how it functions in disease-vulnerable
neurons is unknown. Conditional knockout mice were generated to characterize the
role of Elp1 in migration, differentiation and survival of migratory neural crest
(NC) progenitors that give rise to sympathetic and sensory neurons. Loss of Elp1
in NC progenitors did not impair their migration, proliferation or survival, but
there was a significant impact on post-migratory sensory and sympathetic neuron
survival and target tissue innervation. Ablation of Elp1 in post-migratory
sympathetic neurons caused highly abnormal target tissue innervation that was
correlated with abnormal neurite outgrowth/branching and abnormal cellular
distribution of soluble tyrosinated alpha-tubulin in Elp1-deficient primary
sympathetic and sensory neurons. These results indicate that neuron loss and
physiologic impairment in FD is not a consequence of abnormal neuron progenitor
migration, differentiation or survival. Rather, loss of Elp1 leads to neuron
death as a consequence of failed target tissue innervation associated with
impairments in cytoskeletal regulation.
PMID- 24917504
TI - The facial neural crest controls fore- and midbrain patterning by regulating
Foxg1 expression through Smad1 activity.
AB - The facial neural crest (FNC), a pluripotent embryonic structure forming
craniofacial structures, controls the activity of brain organisers and stimulates
cerebrum growth. To understand how the FNC conveys its trophic effect, we have
studied the role of Smad1, which encodes an intracellular transducer, to which
multiple signalling pathways converge, in the regulation of Foxg1. Foxg1 is a
transcription factor essential for telencephalic specification, the mutation of
which leads to microcephaly and mental retardation. Smad1 silencing, based on RNA
interference (RNAi), was performed in pre-migratory FNC cells. Soon after
electroporation of RNAi molecules, Smad1 inactivation abolished the expression of
Foxg1 in the chick telencephalon, resulting in dramatic microcephaly and partial
holoprosencephaly. In addition, the depletion of Foxg1 activity altered the
expression Otx2 and Foxa2 in di/mesencephalic neuroepithelium. However, when
mutated forms of Smad1 mediating Fgf and Wnt signalling were transfected into FNC
cells, these defects were overcome. We also show that, downstream of Smad1
activity, Dkk1, a Wnt antagonist produced by the FNC, initiated the specification
of the telencephalon by regulating Foxg1 activity. Additionally, the activity of
Cerberus in FNC-derived mesenchyme synergised with Dkk1 to control Foxg1
expression and maintain the balance between Otx2 and Foxa2.
PMID- 24917505
TI - Cadherin 6 promotes neural crest cell detachment via F-actin regulation and
influences active Rho distribution during epithelial-to-mesenchymal transition.
AB - The epithelial-to-mesenchymal transition (EMT) is a complex change in cell
phenotype that is important for cell migration, morphogenesis and carcinoma
metastasis. Loss of epithelial cell adhesion and tight regulation of cadherin
adhesion proteins are crucial for EMT. Cells undergoing EMT often display
cadherin switching, where they downregulate one cadherin and induce expression of
another. However, the functions of the upregulated cadherins and their effects on
cell motility are poorly understood. Neural crest cells (NCCs), which undergo EMT
during development, lose N-cadherin and upregulate Cadherin 6 (Cdh6) prior to
EMT. Cdh6 has been suggested to suppress EMT via cell adhesion, but also to
promote EMT by mediating pro-EMT signals. Here, we determine novel roles for Cdh6
in generating cell motility during EMT. We use live imaging of NCC behavior in
vivo to show that Cdh6 promotes detachment of apical NCC tails, an important
early step of EMT. Furthermore, we show that Cdh6 affects spatiotemporal dynamics
of F-actin and active Rho GTPase, and that Cdh6 is required for accumulation of F
actin in apical NCC tails during detachment. Moreover, Cdh6 knockdown alters the
subcellular distribution of active Rho, which is known to promote localized
actomyosin contraction that is crucial for apical NCC detachment. Together, these
data suggest that Cdh6 is an important determinant of where subcellular
actomyosin forces are generated during EMT. Our results also identify mechanisms
by which an upregulated cadherin can generate cell motility during EMT.
PMID- 24917506
TI - Atlas-builder software and the eNeuro atlas: resources for developmental biology
and neuroscience.
AB - A major limitation in understanding embryonic development is the lack of cell
type-specific markers. Existing gene expression and marker atlases provide
valuable tools, but they typically have one or more limitations: a lack of single
cell resolution; an inability to register multiple expression patterns to
determine their precise relationship; an inability to be upgraded by users; an
inability to compare novel patterns with the database patterns; and a lack of
three-dimensional images. Here, we develop new 'atlas-builder' software that
overcomes each of these limitations. A newly generated atlas is three
dimensional, allows the precise registration of an infinite number of cell type
specific markers, is searchable and is open-ended. Our software can be used to
create an atlas of any tissue in any organism that contains stereotyped cell
positions. We used the software to generate an 'eNeuro' atlas of the Drosophila
embryonic CNS containing eight transcription factors that mark the major CNS cell
types (motor neurons, glia, neurosecretory cells and interneurons). We found
neuronal, but not glial, nuclei occupied stereotyped locations. We added 75 new
Gal4 markers to the atlas to identify over 50% of all interneurons in the ventral
CNS, and these lines allowed functional access to those interneurons for the
first time. We expect the atlas-builder software to benefit a large proportion of
the developmental biology community, and the eNeuro atlas to serve as a publicly
accessible hub for integrating neuronal attributes - cell lineage, gene
expression patterns, axon/dendrite projections, neurotransmitters--and linking
them to individual neurons.
PMID- 24917508
TI - Arthroscopic evaluation for omalgia patients undergoing the clavicular hook plate
fixation of distal clavicle fractures.
AB - BACKGROUND: The aim of this study is to investigate the anatomic changes in the
shoulder joints responsible for omalgia after the clavicular hook plate fixation
under arthroscope. METHODS: Arthroscopic examination was carried out for 12
omalgia patients who underwent clavicular hook plate fixation due to distal
clavicle fractures. Functional outcome of shoulder was measured by the Japanese
Orthopaedic Association (JOA) score before and after the withdrawal of the
fixation plate. RESULTS: The rotator cuff compression by the clavicular hook was
arthroscopically observed in 11 of the 12 cases. The JOA scores of the shoulder
were significantly improved at 1 month after the withdrawal of the fixation plate
(pain, 28 +/- 2.4 vs. 15 +/- 5.2; function, 19.2 +/- 1.0 vs. 11.7 +/- 1.9; range
of movements, 26.8 +/- 2.6 vs. 14.8 +/- 3.4) compared with before. CONCLUSIONS:
The impingement of the hook to the rotator cuff may be the main cause for the
omalgia. The appropriate hook and plate that fit to the curve of the clavicle as
well as the acromion are necessary to decrease the severity of omalgia.
PMID- 24917510
TI - Spatially varying regularization of deconvolution in 3D microscopy.
AB - Confocal microscopy has become an essential tool to explore biospecimens in 3D.
Confocal microcopy images are still degraded by out-of-focus blur and Poisson
noise. Many deconvolution methods including the Richardson-Lucy (RL) method,
Tikhonov method and split-gradient (SG) method have been well received. The RL
deconvolution method results in enhanced image quality, especially for Poisson
noise. Tikhonov deconvolution method improves the RL method by imposing a prior
model of spatial regularization, which encourages adjacent voxels to appear
similar. The SG method also contains spatial regularization and is capable of
incorporating many edge-preserving priors resulting in improved image quality.
The strength of spatial regularization is fixed regardless of spatial location
for the Tikhonov and SG method. The Tikhonov and the SG deconvolution methods are
improved upon in this study by allowing the strength of spatial regularization to
differ for different spatial locations in a given image. The novel method shows
improved image quality. The method was tested on phantom data for which ground
truth and the point spread function are known. A Kullback-Leibler (KL) divergence
value of 0.097 is obtained with applying spatially variable regularization to the
SG method, whereas KL value of 0.409 is obtained with the Tikhonov method. In
tests on a real data, for which the ground truth is unknown, the reconstructed
data show improved noise characteristics while maintaining the important image
features such as edges.
PMID- 24917511
TI - Sinus sternoclavicularis: a congenital cervical sinus.
AB - We try to characterize a previously rather neglected congenital cervical sinus
located in the sternoclavicular area in five children. This sinus showed
extension to the left sternoclavicular joint in all patients, so we call this
congenital lesion "sinus sternoclavicularis." With knowledge of this congenital
lesion, diagnosis can easily be established based on case history and clinical
examination; no further radiological tests are required. Surgical excision is the
treatment of choice. The chance of recurrence seems to be high because of
misinterpretation of the lesion.
PMID- 24917509
TI - Comorbidities predict worse prognosis in patients with primary myelofibrosis.
AB - BACKGROUND: Comorbidities have been shown to play an important role in the
prognostic assessment of several hematologic conditions; however, the role of
comorbidities in primary myelofibrosis has not been studied. The objective of the
current study was to evaluate the prevalence and impact of comorbidities in
patients with primary myelofibrosis (PMF) using the Adult Comorbidity Evaluation
27 (ACE-27). METHODS: In this retrospective observational cohort study, a total
of 349 consecutive patients with a confirmed diagnosis of PMF who presented to
the study institution from 2000 to 2008 were evaluated. The authors evaluated the
frequency and severity of comorbidities in these patients and assessed their
impact on survival in a bivariable model that included the ACE-27 and Dynamic
International Prognostic Scoring System scores as covariates. RESULTS:
Approximately 64% of patients had at least 1 comorbid condition, and diseases of
the cardiovascular system (63%) were most common. Comorbidities had a significant
negative impact on survival (P < .001). Patients with severe comorbidities had
twice the risk of death as those with no comorbidities. When stratified by
demographic and clinical characteristics, comorbidities were found to be
significantly associated with worse survival in patients aged < 65 years (P <
.001) and those with an ECOG performance status < 1 (P < .001). In a
multivariable model that included the ACE-27 and Dynamic International Prognostic
Scoring System scores, comorbidities retained a significant association with
shorter survival (P <= .001). CONCLUSIONS: The assessment of comorbid conditions
in patients with PMF, particularly those who are younger and with a good
performance status, has important implications for overall prognosis and
treatment planning.
PMID- 24917512
TI - Liposarcoma in clownfish, Amphiprion ocellaris Cuvier, produced in indoor
aquaculture.
AB - Clownfish, Amphiprion ocellaris Cuvier, produced and grown in an experimental
indoor aquaculture facility, presented with lipomatous tumours. A total of 14
affected fish were examined. Based on the total number of fish at the aquaculture
facility at the time of outbreak of this pathology, the scope of the incident is
estimated to be 1 of 300 fish. The tumours were characterized by the presence of
mature adipocytes of variable sizes, lipoblasts and by an invasive behaviour,
which affected internal organs, muscle, central nervous system and, in one case,
an eye. Detailed macroscopic and histopathological features are presented. The
suggested diagnosis is that of a well-differentiated liposarcoma, a diagnosis so
far never applied to fish. The limited outbreak of the neoplasm lasted a few
months in 2011 and did not recur. Possible factors leading to this phenomenon,
notably the metastasis, are discussed.
PMID- 24917513
TI - Core-shell structure microcapsules with dual pH-responsive drug release function.
AB - We report dual pH-responsive microcapsules manufactured by combining
electrostatic droplets (ESD) and microfluidic droplets (MFD) techniques to
produce monodisperse core (alginate)-shell (chitosan) structure with dual pH
responsive drug release function. The fabricated core-shell microcapsules were
size controllable by tuning the synthesis parameters of the ESD and MFD systems,
and were responsive in both acidic and alkaline environment, We used two model
drugs (ampicillin loaded in the chitosan shell and diclofenac loaded in the
alginate core) for drug delivery study. The results show that core-shell
structure microcapsules have better drug release efficiency than respective core
or shell particles. A biocompatibility test showed that the core-shell structure
microcapsules presented positive cell viability (above 80%) when evaluated by the
3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assay. The
results indicate that the synthesized core-shell microcapsules were a potential
candidate of dual-drug carriers.
PMID- 24917514
TI - Nothosaur foraging tracks from the Middle Triassic of southwestern China.
AB - The seas of the Mesozoic (266-66 Myr ago) were remarkable for predatory marine
reptiles, but their modes of locomotion have been debated. One problem has been
the absence of tracks, although there is no reason to expect that swimmers would
produce tracks. We report here seabed tracks made by Mesozoic marine reptiles,
produced by the paddles of nothosaurs (Reptilia, Sauropterygia) in the Middle
Triassic of the Luoping localities in Yunnan, southwestern China. These show that
the track-making nothosaurs used their forelimbs for propulsion, they generally
rowed (both forelimbs operating in unison rather than alternately), and the
forelimb entered medially, dug in as the paddle tip gained purchase, and withdrew
cleanly. These inferences may provide evidence for swimming modes, or it could be
argued that the locomotory modes indicated by the tracks were restricted to such
contact propulsion. Such punting behaviour may have been used to flush prey from
the bottom muds.
PMID- 24917516
TI - Allosteric regulation of the P2X4 receptor channel pore dilation.
AB - Allosteric modulators of ligand-gated receptor channels induce conformational
changes of the entire protein that alter potencies and efficacies for orthosteric
ligands, expressed as the half maximal effective concentration (EC50) and maximum
current amplitude, respectively. Here, we studied the influence of allostery on
channel pore dilation, an issue not previously addressed. Experiments were done
using the rat P2X4 receptor expressed in human embryonic kidney 293T cells and
gated by adenosine 5'-triphosphate (ATP) in the presence and absence of
ivermectin (IVM), an established positive allosteric regulator of this channel.
In the absence of IVM, this channel activates and deactivates rapidly, does not
show transition from open to dilated states, desensitizes completely with a
moderate rate, and recovers only fractionally during washout. IVM treatment
increases the efficacy of ATP to activate the channel and slows receptor
desensitization during sustained ATP application and receptor deactivation after
ATP washout. The rescue of the receptor from desensitization temporally coincides
with pore dilation, and the dilated channel can be reactivated after washout of
ATP. Experiments with vestibular and transmembrane domain receptor mutants
further established that IVM has distinct effects on opening and dilation of the
channel pore, the first accounting for increased peak current amplitude and the
latter correlating with changes in the EC50 and kinetics of receptor
deactivation. The corresponding kinetic (Markov state) model indicates that the
IVM-dependent transition from open to dilated state is coupled to receptor
sensitization, which rescues the receptor from desensitization and subsequent
internalization. Allosterically induced sensitization of P2X4R thus provides
sustained signaling during prolonged and repetitive ATP stimulation.
PMID- 24917517
TI - Outcome Indicators for Home Parenteral Nutrition Care: Point of View From Adult
Patients With Benign Disease.
AB - BACKGROUND AND AIMS: Patients receiving home parenteral nutrition (HPN) deserve a
high-quality and patient-centered care. Patient-centered care can be delivered
only if the patient's priorities and concerns are known. Therefore, the aim is to
identify the top 3 most important outcome indicators according to patients'
perspectives and the differences between several centers, HPN regimen, and HPN
experience. METHODS: A questionnaire, based on previously developed outcome
indicators, was translated into the mother tongue using forward-backward
translation and distributed to adult HPN patients with benign disease in March
2013. To identify differences, a Kruskal-Wallis or Mann-Whitney test was
performed with GraphPad Prism (significance level <.05) when applicable. RESULTS:
Nine centers over 8 countries (300 patients) participated. The top 3 outcome
indicators for patients were (1) incidence of catheter-related infection (CRI),
(2) survival, and (3) quality of life (QoL). Between the participating centers,
significant differences on rating were found for 5 outcome indicators (catheter
obstruction, .015; weight, .002; energy, .010; fear, <.001; and independence,
.010). The independence outcome indicator (.050) was considered less important
for experienced (>2 years HPN) vs less experienced patients. For this outcome
indicator, patients' view also differed significantly based on number of HPN days
per week (.0103). CONCLUSION: A cohort of HPN patients identified incidence of
CRI, survival, and QoL as the most important outcome indicators for their care;
however, there were significant differences between the participating centers.
For one outcome indicator (independence), there were significant differences
based on experience and regimen.
PMID- 24917518
TI - Quantitative longitudinal evaluation of diaschisis-related cerebellar perfusion
and diffusion parameters in patients with supratentorial hemispheric high-grade
gliomas after surgery.
AB - Decreased cerebral blood volume (CBV) in contralateral cerebellar gray matter
(cGM) in conjunction with cerebellar white matter (cWM) damage, consistent with
crossed cerebro-cerebellar diaschisis (cCCD) develop following supratentorial
hemispheric stroke. In this study, we investigated the longitudinal evolution of
diaschisis-related cerebellar perfusion and diffusion tensor-imaging (DTI)
changes in patients after surgery for supratentorial brain tumors. Eight patients
(M:F 5:3, age 8-22 years) who received surgery for supratentorial high-grade
gliomas were evaluated. Initial MRI studies were performed 19-54 days
postoperatively, with follow-ups at 2- to 3-month intervals. For each study,
parametric maps of the cerebellum were generated and coregistered to T1-weighted
images that had been previously segmented for cGM and cWM. Aggregate mean values
of CBV, cerebral blood flow (CBF), and fractional anisotropy (FA) were obtained
separately for cGM and cWM, and asymmetry indices (AIs) were calculated.
Hemodynamic changes were more robust in cGM than in cWM. Seven patients showed
decreased perfusion within cGM contralateral to the supratentorial lesion on the
first postoperative study, and asymmetry was significant for both CBV (p = 0.008)
and CBF (p < 0.01). For CBV, follow-up studies showed a significant trend towards
recovery (p < 0.02). DTI changes were more pronounced in cWM. FA values suggested
a "paradoxical" increase at initial follow-up, but steadily declined thereafter
(p = 0.0003), without evidence of subsequent recovery. Diaschisis-related
hemodynamic alterations within cGM appear on early postoperative studies, but CBV
recovers over time. Conversely, cWM DTI changes are delayed and progressive.
Although the clinical correlates of cCCD are yet to be elucidated, better
understanding of longitudinal structural and hemodynamic changes within brain
remote from the area of primary insult could have implications in research and
clinical rehabilitative strategies.
PMID- 24917519
TI - Phylogenetic analysis and molecular signatures defining a monophyletic clade of
heterocystous cyanobacteria and identifying its closest relatives.
AB - Detailed phylogenetic and comparative genomic analyses are reported on 140 genome
sequenced cyanobacteria with the main focus on the heterocyst-differentiating
cyanobacteria. In a phylogenetic tree for cyanobacteria based upon concatenated
sequences for 32 conserved proteins, the available cyanobacteria formed 8-9
strongly supported clades at the highest level, which may correspond to the
higher taxonomic clades of this phylum. One of these clades contained all
heterocystous cyanobacteria; within this clade, the members exhibiting either
true (Nostocales) or false (Stigonematales) branching of filaments were
intermixed indicating that the division of the heterocysts-forming cyanobacteria
into these two groups is not supported by phylogenetic considerations. However,
in both the protein tree as well as in the 16S rRNA gene tree, the akinete
forming heterocystous cyanobacteria formed a distinct clade. Within this clade,
the members which differentiate into hormogonia or those which lack this ability
were also separated into distinct groups. A novel molecular signature identified
in this work that is uniquely shared by the akinete-forming heterocystous
cyanobacteria provides further evidence that the members of this group are
specifically related and they shared a common ancestor exclusive of the other
cyanobacteria. Detailed comparative analyses on protein sequences from the
genomes of heterocystous cyanobacteria reported here have also identified eight
conserved signature indels (CSIs) in proteins involved in a broad range of
functions, and three conserved signature proteins, that are either uniquely or
mainly found in all heterocysts-forming cyanobacteria, but generally not found in
other cyanobacteria. These molecular markers provide novel means for the
identification of heterocystous cyanobacteria, and they provide evidence of their
monophyletic origin. Additionally, this work has also identified seven CSIs in
other proteins which in addition to the heterocystous cyanobacteria are uniquely
shared by two smaller clades of cyanobacteria, which form the successive
outgroups of the clade comprising of the heterocystous cyanobacteria in the
protein trees. Based upon their close relationship to the heterocystous
cyanobacteria, the members of these clades are indicated to be the closest
relatives of the heterocysts-forming cyanobacteria.
PMID- 24917520
TI - Loss of expression of the tumour suppressor gene AIMP3 predicts survival
following radiotherapy in muscle-invasive bladder cancer.
AB - The aim of this study was to test the utility of AIMP3, an upstream regulator of
DNA damage response following genotoxic stress, as a clinical biomarker in muscle
invasive bladder cancer (MIBC). AIMP3 was identified from a meta-analysis of a
global gene-expression dataset. AIMP3 protein expression was determined by
immunohistochemistry on a customised bladder cancer tissue-microarray (TMA). The
mechanism of gene silencing was probed using methylation-specific PCR. The
association between AIMP3 expression, Tp53 transactivity and genomic stability
was analysed. In vitro AIMP3 translocation to the nucleus in response to ionising
radiation was demonstrated using immunofluorescence. Radiosensitisation effects
of siRNA-mediated AIMP3-knockdown were measured using colony forming assays. TMAs
derived from patients enrolled in BCON, a Phase III multicentre radiotherapy
trial in bladder cancer (ISRCTN45938399) were used to evaluate the association
between AIMP3 expression and survival. The prognostic value of AIMP3 expression
was determined in a TMA derived from patients treated by radical cystectomy. Loss
of AIMP3 expression was frequent in MIBC and associated with impaired Tp53
transactivity and genomic instability. AIMP3-knockdown was associated with an
increase in radioresistance. Loss of AIMP3 expression was associated with
survival in MIBC patients following radiotherapy (HR = 0.53; 95% CI: 0.36 to
0.78, p = 0.002) but was not prognostic in the cystectomy set. In conclusion,
AIMP3 expression is lost in a subset of bladder cancers and is significantly
predictive of survival following radiotherapy in MIBC patients.
PMID- 24917521
TI - One-step interfacial thiol-ene photopolymerization for metal nanoparticle
decorated microcapsules (MNP@MCs).
AB - We herein reported a one-step strategy to prepare the noble metal nanoparticle
decorated microcapsules (MNP@MCs) through the interfacial thiol-ene
photopolymerization. In the presence of amphiphlic polyhedral oligomeric
silsesquioxane (POSS) containing thiol groups (PTPS) as a reactive surfactant and
trimethylolpropane triacrylate (TMPTA) as a cross-linker, the oil phase of
toluene dissolved with a photoinitiator was emulsified into a water phase
containing a metal precursor to form an oil-in-water (O/W) emulsion. Upon
irradiation of ultraviolet (UV) light, the thiol-ene photoploymerization and
photoreduction at the interface of toluene/water lead to the formation of the
cross-linked wall and metal nanoparticles, respectively. A series of gold,
silver, and platinum nanoparticle-decorated microcapsules (AuNP@MC, AgNP@MC, and
PtNP@MC) were prepared through this one-step interfacial thiol-ene
photopolymerization and were characterized carefully by scanning electron
microscopy (SEM), transmission electron microscopy (TEM), and atomic force
microscopy (AFM). The results revealed that the obtained MNP@MCs were 2.2-2.7 MUm
in diameter with a wall of 40-70 nm in thickness, which was covered with the
metal nanoparticles. The size and amount of metal nanoparticles increased with
the increasing concentration of the metal precursor in water. Furthermore, the
catalyst performance of AuNP@MC was studied by reduction of aromatic nitro
compounds and exhibited the enhanced catalytic activity and good stability in the
reduction of hydrophobic nitrophenol. It is believed that this robust,
convenient, simple strategy based on the one-step interfacial thiol-ene
photopolymerization will provide an important alternative to fabricate the
functional metal nanoparticle-modified microcapsules.
PMID- 24917522
TI - Anti-diabetic effect of dietary mango (Mangifera indica L.) peel in
streptozotocin-induced diabetic rats.
AB - BACKGROUND: In the present study, the composition of mango peel powder (MPP)
collected from the mango pulp industry was determined and the effect of MPP on
ameliorating diabetes and its associated complications was studied. RESULTS:
Mango peel was rich in polyphenols, carotenoids and dietary fibre. Peel extract
contained various bioactive compounds and was found to be rich in soluble dietary
fibre. Peel extract exhibited antioxidant properties and protected against DNA
damage. Therefore, the effect of peel on ameliorating diabetes was investigated
in a rat model of diabetes. A significant increase in urine sugar, urine volume,
fasting blood glucose, total cholesterol, triglycerides and low density
lipoprotein, and decrease in high density lipoprotein were observed in the rats;
however, these parameters were ameliorated in diabetic rats fed with diet
supplemented with mango peel at 5% and 10% levels in basal diet. Treatment of
diabetic rats with MPP increased antioxidant enzyme activities and decreased
lipid peroxidation in plasma, kidney and liver compared to untreated diabetic
rats. Glomerular filtration rate and microalbuminuria levels were ameliorated in
MPP treated diabetic group. CONCLUSIONS: Mango peel, a by-product, can be used as
an ingredient in functional and therapeutic foods.
PMID- 24917525
TI - A demonstration of the similiarities and differences in bone marrow morphology
with nontuberculous mycobacterial infections.
PMID- 24917523
TI - Pnpla3I148M knockin mice accumulate PNPLA3 on lipid droplets and develop hepatic
steatosis.
AB - A sequence polymorphism (rs738409, I148M) in patatin-like phospholipid domain
containing protein 3 (PNPLA3) is strongly associated with nonalcoholic fatty
liver disease (NAFLD), but the mechanistic basis for this association remains
enigmatic. Neither ablation nor overexpression of wild-type PNPLA3 affects liver
fat content in mice, whereas hepatic overexpression of the human 148M transgene
causes steatosis. To determine whether the 148M allele causes fat accumulation in
the liver when expressed at physiological levels, we introduced a methionine
codon at position 148 of the mouse Pnpla3 gene. Knockin mice had normal levels of
hepatic fat on a chow diet, but when challenged with a high-sucrose diet their
liver fat levels increased 2 to 3-fold compared to wild-type littermates without
any associated changes in glucose homeostasis. The increased liver fat in the
knockin mice was accompanied by a 40-fold increase in PNPLA3 on hepatic lipid
droplets, with no increase in hepatic PNPLA3 messenger RNA (mRNA). Similar
results were obtained when the catalytic dyad of PNPLA3 was inactivated by
substituting the catalytic serine with alanine (S47A). CONCLUSION: These data
provide the first direct evidence that physiological expression of PNPLA3 148M
variant causes NAFLD, and that the accumulation of catalytically inactive PNPLA3
on the surfaces of lipid droplets is associated with the accumulation of TG in
the liver.
PMID- 24917524
TI - Uveitis profile and treatment response in Iranian patients with sarcoidosis.
AB - The aim of the study was to assess the clinical features and treatment responses
in Iranian patients with sarcoid uveitis. A retrospective review of patients
diagnosed with sarcoid uveitis from 1996 to 2010 was performed in a referral
clinic in Tehran, Iran. Demographic and clinical features of patients, treatment
modalities and therapeutic responses, and outcomes were recorded. Sixty-six eyes
from 36 patients were studied. Twenty cases had biopsy-proven sarcoidosis. Mean
duration of follow-up was 44.7 +/- 45 months (range 3-175). Thirty-six eyes (54.5
%) had intermediate uveitis, 25 (37.9 %) panuveitis, and 5 (7.6 %) anterior
uveitis. Twenty patients (55.5 %) responded to both systemic and/or topical
corticosteroids, and 16 (44.4 %) required immunomodulatory drugs for control of
uveitis. All of the patients finally responded to treatment in the form of
inflammation reduction and/or vision improvement. The average time interval
before initial clinical response following treatment was 3.2 +/- 3 months (range
1-72). This study disclosed a higher predominance of females and intermediate
form of uveitis in Iranian patients with sarcoid uveitis. Use of immunomodulatory
drugs combined with corticosteroids resulted in good visual outcome and control
of uveitis with a possible fewer corticosteroid side effects.
PMID- 24917526
TI - Imaging modalities for the in vivo surveillance of mesenchymal stromal cells.
AB - Bone marrow stromal cells exist as mesenchymal stromal cells (MSCs) and have the
capacity to differentiate into multiple tissue types when subjected to
appropriate culture conditions. This property of MSCs creates therapeutic
opportunities in regenerative medicine for the treatment of damage to neural,
cardiac and musculoskeletal tissues or acute kidney injury. The prerequisite for
successful cell therapy is delivery of cells to the target tissue. Assessment of
therapeutic outcomes utilize traditional methods to examine cell function of MSC
populations involving routine biochemical or histological analysis for cell
proliferation, protein synthesis and gene expression. However, these methods do
not provide sufficient spatial and temporal information. In vivo surveillance of
MSC migration to the site of interest can be performed through a variety of
imaging modalities such as the use of radiolabelling, fluc protein expression
bioluminescence imaging and paramagnetic nanoparticle magnetic resonance imaging.
This review will outline the current methods of in vivo surveillance of
exogenously administered MSCs in regenerative medicine while addressing potential
technological developments. Furthermore, nanoparticles and microparticles for
cellular labelling have shown that migration of MSCs can be spatially and
temporally monitored. In vivo surveillance therefore permits time-stratified
assessment in animal models without disruption of the target organ. In vivo
tracking of MSCs is non-invasive, repeatable and non-toxic. Despite the
excitement that nanoparticles for tracking MSCs offer, delivery methods are
difficult because of the challenges with imaging three-dimensional systems. The
current advances and growth in MSC research, is likely to provide a wealth of
evidence overcoming these issues.
PMID- 24917527
TI - Evaluation of psychological guidance impact on complete denture wearer's
satisfaction.
AB - The purpose of this study was to evaluate the impact of psychological
intervention on edentulous patients' satisfaction with complete clinically
satisfactory complete dentures. The survey was conducted in China Medical
University involving 84 individuals receiving complete dentures at this
institution from August 2013 to March 2014. Participants were randomly allocated
to intervention and control groups consisting of 42 subjects, respectively. In
the intervention group, individuals received oral health education and
psychological intervention before and after the whole process. In the control
group, 42 cases received doctor's regular advice after treatment. Satisfaction
regarding aesthetic, speech, mastication, retention and comfort was rated in the
first and third month after prosthesis treatment. Patients overall aesthetic,
speech, mastication and retention were significantly improved in both
intervention and control groups in 3 months later after prosthesis treatment when
compared with the first month (P < 0.05). No significant difference existed for
comfort in control group. Patient scores on aesthetic, speech, mastication and
retention at both first and third month evaluations (P < 0.05) differed between
the control and intervention groups. However, there was no difference for their
scores related to comfort (P > 0.05) in first and third month. The study
concludes that psychological intervention plays a significant role in improving
patient satisfaction with complete dentures.
PMID- 24917528
TI - Predicting the relative solubilities of racemic and enantiopure crystals by
density-functional theory.
AB - Isolation of chiral molecules as pure enantiomers remains a fundamental challenge
in chemical research. Enantioselective enrichment through preferential
crystallization is an efficient method to achieve enantiopure compounds, but its
applicability depends on the relative stability of the enantiopure and racemic
crystal forms. Using a simple thermodynamic model and first-principles density
functional calculations, it is possible to predict the difference in solubility
between the enantiopure and racemic solid phases. This approach uses dispersion
corrected density functionals and is capable of accurately predicting the
solution-phase entantiomeric excess to within about 10 % of experimental
measurements on average. The accuracy of the exchange-hole dipole moment (XDM)
model of dispersion enables the viability of the proposed method.
PMID- 24917529
TI - A simple and robust test object for the assessment of isotropic diffusion
kurtosis.
AB - PURPOSE: To create a robust test object for the assessment of isotropic diffusion
kurtosis and to investigate the relationships between barrier concentration and
kurtosis and diffusion coefficients. THEORY AND METHODS: Diffusion kurtosis
imaging is an extension of conventional diffusion-weighted magnetic resonance
imaging which provides a means of assessing the degree to which diffusion
processes of spin-bearing particles are non-Gaussian, a property that is
quantified by the kurtosis. We present a set of test objects, each possessing a
different concentration of colloidal dispersion, allowing barrier concentration
of the dispersed colloidal particles to be related to the kurtosis of the water
diffusion. Diffusion coefficients from the kurtosis model and the monoexponential
model are compared. RESULTS: A relationship between barrier concentration and
kurtosis is found, demonstrating that the diffusion process becomes less Gaussian
as the barrier concentration is increased. Differences in the two estimates for
the diffusion coefficients are examined. The test object is robust, displaying
long-term reproducibility of results. CONCLUSIONS: Colloidal dispersions provide
a suitable and stable test object for the assessment and reproducibility
measurements of kurtosis.
PMID- 24917530
TI - Prediction of pediatric bipolar disorder using neuroanatomical signatures of the
amygdala.
AB - OBJECTIVES: Pediatric bipolar disorder is currently diagnosed based on signs and
symptoms, and without objective diagnostic biomarkers. In the present study, we
investigated the utility of structural neuroanatomical signatures of the amygdala
to objectively differentiate individual subjects with pediatric bipolar disorder
from matched healthy controls. METHODS: Structural T1 -weighted neuroimaging
scans were obtained from 16 children and adolescents with unmedicated DSM-IV
bipolar disorder (11 males, five females) and 16 matched healthy controls (11
males, five females). Voxel-based gray matter morphometric features extracted
from a bilateral region-of-interest within the amygdala were used to develop a
multivariate pattern analysis model which was utilized in predicting novel or
'unseen' individual subjects as either bipolar disorder or healthy controls.
RESULTS: The model assigned 25 out of 32 subjects the correct label (bipolar
disorder/healthy) translating to a 78.12% diagnostic accuracy, 81.25%
sensitivity, 75.00% specificity, 76.47% positive predictive value, and 80.00%
negative predictive value and an area under the receiver operating characteristic
curve (ROC) of 0.81. The predictions were significant at p = 0.0014 (chi(2) test
p-value). CONCLUSIONS: These results reaffirm previous reports on the existence
of neuroanatomical abnormalities in the amygdala of pediatric patients with
bipolar disorder. Remarkably, the present study also demonstrates that
neuroanatomical signatures of the amygdala can predict individual subjects with
bipolar disorder with a relatively high specificity and sensitivity. To the best
of our knowledge, this is the first study to present a proof-of-concept
diagnostic marker of pediatric bipolar disorder based on structural neuroimaging
scans of largely medication-naive patients.
PMID- 24917531
TI - Five-year follow up of a randomised controlled trial comparing subtotal with
total abdominal hysterectomy.
AB - OBJECTIVES: To compare the rates of urinary incontinence (UI) and other
complications of subtotal abdominal hysterectomy (SAH) with total abdominal
hysterectomy (TAH) at 5 years after surgery. DESIGN: Randomised clinical trial
with central, computer-generated randomisation. SETTING: Danish multi-centre
trial performed in 11 departments of gynaecology. POPULATION: Women referred with
benign uterine diseases scheduled for abdominal hysterectomy. METHODS: Women were
randomised to either SAH (n = 161) or TAH (n = 158). Follow-up data were
collected from participants using postal questionnaires sent out 5 years after
surgery. Complications of hysterectomy were further examined by scrutinising
registered discharge summaries following hospitalisation. Intention-to-treat and
per-protocol analyses were conducted. Potential bias caused by missing data was
handled using multiple imputation. MAIN OUTCOME MEASURES: The primary outcome was
UI. Secondary outcomes included constipation, prolapse of the vaginal vault or
cervical stump, satisfaction with sexual life, pelvic pain, postoperative
complications and vaginal bleeding. RESULTS: The response rate was 234/319
(73.4%). A significantly higher proportion of respondents had urinary
incontinence 5 years after SAH 34/113 (30.1%) than TAH 21/119 (17.6%) (RR 1.71,
95% confidence interval 1.06-2.75, P = 0.026). This difference reduced after
multiple imputation to account for missing data (RR 1.37, 95% confidence interval
0.99-1.89, P = 0.052). Eleven of the 101 women (11%) in the SAH group still
experienced vaginal bleeding. No other differences were found between the two
types of abdominal hysterectomy. AUTHORS' CONCLUSIONS: A smaller proportion of
women suffered from UI after TAH than after SAH 5 years postoperatively. Around
one in ten women continued to experience vaginal bleeding 5 years after SAH.
PMID- 24917532
TI - Origins and functional diversification of salinity-responsive Na(+) , K(+) ATPase
alpha1 paralogs in salmonids.
AB - The Salmoniform whole-genome duplication is hypothesized to have facilitated the
evolution of anadromy, but little is known about the contribution of paralogs
from this event to the physiological performance traits required for anadromy,
such as salinity tolerance. Here, we determined when two candidate, salinity
responsive paralogs of the Na(+) , K(+) ATPase alpha subunit (alpha1a and
alpha1b) evolved and studied their evolutionary trajectories and tissue-specific
expression patterns. We found that these paralogs arose during a small-scale
duplication event prior to the Salmoniform, but after the teleost, whole-genome
duplication. The 'freshwater paralog' (alpha1a) is primarily expressed in the
gills of Salmoniformes and an unduplicated freshwater sister species (Esox
lucius) and experienced positive selection in the freshwater ancestor of
Salmoniformes and Esociformes. Contrary to our predictions, the 'saltwater
paralog' (alpha1b), which is more widely expressed than alpha1a, did not
experience positive selection during the evolution of anadromy in the Coregoninae
and Salmonine. To determine whether parallel mutations in Na(+) , K(+) ATPase
alpha1 may contribute to salinity tolerance in other fishes, we studied
independently evolved salinity-responsive Na(+) , K(+) ATPase alpha1 paralogs in
Anabas testudineus and Oreochromis mossambicus. We found that a quarter of the
mutations occurring between salmonid alpha1a and alpha1b in functionally
important sites also evolved in parallel in at least one of these species.
Together, these data argue that paralogs contributing to salinity tolerance
evolved prior to the Salmoniform whole-genome duplication and that strong
selection and/or functional constraints have led to parallel evolution in
salinity-responsive Na(+) , K(+) ATPase alpha1 paralogs in fishes.
PMID- 24917533
TI - The diagnostic accuracy of Clinical Dehydration Scale in identifying dehydration
in children with acute gastroenteritis: a systematic review.
AB - AIM: To systematically update diagnostic accuracy of the Clinical Dehydration
Scale (CDS) in clinical recognition of dehydration in children with acute
gastroenteritis. METHODS: Six databases were searched for diagnostic accuracy
studies in which population were children aged 1 to 36 months with acute
gastroenteritis; index test was the CDS; and reference test was post-illness
weight gain. RESULTS: Three studies involving 360 children were included. Limited
evidence showed that in high-income countries the CDS provides strong diagnostic
accuracy for ruling in moderate and severe (>6%) dehydration (positive likelihood
ratio 5.2-6.6), but has limited value for ruling it out (negative likelihood
ratio 0.4-0.55). In low-income countries, the CDS has limited value either for
ruling moderate or severe dehydration in or out. In both settings, the CDS had
limited value for ruling in or out dehydration <3% or dehydration 3% to 6%.
CONCLUSION: The CDS can help assess moderate to severe dehydration in high-income
settings. Given the limited data, the evidence should be viewed with caution.
PMID- 24917534
TI - An unusual cause of failure to thrive in an infant with vomiting and elevated
transaminases.
PMID- 24917535
TI - Severity of manifestations in tuberous sclerosis complex in relation to genotype.
AB - OBJECTIVE: Patients with tuberous sclerosis complex (TSC) commonly present with
significant neurologic deficits, including seizures, autism, and intellectual
disability. Previous evidence suggests that the TSC2 mutation genotype may be
associated with a more severe disease phenotype. This study evaluates the
association of the TSC1 and TSC2 genotype with patient and disease
characteristics in a retrospective review of a large TSC Natural History Database
consisting of 919 patients with TSC. METHODS: Univariate logistic regression was
conducted to evaluate the association of the TSC1 and TSC2 gene mutations with
patient and disease characteristics. RESULTS: As compared to patients with the
TSC1 mutation, patients with the TSC2 mutation were younger (p = 0.02), more
likely to have partial epilepsy (odds ratio (OR) 1.74, p = 0.0015), complex
partial seizures (OR 2.03, p = 0.02), infantile spasms (IS) (OR 1.67, p = 0.01),
subependymal giant-cell astrocytomas (SEGAs) (OR 1.64, p = 0.01), and
intellectual disability (OR 2.90, p = 0.0002). SIGNIFICANCE: The clinical
presentation of TSC is highly variable and not well understood. Our findings
confirm and supplement existing literature that TSC2 mutation is likely to be
associated with a more severe, earlier presenting TSC phenotype, including
infantile spasms.
PMID- 24917536
TI - Trans-patella tendon approach for domed lateral unicompartmental knee
arthroplasty does not increase the risk of patella tendon shortening.
AB - PURPOSE: Shortening of the patella tendon has been noted after total knee
arthroplasty and has been associated with diminished functional outcomes.
Traumatic and/or ischaemic injury peri-operatively are suggested causes. The
Oxford domed lateral unicompartmental knee arthroplasty (UKA) requires a vertical
incision through the patella tendon to facilitate orientation of the proximal
tibial saw cut; this may induce scarring or impair vascularity of the tendon and
can cause shortening. This study investigated the hypothesis that the trans
patella tendon incision increases the incidence of patella tendon shortening
after domed lateral UKA when compared to flat lateral UKA performed without the
trans-patella tendon incision. METHODS: The radiographs of 50 patients who
underwent domed lateral UKA, using the trans-patella tendon approach, and a
cohort of 30 patients who underwent flat lateral UKA, in which an incision
through the patella tendon was not employed, were reviewed retrospectively. The
patella tendon length (PTL) and the Insall-Salvati ratio were measured. In
addition, pre-operative and post-operative clinical scores were recorded using
both the OKS and AKSS. A change in PTL of greater than or equal to 10 % was
considered to be significant. RESULTS: In the domed lateral UKA group, 13
patients demonstrated a >10 % change in the PTL at 1-year post-surgery (2
shortened and 11 lengthened). In the flat lateral UKA group, nine patients
demonstrated a significant change in the PTL at 1-year post-surgery (2 shortened
and 7 lengthened). CONCLUSION: This study demonstrated that using a trans-patella
approach during lateral domed UKA surgery did not significantly increase patella
tendon shortening and did not result in reduced clinical outcomes.
PMID- 24917537
TI - Erratum to: Bankart repair versus Bankart repair plus remplissage: an in vitro
biomechanical comparative study.
PMID- 24917538
TI - Intraoperative ligament laxity influences functional outcome 1 year after total
knee arthroplasty.
AB - PURPOSE: To find out if there is an association between ligament laxity measured
intraoperatively and functional outcome 1 year after total knee arthroplasty
(TKA). METHODS: Medial and lateral ligament laxities were measured
intraoperatively in extension and in 90 degrees of flexion in 108 patients [122
knees; median age 70 (range 42-83) years]. Mechanical axes were measured
preoperatively and at 1-year follow-up. Outcome measures were the Knee Injury and
Osteoarthritis Outcome Score (KOOS), the Knee Society Clinical Rating System, the
Oxford Knee Score and patient satisfaction. The relationships between laxity and
outcome scores were examined by median regression analyses. RESULTS: Post
operative mechanical axis had a significant effect on the association between
ligament laxity and KOOS. Therefore, the material was stratified on post
operative mechanical axis. In perfectly aligned and valgus-aligned TKAs, there
was a negative correlation between medial laxity and all subscores in KOOS. The
most important regression coefficient (beta) was recorded for the effect of
medial laxity in extension on activities of daily living (ADLs) (beta = -7.32, p
< 0.001), sport/recreation (beta = -6.9, p = 0.017) and pain (beta = -5.9, p =
0.006), and for the effect of medial laxity in flexion on ADLs (beta = -3.11, p =
0.023) and sport/recreation (beta = -4.18, p = 0.042). CONCLUSIONS: In order to
improve the functional results after TKA, orthopaedic surgeons should monitor
ligament laxity and mechanical axis intraoperatively and avoid medial laxity more
than 2 mm in extension and 3 mm in flexion in neutral and valgus-aligned knees.
LEVEL OF EVIDENCE: II.
PMID- 24917540
TI - Overview of the enhanced natural gestures instructional approach and illustration
of its use with three students with Angelman syndrome.
AB - BACKGROUND: This investigation details procedures used to teach enhanced natural
gestures (ENGs) and illustrates its use with three students with Angelman
syndrome (AS). MATERIALS AND METHODS: Themes were extracted, using a process of
content analysis, to organize individuals' feedback pertaining to previous
versions of the instructional programme. A 'B' case study design was then
employed to follow administration of the modified programme with three students
in Madrid, Spain. Programme impact was examined relative to changes in each
student's uses of ENGs spontaneously and in response to non-specific verbal
prompts, along with team members' responses to a questionnaire designed to
evaluate programme efficacy. RESULTS: Two of the three students demonstrated
particularly rapid and spontaneous uses of their ENGs. Both quantitative and
qualitative feedback from teams supported programme efficacy for all three
students. CONCLUSIONS: The approach appears viable for individuals with AS and
has implications for individuals presenting other diagnoses as well. Additional
educational/clinical and research implications are discussed.
PMID- 24917539
TI - Reliability of dynamic balance simultaneously with cognitive performance in
patients with ACL deficiency and after ACL reconstructions and in healthy
controls.
AB - PURPOSE: To determine the intra- and inter-session reliability of balance and
cognitive performance in anterior cruciate ligament-deficient (ACLD) and ACL
reconstructed (ACLR) and matched athletes. METHODS: Using SMART EquiTest,
recovery reactions of the groups were assessed during single-leg standing,
following medium and large forward perturbations with and without performing a
cognitive (Stroop) task. The outcomes included reaction time (RT), latency and
amplitude for balance and error ratio (ER) and RT for cognitive performance. The
participants of each group repeated the tests 2-7 days after the first session.
Intraclass correlation coefficient (ICC) and standard error of measurement were
computed in order to assess relative and absolute reliability, respectively.
RESULTS: Single- and dual-task balance measures had moderate to very high
reliability in ACLD (ICC = 0.63-0.88), ACLR (ICC = 0.62-0.93) and control (ICC =
0.69-0.98) athletes. The ICCs for RT of Stroop were between 0.87 and 0.90 in
ACLD, between 0.70 and 0.74 in ACLR and between 0.66 and 0.70 in controls and for
ER of Stroop were between 0.36 and 0.90 in ACLD, between 0.42 and 0.49 in ACLR
and between 0.41 and 0.51 in controls. CONCLUSIONS: Balance measures and RT of
the cognitive task in single- and dual-task conditions have acceptable
reliability and may be incorporated into the evaluation of physical and mental
function of athletes following ACL injury and reconstruction. ER of the cognitive
task is less reliable which needs to be considered in future research. LEVEL OF
EVIDENCE: Prognostic study, case-control, Level III.
PMID- 24917541
TI - A novel method for gathering and prioritizing disease candidate genes based on
construction of a set of disease-related MeSH(r) terms.
AB - BACKGROUND: Understanding the molecular mechanisms involved in disease is
critical for the development of more effective and individualized strategies for
prevention and treatment. The amount of disease-related literature, including new
genetic information on the molecular mechanisms of disease, is rapidly
increasing. Extracting beneficial information from literature can be facilitated
by computational methods such as the knowledge-discovery approach. Several
methods for mining gene-disease relationships using computational methods have
been developed, however, there has been a lack of research evaluating specific
disease candidate genes. RESULTS: We present a novel method for gathering and
prioritizing specific disease candidate genes. Our approach involved the
construction of a set of Medical Subject Headings (MeSH) terms for the effective
retrieval of publications related to a disease candidate gene. Information
regarding the relationships between genes and publications was obtained from the
gene2pubmed database. The set of genes was prioritized using a "weighted
literature score" based on the number of publications and weighted by the number
of genes occurring in a publication. Using our method for the disease states of
pain and Alzheimer's disease, a total of 1101 pain candidate genes and 2810
Alzheimer's disease candidate genes were gathered and prioritized. The precision
was 0.30 and the recall was 0.89 in the case study of pain. The precision was
0.04 and the recall was 0.6 in the case study of Alzheimer's disease. The
precision-recall curve indicated that the performance of our method was superior
to that of other publicly available tools. CONCLUSIONS: Our method, which
involved the use of a set of MeSH terms related to disease candidate genes and a
novel weighted literature score, improved the accuracy of gathering and
prioritizing candidate genes by focusing on a specific disease.
PMID- 24917542
TI - Characterization of RO5126946, a Novel alpha7 nicotinic acetylcholine receptor
positive allosteric modulator.
AB - Both preclinical evidence and clinical evidence suggest that alpha7 nicotinic
acetylcholine receptor activation (alpha7nAChR) improves cognitive function, the
decline of which is associated with conditions such as Alzheimer's disease and
schizophrenia. Moreover, allosteric modulation of alpha7nAChR is an emerging
therapeutic strategy in an attempt to avoid the rapid desensitization properties
associated with the alpha7nAChR after orthosteric activation. We used a calcium
assay to screen for positive allosteric modulators (PAMs) of alpha7nAChR and
report on the pharmacologic characterization of the novel compound RO5126946 (5
chloro-N-[(1S,3R)-2,2-dimethyl-3-(4-sulfamoyl-phenyl)-cyclopropyl]-2-methoxy
benzamide), which allosterically modulates alpha7nAChR activity. RO5126946
increased acetylcholine-evoked peak current and delayed current decay but did not
affect the recovery of alpha7nAChRs from desensitization. In addition,
RO5126946's effects were absent when nicotine-evoked currents were completely
blocked by coapplication of the alpha7nAChR-selective antagonist methyl
lycaconitine. RO5126946 enhanced alpha7nAChR synaptic transmission and positively
modulated GABAergic responses. The absence of RO5126946 effects at human
alpha4beta2nAChR and 5-hydroxytryptamine 3 receptors, among others, indicated
selectivity for alpha7nAChRs. In vivo, RO5126946 is orally bioavailable and brain
penetrant and improves associative learning in a scopolamine-induced deficit
model of fear conditioning in rats. In addition, procognitive effects of
RO5126946 were investigated in the presence of nicotine to address potential
pharmacologic interactions on behavior. RO5126946 potentiated nicotine's effects
on fear memory when both compounds were administered at subthreshold doses and
did not interfere with procognitive effects observed when both compounds were
administered at effective doses. Overall, RO5126946 is a novel alpha7nAChR PAM
with cognitive-enhancing properties.
PMID- 24917543
TI - Different contributions of dopamine D1 and D2 receptor activity to alcohol
potentiation of brain stimulation reward in C57BL/6J and DBA/2J mice.
AB - C57BL/6J (C57) and DBA/2J (DBA) mice respond differently to drugs that affect
dopamine systems, including alcohol. The current study compared effects of D1 and
D2 receptor agonists and antagonists, and the interaction between D1/D2
antagonists and alcohol, on intracranial self-stimulation in male C57 and DBA
mice to determine the role of dopamine receptors in the effects of alcohol on
brain stimulation reward (BSR). In the initial strain comparison, dose effects on
BSR thresholds and maximum operant response rates were determined for the D1
receptor agonist SKF-82958 (+/--6-chloro-7,8-dihydroxy-3-allyl-1-phenyl-2,3,4,5
tetrahydro-1H-3-benzazepine; 0.1-0.56 mg/kg) and antagonist SCH 23390 (+-7-chloro
8-hydroxy-3-methyl-1-phenyl-2,3,4,5-tetrahydro-1H-3-benzazepinehydrochloride;
0.003-0.056 mg/kg), and the D2 receptor agonist quinpirole (0.1-3.0 mg/kg) and
antagonist raclopride (0.01-0.56 mg/kg). For the alcohol interaction, SCH 23390
(0.003 mg/kg) or raclopride (0.03 mg/kg) was given before alcohol (0.6-2.4 g/kg
p.o.). D1 antagonism dose-dependently elevated and SKF-82958 dose-dependently
lowered BSR threshold in both strains; DBA mice were more sensitive to SKF-82958
effects. D2 antagonism dose-dependently elevated BSR threshold only in C57 mice.
Low doses of quinpirole elevated BSR threshold equally in both strains, whereas
higher doses of quinpirole lowered BSR threshold only in C57 mice. SCH 23390, but
not raclopride, prevented lowering of BSR threshold by alcohol in DBA mice.
Conversely, raclopride, but not SCH 23390, prevented alcohol potentiation of BSR
in C57 mice. These results extend C57 and DBA strain differences to D1/D2
sensitivity of BSR, and suggest differential involvement of D1 and D2 receptors
in the acute rewarding effects of alcohol in these two mouse strains.
PMID- 24917544
TI - Differential substitution for the discriminative stimulus effects of 3,4
methylenedioxymethamphetamine and methylphenidate in rats.
AB - Previous studies have demonstrated that methylphenidate, MDMA (3,4
methylenedioxymethamphetamine), and other psychostimulants exert stimulant-like
subjective effects in humans. Furthermore, MDMA and methylphenidate substitute
for the discriminative stimulus effects of psychostimulants, such as amphetamine
and cocaine, in animals, which suggests that MDMA and methylphenidate may produce
similar discriminative stimulus effects in rats. However, there is no evidence
regarding the similarities between the discriminative stimulus effects of MDMA
and methylphenidate. To explore this issue, cross-substitution, substitution, and
combination tests were conducted in rats that had been trained to discriminate
between MDMA (2.5 mg/kg) or methylphenidate (5.0 mg/kg) and saline. In the cross
substitution tests, MDMA and methylphenidate did not cross-substitute for each
other. In the substitution test, methamphetamine substituted for the
discriminative stimulus effects of methylphenidate, but not for those of MDMA.
Furthermore, ephedrine and bupropion, which activate dopaminergic and
noradrenergic systems, substituted for the discriminative stimulus effects of
methylphenidate. On the other hand, serotonin (5-HT) receptor agonists 5-HT1A and
5-HT2 fully substituted for the discriminative stimulus effects of MDMA. These
results suggest that activation of the noradrenergic and dopaminergic systems is
important for the discriminative stimulus effects of methylphenidate, whereas
activation of the serotonergic system is crucial for the discriminative stimulus
effects of MDMA. Even though MDMA, like psychostimulants, exerts stimulant-like
effects, our findings clearly indicate that the discriminative stimulus effects
of MDMA are distinctly different from those of other psychostimulants in rats.
PMID- 24917545
TI - Antiallergic and antiasthmatic effects of a novel enhydrazinone ester (CEE-1):
inhibition of activation of both mast cells and eosinophils.
AB - Activation of mast cells and eosinophils is a fundamental process in the
pathophysiology of allergic diseases. We have previously reported that the novel
enhydrazinone ester CEE-1 (ethyl 4-phenylhydrazinocyclohex-3-en-2-oxo-6-phenyl-1
oate) possesses potent anti-inflammatory activity. We have now tested whether the
compound also possesses antiallergic and antiasthmatic effects in vitro and in
vivo. The compound significantly inhibited degranulation and leukotriene C4
(LTC4) release from activated human eosinophils, as well as IgE-dependent
degranulation and LTC4 release from passively sensitized rat basophilic leukemia
cells and bone marrow-derived mouse mast cells. In human eosinophils, the drug
was more potent in inhibiting degranulation than LTC4 release {IC50 = 0.4 MUM
[confidence interval (CI): 0.1-0.9] versus 3.8 MUM (CI: 0.9-8.3)}, whereas in
mast cells the reverse was essentially the case. The drug did not affect stimulus
induced calcium transients in eosinophils but significantly inhibited early
phosphorylation of extracellular signal-regulated kinases 1/2 and p38-mitogen
activated protein kinases (MAPK). In vivo, topical application of 4.5-15 mg/kg of
the compound significantly inhibited allergen-induced passive cutaneous
anaphylaxis in mice. Similarly, in the mouse asthma model, the intranasal
administration of 6.5-12.5 mg/kg of the compound significantly inhibited
bronchial inflammation and eosinophil accumulation in bronchial lavage fluid, as
well as abolishing airway hyper-responsiveness to methacholine. These results
show that CEE-1 inhibits the activation of both mast cells and eosinophils in
vitro, probably by blocking MAPK-activation pathways, and that these effects are
translated into antiallergic and antiasthmatic effects in vivo. The compound,
therefore, has potential application in the treatment of asthma and other
allergic diseases.
PMID- 24917546
TI - Pharmacologic profile of the Adnectin BMS-962476, a small protein biologic
alternative to PCSK9 antibodies for low-density lipoprotein lowering.
AB - Proprotein convertase subtilisin kexin-9 (PCSK9) is an important pharmacological
target for decreasing low-density lipoprotein (LDL) in cardiovascular disease,
although seemingly inaccessible to small molecule approaches. Compared with
therapeutic IgG antibodies currently in development, targeting circulating PCSK9
with smaller molecular scaffolds could offer different profiles and reduced dose
burdens. This inspired genesis of PCSK9-binding Adnectins, a protein family
derived from human fibronectin-10th-type III-domain and engineered for high
affinity target binding. BMS-962476, an ~11-kDa polypeptide conjugated to
polyethylene glycol to enhance pharmacokinetics, binds with subnanomolar affinity
to human. The X-ray cocrystal structure of PCSK9 with a progenitor Adnectin shows
~910 A(2) of PCSK9 surface covered next to the LDL receptor binding site, largely
by residues of a single loop of the Adnectin. In hypercholesterolemic,
overexpressing human PCSK9 transgenic mice, BMS-962476 rapidly lowered
cholesterol and free PCSK9 levels. In genomic transgenic mice, BMS-962476
potently reduced free human PCSK9 (ED50 ~0.01 mg/kg) followed by ~2-fold
increases in total PCSK9 before return to baseline. Treatment of cynomolgus
monkeys with BMS-962476 rapidly suppressed free PCSK9 >99% and LDL-cholesterol
~55% with subsequent 6-fold increase in total PCSK9, suggesting reduced clearance
of circulating complex. Liver sterol response genes were consequently
downregulated, following which LDL and total PCSK9 returned to baseline. These
studies highlight the rapid dynamics of PCSK9 control over LDL and liver
cholesterol metabolism and characterize BMS-962476 as a potent and efficacious
PCSK9 inhibitor.
PMID- 24917547
TI - Prediction and modeling of effects on the QTc interval for clinical safety margin
assessment, based on single-ascending-dose study data with AZD3839.
AB - Corrected QT interval (QTc) prolongation in humans is usually predictable based
on results from preclinical findings. This study confirms the signal from
preclinical cardiac repolarization models (human ether-a-go-go-related gene,
guinea pig monophasic action potential, and dog telemetry) on the clinical
effects on the QTc interval. A thorough QT/QTc study is generally required for
bioavailable pharmaceutical compounds to determine whether or not a drug shows a
QTc effect above a threshold of regulatory interest. However, as demonstrated in
this AZD3839 [(S)-1-(2-(difluoromethyl)pyridin-4-yl)-4-fluoro-1-(3-(pyrimidin-5
yl)phenyl)-1H-isoindol-3-amine hemifumarate] single-ascending-dose (SAD) study,
high-resolution digital electrocardiogram data, in combination with adequate
efficacy biomarker and pharmacokinetic data and nonlinear mixed effects modeling,
can provide the basis to safely explore the margins to allow for robust modeling
of clinical effect versus the electrophysiological risk marker. We also conclude
that a carefully conducted SAD study may provide reliable data for effective
early strategic decision making ahead of the thorough QT/QTc study.
PMID- 24917548
TI - A modified panel of sentinel congenital anomalies for potential use in mutation
epidemiology based on birth defects registry data.
AB - Since 1983, several authors have used panels of "sentinel" congenital anomalies
that might serve as indicators of the human genome mutation rate. The current
study suggests a considerably updated panel, and applies it to public health
birth defects registry data to determine the potential number of de novo cases.
Data were taken from deliveries in 1999-2009 from the Texas Birth Defects
Registry, an active surveillance program. Cases with one of the conditions or
syndromes in the panel were identified using codes and text searches. Frequencies
and birth prevalence were calculated for the overall panel and subcategories
within it. Of the 60 conditions appearing in previous papers on sentinel
phenotypes, 21 (35%) were used in the current study along with 27 new phenotypes.
We found 1,694 cases. Of those, 1,100 exhibited phenotypes thought to arise de
novo in at least 90% of the cases ("all/almost all" subpanel), and 594 considered
de novo in roughly 50-90% of cases ("most" subpanel). Chromosomal deletion
disorders were present in 523 cases and imprinting disorders in 243. After
adjusting for maternal age, occurrence of cases in the total panel, "most"
subpanel, and imprinting disorders subpanel were significantly associated with
paternal age. Our panel of sentinel phenotypes differs from previous panels due
to evolved knowledge of genetic disorders, different approaches with respect to
interviewing, and different operational definitions. It is hoped that using an
overall panel as well as subpanels may maximize statistical power as well as
suggest potential mechanisms.
PMID- 24917549
TI - Hereditary vitamin D-resistant rickets presenting as alopecia.
AB - Hereditary vitamin D-resistant rickets (HVDRR) is a rare autosomal recessive
disorder caused by mutations in the vitamin D receptor (VDR) gene. We report the
case of an infant presenting with alopecia, growth failure, and gross motor
developmental delay. Serum biochemistry and skeletal survey were consistent with
rickets. After a poor response to standard treatment, genetic testing confirmed a
c.147-2A>T novel mutation in the VDR gene consistent with HVDRR. It is important
for dermatologists and pediatricians to recognize alopecia as a presenting sign
of HVDRR because appropriate treatment leads to better growth and development of
the child.
PMID- 24917551
TI - Roux-en-y gastric bypass attenuates hepatic mitochondrial dysfunction in mice
with non-alcoholic steatohepatitis.
AB - OBJECTIVE: No therapy for non-alcoholic steatohepatitis (NASH) has been approved
so far. Roux-en-y gastric bypass (RYGB) is emerging as a therapeutic option,
although its effect on NASH and related hepatic molecular pathways is unclear
from human studies. We studied the effect of RYGB on pre-existent NASH and
hepatic mitochondrial dysfunction-a key player in NASH pathogenesis-in a novel
diet-induced mouse model nicely mimicking human disease. DESIGN: C57BL/6J mice
were fed a high-fat high-sucrose diet (HF-HSD). RESULTS: HF-HSD led to early
obesity, insulin resistance and hypercholesterolaemia. HF-HSD consistently
induced NASH (steatosis, hepatocyte ballooning and inflammation) with fibrosis
already after 12-week feeding. NASH was accompanied by hepatic mitochondrial
dysfunction, characterised by decreased mitochondrial respiratory chain (MRC)
complex I and IV activity, ATP depletion, ultrastructural abnormalities, together
with higher 4-hydroxynonenal (HNE) levels, increased uncoupling protein 2 (UCP2)
and tumour necrosis factor-alpha (TNF-alpha) mRNA and free cholesterol
accumulation. In our model of NASH and acquired mitochondrial dysfunction, RYGB
induced sustained weight loss, improved insulin resistance and inhibited
progression of NASH, with a marked reversal of fibrosis. In parallel, RYGB
preserved hepatic MRC complex I activity, restored ATP levels, limited HNE
production and decreased TNF-alpha mRNA. CONCLUSIONS: Progression of NASH and
NASH-related hepatic mitochondrial dysfunction can be prevented by RYGB. RYGB
preserves respiratory chain complex activity, thereby restoring energy output,
probably by limiting the amount of oxidative stress and TNF-alpha. These data
suggest that modulation of hepatic mitochondrial function contributes to the
favourable effect of RYBG on established NASH.
PMID- 24917552
TI - Induced hydraulic pumping via integrated submicrometer cylindrical glass
capillaries.
AB - Here, we report on a micropump that generates hydraulic pressure owing to a
mismatch in EOF rates of microchannels and submicrometer cylindrical glass
capillaries integrated on silicon. The electrical conductance of such capillaries
in the dilute limit departs from bulk linear behavior as well as from the surface
charge-governed saturation in nanoslits that is well described by the assumption
of a constant surface charge density. The capillaries show rather a gradual
decrease in conduction at low salt concentrations, which can be explained more
aptly by a variable surface charge density that accounts for chemical equilibrium
of the surface. The micropump uses a traditional cross-junction structure with
ten identical capillaries integrated in parallel on a side arm and each with a
750 nm diameter and 3 mm length. For an applied voltage of 700 V, a hydraulic
pressure up to 5 kPa is generated with a corresponding flow velocity nearly 3
mm/s in a straight field-free branch 20 MUm wide, 10 MUm deep, and 10 mm long.
The micropump utility has been demonstrated in an open tubular LC of three
fluorescently labeled amino acids in just less than 20 s with minimal plate
height values between 3 and 7 MUm. The submicrometer capillaries are self
enclosed and produced through a unique process that does not require high
resolution advanced lithography or wafer-bonding techniques to define their
highly controlled precise structures.
PMID- 24917553
TI - Haemodynamic and extracellular matrix cues regulate the mechanical phenotype and
stiffness of aortic endothelial cells.
AB - Endothelial cells (ECs) lining blood vessels express many mechanosensors,
including platelet endothelial cell adhesion molecule-1 (PECAM-1), that convert
mechanical force into biochemical signals. While it is accepted that mechanical
stresses and the mechanical properties of ECs regulate vessel health, the
relationship between force and biological response remains elusive. Here we show
that ECs integrate mechanical forces and extracellular matrix (ECM) cues to
modulate their own mechanical properties. We demonstrate that the ECM influences
EC response to tension on PECAM-1. ECs adherent on collagen display divergent
stiffening and focal adhesion growth compared with ECs on fibronectin. This is
because of protein kinase A (PKA)-dependent serine phosphorylation and
inactivation of RhoA. PKA signalling regulates focal adhesion dynamics and EC
compliance in response to shear stress in vitro and in vivo. Our study identifies
an ECM-specific, mechanosensitive signalling pathway that regulates EC compliance
and may serve as an atheroprotective mechanism that maintains blood vessel
integrity in vivo.
PMID- 24917554
TI - Antiangiogenic activity of trabectedin in myxoid liposarcoma: involvement of host
TIMP-1 and TIMP-2 and tumor thrombospondin-1.
AB - Trabectedin is a marine natural product, approved in Europe for the treatment of
soft tissue sarcoma and relapsed ovarian cancer. Clinical and experimental
evidence indicates that trabectedin is particularly effective against myxoid
liposarcomas where response is associated to regression of capillary networks.
Here, we investigated the mechanism of the antiangiogenic activity of trabectedin
in myxoid liposarcomas. Trabectedin directly targeted endothelial cells,
impairing functions relying on extracellular matrix remodeling (invasion and
branching morphogenesis) through the upregulation of the inhibitors of matrix
metalloproteinases TIMP-1 and TIMP-2. Increased TIMPs synthesis by the tumor
microenvironment following trabectedin treatment was confirmed in xenograft
models of myxoid liposarcoma. In addition, trabectedin upregulated tumor cell
expression of the endogenous inhibitor thrombospondin-1 (TSP-1, a key regulator
of angiogenesis-dependent dormancy in sarcoma), in in vivo models of myxoid
liposarcomas, in vitro cell lines and primary cell cultures from patients' myxoid
liposarcomas. Chromatin Immunoprecipitation analysis showed that trabectedin
displaced the master regulator of adipogenesis C/EBPbeta from the TSP-1 promoter,
indicating an association between the up-regulation of TSP-1 and induction of
adipocytic differentiation program by trabectedin. We conclude that trabectedin
inhibits angiogenesis through multiple mechanisms, including directly affecting
endothelial cells in the tumor microenvironment--with a potentially widespread
activity--and targeting tumor cells' angiogenic activity, linked to a tumor
specific molecular alteration.
PMID- 24917557
TI - Acute anti-hyperglycaemic effects of an unripe apple preparation containing
phlorizin in healthy volunteers: a preliminary study.
AB - BACKGROUND: The health-promoting properties of apples are directly related to the
biologically active compounds that they contain, such as polyphenols. The
objective of this study was to prepare a low-sugar, fibre- and phlorizin-enriched
powder from unripe apples and to gain insight regarding its anti-hyperglycaemic
activity in healthy volunteers. RESULTS: The unripe apples (Malus domestica
Borkh.) were collected 30 days after the full bloom day; blanched and pressed to
obtain apple pomace which was then processed with a food cutter, oven-dried and
milled to prepare apple powder. The concentrations of total sugars, water-soluble
pectin and phlorizin in the apple preparation were 153.44 +/- 2.46, 27.73 +/-
0.51 and 12.61 +/- 0.15 g kg(-1), respectively. Acute ingestion of the apple
preparation improved glucose metabolism in the oral glucose tolerance test (OGTT)
in six healthy volunteers by reducing the postprandial glucose response at 15 to
30 min by approximately two-fold (P < 0.05) and by increasing urinary glucose
excretion during the 2- to 4-h interval of the OGTT by five-fold (P < 0.05).
CONCLUSION: The results obtained indicate that the dried and powdered pomace of
unripe apples can be used as a health-promoting natural product for the reduction
of postprandial glycaemia and to improve the health of patients with diabetes.
PMID- 24917550
TI - Diagnosis and management of adult coeliac disease: guidelines from the British
Society of Gastroenterology.
AB - A multidisciplinary panel of 18 physicians and 3 non-physicians from eight
countries (Sweden, UK, Argentina, Australia, Italy, Finland, Norway and the USA)
reviewed the literature on diagnosis and management of adult coeliac disease
(CD). This paper presents the recommendations of the British Society of
Gastroenterology. Areas of controversies were explored through phone meetings and
web surveys. Nine working groups examined the following areas of CD diagnosis and
management: classification of CD; genetics and immunology; diagnostics; serology
and endoscopy; follow-up; gluten-free diet; refractory CD and malignancies;
quality of life; novel treatments; patient support; and screening for CD.
PMID- 24917559
TI - Graphene nanomaterials as biocompatible and conductive scaffolds for stem cells:
impact for tissue engineering and regenerative medicine.
AB - The discovery of the interesting intrinsic properties of graphene, a two
dimensional nanomaterial, has boosted further research and development for
various types of applications from electronics to biomedicine. During the last
decade, graphene and several graphene-derived materials, such as graphene oxide,
carbon nanotubes, activated charcoal composite, fluorinated graphenes and three
dimensional graphene foams, have been extensively explored as components of
biosensors or theranostics, or to remotely control cell-substrate interfaces,
because of their remarkable electro-conductivity. To date, despite the intensive
progress in human stem cell research, only a few attempts to use carbon
nanotechnology in the stem cell field have been reported. Interestingly, most of
the recent in vitro studies indicate that graphene-based nanomaterials (i.e.
mainly graphene, graphene oxide and carbon nanotubes) promote stem cell adhesion,
growth, expansion and differentiation. Although cell viability in vitro is not
affected, their potential nanocytoxicity (i.e. nanocompatibility and consequences
of uncontrolled nanobiodegradability) in a clinical setting using humans remains
unknown. Therefore, rigorous internationally standardized clinical studies in
humans that would aim to assess their nanotoxicology are requested. In this paper
we report and discuss the recent and pertinent findings about graphene and
derivatives as valuable nanomaterials for stem cell research (i.e. culture,
maintenance and differentiation) and tissue engineering, as well as for
regenerative, translational and personalized medicine (e.g. bone reconstruction,
neural regeneration). Also, from scarce nanotoxicological data, we also highlight
the importance of functionalizing graphene-based nanomaterials to minimize the
cytotoxic effects, as well as other critical safety parameters that remain
important to take into consideration when developing nanobionanomaterials.
PMID- 24917558
TI - Vasodilator-stimulated phosphoprotein promotes activation of hepatic stellate
cells by regulating Rab11-dependent plasma membrane targeting of transforming
growth factor beta receptors.
AB - Liver microenvironment is a critical determinant for development and progression
of liver metastasis. Under transforming growth factor beta (TGF-beta)
stimulation, hepatic stellate cells (HSCs), which are liver-specific pericytes,
transdifferentiate into tumor-associated myofibroblasts that promote tumor
implantation (TI) and growth in the liver. However, the regulation of this HSC
activation process remains poorly understood. In this study, we tested whether
vasodilator-stimulated phosphoprotein (VASP) of HSCs regulated the TGF-beta
mediated HSC activation process and tumor growth. In both an experimental liver
metastasis mouse model and cancer patients, colorectal cancer cells reaching
liver sinusoids induced up-regulation of VASP and alpha-smooth muscle actin
(alpha-SMA) in adjacent HSCs. VASP knockdown in HSCs inhibited TGF-beta-mediated
myofibroblastic activation of HSCs, TI, and growth in mice. Mechanistically, VASP
formed protein complexes with TGF-beta receptor II (TbetaRII) and Rab11, a Ras
like small GTPase and key regulator of recycling endosomes. VASP knockdown
impaired Rab11 activity and Rab11-dependent targeting of TbetaRII to the plasma
membrane, thereby desensitizing HSCs to TGF-beta1 stimulation. CONCLUSIONS: Our
study demonstrates a requirement of VASP for TGF-beta-mediated HSC activation in
the tumor microenvironment by regulating Rab11-dependent recycling of TbetaRII to
the plasma membrane. VASP and its effector, Rab11, in the tumor microenvironment
thus present therapeutic targets for reducing TI and metastatic growth in the
liver.
PMID- 24917560
TI - Chemical kinetic resolution of unprotected beta-substituted beta-amino acids
using recyclable chiral ligands.
AB - The first chemical method for resolution of N,C-unprotected beta-amino acids was
developed through enantioselective formation and disassembly of nickel(II)
complexes under operationally convenient conditions. The specially designed
chiral ligands are inexpensive and can be quantitatively recycled along with
isolation of the target beta-substituted-beta-amino acids in good yields and
excellent enantioselectivity. The method features a broad synthetic generality
including beta-aryl, beta-heteroaryl, and beta-alkyl-derived beta-amino acids.
The procedure is easily scaled up, and was used for the synthetically and
economically advanced preparation of the anti-diabetic drug sitagliptin.
PMID- 24917561
TI - In sickness and in health: the role of TRAPP and associated proteins in disease.
AB - Transport protein particle (TRAPP) represents a series of related protein
complexes that function in specific stages of inter-organelle traffic. They share
a core of subunits that can activate the GTPase Rab1 through a guanine nucleotide
exchange factor (GEF) activity and are distinguished by 'accessory' subunits
giving each complex its distinct function. The subunits are ubiquitously
expressed and, thus, mutations in TRAPP subunits would be expected to be
embryonic lethal. However, since its discovery, a number of subunits have been
found to be mutated in several diverse human disorders suggesting that some of
these subunits may have cell- or tissue-specific functions. Here we review the
current state of knowledge with respect to TRAPP subunit mutations in human
disease. We suggest ideas to explain their tissue-specific phenotypes and present
avenues for future investigation.
PMID- 24917562
TI - Electrophysiological and morphological maturation of murine fetal cardiomyocytes
during electrical stimulation in vitro.
AB - The aim of this study was to investigate whether continuous electrical
stimulation affects electrophysiological properties and cell morphology of fetal
cardiomyocytes (FCMs) in culture. Fetal cardiomyocytes at day 14.5 post coitum
were harvested from murine hearts and electrically stimulated for 6 days in
culture using a custom-made stimulation chamber. Subsequently, action potentials
of FCM were recorded with glass microelectrodes. Immunostainings of alpha
Actinin, connexin 43, and vinculin were performed. Expression of ion channel
subunits Kcnd2, Slc8a1, Cacna1, Kcnh2, and Kcnb1 was analyzed by quantitative
reverse-transcriptase polymerase chain reaction. Action potential duration to 50%
and 90% repolarization (APD50 and APD90) of electrically stimulated FCMs were
significantly decreased when compared to nonstimulated control FCM. Alignment of
cells was significantly higher in stimulated FCM when compared to control FCM.
The expression of connexin 43 was significantly increased in stimulated FCM when
compared to control FCM. The ratio between cell length and cell width of the
stimulated FCM was significantly higher than in control FCM. Kcnh2 and Kcnd2 were
upregulated in stimulated FCM when compared to control FCM. Expression of Slc8a1,
Cacna1c, and Kcnb1 was not different in stimulated and control FCMs. The decrease
in APD50 observed after electrical stimulation of FCM in vitro corresponds to the
electrophysiological maturation of FCM in vivo. Expression levels of ion channels
suggest that some important but not all aspects of the complex process of
electrophysiological maturation are promoted by electrical stimulation. Parallel
alignment, increased connexin 43 expression, and elongation of FCM are signs of a
morphological maturation induced by electrical stimulation.
PMID- 24917563
TI - Work factors are associated with workplace activity limitations in systemic lupus
erythematosus.
AB - OBJECTIVE: The objective of this study was to examine the extent of workplace
activity limitations among persons with lupus and to identify factors associated
with activity limitations among those employed. METHODS: We conducted a cross
sectional study using a mailed survey and clinical data of persons with lupus who
attended a large lupus outpatient clinic. Data were collected on demographics,
health, work factors and psychosocial measures. The workplace activity
limitations scale (WALS) was used to measure difficulty related to different
activities at work. Multivariable analysis examined the association of health,
work context, psychosocial and demographic variables with workplace activity
limitations. RESULTS: We received 362 responses from 604 (60%) mailed surveys.
Among those not employed, 52% reported not working because of lupus. A range of
physical and mental tasks were reported as difficult. Each of the physical,
cognitive and energy work activities was cited as difficult by more than one
third of participants. Among employed participants, 40% had medium to high WALS
difficulty scores. In the multivariable analysis, factors significantly
associated with workplace activity limitations were older age, greater disease
activity, fatigue, poorer health status measured by the 36-item Short Form Health
Survey, lower job control, greater job strain and working more than 40 h/week.
CONCLUSION: People with lupus experience limitations and difficulty at work.
Determinants of workplace activity limitations are mainly those related to
workplace and health factors.
PMID- 24917564
TI - Impaired endothelial function in patients with undifferentiated connective tissue
disease: a follow-up study.
AB - OBJECTIVE: In this study the alteration of endothelial function, arterial
stiffness and autoantibodies was investigated in patients with UCTD. METHODS:
Thirty-one patients with UCTD were included in this prospective study. All the
patients remained in the UCTD stage during the average 3.8 years follow-up
period. The onset of UCTD was denoted as UCTD1, while the end of the follow-up
period was called UCTD2. Flow-mediated vasodilation (FMD), carotid intima-media
thickness (IMT), autoantibodies [such as anti-SSA, anti-SSB, anti-DNA, anti-RNP,
anti-CCP, aCL, anti-oxidized low-density lipoprotein (oxLDL) and AECA], von
Willebrand factor antigen, thrombomodulin (TM), endothelin 1 (ET-1) and lipid
parameters were measured. RESULTS: In the UCTD1 stage, high-sensitivity CRP
(hsCRP) and endothelial cell activation and/or damage markers such as TM, ET-1
and AECA levels were significantly higher compared with controls (controls vs
UCTD1: hsCRP, P < 0.0001; TM, P = 0.001; ET-1, P < 0.0001). In the UCTD2 stage,
the carotid IMT increased (UCTD1 vs UCTD2, P = 0.01) and FMD further deteriorated
(UCTD1 and UCTD2, P = 0.001). In UCTD2 there was a close correlation between the
carotid IMT, and duration of the disease (r = 0.612, P < 0.001), the level of TM
(r = 0.673, P < 0.001) and anti-oxLDL (r = 0.800, P < 0.001). CONCLUSION: Our
data suggest that the presence of inflammation and autoantibodies provoke
endothelial cell activation and/or injury in UCTD patients. The persistent
endothelial dysfunction may provoke the development of atherosclerosis. FMD was
found to be the most sensitive marker for arterial stiffness, and the increase of
IMT clearly indicated the existence of preclinical atherosclerosis in UCTD
patients.
PMID- 24917565
TI - Long-term follow-up results of primary and recurrent pigmented villonodular
synovitis.
AB - OBJECTIVE: Adequate documentation of the outcome of treatment of pigmented
villonodular synovitis (PVNS) is sparse. Available case series show relatively
short follow-up times and often combine locations or subtypes to increase patient
numbers. This article describes the long-term follow-up of a single institution's
large consecutive series of PVNS. METHODS: Retrospectively, 107 PVNS patients
were identified between 1985 and 2011 by searching pathology and radiology
records. Treatment complications, recurrences and quality of life were evaluated.
Most patients (85.2%) were primarily or secondarily treated at our institution.
RESULTS: Both subtypes, localized PVNS [29 (27%)] and diffuse PVNS [75 (70%)]
were represented. The knee was affected in 88% of patients. Treatments received
were surgery, external beam radiotherapy, radiosynovectomy, targeted therapy,
immunotherapy or combinations of these. Forty-nine (46%) patients had prior
treatment elsewhere. The mean follow-up from diagnosis until last contact was 7.0
years (range 0.3-27.4) for localized PVNS and 14.5 years (range 1.1-48.7) for
diffuse PVNS. The 1- and 5-year recurrence-free survival rates for diffuse PVNS
were 69% and 32%, respectively. Quality of life, estimated by 36-item Short Form
Health Survey (SF-36) scores, were not significantly different between localized
and diffuse PVNS. However, both patient groups scored lower than the general
population norms on the general health component (59.2 and 56.3, respectively, P
< 0.05). CONCLUSION: Recurrence rates of PVNS increase with time. Long-term
follow-up shows, particularly in diffuse PVNS, it is a continually recurring
problem, and over time it becomes increasingly difficult to cure. The quality of
life is decreased in patients with PVNS compared with the general population.
PMID- 24917566
TI - The cross-sectional association between uric acid and atherosclerosis and the
role of low-grade inflammation: the CODAM study.
AB - OBJECTIVES: The aims of this study were to investigate (i) associations between
uric acid and prevalent cardiovascular disease (CVD), ankle-arm blood pressure
index (AAIx) and carotid intima-media thickness (CIMT) in the total population
and in predefined subgroups according to glucose metabolism status and (ii) the
extent to which these associations are explained by low-grade inflammation.
METHODS: Cross-sectional analyses were conducted among 530 individuals [60.6%
men, mean age 58.9 years (s.d. 6.9), 52.6% normal glucose metabolism (NGM)] at
increased risk of CVD from the Cohort of Diabetes and Atherosclerosis Maastricht
study. A low-grade inflammation score was computed by averaging the z-scores of
eight inflammation markers [CRP, TNF-alpha, IL-6, IL-8, serum amyloid A,
intercellular adhesion molecule 1 (ICAM-1), ceruloplasmin and haptoglobin].
RESULTS: After adjustment for traditional CVD risk factors, plasma uric acid (per
s.d. of 81 MUmol/l) was associated with CVD in individuals with NGM [odds ratio
(OR) = 1.66, 95% CI 1.06, 2.58] but not with disturbed glucose metabolism (DGM)
(OR = 0.81, 95% CI 0.55, 1.19, P interaction = 0.165). Uric acid was associated
with CIMT in the total population (beta = 0.024, 95% CI 0.007, 0.042) and
slightly more strongly in individuals with NGM (beta = 0.030, 95% CI 0.006,
0.054) than DGM (beta = 0.018, 95% CI -0.009, 0.044, P interaction = 0.443).
There was no association between uric acid and AAIx in any group (P interaction =
0.058). Uric acid was associated with low-grade inflammation in the total
population (beta = 0.074, 95% CI 0.013, 0.134, P interaction = 0.737). Adding low
grade inflammation to the models did not attenuate any of the associations.
CONCLUSION: The associations for uric acid with CIMT, and with CVD in NGM only,
were not explained by low-grade inflammation. A difference in the strength of the
associations between individuals with NGM and DGM was suggested.
PMID- 24917568
TI - Geographic and socioeconomic variations in adolescent toothbrushing: a multilevel
cross-sectional study of 15 year olds in Scotland.
AB - BACKGROUND: This study examined urban-rural and socioeconomic differences in
adolescent toothbrushing. METHODS: The data were modelled using logistic
multilevel modelling and the Markov Chain Monte Carlo method of estimation. Twice
a-day toothbrushing was regressed upon age, family affluence, family structure,
school type, area-level deprivation and rurality, for boys and girls separately.
RESULTS: Boys' toothbrushing was associated with area-level deprivation but not
rurality. Variance at the school level remained significant in the final model
for boys' toothbrushing. The association between toothbrushing and area-level
deprivation was particularly strong for girls, after adjustment for individuals'
family affluence and type of school attended. Rurality too was independently
significant with lower odds of brushing teeth in accessible rural areas.
CONCLUSION: The findings are at odds with the results of a previous study which
showed lower caries prevalence among children living in rural Scotland. A further
study concluded that adolescents have a better diet in rural Scotland. In total,
these studies highlight the need for an examination into the relative importance
of diet and oral health on caries, as increases are observed in population
obesity and consumption of sugars.
PMID- 24917570
TI - Chronic spontaneous idiopathic spleen hematoma presenting as a large cystic
tumor: a case report with review of the literature.
AB - Spontaneous idiopathic splenic hematomas occur very rare. Hematomas of the spleen
are usually associated with trauma, or infectious, neoplastic, or hematologic
diseases. They present a diagnostic challenge as they can be easily confused with
other more common entities. We report a case of atraumatic idiopathic splenic
hematoma in a patient with no underlying systemic or local disease and discuss
the approach to diagnosis and treatment.
PMID- 24917571
TI - Multi-scale analysis of simulated proton and alpha irradiation.
AB - This article presents the results of the simulated irradiation with protons and
alpha particles of two different geometrical models, representing a cell nucleus
and its chromatin content. The characteristics of the energy deposition are
studied at both micrometric and nanometric length scales. In particular, the
stochastic distributions of the quantities associated with the total energy
deposit in the micrometric volume are derived and compared with the physical
characteristics of the clusters of energy deposits. An innovative multi-scale
correlation method is introduced and tested against the simulations' data. This
new approach links the two relevant length scales exploiting the differences in
the kinetic energy spectra of the secondary electrons produced by the primary
particles. The characteristics of the energy deposition of the electrons in the
chosen material are determined in the first instance. Few free parameters of the
model, linking the electrons energy deposits with the total energy deposit of the
primary particles, can be used for summarising the characteristic of the
irradiation with the chosen radiation quality at the two different length scales.
PMID- 24917569
TI - Iridium-catalyzed enantioselective allylic substitution of unstabilized enolates
derived from alpha,beta-unsaturated ketones.
AB - We report Ir-catalyzed, enantioselective allylic substitution reactions of
unstabilized silyl enolates derived from alpha,beta-unsaturated ketones.
Asymmetric allylic substitution of a variety of allylic carbonates with silyl
enolates gave allylated products in 62-94% yield with 90-98% ee and >20:1
branched-to-linear selectivity. The synthetic utility of this method was
illustrated by the short synthesis of an anticancer agent, TEI-9826.
PMID- 24917567
TI - Toward male individualization with rapidly mutating y-chromosomal short tandem
repeats.
AB - Relevant for various areas of human genetics, Y-chromosomal short tandem repeats
(Y-STRs) are commonly used for testing close paternal relationships among
individuals and populations, and for male lineage identification. However, even
the widely used 17-loci Yfiler set cannot resolve individuals and populations
completely. Here, 52 centers generated quality-controlled data of 13 rapidly
mutating (RM) Y-STRs in 14,644 related and unrelated males from 111 worldwide
populations. Strikingly, >99% of the 12,272 unrelated males were completely
individualized. Haplotype diversity was extremely high (global: 0.9999985,
regional: 0.99836-0.9999988). Haplotype sharing between populations was almost
absent except for six (0.05%) of the 12,156 haplotypes. Haplotype sharing within
populations was generally rare (0.8% nonunique haplotypes), significantly lower
in urban (0.9%) than rural (2.1%) and highest in endogamous groups (14.3%).
Analysis of molecular variance revealed 99.98% of variation within populations,
0.018% among populations within groups, and 0.002% among groups. Of the 2,372
newly and 156 previously typed male relative pairs, 29% were differentiated
including 27% of the 2,378 father-son pairs. Relative to Yfiler, haplotype
diversity was increased in 86% of the populations tested and overall male
relative differentiation was raised by 23.5%. Our study demonstrates the value of
RM Y-STRs in identifying and separating unrelated and related males and provides
a reference database.
PMID- 24917572
TI - The modified unified interaction model: incorporation of dose-dependent localised
recombination.
AB - The unified interaction model (UNIM) was developed to simulate thermoluminescence
(TL) linear/supralinear dose-response and the dependence of the supralinearity on
ionisation density, i.e. particle type and energy. Before the development of the
UNIM, this behaviour had eluded all types of TL modelling including conduction
band/valence band (CB/VB) kinetic models. The dependence of the supralinearity on
photon energy was explained in the UNIM as due to the increasing role of geminate
(localised recombination) with decreasing photon/electron energy. Recently, the
Ben Gurion University group has incorporated the concept of trapping
centre/luminescent centre (TC/LC) spatially correlated complexes and
localised/delocalised recombination into the CB/VB kinetic modelling of the
LiF:Mg,Ti system. Track structure considerations are used to describe the
relative population of the TC/LC complexes by an electron-hole or by an electron
only as a function of both photon/electron energy and dose. The latter dependence
was not included in the original UNIM formulation, a significant over
simplification that is herein corrected. The modified version, the M-UNIM, is
then applied to the simulation of the linear/supralinear dose-response
characteristics of composite peak 5 in the TL glow curve of LiF:Mg,Ti at two
representative average photon/electron energies of 500 and 8 keV.
PMID- 24917573
TI - Free fatty acid uptake in humans with CD36 deficiency.
AB - Animal models have demonstrated that CD36 facilitates cell membrane free fatty
acid (FFA) transport, but its role in human metabolism is not well understood. We
measured heart, liver, adipose (three depots), and muscle (truncal postural and
thigh locomotive) FFA uptake using [(11)C]palmitate positron emission tomography
(PET) scans in a family of five carrying the Pro90Ser CD36 mutation (2
homozygotes had no CD36) and matched control volunteers. PET scans were done
under conditions of suppressed and slightly increased palmitate concentrations.
During suppressed palmitate conditions, muscle and adipose palmitate uptake were
markedly reduced in homozygotes but not heterozygotes for the Pro90Ser CD36
mutation, whereas when palmitate concentration was slightly increased, uptake in
muscle and adipose did not differ between control subjects and homozygous family
members. Hepatic FFA uptake was similar in all participants regardless of
palmitate concentrations, whereas myocardial FFA uptake was diminished in the
Pro90Ser homozygotes during both suppressed and increased palmitate conditions.
We conclude that CD36 1) facilitates FFA transport into muscle and adipose tissue
in humans when extracellular concentrations are reduced but not when they are
modestly elevated, 2) is not rate limiting for hepatic FFA uptake, and 3) is
needed for normal cardiac FFA uptake over a range of FFA concentrations from low
to slightly elevated.
PMID- 24917574
TI - Nucleotides released from palmitate-challenged muscle cells through pannexin-3
attract monocytes.
AB - Obesity-associated low-grade inflammation in metabolically relevant tissues
contributes to insulin resistance. We recently reported monocyte/macrophage
infiltration in mouse and human skeletal muscles. However, the molecular triggers
of this infiltration are unknown, and the role of muscle cells in this context is
poorly understood. Animal studies are not amenable to the specific investigation
of this vectorial cellular communication. Using cell cultures, we investigated
the crosstalk between myotubes and monocytes exposed to physiological levels of
saturated and unsaturated fatty acids. Media from L6 myotubes treated with
palmitate-but not palmitoleate-induced THP1 monocyte migration across transwells.
Palmitate activated the Toll-like receptor 4 (TLR4)/nuclear factor-kappaB (NF
kappaB) pathway in myotubes and elevated cytokine expression, but the monocyte
chemoattracting agent was not a polypeptide. Instead, nucleotide degradation
eliminated the chemoattracting properties of the myotube-conditioned media.
Moreover, palmitate-induced expression and activity of pannexin-3 channels in
myotubes were mediated by TLR4-NF-kappaB, and TLR4-NF-kappaB inhibition or
pannexin-3 knockdown prevented monocyte chemoattraction. In mice, the expression
of pannexin channels increased in adipose tissue and skeletal muscle in response
to high-fat feeding. These findings identify pannexins as new targets of
saturated fatty acid-induced inflammation in myotubes, and point to nucleotides
as possible mediators of immune cell chemoattraction toward muscle in the context
of obesity.
PMID- 24917575
TI - Tissue-specific differences in the development of insulin resistance in a mouse
model for type 1 diabetes.
AB - Although insulin resistance is known to underlie type 2 diabetes, its role in the
development of type 1 diabetes has been gaining increasing interest. In a model
of type 1 diabetes, the nonobese diabetic (NOD) mouse, we found that insulin
resistance driven by lipid- and glucose-independent mechanisms is already present
in the liver of prediabetic mice. Hepatic insulin resistance is associated with a
transient rise in mitochondrial respiration followed by increased production of
lipid peroxides and c-Jun N-terminal kinase activity. At the onset of diabetes,
increased adipose tissue lipolysis promotes myocellular diacylglycerol
accumulation. This is paralleled by increased myocellular protein kinase C theta
activity and serum fetuin A levels. Muscle mitochondrial oxidative capacity is
unchanged at the onset but decreases at later stages of diabetes. In conclusion,
hepatic and muscle insulin resistance manifest at different stages and involve
distinct cellular mechanisms during the development of diabetes in the NOD mouse.
PMID- 24917576
TI - Type 1 diabetes in NOD mice unaffected by mast cell deficiency.
AB - Mast cells have been invoked as important players in immune responses associated
with autoimmune diseases. Based on in vitro studies, or in vivo through the use
of Kit mutant mice, mast cells have been suggested to play immunological roles in
direct antigen presentation to both CD4(+) and CD8(+) T cells, in the regulation
of T-cell and dendritic cell migration to lymph nodes, and in Th1 versus Th2
polarization, all of which could significantly impact the immune response against
self-antigens in autoimmune disease, including type 1 diabetes (T1D). Until now,
the role of mast cells in the onset and incidence of T1D has only been indirectly
tested through the use of low-specificity mast cell inhibitors and activators,
and published studies reported contrasting results. Our three laboratories have
generated independently two strains of mast cell-deficient nonobese diabetic
(NOD) mice, NOD.Cpa3(Cre/+) (Heidelberg) and NOD.Kit(W-sh/W-sh) (Leuven and
Boston), to address the effects of mast cell deficiency on the development of T1D
in the NOD strain. Our collective data demonstrate that both incidence and
progression of T1D in NOD mice are independent of mast cells. Moreover, analysis
of pancreatic lymph node cells indicated that lack of mast cells has no
discernible effect on the autoimmune response, which involves both innate and
adaptive immune components. Our results demonstrate that mast cells are not
involved in T1D in the NOD strain, making their role in this process nonessential
and excluding them as potential therapeutic targets.
PMID- 24917577
TI - Fluvastatin causes NLRP3 inflammasome-mediated adipose insulin resistance.
AB - Statins reduce lipid levels and are widely prescribed. Statins have been
associated with an increased incidence of type 2 diabetes, but the mechanisms are
unclear. Activation of the NOD-like receptor family, pyrin domain containing 3
(NLRP3)/caspase-1 inflammasome, promotes insulin resistance, a precursor of type
2 diabetes. We showed that four different statins increased interleukin-1beta (IL
1beta) secretion from macrophages, which is characteristic of NLRP3 inflammasome
activation. This effect was dose dependent, absent in NLRP3(-/-) mice, and
prevented by caspase-1 inhibition or the diabetes drug glyburide. Long-term
fluvastatin treatment of obese mice impaired insulin-stimulated glucose uptake in
adipose tissue. Fluvastatin-induced activation of the NLRP3/caspase-1 pathway was
required for the development of insulin resistance in adipose tissue explants, an
effect also prevented by glyburide. Fluvastatin impaired insulin signaling in
lipopolysaccharide-primed 3T3-L1 adipocytes, an effect associated with increased
caspase-1 activity, but not IL-1beta secretion. Our results define an
NLRP3/caspase-1-mediated mechanism of statin-induced insulin resistance in
adipose tissue and adipocytes, which may be a contributing factor to statin
induced development of type 2 diabetes. These results warrant scrutiny of insulin
sensitivity during statin use and suggest that combination therapies with
glyburide, or other inhibitors of the NLRP3 inflammasome, may be effective in
preventing the adverse effects of statins.
PMID- 24917578
TI - GLP-1 agonism stimulates brown adipose tissue thermogenesis and browning through
hypothalamic AMPK.
AB - GLP-1 receptor (GLP-1R) is widely located throughout the brain, but the precise
molecular mechanisms mediating the actions of GLP-1 and its long-acting analogs
on adipose tissue as well as the brain areas responsible for these interactions
remain largely unknown. We found that central injection of a clinically used GLP
1R agonist, liraglutide, in mice stimulates brown adipose tissue (BAT)
thermogenesis and adipocyte browning independent of nutrient intake. The
mechanism controlling these actions is located in the hypothalamic ventromedial
nucleus (VMH), and the activation of AMPK in this area is sufficient to blunt
both central liraglutide-induced thermogenesis and adipocyte browning. The
decreased body weight caused by the central injection of liraglutide in other
hypothalamic sites was sufficiently explained by the suppression of food intake.
In a longitudinal study involving obese type 2 diabetic patients treated for 1
year with GLP-1R agonists, both exenatide and liraglutide increased energy
expenditure. Although the results do not exclude the possibility that
extrahypothalamic areas are also modulating the effects of GLP-1R agonists, the
data indicate that long-acting GLP-1R agonists influence body weight by
regulating either food intake or energy expenditure through various hypothalamic
sites and that these mechanisms might be clinically relevant.
PMID- 24917579
TI - Antimicrobial activity of ceftolozane/tazobactam tested against Pseudomonas
aeruginosa and Enterobacteriaceae with various resistance patterns isolated in
European hospitals (2011-12).
AB - OBJECTIVES: To evaluate the in vitro activity of ceftolozane/tazobactam and
comparator agents tested against contemporary Gram-negative bacteria.
Ceftolozane/tazobactam is an antipseudomonal cephalosporin combined with a well
established beta-lactamase inhibitor. METHODS: A total of 10 532 Gram-negative
organisms (2191 Pseudomonas aeruginosa and 8341 Enterobacteriaceae) were
consecutively collected from 31 medical centres located in 13 European countries
plus Turkey and Israel. The organisms were tested for susceptibility by broth
microdilution methods as described by the CLSI M07-A9 document and the results
interpreted according to EUCAST as well as CLSI breakpoint criteria. Selected
ceftazidime- and/or meropenem-resistant P. aeruginosa isolates were screened for
the presence of beta-lactamase genes by PCR. RESULTS: P. aeruginosa exhibited
high rates of multidrug-resistant (31.9%) and extensively drug-resistant (24.6%)
isolates and 11.6% of isolates were susceptible only to colistin. When tested
against P. aeruginosa, ceftolozane/tazobactam (MIC(50), 1 mg/L) was generally 4
fold more active than ceftazidime (MIC(50), 4 mg/L) and inhibited >90% of
isolates with an MIC of <=8 mg/L in nine countries. In contrast, the highest
susceptibility rates observed for ceftazidime and meropenem, respectively, were
86.0%/86.0% (UK) and 85.2%/86.1% (Ireland) (67.2%/67.1% overall).
Ceftolozane/tazobactam (MIC(50/90), 0.25/2 mg/L; 93.7% and 95.2% inhibited at <=4
and <=8 mg/L, respectively), meropenem [MIC(50/90), <=0.06/<=0.06 mg/L; 98.0%
susceptible (EUCAST)] and tigecycline [MIC(50/90), 0.12/1 mg/L; 94.1% susceptible
(EUCAST)] were the most active compounds tested against Enterobacteriaceae.
CONCLUSIONS: Ceftolozane/tazobactam was the most active beta-lactam agent tested
against P. aeruginosa and demonstrated higher in vitro activity than currently
available cephalosporins and piperacillin/tazobactam when tested against
Enterobacteriaceae.
PMID- 24917580
TI - Population pharmacokinetics and dosing simulations of cefuroxime in critically
ill patients: non-standard dosing approaches are required to achieve therapeutic
exposures.
AB - OBJECTIVES: To investigate the population pharmacokinetics of cefuroxime in
critically ill patients. METHODS: In this observational pharmacokinetic study,
multiple blood samples were taken over one dosing interval of intravenous
cefuroxime. Blood samples were analysed using a validated ultra HPLC tandem mass
spectrometry technique. Population pharmacokinetic analysis and dosing
simulations were performed using non-linear mixed-effects modelling. RESULTS: One
hundred and sixty blood samples were collected from 20 patients. CL(CR) ranged
between 10 and 304 mL/min. A two-compartment model with between-subject
variability on CL, V of the central compartment and V of the peripheral
compartment described the data adequately. Twenty-four hour urinary CL(CR) was
supported as a descriptor of drug CL. The population model for CL was CL =
theta(1) * CL(CR)/100, where theta(1) is the typical cefuroxime CL in the
population, which is 9.0 L/h. The mean V was 22.5 L. Dosing simulations showed
failure to achieve the pharmacokinetic/pharmacodynamic target of 65% fT(>MIC) for
an MIC of 8 mg/L with standard dosing regimens for patients with CL(CR) >=50
mL/min. CONCLUSIONS: Administration of standard doses by intermittent bolus is
likely to result in underdosing for many critically ill patients. Continuous
infusion of higher than normal doses after a loading dose is more likely to
achieve pharmacokinetic/pharmacodynamic targets. However, even continuous
infusion of high doses (up to 9 g per day) does not guarantee adequate levels for
all patients with a CL(CR) of >=300 mL/min if the MIC is 8 mg/L.
PMID- 24917581
TI - Resistance to third-generation cephalosporins in Acinetobacter baumannii due to
horizontal transfer of a chromosomal segment containing ISAba1-ampC.
PMID- 24917582
TI - Mechanisms of reduced susceptibility and genotypic prediction of antibiotic
resistance in Prevotella isolated from cystic fibrosis (CF) and non-CF patients.
AB - OBJECTIVES: To investigate mechanisms of reduced susceptibility to commonly used
antibiotics in Prevotella cultured from patients with cystic fibrosis (CF),
patients with invasive infection and healthy control subjects and to determine
whether genotype can be used to predict phenotypic resistance. METHODS: The
susceptibility of 157 Prevotella isolates to seven antibiotics was compared, with
detection of resistance genes (cfxA-type gene, ermF and tetQ), mutations within
the CfxA-type beta-lactamase and expression of efflux pumps. RESULTS: Prevotella
isolates positive for a cfxA-type gene had higher MICs of amoxicillin and
ceftazidime compared with isolates negative for this gene (P < 0.001). A mutation
within the CfxA-type beta-lactamase (Y239D) was associated with ceftazidime
resistance (P = 0.011). The UK CF isolates were 5.3-fold, 2.7-fold and 5.7-fold
more likely to harbour ermF compared with the US CF, UK invasive and UK healthy
control isolates, respectively. Higher concentrations of azithromycin (P < 0.001)
and clindamycin (P < 0.001) were also required to inhibit the growth of the ermF
positive isolates compared with ermF-negative isolates. Furthermore, tetQ
positive Prevotella isolates had higher MICs of tetracycline (P = 0.001) and
doxycycline (P < 0.001) compared with tetQ-negative isolates. Prevotella spp.
were also shown, for the first time, to express resistance nodulation division
(RND)-type efflux pumps. CONCLUSIONS: This study has demonstrated that Prevotella
isolated from various sources harbour a common pool of resistance genes and
possess RND-type efflux pumps, which may contribute to tetracycline resistance.
The findings indicate that antibiotic resistance is common in Prevotella spp.,
but the genotypic traits investigated do not reflect phenotypic antibiotic
resistance in every instance.
PMID- 24917584
TI - In vitro activity of mecillinam against Enterobacteriaceae with NDM-1
carbapenemase.
PMID- 24917583
TI - Addition of E138K to R263K in HIV integrase increases resistance to dolutegravir,
but fails to restore activity of the HIV integrase enzyme and viral replication
capacity.
AB - BACKGROUND: The results of several clinical trials suggest that the integrase
inhibitor dolutegravir may be less prone than other drugs to the emergence of HIV
drug resistance mutations in treatment-naive patients. We have shown that the
R263K mutation commonly emerged during tissue culture selection studies with
dolutegravir and conferred low levels of resistance to this drug while
simultaneously diminishing both HIV replication capacity and integrase enzymatic
activity. E138K has been identified as a secondary mutation for dolutegravir in
selection studies and has also been observed as a secondary mutation in the
clinic for the integrase inhibitors raltegravir and elvitegravir. METHODS: We
used biochemical cell-free strand-transfer assays and tissue culture assays to
characterize the effects of the E138K/R263K combination of mutations on
resistance to dolutegravir, integrase enzyme activity and HIV-1 replication
capacity. RESULTS: We show here that the addition of the E138K substitution to
R263K increased the resistance of HIV-1 to dolutegravir but failed to restore
viral replication capacity, integrase strand-transfer activity and integration
within cellular DNA. We also show that the addition of E138K to R263K did not
increase the resistance to raltegravir or elvitegravir. The addition of the E138K
substitution to R263K was also less detrimental to integrase strand-transfer
activity and integration than a different secondary mutation at position H51Y
that had also been selected in culture. CONCLUSIONS: The E138K substitution
failed to restore the defect in viral replication capacity that is associated
with R263K, confirming previous selection studies that failed to identify
compensatory mutation(s) for the latter primary mutation. This study suggests
that the R263K resistance pathway may represent an evolutionary dead end for HIV
in treatment-naive individuals who are treated with dolutegravir and will need to
be confirmed by the long-term use of dolutegravir in the clinic.
PMID- 24917586
TI - Pedometer-facilitated walking intervention shows promising effectiveness for
reducing cancer fatigue: a pilot randomized trial.
AB - OBJECTIVE: Mechanisms for cancer related fatigue suggest that exercise but "not
too much and not too little" could be effective. This study aimed to investigate
feasibility and estimate the potential effects of a walking exercise program in
people with advanced cancer and fatigue. DESIGN: A pilot randomized trial.
SETTING: McGill University Health Centre (MUHC), Montreal, Canada. SUBJECTS:
People with advanced cancer undergoing interdisciplinary assessment and
rehabilitation with a fatigue level of 4 to 10 on a visual analogue scale.
INTERVENTIONS: An 8-week fatigue-adapted, walking intervention, facilitated using
a pedometer (STEPS), and offered at the same time as or after rehabilitation.
MEASURES: Measures of fatigue, physical function and well-being were administered
at entry, and 8, 16 and 24 weeks. Generalized estimating equations (GEE)
estimated the odds of response for people receiving the STEPS program in
comparison to the odds of response in the controls (odds ratio, OR). RESULTS:
Twenty-six persons were randomized to three groups: during rehabilitation, after
rehabilitation, and usual care. For the fatigue measures the OR for STEPS offered
at any time using an intention-to-treat approach was 3.68 (95%CI: 1.05-12.88);
for the physical function measures, the OR was 1.40 (95%CI: 0.41- 4.79) and 2.36
(95%CI: 0.66-8.51) for the well-being measures. CONCLUSION: Fifty percent of
eligible people were able to participate. This small trial suggests that a
personalized exercise program reduces fatigue and that 100 people are needed in a
full strength trial.
PMID- 24917585
TI - A potential role for human UDP-glucuronosyltransferase 1A4 promoter single
nucleotide polymorphisms in the pharmacogenomics of tamoxifen and its
derivatives.
AB - Tamoxifen (Tam) is a selective estrogen receptor modulator used to inhibit breast
tumor growth. Tam can be directly N-glucuronidated via the tertiary amine group
or O-glucuronidated after cytochrome P450-mediated hydroxylation. In this study,
the glucuronidation of Tam and its hydroxylated and/or chlorinated derivatives [4
hydroxytamoxifen (4OHTam), toremifene (Tor), and 4-hydroxytoremifene (4OHTor)]
was examined using recombinant human UDP-glucuronosyltransferases (UGTs) from the
1A subfamily and human hepatic microsomes. Recombinant UGT1A4 catalyzed the
formation of N-glucuronides of Tam and its derivatives and was the most active
UGT enzyme toward these compounds. Therefore, it was hypothesized that single
nucleotide polymorphisms (SNPs) in the promoter region of UGT1A4 have the ability
to significantly decrease the glucuronidation rates of Tam metabolites in the
human liver. In vitro activity of 64 genotyped human liver microsomes was used to
determine the association between the UGT1A4 promoter and coding region SNPs and
the glucuronidation rates of Tam, 4OHTam, Tor, and 4OHTor. Significant decreases
in enzymatic activity were observed in microsomes for individuals heterozygous
for -163G/A and -217T/G. These alterations in glucuronidation may lead to
prolonged circulating half-lives and may potentially modify the effectiveness of
these drugs in the treatment of breast cancer.
PMID- 24917587
TI - The ambiguity of the concept of participation in measurement instruments:
operationalization of participation influences research outcomes.
AB - OBJECTIVE: This study explores, based on the International Classification of
Functioning, Disability and Health, the consequences of different
operationalizations of participation in regression models predicting
participation in one sample of patients. DESIGN: Cross-sectional, comparative
study. SETTING: Department of Neurology of a University Hospital. SUBJECTS: A
total of 677 patients with a Neuromuscular Disease. MEASURES: Participation was
measured using the Neuromuscular Disease Impact Profile questionnaire, the RAND
36 Item Health Survey (social functioning, role limitations-physical, role
limitations-emotional) and the Impact on Participation and Autonomy questionnaire
(autonomy outdoors, social relations). Potential predictors of participation
included type of neuromuscular disease, body functions (measured with
Neuromuscular Disease Impact Profile), activities (measured with Neuromuscular
Disease Impact Profile), environmental factors (measured with Neuromuscular
Disease Impact Profile), and personal factors (measured with the 13-item Sense of
Coherence questionnaire). The results were controlled for patient
characteristics. RESULTS: Participation was statistically predicted by different
determinants depending on the operationalization used for participation.
Additionally, the regression coefficients differed significantly. Body functions
and activities were predictors in five out of six operationalizations of
participation. Sense of coherence predicted participation in all of the
operationalizations. The explained variance of the different models ranged from
25% (RAND-36 role limitations-emotional) to 65% (Neuromuscular Disease Impact
Profile). CONCLUSIONS: Different operationalizations of participation result in
different prediction models. Lack of conceptual consensus makes participation an
ambiguous concept in research, and this ambiguity makes evidence-based decisions
directed at enhancing participation difficult. Participation needs to be
operationalized in an unambiguous and standard way in order to improve the
comparability of outcomes.
PMID- 24917588
TI - Adhesive taping vs. daily manual muscle stretching and splinting after botulinum
toxin type A injection for wrist and fingers spastic overactivity in stroke
patients: a randomized controlled trial.
AB - OBJECTIVE: To compare the effectiveness of two procedures increasing the
botulinum toxin type A effect for wrist and finger flexor spasticity after
stroke. DESIGN: A single-blind randomized trial. SUBJECTS: Seventy patients with
upper limb post-stroke spasticity. METHODS: Adults with wrist and finger flexor
muscles spasticity after stroke were submitted to botulinum toxin type A therapy.
After the treatment, the subjects injected were randomly divided into two groups
and submitted to adhesive taping (Group A) or daily muscle manual stretching,
passive articular mobilization of wrist and fingers, and palmar splint (Group B)
for 10 days. We measured spasticity with Modified Ashworth Scale, related
disability with Disability Assessment Scale, and fingers position at rest. The
measurements were done at baseline, after two weeks, and after one month from the
treatment session. RESULTS: After two weeks, subjects in Group A reported a
significantly greater decrease in spasticity scores (Modified Ashworth Scale
fingers: mean (standard deviation) 1.3+/-0.6 vs. 2.1+/-0.6; Modified Ashworth
Scale wrist: 1.7 +/-0.6 vs. 2.3 +/-0.8), and after one month in spasticity and
disability scores (Modified Ashworth Scale fingers: mean (standard deviation) 1.9
+/-0.7 vs. 2.5 +/-0.6; Modified Ashworth Scale wrist: 2.0 +/-0.7 vs. 2.6 +/-0.6;
Disability Assessment Scale: 1.6 +/-0.7 vs. 2.1 +/-0.7) compared with Group B
subjects. Subjects in Group A reported also a significantly improved fingers
position at rest compared with Group B subjects after two weeks (2.8 +/-0.9 vs.
2.1 +/-0.7) and one month (2.3 +/-0.7 vs. 1.5 +/-0.6). CONCLUSIONS: Adhesive
taping of wrist and finger flexor muscles appeared to enhance the effect of
botulinum toxin type A therapy more than daily manual muscle stretching combined
with passive articular mobilization and palmar splint.
PMID- 24917589
TI - Systematic review of the psychometric properties of balance measures for
cerebellar ataxia.
AB - OBJECTIVE: To review systematically the psychometric properties of balance
measures for use in people with cerebellar ataxia. DATA SOURCES: Medline, AMED,
CINAHL, Web of Science and EMBASE were searched between 1946 and April 2014.
REVIEW METHODS: Two reviewers independently searched data sources. Cerebellar
specific and generic measures of balance were considered. Included studies tested
psychometric properties of balance measures in people with cerebellar ataxia of
any cause. Quality of reported studies was rated using the Consensus Based
Standards for the selection of health status Measurement INstruments (COSMIN)
checklist. RESULTS: Twenty-one articles across which 16 measures had been tested
were included for review. Using the COSMIN, quality of methodology in studies
investigating psychometric properties of generic balance measures (n=10) was
rated predominantly as 'poor'. Furthermore, responsiveness has not been tested
for any generic measures in this population. The quality of studies investigating
psychometric properties of balance sub-components of the cerebellar-specific
measures (n=6) ranged from 'poor' to 'excellent'; however, Minimally Clinically
Important Difference has not been determined for these cerebellar-specific
measures. CONCLUSION: The Posture and Gait (PG) sub-component of the
International Cooperative Ataxia Rating Scale (ICARS) demonstrates the most
robust psychometric properties with acceptable clinical utility.
PMID- 24917590
TI - What are the factors that influence physical activity participation in adults
with knee and hip osteoarthritis? A systematic review of physical activity
correlates.
AB - OBJECTIVE: To determine the factors associated with physical activity
participation in adults with hip or knee osteoarthritis. METHODS: A systematic
review was conducted including searches of AMED, PsycINFO, CINAHL, MEDLINE,
EMBASE, PubMed and the Cochrane Library from inception until October 2013.
Studies presenting quantitative correlates of physical activity in adults with
hip and/or knee osteoarthritis were included. Two independent authors conducted
the searches, extracted data and completed methodological quality assessment.
Correlates were analysed using the summary code approach within the socio
ecological model. RESULTS: A total of 170 correlates were identified from 29
publications analysing 8076 individual people with hip or knee osteoarthritis.
Methodological quality was generally good. For knee osteoarthritis, factors
consistently negatively associated with physical activity (reported more than
four studies) were increasing age (number of participants in studies supporting
association = 4558), non-white ethnicity (n = 3232), increased osteoarthritis
symptoms (n = 2374) and female gender (n = 4816). Greater lower limb function (n
= 1671) and faster gait speed were (n = 4098) positively associated with physical
activity. Social (e.g. support from spouse (n = 141)) and environment (outdoor
temperature (n = 38)) factors were identified as possible factors that influence
physical activity. For hip osteoarthritis, higher body mass index (n = 99),
increased comorbidities (n = 1021), lower mental health (n = 189) and
unemployment (n = 65) were negatively associated with physical activity; while
better social functioning (n = 1055) and health-related quality of life were
positively associated with physical activity (n = 34). CONCLUSION: Demographic,
physical, social, psychological and environmental factors are all important
correlates for physical activity for people with knee or hip osteoarthritis.
Clinicians should consider these in clinical practice.
PMID- 24917592
TI - T cell receptor-dependent activation of mTOR signaling in T cells is mediated by
Carma1 and MALT1, but not Bcl10.
AB - Signaling to the mechanistic target of rapamycin (mTOR) regulates diverse
cellular processes, including protein translation, cellular proliferation,
metabolism, and autophagy. Most models place Akt upstream of the mTOR complex,
mTORC1; however, in T cells, Akt may not be necessary for mTORC1 activation. We
found that the adaptor protein Carma1 [caspase recruitment domain (CARD)
containing membrane-associated protein 1] and at least one of its associated
proteins, the paracaspase MALT1 (mucosa-associated lymphoid tissue lymphoma
translocation protein 1), were required for optimal activation of mTOR in T cells
in response to stimulation of the T cell receptor (TCR) and the co-receptor CD28.
However, Bcl10, which binds to Carma1 and MALT1 to form a complex that mediates
signals from the TCR to the transcription factor NF-kappaB (nuclear factor
kappaB), was not required. The catalytic activity of MALT1 was required for the
proliferation of stimulated CD4+ T cells, but not for early TCR-dependent
activation events. Consistent with an effect on mTOR, MALT1 activity was required
for the increased metabolic flux in activated CD4+ T cells. Together, our data
suggest that Carma1 and MALT1 play previously unappreciated roles in the
activation of mTOR signaling in T cells after engagement of the TCR.
PMID- 24917591
TI - Interplay between the oxidoreductase PDIA6 and microRNA-322 controls the response
to disrupted endoplasmic reticulum calcium homeostasis.
AB - The disruption of the energy or nutrient balance triggers endoplasmic reticulum
(ER) stress, a process that mobilizes various strategies, collectively called the
unfolded protein response (UPR), which reestablish homeostasis of the ER and
cell. Activation of the UPR stress sensor IRE1alpha (inositol-requiring enzyme
1alpha) stimulates its endoribonuclease activity, leading to the generation of
the mRNA encoding the transcription factor XBP1 (X-box binding protein 1), which
regulates the transcription of genes encoding factors involved in controlling the
quality and folding of proteins. We found that the activity of IRE1alpha was
regulated by the ER oxidoreductase PDIA6 (protein disulfide isomerase A6) and the
microRNA miR-322 in response to disruption of ER Ca2+ homeostasis. PDIA6
interacted with IRE1alpha and enhanced IRE1alpha activity as monitored by
phosphorylation of IRE1alpha and XBP1 mRNA splicing, but PDIA6 did not
substantially affect the activity of other pathways that mediate responses to ER
stress. ER Ca2+ depletion and activation of store-operated Ca2+ entry reduced the
abundance of the microRNA miR-322, which increased PDIA6 mRNA stability and,
consequently, IRE1alpha activity during the ER stress response. In vivo
experiments with mice and worms showed that the induction of ER stress correlated
with decreased miR-322 abundance, increased PDIA6 mRNA abundance, or both.
Together, these findings demonstrated that ER Ca2+, PDIA6, IRE1alpha, and miR-322
function in a dynamic feedback loop modulating the UPR under conditions of
disrupted ER Ca2+ homeostasis.
PMID- 24917593
TI - Memo is a copper-dependent redox protein with an essential role in migration and
metastasis.
AB - Memo is an evolutionarily conserved protein with a critical role in cell
motility. We found that Memo was required for migration and invasion of breast
cancer cells in vitro and spontaneous lung metastasis from breast cancer cell
xenografts in vivo. Biochemical assays revealed that Memo is a copper-dependent
redox enzyme that promoted a more oxidized intracellular milieu and stimulated
the production of reactive oxygen species (ROS) in cellular structures involved
in migration. Memo was also required for the sustained production of the ROS O2-
by NADPH (reduced form of nicotinamide adenine dinucleotide phosphate) oxidase 1
(NOX1) in breast cancer cells. Memo abundance was increased in >40% of the
primary breast tumors tested, was correlated with clinical parameters of
aggressive disease, and was an independent prognostic factor of early distant
metastasis.
PMID- 24917594
TI - Isotype switching increases efficacy of antibody protection against
staphylococcal enterotoxin B-induced lethal shock and Staphylococcus aureus
sepsis in mice.
AB - Staphylococcal enterotoxin B (SEB) is a potent toxin that is produced by
Staphylococcus aureus strains and is classified as a category B select agent. We
have previously shown that monoclonal antibody (MAb) 20B1, a murine anti-SEB
IgG1, successfully treats SEB-induced lethal shock (SEBILS) and bacteremia that
is caused by SEB-producing S. aureus. In this study, we have generated two
isotype switch variants of the original IgG1 MAb 20B1, an IgG2a and IgG2b, both
bearing the same variable region sequence, and compared their neutralizing and
protective activity in in vitro and in vivo assays, respectively. All 3 isotypes
demonstrated comparable affinity to SEB and comparable 50% inhibitory
concentrations (IC50s) in T cell proliferation assays. In vivo, however, the
IgG2a isotype variant of 20B1 exhibited significantly greater protection than
IgG1 or IgG2b in murine SEB intoxication and S. aureus sepsis models. Protection
was associated with downmodulation of inflammatory host response. Our data
demonstrate that changing the isotype of already protective MAbs, without
affecting their antigen specificity or sensitivity, can result in an enhancement
of their protective ability. Isotype selection, therefore, should be carefully
considered in the development of toxin-neutralizing MAbs and the design of
antibody therapeutics. IMPORTANCE: The purpose of this study was to enhance the
protective efficacy of an existing, protective monoclonal antibody against
staphylococcal enterotoxin B. Using two in vivo mouse models, our study
demonstrates that the protective efficacy of a monoclonal antibody may be
improved by inducing an isotype switch at the Fc region of an antibody, without
altering the antigen specificity or sensitivity of the antibody. The development
of therapeutic MAbs with higher efficacy may allow for the achievement of equal
therapeutic benefit with a lower dosage. In turn, the use of lower doses may
reduce the cost of these therapies, while reducing the potential for adverse side
effects.
PMID- 24917595
TI - Saccharomyces boulardii administration changes gut microbiota and reduces hepatic
steatosis, low-grade inflammation, and fat mass in obese and type 2 diabetic
db/db mice.
AB - Growing evidence shows that gut microbes are key factors involved in the
regulation of energy homeostasis, metabolic inflammation, lipid metabolism, and
glucose metabolism. Therefore, gut microbiota modulations caused by selectively
fermented oligosaccharides or probiotic bacteria constitute an interesting target
in the physiopathology of obesity. However, to date, no probiotic yeast has been
investigated in this context. Therefore, our study aimed to evaluate the impact
of the most-studied probiotic yeast (i.e., Saccharomyces boulardii Biocodex) on
obesity and associated metabolic features, such as fat mass development, hepatic
steatosis, and low-grade inflammation, in obese mice. S. boulardii was
administered daily by oral gavage to leptin-resistant obese and type 2 diabetic
mice (db/db) for 4 weeks. We found that S. boulardii-treated mice exhibited
reduced body weight, fat mass, hepatic steatosis, and inflammatory tone.
Interestingly, these effects of S. boulardii on host metabolism were associated
with local effects in the intestine. S. boulardii increased cecum weight and
cecum tissue weight but also induced dramatic changes in the gut microbial
composition at the phylum, family, and genus levels. These gut microbiota changes
in response to S. boulardii may also be correlated with the host metabolism
response. In conclusion, this study demonstrates for the first time that S.
boulardii may act as a beneficial probiotic treatment in the context of obesity
and type 2 diabetes. IMPORTANCE: To date, no probiotic yeast have been
investigated in the context of obesity and type 2 diabetes. Here we found that
type 2 diabetic and obese mice (db/db) treated with Saccharomyces boulardii
exhibited reduced body weight, fat mass, hepatic steatosis, and inflammatory
tone. These effects on host metabolism were associated with local effects in the
intestine. Importantly, by using pyrosequencing, we found that S. boulardii
treatment induces changes of the gut microbiota composition at the phylum,
family, and genus levels. Moreover, we found that gut microbiota changes in
response to S. boulardii were correlated with several host metabolism responses.
PMID- 24917596
TI - Antigenic variation of clade 2.1 H5N1 virus is determined by a few amino acid
substitutions immediately adjacent to the receptor binding site.
AB - Highly pathogenic avian influenza (HPAI) viruses of the H5N1 subtype are
genetically highly variable and have diversified into multiple phylogenetic
clades over the past decade. Antigenic drift is a well-studied phenomenon for
seasonal human influenza viruses, but much less is known about the antigenic
evolution of HPAI H5N1 viruses that circulate in poultry. In this study, we
focused on HPAI H5N1 viruses that are enzootic to Indonesia. We selected
representative viruses from genetically distinct lineages that are currently
circulating and determined their antigenic properties by hemagglutination
inhibition assays. At least six antigenic variants have circulated between 2003,
when H5N1 clade 2.1 viruses were first detected in Indonesia, and 2011. During
this period, multiple antigenic variants cocirculated in the same geographic
regions. Mutant viruses were constructed by site-directed mutagenesis to
represent each of the circulating antigenic variants, revealing that antigenic
differences between clade 2.1 viruses were due to only one or very few amino acid
substitutions immediately adjacent to the receptor binding site. Antigenic
variants of H5N1 virus evaded recognition by both ferret and chicken antibodies.
The molecular basis for antigenic change in clade 2.1 viruses closely resembled
that of seasonal human influenza viruses, indicating that the hemagglutinin of
influenza viruses from different hosts and subtypes may be similarly restricted
to evade antibody recognition. IMPORTANCE: Highly pathogenic avian influenza
(HPAI) H5N1 viruses are responsible for severe outbreaks in both commercial and
backyard poultry, causing considerable economic losses and regular zoonotic
transmissions to humans. Vaccination is used increasingly to reduce the burden of
HPAI H5N1 virus in poultry. Influenza viruses can escape from recognition by
antibodies induced upon vaccination or infection through genetic changes in the
hemagglutinin protein. The evolutionary patterns and molecular basis of antigenic
change in HPAI H5N1 viruses are poorly understood, hampering formulation of
optimal vaccination strategies. We have shown here that HPAI H5N1 viruses in
Indonesia diversified into multiple antigenic variants, that antigenic
differences were due to one or a very few substitutions near the receptor binding
site, and that the molecular basis for antigenic change was remarkably similar to
that for seasonal human influenza viruses. These findings have consequences for
future vaccination and surveillance considerations and contribute to the
understanding of the antigenic evolution of influenza viruses.
PMID- 24917597
TI - The ADP-ribosyltransferase domain of the effector protein ExoS inhibits
phagocytosis of Pseudomonas aeruginosa during pneumonia.
AB - Pseudomonas aeruginosa is a Gram-negative pathogen commonly associated with
nosocomial infections such as hospital-acquired pneumonia. It uses a type III
secretion system to deliver effector proteins directly into the cytosol of host
cells. Type III secretion in P. aeruginosa has been linked to severe disease and
worse clinical outcomes in animal and human studies. The majority of P.
aeruginosa strains secrete ExoS, a bifunctional toxin with GTPase-activating
protein and ADP-ribosyltransferase activities. Numerous in vitro studies have
investigated the targets and cellular effects of ExoS, linking both its enzymatic
activities with inhibition of bacterial internalization. However, little is known
about how this toxin facilitates the progression of infection in vivo. In this
study, we used a mouse model to investigate the role of ExoS in inhibiting
phagocytosis during pneumonia. We first confirmed previous findings that the ADP
ribosyltransferase activity of ExoS, but not the GTPase-activating protein
activity, was responsible for bacterial persistence and decreased host survival
in this model. We then used two distinct assays to demonstrate that ExoS
inhibited phagocytosis during pneumonia. In contrast to the findings of several
in vitro studies, this in vivo inhibition was also dependent on the ADP
ribosyltransferase activity, but not the GTPase-activating protein activity, of
ExoS. These results demonstrate for the first time the antiphagocytic function of
ExoS in the context of an actual infection and indicate that blocking the ADP
ribosyltransferase activity of ExoS may have potential therapeutic benefit.
IMPORTANCE: Pseudomonas aeruginosa is a major cause of hospital-acquired
infections. To cause severe disease, this bacterium uses a type III secretion
system that delivers four effector proteins, ExoS, ExoT, ExoU, and ExoY, into
host cells. The majority of P. aeruginosa strains secrete ExoS, a bifunctional
toxin with GTPase-activating protein and ADP-ribosyltransferase activities. In
cell culture models, both enzymatic activities have been associated with
decreased bacterial internalization. However, our study is the first to examine a
role for ExoS in blocking phagocytosis in an animal model. We report that ExoS
does inhibit phagocytosis during pneumonia. The ADP-ribosyltransferase activity,
but not the GTPase-activating protein activity, of ExoS is necessary for this
effect. Our findings highlight the ability of P. aeruginosa to manipulate the
inflammatory response during pneumonia to facilitate bacterial survival.
PMID- 24917598
TI - A histone deacetylase complex mediates biofilm dispersal and drug resistance in
Candida albicans.
AB - Biofilms are resilient, surface-associated communities of cells with specialized
properties (e.g., resistance to drugs and mechanical forces) that are distinct
from those of suspension (planktonic) cultures. Biofilm formation by the
opportunistic human fungal pathogen Candida albicans is medically relevant
because C. albicans infections are highly correlated with implanted medical
devices, which provide efficient substrates for biofilm formation; moreover,
biofilms are inherently resistant to antifungal drugs. Biofilms are also
important for C. albicans to colonize diverse niches of the human host. Here, we
describe four core members of a conserved histone deacetylase complex in C.
albicans (Set3, Hos2, Snt1, and Sif2) and explore the effects of their mutation
on biofilm formation. We find that these histone deacetylase complex members are
needed for proper biofilm formation, including dispersal of cells from biofilms
and multifactorial drug resistance. Our results underscore the importance of the
physical properties of biofilms in contributing to drug resistance and dispersal
and lay a foundation for new strategies to target biofilm dispersal as a
potential antifungal intervention. IMPORTANCE: Through the formation of biofilms-
surface-associated communities of cells--microorganisms can establish infections,
become drug resistant, and evade the host immune system. Here we investigate how
four core members of a conserved histone deacetylase complex mediate biofilm
formation by Candida albicans, the major fungal pathogen of humans. We show that
this histone deacetylase complex is required for biofilm dispersal, a process
through which cells leave the biofilm to establish new infections. We also show
that the deacetylase complex mediates biofilm drug resistance. This work provides
new insight into how the physical properties of biofilms affect dispersal and
drug resistance and suggests new potential antifungal strategies that could be
effective against biofilms.
PMID- 24917599
TI - Bacteria present in carotid arterial plaques are found as biofilm deposits which
may contribute to enhanced risk of plaque rupture.
AB - Atherosclerosis, a disease condition resulting from the buildup of fatty plaque
deposits within arterial walls, is the major underlying cause of ischemia
(restriction of the blood), leading to obstruction of peripheral arteries,
congestive heart failure, heart attack, and stroke in humans. Emerging research
indicates that factors including inflammation and infection may play a key role
in the progression of atherosclerosis. In the current work, atherosclerotic
carotid artery explants from 15 patients were all shown to test positive for the
presence of eubacterial 16S rRNA genes. Density gradient gel electrophoresis of 5
of these samples revealed that each contained 10 or more distinct 16S rRNA gene
sequences. Direct microscopic observation of transverse sections from 5 diseased
carotid arteries analyzed with a eubacterium-specific peptide nucleic acid probe
revealed these to have formed biofilm deposits, with from 1 to 6 deposits per
thin section of plaque analyzed. A majority, 93%, of deposits was located
proximal to the internal elastic lamina and associated with fibrous tissue. In 6
of the 15 plaques analyzed, 16S rRNA genes from Pseudomonas spp. were detected.
Pseudomonas aeruginosa biofilms have been shown in our lab to undergo a
dispersion response when challenged with free iron in vitro. Iron is known to be
released into the blood by transferrin following interaction with catecholamine
hormones, such as norepinephrine. Experiments performed in vitro showed that
addition of physiologically relevant levels of norepinephrine induced dispersion
of P. aeruginosa biofilms when grown under low iron conditions in the presence
but not in the absence of physiological levels of transferrin. IMPORTANCE: The
association of bacteria with atherosclerosis has been only superficially studied,
with little attention focused on the potential of bacteria to form biofilms
within arterial plaques. In the current work, we show that bacteria form biofilm
deposits within carotid arterial plaques, and we demonstrate that one species we
have identified in plaques can be stimulated in vitro to undergo a biofilm
dispersion response when challenged with physiologically relevant levels of
norepinephrine in the presence of transferrin. Biofilm dispersion is
characterized by the release of bacterial enzymes into the surroundings of
biofilm microcolonies, allowing bacteria to escape the biofilm matrix. We believe
these enzymes may have the potential to damage surrounding tissues and facilitate
plaque rupture if norepinephrine is able to stimulate biofilm dispersion in vivo.
This research, therefore, suggests a potential mechanistic link between hormonal
state and the potential for heart attack and stroke.
PMID- 24917600
TI - Acellular pertussis vaccines and pertussis resurgence: revise or replace?
AB - The resurgence of pertussis (whooping cough) in countries with high vaccination
coverage is alarming and invites reconsideration of the use of current acellular
pertussis (aP) vaccines, which have largely replaced the old, reactogenic, whole
cell pertussis (wP) vaccine. Some drawbacks of these vaccines in terms of limited
antigenic composition and early waning of antibody levels could be anticipated by
the results of in-trial or postlicensure human investigations of B- and T-cell
responses in aP versus wP vaccine recipients or unvaccinated, infected children.
Recent data in experimental models, including primates, suggest that generation
of vaccines capable of a potent, though regulated, stimulation of innate immunity
driving effective, persistent adaptive immune responses against Bordetella
pertussis infection should be privileged. Adjuvants that skew Th1/Th17 responses
or new wP (detoxified or attenuated) vaccines should be explored. Nonetheless,
the high merits of the current aP vaccines in persuading people to resume
vaccination against pertussis should not be forgotten.
PMID- 24917601
TI - New clues to understanding HIV nonprogressors: low cholesterol blocks HIV trans
infection.
AB - A small percentage of HIV-infected subjects (2 to 15%) are able to control
disease progression for many years without antiretroviral therapy. Years of
intense studies of virologic and immunologic mechanisms of disease control in
such individuals yielded a number of possible host genes that could be
responsible for the preservation of immune functions, from immune surveillance
genes, chemokines, or their receptors to anti-HIV restriction factors. A recent
mBio paper by Rappocciolo et al. (G. Rappocciolo, M. Jais, P. Piazza, T. A.
Reinhart, S. J. Berendam, L. Garcia-Exposito, P. Gupta, and C. R. Rinaldo, mBio
5:e01031-13, 2014) describes another potential factor controlling disease
progression: cholesterol levels in antigen-presenting cells. In this commentary,
we provide a brief background of the role of cholesterol in HIV infection,
discuss the results of the study by Rappocciolo et al., and present the
implications of their findings.
PMID- 24917602
TI - For patients with chronic asthma not controlled with inhaled corticosteroids
alone, long-acting beta-agonists are associated with fewer exacerbations than
leukotriene receptor agonists.
PMID- 24917603
TI - Network meta-analysis demonstrates the safety of pharmacotherapy for smoking
cessation in cardiovascular patients.
PMID- 24917604
TI - A low Wells score and a negative D-dimer was not safe in patients with cancer for
ruling out DVT.
PMID- 24917605
TI - Trial suggests yoga and exercise lead to modest improvements in menopause-related
quality of life: longer term studies are needed.
PMID- 24917606
TI - The accuracy of V/Q SPECT in the diagnosis of pulmonary embolism: a meta
analysis.
AB - BACKGROUND: Ventilation perfusion single photon emission computed tomography (V/Q
SPECT) and CT pulmonary angiography have all been used in the diagnosis of acute
PE. Previous studies have shown higher sensitivity and specificity and a marked
decrease in the non-diagnostic rate of V/Q SPECT than planar scan. PURPOSE: To
systematically review and perform a meta-analysis of published data on the
performance of V/Q SPECT in the diagnosis of acute PE. MATERIAL AND METHODS: A
comprehensive computer search was conducted on literature published through 31
December 2013 in an effort to find relevant articles on the diagnostic
performance of V/Q SPECT in the diagnosis of PE patients. Pooled sensitivity,
specificity, negative likelihood ratio (LR), and positive LR, the area under the
receiver-operating characteristic (ROC) curve of V/Q SPECT in the diagnosis of PE
patients were calculated. RESULTS: Nine studies, comprising a total sample size
of 3454 patients, were included in our meta-analysis. The pooled sensitivity,
specificity of V/Q SPECT in the diagnosis of acute PE patients, calculated on a
per-patient-based analysis, was 96% (95% confidence interval [CI], 95-97%), 97%
(95% CI, 96-98%). The pooled negative LR, positive LR of V/Q SPECT in acute PE
patients was 0.06 (range, 0.02-0.19) and 16.64 (range, 9.78-31.54). The area
under the ROC curve of V/Q SPECT in the diagnosis of acute PE patients was 0.99
on a per-patient-based analysis. CONCLUSION: V/Q SPECT is an accurate method in
acute PE patients with high sensitivity and high specificity in the diagnosis of
PE.
PMID- 24917607
TI - Chest X-ray and CT findings of early H7N9 avian influenza cases.
AB - BACKGROUND: The H7N9 strain of bird flu is a new type of avian flu that was
identified at the end of March 2013. The disease is concerning because most
patients have become severely ill. PURPOSE: To study the X-ray and computed
tomography (CT) findings of early H7N9 avian influenza cases. MATERIAL AND
METHODS: Chest radiography and CT were performed in six patients with H7N9 avian
influenza within 1-20 days after onset. The CT examinations included conventional
spiral CT and high-resolution CT. The findings on the radiography and CT images
were analyzed. RESULTS: Abnormal X-ray and CT findings were present in all of the
patients. All of the cases had acute onset. In the early stage, the right lung
was more commonly affected (particularly in the right upper and middle lobes).
The lesions rapidly expanded to the entire lungs and were characterized primarily
by ground-glass opacities (GGOs) combined with consolidation. Diffuse GGO was
observed in all six cases (1 was symmetric, and 5 were non-symmetric). Local
consolidation was found in four cases, and lobar consolidation was found in two
cases. Normal lung tissue was observed between the lesions. Pleural thickening
was common and was combined with pleural/pericardial effusion or mediastinal
lymph node enlargement. Reticular changes, centrilobular nodules, and the tree-in
bud sign were observed in some cases, but reticular changes, bronchial wall
thickening, and hyperinflation were not found. CONCLUSION: Radiological changes
associated with both acute pneumonia and acute interstitial inflammation were
observed in early H7N9 avian influenza cases. Serial chest X-rays were useful for
the diagnosis and severity assessment of the disease. CT may provide a more
accurate assessment of the lung pathology.
PMID- 24917608
TI - Quantitative assessment of pulmonary perfusion using dynamic contrast-enhanced CT
in patients with chronic obstructive pulmonary disease: correlations with
pulmonary function test and CT volumetric parameters.
AB - BACKGROUND: Pulmonary function test (PFT) is commonly used to help diagnose
chronic obstructive pulmonary disease (COPD) and other lung diseases. However, it
cannot be used to evaluate regional function and morphological abnormalities.
PURPOSE: To quantitatively evaluate pulmonary perfusion imaging using dynamic
contrast-enhanced (DCE) computed tomography (CT) and observe its correlations
with PFT and CT volumetric parameters in COPD patients. MATERIAL AND METHODS: PFT
and CT pulmonary perfusion examination were performed in 63 COPD patients.
Perfusion defects were quantitated by calculating the CT value ratio (RHU)
between perfusion defects (HUdefect) and normal lung (HUnormal). Volumetric CT
data were used to calculate emphysema index (EI), total lung volume (TLV), and
total emphysema volume (TEV). Emphysematous parenchyma was defined as the
threshold of lung area lower than -950 HU. Correlations between RHU and TLV, TEV,
EI, and PFT were assessed using Spearman correlation analysis. RESULTS: The
positive rate of perfusion defects on CT perfusion images was higher than that of
emphysema on CT mask images (chi(2) = 17.027, P < 0.001). The Spearman
correlation test showed that RHU was positively correlated with FEV1 (R = 0.59, P
< 0.001), FEV1% Predicted (R = 0.61, P < 0.001), FVC (R = 0.47, P = 0.002), and
FEV1/FVC (R = 0.65, P < 0.001), and negatively correlated with EI (R = -0.67, P <
0.001). CONCLUSION: CT perfusion imaging is more sensitive in detecting emphysema
that is inconspicuous on CT images. RHU is correlated with PFT and CT volumetric
parameters, suggesting that it is more sensitive in detecting early COPD changes
and may prove to be a potential predictor of focal lung function.
PMID- 24917609
TI - Diagnostic value of dual time-point 18 F-FDG PET/CT versus single time-point
imaging for detection of mediastinal nodal metastasis in non-small cell lung
cancer patients: a meta-analysis.
AB - BACKGROUND: Lymph node staging in non-small cell lung cancer (NSCLC) is
challenging and important for determining treatment policy. Conflicting results
have been presented to date. PURPOSE: To evaluate the diagnostic performance of
dual time-point (DTP) 18 F-FDG PET/CT compared with single time-point (STP)
imaging for detecting mediastinal nodal metastases in patients with NSCLC.
MATERIAL AND METHODS: The PubMed, EMBASE, EBSCO, and Web of Knowledge databases
were searched for relevant articles. The pooled sensitivity, specificity,
diagnostic odds ratio (DOR), positive likelihood ratio (PLR), and negative
likelihood ratio (NLR) were calculated using Meta-Disc software. Summary receiver
operating characteristic (SROC) curves were also constructed. The potential for
between-study heterogeneity was explored using subgroup analyses. RESULTS: A
total of eight studies involving 654 patients fulfilled the inclusion criteria.
On a per-patient analysis, the pooled sensitivity and specificity with a 95%
confidence interval (CI) for DTP PET/CT were 0.85 (0.78-0.91), 0.75 (0.68-0.82),
and for STP imaging, they were 0.79 (0.70-0.85), 0.73 (0.65-0.79), respectively.
On a per-lesion basis, the corresponding values for DTP imaging were 0.84 (0.81
0.86), 0.89 (0.87-0.91), and for STP imaging, they were 0.84 (0.80-0.86), 0.83
(0.81-0.85), respectively. CONCLUSION: DTP PET/CT performed better than STP
imaging in evaluating the lymph node status of NSCLC patients and had the
potential to be broadly applied in clinical practice. However, due to the small
sample size and large heterogeneity, current evidence does not justify the
implementation of DTP imaging in routine PET protocols for mediastinal lymph node
staging of NSCLC.
PMID- 24917610
TI - The global phosphoproteome of Chlamydomonas reinhardtii reveals complex
organellar phosphorylation in the flagella and thylakoid membrane.
AB - Chlamydomonas reinhardtii is the most intensively-studied and well-developed
model for investigation of a wide-range of microalgal processes ranging from
basic development through understanding triacylglycerol production. Although
proteomic technologies permit interrogation of these processes at the protein
level and efforts to date indicate phosphorylation-based regulation of proteins
in C. reinhardtii is essential for its underlying biology, characterization of
the C. reinhardtii phosphoproteome has been limited. Herein, we report the
richest exploration of the C. reinhardtii proteome to date. Complementary
enrichment strategies were used to detect 4588 phosphoproteins distributed among
every cellular component in C. reinhardtii. Additionally, we report 18,160 unique
phosphopeptides at <1% false discovery rate, which comprise 15,862 unique
phosphosites - 98% of which are novel. Given that an estimated 30% of proteins in
a eukaryotic cell are subject to phosphorylation, we report the majority of the
phosphoproteome (23%) of C. reinhardtii. Proteins in key biological pathways were
phosphorylated, including photosynthesis, pigment production, carbon
assimilation, glycolysis, and protein and carbohydrate metabolism, and it is
noteworthy that hyperphosphorylation was observed in flagellar proteins. This
rich data set is available via ProteomeXchange (ID: PXD000783) and will
significantly enhance understanding of a range of regulatory mechanisms
controlling a variety of cellular process and will serve as a critical resource
for the microalgal community.
PMID- 24917611
TI - Diversity within the O-linked protein glycosylation systems of acinetobacter
species.
AB - The opportunistic human pathogen Acinetobacter baumannii is a concern to health
care systems worldwide because of its persistence in clinical settings and the
growing frequency of multiple drug resistant infections. To combat this threat,
it is necessary to understand factors associated with disease and environmental
persistence of A. baumannii. Recently, it was shown that a single biosynthetic
pathway was responsible for the generation of capsule polysaccharide and O-linked
protein glycosylation. Because of the requirement of these carbohydrates for
virulence and the non-template driven nature of glycan biogenesis we investigated
the composition, diversity, and properties of the Acinetobacter glycoproteome.
Utilizing global and targeted mass spectrometry methods, we examined 15 strains
and found extensive glycan diversity in the O-linked glycoproteome of
Acinetobacter. Comparison of the 26 glycoproteins identified revealed that
different A. baumannii strains target similar protein substrates, both in
characteristics of the sites of O-glycosylation and protein identity.
Surprisingly, glycan micro-heterogeneity was also observed within nearly all
isolates examined demonstrating glycan heterogeneity is a widespread phenomena in
Acinetobacter O-linked glycosylation. By comparing the 11 main glycoforms and
over 20 alternative glycoforms characterized within the 15 strains, trends within
the glycan utilized for O-linked glycosylation could be observed. These trends
reveal Acinetobacter O-linked glycosylation favors short (three to five residue)
glycans with limited branching containing negatively charged sugars such as
GlcNAc3NAcA4OAc or legionaminic/pseudaminic acid derivatives. These observations
suggest that although highly diverse, the capsule/O-linked glycan biosynthetic
pathways generate glycans with similar characteristics across all A. baumannii.
PMID- 24917612
TI - Red, amber and green: the role of the lung in de-priming active systemic
neutrophils.
PMID- 24917616
TI - Parents who wish no further treatment for their child.
AB - BACKGROUND: In the ethical and clinical literature, cases of parents who want
treatment for their child to be withdrawn against the views of the medical team
have not received much attention. Yet resolution of such conflicts demands much
effort of both the medical team and parents. OBJECTIVE: To discuss who can best
protect a child's interests, which often becomes a central issue, putting
considerable pressure on mutual trust and partnership. METHODS: We describe the
case of a 3-year-old boy with acquired brain damage due to autoimmune-mediated
encephalitis whose parents wanted to stop treatment. By comparing this case with
relevant literature, we systematically explored the pros and cons of sharing end
of-life decisions with parents in cases where treatment is considered futile by
parents and not (yet) by physicians. CONCLUSIONS: Sharing end-of-life decisions
with parents is a more important duty for physicians than protecting parents from
guilt or doubt. Moreover, a request from parents on behalf of their child to
discontinue treatment is, and should be, hard to over-rule in cases with
significant prognostic uncertainty and/or in cases with divergent opinions within
the medical team.
PMID- 24917618
TI - Identification of the 'Streptococcus anginosus group' by matrix-assisted laser
desorption ionization--time-of-flight mass spectrometry.
AB - Matrix-assisted laser desorption/ionization time-of-flight mass spectrometry
(MALDI-TOF MS) provides rapid, accurate and cost-effective identification of a
range of bacteria and is rapidly changing the face of routine diagnostic
microbiology. However, certain groups of bacteria, for example streptococci (in
particular viridans or non-haemolytic streptococci), are less reliably identified
by this method. We studied the performance of MALDI-TOF MS for identification of
the 'Streptococcus anginosus group' (SAG) to species level. In total, 116 stored
bacteraemia isolates identified by conventional methods as belonging to the SAG
were analysed by MALDI-TOF MS. Partial 16S rRNA gene sequencing, supplemented
with sialidase activity testing, was performed on all isolates to provide 'gold
standard' identification against which to compare MALDI-TOF MS performance.
Overall, 100 % of isolates were correctly identified to the genus level and 93.1
% to the species level by MALDI-TOF MS. However, only 77.6 % were correctly
identified to the genus level and 59.5 % to the species level by a MALDI-TOF MS
direct transfer method alone. Use of a rapid in situ extraction method
significantly improved identification rates when compared with the direct
transfer method (P<0.001). We recommend routine use of this method to reduce the
number of time-consuming full extractions required for identification of this
group of bacteria by MALDI-TOF MS in the routine diagnostic laboratory. Only 22 %
(1/9) of Streptococcus intermedius isolates were reliably identified by MALDI-TOF
MS to the species level, even after full extraction. MALDI-TOF MS reliably
identifies S. anginosus and Streptococcus constellatus to the species level but
does not reliably identify S. intermedius.
PMID- 24917624
TI - Shorter training is no solution for building the primary care physician
workforce.
PMID- 24917617
TI - Trends in cigarette pricing and purchasing patterns in a sample of US smokers:
findings from the ITC US Surveys (2002-2011).
AB - OBJECTIVE: This paper examines trends in cigarette prices and corresponding
purchasing patterns over a 9-year period and explores characteristics associated
with the quantity and location of cigarettes purchased by adult smokers in the
USA. METHODS: The data for this paper come from a nationally representative
longitudinal survey of 6669 adult smokers (18 years and older) who were recruited
and surveyed between 2002 and 2011. Telephone interviews were conducted annually,
and smokers were asked a series of questions about the location, quantity (ie,
single vs multiple packs or cartons) and price paid for their most recent
cigarette purchase. Generalised estimating equations were used to assess trends
and model characteristics associated with cigarette purchasing behaviours.
RESULTS: Between 2002 and 2011, the reported purchase of cigarette cartons and
the use of coupons declined while multipack purchases increased. Compared with
those purchasing by single packs, those who purchased by multipacks and cartons
saved an average of $0.53 and $1.63, respectively. Purchases in grocery and
discount stores declined, while purchases in tobacco only outlets increased
slightly. Female, older, white smokers were more likely to purchase cigarettes by
the carton or in multipacks and in locations commonly associated with tax
avoidance (ie, duty free shops, Indian reservations). CONCLUSIONS: As cigarette
prices have risen, smokers have begun purchasing via multipacks instead of
cartons. As carton sales have declined, purchases from grocery and discount
stores have also declined, while an increasing number of smokers report low tax
sources as their usual purchase location for cigarettes.
PMID- 24917625
TI - A new model for educating our nation's primary care physicians.
PMID- 24917626
TI - Effect of inpatient electroencephalography on clinical decision making: EEG is
more valuable than findings suggest.
PMID- 24917627
TI - Effect of inpatient electroencephalography on clinical decision making: EEG is
more valuable than findings suggest.
PMID- 24917628
TI - Effect of inpatient electroencephalography on clinical decision making: EEG is
more valuable than findings suggest. Response.
PMID- 24917631
TI - Effect of osteopathic manipulative treatment on middle ear effusion following
acute otitis media in young children: a pilot study.
AB - CONTEXT: Childhood acute otitis media (AOM) is highly prevalent. Its usual
sequela of middle ear effusion (MEE) can lead to conductive hearing loss, for
which surgery is commonly used. OBJECTIVE: To evaluate the efficacy of an
osteopathic manipulative treatment (OMT) protocol on MEE resolution following an
episode of AOM. The authors hypothesized that OMT provided adjunctively to
standard care for young children with AOM would reduce the duration of MEE
following the onset of AOM. METHODS: We compared standard care only (SCO) and
standard care plus OMT (SC+OMT) for the duration of MEE following AOM. Patients
were aged 6 months to 2 years. The SC+OMT group received OMT during 3 weekly
visits. Weekly tympanometric and acoustic reflectometer (AR) readings were
obtained from all patients. RESULTS: There were 52 patients enrolled, with 43
completing the study and 9 dropping out. No demographic differences were noted.
Only ears from each patient with abnormal tympanograms at entry were included.
There were 76 ears in the tympanogram analysis (38 from SCO; 38 from SC+OMT) and
61 ears in the AR data analysis (31 from SCO; 30 from SC+OMT). Dependence of
bilateral ear disease noted in AR readings was accounted for in statistical
analysis. Tympanogram data demonstrated a statistically significant improvement
in MEE at visit 3 in patients in the SC+OMT group (odds ratio, 2.98; 95%
confidence interval, 1.16, 7.62; chi(2) test for independence, P=.02). The AR
data analysis showed statistically significant improvement at visit 3 for the
SC+OMT group (z=2.05; P=.02). There was no statistically significant change in
MEE before or immediately after the OMT protocol. CONCLUSION: A standardized OMT
protocol administered adjunctively with standard care for patients with AOM may
result in faster resolution of MEE following AOM than standard treatment alone.
(ClinicalTrials.gov number NCT00520039.).
PMID- 24917632
TI - Osteopathic manipulative treatment for inpatients with pulmonary exacerbations of
cystic fibrosis: effects on spirometry findings and patient assessments of
breathing, anxiety, and pain.
AB - CONTEXT: Osteopathic manipulative treatment (OMT) has been studied in patients
with various respiratory diseases. However, to the authors' knowledge, no studies
have assessed the efficacy of OMT in patients with cystic fibrosis (CF).
OBJECTIVE: To evaluate pulmonary function and perceptions of breathing, anxiety,
and pain of CF patients who receive OMT in addition to standard inpatient
management of pulmonary exacerbation. METHODS: In a single-blind randomized
controlled trial, we assessed adult patients with a history of CF who were
admitted to the hospital because of pulmonary exacerbation. Participants were
randomly assigned to receive a daily standardized protocol of OMT or sham
therapy. Both groups also received standard treatment for CF. Spirometry and
questionnaire data (self-assessment of breathing, pain, and anxiety level) were
collected before the first OMT or sham therapy session and after the final
session. RESULTS: A total of 33 patients were included in the study: 16 in the
OMT group and 17 in the sham therapy group. Improvements in spirometric
parameters were observed in both the OMT and the sham therapy groups, with no
statistically significant differences found between the groups. More patients in
the OMT group than in the sham therapy group had questionnaire response patterns
that indicated their breathing had improved during the study period (15 of 16 vs
8 of 16, respectively). No differences were found between groups for perceived
improvement of pain and anxiety. CONCLUSION: In the current study, CF patients
who received OMT did not demonstrate statistically significant differences in pre
and posttreatment spirometry findings compared with CF patients who received
sham therapy. Questionnaire findings suggest that OMT may affect CF patients'
perception of overall quality of breathing. Additional studies are needed to
assess the clinical use of OMT in patients with CF.
PMID- 24917633
TI - Assessing palpation thresholds of osteopathic medical students using static
models of the lumbar spine.
AB - CONTEXT: Although spinal somatic dysfunction diagnosis is taught at all colleges
of osteopathic medicine, few objective measures have been used to evaluate
student accuracy. OBJECTIVE: To assess the palpatory skills of osteopathic
medical students in evaluating positional asymmetry in the transverse plane using
static block transverse process and lumbar spine models. METHODS: For this
observational study, first-year osteopathic medical students completed 3
palpatory assessments using uncovered and covered block transverse process and
lumbar spine models to simulate a range of positional asymmetries of the
transverse processes. With use of logistic regression, 80%, 90%, and 95%
thresholds were defined as the magnitude of asymmetry for which the predicted
probability of students correctly determining the direction of asymmetry exceeded
a specified amount (.80, .90, or .95). RESULTS: A total of 346 students completed
the assessments. For the uncovered block transverse process model (assessment 1),
students correctly identified the direction of asymmetry with .89 probability at
1 mm of asymmetry (80% threshold), .94 probability at 2 mm (90% threshold), and
.95 probability at 3 mm (95% threshold). For the covered block transverse process
model, students correctly identified the direction of asymmetry with .80
probability at 1 mm (80% threshold), .92 probability at 2 mm (90% threshold), and
.98 probability at 3 mm (95% threshold) by the third assessment. For the
uncovered lumbar spine model (assessment 2), students correctly identified the
direction of asymmetry with .93 probability at 2 mm (80% and 90% thresholds) and
.95 probability at 3 mm (95% threshold). For the covered lumbar spine model
(assessments 2 and 3), students correctly identified the direction of asymmetry
with .87 probability at 4 mm (80% threshold); 90% and 95% thresholds were not
reached with the range of asymmetries tested. CONCLUSION: Most first-year
osteopathic medical students were able to discern the direction of positional
asymmetry of transverse processes on static models. Depending on the model type,
student performance improved (block transverse process models) or declined
(lumbar spine models) over time. Future studies should evaluate whether accuracy
of palpating lumbar spine models translates to accuracy of palpating human lumbar
spines.
PMID- 24917634
TI - Effectiveness of osteopathic manipulative therapy for managing symptoms of
irritable bowel syndrome: a systematic review.
AB - CONTEXT: Irritable bowel syndrome (IBS) is a common and often lifelong functional
gastrointestinal disorder. There is a scarcity of effective management options
for IBS. OBJECTIVE: To assess the effectiveness of osteopathic manipulative
therapy (OMTh) for managing the symptoms of IBS. DATA SOURCES: Articles without
language or publication-date restriction were searched in PubMed, Embase,
Cochrane Library, PEDro, OSTMED.DR, and Osteopathic Research Web. Search terms
included irritable bowel syndrome, IBS, functional colonic disease, colon
irritable, osteopath*, osteopathic manipulation, osteopathic medicine, clinical
trial, and randomized clinical trial. Experts in the field of visceral osteopathy
were also contacted to identify additional studies. STUDY SELECTION: The authors
evaluated randomized controlled trials (RCTs) of OMTh for IBS in adults in whom
IBS was diagnosed using Rome (I-III) criteria. If OMTh was not the sole
intervention in the intervention group and if the same additional interventions
were not applied to the control group, the study was excluded. DATA EXTRACTION:
Citation identification, study selection, and data extraction were independently
undertaken by 2 reviewers with a data extraction form from the Cochrane
Collaboration. A consensus method was used to resolve disagreements concerning
the assessment of the methodologic quality of the RCTs that were reviewed.
RESULTS: The search identified 10 studies that examined OMTh for patients with
IBS; 5 studies (204 patients) met the inclusion criteria. All studies were
assessed as having low risk of bias according to the Cochrane Collaboration
criteria, although there was heterogeneity in the outcome measures and control
interventions. Three studies used visual analog scales for abdominal pain,
whereas others used the IBS severity score and the Functional Bowel Disorder
Severity Index. A variety of secondary outcomes were used. All studies reported
more pronounced short-term improvements with OMTh compared with sham therapy or
standard care only. These differences remained statistically significant after
variable lengths of follow-up in 3 studies. CONCLUSION: The present systematic
review provides preliminary evidence that OMTh may be beneficial in the treatment
of patients with IBS. However, caution is required in the interpretation of these
findings because of the limited number of studies available and the small sample
sizes.
PMID- 24917635
TI - Predictive relationship of osteopathic manual medicine grades and COMLEX-USA
Level 1 total scores and osteopathic principles and practice subscores.
AB - CONTEXT: Osteopathic manual medicine (OMM) encompasses hands-on diagnosis and
treatment as part of patient care. The area of osteopathic principles and
practice (OPP) is considered a core competency for students and practitioners of
this medical tradition. The Comprehensive Osteopathic Medical Licensing
Examination-USA (COMLEX-USA) is a useful tool for assessing candidates'
competency. OBJECTIVES: To examine the relationship of COMLEX-USA Level 1 total
scores and OPP subscores with OMM course grades, and to determine if these grades
are predictive of COMLEX-USA Level 1 OPP performance. METHODS: The authors
collected data-COMLEX-USA Level 1 total and OPP subscores, OMM grades (written,
practical, and total for first and second academic years), sex, and age-for a
cohort of osteopathic medical students at a single institution, and these data
were then analyzed by means of correlation analysis. RESULTS: Records were
obtained from a second-year class of osteopathic medical students (N=217). The
authors' analysis of total scores and OPP subscores on COMLEX-USA Level 1 yielded
a statistically significant correlation with all variables. Although the
correlations were moderate, second-year written examination grades showed the
strongest association with the COMLEX-USA Level 1 OPP subscores (r=0.530) and
total scores (r=0.566). CONCLUSION: Performance in the second-year OMM written
examination could identify students potentially at risk for poor performance on
COMLEX-USA Level 1.
PMID- 24917636
TI - From "Doctor of Osteopathy" to "Doctor of Osteopathic Medicine": a title change
in the push for equality.
AB - Nationally, the California merger created great solidarity among osteopathic
members of state and national osteopathic associations. They rebuffed further
efforts at amalgamation and championed the continuation of the DO degree. Even
after the American Medical Association (AMA) opened its doors to DOs to join
local and state medical associations as well as the AMA itself and gave its
blessing to them entering allopathic residency programs and becoming MD board
certified, the DOs stood fast for their independence. Yet some across the country
wanted to become known as MDs. A few osteopathic physicians even went to federal
court to claim-unsuccessfully-that state medical boards' refusal to license them
or allow them to identify themselves as MDs violated their constitutional rights
under the 1st and 14th Amendments. In the mid-1990s, the American Osteopathic
Association (AOA) gave individual osteopathic medical colleges the option of
indicating on their diplomas that the DO degree signified "Doctor of Osteopathic
Medicine" rather than "Doctor of Osteopathy," a change that paralleled previous
AOA policy changes regarding appropriate professional language. Nevertheless,
some DOs and particularly a sizable number of osteopathic medical students
continued to write of their desire for a change in the degree osteopathic medical
colleges awarded. However, in July 2008 the AOA House of Delegates unanimously
reaffirmed its commitment to continuing the traditional DO degree.
PMID- 24917637
TI - Osteopathic approach to sacroiliac dysfunction in a patient with steroid
myopathy: case report and literature review.
AB - Long-term steroid use has a well-documented risk of myopathy that imposes
functional limitations for patients and challenges for health care providers.
Proximal weakness from steroid myopathy affects support structures around the
pelvic girdle and likely predisposes patients to somatic dysfunction. To the
authors' knowledge, there are no prior reports in the literature that describe an
osteopathic manipulative medicine (OMM) approach for patients with steroid
myopathy. In the present case report, a 59-year-old woman with acute myeloid
leukemia received a blood stem cell transplantation and developed
gastrointestinal graft-versus-host disease. High-dose steroids were prescribed,
and she developed proximal weakness from steroid myopathy. The patient's acute
inpatient rehabilitation was impacted by new onset left sacroiliac dysfunction. A
patient-focused OMM approach was used to assist the patient in maximizing her
sacroiliac function. The proximal weakness seen with steroid myopathy
necessitates special considerations for an OMM approach to address somatic
dysfunction associated with this disease.
PMID- 24917638
TI - Hepatic cystic echinococcosis.
PMID- 24917639
TI - Mendelian randomization studies in coronary artery disease.
AB - Epidemiological research over the last 50 years has discovered a plethora of
biomarkers (including molecules, traits or other diseases) that associate with
coronary artery disease (CAD) risk. Even the strongest association detected in
such observational research precludes drawing conclusions about the causality
underlying the relationship between biomarker and disease. Mendelian
randomization (MR) studies can shed light on the causality of associations, i.e
whether, on the one hand, the biomarker contributes to the development of disease
or, on the other hand, the observed association is confounded by unrecognized
exogenous factors or due to reverse causation, i.e. due to the fact that
prevalent disease affects the level of the biomarker. However, conclusions from a
MR study are based on a number of important assumptions. A prerequisite for such
studies is that the genetic variant employed affects significantly the biomarker
under investigation but has no effect on other phenotypes that might confound the
association between the biomarker and disease. If this biomarker is a true causal
risk factor for CAD, genotypes of the variant should associate with CAD risk in
the direction predicted by the association of the biomarker with CAD. Given a
random distribution of exogenous factors in individuals carrying respective
genotypes, groups represented by the genotypes are highly similar except for the
biomarker of interest. Thus, the genetic variant converts into an unconfounded
surrogate of the respective biomarker. This scenario is nicely exemplified for
LDL cholesterol. Almost every genotype found to increase LDL cholesterol level by
a sufficient amount has also been found to increase CAD risk. Pending a number of
conditions that needed to be fulfilled by the genetic variant under investigation
(e.g. no pleiotropic effects) and the experimental set-up of the study, LDL
cholesterol can be assumed to act as the functional component that links
genotypes and CAD risk and, more importantly, it can be assumed that any
modulation of LDL cholesterol-by whatever mechanism-would have similar effects on
disease risk. Therefore, MR analysis has tremendous potential for identifying
therapeutic targets that are likely to be causal for CAD. This review article
discusses the opportunities and challenges of MR studies for CAD, highlighting
several examples that involved multiple biomarkers, including various lipid and
inflammation traits as well as hypertension, diabetes mellitus, and obesity.
PMID- 24917640
TI - Cardiac involvement in relapsing polychondritis.
PMID- 24917641
TI - Systemic thrombolytic therapy for acute pulmonary embolism: a systematic review
and meta-analysis.
AB - AIM: Thrombolytic therapy induces faster clot dissolution than anticoagulation in
patients with acute pulmonary embolism (PE) but is associated with an increased
risk of haemorrhage. We reviewed the risks and benefits of thrombolytic therapy
in the management of patients with acute PE. METHODS AND RESULTS: We
systematically reviewed randomized controlled studies comparing systemic
thrombolytic therapy plus anticoagulation with anticoagulation alone in patients
with acute PE. Fifteen trials involving 2057 patients were included in our meta
analysis. Compared with heparin, thrombolytic therapy was associated with a
significant reduction of overall mortality (OR; 0.59, 95% CI: 0.36-0.96). This
reduction was not statistically significant after exclusion of studies including
high-risk PE (OR; 0.64, 95% CI: 0.35-1.17). Thrombolytic therapy was associated
with a significant reduction in the combined endpoint of death or treatment
escalation (OR: 0.34, 95% CI: 0.22-0.53), PE-related mortality (OR: 0.29; 95% CI:
0.14-0.60) and PE recurrence (OR: 0.50; 95% CI: 0.27-0.94). Major haemorrhage
(OR; 2.91, 95% CI: 1.95-4.36) and fatal or intracranial bleeding (OR: 3.18, 95%
CI: 1.25-8.11) were significantly more frequent among patients receiving
thrombolysis. CONCLUSIONS: Thrombolytic therapy reduces total mortality, PE
recurrence, and PE-related mortality in patients with acute PE. The decrease in
overall mortality is, however, not significant in haemodynamically stable
patients with acute PE. Thrombolytic therapy is associated with an increase of
major and fatal or intracranial haemorrhage.
PMID- 24917643
TI - Optical coherence tomography images of iliac artery fibromuscular dysplasia.
PMID- 24917642
TI - Mechanical alternans from an obstructive thrombosed prosthetic heart valve.
PMID- 24917644
TI - Is our heart a well-designed pump? The heart along animal evolution.
AB - A carrier system for gases and nutrients became mandatory when primitive animals
grew larger and developed different organs. The first circulatory systems are
peristaltic tubes pushing slowly the haemolymph into an open vascular tree
without capillaries (worms). Arthropods developed contractile bulges on the
abdominal aorta assisted by accessory hearts for wings or legs and by abdominal
respiratory motions. Two-chamber heart (atrium and ventricle) appeared among
mollusks. Vertebrates have a multi-chamber heart and a closed circulation with
capillaries. Their heart has two chambers in fishes, three chambers (two atria
and one ventricle) in amphibians and reptiles, and four chambers in birds and
mammals. The ventricle of reptiles is partially divided in two cavities by an
interventricular septum, leaving only a communication of variable size leading to
a variable shunt. Blood pressure increases progressively from 15 mmHg (worms) to
170/70 mmHg (birds) according to the increase in metabolic rate. When systemic
pressure exceeds 50 mmHg, a lower pressure system appears for the circulation
through gills or lungs in order to improve gas exchange. A four-chamber heart
allows a complete separation of systemic and pulmonary circuits. This review
describes the circulatory pumping systems used in the different classes of
animals, their advantages and failures, and the way they have been modified with
evolution.
PMID- 24917645
TI - Understanding IMPROVE-IT and the cardinal role of LDL-C lowering in CVD
prevention.
PMID- 24917646
TI - Atherosclerotic changes in coronary aneurysms post-Kawasaki disease: in vivo
demonstration with near-infrared spectroscopy and intravascular ultrasound.
PMID- 24917647
TI - Windlass Mechanism in Individuals With Diabetes Mellitus, Peripheral Neuropathy,
and Low Medial Longitudinal Arch Height.
AB - BACKGROUND: The windlass mechanism, acting through the plantar fascia, stabilizes
the arches of the foot during stance phase of gait. The purpose of this study was
to compare changes in radiographic measurements of the medial longitudinal arch
(MLA) between toe-flat and -extended positions in participants with and without
diabetes mellitus (DM), peripheral neuropathy (PN), and a low MLA. METHODS:
Twelve participants with DMPN and low MLA and 12 controls received weightbearing
radiographs in a toe-flat and toe-extended position. DMPN participants were
subcategorized from radiographs into DMPN severe, evidence of severe joint
changes, and DMPN low, absence of joint changes. Primary measurements of MLA were
determined in each position and included Meary's angle, talar declination angle,
first metatarsal declination angle, and navicular height. RESULTS: The DMPN
severe group had no difference between toe-flat and -extended positions for
Meary's, talar declination, and first metatarsal declination angles (P > .35)
while navicular height elevated (P < .05). The DMPN low group had no difference
between toe-flat and -extended positions for talar declination angle (P = .38),
while Meary's angle, first metatarsal declination angle, and navicular height
elevated (P < .05). All measurements in the control group changed, consistent
with arch height elevation, when toes were extended (P < .05). CONCLUSION: The
DMPN severe and low groups showed impaired ability to raise the arch from the toe
flat to -extended position. Further research is needed to examine the
contribution of specific windlass mechanism components (ie, plantar fascia,
ligament, foot joint integrity, and mobility) as they relate to progressive foot
deformity in adults with DMPN. LEVEL OF EVIDENCE: Level III, comparative series.
PMID- 24917648
TI - Bone marrow-derived cells and biophysical stimulation for talar osteochondral
lesions: a randomized controlled study.
AB - BACKGROUND: Osteochondral lesions of the talus (OLT) frequently occur after ankle
sprains in young patients participating in sports activities. These injuries may
lead to chronic pain, joint swelling, and finally osteoarthritis, therefore,
surgical repair is frequently needed. A collagen scaffold seeded with bone marrow
derived cells (BMDCs) harvested from patient's iliac crest and implanted into the
OLT through a single arthroscopic procedure has been recently proposed as an
effective treatment option. Nevertheless, BMDCs, embedded in an inflammatory
environment, tend to differentiate toward a fibroblast phenotype with a
consequential loss of mechanical characteristics. Biophysical stimulation with
pulsed electromagnetic fields (PEMFs) has been shown to promote anabolic
chondrocyte activity, stimulate proteoglycan synthesis, and reduce the release of
the most relevant pro-inflammatory cytokines. The aim of this randomized
controlled trial was to evaluate the effects of PEMFs on clinical outcome in
patients who underwent BMDCs transplantation for OLT. METHODS: Thirty patients
affected by grade III and IV Outerbridge OLT underwent BMDCs transplantation.
After surgery, patients were randomly assigned to either experimental group
(PEMFs 4 hours per day for 60 days starting within 3 days after operation) or
control group. Clinical outcome was evaluated with (American Orthopaedic Foot and
Ankle Society) AOFAS score, Visual Analog Scale (VAS), and Short Form-36 (SF-36).
RESULTS: Significantly higher AOFAS score was recorded in the experimental group
both at 6 or 12 months follow-up. At 60 days and 6 and 12 months follow-up,
significant lower pain was observed in the experimental group. No significant
difference was found in SF-36 between groups. CONCLUSION: A superior clinical
outcome was found in the experimental group with more than 10 points higher AOFAS
score at final follow-up. Biophysical stimulation started soon after surgery
aided patient recovery leading to pain control and a better clinical outcome with
these improvements lasting more than 1 year after surgery. LEVEL OF EVIDENCE:
Level II, prospective comparative study.
PMID- 24917649
TI - Treatment for severe functional tricuspid regurgitation: annuloplasty versus
valve replacement.
AB - OBJECTIVES: Previous studies have compared the outcomes of mitral annuloplasty
with those of mitral valve replacement in patients with functional mitral
regurgitation. However, data comparing the results of tricuspid annuloplasty
(TAP) with those of tricuspid valve replacement (TVR) in patients with functional
tricuspid regurgitation (FTR) have been scarce. We evaluated whether TAP was an
optimal option for severe FTR. METHODS: From 1996 to 2012, 175 patients (57 +/-
11 years old) underwent surgical correction for severe FTR. A total of 108
patients underwent TAP (the TAP group), and 67 underwent TVR (the TVR group).
Inverse probability of treatment weighting (IPTW) analysis and propensity score
matching with 37 patients in each group were performed to adjust for the baseline
differences between the two groups. RESULTS: Early mortality occurred in 13
patients without any inter-group differences. There were 35 late mortalities,
including 24 cardiac deaths. The 5- and 10-year freedom rates from cardiac death
were 93.0 and 88.5%, respectively, in the TAP group, and 84.7 and 69.8%,
respectively, in the TVR group. The IPTW-adjusted multivariable analysis revealed
that the freedom rates from cardiac death were higher in the TAP group than in
the TVR group (P = 0.01). In the propensity score-matched patients, the freedom
rates from cardiac death and tricuspid valve-related event were higher in the TAP
group than in the TVR group, although the difference was not statistically
significant. CONCLUSIONS: TAP should be considered as a treatment of choice for
patients with severe FTR because TVR has been associated with long-term cardiac
death and valve-related events.
PMID- 24917650
TI - Biventricular assist device use in non-dilated hypertrophic cardiomyopathy.
AB - Advanced heart failure is a rare but important complication of hypertrophic
cardiomyopathy (HCM). The only definitive treatment is heart transplantation and
the role of ventricular assist devices remains uncertain. We describe the use of
implantable biventricular assist devices in the treatment of a patient with 'end
stage' non-dilated HCM.
PMID- 24917651
TI - Evaluation of bioactive compounds of black mulberry juice after thermal,
microwave, ultrasonic processing, and storage at different temperatures.
AB - The effect of different sterilization methods (thermal, microwave, and ultrasonic
processing) on the main bioactive compounds and antioxidant activity of black
mulberry juice during selected storage time (8 days) and temperatures (5, 15, and
25 C) was investigated. The antioxidant activity of thermal-treated juice
depleted with storage time, whilst both ultrasound- and microwave-treated juices
showed transient increase in antioxidant activity during the first 2 days that
later decreased with storage time. Lower temperature storage preserved more
bioactive compounds and antioxidant activity, especially in ultrasound sterilized
samples. The activation energy values were 15.99, 13.07, and 12.81 kJ/mol for
ultrasonic, microwave, and thermal pasteurization processes, respectively. In
general, ultrasound-sterilized samples showed higher total phenolics,
anthocyanin, and antioxidant activity compared to the microwave- and thermal
processed juice during the storage time especially at lower temperatures.
PMID- 24917652
TI - Nuclear factor erythroid 2-related factor 2 rescues the oxidative stress induced
by di-N-butylphthalate in testicular Leydig cells.
AB - AIM: This study aimed to determine whether nuclear factor erythroid 2-related
factor 2 antagonized the oxidative stress induced by di-N-butylphthalate (DBP) in
testicular Leydig cells. METHODS: Mouse TM3 testicular Leydig cells were treated
with Nrf2 knockdown (KD) or overexpression in the presence and absence of DBP.
Oxidative profiles were examined. Nrf2 target antioxidant genes were studied, and
the effects of Nrf2 inducer sulphoraphane (SFN) were tested. RESULTS: DBP induced
intracellular oxidative stress to a similar extent with Nrf2 KD. Expression and
protein levels of Nrf2 were increased together with its target genes, namely heme
oxygenase 1, nicotinamide adenine dinucleotide phosphate quinone oxidoreductase 1
and peroxiredoxin 6, following DBP stimulation. Use of SFN not only restored the
intracellular oxidative toxicity but also cell proliferation and testosterone
secretion in response to DBP. CONCLUSION: Increased Nrf2 activity, for example,
by SFN can effectively antagonize the oxidative stress in testicular Leydig cells
caused by DBP.
PMID- 24917653
TI - Extensive investigation of 114 patients with Sheehan's syndrome: a continuing
disorder.
AB - OBJECTIVE: Sheehan's syndrome (SS) is a well-known cause of hypopituitarism
resulting from postpartum pituitary necrosis. Because of its rarity in Western
society, its diagnosis is often overlooked. We aimed to investigate the clinical,
laboratory, and radiological aspects of SS in a large number of patients. STUDY
DESIGN: A retrospective assessment of the medical records of 114 patients with SS
was conducted. In addition, sella turcica volumes of 29 healthy women were
compared with those of patients by magnetic resonance imaging examinations.
RESULTS: The mean period of diagnostic delay was 19.7 years in patients with SS.
It was found that 52.6% of patients had nonspecific complaints, 30.7% had
complaints related to adrenal insufficiency, and 9.6% had complaints related to
hypogonadism when diagnosed. At the time of diagnosis, 55.3% of the patients had
panhypopituitarism, while 44.7% had partial hypopituitarism. The number of
deficient hormones was found to be increased over the years. None of the patients
whose basal prolactin was below 4.0 ng/ml had adequate prolactin responses to TRH
test, while all patients whose basal prolactin was above 7.8 ng/ml had adequate
responses. Mean sella volume was found to be significantly lower in the SS group
(340.5+/-214 mm(3)) than that in the healthy group (602.5+/-192 mm(3)).
CONCLUSIONS: SS is a common cause of hypopituitarism in underdeveloped and
developing countries. The main reasons for diagnostic delay seem to be the high
frequency of patients with nonspecific complaints and neglect of SS. In addition,
the TRH stimulation test was found to have a high sensitivity and specificity to
recognize PRL deficiency. Furthermore, small sella size may have an important
contributing role in the etiopathogenesis of SS.
PMID- 24917654
TI - Subclinical hypothyroidism represents an additional risk factor for coronary
artery calcification, especially in subjects with intermediate and high
cardiovascular risk scores.
AB - OBJECTIVE: Several studies have suggested an association between subclinical
hypothyroidism (SCH) and increased cardiovascular risk. The aim of this study was
to evaluate the presence of coronary artery disease (CAD) in asymptomatic
patients with SCH by measuring the coronary artery calcium score (CACS). DESIGN:
A total of 222 asymptomatic subjects (103 SCH and 119 euthyroid (EU)), who were
between the ages of 35 and 65 years and had no previous history of CAD, were
enrolled for this cross-sectional analysis. METHODS: The criteria for SCH
included a confirmed normal serum free thyroxine and high TSH levels. Lipid
profile, Framingham risk score (FRS) and CACS analyses were performed for all
subjects. RESULTS: The SCH and EU groups were comparable with respect to age,
gender, BMI and frequency of diabetes, systemic arterial hypertension,
hypercholesterolaemia and smoking. There was no difference in the median CACS
between the SCH and EU groups. However, in the subgroup of subjects with
intermediate/high FRS (AR10y >=10%), CACS was higher in the SCH subjects compared
with EU subjects (EU vs SCH, 0.0 (57.0) vs 23.0 (161.5); P=0.045). Multivariate
analysis revealed that the risk for CACS >100 was independently associated with
male gender, age >55 years, and the presence of simultaneous SCH and AR10y >=10%
(OR=87.5 (CI=2.1-3500); P=0.001). Serum TSH was positively correlated with CACS,
especially in intermediate/high FRS subjects (rs=0.301, P=0.045). CONCLUSIONS: It
was demonstrated that SCH represents an additional risk factor for CAD, notably
in intermediate and high FRS subjects.
PMID- 24917655
TI - Zinc oxide influences mitogen-activated protein kinase and TGF-beta1 signaling
pathways, and enhances intestinal barrier integrity in weaned pigs.
AB - Weaning is the most significant event in the life of pigs and is always related
with intestinal disruption. Although it is well known that zinc oxide (ZnO)
exerts beneficial effects on the intestinal barrier, the mechanisms underlying
these effects have not yet been fully elucidated. We examined whether ZnO
protects the intestinal barrier via mitogen-activated protein kinases and TGF
beta1 signaling pathways. Twelve barrows weaned at 21 d of age were randomly
assigned to two treatments (0 verus 2200 mg Zn/kg from ZnO) for 1 wk. The results
showed that supplementation with ZnO increased daily gain and feed intake, and
decreased postweaning scour scores. ZnO improved intestinal morphology, as
indicated by increased villus height and villus height:crypt depth ratio, and
intestinal barrier function, indicated by increased transepithelial electrical
resistance and decreased mucosal-to-serosal permeability to 4-ku FITC dextran.
ZnO decreased the ratios of the phosphorylated to total JNK and p38 (p-JNK/JNK
and p-p38/p38), while it increased the ratio of ERK (p-ERK/ERK). Supplementation
with ZnO increased intestinal TGF-beta1 expression. The results indicate that
supplementation with ZnO activates ERK 1/2, and inhibits JNK and p38 signaling
pathways, and increases intestinal TGF-beta1 expression in weaned pigs.
PMID- 24917656
TI - Heartland virus-associated death in tennessee.
AB - BACKGROUND: Heartland virus (HRTV) is a tick-borne phlebovirus recently described
in Missouri that is associated with fever, leukopenia, and thrombocytopenia. The
virus has also been detected in Ambylomma americanum ticks. METHODS: Here we
report the first fatal case of HRTV disease in an 80-year-old Tennessee resident.
He was hospitalized with fever, confusion, leukopenia, and thrombocytopenia and
developed multiorgan failure and hemorrhage. A tick-borne illness was suspected
and testing for ehrlichiosis was negative. He died on hospital day 15, and
autopsy specimens were tested for various pathogens as part of an unexplained
death evaluation. RESULTS: HRTV antigens were detected in postmortem spleen and
lymph nodes by immunohistochemistry, and HRTV was detected in premortem blood by
reverse transcription polymerase chain reaction and by isolation in cell culture.
CONCLUSIONS: This case demonstrates that HRTV infection can cause severe disease
and death and expands the geographic range of HRTV within the United States.
PMID- 24917658
TI - Staggered and tapered antibiotic withdrawal with administration of kefir for
recurrent Clostridium difficile infection.
AB - Daily administration of the probiotic kefir given in combination with a staggered
and tapered antibiotic withdrawal regimen may resolve recurrent Clostridium
difficile infection as effectively as fecal microbiota transplantation.
PMID- 24917657
TI - Effectiveness of 7-valent pneumococcal conjugate vaccine against invasive
pneumococcal disease in HIV-infected and -uninfected children in south africa: a
matched case-control study.
AB - BACKGROUND: South Africa introduced 7-valent pneumococcal conjugate vaccine
(PCV7) in April 2009 using a 2 + 1 schedule (6 and 14 weeks and 9 months). We
estimated the effectiveness of >=2 PCV7 doses against invasive pneumococcal
disease (IPD) in human immunodeficiency virus (HIV)-infected and -uninfected
children. METHODS: IPD (pneumococcus identified from a normally sterile site)
cases were identified through national laboratory-based surveillance. Specimens
were serotyped by Quellung or polymerase chain reaction. Four controls, matched
for age, HIV status, and hospital were sought for each case. Using conditional
logistic regression, we calculated vaccine effectiveness (VE) as 1 minus the
adjusted odds ratio for vaccination. RESULTS: From March 2010 through November
2012, we enrolled 187 HIV-uninfected (48 [26%] vaccine serotype) and 109 HIV
infected (43 [39%] vaccine serotype) cases and 752 HIV-uninfected and 347 HIV
infected controls aged >=16 weeks. Effectiveness of >=2 PCV7 doses against
vaccine-serotype IPD was 74% (95% confidence interval [CI], 25%-91%) among HIV
uninfected and -12% (95% CI, -449% to 77%) among HIV-infected children.
Effectiveness of >=3 doses against vaccine-serotype IPD was 90% (95% CI, 14%-99%)
among HIV-uninfected and 57% (95% CI, -371% to 96%) among HIV-infected children.
Among HIV-exposed but -uninfected children, effectiveness of >=2 doses was 92%
(95% CI, 47%-99%) against vaccine-serotype IPD. Effectiveness of >=2 doses
against all-serotype multidrug-resistant IPD was 96% (95% CI, 62%-100%) among HIV
uninfected children. CONCLUSIONS: A 2 + 1 PCV7 schedule was effective in
preventing vaccine-serotype IPD in HIV-uninfected and HIV-exposed, uninfected
children. This finding supports the World Health Organization recommendation for
this schedule as an alternative to a 3-dose primary series among HIV-uninfected
individuals.
PMID- 24917659
TI - National estimates of healthcare utilization by individuals with hepatitis C
virus infection in the United States.
AB - BACKGROUND: Hepatitis C virus (HCV) infection is a major public health problem in
the United States. Although prior studies have evaluated the HCV-related
healthcare burden, these studies examined a single treatment setting and did not
account for the growing "baby boomer" population (individuals born during 1945
1965). METHODS: Data from the National Ambulatory Medical Care Survey, the
National Hospital Ambulatory Medical Care Survey, and the Nationwide Inpatient
Sample were analyzed. We sought to characterize healthcare utilization by
individuals infected with HCV in the United States, examining adult (>=18 years)
outpatient, emergency department (ED), and inpatient visits among individuals
with HCV diagnosis for the period 2001-2010. Key subgroups included persons born
before 1945 (older), between 1945 and 1965 (baby boomer), and after 1965
(younger). RESULTS: Individuals with HCV infection were responsible for >2.3
million outpatient, 73 000 ED, and 475 000 inpatient visits annually. Persons in
the baby boomer cohort accounted for 72.5%, 67.6%, and 70.7% of care episodes in
these settings, respectively. Whereas the number of outpatient visits remained
stable during the study period, inpatient admissions among HCV-infected baby
boomers increased by >60%. Inpatient stays totaled 2.8 million days and cost >$15
billion annually. Nonwhites, uninsured individuals, and individuals receiving
publicly funded health insurance were disproportionately affected in all
healthcare settings. CONCLUSIONS: Individuals with HCV infection are large users
of outpatient, ED, and inpatient health services. Resource use is highest and
increasing in the baby boomer generation. These observations illuminate the
public health burden of HCV infection in the United States.
PMID- 24917660
TI - Central nervous system syndromes in solid organ transplant recipients.
AB - Solid organ transplant recipients have a high incidence of central nervous system
(CNS) complications, including both focal and diffuse neurologic deficits. In the
immunocompromised host, the initial clinical evaluation must focus on both life
threatening CNS infections and vascular or anatomic lesions. The clinical signs
and symptoms of CNS processes are modified by the immunosuppression required to
prevent graft rejection. In this population, these etiologies often coexist with
drug toxicities and metabolic abnormalities that complicate the development of a
specific approach to clinical management. This review assesses the multiple risk
factors for CNS processes in solid organ transplant recipients and establishes a
timeline to assist in the evaluation and management of these complex patients.
PMID- 24917661
TI - The influence of ART on the treatment of Trichomonas vaginalis among HIV-infected
women.
AB - OBJECTIVE: Among women who are human immunodeficiency virus positive (HIV+), both
prevalent and persistent infections with Trichomonas vaginalis (TV) are common.
TV has been shown to increase vaginal shedding of HIV, which may influence HIV
sexual and perinatal transmission, making prevention important. In 1 cohort of
HIV+ women in Kenya, antiretroviral therapy (ART) use, mostly nevirapine based,
was associated with lower cure rates of TV for single-dose therapy. Our goal was
to repeat this study in a US-based cohort of HIV+/TV+ women and compare outcomes
to those with multidose therapy. METHODS: A secondary data analysis was performed
on a multicentered cohort of HIV+/TV+ women who were randomized to single-dose (2
grams) or 7-day (500 mg twice daily) multidose metronidazole (MTZ) treatment.
Test of cure visit, via culture, occurred 6-12 days after treatment completion.
Information was collected on sex partner treatment and sexual exposures.
Persistent TV infection rates were compared for women on ART at baseline vs not
on ART. RESULTS: Of the 226 women included, those on ART had more treatment
failures than women not on ART (24/146 [16.4%] vs 5/80 [6.3%]; P = .03). When
stratified by treatment arm, more treatment failures were seen in the single-dose
arm (17/73 [23.3%] vs 3/39 [7.7%]; P = .05) than in the multidose arm (7/73
[9.6%] vs 2/41 [4.8%]; P = .39). CONCLUSIONS: ART usage was associated with a
higher TV persistent infection rate among those receiving the single-dose
treatment, but not the multidose, providing more evidence that multidose should
be the preferred treatment for HIV+ women.
PMID- 24917662
TI - Early initiation of combination antiretroviral therapy in HIV-1-infected newborns
can achieve sustained virologic suppression with low frequency of CD4+ T cells
carrying HIV in peripheral blood.
AB - BACKGROUND: A human immunodeficiency virus type 1 (HIV-1)-infected infant started
on combination antiretroviral therapy (cART) at 30 hours of life was recently
reported to have no detectable plasma viremia after discontinuing cART. The
current study investigated the impact of early cART initiation on measures of HIV
1 reservoir size in HIV-1-infected children with sustained virologic suppression.
METHODS: Children born to HIV-1-infected mothers and started on cART within 72
hours of birth at 3 Canadian centers were assessed. HIV serology, HIV-1-specific
cell-mediated immune responses, plasma viremia, cell-associated HIV-1 DNA and
RNA, presence of replication-competent HIV-1, and HLA genotype were determined
for HIV-1-infected children with sustained virologic suppression. RESULTS: Of 136
cART-treated children, 12 were vertically infected (8.8%). In the 4 who achieved
sustained virologic suppression, HIV serology, HIV-1-specific cell-mediated
immune responses (Gag, Nef), and ultrasensitive viral load were negative. HIV-1
DNA was not detected in enriched CD4(+) T cells of the 4 children (<2.6
copies/10(6) CD4(+) T cells), whereas HIV-1 RNA was detected (19.5-130 copies/1.5
ug RNA). No virion-associated HIV-1 RNA was detected following mitogenic
stimulation of peripheral blood CD4(+) T cells (5.4-8.0 million CD4(+) T cells)
in these 4 children, but replication competent virus was detected by quantitative
co-culture involving a higher number of cells in 1 of 2 children tested (0.1
infectious units/10(6) CD4(+) T cells). CONCLUSIONS: In perinatally HIV-1
infected newborns, initiation of cART within 72 hours of birth may significantly
reduce the size of the HIV-1 reservoirs. Cessation of cART may be necessary to
determine whether functional HIV cure can be achieved in such children.
PMID- 24917663
TI - Ubiquitous myocardial extensions into the pulmonary artery demonstrated by
integrated intracardiac echocardiography and electroanatomic mapping: changing
the paradigm of idiopathic right ventricular outflow tract arrhythmias.
AB - BACKGROUND: Idiopathic ventricular arrhythmias of left bundle branch block
inferior axis morphology are usually localized to the right ventricular outflow
tract (RVOT), presumably below the pulmonic valve (PV). However, the PV location
is usually not confirmed by direct visualization. METHODS AND RESULTS:
Intracardiac echocardiography was used to visualize and tag the PV annulus, which
was then integrated with 3-dimensional voltage maps of the RVOT. Distances were
measured from the furthest extent of myocardial signal (bipolar voltage >=1.5 mV)
to the PV annulus. This was performed in 24 control patients and 24 prospective
patients with RVOT arrhythmias. Myocardial signal beyond the PV was found in 92%
of controls and 88% of RVOT arrhythmia patients (P=1.000). Average myocardial
extension was further on the septal side than on the free wall side for control
patients (5.6 mm; interquartile range [IQR], 3.6-7.7, versus 1.7 mm; IQR (-)0.1
to (+)4.0; P=0.002) and RVOT arrhythmia patients (5.7 mm; IQR, 2.7-7.7, versus
1.4 mm; IQR, (-)0.8 to (+)4.8; P=0.004). Eleven (46%) RVOT arrhythmia foci were
localized beyond the valve in the pulmonary artery (median 8.2 mm above PV; IQR,
6.6-10.3 mm); these locations were confirmed as supravalvular by direct
intracardiac echocardiography visualization. CONCLUSIONS: Myocardial voltage
extension into the pulmonary artery in humans is ubiquitous and can be
demonstrated in vivo using 3-dimensional integrated intracardiac echocardiography
to localize the PV. These extensions frequently serve as origins of presumed RVOT
arrhythmias; intracardiac echocardiography localization of the PV allows
reclassification of these as pulmonary arterial arrhythmias.
PMID- 24917664
TI - Prevalence of unknown thyroid disorders in a Sardinian cohort.
AB - OBJECTIVE: To assess thyroid function, the presence of thyroid antibodies, as
well as the presence of goiter and/or nodules in subjects without a prior
diagnosis of thyroid disorders, in a region with mild to moderate iodine
deficiency. DESIGN AND METHODS: This cross-sectional study is based on data
obtained from first and third visits of participants in the Sardinian survey. We
performed two different analyses. In one, we assessed the prevalence of unknown
thyroid dysfunctions among 6252 subjects who had a medical examination and blood
collection for assays of thyrotropin, free thyroxine, and antibodies against
thyroperoxidase (AbTPO) and against thyroglobulin (AbTG). In a second analysis,
we evaluated the frequency of undiagnosed goiter and nodules among 3377 subjects
who had a thyroid ultrasound scan. Subjects were excluded if they had a previous
history of thyroid disorders or presence of goiter and/or nodules, or thyroid
surgery, or if they were taking drugs that could impair thyroid function.
RESULTS: We found a low prevalence of overt thyroid dysfunction (hyperthyroidism
0.4% and hypothyroidism 0.7%). The rates of subclinical hypothyroidism and
hyperthyroidism were 4.7 and 2.4% respectively. Almost 16% of participants were
positive for at least one antibody and 5.2% for both AbTG and AbTPO. Nodules were
detected in 17.4% of subjects and the prevalence of goiter was 22.1%.
CONCLUSIONS: Undiagnosed biochemical thyroid dysfunctions, unknown nodules, and
goiter were common in subjects living in a mild to moderate iodine-deficient
area. In this community, thyroid disorders often go undetected and screening
could be reasonable in subjects at a higher risk.
PMID- 24917665
TI - Novel calmodulin mutations associated with congenital arrhythmia susceptibility.
AB - BACKGROUND: Genetic predisposition to life-threatening cardiac arrhythmias such
as congenital long-QT syndrome (LQTS) and catecholaminergic polymorphic
ventricular tachycardia (CPVT) represent treatable causes of sudden cardiac death
in young adults and children. Recently, mutations in calmodulin (CALM1, CALM2)
have been associated with severe forms of LQTS and CPVT, with life-threatening
arrhythmias occurring very early in life. Additional mutation-positive cases are
needed to discern genotype-phenotype correlations associated with calmodulin
mutations. METHODS AND RESULTS: We used conventional and next-generation
sequencing approaches, including exome analysis, in genotype-negative LQTS
probands. We identified 5 novel de novo missense mutations in CALM2 in 3 subjects
with LQTS (p.N98S, p.N98I, p.D134H) and 2 subjects with clinical features of both
LQTS and CPVT (p.D132E, p.Q136P). Age of onset of major symptoms (syncope or
cardiac arrest) ranged from 1 to 9 years. Three of 5 probands had cardiac arrest
and 1 of these subjects did not survive. The clinical severity among subjects in
this series was generally less than that originally reported for CALM1 and CALM2
associated with recurrent cardiac arrest during infancy. Four of 5 probands
responded to beta-blocker therapy, whereas 1 subject with mutation p.Q136P died
suddenly during exertion despite this treatment. Mutations affect conserved
residues located within Ca(2+)-binding loops III (p.N98S, p.N98I) or IV (p.D132E,
p.D134H, p.Q136P) and caused reduced Ca(2+)-binding affinity. CONCLUSIONS: CALM2
mutations can be associated with LQTS and with overlapping features of LQTS and
CPVT.
PMID- 24917666
TI - Rapamycin and interleukin-1beta impair brain-derived neurotrophic factor
dependent neuron survival by modulating autophagy.
AB - The mammalian target of rapamycin (mTOR) pathway has multiple important
physiological functions, including regulation of protein synthesis, cell growth,
autophagy, and synaptic plasticity. Activation of mTOR is necessary for the many
beneficial effects of brain-derived neurotrophic factor (BDNF), including
dendritic translation and memory formation in the hippocampus. At present,
however, the role of mTOR in BDNF's support of survival is not clear. We report
that mTOR activation is necessary for BDNF-dependent survival of primary rat
hippocampal neurons, as either mTOR inhibition by rapamycin or genetic
manipulation of the downstream molecule p70S6K specifically blocked BDNF rescue.
Surprisingly, however, BDNF did not promote neuron survival by up-regulating mTOR
dependent protein synthesis or through mTOR-dependent suppression of caspase-3
activation. Instead, activated mTOR was responsible for BDNF's suppression of
autophagic flux. shRNA against the autophagic machinery Atg7 or Atg5 prolonged
the survival of neurons co-treated with BDNF and rapamycin, suggesting that
suppression of mTOR in BDNF-treated cells resulted in excessive autophagy.
Finally, acting as a physiological analog of rapamycin, IL-1beta impaired BDNF
signaling by way of inhibiting mTOR activation as follows: the cytokine induced
caspase-independent neuronal death and accelerated autophagic flux in BDNF
treated cells. These findings reveal a novel mechanism of BDNF neuroprotection;
BDNF not only prevents apoptosis through inhibiting caspase activation but also
promotes neuron survival through modulation of autophagy. This protection
mechanism is vulnerable under chronic inflammation, which deregulates autophagy
through impairing mTOR signaling. These results may be relevant to age-related
changes observed in neurodegenerative diseases.
PMID- 24917667
TI - Digoxin derivatives with enhanced selectivity for the alpha2 isoform of Na,K
ATPase: effects on intraocular pressure in rabbits.
AB - In the ciliary epithelium of the eye, the pigmented cells express the alpha1beta1
isoform of Na,K-ATPase, whereas the non-pigmented cells express mainly the
alpha2beta3 isoform of Na,K-ATPase. In principle, a Na,K-ATPase inhibitor with
selectivity for alpha2 could effectively reduce intraocular pressure with only
minimal local and systemic toxicity. Such an inhibitor could be applied topically
provided it was sufficiently permeable via the cornea. Previous experiments with
recombinant human alpha1beta1, alpha2beta1, and alpha3beta1 isoforms showed that
the classical cardiac glycoside, digoxin, is partially alpha2-selective and also
that the trisdigitoxose moiety is responsible for isoform selectivity. This led
to a prediction that modification of the third digitoxose might increase alpha2
selectivity. A series of perhydro-1,4-oxazepine derivatives of digoxin have been
synthesized by periodate oxidation and reductive amination using a variety of R
NH2 substituents. Several derivatives show enhanced selectivity for alpha2 over
alpha1, close to 8-fold in the best case. Effects of topically applied cardiac
glycosides on intraocular pressure in rabbits have been assessed by their ability
to either prevent or reverse acute intraocular pressure increases induced by 4
aminopyridine or a selective agonist of the A3 adenosine receptor. Two relatively
alpha2-selective digoxin derivatives efficiently normalize the ocular
hypertension, by comparison with digoxin, digoxigenin, or ouabain. This
observation is consistent with a major role of alpha2 in aqueous humor production
and suggests that, potentially, alpha2-selective digoxin derivatives could be of
interest as novel drugs for control of intraocular pressure.
PMID- 24917668
TI - Modulation of triglyceride and cholesterol ester synthesis impairs assembly of
infectious hepatitis C virus.
AB - In hepatitis C virus infection, replication of the viral genome and virion
assembly are linked to cellular metabolic processes. In particular, lipid
droplets, which store principally triacylglycerides (TAGs) and cholesterol esters
(CEs), have been implicated in production of infectious virus. Here, we examine
the effect on productive infection of triacsin C and YIC-C8-434, which inhibit
synthesis of TAGs and CEs by targeting long-chain acyl-CoA synthetase and acyl
CoA:cholesterol acyltransferase, respectively. Our results present high
resolution data on the acylglycerol and cholesterol ester species that were
affected by the compounds. Moreover, triacsin C, which blocks both triglyceride
and cholesterol ester synthesis, cleared most of the lipid droplets in cells. By
contrast, YIC-C8-434, which only abrogates production of cholesterol esters,
induced an increase in size of droplets. Although both compounds slightly reduced
viral RNA synthesis, they significantly impaired assembly of infectious virions
in infected cells. In the case of triacsin C, reduced stability of the viral core
protein, which forms the virion nucleocapsid and is targeted to the surface of
lipid droplets, correlated with lower virion assembly. In addition, the virus
particles that were released from cells had reduced specific infectivity. YIC-C8
434 did not alter the association of core with lipid droplets but appeared to
decrease production of infectious virus particles, suggesting a block in virion
assembly. Thus, the compounds have antiviral properties, indicating that
targeting synthesis of lipids stored in lipid droplets might be an option for
therapeutic intervention in treating chronic hepatitis C virus infection.
PMID- 24917669
TI - Genetic analysis of the structure and function of 7SK small nuclear
ribonucleoprotein (snRNP) in cells.
AB - The positive transcription elongation factor b (P-TEFb), comprised of cyclin
dependent kinase 9 (CDK9) and cyclins T1 (CycT1) or T2 (CycT2), activates
eukaryotic transcription elongation. In growing cells, P-TEFb exists in active
and inactive forms. In the latter, it is incorporated into the 7SK small nuclear
ribonucleoprotein, which contains hexamethylene bisacetamide-induced proteins
(HEXIM) 1 or 2, La-related protein 7 (LaRP7), methyl phosphate capping enzyme,
and 7SK small nuclear RNA (7SK). HEXIM1 inhibits the kinase activity of CDK9 via
interactions between 7SK, HEXIM1, and CycT1. LaRP7 and methyl phosphate capping
enzyme interact with 7SK independently of HEXIM1 and P-TEFb. To analyze genetic
interactions between HEXIM1 and/or LaRP7 and 7SK using a cell-based system, we
established artificial heterologous RNA tethering assays in which reporter gene
expression depended on interactions between selected regions of 7SK and its
cognate binding partners fused to a strong activator. This system enabled us to
map the HEXIM1- and LaRP7- binding regions of 7SK. Assays with various mutant 7SK
plasmid targets revealed that the 5'U-Ubulge and central loop of stem-loop I or
RNA motif 3 of 7SK are required for transactivation, suggesting that HEXIM1 and
CycT1 form a combinatorial binding surface for 7SK. Moreover, a region in HEXIM1
C-terminal to its previously mapped RNA-binding motif was also required for
interactions between HEXIM1 and 7SK. Finally, a tyrosine-to-alanine mutation in
HEXIM1, which is critical for its inhibitory effect on CDK9, changed HEXIM1 into
an activator. These cell-based assays elucidate this important aspect of
transcription elongation in vivo.
PMID- 24917670
TI - Bidirectional modulation of thermal and chemical sensitivity of TRPM8 channels by
the initial region of the N-terminal domain.
AB - TRPM8, a nonselective cation channel activated by cold, voltage, and cooling
compounds such as menthol, is the principal molecular detector of cold
temperatures in primary sensory neurons of the somatosensory system. The N
terminal domain of TRPM8 consists of 693 amino acids, but little is known about
its contribution to channel function. Here, we identified two distinct regions
within the initial N terminus of TRPM8 that contribute differentially to channel
activity and proper folding and assembly. Deletion or substitution of the first
40 residues yielded channels with augmented responses to cold and menthol. The
thermal threshold of activation of these mutants was shifted 2 degrees C to
higher temperatures, and the menthol dose-response curve was displaced to lower
concentrations. Site-directed mutagenesis screening revealed that single point
mutations at positions Ser-26 or Ser-27 by proline caused a comparable increase
in the responses to cold and menthol. Electrophysiological analysis of the S27P
mutant revealed that the enhanced sensitivity to agonists is related to a
leftward shift in the voltage dependence of activation, increasing the
probability of channel openings at physiological membrane potentials. In
addition, we found that the region encompassing positions 40-60 is a key element
in the proper folding and assembly of TRPM8. Different deletions and mutations
within this region rendered channels with an impaired function that are retained
within the endoplasmic reticulum. Our results suggest a critical contribution of
the initial region of the N-terminal domain of TRPM8 to thermal and chemical
sensitivity and the proper biogenesis of this polymodal ion channel.
PMID- 24917671
TI - A primary role for disulfide formation in the productive folding of prokaryotic
Cu,Zn-superoxide dismutase.
AB - Enzymatic activation of Cu,Zn-superoxide dismutase (SOD1) requires not only
binding of a catalytic copper ion but also formation of an intramolecular
disulfide bond. Indeed, the disulfide bond is completely conserved among all
species possessing SOD1; however, it remains obscure how disulfide formation
controls the enzymatic activity of SOD1. Here, we show that disulfide formation
is a primary event in the folding process of prokaryotic SOD1 (SodC) localized to
the periplasmic space. Escherichia coli SodC was found to attain beta-sheet
structure upon formation of the disulfide bond, whereas disulfide-reduced SodC
assumed little secondary structure even in the presence of copper and zinc ions.
Moreover, reduction of the disulfide bond made SodC highly susceptible to
proteolytic degradation. We thus propose that the thiol-disulfide status in SodC
controls the intracellular stability of this antioxidant enzyme and that the
oxidizing environment of the periplasm is required for the enzymatic activation
of SodC.
PMID- 24917672
TI - Ninjurin1 enhances the basal motility and transendothelial migration of immune
cells by inducing protrusive membrane dynamics.
AB - Ninjurin1 is involved in the pathogenesis of experimental autoimmune
encephalomyelitis, an animal model of multiple sclerosis, by mediating leukocyte
extravasation, a process that depends on homotypic binding. However, the precise
regulatory mechanisms of Ninjurin1 during inflammation are largely undefined. We
therefore examined the pro-migratory function of Ninjurin1 and its regulatory
mechanisms in macrophages. Interestingly, Ninjurin1-deficient bone marrow-derived
macrophages exhibited reduced membrane protrusion formation and dynamics,
resulting in the impairment of cell motility. Furthermore, exogenous Ninjurin1
was distributed at the membrane of filopodial structures in Raw264.7 macrophage
cells. In Raw264.7 cells, RNA interference of Ninjurin1 reduced the number of
filopodial projections, whereas overexpression of Ninjurin1 facilitated their
formation and thus promoted cell motility. Ninjurin1-induced filopodial
protrusion formation required the activation of Rac1. In Raw264.7 cells
penetrating an MBEC4 endothelial cell monolayer, Ninjurin1 was localized to the
membrane of protrusions and promoted their formation, suggesting that Ninjurin1
induced protrusive activity contributed to transendothelial migration. Taking
these data together, we conclude that Ninjurin1 enhances macrophage motility and
consequent extravasation of immune cells through the regulation of protrusive
membrane dynamics. We expect these findings to provide insight into the
understanding of immune responses mediated by Ninjurin1.
PMID- 24917673
TI - Chromatin protein HP1 interacts with the mitotic regulator borealin protein and
specifies the centromere localization of the chromosomal passenger complex.
AB - Accurate mitosis requires the chromosomal passenger protein complex (CPC)
containing Aurora B kinase, borealin, INCENP, and survivin, which orchestrates
chromosome dynamics. However, the chromatin factors that specify the CPC to the
centromere remain elusive. Here we show that borealin interacts directly with
heterochromatin protein 1 (HP1) and that this interaction is mediated by an
evolutionarily conserved PXVXL motif in the C-terminal borealin with the chromo
shadow domain of HP1. This borealin-HP1 interaction recruits the CPC to the
centromere and governs an activation of Aurora B kinase judged by phosphorylation
of Ser-7 in CENP-A, a substrate of Aurora B. Consistently, modulation of the
motif PXVXL leads to defects in CPC centromere targeting and aberrant Aurora B
activity. On the other hand, the localization of the CPC in the midzone is
independent of the borealin-HP1 interaction, demonstrating the spatial
requirement of HP1 in CPC localization to the centromere. These findings reveal a
previously unrecognized but direct link between HP1 and CPC localization in the
centromere and illustrate the critical role of borealin-HP1 interaction in
orchestrating an accurate cell division.
PMID- 24917674
TI - Constitutive nuclear expression of dentin matrix protein 1 fails to rescue the
Dmp1-null phenotype.
AB - Dentin matrix protein 1 (DMP1) plays multiple roles in bone, tooth, phosphate
homeostasis, kidney, salivary gland, reproductive cycles, and the development of
cancer. In vitro studies have indicated two different biological mechanisms: 1)
as a matrix protein, DMP1 interacts with alphavbeta3 integrin and activates MAP
kinase signaling; and 2) DMP1 serves as a transcription co-factor. In vivo
studies have demonstrated its key role in osteocytes. This study attempted to
determine whether DMP1 functions as a transcription co-factor and regulates
osteoblast functions. For gene expression comparisons using adenovirus
constructs, we targeted the expression of DMP1 either to the nucleus only by
replacing the endogenous signal peptide with a nuclear localization signal (NLS)
sequence (referred to as (NLS)DMP1) or to the extracellular matrix as the WT type
(referred to as (SP)DMP1) in MC3T3 osteoblasts. High levels of DMP1 in either
form greatly increased osteogenic gene expression in an identical manner.
However, the targeted (NLS)DMP1 transgene driven by a 3.6-kb rat Col 1alpha1
promoter in the nucleus of osteoblasts and osteocytes failed to rescue the
phenotyope of Dmp1-null mice, whereas the (SP)DMP1 transgene rescued the rickets
defect. These studies support the notion that DMP1 functions as an extracellular
matrix protein, rather than as a transcription co-factor in vivo. We also show
that DMP1 continues its expression in osteoblasts during postnatal development
and that the deletion of Dmp1 leads to an increase in osteoblast proliferation.
However, poor mineralization in the metaphysis indicates a critical role for DMP1
in both osteoblasts and osteocytes.
PMID- 24917676
TI - Novel cartilage oligomeric matrix protein (COMP) neoepitopes identified in
synovial fluids from patients with joint diseases using affinity chromatography
and mass spectrometry.
AB - To identify patients at risk for progressive joint damage, there is a need for
early diagnostic tools to detect molecular events leading to cartilage
destruction. Isolation and characterization of distinct cartilage oligomeric
matrix protein (COMP) fragments derived from cartilage and released into synovial
fluid will allow discrimination between different pathological conditions and
monitoring of disease progression. Early detection of disease and processes in
the tissue as well as an understanding of the pathologic mechanisms will also
open the way for novel treatment strategies. Disease-specific COMP fragments were
isolated by affinity chromatography of synovial fluids from patients with
rheumatoid arthritis, osteoarthritis, or acute trauma. Enriched COMP fragments
were separated by SDSPAGE followed by in-gel digestion and mass spectrometric
identification and characterization.Using the enzymes trypsin, chymotrypsin, and
Asp-N for the digestions, an extensive analysis of the enriched fragments could
be accomplished. Twelve different neoepitopes were identified and characterized
within the enriched COMP fragments. For one of the neoepitopes, Ser77, an
inhibition ELISA was developed. This ELISA quantifies COMP fragments clearly
distinguishable from total COMP. Furthermore, fragments containing the neoepitope
Ser77 were released into the culture medium of cytokine (TNF-alpha and IL
6/soluble IL-6 receptor)-stimulated human cartilage explants. The identified
neoepitopes provide a complement to the currently available commercial assays for
cartilage markers. Through neoepitope assays, tools to pinpoint disease
progression, evaluation methods for therapy, and means to elucidate disease
mechanisms will be provided.
PMID- 24917675
TI - Lipocalin 2 regulates brown fat activation via a nonadrenergic activation
mechanism.
AB - In this study, we report that lipocalin 2 (Lcn2), a recently characterized
adipokine/cytokine, is a novel regulator of brown adipose tissue (BAT) activation
by modulating the adrenergic independent p38 MAPK-PGC-1alpha-UCP1 pathway. Global
Lcn2 knock-out (Lcn2(-/-)) mice have defective BAT thermogenic activation caused
by cold stimulation and decreased BAT activity under high fat diet-induced
obesity. Nevertheless, Lcn2(-/-) mice maintain normal sympathetic nervous system
activation as evidenced by normal catecholamine release and lipolytic activity in
response to cold stimulation. Further studies showed that Lcn2 deficiency impairs
peroxisomal and mitochondrial oxidation of lipids and attenuates cold-induced
Pgc1a and Ucp1 expression and p38 MAPK phosphorylation in BAT. Moreover, in vitro
studies showed that Lcn2 deficiency reduces the thermogenic activity of brown
adipocytes. Lcn2(-/-) differentiated brown adipocytes have significantly
decreased expression levels of brown fat markers, decreased p38 MAPK
phosphorylation, and decreased mitochondrial oxidation capacity. However, Lcn2(-/
) brown adipocytes have normal norepinephrine-stimulated p38 MAPK and hormone
sensitive lipase phosphorylation and Pgc1a and Ucp1 expression, suggesting an
intact beta-adrenergic signaling activation. More intriguingly, recombinant Lcn2
was able to significantly stimulate p38 MAPK phosphorylation in brown adipocytes.
Activating peroxisome proliferator-activated receptor gamma, a downstream
effector of PGC-1alpha, by thiazolidinedione administration fully reverses the
BAT function of Lcn2(-/-) mice. Our findings provide evidence for the novel role
Lcn2 plays in oxidative metabolism and BAT activation via an adrenergic
independent mechanism.
PMID- 24917677
TI - The bifunctional protein TtFARAT from Tetrahymena thermophila catalyzes the
formation of both precursors required to initiate ether lipid biosynthesis.
AB - The biosynthesis of ether lipids and wax esters requires as precursors fatty
alcohols, which are synthesized by fatty acyl reductases (FARs). The presence of
ether glycerolipids as well as branched wax esters has been reported in several
free-living ciliate protozoa. In the genome of Tetrahymena thermophila, the only
ORF sharing similarities with FARs is fused to an acyltransferase-like domain,
whereas, in most other organisms, FARs are monofunctional proteins of similar
size and domain structure. Here, we used heterologous expression in plant and
yeast to functionally characterize the activities catalyzed by this protozoan
protein. Transient expression in tobacco epidermis of a truncated form fused to
the green fluorescence protein followed by confocal microscopy analysis suggested
peroxisomal localization. In vivo approaches conducted in yeast indicated that
the N-terminal FAR-like domain produced both 16:0 and 18:0 fatty alcohols,
whereas the C-terminal acyltransferase-like domain was able to rescue the lethal
phenotype of the yeast double mutant gat1Delta gat2Delta. Using in vitro
approaches, we further demonstrated that this domain is a dihydroxyacetone
phosphate acyltransferase that uses preferentially 16:0-coenzyme A as an acyl
donor. Finally, coexpression in yeast with the alkyl-dihydroxyacetone phosphate
synthase from T. thermophila resulted the detection of various glycerolipids with
an ether bond, indicating reconstitution of the ether lipid biosynthetic pathway.
Together, these results demonstrate that this FAR-like protein is peroxisomal and
bifunctional, providing both substrates required by alkyl-dihydroxyacetone
phosphate synthase to initiate ether lipid biosynthesis.
PMID- 24917678
TI - Stoichiometry of site-specific lysine acetylation in an entire proteome.
AB - Acetylation of lysine epsilon-amino groups influences many cellular processes and
has been mapped to thousands of sites across many organisms. Stoichiometric
information of acetylation is essential to accurately interpret biological
significance. Here, we developed and employed a novel method for directly
quantifying stoichiometry of site-specific acetylation in the entire proteome of
Escherichia coli. By coupling isotopic labeling and a novel pairing algorithm,
our approach performs an in silico enrichment of acetyl peptides, circumventing
the need for immunoenrichment. We investigated the function of the sole NAD(+)
dependent protein deacetylase, CobB, on both site-specific and global
acetylation. We quantified 2206 peptides from 899 proteins and observed a wide
distribution of acetyl stoichiometry, ranging from less than 1% up to 98%.
Bioinformatic analysis revealed that metabolic enzymes, which either utilize or
generate acetyl-CoA, and proteins involved in transcriptional and translational
processes displayed the highest degree of acetylation. Loss of CobB led to
increased global acetylation at low stoichiometry sites and induced site-specific
changes at high stoichiometry sites, and biochemical analysis revealed altered
acetyl-CoA metabolism. Thus, this study demonstrates that sirtuin deacetylase
deficiency leads to both site-specific and global changes in protein acetylation
stoichiometry, affecting central metabolism.
PMID- 24917679
TI - A proton wire and water channel revealed in the crystal structure of isatin
hydrolase.
AB - The high resolution crystal structures of isatin hydrolase from Labrenzia
aggregata in the apo and the product state are described. These are the first
structures of a functionally characterized metal-dependent hydrolase of this
fold. Isatin hydrolase converts isatin to isatinate and belongs to a novel family
of metalloenzymes that include the bacterial kynurenine formamidase. The product
state, mimicked by bound thioisatinate, reveals a water molecule that bridges the
thioisatinate to a proton wire in an adjacent water channel and thus allows the
proton released by the reaction to escape only when the product is formed. The
functional proton wire present in isatin hydrolase isoform b represents a unique
catalytic feature common to all hydrolases is here trapped and visualized for the
first time. The local molecular environment required to coordinate thioisatinate
allows stronger and more confident identification of orthologous genes encoding
isatin hydrolases within the prokaryotic kingdom. The isatin hydrolase
orthologues found in human gut bacteria raise the question as to whether the
indole-3-acetic acid degradation pathway is present in human gut flora.
PMID- 24917680
TI - RNA polymerase III accurately initiates transcription from RNA polymerase II
promoters in vitro.
AB - In eukaryotes, there are three major RNA polymerases (Pol) in the nucleus, which
are commonly described as transcribing non-overlapping subsets of genes.
Structural studies have highlighted a conserved core shared among all three
transcription systems. Initiation of human Pol III from TATA box-containing Pol
II promoters under conditions with impaired Pol II transcription activity have
been described previously. RNA polymerase III and Pol II were found to co
localize at the promoters of the c-myc gene and the RPPH1 sRNA in vivo. Here, I
report that Pol III can, like Pol II, initiate transcription from most tested Pol
II core promoters when assayed with crude human nuclear extracts (HSK, SNF, or
Dignam). Both polymerases often initiate from the same transcription start site,
and depend on a TATA box or AT-rich region but not the downstream promoter
element (DPE) or the motif ten element (MTE). Moderate (~2-fold) changes in the
ratio of DNA template to nuclear extract were sufficient to change Pol II
mediated transcription to a mixture of Pol II- and Pol III-, or to a solely Pol
III-dependent initiation of transcription from Pol II promoters. Polymerase
specificity is thus not fixed but a variable that depends on the properties of
the promoter and the transcription conditions. These findings provide functional
evidence for a close similarity between the Pol II and Pol III transcription
complexes, and additionally explain previous controversies in the literature.
PMID- 24917682
TI - Macromolecular crowding decelerates aggregation of a beta-rich protein, bovine
carbonic anhydrase: a case study.
AB - The majority of in vitro investigations concerning protein aggregation have been
performed in dilute systems, which poorly reflect the crowded in vivo scenario.
Cell interior is highly crowded with soluble and insoluble macromolecules that
alter macromolecular properties. Macromolecular crowding is known to enhance the
rate and/or extent of protein aggregation. However, most of the understandings
were derived from studies with alpha-rich or predominantly alpha-proteins.
Indeed, alpha-proteins fold faster than beta-proteins and conversion of alpha
helices to cross beta-sheets are responsible for aggregate/amyloid formation.
Therefore, it is important to investigate how macromolecular crowding affects the
aggregation propensity of beta-rich proteins. In this study, we investigated the
effect of synthetic macromolecular crowders on bovine carbonic anhydrase (BCA, a
beta-rich protein) aggregation. In contrast to the effect of macromolecular
crowding on alpha-rich proteins, BCA aggregation was observed to be reduced due
to decrease in the population of aggregation-prone intermediates as a consequence
of increased native state stability. In addition, the extent of aggregation was
found to depend on the nature of the crowder under consideration. Combining the
published data on alpha-proteins and this study, we conclude that macromolecular
crowding can have opposite consequences on protein aggregation process depending
on the fold type of the protein.
PMID- 24917681
TI - Mechanism of ATPase-mediated Cu+ export and delivery to periplasmic chaperones:
the interaction of Escherichia coli CopA and CusF.
AB - Cellular copper homeostasis requires transmembrane transport and compartmental
trafficking while maintaining the cell essentially free of uncomplexed Cu(2+/+).
In bacteria, soluble cytoplasmic and periplasmic chaperones bind and deliver
Cu(+) to target transporters or metalloenzymes. Transmembrane Cu(+)-ATPases
couple the hydrolysis of ATP to the efflux of cytoplasmic Cu(+). Cytosolic Cu(+)
chaperones (CopZ) interact with a structural platform in Cu(+)-ATPases (CopA) and
deliver copper into the ion permeation path. CusF is a periplasmic Cu(+)
chaperone that supplies Cu(+) to the CusCBA system for efflux to the
extracellular milieu. In this report, using Escherichia coli CopA and CusF,
direct Cu(+) transfer from the ATPase to the periplasmic chaperone was observed.
This required the specific interaction of the Cu(+)-bound form of CopA with apo
CusF for subsequent metal transfer upon ATP hydrolysis. As expected, the reverse
Cu(+) transfer from CusF to CopA was not observed. Mutation of CopA extracellular
loops or the electropositive surface of CusF led to a decrease in Cu(+) transfer
efficiency. On the other hand, mutation of Met and Glu residues proposed to be
part of the metal exit site in the ATPase yielded enzymes with lower turnover
rates, although Cu(+) transfer was minimally affected. These results show how
soluble chaperones obtain Cu(+) from transmembrane transporters. Furthermore, by
explaining the movement of Cu(+) from the cytoplasmic pool to the extracellular
milieu, these data support a mechanism by which cytoplasmic Cu(+) can be
precisely directed to periplasmic targets via specific transporter-chaperone
interactions.
PMID- 24917683
TI - Changes in muscle damage markers in female basketball players.
AB - The aim of the present study was to investigate changes in muscle soreness, blood
muscle damage markers, muscle strength and agility following an official
basketball match. Eleven elite female professional basketball players (27.4 +/-
4.8 years, 179.5 +/- 5.5 cm, 72.0 +/- 7.8 kg) of a team participated in this
study. The official match was the seventh match of the season in the first phase
of the Brazilian National Female Basketball Championship. Muscle soreness, plasma
creatine kinase activity (CK), and myoglobin concentration (Mb) were determined
before and after the match (post-match, 24 and 48 hours after the match). The 1RM
strength for bench press and leg press, and the agility T test were assessed
before and at 24 and 48 hours after the match. Significant increases in muscle
soreness, CK and Mb were observed at 24 and 48 hours post-match (p<0.05). No
significant changes in the 1RM strength and T test were detected during recovery
(24 and 48 hours after the match). These results suggest that a basketball match
induced limited muscle damage with minimal effect on performance during recovery.
The small increase in muscle damage markers following a basketball match did not
affect strength and agility performance.
PMID- 24917684
TI - The effects of single versus repeated plyometrics on landing biomechanics and
jumping performance in men.
AB - The aim of this study was to examine the chronic effects of single and repeated
jumps training on vertical landing force (VGRF) and jump height in untrained men.
The VGRF and jump height were compared after a six-week plyometric training
programme containing single and repeated jumps, together with two additional
parameters: landing time (LT) and range of the knee flexion during landing (KF).
Thirty-six untrained physical education students with a plyometric training
background were randomly assigned to a single jump group (SJG, n =12), repeated
jumps group (RJG, n =12), and control group (CON, n =12). The SJG performed only
single jumps, the RJG executed repeated (consecutive) jumps, whereas the CON did
not perform any exercises at all. A countermovement jump (CMJ), repeated
countermovement jumps (RCMJ), and a drop jump (DJ) were tested before and after
the training. Only the RJG showed a significantly reduced VGRF (p < 0.05) in all
tests. Both plyometric groups significantly improved (p < 0.05) their jump height
in all tests. The LT was significantly greater in the RJG, compared to the SJG,
in all tests. The KF was also significantly (p < 0.05) greater in the RJG than in
the SJG for CMJ and RCMJ. The results suggest that repeated jumps are beneficial
for simultaneous landing force reduction and jumping performance enhancement.
PMID- 24917685
TI - Is gnb3 c825t polymorphism associated with elite status of polish athletes?
AB - The GNB3 gene encodes the beta 3 subunit of heterotrimeric G-proteins that are
key components of intracellular signal transduction between G protein-coupled
receptors (GPCR) and intracellular effectors and might be considered as a
potential candidate gene for physical performance. OBJECTIVES: The aim of this
study was to compare frequency distribution of the common C to T polymorphism at
position 825 (C825T) of the GNB3 gene between athletes and nonathletic controls
of the Polish population as well as to compare the genotype distribution and
allele frequency of C825T variants within a group of athletes, i.e. between
athletes of sports of different metabolic demands and competitive levels.
METHODS: The study was performed in a group of 223 Polish athletes of the highest
nationally competitive standard (123 endurance-oriented athletes and 100
strength/ power athletes). Control samples were prepared from 354 unrelated,
sedentary volunteers. RESULTS: The chi(2) test revealed no statistical
differences between the endurance-oriented athletes and the control group or
between sprint/strength athletes and the control group across the GNB3 825C/T
genotypes. There were no male-female genotype or allele frequency differences in
controls or in either strength/power or endurance-oriented athletes. No
statistically significant differences in either allele frequencies or genotype
distribution were noted between the top-elite, elite or sub-elite of endurance
oriented and strength/power athletes and the control group. CONCLUSIONS: No
association between elite status of Polish athletes and the GNB3 C825T
polymorphic site has been found.
PMID- 24917686
TI - Muscle damage after a tennis match in young players.
AB - The present study investigated changes in indirect markers of muscle damage
following a simulated tennis match play using nationally ranked young (17.6 +/-
1.4 years) male tennis players. Ten young athletes played a 3-hour simulated
match play on outdoor red clay courts following the International Tennis
Federation rules. Muscle soreness, plasma creatine kinase activity (CK), serum
myoglobin concentration (Mb), one repetition maximum (1RM) squat strength, and
squat jump (SJ) and counter movement jump (CMJ) heights were assessed before,
immediately after, and 24 and 48 h after the simulated match play. All parameters
were also evaluated in a non-exercised group (control group). A small increase in
the indirect markers of muscle damage (muscle soreness, CK and Mb) was detected
at 24-48 hours post-match (p < 0.05). A marked acute decrement in neuromuscular
performance (1RM squat strength: -35.2 +/- 10.4%, SJ: -7.0 +/- 6.0%, CMJ: -10.0
+/- 6.3%) was observed immediately post-match (p < 0.05). At 24 h post-match, the
1RM strength and jump heights were not significantly different from the baseline
values. However, several players showed a decrease of these measures at 24 h
after the match play. The simulated tennis match play induced mild muscle damage
in young players. Coaches could monitor changes in the indirect markers of muscle
damage to assess athletes' recovery status during training and competition.
PMID- 24917687
TI - Relationships between heart rate and physiological parameters of performance in
top-level water polo players.
AB - The aim of this study was to measure the heart rate (HR) response of eight elite
water polo players during the four 7-min quarters of the game and to check for
relationships with the physiological parameters of performance ([Formula: see
text]O2max, Th1vent, Th2vent). Each athlete performed a [Formula: see text]O2max
treadmill test and played a water polo game wearing a heart rate monitor. The
game fatigue index was calculated as the ratio of the fourth-quarter HR to the
first-quarter HR: HR4/HR1. The results showed a slight decrease in fourth-quarter
HR compared with the first quarter, with the mean four-quarter HR equal to 79.9+/
4.2% of HRmax. Stepwise multiple regression analysis showed [Formula: see
text]O2max to be the main explanatory factor of game intensity, i.e. game HR
expressed in %HRreserve (R=0.88, P<0.01). We observed that higher aerobic
capacity resulted in higher game intensity. We also observed a decrease in the
playing intensity in the fourth quarter compared with the first, likely due to
very high game involvement. We concluded that high aerobic capacity seems
necessary to ensure high game intensity in water polo. This suggests that coaches
should encourage their athletes to reach a minimum level of [Formula: see
text]O2max and that HR monitoring could be of great interest in the control of
water polo training sessions.
PMID- 24917688
TI - Physiological and leukocyte subset responses to exercise and cold exposure in
cold-acclimatized skaters.
AB - We investigated physiological responses and changes in circulating immune cells
following exercise in cold and thermoneutral conditions. Participants were short
track skaters (n=9) who were acclimatized to cold conditions, and inline skaters
(n=10) who were not acclimatized. All skaters were young, and skating at a
recreational level three days per week for at least one year. Using a cross-over
design, study variables were measured during 60 min of submaximal cycling (65%
[Formula: see text]O2max) in cold (ambient temperature: 5+/-1 degrees C, relative
humidity: 41+/-9%) and thermoneutral conditions (ambient temperature: 21+/-1
degrees C, relative humidity: 35+/-5%). Heart rate, blood lactate and tympanic
temperature were measured at rest, during exercise and recovery. Plasma cortisol,
calprotectin and circulating blood cell numbers were measured before and after 60
min of cold or thermoneutral conditions, and during recovery from exercise. Heart
rate was lower in both groups during exercise in cold versus thermoneutral
conditions (P<0.05). The increase in total leukocytes during recovery was
primarily due to an increase in neutrophils in both groups. The cold-acclimatized
group activated neutrophils after exercise in cold exposure, whereas the non
acclimatized group activated lymphocyte and cortisol after exercise in cold
exposure. Lymphocyte subsets significantly changed in both groups over time
during recovery as compared to rest. Immediately after exercise in both groups,
CD16+ and CD69+ cells were elevated compared to rest or before exercise in both
conditions. Acclimatization to exercise in the cold does not appear to influence
exercise-induced immune changes in cold conditions, with the possible exception
of neutrophils, lymphocytes and cortisol concentration.
PMID- 24917689
TI - Urinary creatine at rest and after repeated sprints in athletes: a pilot study.
AB - Creatine plays a key role in muscle function and its evaluation is important in
athletes. In this study, urinary creatine concentration was measured in order to
highlight its possible significance in monitoring sprinters. The study included
51 sprinters and 25 age- and sex-matched untrained subjects as a control group.
Body composition was measured and dietary intake estimated. Urine samples were
collected before and after standardized physical exercise. Creatine was assessed
by gas chromatography mass spectrometry. Basal urinary creatine (UC) was
significantly lower in sprinters than controls (34+/-30 vs. 74+/-3 umol/mmol
creatinine, p < 0.05). UC was inversely correlated with body mass (r = -0.34, p <
0.01) and lean mass (r = -0.30, p < 0.05), and positively correlated with fat
mass (r = 0.32, p < 0.05). After acute exercise, urinary creatine significantly
decreased in both athletes and controls. UC is low in sprinters at rest and
further decreases after exercise, most likely due to a high uptake and use of
creatine by muscles, as muscle mass and physical activity are supposed to be
greater in athletes than untrained subjects. Further studies are needed to test
the value of urinary creatine as a non-invasive marker of physical condition and
as a parameter for managing Cr supplementation in athletes.
PMID- 24917690
TI - Reliability and validity of an accele-rometric system for assessing vertical
jumping performance.
AB - The validity of an accelerometric system (Myotest(c)) for assessing vertical jump
height, vertical force and power, leg stiffness and reactivity index was
examined. 20 healthy males performed 3*"5 hops in place", 3*"1 squat jump" and 3*
"1 countermovement jump" during 2 test-retest sessions. The variables were
simultaneously assessed using an accelerometer and a force platform at a
frequency of 0.5 and 1 kHz, respectively. Both reliability and validity of the
accelerometric system were studied. No significant differences between test and
retest data were found (p < 0.05), showing a high level of reliability. Besides,
moderate to high intraclass correlation coefficients (ICCs) (from 0.74 to 0.96)
were obtained for all variables whereas weak to moderate ICCs (from 0.29 to 0.79)
were obtained for force and power during the countermovement jump. With regards
to validity, the difference between the two devices was not significant for 5
hops in place height (1.8 cm), force during squat (-1.4 N . kg(-1)) and
countermovement (0.1 N . kg(-1)) jumps, leg stiffness (7.8 kN . m(-1)) and
reactivity index (0.4). So, the measurements of these variables with this
accelerometer are valid, which is not the case for the other variables. The main
causes of non-validity for velocity, power and contact time assessment are
temporal biases of the takeoff and touchdown moments detection.
PMID- 24917691
TI - Quercetin effects on weight gain and caloric intake in exercised rats.
AB - Quercetin is a flavonoid which activates oxidative metabolism. Quercetin may
reduce weight gain by decreasing feed efficiency. The present study aims to
evaluate weight gain, caloric intake and feed efficiency in exercised and
sedentary rats supplemented with quercetin. Wistar rats were divided into four
groups: quercetin-exercise training (QT), quercetin-sedentary (QS), placebo
exercise training (PT) and placebo-sedentary (PS). Rats were exercised and/or
orally supplemented with quercetin (25 mg . kg(-1) on alternate days) during six
weeks. Weight gain of the QT group decreased when compared with the PT and PS
groups. Exercised groups increased cumulative caloric intake during the
experimental period. The QT group rats also reduced their feed efficiency when
compared with the QS and PS groups. These results suggest that quercetin is not
able to decrease weight gain because no differences were found between placebo
and quercetin condition either in the sedentary or in the training condition.
PMID- 24917692
TI - Nigari (deep seawater concentrate) enhances the treadmill exercise performance of
gerbils.
AB - The beneficial effect of magnesium supplementation on exercise performance has
been reported by many researchers. In the present study, the effect of nigari, a
concentrate of deep seawater containing high magnesium levels, on exercise
performance, was examined. Gerbils were given double-distilled water or nigari
(18 mg . kg(-1), po) orally 30 min before exercise. All animals were subjected to
forced exercise on a treadmill for 90 min at three successive speeds of 10, 15,
and 20 m . min(-1). The retention numbers were recorded. The retention numbers
were 85.0 +/- 21.0, 46.0 +/- 9.7, and 48.0 +/- 14.2 in the control group, and
44.0 +/- 10.9, 23.0 +/- 8.4, and 13.0 +/- 4.8 in the nigari-treated group at the
three speeds, respectively. The retention numbers were significantly reduced at
higher speeds (by 50% at 15 and 73% at 20 m . min(-1), respectively) in the
nigari-treated group when compared to those of the control group, respectively.
Thus, nigari administration appeared to reduce retention numbers and enhance
exercise performance in gerbils.
PMID- 24917694
TI - Plagiarism: an academic offence.
AB - How to cite the article: Bijle MN, Patil S. Plagiarism: An Academic Offence. J
Int Oral Health 2014;6(2):i.
PMID- 24917693
TI - Imaging and modeling of flow in porous media using clinical nuclear emission
tomography systems and computational fluid dynamics.
AB - This paper presents experimental and modeling aspects of applying nuclear
emission tomography to study fluid flow in laboratory packed porous media columns
of the type frequently used in geophysics, geochemistry and hydrology research.
Positron emission tomography (PET) and single photon emission computed tomography
(SPECT) are used as non-invasive tools to obtain dynamic 3D images of radioactive
tracer concentrations. Dynamic sequences obtained using 18F-FDG PET are used to
trace flow through a 5 cm diameter * 20 cm tall sand packed column with and
without an impermeable obstacle. In addition, a custom-made rotating column setup
placed in a clinical two-headed SPECT camera is used to image 99mTc-DTPA tracer
propagation in a through-flowing column (10 cm diameter * 30 cm tall) packed with
recovered aquifer sediments. A computational fluid dynamics software package
FLUENT is used to model the observed flow dynamics. Tracer distributions obtained
in the simulations in the smaller column uniformly packed with sand and in the
column with an obstacle are remarkably similar to the reconstructed images in the
PET experiments. SPECT results demonstrate strongly non-uniform flow patterns for
the larger column slurry-packed with sub-surface sediment and slow upward flow.
In the numerical simulation of the SPECT study, two symmetric channels with
increased permeability are prescribed along the column walls, which result in the
emergence of two well-defined preferential flow paths. Methods and results of
this work provide new opportunities in hydrologic and biogeochemical research.
The primary target application for developed technologies is non-destructive, non
perturbing, quantitative imaging of flow dynamics within laboratory scale porous
media systems.
PMID- 24917695
TI - Towards improving the care of children with pulmonary hypertension: The rationale
for developing a Pediatric Pulmonary Hypertension Network.
AB - Pulmonary hypertension (PH) and related pulmonary vascular diseases contribute to
high morbidity and mortality and treatment options remain limited. Despite the
availability of new drug therapies, the long-term outcomes of patients with
severe PH remain poor. This may be especially true for many children with PH.
Although most clinical studies have emphasized studies of adult patients, PH in
pediatrics can be devastating and often contributes to poor outcomes in diverse
clinical settings in newborns, infants and children. Unfortunately, studies that
address the safety and efficacy of PH therapies in children are rare, as most
pharmaceutical studies have focused on the adult population and only in patients
with a fairly limited range of associated conditions. Thus, pediatric PH has been
understudied and little is understood regarding the natural history, mechanisms
of disease, and treatment of childhood PH. Limitations regarding current
translational approaches to children with PH are partly due to the relatively
small numbers of patients with PH associated with specific pediatric disorders at
each center; the small number of well-established, multidisciplinary programs in
pediatric PH; little communication between translational and clinician
scientists; and limited interactions between existing PH programs. There is
clearly a need to develop clinical infrastructure to better define the natural
history and course of pediatric PH, to develop new strategies to identify at-risk
patients early in their course, and to establish novel approaches to diagnose,
monitor disease progression and treat children with PH. This article discusses
the rationale, goals and initial steps in the establishment of an interactive
network of investigators, care providers and multidisciplinary teams from several
pediatric PH centers.
PMID- 24917696
TI - Chemotherapy near the end of life: a retrospective single-centre analysis of
patients' charts.
AB - BACKGROUND: Chemotherapy near the end of life is an issue frequently discussed
nowadays. The concern is that chemotherapy could cause more harm than good in a
palliative situation; this is even truer as the patient nears death. The
objective of our study is to evaluate the aggressiveness of patient care near the
end of life by determining how many cancer patients receive chemotherapy during
their final weeks. METHODS: In a retrospective analysis of patient charts, we
investigated whether cancer patients had been treated with chemotherapy during
the last four or two weeks of life. If they had, we looked at whether treatment
was ongoing or newly initiated. RESULTS: Out of the 119 cancer patients who died
in our hospital over two years, 14 (11.7%) received chemotherapy during the last
four weeks of life, nine of whom (7.6%) in the last two weeks of life. Treatment
had been ongoing in six (5%) and newly initiated for eight (6.7%) within four
weeks of death. Corresponding figures for the last two weeks of life were seven
patients (5.9%) who continued previously prescribed treatment and two (1.7%) who
were started on chemotherapy. Patients given chemotherapy during the last four
weeks of life were significantly younger than those who were not (p = 0.003).
CONCLUSIONS: Cancer patient care in our hospital is not considered overly
aggressive as only 7.6% of these patients receive chemotherapy within the last
two weeks of life. To determine how aggressive care near the end of life really
is, however, we suggest evaluating newly started chemotherapy alongside ongoing
treatment. As the line between the effects (beneficience) and side effects
(nonmaleficience) of chemotherapy is often very narrow, doctors and patients have
to work together to find the best way of treading this fine line.
PMID- 24917697
TI - miR-639 promotes the proliferation and invasion of breast cancer cell in vitro.
AB - Breast cancer is characterised by an elevated capacity for tumour invasion and
lymph node metastasis, but the cause remains to be determined. Recent studies
suggest that microRNAs can regulate the evolution of malignant behaviours by
regulating multiple target genes. In this study, we have first confirmed that miR
639 is up-regulated in metastatic breast cancer tissues and cell line with highly
invasive capacity. Furthermore, we provided evidence to demonstrate that up
regulation of miR-639 contributes breast cancer invasion and metastasis. These
data reveal a key role of miR-639 in breast cancer metastasis and support
biological and clinical links between miR-639 and breast cancer.
PMID- 24917699
TI - Size-controllable synthesis and bandgap modulation of single-layered RF-sputtered
bismuth nanoparticles.
AB - We here report a simple and efficient method to grow single-layer bismuth
nanoparticles (BiNPs) with various sizes on glass substrates. Optimal conditions
were found to be 200 degrees C and 0.12 W/cm(2) at a growth rate of 6 A/s, with
the deposition time around 40 s. Scanning electron microscope (SEM) images were
used to calculate the particle size distribution statistics, and high-resolution
X-ray diffraction (XRD) patterns were used to examine the chemical interactions
between BiNPs and the substrates. By measuring the transmission spectra within
the range of 300 to 1,000 nm, we found that the optical bandgap can be modulated
from 0.45 to 2.63 eV by controlling the size of these BiNPs. These interesting
discoveries offer an insight to explore the dynamic nature of nanoparticles.
PMID- 24917700
TI - Topographical control of cell-cell interaction in C6 glioma by nanodot arrays.
AB - Nanotopography modulates the physiological behavior of cells and cell-cell
interactions, but the manner of communication remains unclear. Cell networking
(syncytium) of astroglia provides the optimal microenvironment for communication
of the nervous system. C6 glioma cells were seeded on nanodot arrays with dot
diameters ranging from 10 to 200 nm. Cell viability, morphology, cytoskeleton,
and adhesion showed optimal cell growth on 50-nm nanodots if sufficient
incubation was allowed. In particular, the astrocytic syncytium level maximized
at 50 nm. The gap junction protein Cx43 showed size-dependent and time-dependent
transport from the nucleus to the cell membrane. The transport efficiency was
greatly enhanced by incubation on 50-nm nanodots. In summary, nanotopography is
capable of modulating cell behavior and influencing the cell-cell interactions of
astrocytes. By fine-tuning the nanoenvironment, it may be possible to regulate
cell-cell communications and optimize the biocompatibility of neural implants.
PMID- 24917701
TI - Ammonia gas sensors based on chemically reduced graphene oxide sheets self
assembled on Au electrodes.
AB - We present a useful ammonia gas sensor based on chemically reduced graphene oxide
(rGO) sheets by self-assembly technique to create conductive networks between
parallel Au electrodes. Negative graphene oxide (GO) sheets with large sizes (>10
MUm) can be easily electrostatically attracted onto positive Au electrodes
modified with cysteamine hydrochloride in aqueous solution. The assembled GO
sheets on Au electrodes can be directly reduced into rGO sheets by hydrazine or
pyrrole vapor and consequently provide the sensing devices based on self
assembled rGO sheets. Preliminary results, which have been presented on the
detection of ammonia (NH3) gas using this facile and scalable fabrication method
for practical devices, suggest that pyrrole-vapor-reduced rGO exhibits much
better (more than 2.7 times with the concentration of NH3 at 50 ppm) response to
NH3 than that of rGO reduced from hydrazine vapor. Furthermore, this novel gas
sensor based on rGO reduced from pyrrole shows excellent responsive repeatability
to NH3. Overall, the facile electrostatic self-assembly technique in aqueous
solution facilitates device fabrication, the resultant self-assembled rGO-based
sensing devices, with miniature, low-cost portable characteristics and
outstanding sensing performances, which can ensure potential application in gas
sensing fields.
PMID- 24917702
TI - The floppy iris syndrome - what urologists and ophthalmologists need to know.
AB - INTRODUCTION: Benign prostatic hyperplasia (BPH) and cataract formation are
common in older people. Medical management of symptomatic BPH is often preferred
to surgical treatment as surgery increases the risk of morbidities, whereas,
surgery is the main form of treatment to restore sight in patient with cataract.
The clinical treatment of BPH is either alpha-1 adrenergic antagonist alone or
combination of alpha reductase inhibitor and alpha adrenergic receptor (AR)
antagonist. There are four alpha-AR antagonists currently available to treat BPH.
The uroselective alpha-blocker tamsulosin is the most commonly used drug among
all. Studies showed that the majority of the patients who develop intraoperative
floppy iris syndrome (IFIS) were on tamsulosin. Women are more likely to develop
cataract than men and some recent studies showed that tamsulosin is effective in
treating female lower urinary tract symptoms and thereby can cause IFIS during
cataract surgery. EVIDENCE ACQUISITION: We performed a critical review of the
published articles and abstracts on association of IFIS with alpha-blockers and
other medications as well as other medical conditions. EVIDENCE SYNTHESIS:
Tamsulosin is the most common cause of formation of IFIS. However, not all
patients given tamsulosin develop IFIS and cases have been reported without any
tamsulosin treatment. CONCLUSION: Tamsulosin is a recognized cause to impede
mydriasis and lead to IFIS during cataract surgery. Urologist should collaborate
with their ophthalmology colleagues and general practitioner during prescribing
tamsulosin in patients with history of cataract or waiting for planned cataract
surgery. The increasing life expectancy and growth of older people will increase
the number of men and women who suffer from lower urinary tract symptoms as well
as cataract. Therefore, further research and studies are required to properly
understand the relation of alpha blockers and IFIS.
PMID- 24917703
TI - Single-incision laparoscopic surgery in urology.
AB - INTRODUCTION: To assess the feasibility of single-incision laparoscopic surgery
(SILS) in some urological surgeries. MATERIAL AND METHODS: This prospective study
was conducted on 40 patients (27 males and 13 females) from January 2010 to June
2011. Six procedures were done, SILS renal cyst decortication (n = 10), SILS
varicocelectomy (n = 10), SILS orchiopexy (n = 10), SILS nephrectomy (n = 3),
SILS pyelolithtomy (n = 6) and SILS adrenalectomy (n = 1). RESULTS: Postoperative
complications included ileus (10%) and fever (10%) in SILS renal cyst ablation.
SILS varicocelectomy had postoperative sequalae as persistent varicocele (10%)
and hydrocele (10%). SILS orchiopexy was also done with a success rate 100% in
this series. SILS pyelolithotomy was successfully done in 5 out of 6 patients and
only 1 patient was converted to conventional laparoscopy. In SILS nephrectomy 1
patient out of 3 was converted to conventional laparoscopy. CONCLUSION: SILS in
urology has proven to be safe and feasible in the hands of experienced
laparoscopic surgeons, using specially designed ports and instruments in selected
patients.
PMID- 24917704
TI - Demographics of Men Receiving Vasectomies in the US Military 2000-2009.
AB - BACKGROUND: We hypothesize that there is a higher incidence of vasectomy within
the military at a younger age based on this equal access health care system.
MATERIALS AND METHODS: A review of the CHAMPS military database was conducted for
men receiving vasectomies from 2000 to 2009. Age at vasectomy, number of
children, race, religion, and marital status were recorded and incidence was
computed. RESULTS: Total of 82,945 vasectomies was performed. The overall
incidence to have a vasectomy was approximately 7.10 per 1000 men. The highest
rate of occurrence was 14.4 per 1000 men in men 30-34 years old. Whites had a
higher rate than blacks at 10.03 per 1000 men compared to 6.27 per 1000 men.
Protestants had the highest rate at 8.44 per 1000 men, and Jewish people had the
lowest at 1.86 per 1000 men. Married men had a rate of 12.3 per 1000 men, whereas
single men were 1.03 per 1000 men. CONCLUSIONS: The incidence to have a vasectomy
in the military was 7.10 per 1000 men, with an age adjusted rate at 8.66 per 1000
men. This information may assist primary care providers in discussing vasectomies
as a permanent form of contraception.
PMID- 24917705
TI - An Advanced but Traditional Technique of Transurethral Resection of the Prostate
in Order not to Overlook Stage T1 Prostate Cancer.
AB - INTRODUCTION: A little deeper resection was done during transurethral resection
of the prostate (TURP) for benign prostate hyperplasia (BPH) to fill the
disparities of reported prevalence rates between latent and incidental prostate
cancer, as well as in order not to overlook clinically significant incidental
cancer. PATIENTS AND METHODS: We performed TURP in 995 patients who were
diagnosed to have BPH. With a routine TUR setup, first most of the transition and
central zones were resected. Then a slightly deeper resection of the residual
adenoma and the peripheral zone than in the usual TURP was made. Pathological
specimens were collected from 6 different parts. RESULTS: Incidental cancer was
diagnosed in 226 patients (22.7%). Gleason scores were distributed as follows: 6
or less in 150 cases (66.4%), 7 in 68 cases (30.1%), and 8 in 8 cases (3.5%).
Even in the patients with lower prostate specific antigen (PSA), patients with
incidental cancer and Gleason scores of 7 or more were 142 (21.2%) and 41 (28.9%)
respectively at 0 < PSA < 4.0 ng/ml, and 95 (18.7%) and 26 (27.4%) respectively
at 0 < PSA < 2.5 ng/ml. Multifocal/diffuse cancer was detected in 84 (37.2%)
patients. CONCLUSIONS: With our method of advanced TURP, we detected incidental
cancer at almost the same rate as reported in latent cancer. When TURP is
performed, incidental cancer as well as to relieve voiding difficulties must not
be overlooked, because there must be a considerable number of clinically
significant incidental cancer of higher Gleason scores, and of multifocal/diffuse
lesions.
PMID- 24917706
TI - Significance of uracil/tegafur for preventing intravesical recurrence of non
muscle invasive urothelial carcinoma of the bladder.
AB - BACKGROUND: The objective of this study was to assess the role of uracil/tegafur
(UFT) and its metabolite gamma-butyrolactone (GBL), a potent inhibitor of
angiogenesis, in the prevention of intravesical recurrence in patients with non
muscle invasive urothelial carcinoma of the bladder (NMIUCB). PATIENTS AND
METHODS: This study included 48 patients with NMIUCB following complete
transurethral resection who were randomly divided into 27 receiving UFT therapy
(group A) and 21 without any adjuvant therapies (group B). Serum levels of GBL,
vascular endothelial growth factor, basic fibroblast growth factor, platelet
derived growth factor and interleukin-8 were measured. RESULTS: There was no
significant difference in the intravesical recurrence-free survival between
groups A and B. Despite the lack of significant differences in serum levels of
vascular endothelial growth factor, basic fibroblast growth factor, platelet
derived growth factor and interleukin-8, serum GBL in group A was significantly
greater than in group B. Multivariate analysis identified tumor size as an
independent predictor of intravesical recurrence irrespective of the other
factors examined. CONCLUSIONS: Despite the significant induction of GBL, adjuvant
UFT therapy failed to show a preventive effect on intravesical recurrence of
NMIUCB. Therefore, we should consider enhancing the anti-angiogenic effect of GBL
using an alternative administration schedule of UFT.
PMID- 24917707
TI - Varicocelectomy to treat pain, and predictors of success: a prospective study.
AB - OBJECTIVE: We attempted to examine the success rate of varicocele ligation when
performed for the treatment of pain and to evaluate all the predictor factors
that may affect the resolution of pain. PATIENTS AND METHODS: From January 2008
to January 2011, a total 152 patients presented with painful varicocele to our
out-patient clinic. While waiting for surgery, 7 patients (4.6%) resolved their
pain with conservative management and 145 patients underwent varicocelectomy due
to failure. The first follow-up visit was after 1 week to check the wounds and
130 patients attended the second visit after 3 months. Follow-up evaluation
included physical examination, questioning of pain severity (compared with
preoperative pain severity), development of any postoperative complications, and
color Doppler to study recurrence reflux. RESULTS: During the study period,
145/397 (36.5%) patients underwent varicocelectomy for pain. Of the 145 men
operated on for pain 130 (89.6%) were available for follow-up. A subinguinal
approach was used in 93 patients (71.5%) and high ligation in 37(28.5%). Of the
130 patients contacted after surgery, 109 (83.8%) reported complete resolution of
pain, 7 (5.4%) had partial resolution of pain and 14 did not show benefit from
surgery. There was no association between varicocele grade, quality of pain, type
of varicocele ligation, or recurrence and pain resolution after surgery, only the
duration of pain seems to be a factor that is considerably associated with pain
resolution. CONCLUSION: Varicocelectomy is a successful option for treatment of
painful varicocele in selected patients. The duration of pain may predict
outcomes in these patients.
PMID- 24917708
TI - Presentation and treatment of subfertile men with balanced translocations: the
cleveland clinic experience.
AB - INTRODUCTION: Balanced chromosomal translocations are a relatively common (2-7%)
finding among infertile couples. We report clinical features of males with
translocations at our institution. MATERIALS AND METHODS: Data was collected on
men presenting for infertility evaluation between July 2006 March 2010, including
presentation, medical history, and infertility treatments. Criteria for genetic
evaluation, consisting of karyotype and Y-linked microdeletion assay, included
severe oligozoospermia or azoospermia (sperm concentration < 2.5*106/ml) or a
history of recurrent miscarriages. RESULTS: Of the 4,612 patients in our male
infertility clinic 306 met criteria for genetic evaluation. Three patients had a
balanced translocation, of which 2 had Robertsonian translocations, and 1 had a
balanced translocation. One patient had normal bulk semen parameters, normal
volume azoospermia, and oligoasthenoteratozoospermia. All patients were offered
medical genetics consultation. Potential pregnancy outcomes were evaluated using
a predictive software package. One patient had intratubular germ cell neoplasia
and underwent orchiectomy; subsequent fertility evaluation has been deferred. The
other 2 are considering in-vitro fertilization with pre-implantation genetic
evaluation. CONCLUSIONS: Given the low incidence of balanced translocations
detected in our population, better clinical indicators other than semen
parameters or history of recurrent pregnancy loss are needed to determine
screening for this finding.
PMID- 24917709
TI - Complete chemotherapeutic regression of a non-metastatic case of primary pure
small cell carcinoma of the prostate.
AB - Pure small cell carcinoma of the prostate (SCPCa) is a very rare condition
usually with poor survival after diagnosis. It seems to show different clinical
features compared to other prostate cancer subtypes, specifically adenocarcinoma.
Here, we present a 74-year-old man early diagnosed with SCPCa treated with a
cisplatine and etoposide regimen. There was no metastasis found in imaging
studies and bone scan. The patient mostly complained of obstructive symptoms
which were relieved after resection. Interestingly, our patient experienced a
disease free condition after chemotherapy and no further progression was found.
This could implicate the critical role of early diagnosis in the treatment of
SCPCa despite its aggressive nature.
PMID- 24917710
TI - Conservative treatment of malignant fibrous histiocytoma of the kidney: a case
report.
AB - Primary renal malignant fibrous histiocytoma is an extremely rare disease. There
are neither clinical nor radiological signs to distinguish it from the most
common renal cell carcinoma. Its prognosis is poor because of its tendency to
locally recur and metastasize. Therefore, early diagnosis and proper treatment
are very important. We present the case of a 66-year-old woman diagnosed with
primary renal malignant fibrous histiocytoma who underwent partial nephrectomy.
After 41 months' follow-up, there was no evidence of any recurrence. To our
knowledge, this is the first reported case of conservative surgery for this kind
of tumor.
PMID- 24917712
TI - Bladder Outlet Obstruction Secondary to a Brunn's Cyst.
AB - INTRODUCTION: Bladder outlet obstruction in younger men is often secondary to
urethral stricture disease. In the older population it is often a result of
benign prostatic hypertrophy. MATERIALS AND METHODS: We describe the diagnosis,
treatment, and outcome of a rare case of a Brunn's cyst causing bladder outlet
obstruction in a 43-year-old male who was evaluated for obstructive urinary
complaints. RESULTS: Ultrasound and cystoscopy revealed a cystic lesion at the
bladder neck. Transurethral unroofing of the cyst resulted in resolution of the
obstructive symptoms and resumption of normal voiding. Final pathology revealed a
cystic structure with predominately denuded urothelium with multiple submucosal
cystically dilated von Brunn's nests, most consistent with a Brunn's cyst. A
search of the literate reveals only one similar case. CONCLUSIONS: We present the
rare case of a Brunn's cyst causing bladder outlet obstruction in a young man.
PMID- 24917713
TI - Metastatic malignant melanoma to the bladder: a case series.
AB - BACKGROUND: Metastatic melanoma to the bladder is rarely reported, and the role
of surgery is still largely unknown. We review 4 such cases and highlight their
management and outcomes. We also review the relevant literature. METHODS: The
Mayo Clinic tumor database was searched and 4 such cases were found. RESULTS: All
4 patients were treated locally with transurethral resection. The only patient
who had a solitary metastasis did relatively well, having no evidence of disease
10 months after his presentation, while the other 3 died within 6 months. The
literature highlights various approaches to management, but there is no
definitive evidence that radical cystectomy offers any benefit over transurethral
resection. CONCLUSION: Resection may improve survival in the setting of a
solitary metastasis, but there is no evidence that radical cystectomy offers any
benefit over local resection. With diffuse disease, however, resection is likely
only beneficial for treatment of hematuria.
PMID- 24917714
TI - The acute pediatric scrotum: presentation, differential diagnosis and management.
AB - Both pediatric and adult urologists frequently evaluate pediatric patients with
an acute scrotum. We present a detailed review on the acute pediatric scrotum
highlighting the clinical presentation, differential diagnosis and management of
this common clinical condition. It is important to highlight that a testicular
torsion is the most important differential diagnosis and the main priority in
each case is to diagnosis and treat a potential testicular torsion is of the
essence. The aim of our extensive review is to update/review the appropriate
evaluation and management of the acute scrotum and to guide the clinician in
distinguishing testicular torsion from the other conditions that commonly mimic
this surgical emergency. This review is useful for trainees in UK and Europe who
plan to take the FRCS (Urol) examination.
PMID- 24917715
TI - Expression of Angiotensin II Type 1 Receptor in Rat Bladder Smooth Muscle Cells
in Response to a Streptzotocin Induced Diabetes Mellitus Model.
AB - INTRODUCTION: The aim of this study was to investigate angiotensin II type 1
(AT1) receptors in rat bladder smooth muscle cells and alterations of AT1
receptors by diabetes mellitus and diuretic states. MATERIALS AND METHODS:
Diabetes and diuresis were induced in adult female rats by a single
intraperitoneal injection of streptozotocin and feeding 5% sucrose in water.
Cystometry was performed on control, diuretic, and diabetic rats at 2 and 8 weeks
after treatment. Immunohistochemical staining was used to detect expression of
AT1 receptors in the bladder smooth muscle cell membrane. RESULTS AND
CONCLUSIONS: In diabetic rats, expression of AT1 receptors in the bladder smooth
muscle cell membrane increased at 2 weeks and further increased at 8 weeks. The
local renin-angiotensin system in the rat bladder might be activated by the
continuous hyperglycemia caused by intraperitoneal injection of streptozotocin
administration.
PMID- 24917716
TI - Vascularized Dartos Flap in Conjunction with Tubularized Incised Plate
Urethroplasty: Single versus Double Flaps for Management of Distal Hypospadias.
AB - OBJECTIVE: To compare the results of Snodgrass repair with single versus double
vascularized dartos pedicle flap for neouretheral coverage. PATIENTS AND METHODS:
Fifty-eight pediatric patients with mean age 41.5 +/- 21 months (range 9-96
months) underwent primary hypospadias repair, 39 uncircumcised and 19
circumcised, classified into 2 groups according to coverage of the neourethra.
Single layer coverage (Group I) was performed in 28 (48.3%) while double layers
coverage (Group II) was done in 30 (51.7%) cases. RESULTS: After mean follow-up
8.6 +/- 5.4 months (range 3-24 months), good cosmetic results with vertical slit
like meatus at the tip of conical shaped glans were obtained in 53 cases (91.4%).
Totally 5 cases occurred postoperative complications, of which 4 cases in Group I
[2 (7.1%) with urethrocutaneous fistula, 1 (3.6%) with meatal stenosis and 1
(3.6%) with skin necrosis], 1 case in Group II [glanular dehiscence (3.3%)]. No
fistula was observed in Group II. CONCLUSION: The Snodgrass tubularized incised
plate repair in conjunction with double layer coverage of the neourethra could be
considered the procedure of choice for distal hypospadias with low complications
rate especially urethrocutaneous fistula.
PMID- 24917717
TI - Place of non contrast thin-slice spiral computed tomography in evaluation of
stone-free ratio after percutaneous nephrolithotomy.
AB - PURPOSE: To search the place of non contrast abdominal computed tomography to
detect the stone-free rate after percutaneous nephrolithotomy (PNL) and the
clinical importance of its superiority against to plain film radiography (KUB).
MATERIALS AND METHODS: Between February 2006 and July 2010, 62 patients including
27 women had no stone detected peroperative fluoroscopy and nephroscopy during
PNL were included. Patients whom stone was not detected under 5 mm section upper
abdomen non contrast spiral CT and KUB control in postoperative day 3 were
defined as Group 1; patients whom stone was detected in a single slice CT were
defined as clinically insignificant fragment (CIF)(Group 2) and patients whom
stone was detected at least in two slice were defined as rest fragment (Group 3).
RESULTS: Median stone size were 22.3 mm (19-37 mm). Preoperative stone
localization of groups were upper calyx, middle calyx, pelvis, lower calyx and
2.1, 14.9, 25.5 and 57.4%, respectively. CIF was detected in postoperative CT
control of 12 (25.5%) patients whom stone was not followed in KUB and rest
fragment was detected in 6 (12.8%) patients. Three of patients whom rest fragment
was detected required an additional intervention for stone. CONCLUSION: KUB which
is routinely used to determine stone-free ratio after PNL operation is not
sufficient to detect rest calculi and/or CIF existence in 38.3% patients. But
when it is considered that most of rest stones detected were CIF and only 6.3%
patients had additional intervention required rest calculi. We can think that CT
follow-up is not absolutely required.
PMID- 24917718
TI - Simultaneous Cystectomy and Nephroureterectomy due to Synchronous Upper Urinary
Tract Tumors and Invasive Bladder Cancer: Open and Laparoscopic Approaches.
AB - INTRODUCTION: It is not unusual for bladder tumors to appear following
transitional cell carcinoma of the upper urinary tract (UUT), with involvement of
the UUT, following invasive bladder cancer, being less common. The synchronous
presence of transitional cell carcinoma of the bladder and of the UTT is
exceptional. METHODS: Fifteen simultaneous cystectomies with nephroureterectomies
were performed due to synchronous UUT and invasive bladder cancers (1997-2009).
Surgery was performed using an open approach in 10 patients, while the last 5
procedures were performed laparoscopically. RESULTS: The mean age was 68.7 years.
Mean surgery time was 348.6 minutes. Mean blood loss was 816 ml. Acute renal
failure was the most frequent postoperative complication being present in 5
patients (33%). There was 1 case of a leak in the ureter-intestinal anastomosis
(open approach), which required placement of a left-sided percutaneous
nephrostomy. There were 2 cases of postoperative mortality, both in the open
approach series and with intestinal neobladder. Mean follow-up time for the whole
series was 21.25 months. Eight cases experienced metastatic progression of the
disease (mean follow-up 17 months). CONCLUSION: Though multi-site studies with
longer follow-up and a greater numbers of patients are needed, the moment at
which urothelial tumors appear seems to influence their prognosis, with lower
survival rates for tumors that synchronically appear.
PMID- 24917719
TI - Temporary prostatic urethral stenting as a provocative tool to determine surgical
eligibility in complex bladder outlet obstructed patients: our initial
experience.
AB - BACKGROUND/AIMS: To evaluate the usefulness of a temporary prostatic urethral
stent to determine which complex surgical patients would benefit from definitive
surgical management of their benign prostatic hyperplasia. METHODS: We
retrospectively analyzed our benign prostatic hyperplasia database and identified
all patients that received at least one temporary prostatic urethral stent
between April 2008 and December 2010. RESULTS: Forty Spanner(TM) stents were
placed in 20 patients. Mean age was 78.1 years and prostate size was 62.1 cm(3).
Urinary retention was present in 60% (12/20) of patients. No statistically
significant changes in mean maximal flow rate, average flow rate, and post void
residual was noted. Seven patients (35%) did well with the stent and progressed
to definitive surgical management whereas 10% of the cohort (2/20) leaked urine
with the stent in place and subsequently went back to catheter management.
Another 30% (6/20) were unable to tolerate the stent while 1 patient passed away
unrelated to the stent. CONCLUSIONS: The use of the temporary prostatic urethral
stent provided a good provocative test that enabled patients to experience what
their voiding status would be if they were to undergo definitive surgical
management.
PMID- 24917720
TI - Pediatric and Adult Urological Publications: Trend over the Last 15 Years between
1996 and 2010.
AB - PURPOSE: The aim of this study was to determine the trends in urological
publications from 1996 to 2010. METHODS: We retrieved as many urology-related
articles as possible from Medline articles between 1 January 1996 and 31 December
2010, and attempted to retrieve as many urology articles as possible from all
Medline recorded journals (urology-specific and non-urology-specific journals
indiscriminately), using the key words: "urology", "pediatric urology",
"bladder", "kidney"and others. The search was limited to "all adults"(i.e. adult
urology), "all children" (i.e. pediatric urology) as well as female and male
urology. We repeated the search by using a certain limit each time according to
the publication types as classified by Medline, and collected the total number of
publications per year for the 15 years of the specified period. Regression
analysis was used to determine the effect of the publication year upon the number
of publications of each type. RESULTS: There was a steady increase over time in
the number of total publications both in pediatric and adult urology, with a
sharper rise in the number of meta-analysis publications. At the same time there
was a decrease in the number of case reports over the last years. CONCLUSIONS:
New medical information available to urology and pediatric urology specialists
increases over time and thus increases.
PMID- 24917721
TI - The clinical effectiveness of intravesical sodium hyaluronate (cystistat(r)) in
patients with interstitial cystitis/painful bladder syndrome and recurrent
urinary tract infections.
AB - OBJECTIVE: Painful bladder syndrome/interstitial cystitis (PBS/IC) and recurrent
urinary tract infections (UTI) are clinically challenging conditions to manage in
patients. We evaluate the clinical use of intravesical sodium hyaluronate
(Cystistat(r)) in both these patient groups who have completed treatment.
PATIENTS AND METHODS: Thirteen patients with recurrent UTIs (Group I) and 8
patients with PBS/IC (Group II) received intravesical sodium hyaluronate
(Cystistat(r)). Preinstallation demographic parameters were statically comparable
in both groups. The mean age of presentation was 54.6 years in Group I and 57.5
years in Group II (p = 0.9). All 13 patients in Group I were on low dose
antibiotics. The mean number of installations completed in both groups was 9
(range 4-21). RESULTS: Data was collected prospectively using a standard pre- and
post-treatment questioner with the pelvic pain and urinary/frequency patient
symptom scale. At a mean follow-up of 21 months a significant improvement in
bladder pain (p = 0.05), daytime frequency (p = 0.03) and quality of life (p =
0.02) was noted in patients in Group I. Two patients had breakthrough UTIs during
treatment. Within Group I, 7 (53%) patients responded well to treatment. Patients
in Group II had a significant improvement in bladder pain (p = 0.02), urgency (p
= 0.01), nocturia (p = 0.01) and quality of life (p = 0.04). Within Group II, 6
patients (75%) responded to treatment. CONCLUSION: Intravesical sodium
hyaluronate (Cystistat(r)) can be used with minimal side effects and good
compliance in both groups of patients with PBS and recurrent UTIs. Longer follow
up and larger patient numbers in both groups will be required to confirm the long
term efficacy of these two clinically challenging groups of patients.
PMID- 24917722
TI - Use of the Laparoscope Holder for Liver Retraction during Urological Laparoscopic
Surgery.
AB - PURPOSE: During laparoscopy, as in open surgery, exposure is critical. Here, we
describe the use of a laparoscope holder to facilitate the liver lift during
urological laparoscopic surgery. MATERIALS AND METHODS: Laparoscopic right
radical nephrectomy (n = 3), partial nephrectomy (n = 1), and adrenalectomy (n =
2) were performed with 4 ports. At the beginning of the operation, the small
snake retractor was placed through the 5-mm port under direct vision and the
liver was lifted in the appropriate direction to optimize exposure. RESULTS: The
laparoscope holder provided quick, reproducible retraction to facilitate
exposure. No complications occurred with its use. The device reduced the need for
a dedicated second assistant to stand bedside. CONCLUSIONS: We achieved
significant improvements in the safety and efficiency of liver retraction during
urological laparoscopic surgery using the laparoscope holder.
PMID- 24917723
TI - Ureteroscopy assisted retrograde nephrostomy for complete staghorn renal calculi.
AB - Complete staghorn calculi are typically managed with percutaneous nephrolithotomy
(PCNL). However, dilating nephrostomy and inserting a nephro access sheath can be
difficult to perform without hydronephrosis. We reported the procedure of
ureteroscopy-assisted retrograde nephrostomy (UARN) during PCNL. UARN is
effective without dilating the renal collecting system in cases of complete
staghorn calculi. A 63-year old female with a left complete staghorn renal
calculus was referred to our hospital. Under general and epidural anesthesia, the
patient was placed in a modified-Valdivia position. A flexible ureteroscope was
inserted and a Lawson retrograde nephrostomy puncture wire was advanced into the
flexible ureteroscope. The puncture wire was forwarded along the route from the
renal pelvis to the exit skin. Calculus fragmentation was done using a pneumatic
lithotripter and the Ho: YAG laser. UARN during PCNL was effective for the
treatment of a complete staghorn calculus.
PMID- 24917724
TI - The progression of nephrogenic metaplasia of the urinary bladder to clear cell
adenocarcinoma: a case report.
AB - Nephrogenic metaplasia (or nephrogenic adenoma) and clear cell adenocarcinoma of
the bladder are uncommon lesions that cause diagnostic dilemmas for pathologists
due to their similar morphologic features. Nephrogenic metaplasia describes a
lesion in the lower urinary tract that is composed of small tubules resembling
renal medullary tubules. It has been suggested that nephrogenic metaplasia may
progress to clear cell adenocarcinoma but this possibility is not widely
accepted. We present a case of clear cell adenocarcinoma of the bladder arising
from nephrogenic metaplasia and discuss the evidence behind the association of
these two distinct rare lesions.
PMID- 24917725
TI - Delayed lower urinary tract symptoms and erectile dysfunction after acute episode
of coccidioidal meningitis.
AB - We present an interesting case of a 27-year-old male with coccidioidal meningitis
who developed permanent erectile dysfunction (ED) and lower urinary tract
symptoms 3 months after initiation of therapy. The patient presented to the
urology clinic with a complaint of a weak stream, urinary urgency and frequency,
as well as enuresis which were only moderately controlled with anti-cholinergics.
His ED responded well to phosphodiesterase-5 inhibitors. After an extensive
review of the literature, this is the first report of delayed presentation of ED
and lower urinary tract symptoms secondary to coccidioidal meningitis.
PMID- 24917726
TI - Serum testosterone level and semen indices in sulfur mustard exposed men: comment
on "sperm chromatin structure assay analysis of Iranian mustard gas casualties: a
long-term outlook".
PMID- 24917727
TI - Advances in male reproductive surgery: robotic-assisted vasovasostomy.
AB - It is estimated that 3-6% of all vasectomised men request vasectomy reversal for
different reasons. Microsurgical vasovasostomy is the gold standard technique of
vasectomy reversal. However, the microsurgical technique is time-consuming and
challenging to most urological surgeons. Therefore, alternative methods of vasal
anastomosis have been studied including robotic-assisted vasovasostomy. This
review discusses the feasibility and practice of robotic-assisted vasovasostomy.
Based on the available studies robotic-assisted vasovasostomy is feasible. The
reported rate of vasal patency associated with this new technique is similar to
that of microsurgical vasovasostomy. There is no clear difference between the 2
approaches in terms of operating time. Robotic-assisted vasovasostomy does not
appear to afford significant advantages in the era of vasectomy reversal.
PMID- 24917729
TI - Non-invasive evaluation of bladder outlet obstruction in men suspected of benign
prostatic hyperplasia: usefulness of the d index.
AB - OBJECTIVE: To compare a new index of voiding dysfunction (D) based purely on free
uroflow vs. Abrams-Griffiths (A-G) number obtained from intubated flow, for
classification of bladder outlet obstruction in men. PATIENTS AND METHODS:
Urodynamic tracings of 60 non-neurological patients (30 before transurethral
resection of the prostate and of 30 men suspected of benign prostatic hyperplasia
included in a medical therapy trial) were retrospectively analyzed. The Valentini
Besson-Nelson model was used to evaluate the value of the D index. A-G was
obtained from intubated flows. Obstruction was defined as D > 32.5 cm H2O
(translation of A-G criterion). RESULTS: D showed 82.05% sensitivity with 66.66%
specificity; the positive predictive value was 82.05% and the negative predictive
value 66.66% for the whole population, 83.3 vs. 80.9% sensitivity with 58.3 vs.
77.7% specificity for pre- transurethral resection of the prostate vs. medical
therapy group. CONCLUSION: D index which can be obtained from a free uroflow
appears as a valuable alternative to invasive urodynamic investigations when the
diagnosis of bladder outlet obstruction needs to be more solidly established
before a treatment decision or in men suspected of benign prostatic hyperplasia
who elect for watchful waiting.
PMID- 24917728
TI - Comparison of the loss of renal function after cold ischemia open partial
nephrectomy, warm ischemia laparoscopic partial nephrectomy and laparoscopic
partial nephrectomy using microwave coagulation.
AB - PURPOSE: Nephron sparing surgery is an effective surgical option in patients with
renal cell carcinoma. Laparoscopic partial nephrectomy involves clamping and
unclamping techniques of the renal vasculature. This study compared the
postoperative renal function of partial nephrectomy using an estimation of the
glomerular filtration rate (eGFR) for a Japanese population in 3 procedures; open
partial nephrectomy in cold ischemia (OPN), laparoscopic partial nephrectomy in
warm ischemia (LPN), and microwave coagulation using laparoscopic partial
nephrectomy without ischemia (MLPN). MATERIALS AND METHODS: A total of 57
patients underwent partial nephrectomy in Yokohama City University Hospital from
July 2002 to July 2008. 18 of these patients underwent OPN, 17 patients received
MLPN, and 22 patients had LPN. The renal function evaluation included eGFR, as
recommended by The Japanese Society of Nephrology. RESULTS: There was no
significant difference between the 3 groups in the reduction of eGFR. eGFR loss
in the OPN group was significantly higher in patients that experienced over 20
minutes of ischemia time. eGFR loss in LPN group was significantly higher in
patients that experienced over 30 minutes of ischemia time. CONCLUSION: This
study showed that all 3 procedures for small renal tumor resection were safe and
effective for preserving postoperative renal function.
PMID- 24917730
TI - Prognostic Factors Influencing Postoperative Development of Chronic Kidney
Disease in Patients with Small Renal Tumors who Underwent Partial Nephrectomy.
AB - BACKGROUND: The objective of this study was to determine factors associated with
the postoperative development of chronic kidney disease (CKD) following partial
nephrectomy. PATIENTS AND METHODS: This study included 109 patients with normal
renal function treated with partial nephrectomy for small renal tumors. Of these,
73 and 36 patients underwent open partial nephrectomy (OPN) and laparoscopic
partial nephrectomy (LPN), respectively. RESULTS: Among several parameters, there
was a significant difference only in the ischemia time between the OPN and LPN
groups. During the median observation period of 53.4 months, CKD, defined as
estimated glomerular filtration rate (eGFR) less than 60 ml/min/1.73 m(2),
developed in 29 (39.7%) and 14 (38.9%) patients in the OPN and LPN groups,
respectively. Univariate analysis identified age at surgery, diabetes and
preoperative eGFR as significant predictors of the postoperative development of
CKD; however, only age at surgery and preoperative eGFR appeared to be
independently related to CKD-free survival. In fact, there was a significant
difference in the CKD-free survival between patients without any independent risk
factor and those with at least one of these independent risk factors.
CONCLUSIONS: Careful management following partial nephrectomy is necessary for
elderly patients and/or those with impaired renal function, even mild, before
surgery.
PMID- 24917731
TI - The effect of microsurgical varicocelectomy on semen parameters in men with non
obstructive azoospermia.
AB - INTRODUCTION: The aim of this study was to evaluate the effect of microsurgical
subinguinal varicocelectomy on semen parameters in azoospermic men with clinical
varicocele and to determine the predictive parameters of postoperative
improvement. METHODS: Twenty-three men with non-obstructive complete azoospermia
and varicocele underwent subinguinal open microsurgical varicocele repair. The
outcome was assessed in terms of improvement in semen parameters after surgical
repair for varicocelectomy. RESULTS: Bilateral varicocelectomy was performed on
15 patients and unilateral (left) varicocelectomy was performed on 8 patients. In
the post-operative period, of the 23 patients, 7 (30.4%) had motile sperm in the
ejaculate. The mean sperm concentration of these patients was 1.34 +/- 2.6 *
10(6)/ml and the mean total sperm motility was 37.5 +/- 15.5%. CONCLUSION:
Infertile men with non-obstructive azospermia can have improvement in semen
analysis after subinguinal microsurgical repair of varicoceles. Motile sperm in
ejaculate were detected after microsurgical varicocele repair.
PMID- 24917732
TI - Nomogram to predict prostate cancer diagnosis on primary transrectal ultrasound
guided prostate biopsy in a contemporary series.
AB - OBJECTIVE: Transrectal ultrasound-guided biopsy (TRUSB) remains the mainstay for
prostate cancer (CaP) diagnosis. Numerous variables have shown associations with
development of CaP. We present a nomogram that predicts the probability of
detecting CaP on TRUSB. METHODS: After obtaining institutional review board
approval, all patients undergoing primary TRUSB for CaP detection at a single
center at our institution between 2/2000 and 9/2007 were reviewed. Patients
undergoing repeat biopsies were excluded, and only the first biopsy was included
in the analysis. Variables included age at biopsy, race, clinical stage, prostate
specific antigen (PSA), number of cores removed, TRUS prostate volume (TRUSPV),
body mass index, family history of CaP, and pathology results. S-PLUS 2000
statistical software was utilized with p < 0.05 considered significant. Cox
proportional hazards regression models with restricted cubic splines were
utilized to construct the nomogram. Validation utilized bootstrapping, and the
concordance index was calculated based on these predictions. RESULTS: A total of
1,542 consecutive patients underwent primary TRUSB with a median age of 64.2
years (range 34.9-89.2 years), PSA of 5.7 ng/ml (range 0.3-3,900 ng/ml), number
of cores removed of 8.0 (range 1- 22) and TRUSPV of 36.4 cm(3) (range 9.6-212.0
cm(3)). CaP was diagnosed in 561 (36.4%) patients. A nomogram was constructed
incorporating age at biopsy, race, PSA, body mass index, clinical stage, TRUSPV,
number of cores removed, and family history of CaP. The concordance index when
validated internally was 0.802. CONCLUSIONS: We have developed and internally
validated a model predicting cancer detection in men undergoing TRUSB in a
contemporary series. This model may assist clinicians in risk-stratifying
potential candidates for TRUSB, potentially avoiding unnecessary or low
probability TRUSB.
PMID- 24917733
TI - Hematuria secondary to benign prostatic hyperplasia: retrospective analysis of
166 men identified in a single one stop hematuria clinic.
AB - INTRODUCTION: Hematuria secondary to benign prostatic hyperplasia (BPH) can occur
due to a vascular primary gland itself or due to the vascular re-growth of the
prostate following a transurethral resection of the prostate (TURP). We aim to
evaluate the clinical presentation and management in patients within both these
groups. MATERIALS AND METHODS: We retrospectively archived the data of 166 men
diagnosed with hematuria secondary to BPH from our hematuria clinic database from
March 2003 and March 2006. The 166 patients were divided into 2 groups: Group I
(n = 94) hematuria with no previous TURP; Group II (n = 72) hematuria with
previous TURP. The clinical management in both groups included reassurance,
commencement of a 5-alpha reductase inhibitor (finasteride) or a primary TURP in
Group I or re-do TURP in Group II. RESULTS: The median age was 73 years (range 45
94 years) for both groups. Outcomes combined for both groups included:
reassurance alone in 26% (n = 43), finasteride in 51% (n = 84) and TURP in 12% (n
= 19). Patients managed with reassurance alone or TURP had no further episodes of
hematuria. At a mean follow-up was 18 months (range 7-22 months), 2 patients
treated with finasteride re-bled but did require further intervention. A further
2 men elected to stop finasteride due to erectile dysfunction and gynecomastia
respectively. CONCLUSION: BPH can present with hematuria. Following re-evaluation
in a hematuria clinic, the lack of any subsequent cancer diagnosis in these
patients suggests that repeat hematuria investigations should be carefully re
considered.
PMID- 24917734
TI - A retrospective review of factors associated with vasovasostomies in United
States military members.
AB - BACKGROUND: Men seeking a vasectomy should receive counseling prior to the
procedure that includes discussion of later seeking a reversal. We sought to
determine demographic factors that may predispose patients to possibly later seek
a vasectomy reversal. METHODS: All U.S. Military electronic health records were
searched between 2000 and 2009 for either a vasectomy or vasovasostomy procedure
code. Aggregate demographic information was collected and statistical analysis
performed. RESULT: A total of 82,945 patients had a vasectomy of which 4,485 had
a vasovasostomy resulting in a vasovasostomy-to-vasectomy rate of 5.04%. The
average age at vasovasostomy was 34.9+/-5.0, with an average interval of 4.1+/
2.2 years. Men undergoing a vasectomy at a younger age were more likely to have a
vasovasostomy. Various religions did have statistically significant differences.
Within ethnic groups, only Native Americans [OR=1.39 (95% CI 1.198-1.614)] and
Asians [OR=0.501 (95% CI 0.364-0.690)] had statistically significant differences
when compared to Caucasians. Men with more children at the time of vasectomy were
more likely to have a vasovasostomy. CONCLUSION: Younger men, Native Americans,
and men with more children at vasectomy were more likely to undergo a
vasovasostomy. The reason for these differences is unknown, but this information
may assist during pre-vasectomy counseling.
PMID- 24917735
TI - Significance of ureteroscopic biopsy grade in patients with upper tract
urothelial carcinoma.
AB - BACKGROUND: The objective of this study was to assess the significance of the
ureteroscopic biopsy grade for patients with upper tract urothelial carcinoma
(UTUC). PATIENTS AND METHODS: This study included 40 patients who were diagnosed
with a single focus of UTUC by ureteroscopic biopsy and subsequently underwent
nephroureterectomy. The significance of the biopsy grade as a predictive factor
for pathological outcomes of nephroureterectomy was retrospectively analyzed.
RESULTS: Of these 40 patients, 19 (47.5%) and 21 (52.5%) were diagnosed with low
and high grade UTUC, respectively. The ureteroscopic biopsy grade matched the
pathological grade of surgically resected specimens in 35 of the 40 cases
(87.5%), and there was a significant correlation between the biopsy and
pathological grades (p < 0.001). Furthermore, the biopsy grade was also shown to
be closely associated with the pathological stage (p < 0.001); that is, only 1 of
the 19 patients (5.3%) with biopsy low grade UTUC were pathologically diagnosed
as having muscle invasive disease, while 17 of the 21 patients (81.0%) with
biopsy high grade UTUC appeared to show tumor invasion into muscle or deeper.
CONCLUSIONS: The grade of UTUC on ureteroscopic biopsy could provide accurate
diagnostic information on the final pathology of nephroureterectomy specimens.
PMID- 24917736
TI - Thiotepa versus Bacille Calmette-Guerin in Non-Muscle Invasive Bladder Cancer.
AB - OBJECTIVE: The efficacy of intravesical thiotepa was evaluated compared with
administration of Bacille Calmette-Guerin (BCG) in non-muscle invasive bladder
cancer. PATIENTS: In this multicenter, prospective, randomized study, eligible
patients were those with proven non-muscle invasive bladder cancer. All patients
were randomly allocated to Group A, receiving intravesical thiotepa (at a dose of
30 mg/30 ml) once weekly for 9 consecutive weeks and then monthly for 12 months
or Group B, receiving intravesical Bacille Calmette-Guerin (Connaught strain, 80
mg/50 ml) over a 9-week induction course and each week for 3 weeks at 3, 6 and 12
months. Outcome measures were recurrence rate, time to first recurrence and
progression rate. Treatment-related complications were also evaluated. RESULTS:
Seventy-two participants were enrolled, 36 for each group, 17 in Group A
developed disease recurrence versus 25 of those in Group B (p < 0.05). There was
no statistically significant difference in mean time to the first recurrence
(Group A, 4.2 months; Group B, 4.1 months; p > 0.05). Seven of 17 (41%) patients
in Group A and 16 of 25 (64%) patients in Group B had disease progression and
underwent radical cystectomy (p < 0.05). Both intravesical administrations were
generally well tolerated. CONCLUSION: Thiotepa is a promising intravesical agent
for treatment of non-muscle invasive bladder cancer.
PMID- 24917737
TI - A unique case of bilateral synchronous testicular tumor with concomitant
bilateral diffuse intratubular germ cell neoplasia: testis sparing surgery and
local radiotherapy.
AB - Synchronous bilateral testicular germ cell tumors (TGCTs) are seen in exteremely
rare cases. Although there is still no standard therapy for bilateral TGCTs,
bilateral orchiectomy is recommended as the gold standard treatment.
Nevertheless, it has some long-term problems, such as infertility and
psychosocial difficulties, and thus some clinicians prefer to perform testis
sparing surgery in appropriate cases. We reviewed the first case of bilateral
synchronous TGCT with concomittant bilateral diffuse intratubular germ cell
neoplasia in a young single patient, who was treated by a left radical
orchiectomy and right testis sparing-surgery with following local radiotherapy to
the right residual testicular tissue with previous semen cryopreservation to
maintain the ability to father children. We supposed that testis-sparing surgery
can be a feasible therapeutic alternative to radical orchiectomy in patients with
bilateral TGCTs in terms of improving the quality of life and continuing
fertility and normal hormonal status with no medications. However, while the long
term effects are not yet known, this type of treatment should be perpormed in
carefully selected cases with longlife expectancy.
PMID- 24917738
TI - The epidemiology and risk factors for renal cancer.
AB - BACKGROUND: Renal cancer is a frequently occurring malignancy with over 270,000
new cases diagnosed and it being responsible for 110,000 deaths annually on a
global basis. Incidence rates have gradually increased whilst mortality rates are
starting to plateau. OBJECTIVE: To review epidemiology and risk factors for renal
cancer. METHODS: The current data is based on a thorough review of available
original and review articles on epidemiology and risk factors for renal cancer
with a systemic literature search utilising Medline. RESULTS: The prevalence of
associated risk factors such as genetic susceptibility, smoking, hypertension and
obesity are changing and could account for the changes in incidence whilst the
role of diet and occupational exposure to carcinogens requires further
investigation. CONCLUSION: Despite the evidence of various associated risk
factors, further work is required from well designed studies to gain a greater
understanding of the etiology of renal cancer.
PMID- 24917739
TI - Prostate specific antigen: the past, present and future.
AB - AIM: To review current data available on use of prostate specific antigen for
screening healthy men for prostate cancer. METHODS: Literature was reviewed and
the guidelines from, American cancer Society, American Urologic Association was
reviewed. RESULTS: Current screening protocols lead to over diagnosis of prostate
cancer. This often results in unnecessary biopsy procedures and treatments.
CONCLUSION: We must consider the benefit of screening and treatment with the
harms of over diagnosis and over treatment. Newer imaging modalities like
magnetic resonance imaging have to be evaluated further.
PMID- 24917741
TI - A prospective study of the role of inflammation in bladder cancer.
AB - INTRODUCTION: To examine the role of inflammation in bladder cancer, we assessed
the relationship between a systemic inflammation prognostic score (modified
Glasgow Prognostic Score, mGPS), the tumor inflammatory cell infiltrate as
measured by the Klintrup-Makinen score and tumor necrosis with cancer specific
survival in patients with bladder cancer. MATERIALS AND METHODS: The cohort
consisted of 68 bladder cancer patients, 47 with localised disease and 21 with
muscle invasive disease. The mGPS response was constructed by measuring C
reactive protein and albumin concentrations and the Klintrup-Makinen score was
evaluated histologically for the local inflammatory response. Pathological
parameters such as grade, T stage and tumor necrosis were also assessed. RESULTS:
Median follow was 47 months and 24 patients died of their disease. On univariate
analysis, T stage (p < 0.001), grade (p < 0.001) and mGPS (p = 0.002) were
significant predictors of cancer specific survival. On multivariate analysis, T
stage (hazard ratio 5.98, 95% confidence interval 3.18-11.24, p < 0.001) and mGPS
(hazard ratio 1.78, 95% confidence interval 1.09-2.9, p = 0.02) were significant
independent predictors of cancer specific survival. CONCLUSION: A preoperative
systemic inflammatory response is an independent predictor of poor cancer
specific survival in patients with bladder cancer.
PMID- 24917740
TI - Sex differences in the physiology and pharmacology of the lower urinary tract.
AB - Sexual dimorphism is not only noticed in the prevalence of many diseases, but
also in multiple physiological functions in the body. This review has summarized
findings from published literature on the sex differences of the pathophysiology
and pharmacology of the lower urinary tract (LUT) of humans and animals. Sex
differences have been found in several key areas of the LUT, such as overactive
bladder, expression and function of neurotransmitter receptors in the bladder and
urethra, and micturition patterns in humans and animals. It is anticipated that
this review will not only evoke renewed interest for further research on the
mechanism of sex differences in the pathophysiology of the LUT (especially for
overactive bladder), but might also open up the possibilities for gender-based
drug development by pharmaceutical industries in order to find separate cures for
men and women with diseases of the LUT.
PMID- 24917742
TI - Variable correlation of grid coordinates to core location in template prostate
biopsy.
AB - PURPOSE: Transperineal template prostate biopsy has been proposed to facilitate
systematic biopsy in patients undergoing repeat biopsy who are at high risk for
cancer. Advocates tout the reliability of the grid to define biopsy location
compared to hand-held transrectal ultrasound guided biopsy. However, accuracy of
the biopsy needle depends on bevel position, tissue deformity, and technique. Due
to this potential for error, we sought to determine whether the use of
transperineal template biopsy would assure reproducibly accurate needle
placement. MATERIALS AND METHODS: A standard 0.5 cm brachytherapy grid was
utilized for transperineal biopsy. A single grid hole was used to obtain
biopsies. The bevel of the needle was rotated to a different position with each
biopsy, and the angle of the needle was varied to test the ability of the grid to
"map" the prostate. RESULTS: We observed wide variation of needle location
through a single brachytherapy grid hole. We are able to show that at 5 cm depth,
an area of 2.47 mm(2) is possible to biopsy and at a depth of 25 cm;
approximately 7.56 mm(2) is possible to biopsy. This gives a precision of biopsy
of at the most shallow depth 22% and at the deepest 7%. CONCLUSION: There is
potential for technical and equipment associated error with transperineal
template guided prostate biopsy. The grid alone can account for substantial
sources of error, so technique remains critical if the grid coordinates are to be
used to predict presence or extent of cancer.
PMID- 24917743
TI - In vitro regulation of cell growth and angiogenesis by inositol hexaphosphate in
bladder cancer.
AB - BACKGROUND: Inositol Hexaphosphate (IP6) is a naturally occurring
polyphosphorylated carbohydrate that is found in food sources high in fiber
content. We hypothesized that IP6 would inhibit the cell growth rate of bladder
cancer in vitro. METHODS: T24 and TCCSUP bladder cancer cell lines were treated
with titrating doses of IP6 (0.3, 0.6 and 0.9 mM/well). Cell viability and
vascular endothelial growth factor levels were measured. RESULTS: Significant
reductions (p < 0.001) in cellular growth were noted in both cell lines at all
doses and time points tested, with the exception of 0.3 mM IP6 at 24 hours in the
T24 cell line. The percent inhibition of vascular endothelial growth factor was
significantly higher than that observed in the TCCSUP cell line at 48 and 72
hours with 0.3 mM IP6 (p < 0.001). The T24 cells exhibited the same level of
inhibition at 24 and 48 hours with 0.6 mM dose of IP6 and at 72 hours with the
0.3 mM dose (p < 0.001). CONCLUSIONS: In vitro treatment of bladder cancer with
the common dietary polyphosphorylated carbohydrate IP6 significantly decreased
cellular growth by anti-angiogenic mechanisms. We feel that this data warrants
further investigation and consideration for initiation of clinical trials to
evaluate the safety and clinical utility of this agent.
PMID- 24917744
TI - An innovative wound retractor/protector for prosthetic urologic surgery.
AB - OBJECTIVE: We demonstrate an innovative use of a barrier surgical wound
retractor/protector system for use in a variety of prosthetic urologic procedures
(penile prosthetics, artificial urinary sphincters, male slings). MATERIALS AND
METHODS: We demonstrate the use of a self-retaining ring wound retractor in a
multitude of prosthetic urological procedures: insertion of an inflatable penile
prosthesis through an infrapubic approach as well as penoscrotal approach,
placement of a transperineal artificial urinary sphincter, and placement of a
male urethral sling. RESULTS: The self-retaining ring wound retractor facilitated
a more rapid setup and takedown, provided 360 degrees of atraumatic retraction as
well as 360 degrees of wound protection, allowed for maximum exposure with a
minimum incision size, significantly shortened the operating wound depth thus
maximizing exposure, and isolated the surgical field minimizing prosthesis to
skin contact. CONCLUSION: Our experience shows that prosthetic urologic surgeries
can be enhanced with the use of the self-retaining ring wound retractor as it
provides better surgical exposure, lowers wound infection risks, sets up more
quickly as a safer retraction system with substantial cost savings.
PMID- 24917745
TI - Laparoscopic Varicocelectomy Carried Out with the LigaSure Device in 52 Patients.
AB - We describe the effective use of the bipolar vessel-sealing device: LigaSure for
the division of the internal spermatic vessels in a laparoscopic varicocelectomy.
A total of 52 males with varicocele (left-side n = 49, and bilateral n = 3) were
included in this study. Blunt dissection was used to isolate the packet of
spermatic vessels. The packet of spermatic vessels was divided using a bipolar
diathermy system, the LigaSure Precise. It was clear that the operative time was
significantly reduced compared to the method using clips, which was done before
the development of this kind of device. In another experiment to examine the
effect of vessel sealing, it was confirmed that the LigaSure has performance
comparable to the clips. Despite the result that the Harmonic scalpel was less
effective in vessel sealing, our study found it difficult to determine which is
superior, LigaSure or clips, in terms of operative time, relapse rate and
complication.
PMID- 24917746
TI - Bilateral ureteral tapering and secondary ureteroneocystostomy for late stenosis
in a patient with bladder extrophy.
AB - OBJECTIVE: To report a case of severe hydronephrosis 20 years after bladder
exstrophy (BE) repair, managed by bilateral ureteral tapering and secondary
ureteroneocystostomy. CASE PRESENTATION: A 21-year-old woman with a history of BE
and ureteral reimplantation, presented with hematuria-dysuria syndrome and
recurrent febrile urinary tract infections. After counselling, she elected to
undergo bilateral ureteral tapering and second ureteroneocystostomy. CONCLUSION:
Hydronephrosis secondary to ureteralvesical stricture in BE patients can be
successfully managed with ureteral reimplantation associated to ureteral tapering
even after a prior reimplantation.
PMID- 24917747
TI - Recurrent dedifferentiated paratesticular liposarcoma with synchronous renal cell
carcinoma and prostate cancer.
AB - Paratesticular liposarcoma is a very rare cause of scrotal mass. It is thought
that they arise from spermatic cord lipomas most commonly. While well
differentiated tumors tend to share many histological similarities with
dedifferentiated tumors, the latter has a much more aggressive phenotype. We
present an unusual case of a 69-year-old male with synchronous prostate
adenocarcinoma and unilateral renal cell carcinoma who was found to have a
dedifferentiated paratesticular liposarcoma. Treatment was with radical
resection, preserving the testis, followed by radiotherapy. Unusually recurrence
did not occur until 4 years following initial treatment. This case demonstrates
the high propensity of dedifferentiated liposarcoma to recur locally and examines
the most frequently employed management strategies.
PMID- 24917748
TI - Single foci prostate cancer: current diagnosis and management.
AB - Diagnosis of small prostate cancer foci is a real challenge for pathologists and
urologists as it carries the risk of false positive or negative diagnosis with
clinical consequences. Diagnosis of small prostate cancer foci requires a strict
methodological approach which includes a search for major and minor features
under low and high magnification. Ambiguous cases can be further clarified with
the use of basal cell immunomarkers complemented by a positive indicator of
malignancy. Despite the new diagnostic armamentarium, a few cases will continue
to remain doubtful and might require an appropriate rebiopsy.
PMID- 24917749
TI - Percutaneous Nephrolithotomy in Autosomal Dominant Polycystic Kidney Disease: Is
it Different from Percutaneous Nephrolithotomy in Normal Kidney?
AB - OBJECTIVES: Nephrolithiasis has been reported in 20-28% of patients, of whom 50%
are symptomatic for stone disease and 20% require definite urologic intervention.
The management of nephrolithiasis includes oral alkali dissolution therapy,
extracorporeal shock wave lithotripsy and surgical treatment. In such patients,
percutaneous nephrolithotomy (PNL) as a method of stone treatment has been
reported in few cases with limited experience. The aim of this study is to
present our experience of PNL in autosomal dominant polycystic kidney disease
(ADPKD) and assessing the outcome results. MATERIAL AND METHODS: From 2002 to
2011, 22 patients (26 renal units) suffering from ADPKD with stone were managed
by PNL. Demographic characteristics, operative parameters and postoperative
complications were recorded and analysed. RESULT: The overall success rate of PNL
was 82.1% and PNL with extracorporeal shock wave lithotripsy for clinically
significant residual fragments was 92.85% respectively. The hematuria required
blood transfusion (n = 9), postoperative fever due to cyst infection (n = 4) and
paralytic ileus (n = 3) were recorded. CONCLUSION: The PNL in ADPKD PNL is safe
and effective but have more postoperative complications such as bleeding
requiring transfusions, fever due to cyst infection and paralytic ileus.
PMID- 24917750
TI - pT0 Prostate Cancer: Predictive Clinicopathologic Features in an American
Population.
AB - INTRODUCTION: The pT0 stage of prostate cancer describes the radical
prostatectomy (RP) specimen where no cancer can be identified. Given known racial
and geographic differences in prostate cancer incidence and survival, we reviewed
our experience with pT0 disease to determine applicability of these predictive
features in an American population. MATERIALS AND METHODS: A retrospective chart
review was conducted for all RPs at one state tertiary care institution during a
20-year period (1991-2011). Clinicopathologic features of pT0 patients were
collected and their relevant pathologic material re-reviewed. RESULTS: Of a total
of 1,635 RPs performed, 4 (0.2%) not receiving neoadjuvant therapy or other prior
prostate surgeries were stage pT0. Biopsies from 3 of 4 patients were re
evaluated and confirmed a small focus, <1% of tissue, of Gleason score 3+3
adenocarcinoma; a fourth was not available for re-review. Our re-review of the RP
slides identified small foci of cancer in two of the four, thus yielding a final
true pT0 incidence of 0.1%. Preoperative prostate specific antigen ranged from
4.4 to 7.4 ng/ml, clinical stages were all T1c, and there was no evidence of
recurrence at 3 months to 10 years of follow-up. CONCLUSIONS: Stage pT0 prostate
cancer is very uncommon, occurring with an incidence of 0.1%, and in our
experience occurs only in clinical T1c patients with pre-biopsy prostate specific
antigen < 7.5 ng/ml, with Gleason score 3 + 3 adenocarcinoma comprising < 1%, 1
mm of a single core biopsy, a stricter threshold than that seen in non-American
populations.
PMID- 24917751
TI - The use of a memokath prostatic stent for obstructive voiding symptoms after
brachytherapy.
AB - INTRODUCTION: Brachytherapy may be complicated by serious obstructive voiding
symptoms (OVS). Only conservative treatment options are available in the first 6
months after brachytherapy. We evaluated safety, efficacy and patient tolerance
of the Memokath prostatic stent (MPS). MATERIAL AND METHODS: A MPS was placed in
10 patients with OVS after brachytherapy. Evaluation included uroflowmetry,
international prostate symptom score (IPSS), prostate volume and
urethrocystoscopy before and 3 months after placement of the stent. RESULTS: Both
the IPSS and uroflowmetry results significantly improved after stent insertion.
The mean IPSS decreased from 29/5 to 11/1 and the mean Qmax from the uroflowmetry
improved from 4.7 to 11.2 ml/s. The 5 patients who were catheter dependent voided
spontaneously with a mean Qmax of 15 ml/s. Two stents migrated towards the
bladder, and those patients needed a second stent which was placed without
complications. Removal of the stent was easy to perform. Adverse effects were
minor with perineal pain and irritative voiding symptoms occurring in 5 patients
mainly in the first weeks after insertion. This did not negatively influence
quality of life and all patients were more satisfied with the stent than without.
CONCLUSIONS: The MPS provides a safe, effective, and completely reversible
treatment for patients with OVS after brachytherapy and was well tolerated.
PMID- 24917752
TI - Pathological Correlation between Number of Biopsies and Radical Surgery: Does It
Make a Difference to Final Pathology?
AB - AIMS: To evaluate whether the number of biopsies performed via transrectal
ultrasound (TRUS) accurately predicts pathological parameters such as Gleason
sum, prostatic intraepithelial neoplasia and perineural invasion of the final
prostatectomy specimen. MATERIALS AND METHODS: The cohort consisted of 99
patients whom had undergone radical prostatectomy. Comparisons were made between
the number of biopsies utilised and the presence of the pathological parameters
from tissue at time of diagnosis and tissue from the final prostatectomy.
RESULTS: A significant difference was noted between Gleason sum, prostatic
intraepithelial neoplasia and perineural invasion from tissue at time of
diagnosis irrespective of the number of biopsies utilised and tissue from the
radical specimen (p < 0.001, p < 0.001, p < 0.001 respectively). No difference
was noted in the mean Gleason sum when 11-14 biopsies were utilised at TRUS and
the Gleason sum from the radical specimen. CONCLUSION: We have demonstrated that
the number of biopsies utilised at time of TRUS for diagnosis predicts the
accuracy of pathological parameters in the final radical prostatectomy specimen.
We believe that 11-14 biopsies should be utilised at time of TRUS as this allows
a higher accuracy in the Gleason sum and therefore allows optimum treatment plans
to be devised.
PMID- 24917753
TI - Holmium Laser Enucleation of the Prostate: Comparison of Immediate Postoperative
Outcomes in Patients with and without Antithrombotic Therapy.
AB - OBJECTIVE: To compare the immediate postoperative outcomes of patients with
benign prostatic hyperplasia undergoing Holmium laser enucleation of the prostate
(HOLEP) with and without full anticoagulation or antiplatelet therapy at the time
of surgery. MATERIALS AND METHODS: A retrospective review was performed on a
series of consecutive patients undergoing HOLEP at our institution by a single
surgeon from February 2004 to September 2010. Demographic, surgical, pathological
and outcome data were collected. Two cohorts were identified on the basis of
antithrombotic therapy at the time of surgery. Patients who continued on aspirin,
aspirin/dipyridamole, clopidogrel and warfarin throughout the surgery were
included in the antithrombotic cohort. Univariate analysis was performed to
determine differences in outcomes between the 2 cohorts. RESULTS: Total 125
consecutive patients underwent HOLEP with 52 patients on antithrombotic therapy
at the time of surgery and 73 patients were not on antithrombotic therapy during
surgery. Patients in the antithrombotic group were older (75.1 +/-7.5 vs. 71.7 +/
8.3 years; p = 0.02) and had a higher median ASA physical status (3 (3-3) vs. 2
(2-3), p < 0.0001). The mean operating time and median specimen volume were not
significantly different between the 2 cohorts. The median length of stay (2 (1-3)
vs. 1 (1-2) d, p = 0.014) was longer in the antithrombotic cohort. The
transfusion rate (7.7 vs. 0%, p = 0.028) was predictably higher in the
antithrombotic cohort. No patients required re-operation for bleeding.
CONCLUSIONS: The use of HOLEP in patients on antithrombotic therapy is safe
despite the higher surgical risk profile of that particular patient population
and the potential increased risk for significant bleeding.
PMID- 24917754
TI - Novel Use of AngioVac System to Prevent Pulmonary Embolism during Radical
Nephrectomy with Inferior Vena Cava Thrombectomy.
AB - Venous tumor thrombus occurs in 5-10% of patients with renal cell carcinoma.
Surgical excision offers the best chance for survival, but is technically
difficult. Risk of pulmonary embolism from venous thrombus or tumor thrombus is
high, especially with tumors located higher in the inferior vena cava.
Cardiopulmonary bypass may be used when a tumor extends above the diaphragm, but
carries significant risk. We present an 86-year-old woman with a 7 cm renal mass
extending into the inferior vena cava just below the confluence of the hepatic
vessels. Prior to surgery she was found to have increasing pulmonary embolisms
despite appropriate anticoagulation. Intraoperatively, the AngioVac aspiration
system was utilized to prevent further pulmonary embolism. This is the first
reported case of the use of this system during radical nephrectomy.
PMID- 24917755
TI - A benign renal cyst containing liesegang rings mimicking a renal cell carcinoma:
a case report.
AB - Liesegang rings are uncommon pathological findings which may cause diagnostic
dilemmas for pathologists. Awareness of their appearance is important to avoid
over diagnosis of parasitic infection, algal contamination and psammoma bodies.
Liesegang rings are benign, lamellar structures with radial striations and a
central amorphous core. They are found in a variety of tissues and fluids in both
an intra- and extra-cellular sites. We present here a case of a cystic renal
lesion containing Liesegang rings mimicking a renal cell carcinoma.
PMID- 24917756
TI - Laparoscopic resection of retroperitoneal neural tumors.
AB - PURPOSE: Retroperitoneal neural tumor (RNT) is rarely excised laparoscopically,
and the laparoscopic management of RNT remains controversial. We herein report 4
cases of laparoscopic excision of RNT that resulted in diverse clinical outcomes.
PATIENTS AND METHODS: Between August 2005 and January 2011, we performed
laparoscopic excision of RNT in 4 patients. The mean tumor size was 4.5 cm. The
mean operative time was 297 minutes and the mean amount of blood loss was 55 ml.
The surgeries were uneventful, with no operative complications or evidence of
intra-abdominal bleeding. However, 2 patients required reoperation for delayed
hemorrhage and urinoma formation, respectively. RESULTS: The postoperative
pathological diagnoses were schwannoma in 3 patients and ganglioneuroblastoma in
1 patient. All patients were well with no signs of peripheral neuropathy or
radiculopathy, and CT and/or (18)F-FDG PET/CT performed during follow-up
indicated no evidence of disease. CONCLUSIONS: Obtaining extensive preoperative
knowledge of the source neural and vascular anatomy of the tumor is important for
the surgical planning of laparoscopic resection of RNT. When a great deal of care
is taken to divide the tumor and the source nerves and vital vessels, safe
execution of RNT can be achieved for minimal postoperative mortality and
morbidity.
PMID- 24917757
TI - Penile incarceration with metallic foreign bodies: management and review of
literature.
AB - INTRODUCTION: Penile strangulation from constricting metallic objects disorders
is an uncommon urological emergency which requires prompt intervention to prevent
complications. The treatment modalities are diverse and characterized by lack of
consensus. MATERIAL AND METHODS: Three cases with penile incarceration due to
constricting metallic objects who presented to our department were included in
this study. All 3 patients required different management options highlighting the
diversity of clinical presentation and need for customization of treatment as per
the clinical scenario. RESULTS: The 3 patients required different approach for
treatment. First patient could be managed by degloving of penile skin while
second patient required mechanical removal of the foreign body and debridement of
local necrotic tissues. The third patient had to undergo excision of gangrenous
penile skin and skin grafting. CONCLUSION: The study emphasizes the diversity of
clinical presentations and the need for employing different surgical techniques
to achieve the desired results.
PMID- 24917758
TI - Cavernosal Abscess due to Streptococcus Anginosus: A Case Report and
Comprehensive Review of the Literature.
AB - Corpus cavernosum abscesses are uncommon with only 23 prior reports in the
literature. Several precipitating factors for cavernosal infections have been
described including injection therapy for erectile dysfunction, trauma, and
priapism. Common causal organisms include Staphylococcus aureus, Streptococci,
and Bacteroides. We report a unique case of a corpus cavernosum abscess due to
proctitis with hematological seeding and review the literature on cavernosal
abscesses.
PMID- 24917759
TI - Significance of random bladder biopsies in non-muscle invasive bladder cancer.
AB - BACKGROUND/AIMS: To evaluate retrospectively the clinical outcome of random
bladder biopsies in patients with non-muscle invasive bladder cancer (NMIBC)
undergoing transurethral resection (TUR). PATIENTS AND METHOD: This study
included 234 consecutive patients with NMIBC who underwent random biopsies from
normal-appearing urothelium of the bladder, including the anterior wall,
posterior wall, right wall, left wall, dome, trigone and/or prostatic urethra,
during TUR. RESULT: Thirty-seven patients (15.8%) were diagnosed by random
biopsies as having urothelial cancer. Among several factors available prior to
TUR, preoperative urinary cytology appeared to be independently related to the
detection of urothelial cancer in random biopsies on multivariate analysis.
Urinary cytology prior to TUR gave 50.0% sensitivity, 91.7% specificity, 56.8%
positive predictive value and 89.3% negative predictive value for predicting the
findings of the random biopsies. CONCLUSION: Biopsies of normal-appearing
urothelium resulted in the additional detection of urothelial cancer in a
definite proportion of NMIBC patients, and it remains difficult to find a
reliable alternative to random biopsies. Collectively, these findings suggest
that it would be beneficial to perform random biopsies as part of the routine
management of NMIBC.
PMID- 24917760
TI - The accuracy of magnetic resonance imaging in radical prostatectomy.
AB - AIMS: The aim of this study was to examine the accuracy of standard magnetic
resonance imaging (MRI) in the localised staging of prostate cancer in those who
had undergone radical prostatectomy. PATIENTS AND METHODS: The cohort consisted
of 110 patients who had undergone MRI for staging of prostate cancer and
subsequently underwent radical prostatectomy. T stage was analysed both on MRI
and from the specimen following radical surgery. RESULTS: Of the patients 57% of
patients had their disease up-staged following radical surgery from preoperative
MRI findings. Of those patients who had their disease up-staged following
surgery, nearly 50% of patients had gone from organ confined disease at time of
MRI to extra-prostatic involvement from the surgical specimen. CONCLUSION: We
have reported that MRI has a wide range of accuracy. Given developments in MRI
technologies further work should be pursued to help in the staging of this
disease for which decision to treat is difficult.
PMID- 24917761
TI - Prevalence of circulating tumor cells in localized prostate cancer.
AB - BACKGROUND: Circulating tumor cells (CTC) predict overall survival in patients
with metastatic prostate cancer. The objective of this study is to measure CTC
before radical prostatectomy in intermediate- and high-risk prostate cancer
patients. MATERIALS AND METHODS: The study accrued 12 patients and 10 provided
adequate peripheral blood sample. Blood was drawn preoperatively and assayed for
CTC using the CellSearch system. Patients were categorized as CTC positive (>= 1
CTC) or CTC negative (no CTC). RESULTS: Median age was 64.5 years (range 49-77
years), median prostate specific antigen was 7.4 ng/ml (range 5.7-25.7 ng/ml).
Seven patients had intermediate-risk and 3 patients had high-risk prostate
cancer. One patient was found to be CTC positive. CONCLUSIONS: Our pilot study
shows that CTC are rare in patients with clinically localized disease despite
intermediate- to high-risk features. CTC may not be the optimal marker to predict
prognosis or detect residual disease after radical prostatectomy.
PMID- 24917762
TI - Predictive factors for benign lesions in partially or radically resected kidneys
in a single independent cancer center.
AB - OBJECTIVE: To assess the incidence and predictive factors of benign renal lesions
in surgical specimens obtained at our institution. METHODS: We carried out a
retrospective assessment of 96 renal nodules, diagnosed by dynamic multi-detector
row helical computed tomography, and resected by either radical or partial
nephrectomy between January 2004 and November 2011. The incidence of benign
lesions in 1-cm increments of diameter was determined and the predictive factors
for these lesions were then evaluated. RESULTS: Of the 96 nodules, histology
confirmed that 13 (13.5%) were benign. The incidence of benign lesions was 25.0%
for nodules <1 cm, 23.8% for nodules 1-1.9 cm, 11.5% for nodules 2-2.9 cm, 7.7%
for nodules 3-3.9 cm, and 7.1% for nodules >=4 cm. Multivariate analysis showed
that younger age (<60 years; odds ratio [OR] = 5.23), female gender (OR = 4.78),
and smaller nodule size (<3 cm; OR = 6.14) were significant predictive factors
for benign lesions. CONCLUSIONS: The incidence of benign lesions in this study
(13.5% of total cases) was relatively low compared with recent studies from
Western countries that reported an incidence of 15-20%. Significant predictive
factors for benign lesions included younger age, female gender, and smaller tumor
size.
PMID- 24917763
TI - Transperitoneal transvesical laparoscopic repair of vesicovaginal fistulae:
experience of a tertiary care centre in northern India.
AB - OBJECTIVE: To present our experience of treating supratrigonal vesicovaginal
fistulae by laparoscopic technique and their long-term follow-up. MATERIAL AND
METHODS: Between January 2008 and June 2012, 28 cases of supratrigonal fistulas
were repaired by laparoscopic transperitoneal transvesical technique with
interposition flap. The obstetric fistula was present in 18 and gynecologic
fistula in 10 patients. Single supratrigonal fistula was present in 26 patients
and in 2 patients there were 2 fistulae lying side to side. The vaginal opening
was closed as single layer interrupted suture and cystotomy closed as single
layer continuous suture by 3-0 polygalactin. The omentum was used as
interposition flap in all except 2 cases in whom postero-superior vesical fold of
peritoneum was used. The open conversion was required in 2 cases. The urethral
catheter was removed in 4 weeks following a micturating cystogram. RESULT: The
mean fistula size was 1.2 cm (range 0.8-2.5 cm). Open conversion was performed in
2 cases of whom one had excess carbon-dioxide retention and cardiac arrhythmia
and in another case the needle of 3-0 polygalactin was avulsed and lost in
peritoneal cavity which was recovered following laparotomy. All patients were
continent following the catheter removal. The median follow-up is 24 months. None
developed any complication related to laparoscopic repair till last follow-up.
CONCLUSION: Laparoscopic repair of supratrigonal vesicovaginal fistulae is an
effective and safe minimally invasive treatment with excellent result.
PMID- 24917764
TI - Prospective Randomized Comparison of Open versus Transperitoneal Laparoscopic
Ureterolithotomy: Experience of a Single Center from Northern India.
AB - AIM: Prospective randomized study on transperitoneal laparoscopic
ureterolithotomy (TPLU) versus open ureterolithotomy (OU) for treatment of large
impacted ureteric stones (>= 1.5 cm) and assessment of overall results. MATERIAL
& METHODS: In a prospective study between 2010 to 2012, 30 patients underwent
TPLU and 30 OU based on 1:1 randomization. The operation was indicated primarily
in 44 cases or after failed shock-wave lithotripsy/ureteroscopy in 16 cases. Two
groups were compared for operative time, success rate, visual pain score,
analgesic requirement, hospital stay, and postoperative complications.
Statistical analysis was performed with SPSS(r) version 16.0 using Fisher exact
or Mann-Whitney U tests with p < 0.05 considered statistically significant.
RESULTS: The difference in visual pain score (6.2 in TPLU group vs 3.1 in OU
group on day 1; 4.8 vs. 2.4 on day 2) and tramadol requirements (184.32 mg in
TPLU group vs. 150.87 mg in OU group on day 1; 97.34 mg vs. 65.56 mg on day 2)
were statistically significant and more in OU. Hospital stay and convalescence
were significantly lower in the TPLU. However, stone removal in one attempt was
similar in both the groups. CONCLUSION: Although successful stone removal rates
are equal in both groups, TPLU is associated significantly with less
postoperative pain, less analgesic requirement, shorter hospital stay and short
convalescence in comparison to OU.
PMID- 24917766
TI - Urethtral approach in retroperitoneoscopic radical prostatectomy: a novel
technique for safe prostate dissection.
AB - BACKGROUND AND OBJECTIVES: Laparoscopic radical prostatectomy (LRP) remains
technically challenging and is associated with a steep learning curve. Prostate
apex dissection (PAD) is one the most challenging elements of LRP. This study
describes a novel technique for PAD using a transurethral approach (urethral
assistance). METHODS: Through the transurethral route, Young's foreign body
forceps is introduced under laparoscopic vision and both vas deferens are grasped
for efficient traction of the prostate. The forceps is quickly clamped to the
laparoscope holder. Urethral assistance facilitates more efficient dissection
during dissection of the bladder neck, vas deferens, and seminal vesicles,
transaction of the posterior prostatovesical junction, mobilization of the
prostate off the rectum, and ligation of the dorsal venous complex. RESULTS: In
10 patients with localized prostate cancer who underwent LRP using the urethral
assistance technique, sufficient retraction of the prostate was maintained in the
appropriate direction. No complications related to urethral assistance were
observed. No internal or external instrument collisions occurred during PAD.
CONCLUSION: Urethral assistance provides a simple, reliable, cost-effective
approach for performing PAD during LRP.
PMID- 24917765
TI - Can the R.e.N.a.L nephrometry score preoperatively predict postoperative clinical
outcomes in patients undergoing open and laparoscopic partial nephrectomy?
AB - AIM: We evaluate whether the preoperative R.E.N.A.L Nephrometry Score (RNS) can
predict the postoperative outcomes in patients undergoing either an open or
laparoscopic partial nephrectomy. PATIENTS AND METHODS: We retrospectively
calculated the RNS of 128 patients who underwent either an open partial
nephrectomy (OPN) (n = 38) or laparoscopic partial nephrectomy (LPN) (n = 90)
between 2003 and 2011. Patients were categorized into low, moderate or high
complexity groups based on RNSs. Intra-operative warm ischemic time (WIT), peri
operative surgical outcomes using the Clavien-Dindo classification, postoperative
histology, positive surgical margin rates were correlated to the RNS. RESULTS:
The RNS was associated with the length of the WIT in OPN (low vs. moderate vs.
high: 11.4 vs. 13.1 vs. 23.4 minutes, p = 0.025) and blood loss in LPN (low vs.
moderate 319 vs. 498 ml, p = 0.009). The positive surgical margins were greater
in high versus moderate RNS lesions (40 vs. 7.4%, p = 0.045). No differences were
seen in complications, hospital stay or transfusion rates. The RNS was
significantly higher in OPN versus LPN (7.45 vs. 6.2, p = 0.0002). CONCLUSION: An
Increasing RNS was associated with increased WIT in OPN and blood loss in LPN,
supporting RNS relationship to tumor complexity. A higher RNS in OPN indicate it
may corroborate procedure choice. RNS should allow comparisons between treatment
modalities for similar complexity lesions and with further research could aid
stratification of individual risk preoperatively.
PMID- 24917767
TI - A 52-year-old male with bilaterally duplicated collecting systems with
obstructing ureteral stones: a case report.
AB - Collecting system duplication is marked by a variety of clinical syndromes.
Bilateral and obstructed duplicated systems, particularly with asymmetric levels
of duplication, are rare and typically due to ureteric bud development anomalies.
The infrequency with which this condition exists makes it a formidable challenge
for physicians and patients. To our knowledge, we present the first case report
of bilateral obstruction of bilaterally duplicated collecting systems. In our
case, a 52-year-old male complaining of low back pain, constipation, urinary
urgency and hematuria was found to have bilateral obstructing stones as well as
asymmetrical bilateral collecting system duplication. We discuss the natural
history of this condition, its consequences and identification.
PMID- 24917768
TI - Testicular vasculitis - literature review and case report in queensland.
AB - A 21-year-old male presented with acute onset, sharp right sided testicular pain.
The testicle was removed with a histological diagnosis of testicular vasculitis.
Anti-neutrophil cytoplasmic antibodies were negative. Although rare, males who
present with acute onset pain should be screened for testicular vasculitis with a
scrotal ultrasound and blood investigations including tumor markers and anti
neutrophil cytoplasmic antibodies.
PMID- 24917769
TI - Massive abdominoscrotal hydrocele.
AB - Abdominoscrotal hydrocele (ASH) is a very rare clinical finding. It is
characterized by a large scrotal hydrocele in communication with the abdominal
cavity through the inguinal canal. Most reports of ASH have been in the pediatric
population. We present the case of a 67-year-old man, with severe liver disease,
who was discovered to have massive bilateral ASH secondary to ascites.
PMID- 24917770
TI - Pulmonary Complications following Percutaneous Nephrolithotomy: A Prospective
Study.
AB - INTRODUCTION: Pulmonary complications may occur in the post-operative period and
are a significant cause of morbidity and mortality in patients undergoing
anesthesia and surgery. Complication rates vary according to different procedures
and different types of anesthesia and may be affected by the patient condition.
The purpose of this study was to examine pulmonary complications following
percutaneous nephrolithotomy (PCNL) and to search for associations between the
pre- and intra-operative factors and the risk of post-operative pulmonary
complications (PPC). PATIENTS AND METHODS: This was a prospective observational
study of 100 consecutive adult patients who underwent PCNL surgery. We collected
data of the patient, surgery and anesthesia and analyzed it to find correlations
with PPC. RESULTS: Eight (8%) patients had PPC following PCNL, 7 patients had
pneumothorax and 1 had atelectasis and pleural effusion. The latter patient died
at post-operative day 24 due to respiratory failure. It was found that patients
who had PCNL on the right kidney were at lower risk for PPC. In addition it was
found that younger patients had a higher incidence of PPC. CONCLUSIONS: Based on
this study the most common type of post-operative complication following PCNL is
pulmonary, with pneumothorax being the main complication. PPC may result in
patient mortality. The side of the operation and the patient's age might affect
the risk of PPC.
PMID- 24917772
TI - Considerations in minimally invasive surgery for renal and ureteric calculi: a
bicenter quality control study.
AB - BACKGROUND: The use of minimally invasive surgery is increasing. Evaluating the
quality of care brings new sights in the optimization of operating techniques.
METHODS: We included all procedures performed in two hospitals during 2010 and
2011. A total of 264 patients were included in the ureterorenoscopy (URS) group
and 77 patients in the percutaneous nephrolitholapaxy (PCNL) group. Data were
gathered by retrospectively reviewing medical records. RESULTS: Mean stone
diameter in the URS group was 9 mm. Patients suffered from a single stone in 79%
of the cases. Calculi in the distal ureter, defined as the part of the ureter
below the lower border of the sacroiliac joint, were most likely to be removed. A
stone-free status was reached in 69% of the cases using URS. Mean stone diameter
in the PCNL group was 23 mm. PCNL was successful in 70% of the cases in Haga
Hospital versus 53% in Medisch Centrum Haaglanden. Incidence of complications was
comparable between the hospitals (p = 0.5). Outcome and quality of both PCNL and
URS was not influenced by sex, age or body mass index. CONCLUSION: The clinical
results were comparable with results in the literature. Further improvement can
be made by optimization of technical aspects and centralization of treatment by
urologists experienced in minimally invasive techniques.
PMID- 24917771
TI - Preliminary study of percutaneous nephrolithotomy on an ambulatory basis.
AB - OBJECTIVE: Preliminary study to assess the feasibility and safety of percutaneous
nephrolithotomy (PCNL) as an ambulatory procedure. PATIENTS AND METHODS: Between
February 2011 and September 2012, 84 patients with renal calculi fulfilling the
inclusion criteria were admitted to the Urology Department of Benha University
Hospitals for PCNL. All patients were subjected to a full medical history,
clinical, laboratory and radiological examinations. Tubeless PCNLs were done in
the supine position, and an antegrade double-J stent was inserted. Operative time
and intraoperative complications were recorded. Postoperatively, the hematocrit
value, postoperative pain and analgesics, need of blood transfusion, stone-free
rate, and length of hospital stay were recorded. Stable patients that could be
safely discharged within 24 hours after surgery were considered ambulatory.
RESULTS: All cases of tubeless PCNL were successfully done and no cases converted
to open surgery. The overall stone-free rate was 91.7%, the mean postoperative
pain score measured by the visual analog scale was 4.4 +/- 1.2, the mean overall
hematocrit deficit was 4.8 +/- 2.2% and the mean hospital stay was 33.4 +/- 17.5
hours. Ambulatory PCNL was accomplished in 60 out of 84 patients (71.4%) and
double-J stents were removed 7-10 days postoperatively. In the non-ambulatory
cases, double-J stents were removed after auxillary procedures were done
according to each case. CONCLUSION: PCNL can be safely done on an ambulatory
basis under strict criteria, but further studies are needed to confirm and expand
these findings.
PMID- 24917773
TI - The use of the amplatz sheath in percutaneous nephrolithotomy: does amplatz
sheath size matter?
AB - OBJECTIVES: To evaluate the effect of Amplatz sheath size used in percutaneous
nephrolithotomy (PCNL) on postoperative outcome, bleeding, and renal impairment
rates. MATERIALS AND METHODS: One-hundred and ten patients who underwent
uneventful percutaneous nephrolithotomy between November 2011 and October 2012
were included in the study. The patients were divided into 5 groups based on
Amplatz sheath size (22, 24, 26, 28 and 30 Fr). Groups were comppared in terms of
pre- and post-operative mean hemoglobin, creatinine, nephrostomy time,
nephrostomy tube diameter, operative time, and fluoroscope time. RESULTS: Mean
operative time, preoperative hemoglobin and creatinine values were similar in all
groups. Postoperative mean hemoglobin level was significantly lower and
postoperative mean creatinine level was significantly higher in patients who were
treated with a larger Amplatz sheath when compared to a smaller size (p < 0.05).
It was observed that nephrostomy time and nephrostomy tube size significantly
increased as the Amplatz sheath size increased. Seven patients presented with
postoperative infection (1, 2, 1, 0 and 3 patients in Group I, II, III, IV, and V
respectively), 13 patients presented with bleeding requiring blood transfusion
(2, 4, and 7 patients in Group III, IV, and V respectively), and residual stone
was observed in 9 patients (5, 2, 0, 1, and 1 patients in Group I, II, III, IV,
and V respectively). CONCLUSION: Although the use of a larger Amplatz sheath for
larger stones seems to be suitable, this is not the case for smaller stones. For
smaller stones, a smaller Amplatz sheath size would be useful to decrease the
bleeding and renal impairment rates.
PMID- 24917774
TI - Use of BioGlue Surgical Adhesive in Hypospadias Repair.
AB - PURPOSE: To prospectively evaluate the efficacy of albumin glutaraldehyde tissue
adhesive (BioGlue) in the surgical treatment of patients with hypospadias.
MATERIALS AND METHODS: Two groups of 20 patients each who underwent hypospadias
repair were included in the study. In the first group we utilized BioGlue as an
additional protective layer to the suture line of the neo-urethra, while patients
in the second group were operated on utilizing a routine surgical technique.
RESULTS: There were no statistical differences between patients from the 2 groups
in terms of surgical complications. Urethrocutaneous fistula was revealed in 4
(20%) patients after repair with BioGlue and in 3 (15%) patients from the control
group (p = 0.686), suture line breakdown in 4 (20%) and in 1 (5%) patients (p =
0.478), meatal stenosis in 1 (5%) and in 1 (5%) patient (p = 1). Furthermore more
patients in the BioGlue group (n = 12, 60%) demonstrated poor cosmetic results
compared to the control group where most patients - 19 (95%) had acceptable
cosmetic outcomes (p = 0.007). CONCLUSIONS: Our data showed no benefits of
BioGlue use in hypospadias repair.
PMID- 24917775
TI - Developing a robotic prostatectomy service and a robotic fellowship programme -
defining the learning curve.
AB - INTRODUCTION: Robotic radical prostatectomy (RRP) is an established treatment for
prostate cancer in selected centres with appropriate expertise. We studied our
single-centre experience of developing a RRP service and subsequent training of 2
additional surgeons by the initial surgeon and the introduction of United
Kingdom's first nationally accredited robotic fellowship training programme. We
assessed the learning curve of the 3 surgeons with regard to peri-operative
outcomes and oncological results. PATIENTS AND METHODS: Three hundred consecutive
patients underwent RRP between November 2008 and August 2012. Patients were
divided into 3 equal groups (Group 1, case 1-100; Group 2, case 101-200; and
Group 3, case 201-300). Age, ASA score, preoperative co-morbidities and
indications for laparoscopic radical prostatectomy were comparable for all 3
patient groups. Peri-operative and oncological outcomes were compared across all
3 groups to assess the impact of the learning curve for laparoscopic radical
prostatectomy. All surgical complications were classified using the Clavien-Dindo
system. RESULTS: The mean age was 60.7 years (range 41-74). There was a
significant reduction in the mean console time (p < 0.001), operating time (p <
0.001), mean length of hospital stay (p < 0.001) and duration of catheter (p <
0.001) between the 3 groups as the series progressed. The two most important
factors predictive of positive surgical margins (PSM) at RRP were the initial
prostate specific antigen (PSA) and tumor stage at diagnosis. The overall PSM
rate was 26.7%. For T2/T3 tumors the incidence of PSM reduced as the series
progressed (Group 1-22%, Group 2-32% and Group 3-26%). The incidence of major
complications i.e. grade Clavien-Dindo system score <= III was 2% (6/300).
CONCLUSION: RRP is a safe procedure with low morbidity. As surgeons progress
through the learning curve peri-operative parameters and oncological outcomes
improve. This learning curve is not affected by the introduction of a fellowship
training programme. Using a carefully structured mentored approach, RRP can be
safely introduced as a new procedure without compromising patient outcomes.
PMID- 24917776
TI - Novel use of the capio urethral anastomotic suturing device: a 50-case
assessment.
AB - OBJECTIVE: Robotic laparoscopic assisted prostatectomy (RALP) has become the
predominant technique for prostatectomy despite significant expense and no robust
evidence supporting better cancer control, erectile function, or continence.
Several studies have demonstrated lower bladder neck contracture (BNC) rates with
RALP, believed to be related to improved visualization and control of the
urethrovesical anastomosis. We evaluated the CapioTM radical prostatectomy (RP)
suture capturing device for improving anastomotic precision during urethrovesical
anastomosis in open radical prostatectomy. MATERIALS AND METHODS: We performed a
retrospective review on a single-surgeon series of 50 consecutive patients
undergoing radical retropubic prostatectomy (RRP) with utilization of the CapioTM
RP device at an academic hospital (February 2010 to May 2012). Patient
demographics, pathology, and outcomes data including rates of anastomotic leak,
BNC, erectile function, and continence were collected. RESULTS: Mean age of
patients at the time of procedure was 60.4 +/- 6.43 years. Patients were
stratifed by D'Amico criteria into low (14.3%), intermediate (67.4%), and high
(18.4%) risk groups. Mean follow-up for all patients was 13.1 +/- 7.29 months. No
patients were diagnosed with BNC within 90 days after surgery. Two patients (4%)
were subsequently diagnosed and treated for BNC, one of whom was asymptomatic
prior to diagnosis. CONCLUSION: Utilizing the CapioTM RP device during RRP, we
were able to achieve a BNC rate equivalent to rates reported for RALP. Use of the
CapioTM RP device appears to be a cost-effective method for improving RRP
urethrovesical anastomotic results.
PMID- 24917777
TI - Pubic bone osteomyelitis after salvage high-intensity focused ultrasound for
prostate cancer.
AB - High-intensity focused ultrasound can be used for the primary treatment of
prostate cancer and biochemical recurrence after radical prostatectomy or
radiation. Complications of high-intensity focused ultrasound include urinary
retention, urethral stenosis, stress incontinence, urinary tract infections,
dysuria, impotence, and rarely, rectourethral or rectovesicular fistula. We
describe a patient presenting with urinary retention, urinary tract infections
and intermittent stress incontinence, later found to be associated with pubic
bone osteomyelitis stemming from a prostatopubic fistula.
PMID- 24917778
TI - Unusual urethral metastasis from colon carcinoma presenting with difficult
urination and hematuria.
AB - Urethral metastases originating from the colon are extremely rare. We report a
case of a 67-year-old man who presented with difficult urination and hematuria.
Diagnostic cystoscopy showed an abnormal, exophytic lesion in his proximal penile
urethra a bulbar urethra. His pathology was diagnosed as adenocarcinoma
consistent with colon metastasis.
PMID- 24917779
TI - Large cell neuroendocrine carcinoma of the urinary bladder: case report and
review.
AB - INTRODUCTION: Neuroendocrine carcinomas of the urinary bladder are relatively
rare, accounting for less than 1% of all bladder carcinomas. These tumors can be
divided into the more indolent typical or atypical carcinoid tumors and the
aggressive small cell and large cell neuroendocrine carcinomas. OBJECTIVE: To
report 2 clinical cases of large cell neuroendocrine carcinoma of the bladder
(LCCB) and to review the epidemiology, prognosis, and current treatment
algorithms for patients with bladder small and large cell neuroendocrine
carcinomas. RESULTS: In both cases hematuria was the presenting symptom. One
patient was submitted to partial cystectomy and the other to trans-urethral
resection of the bladder tumor. The former patient died on the third month
postoperatively. The latter patient had extensive liver metastasis at the time of
diagnosis and died from acute liver failure on the 14th postoperative day. In
review LCCB is associated with a more aggressive behavior and poorer prognosis
than transitional cell bladder carcinoma. No standard approach exists. Surgery
(transurethral ressection, partial cystectomy, radical cystectomy), chemotherapy
and radiotherapy are current treatment modalities. CONCLUSION: LCCB is an
aggressive tumor which usually presents itself in an advanced stage. Neoadjuvant
chemotherapy with platinum regimen plus aggressive surgical approach should be
the treatment of choice.
PMID- 24917780
TI - Tibial metastasis from muscle invasive bladder carcinoma: an unusual site.
AB - We report a case of a 61-year-old gentleman who presented with frank hematuria
with associated weight loss and on-going left knee pain. Subsequent investigation
revealed a muscle invasive bladder carcinoma with a related unusual bone
metastasis. Though bone metastases form bladder carcinoma are common, frequent
deposition sites include the spinal column and pelvis. This case report is to the
best of our knowledge the first reported case of a tibial metastasis for relevant
bladder carcinoma. Furthermore, we reviewed the literature, relevant diagnostic
and management surrounding such occurrences.
PMID- 24917781
TI - Dural metastases in advanced prostate cancer: a case report and review of the
literature.
AB - Dural metastases from advanced prostate cancer are considered an uncommon
diagnosis. However, autopsy studies show a high association between advanced
prostate cancer and metastases to the meninges. Because the overall survival of
advanced prostate cancer patients is expected to improve with the advent of new
therapies, the incidence of clinically relevant dural metastases from prostate
cancer will likely increase. We present a case of a heavily pre-treated
castration-resistant prostate cancer patient who developed metastases to the
duramater. This entity should be considered in the differential diagnosis of any
patient with advanced castration-resistant prostate cancer and neurological
symptoms. Clinicians should also be aware of the poor prognosis and survival
rates associated with the condition.
PMID- 24917782
TI - Targeting central melanocortin receptors: a promising novel approach for treating
alcohol abuse disorders.
AB - The melanocortin (MC) peptides are produced centrally by propiomelanocortin
(POMC) neurons within the arcuate nucleus of the hypothalamus and act through
five seven-transmembrane G-protein coupled melanocortin receptor (MCR) subtypes.
The MC3R and MC4R subtypes, the most abundant central MCRs, are widely expressed
in brain regions known to modulate neurobiological responses to ethanol,
including regions of the hypothalamus and extended amygdala. Agouti-related
protein (AgRP), also produced in the arcuate nucleus, is secreted in terminals
expressing MCRs and functions as an endogenous MCR antagonist. This review
highlights recent genetic and pharmacological findings that have implicated roles
for the MC and AgRP systems in modulating ethanol consumption. Ethanol
consumption is associated with significant alterations in the expression levels
of various MC peptides/protein, which suggests that ethanol-induced perturbations
of MC/AgRP signaling may modulate excessive ethanol intake. Consistently, MCR
agonists decrease, and AgRP increases, ethanol consumption in mice. MCR agonists
fail to blunt ethanol intake in mutant mice lacking the MC4R, suggesting that the
protective effects of MCR agonists are modulated by the MC4R. Interestingly,
recent evidence reveals that MCR agonists are more effective at blunting binge
like ethanol intake in mutant mice lacking the MC3R, suggesting that the MC3R has
opposing effects on the MC4R. Finally, mutant mice lacking AgRP exhibit blunted
voluntary and binge-like ethanol drinking, consistent with pharmacological
studies. Collectively, these preclinical observations provide compelling evidence
that compounds that target the MC system may provide therapeutic value for
treating alcohol abuse disorders and that the utilization of currently available
MC-targeting compounds- such as those being used to treat eating disorders- may
be used as effective treatments to this end.
PMID- 24917783
TI - How learning to abstract shapes neural sound representations.
AB - The transformation of acoustic signals into abstract perceptual representations
is the essence of the efficient and goal-directed neural processing of sounds in
complex natural environments. While the human and animal auditory system is
perfectly equipped to process the spectrotemporal sound features, adequate sound
identification and categorization require neural sound representations that are
invariant to irrelevant stimulus parameters. Crucially, what is relevant and
irrelevant is not necessarily intrinsic to the physical stimulus structure but
needs to be learned over time, often through integration of information from
other senses. This review discusses the main principles underlying categorical
sound perception with a special focus on the role of learning and neural
plasticity. We examine the role of different neural structures along the auditory
processing pathway in the formation of abstract sound representations with
respect to hierarchical as well as dynamic and distributed processing models.
Whereas most fMRI studies on categorical sound processing employed speech sounds,
the emphasis of the current review lies on the contribution of empirical studies
using natural or artificial sounds that enable separating acoustic and perceptual
processing levels and avoid interference with existing category representations.
Finally, we discuss the opportunities of modern analyses techniques such as
multivariate pattern analysis (MVPA) in studying categorical sound
representations. With their increased sensitivity to distributed activation
changes-even in absence of changes in overall signal level-these analyses
techniques provide a promising tool to reveal the neural underpinnings of
perceptually invariant sound representations.
PMID- 24917784
TI - Membrane guanylyl cyclase complexes shape the photoresponses of retinal rods and
cones.
AB - In vertebrate rods and cones, photon capture by rhodopsin leads to the
destruction of cyclic GMP (cGMP) and the subsequent closure of cyclic nucleotide
gated ion channels in the outer segment plasma membrane. Replenishment of cGMP
and reopening of the channels limit the growth of the photon response and are
requisite for its recovery. In different vertebrate retinas, there may be as many
as four types of membrane guanylyl cyclases (GCs) for cGMP synthesis. Ten
neuronal Ca(2+) sensor proteins could potentially modulate their activities. The
mouse is proving to be an effective model for characterizing the roles of
individual components because its relative simplicity can be reduced further by
genetic engineering. There are two types of GC activating proteins (GCAPs) and
two types of GCs in mouse rods, whereas cones express one type of GCAP and one
type of GC. Mutant mouse rods and cones bereft of both GCAPs have large, long
lasting photon responses. Thus, GCAPs normally mediate negative feedback tied to
the light-induced decline in intracellular Ca(2+) that accelerates GC activity to
curtail the growth and duration of the photon response. Rods from other mutant
mice that express a single GCAP type reveal how the two GCAPs normally work
together as a team. Because of its lower Ca(2+) affinity, GCAP1 is the first
responder that senses the initial decrease in Ca(2+) following photon absorption
and acts to limit response amplitude. GCAP2, with a higher Ca(2+) affinity, is
recruited later during the course of the photon response as Ca(2+) levels
continue to decline further. The main role of GCAP2 is to provide for a timely
response recovery and it is particularly important after exposure to very bright
light. The multiplicity of GC isozymes and GCAP homologs in the retinas of other
vertebrates confers greater flexibility in shaping the photon responses in order
to tune visual sensitivity, dynamic range and frequency response.
PMID- 24917785
TI - Systemic gene delivery to the central nervous system using Adeno-associated
virus.
AB - Adeno-associated virus (AAV)-mediated gene delivery has emerged as an effective
and safe tool for both preclinical and clinical studies of neurological
disorders. The recent discovery that several serotypes are able to cross the
blood-brain barrier when administered systemically has been a real breakthrough
in the field of neurodegenerative diseases. Widespread transgene expression after
systemic injection could spark interest as a therapeutic approach. Such strategy
will avoid invasive brain surgery and allow non-focal gene therapy promising for
CNS diseases affecting large portion of the brain. Here, we will review the
recent results achieved through different systemic routes of injection generated
in the last decade using systemic AAV-mediated delivery and propose a brief
assessment of their values. In particular, we emphasize how the methods used for
virus engineering could improve brain transduction after peripheral delivery.
PMID- 24917786
TI - In silico, in vitro and cellular analysis with a kinome-wide inhibitor panel
correlates cellular LRRK2 dephosphorylation to inhibitor activity on LRRK2.
AB - Leucine-rich repeat kinase 2 (LRRK2) is a complex, multidomain protein which is
considered a valuable target for potential disease-modifying therapeutic
strategies for Parkinson's disease (PD). In mammalian cells and brain, LRRK2 is
phosphorylated and treatment of cells with inhibitors of LRRK2 kinase activity
can induce LRRK2 dephosphorylation at a cluster of serines including
Ser910/935/955/973. It has been suggested that phosphorylation levels at these
sites reflect LRRK2 kinase activity, however kinase-dead variants of LRRK2 or
kinase activating variants do not display altered Ser935 phosphorylation levels
compared to wild type. Furthermore, Ser910/935/955/973 are not
autophosphorylation sites, therefore, it is unclear if inhibitor induced
dephosphorylation depends on the activity of compounds on LRRK2 or on yet to be
identified upstream kinases. Here we used a panel of 160 ATP competitive and cell
permeable kinase inhibitors directed against all branches of the kinome and
tested their activity on LRRK2 in vitro using a peptide-substrate-based kinase
assay. In neuronal SH-SY5Y cells overexpressing LRRK2 we used compound-induced
dephosphorylation of Ser935 as readout. In silico docking of selected compounds
was performed using a modeled LRRK2 kinase structure. Receiver operating
characteristic plots demonstrated that the obtained docking scores to the LRRK2
ATP binding site correlated with in vitro and cellular compound activity. We also
found that in vitro potency showed a high degree of correlation to cellular
compound induced LRRK2 dephosphorylation activity across multiple compound
classes. Therefore, acute LRRK2 dephosphorylation at Ser935 in inhibitor treated
cells involves a strong component of inhibitor activity on LRRK2 itself, without
excluding a role for upstream kinases. Understanding the regulation of LRRK2
phosphorylation by kinase inhibitors aids our understanding of LRRK2 signaling
and may lead to development of new classes of LRRK2 kinase inhibitors.
PMID- 24917787
TI - Allopregnanolone and neuroinflammation: a focus on multiple sclerosis.
AB - The progesterone derivative allopregnanolone (ALLO) is one of the most widely
studied compounds among neurosteroids. Through interactions with GABA-A receptors
expressed by neurons and glial cells, ALLO has been shown to affect diverse
aspects of neural cell physiology, including cell proliferation and survival,
migration, and gene expression. Recent data point to important roles for ALLO in
different neurodegenerative disorders, including Alzheimer's disease, Parkinson's
disease, and multiple sclerosis (MS). Dysregulation in ALLO biosynthesis pathways
has been reported in brain tissue from MS patients as well as in the central
nervous system (CNS) tissue derived from MS animal models. Administration of ALLO
has been shown to ameliorate neurobehavioral deficits together with
neuropathology and inflammation in the CNS of animals with autoimmune
demyelination. These findings are in line with previous reports indicating growth
and differentiation-promoting actions of ALLO on neurons and glial cells as well
as its neuroprotective effects in the context of other CNS diseases. Nonetheless,
these findings have also raised the possibility that ALLO might influence
leukocyte biology and associated neuroinflammatory mechanisms independent of its
neuroregenerative properties. Herein, we review the current knowledge regarding
the role of ALLO in the pathogenesis of MS, and discuss the potential cellular
and molecular pathways that might be influenced by ALLO in the context of
disease.
PMID- 24917788
TI - Patch-clamp recordings of rat neurons from acute brain slices of the
somatosensory cortex during magnetic stimulation.
AB - Although transcranial magnetic stimulation (TMS) is a popular tool for both basic
research and clinical applications, its actions on nerve cells are only partially
understood. We have previously predicted, using compartmental modeling, that
magnetic stimulation of central nervous system neurons depolarized the soma
followed by initiation of an action potential in the initial segment of the axon.
The simulations also predict that neurons with low current threshold are more
susceptible to magnetic stimulation. Here we tested these theoretical predictions
by combining in vitro patch-clamp recordings from rat brain slices with magnetic
stimulation and compartmental modeling. In agreement with the modeling, our
recordings demonstrate the dependence of magnetic stimulation-triggered action
potentials on the type and state of the neuron and its orientation within the
magnetic field. Our results suggest that the observed effects of TMS are deeply
rooted in the biophysical properties of single neurons in the central nervous
system and provide a framework both for interpreting existing TMS data and
developing new simulation-based tools and therapies.
PMID- 24917790
TI - Activation of microglia bolsters synapse formation.
PMID- 24917789
TI - Microglia change from a reactive to an age-like phenotype with the time in
culture.
AB - Age-related neurodegenerative diseases have been associated with chronic
neuroinflammation and microglia activation. However, cumulative evidence supports
that inflammation only occurs at an early stage once microglia change the
endogenous characteristics with aging and switch to irresponsive/senescent and
dystrophic phenotypes with disease progression. Thus, it will be important to
have the means to assess the role of reactive and aged microglia when studying
advanced brain neurodegeneration processes and age-associated related disorders.
Yet, most studies are done with microglia from neonates since there are no
adequate means to isolate degenerating microglia for experimentation. Indeed,
only a few studies report microglia isolation from aged animals, using either
short-term cultures or high concentrations of mitogens in the medium, which
trigger microglia reactivity. The purpose of this study was to develop an
experimental process to naturally age microglia after isolation from neonatal
mice and to characterize the cultured cells at 2 days in vitro (DIV), 10 DIV, and
16 DIV. We found that 2 DIV (young) microglia had predominant amoeboid morphology
and markers of stressed/reactive phenotype. In contrast, 16 DIV (aged) microglia
evidenced ramified morphology and increased matrix metalloproteinase (MMP)-2
activation, as well as reduced MMP-9, glutamate release and nuclear factor kappa
B activation, in parallel with decreased expression of Toll-like receptor (TLR)-2
and TLR-4, capacity to migrate and phagocytose. These findings together with the
reduced expression of microRNA (miR)-124, and miR-155, decreased autophagy,
enhanced senescence associated beta-galactosidase activity and elevated miR-146a
expression, are suggestive that 16 DIV cells mainly correspond to
irresponsive/senescent microglia. Data indicate that the model represent an
opportunity to understand and control microglial aging, as well as to explore
strategies to recover microglia surveillance function.
PMID- 24917791
TI - Acute modulation of synaptic plasticity of pyramidal neurons by activin in adult
hippocampus.
AB - Activin A is known as a neuroprotective factor produced upon acute excitotoxic
injury of the hippocampus (in pathological states). We attempt to reveal the role
of activin as a neuromodulator in the adult male hippocampus under physiological
conditions (in healthy states), which remains largely unknown. We showed
endogenous/basal expression of activin in the hippocampal neurons. Localization
of activin receptors in dendritic spines (=postsynapses) was demonstrated by
immunoelectron microscopy. The incubation of hippocampal acute slices with
activin A (10 ng/mL, 0.4 nM) for 2 h altered the density and morphology of spines
in CA1 pyramidal neurons. The total spine density increased by 1.2-fold upon
activin treatments. Activin selectively increased the density of large-head
spines, without affecting middle-head and small-head spines. Blocking Erk/MAPK,
PKA, or PKC prevented the activin-induced spinogenesis by reducing the density of
large-head spines, independent of Smad-induced gene transcription which usually
takes more than several hours. Incubation of acute slices with activin for 2 h
induced the moderate early long-term potentiation (moderate LTP) upon weak theta
burst stimuli. This moderate LTP induction was blocked by follistatin, MAPK
inhibitor (PD98059) and inhibitor of NR2B subunit of NMDA receptors (Ro25-6981).
It should be noted that the weak theta burst stimuli alone cannot induce moderate
LTP. These results suggest that MAPK-induced phosphorylation of NMDA receptors
(including NR2B) may play an important role for activin-induced moderate LTP.
Taken together, the current results reveal interesting physiological roles of
endogenous activin as a rapid synaptic modulator in the adult hippocampus.
PMID- 24917794
TI - Naps in school can enhance the duration of declarative memories learned by
adolescents.
AB - Sleep helps the consolidation of declarative memories in the laboratory, but the
pro-mnemonic effect of daytime naps in schools is yet to be fully characterized.
While a few studies indicate that sleep can indeed benefit school learning, it
remains unclear how best to use it. Here we set out to evaluate the influence of
daytime naps on the duration of declarative memories learned in school by
students of 10-15 years old. A total of 584 students from 6th grade were
investigated. Students within a regular classroom were exposed to a 15-min
lecture on new declarative contents, absent from the standard curriculum for this
age group. The students were then randomly sorted into nap and non-nap groups.
Students in the nap group were conducted to a quiet room with mats, received
sleep masks and were invited to sleep. At the same time, students in the non-nap
group attended regular school classes given by their usual teacher (Experiment
I), or English classes given by another experimenter (Experiment II). These 2
versions of the study differed in a number of ways. In Experiment I (n = 371),
students were pre-tested on lecture-related contents before the lecture, were
invited to nap for up to 2 h, and after 1, 2, or 5 days received surprise tests
with similar content but different wording and question order. In Experiment II
(n = 213), students were invited to nap for up to 50 min (duration of a regular
class); surprise tests were applied immediately after the lecture, and repeated
after 5, 30, or 110 days. Experiment I showed a significant ~10% gain in test
scores for both nap and non-nap groups 1 day after learning, in comparison with
pre-test scores. This gain was sustained in the nap group after 2 and 5 days, but
in the non-nap group it decayed completely after 5 days. In Experiment II, the
nap group showed significantly higher scores than the non-nap group at all times
tested, thus precluding specific conclusions. The results suggest that sleep can
be used to enhance the duration of memory contents learned in school.
PMID- 24917792
TI - Trajectory of the main GABAergic interneuron populations from early development
to old age in the rat primary auditory cortex.
AB - In both humans and rodents, decline in cognitive function is a hallmark of the
aging process; the basis for this decrease has yet to be fully characterized.
However, using aged rodent models, deficits in auditory processing have been
associated with significant decreases in inhibitory signaling attributed to a
loss of GABAergic interneurons. Not only are these interneurons crucial for
pattern detection and other large-scale population dynamics, but they have also
been linked to mechanisms mediating plasticity and learning, making them a prime
candidate for study and modeling of modifications to cortical communication
pathways in neurodegenerative diseases. Using the rat primary auditory cortex
(A1) as a model, we probed the known markers of GABAergic interneurons with
immunohistological methods, using antibodies against gamma aminobutyric acid
(GABA), parvalbumin (PV), somatostatin (SOM), calretinin (CR), vasoactive
intestinal peptide (VIP), choline acetyltransferase (ChAT), neuropeptide Y (NPY),
and cholecystokinin (CCK) to document the changes observed in interneuron
populations across the rat's lifespan. This analysis provided strong evidence
that several but not all GABAergic neurons were affected by the aging process,
showing most dramatic changes in expression of parvalbumin (PV) and somatostatin
(SOM) expression. With this evidence, we show how understanding these
trajectories of cell counts may be factored into a simple model to quantify
changes in inhibitory signaling across the course of life, which may be applied
as a framework for creating more advanced simulations of interneuronal
implication in normal cerebral processing, normal aging, or pathological
processes.
PMID- 24917793
TI - Dynamic expression of calretinin in embryonic and early fetal human cortex.
AB - Calretinin (CR) is one of the earliest neurochemical markers in human
corticogenesis. In embryos from Carnegie stages (CS) 17 to 23, calbindin (CB) and
CR stain opposite poles of the incipient cortex suggesting early regionalization:
CB marks the neuroepithelium of the medial boundary of the cortex with the
choroid plexus (cortical hem). By contrast, CR is confined to the subventricular
zone (SVZ) of the lateral and caudal ganglionic eminences at the pallial
subpallial boundary (PSB, or antihem), from where CR+/Tbr1- neurons migrate
toward piriform cortex and amygdala as a component of the lateral cortical
stream. At CS 19, columns of CR+ cells arise in the rostral cortex, and
contribute at CS 20 to the "monolayer" of horizontal Tbr1+/CR+ and GAD+ cells in
the preplate. At CS 21, the "pioneer cortical plate" appears as a radial
aggregation of CR+/Tbr1+ neurons, which cover the entire future neocortex and
extend the first corticofugal axons. CR expression in early human corticogenesis
is thus not restricted to interneurons, but is also present in the first
excitatory projection neurons of the cortex. At CS 21/22, the cortical plate is
established following a lateral to medial gradient, when Tbr1+/CR- neurons settle
within the pioneer cortical plate, and thus separate superficial and deep pioneer
neurons. CR+ pioneer neurons disappear shortly after the formation of the
cortical plate. Reelin+ Cajal-Retzius cells begin to express CR around CS21 (7/8
PCW). At CS 21-23, the CR+ SVZ at the PSB is the source of CR+ interneurons
migrating into the cortical SVZ. In turn, CB+ interneurons migrate from the
subpallium into the intermediate zone following the fibers of the internal
capsule. Early CR+ and CB+ interneurons thus have different origins and migratory
routes. CR+ cell populations in the embryonic telencephalon take part in a
complex sequence of events not analyzed so far in other mammalian species, which
may represent a distinctive trait of the initial steps of human corticogenesis.
PMID- 24917795
TI - The relationship between vestibular function and topographical memory in older
adults.
AB - Research during the past two decades has demonstrated an important role of the
vestibular system in topographical orientation and memory and the network of
neural structures associated with them. Almost all of the supporting data have
come from animal or human clinical studies, however. The purpose of the present
study was to investigate the link between vestibular function and topographical
memory in normal elderly humans. Twenty-five participants aged 70 to 85 years who
scored from mildly impaired to normal on the Montreal Cognitive Assessment (MoCA)
received three topographical memory tests: the Camden Topographical Recognition
Memory Test (CTMRT), a computerized topographical mental rotation test (TMRT),
and a virtual pond maze (VPM). They also received six vestibular or oculomotor
tests: optokinetic nystagmus (OKN), visual pursuit (VP), actively generated
vestibulo-ocular reflex (VOR), the sensory orientation test (SOT) for posture,
and two measures of rotational memory (error in degrees, or RM degrees , and
correct directional recognition, or RM->). The only significant bivariate
correlations were among the three vestibular measures primarily assessing
horizontal canal function (VOR, RM degrees , and RM->). A multiple regression
analysis showed significant relationships between vestibular and demographic
predictors and both the TMRT (R = 0.78) and VPM (R = 0.66) measures. The
significant relationship between the vestibular and topographical memory measures
supports the theory that vestibular loss may contribute to topographical memory
impairment in the elderly.
PMID- 24917796
TI - Towards a concept of disorders of "higher vestibular function".
AB - BACKGROUND: Vestibular disorders are commonly characterized by a combination of
perceptual, ocular motor, postural, and vegetative manifestations, which cause
the symptoms of vertigo, nystagmus, ataxia, and nausea. Multisensory convergence
and numerous polysynaptic pathways link the bilaterally organized central
vestibular network with limbic, hippocampal, cerebellar, and non-vestibular
cortex structures to mediate "higher" cognitive functions. Anatomical
classification of vestibular disorders: The traditional classification of
vestibular disorders is based on the anatomical site of the lesion. While it
distinguishes between the peripheral and the central vestibular systems, certain
weaknesses become apparent when applied clinically. There are two reasons for
this: first, peripheral and central vestibular disorders cannot always be
separated by the clinical syndrome; second, a third category, namely disorders of
"higher vestibular function", is missing. These disorders may be caused by
peripheral as well as central vestibular lesions. Functional classification: Here
we discuss a new concept of disorders of higher vestibular function which involve
cognition and more than one sensory modality. Three conditions are described that
exemplify such higher disorders: room tilt illusion, spatial hemineglect, and
bilateral vestibulopathy all of which present with deficits of orientation and
spatial memory. CONCLUSIONS: Further elaboration of such disorders of higher
multisensory functions with respect to lesion site and symptomatology is
desirable. The room tilt illusion and spatial hemineglect involve vestibular and
visual function to the extent that both conditions can be classified as either
disorders of higher vestibular or of higher visual functions. A possible way of
separating these disorders in a first step is to determine whether the causative
lesion site affects the vestibular or the visual system. For the vestibular
system this lesion site may be peripheral or central. The criterion of "higher
function" is fulfilled if cognition or senses other than the primarily affected
one come into play.
PMID- 24917797
TI - Change in hippocampal theta activity with transfer from simple discrimination
tasks to a simultaneous feature-negative task.
AB - It was showed that solving a simple discrimination task (A+, B-) and a
simultaneous feature-negative (FN) task (A+, AB-) used the hippocampal
independent strategy. Recently, we showed that the number of sessions required
for a rat to completely learn a task differed between the FN and simple
discrimination tasks, and there was a difference in hippocampal theta activity
between these tasks. These results suggested that solving the FN task relied on a
different strategy than the simple discrimination task. In this study, we
provided supportive evidence that solving the FN and simple discrimination tasks
involved different strategies by examining changes in performance and hippocampal
theta activity in the FN task after transfer from the simple discrimination task
(A+, B- -> A+, AB-). The results of this study showed that performance on the FN
task was impaired and there was a difference in hippocampal theta activity
between the simple discrimination task and FN task. Thus, we concluded that
solving the FN task uses a different strategy than the simple discrimination
task.
PMID- 24917798
TI - Dissociating retrieval success from incidental encoding activity during emotional
memory retrieval, in the medial temporal lobe.
AB - The memory-enhancing effect of emotion has been linked to the engagement of
emotion- and memory-related medial temporal lobe (MTL) regions (amygdala-AMY;
hippocampus-HC; parahippocampus-PHC), during both encoding and retrieval.
However, recognition tasks used to investigate the neural correlates of retrieval
make it difficult to distinguish MTL engagement linked to retrieval success (RS)
from that linked to incidental encoding success (ES) during retrieval. This issue
has been investigated for retrieval of non-emotional memories, but not for
emotional memory retrieval. To address this, we used event-related functional MRI
in conjunction with an emotional distraction and two episodic memory tasks (one
testing memory for distracter items and the other testing memory for new/lure
items presented in the first memory task). This paradigm allowed for dissociation
of MTL activity specifically linked to RS from that linked to both RS and
incidental ES during retrieval. There were two novel findings regarding the
neural correlates of emotional memory retrieval. First, greater emotional RS was
identified bilaterally in AMY, HC, and PHC. However, AMY activity was most
impacted when accounting for ES activity, as only RS activity in left AMY was
dissociated from ES activity during retrieval, whereas portions of HC and PHC
showing greater emotional RS were largely uninvolved in ES. Second, an earlier
and more anteriorly spread response (left AMY and bilateral HC, PHC) was linked
to greater emotional RS activity, whereas a later and more posteriorly localized
response (right posterior PHC) was linked to greater neutral RS activity. These
findings shed light on MTL mechanisms subserving the memory-enhancing effect of
emotion at retrieval.
PMID- 24917799
TI - How does the modular organization of entorhinal grid cells develop?
AB - The entorhinal-hippocampal system plays a crucial role in spatial cognition and
navigation. Since the discovery of grid cells in layer II of medial entorhinal
cortex (MEC), several types of models have been proposed to explain their
development and operation; namely, continuous attractor network models,
oscillatory interference models, and self-organizing map (SOM) models. Recent
experiments revealing the in vivo intracellular signatures of grid cells
(Domnisoru et al., 2013; Schmidt-Heiber and Hausser, 2013), the primarily
inhibitory recurrent connectivity of grid cells (Couey et al., 2013; Pastoll et
al., 2013), and the topographic organization of grid cells within anatomically
overlapping modules of multiple spatial scales along the dorsoventral axis of MEC
(Stensola et al., 2012) provide strong constraints and challenges to existing
grid cell models. This article provides a computational explanation for how MEC
cells can emerge through learning with grid cell properties in modular
structures. Within this SOM model, grid cells with different rates of temporal
integration learn modular properties with different spatial scales. Model grid
cells learn in response to inputs from multiple scales of directionally-selective
stripe cells (Krupic et al., 2012; Mhatre et al., 2012) that perform path
integration of the linear velocities that are experienced during navigation.
Slower rates of grid cell temporal integration support learned associations with
stripe cells of larger scales. The explanatory and predictive capabilities of the
three types of grid cell models are comparatively analyzed in light of recent
data to illustrate how the SOM model overcomes problems that other types of
models have not yet handled.
PMID- 24917800
TI - Brain connectivity in autism.
PMID- 24917801
TI - A similar correction mechanism in slow and fluent readers after suboptimal
landing positions.
AB - The present eye movements study investigated the optimal viewing position (OVP)
and inverted-optimal viewing position (I-OVP) effects in slow readers. The basis
of these effects is a phenomenon called corrective re-fixations, which describes
a short saccade from a suboptimal landing position (word beginning or end) to the
center of the word. The present study found corrective re-fixations in slow
readers, which was evident from the I-OVP effects in first fixation durations,
the OVP effect in number of fixations and the OVP effect in re-fixation
probability. The main result is that slow readers, despite being characterized by
a fragmented eye movement pattern during reading, nevertheless share an intact
mechanism for performing corrective re-fixations. This correction mechanism is
not linked to linguistic processing, but to visual and oculomotor processes,
which suggests the integrity of oculomotor and visual processes in slow readers.
PMID- 24917802
TI - The locus of impairment in English developmental letter position dyslexia.
AB - Many children with reading difficulties display phonological deficits and
struggle to acquire non-lexical reading skills. However, not all children with
reading difficulties have these problems, such as children with selective letter
position dyslexia (LPD), who make excessive migration errors (such as reading
slime as "smile"). Previous research has explored three possible loci for the
deficit - the phonological output buffer, the orthographic input lexicon, and the
orthographic-visual analysis stage of reading. While there is compelling evidence
against a phonological output buffer and orthographic input lexicon deficit
account of English LPD, the evidence in support of an orthographic-visual
analysis deficit is currently limited. In this multiple single-case study with
three English-speaking children with developmental LPD, we aimed to both
replicate and extend previous findings regarding the locus of impairment in
English LPD. First, we ruled out a phonological output buffer and an orthographic
input lexicon deficit by administering tasks that directly assess phonological
processing and lexical guessing. We then went on to directly assess whether or
not children with LPD have an orthographic-visual analysis deficit by modifying
two tasks that have previously been used to localize processing at this level: a
same-different decision task and a non-word reading task. The results from these
tasks indicate that LPD is most likely caused by a deficit specific to the coding
of letter positions at the orthographic-visual analysis stage of reading. These
findings provide further evidence for the heterogeneity of dyslexia and its
underlying causes.
PMID- 24917803
TI - Hand specific representations in language comprehension.
AB - Theories of embodied cognition argue that language comprehension involves sensory
motor re-enactments of the actions described. However, the degree of specificity
of these re-enactments as well as the relationship between action and language
remains a matter of debate. Here we investigate these issues by examining how
hand-specific information (left or right hand) is recruited in language
comprehension and action execution. An fMRI study tested self-reported right
handed participants in two separate tasks that were designed to be as similar as
possible to increase sensitivity of the comparison across task: an action
execution go/no-go task where participants performed right or left hand actions,
and a language task where participants read sentences describing the same left or
right handed actions as in the execution task. We found that language-induced
activity did not match the hand-specific patterns of activity found for action
execution in primary somatosensory and motor cortex, but it overlapped with pre
motor and parietal regions associated with action planning. Within these pre
motor regions, both right hand actions and sentences elicited stronger activity
than left hand actions and sentences-a dominant hand effect. Importantly, both
dorsal and ventral sections of the left pre-central gyrus were recruited by both
tasks, suggesting different action features being recruited. These results
suggest that (a) language comprehension elicits motor representations that are
hand-specific and akin to multimodal action plans, rather than full action re
enactments; and (b) language comprehension and action execution share schematic
hand-specific representations that are richer for the dominant hand, and thus
linked to previous motor experience.
PMID- 24917804
TI - Pervasive brain monitoring and data sharing based on multi-tier distributed
computing and linked data technology.
AB - EEG-based Brain-computer interfaces (BCI) are facing basic challenges in real
world applications. The technical difficulties in developing truly wearable BCI
systems that are capable of making reliable real-time prediction of users'
cognitive states in dynamic real-life situations may seem almost insurmountable
at times. Fortunately, recent advances in miniature sensors, wireless
communication and distributed computing technologies offered promising ways to
bridge these chasms. In this paper, we report an attempt to develop a pervasive
on-line EEG-BCI system using state-of-art technologies including multi-tier Fog
and Cloud Computing, semantic Linked Data search, and adaptive
prediction/classification models. To verify our approach, we implement a pilot
system by employing wireless dry-electrode EEG headsets and MEMS motion sensors
as the front-end devices, Android mobile phones as the personal user interfaces,
compact personal computers as the near-end Fog Servers and the computer clusters
hosted by the Taiwan National Center for High-performance Computing (NCHC) as the
far-end Cloud Servers. We succeeded in conducting synchronous multi-modal global
data streaming in March and then running a multi-player on-line EEG-BCI game in
September, 2013. We are currently working with the ARL Translational Neuroscience
Branch to use our system in real-life personal stress monitoring and the UCSD
Movement Disorder Center to conduct in-home Parkinson's disease patient
monitoring experiments. We shall proceed to develop the necessary BCI ontology
and introduce automatic semantic annotation and progressive model refinement
capability to our system.
PMID- 24917805
TI - Saccade-vergence properties remain more stable over short-time repetition under
overlap than under gap task: a preliminary study.
AB - Under natural circumstances, saccade-vergence eye movements are among the most
frequently occurring. This study examines the properties of such movements
focusing on short-term repetition effects. Are such movements robust over time or
are they subject to tiredness? 12 healthy adults performed convergent and
divergent combined eye movements either in a gap task (i.e., 200 ms between the
end of the fixation stimulus and the beginning of the target stimulus) or in an
overlap task (i.e., the peripheral target begins 200 ms before the end of the
fixation stimulus). Latencies were shorter in the gap task than in the overlap
task for both saccade and vergence components. Repetition had no effect on
latency, which is a novel result. In both tasks, saccades were initiated later
and executed faster (mean and peak velocities) than the vergence component. The
mean and peak velocities of both components decreased over trials in the gap task
but remained constant in the overlap task. This result is also novel and has some
clinical implications. Another novel result concerns the accuracy of the saccade
component that was better in the gap than in the overlap task. The accuracy also
decreased over trials in the gap task but remained constant in the overlap task.
The major result of this study is that under a controlled mode of initiation
(overlap task) properties of combined eye movements are more stable than under
automatic triggering (gap task). These results are discussed in terms of saccade
vergence interactions, convergence-divergence specificities and repetition versus
adaptation protocols.
PMID- 24917806
TI - The neural underpinnings of vicarious experience.
PMID- 24917807
TI - Creativity, brain, and art: biological and neurological considerations.
AB - Creativity is commonly thought of as a positive advance for society that
transcends the status quo knowledge. Humans display an inordinate capacity for it
in a broad range of activities, with art being only one. Most work on
creativity's neural substrates measures general creativity, and that is done with
laboratory tasks, whereas specific creativity in art is gleaned from acquired
brain damage, largely in observing established visual artists, and some in visual
de novo artists (became artists after the damage). The verb "to create" has been
erroneously equated with creativity; creativity, in the classic sense, does not
appear to be enhanced following brain damage, regardless of etiology. The turning
to communication through art in lieu of language deficits reflects a biological
survival strategy. Creativity in art, and in other domains, is most likely
dependent on intact and healthy knowledge and semantic conceptual systems, which
are represented in several pathways in the cortex. It is adversely affected when
these systems are dysfunctional, for congenital reasons (savant autism) or
because of acquired brain damage (stroke, dementia, Parkinson's), whereas
inherent artistic talent and skill appear less affected. Clues to the neural
substrates of general creativity and specific art creativity can be gleaned from
considering that art is produced spontaneously mainly by humans, that there are
unique neuroanatomical and neurofunctional organizations in the human brain, and
that there are biological antecedents of innovation in animals.
PMID- 24917808
TI - Enhancing aesthetic appreciation by priming canvases with actions that match the
artist's painting style.
AB - The creation of an artwork requires motor activity. To what extent is art
appreciation divorced from that activity and to what extent is it linked to it?
That is the question which we set out to answer. We presented participants with
pointillist-style paintings featuring discernible brushstrokes and asked them to
rate their liking of each canvas when it was preceded by images priming a motor
act either compatible or incompatible with the simulation of the artist's
movements. We show that action priming, when congruent with the artist's painting
style, enhanced aesthetic preference. These results support the hypothesis that
involuntary covert painting simulation contributes to aesthetic appreciation
during passive observation of artwork.
PMID- 24917809
TI - Long-term memory: scaling of information to brain size.
PMID- 24917810
TI - Induction of plasticity in the human motor cortex by pairing an auditory stimulus
with TMS.
AB - Acoustic stimuli can cause a transient increase in the excitability of the motor
cortex. The current study leverages this phenomenon to develop a method for
testing the integrity of auditorimotor integration and the capacity for
auditorimotor plasticity. We demonstrate that appropriately timed transcranial
magnetic stimulation (TMS) of the hand area, paired with auditorily mediated
excitation of the motor cortex, induces an enhancement of motor cortex
excitability that lasts beyond the time of stimulation. This result demonstrates
for the first time that paired associative stimulation (PAS)-induced plasticity
within the motor cortex is applicable with auditory stimuli. We propose that the
method developed here might provide a useful tool for future studies that measure
auditory-motor connectivity in communication disorders.
PMID- 24917811
TI - Neurobiological, cognitive, and emotional mechanisms in melodic intonation
therapy.
AB - Singing has been used in language rehabilitation for decades, yet controversy
remains over its effectiveness and mechanisms of action. Melodic Intonation
Therapy (MIT) is the most well-known singing-based therapy; however, speculation
surrounds when and how it might improve outcomes in aphasia and other language
disorders. While positive treatment effects have been variously attributed to
different MIT components, including melody, rhythm, hand-tapping, and the choral
nature of the singing, there is uncertainty about the components that are truly
necessary and beneficial. Moreover, the mechanisms by which the components
operate are not well understood. Within the literature to date, proposed
mechanisms can be broadly grouped into four categories: (1) neuroplastic
reorganization of language function, (2) activation of the mirror neuron system
and multimodal integration, (3) utilization of shared or specific features of
music and language, and (4) motivation and mood. In this paper, we review
available evidence for each mechanism and propose that these mechanisms are not
mutually exclusive, but rather represent different levels of explanation,
reflecting the neurobiological, cognitive, and emotional effects of MIT. Thus,
instead of competing, each of these mechanisms may contribute to language
rehabilitation, with a better understanding of their relative roles and
interactions allowing the design of protocols that maximize the effectiveness of
singing therapy for aphasia.
PMID- 24917812
TI - Population coding in mouse visual cortex: response reliability and dissociability
of stimulus tuning and noise correlation.
AB - The primary visual cortex is an excellent model system for investigating how
neuronal populations encode information, because of well-documented relationships
between stimulus characteristics and neuronal activation patterns. We used two
photon calcium imaging data to relate the performance of different methods for
studying population coding (population vectors, template matching, and Bayesian
decoding algorithms) to their underlying assumptions. We show that the
variability of neuronal responses may hamper the decoding of population activity,
and that a normalization to correct for this variability may be of critical
importance for correct decoding of population activity. Second, by comparing
noise correlations and stimulus tuning we find that these properties have
dissociated anatomical correlates, even though noise correlations have been
previously hypothesized to reflect common synaptic input. We hypothesize that
noise correlations arise from large non-specific increases in spiking activity
acting on many weak synapses simultaneously, while neuronal stimulus response
properties are dependent on more reliable connections. Finally, this paper
provides practical guidelines for further research on population coding and shows
that population coding cannot be approximated by a simple summation of inputs,
but is heavily influenced by factors such as input reliability and noise
correlation structure.
PMID- 24917813
TI - Predictable internal brain dynamics in EEG and its relation to conscious states.
AB - Consciousness is a complex and multi-faceted phenomenon defying scientific
explanation. Part of the reason why this is the case is due to its subjective
nature. In our previous computational experiments, to avoid such a subjective
trap, we took a strategy to investigate objective necessary conditions of
consciousness. Our basic hypothesis was that predictive internal dynamics serves
as such a condition. This is in line with theories of consciousness that treat
retention (memory), protention (anticipation), and primary impression as the
tripartite temporal structure of consciousness. To test our hypothesis, we
analyzed publicly available sleep and awake electroencephalogram (EEG) data. Our
results show that EEG signals from awake or rapid eye movement (REM) sleep states
have more predictable dynamics compared to those from slow-wave sleep (SWS).
Since awakeness and REM sleep are associated with conscious states and SWS with
unconscious or less consciousness states, these results support our hypothesis.
The results suggest an intricate relationship among prediction, consciousness,
and time, with potential applications to time perception and neurorobotics.
PMID- 24917815
TI - Increased risk of ischemic stroke in patients with benign paroxysmal positional
vertigo: a 9-year follow-up nationwide population study in taiwan.
AB - Benign paroxysmal positional vertigo (BPPV) is a common form of vertigo and is
characterized by episodic dizziness related to changes in head position relative
to gravity. BPPV symptoms can be similar to those of central nervous system
vascular diseases. The association between BPPV and ischemic stroke has not yet
been investigated. The study cohort consisted of patients who were diagnosed with
BPPV at least twice in the previous year as an outpatient or for whom BPPV was
the primary diagnosis as an inpatient (n = 4104). An age- and gender-matched
sample that excluded patients with a diagnosis of any form of vertigo was
selected as the comparison cohort (n = 8397). All cases were followed up from
January 1, 2000, to December 31, 2008. The demographic characteristics, medical
comorbidities, and use of medications in both groups were investigated using chi
square tests. A stratified analysis of stroke risk factors was performed to
determine the hazard ratios of BPPV. During the 9-year follow-up period, 185 of
the 4104 (4.5%) subjects with BPPV and 240 of the 8379 (2.9%) subjects without
BPPV developed ischemic strokes. The crude hazard ratio of BPPV for developing
ischemic strokes was 1.708. After adjusting for stroke risk factors, the risk of
developing ischemic strokes in BPPV subjects was 1.415-fold higher than the risk
among those without BPPV (confidence interval: 1.162-1.732, p = 0.001). After a
subgroup analysis stratified according to stroke risk factors, BPPV remained
independently associated with a higher risk of developing future ischemic stroke.
We conclude that BPPV is independently associated with a risk of subsequent
ischemic stroke. More aggressive control of modifiable risk factors for ischemic
strokes should be conducted in patients with BPPV.
PMID- 24917814
TI - SIRT1 ameliorates age-related senescence of mesenchymal stem cells via modulating
telomere shelterin.
AB - Mesenchymal stem cells (MSCs) senescence is an age-related process that impairs
the capacity for tissue repair and compromises the clinical use of autologous
MSCs for tissue regeneration. Here, we describe the effects of SIRT1, a NAD(+)
dependent deacetylase, on age-related MSCs senescence. Knockdown of SIRT1 in
young MSCs induced cellular senescence and inhibited cell proliferation whereas
overexpression of SIRT1 in aged MSCs reversed the senescence phenotype and
stimulated cell proliferation. These results suggest that SIRT1 plays a key role
in modulating age-induced MSCs senescence. Aging-related proteins, P16 and P21
may be downstream effectors of the SIRT1-mediated anti-aging effects. SIRT1
protected MSCs from age-related DNA damage, induced telomerase reverse
transcriptase (TERT) expression and enhanced telomerase activity but did not
affect telomere length. SIRT1 positively regulated the expression of tripeptidyl
peptidase 1 (TPP1), a component of the shelterin pathway that protects chromosome
ends from DNA damage. Together, the results demonstrate that SIRT1 quenches age
related MSCs senescence by mechanisms that include enhanced TPP1 expression,
increased telomerase activity and reduced DNA damage.
PMID- 24917816
TI - New pathobiochemical insights into dystrophinopathy from the proteomics of
senescent mdx mouse muscle.
AB - Primary abnormalities in the dystrophin gene cause X-linked muscular dystrophy, a
highly progressive muscle wasting disorder of childhood. A spontaneous animal
model of Duchenne muscular dystrophy is the mdx mouse, which presents a highly
interesting phenotype that exhibits considerable variations in the degree of
fiber degeneration in different subtypes of muscles. The idea that aging
exacerbates the dystrophic mdx phenotype, as previously indicated by a large
number of biochemical and cell biological studies, was clearly confirmed by
comparative muscle proteomics. Here we outline recent findings of age-dependent
changes in the dystrophin-deficient muscle proteome and contrast these results
with the previously established proteomic profile of sarcopenic muscle. Besides
comparable perturbations of various biochemical functions, especially striking
are similarities in the cellular stress response associated with a drastic up
regulation of small alphaB-crystallin-like heat shock proteins. Hence, the
comparison of large-scale proteomic data sets of natural muscle aging with
dystrophic sarcopenia promises to shed light on the differential effect of
sarcopenia of old age vs. senescent abnormalities on a mutant dystrophic
background.
PMID- 24917817
TI - Etanercept induces remission of polyarteritis nodosa: a case report.
PMID- 24917818
TI - The role of perioperative sodium bicarbonate infusion affecting renal function
after cardiothoracic surgery.
AB - Cardiac surgery associated acute kidney injury (CSA-AKI) is associated with poor
outcomes including increased mortality, length of hospital stay (LOS) and cost.
The incidence of acute kidney injury (AKI) is reported to be between 3 and 30%
depending on the definition of AKI. We designed a multicenter randomized
controlled trial to test our hypothesis that a perioperative infusion of sodium
bicarbonate (SB) during cardiac surgery will attenuate the post-operative rise in
creatinine indicating renal injury when compared to a perioperative infusion with
normal saline. An interim analysis was performed after data was available on the
first 120 participants. A similar number of patients in the two treatment groups
developed AKI, defined as an increase in serum creatinine the first 48 h after
surgery of 0.3 mg/dl or more. Specifically 14 patients (24%) who received sodium
chloride (SC) and 17 patients (27%) who received SB were observed to develop AKI
post-surgery, resulting in a relative risk of AKI of 1.1 (95% CI: 0.6-2.1, chi
square p-value = 0.68) for patients receiving SB compared to those who received
SC. The data safety monitoring board for the trial recommended closing the study
early as there was only a 12% probability that the null hypothesis would be
rejected. We therefore concluded that a perioperative infusion of SB failed to
attenuate the risk of CSA-AKI.
PMID- 24917819
TI - Dysregulation of cellular iron metabolism in Friedreich ataxia: from primary iron
sulfur cluster deficit to mitochondrial iron accumulation.
AB - Friedreich ataxia (FRDA) is the most common recessive ataxia in the Caucasian
population and is characterized by a mixed spinocerebellar and sensory ataxia
frequently associating cardiomyopathy. The disease results from decreased
expression of the FXN gene coding for the mitochondrial protein frataxin. Early
histological and biochemical study of the pathophysiology in patient's samples
revealed that dysregulation of iron metabolism is a key feature of the disease,
mainly characterized by mitochondrial iron accumulation and by decreased activity
of iron-sulfur cluster enzymes. In the recent past years, considerable progress
in understanding the function of frataxin has been provided through cellular and
biochemical approaches, pointing to the primary role of frataxin in iron-sulfur
cluster biogenesis. However, why and how the impact of frataxin deficiency on
this essential biosynthetic pathway leads to mitochondrial iron accumulation is
still poorly understood. Herein, we review data on both the primary function of
frataxin and the nature of the iron metabolism dysregulation in FRDA. To date,
the pathophysiological implication of the mitochondrial iron overload in FRDA
remains to be clarified.
PMID- 24917820
TI - Integrins and cadherins as therapeutic targets in fibrosis.
AB - Fibrosis is the excessive deposition of extracellular matrix proteins into
tissues leading to scar formation, disruption of normal tissue architecture and
organ failure. Despite the large clinical impact of fibrosis, treatment options
are limited. Adhesion molecules, in particular alphavbeta6 and alpha3beta1
integrins and cadherin-11, have been demonstrated to be important mediators of
tissue fibrosis. These data are reviewed here and provide the foundation for
these molecules to be potential therapeutic targets for patients with fibrotic
diseases.
PMID- 24917821
TI - Tumor suppression in skin and other tissues via cross-talk between vitamin D- and
p53-signaling.
AB - P53 and its family members have been implicated in the direct regulation of the
vitamin D receptor (VDR). Vitamin D- and p53-signaling pathways have a
significant impact on spontaneous or carcinogen-induced malignant transformation
of cells, with VDR and p53 representing important tumor suppressors. VDR and the
p53/p63/p73 proteins all function typically as receptors or sensors that turn
into transcriptional regulators upon stimulus, with the main difference being
that the nuclear VDR is activated as a transcription factor after binding its
naturally occurring ligand 1,25-dihydroxyvitamin D with high affinity while the
p53 family of transcription factors, mostly in the nucleoplasm, responds to a
large number of alterations in cell homeostasis commonly referred to as stress.
An increasing body of evidence now convincingly demonstrates a cross-talk between
vitamin D- and p53-signaling that occurs at different levels, has genome-wide
implications and that should be of high importance for many malignancies,
including non-melanoma skin cancer. One interaction involves the ability of p53
to increase skin pigmentation via POMC derivatives including alpha-MSH and ACTH.
Pigmentation protects the skin against UV-induced DNA damage and skin
carcinogenesis, yet on the other hand reduces cutaneous synthesis of vitamin D. A
second level of interaction may be through the ability of 1,25-dihydroxyvitamin D
to increase the survival of skin cells after UV irradiation. UV irradiation
surviving cells show significant reductions in thymine dimers in the presence of
1,25-dihydroxyvitamin D that are associated with increased nuclear p53 protein
expression, and significantly reduced NO products. A third level of interaction
is documented by the ability of vitamin D compounds to regulate the expression of
the murine double minute 2 (MDM2) gene in dependence of the presence of wild-type
p53. MDM2 has a well-established role as a key negative regulator of p53
activity. Finally, p53 and family members have been implicated in the direct
regulation of VDR. This overview summarizes some of the implications of the cross
talk between vitamin D- and p53-signaling for carcinogenesis in the skin and
other tissues.
PMID- 24917822
TI - The buffer capacity of airway epithelial secretions.
AB - The pH of airway epithelial secretions influences bacterial killing and mucus
properties and is reduced by acidic pollutants, gastric reflux, and respiratory
diseases such as cystic fibrosis (CF). The effect of acute acid loads depends on
buffer capacity, however the buffering of airway secretions has not been well
characterized. In this work we develop a method for titrating micro-scale (30
MUl) volumes and use it to study fluid secreted by the human airway epithelial
cell line Calu-3, a widely used model for submucosal gland serous cells.
Microtitration curves revealed that HCO(-) 3 is the major buffer. Peak buffer
capacity (beta) increased from 17 to 28 mM/pH during forskolin stimulation, and
was reduced by >50% in fluid secreted by cystic fibrosis transmembrane
conductance regulator (CFTR)-deficient Calu-3 monolayers, confirming an important
role of CFTR in HCO(-) 3 secretion. Back-titration with NaOH revealed non
volatile buffer capacity due to proteins synthesized and released by the
epithelial cells. Lysozyme and mucin concentrations were too low to buffer Calu-3
fluid significantly, however model titrations of porcine gastric mucins at
concentrations near the sol-gel transition suggest that mucins may contribute to
the buffer capacity of ASL in vivo. We conclude that CFTR-dependent HCO(-) 3
secretion and epithelially-derived proteins are the predominant buffers in Calu-3
secretions.
PMID- 24917823
TI - Effect of contraction intensity on sympathetic nerve activity to active human
skeletal muscle.
AB - The effect of contraction intensity on muscle sympathetic nerve activity (MSNA)
to active human limbs has not been established. To address this, MSNA was
recorded from the left peroneal nerve during and after dorsiflexion contractions
sustained for 2 min by the left leg at ~10, 25, and 40% MVC. To explore the
involvement of the muscle metaboreflex, limb ischemia was imposed midway during
three additional contractions and maintained during recovery. Compared with total
MSNA at rest (11.5 +/- 4.1 mv(.)min(-1)), MSNA in the active leg increased
significantly at the low (21.9 +/- 13.6 mv(.)min(-1)), medium (30.5 +/- 20.8
mv(.)min(-1)), and high (50.0 +/- 24.5 mv(.)min(-1)) intensities. This intensity
dependent effect was more strongly associated with increases in MSNA burst
amplitude than burst frequency. Total MSNA then returned to resting levels within
the first minute of recovery. Limb ischemia had no significant influence on the
intensity-dependent rise in MSNA or its decline during recovery in the active
leg. These findings reveal intensity-dependent increases in total MSNA and burst
amplitude to contracting human skeletal muscle that do not appear to involve the
muscle metaboreflex.
PMID- 24917824
TI - The effect of a peer on VO2 and game choice in 6-10 year old children.
AB - Relative to sedentary video games (e.g., Playstation 2(r)), playing physically
active video games (e.g., Nintendo Wii Sports Boxing(r)) significantly increases
caloric expenditure in children. Studies have demonstrated that the presence of a
peer increases physical activity in children. We sought to determine if children
would expend more energy and find playing the "exergame" (Wii) more motivating
than the sedentary video game (Playstation 2) when with a peer. Seventeen
children (age 8.5 +/- 0.4 years) rested, played the sedentary video game and
"exergame" for 10 min each, in two conditions: one in which the children
rested/played the games alone (alone condition) and another in which they played
with a peer (peer condition). Oxygen consumption (VO2), and liking (visual analog
scale) was assessed for each 10-min condition. After three 10-min resting/gaming
conditions, motivation was assessed using a relative reinforcing value task in
which children performed computer mouse presses to gain additional access for
either the sedentary video game or "exergame." VO2 was greater (p < 0.001) during
"exergame" play (mean = 12.17 +/- 4.1 ml.kg(-1).min(-1)) vs. rest (mean = 5.14 +/
1.46 ml.kg(-1).min(-1)) and the sedentary video game (mean = 5.83 +/- 2.1 ml.kg(
1).min(-1)). During the peer condition, there were no significant differences (p
> 0.05) in VO2 relative to the alone condition. In an exploratory analysis boys
exhibited a greater (p = 0.02) increase in VO2 from rest to "exergame" (Delta 9.0
+/- 3.7 ml.kg(-1).min(-1)), relative to girls (Delta 4.9 +/- 2.9 ml.kg(-1).min(
1)). Boys showed a significantly greater increase (p = 0.05) in VO2 from the
resting condition to "exergame" in the presence of a peer (Delta 11.1 +/- 5.3
ml.kg(-1).min(-1)) vs. the alone condition (Delta 6.8 +/- 3.1 ml.kg(-1) .min(
1)). Liking was significantly (p < 0.001) greater for "exergame" (7.7 +/- 1.9 cm)
and the sedentary video game (8.3 +/- 1.3 cm) relative to rest (4.0 +/- 2.8 cm).
Motivation for "exergame" significantly decreased (p = 0.03) from alone (340.8 +/
106.8 presses) to the peer condition (147.8 +/- 81.6 presses). CONCLUSION: VO2
was greater during "exergame" play relative to the sedentary video game. The
presence of a peer did not increase VO2 during "exergame" play. Surprisingly, the
presence of a peer decreased children's motivation to play "exergame" vs. the
sedentary video game.
PMID- 24917825
TI - Effect of heart rate correction on pre- and post-exercise heart rate variability
to predict risk of mortality-an experimental study on the FINCAVAS cohort.
AB - The non-linear inverse relationship between RR-intervals and heart rate (HR)
contributes significantly to the heart rate variability (HRV) parameters and
their performance in mortality prediction. To determine the level of influence HR
exerts over HRV parameters' prognostic power, we studied the predictive
performance for different HR levels by applying eight correction procedures,
multiplying or dividing HRV parameters by the mean RR-interval (RRavg) to the
power 0.5-16. Data collected from 1288 patients in The Finnish Cardiovascular
Study (FINCAVAS), who satisfied the inclusion criteria, was used for the
analyses. HRV parameters (RMSSD, VLF Power and LF Power) were calculated from 2
min segment in the rest phase before exercise and 2-min recovery period
immediately after peak exercise. Area under the receiver operating characteristic
curve (AUC) was used to determine the predictive performance for each parameter
with and without HR corrections in rest and recovery phases. The division of HRV
parameters by segment's RRavg to the power 2 (HRVDIV-2) showed the highest
predictive performance under the rest phase (RMSSD: 0.67/0.66; VLF Power:
0.70/0.62; LF Power: 0.79/0.65; cardiac mortality/non-cardiac mortality) with
minimum correlation to HR (r = -0.15 to 0.15). In the recovery phase, Kaplan
Meier (KM) survival analysis revealed good risk stratification capacity at HRVDIV
2 in both groups (cardiac and non-cardiac mortality). Although higher powers of
correction (HRVDIV-4and HRVDIV-8) improved predictive performance during
recovery, they induced an increased positive correlation to HR. Thus, we inferred
that predictive capacity of HRV during rest and recovery is augmented when its
dependence on HR is weakened by applying appropriate correction procedures.
PMID- 24917827
TI - A multistep procedure to prepare pre-vascularized cardiac tissue constructs using
adult stem sells, dynamic cell cultures, and porous scaffolds.
AB - The vascularization of tissue engineered products represents a key issue in
regenerative medicine which needs to be addressed before the translation of these
protocols to the bedside can be foreseen. Here we propose a multistep procedure
to prepare pre-vascularized three-dimensional (3D) cardiac bio-substitutes using
dynamic cell cultures and highly porous biocompatible gelatin scaffolds. The
strategy adopted exploits the peculiar differentiation potential of two distinct
subsets of adult stem cells to obtain human vascularized 3D cardiac tissues. In
the first step of the procedure, human mesenchymal stem cells (hMSCs) are seeded
onto gelatin scaffolds to provide interconnected vessel-like structures, while
human cardiomyocyte progenitor cells (hCMPCs) are stimulated in vitro to obtain
their commitment toward the cardiac phenotype. The use of a modular bioreactor
allows the perfusion of the whole scaffold, providing superior performance in
terms of cardiac tissue maturation and cell survival. Both the cell culture on
natural-derived polymers and the continuous medium perfusion of the scaffold led
to the formation of a densely packaged proto-tissue composed of vascular-like and
cardiac-like cells, which might complete maturation process and interconnect with
native tissue upon in vivo implantation. In conclusion, the data obtained through
the approach here proposed highlight the importance to provide stem cells with
complementary signals in vitro able to resemble the complexity of cardiac
microenvironment.
PMID- 24917829
TI - Genetic modification of the effects of exercise behavior on mental health.
PMID- 24917828
TI - Caloric vestibular stimulation as a treatment for conversion disorder: a case
report and medical hypothesis.
AB - Conversion disorder is a medical condition in which a person has paralysis,
blindness, or other neurological symptoms that cannot be clearly explained
physiologically. To date, there is neither specific nor conclusive treatment. In
this paper, we draw together a number of disparate pieces of knowledge to propose
a novel intervention to provide transient alleviation for this condition. As
caloric vestibular stimulation has been demonstrated to modulate a variety of
cognitive functions associated with brain activations, especially in the temporal
parietal cortex, anterior cingulate cortex, and insular cortex, there is evidence
to assume an effect in specific mental disorders. Therefore, we go on to
hypothesize that lateralized cold vestibular caloric stimulation will be
effective in treating conversion disorder and we present provisional evidence
from one patient that supports this conclusion. If our hypothesis is correct,
this will be the first time in psychiatry and neurology that a clinically well
known mental disorder, long considered difficult to understand and to treat, is
relieved by a simple or common, non-invasive medical procedure.
PMID- 24917826
TI - Structure-function of proteins interacting with the alpha1 pore-forming subunit
of high-voltage-activated calcium channels.
AB - Openings of high-voltage-activated (HVA) calcium channels lead to a transient
increase in calcium concentration that in turn activate a plethora of cellular
functions, including muscle contraction, secretion and gene transcription. To
coordinate all these responses calcium channels form supramolecular assemblies
containing effectors and regulatory proteins that couple calcium influx to the
downstream signal cascades and to feedback elements. According to the original
biochemical characterization of skeletal muscle Dihydropyridine receptors, HVA
calcium channels are multi-subunit protein complexes consisting of a pore-forming
subunit (alpha1) associated with four additional polypeptide chains beta, alpha2,
delta, and gamma, often referred to as accessory subunits. Twenty-five years
after the first purification of a high-voltage calcium channel, the concept of a
flexible stoichiometry to expand the repertoire of mechanisms that regulate
calcium channel influx has emerged. Several other proteins have been identified
that associate directly with the alpha1-subunit, including calmodulin and
multiple members of the small and large GTPase family. Some of these proteins
only interact with a subset of alpha1-subunits and during specific stages of
biogenesis. More strikingly, most of the alpha1-subunit interacting proteins,
such as the beta-subunit and small GTPases, regulate both gating and trafficking
through a variety of mechanisms. Modulation of channel activity covers almost all
biophysical properties of the channel. Likewise, regulation of the number of
channels in the plasma membrane is performed by altering the release of the
alpha1-subunit from the endoplasmic reticulum, by reducing its degradation or
enhancing its recycling back to the cell surface. In this review, we discuss the
structural basis, interplay and functional role of selected proteins that
interact with the central pore-forming subunit of HVA calcium channels.
PMID- 24917830
TI - Speech is not special... again.
PMID- 24917832
TI - Embodied mental rotation: a special link between egocentric transformation and
the bodily self.
AB - This experiment investigated the influence of motor expertise on object-based
versus egocentric transformations in a chronometric mental rotation task using
images of either the own or another person's body as stimulus material. According
to the embodied cognition viewpoint, we hypothesized motor-experts to outperform
non-motor experts specifically in the egocentric condition because of higher
kinesthetic representation and motor simulations compared to object-based
transformations. In line with this, we expected that images of the own body are
solved faster than another person's body stimuli. Results showed a benefit of
motor expertise and representations of another person's body, but only for the
object-based transformation task. That is, this other-advantage diminishes in
egocentric transformations. Since motor experts did not show any specific
expertise in rotational movements, we concluded that using human bodies as
stimulus material elicits embodied spatial transformations, which facilitates
performance exclusively for egocentric transformations. Regarding stimulus
material, the other-advantage ascribed to increased self-awareness-consciousness
distracting attention-demanding resources, disappeared in the egocentric
condition. This result may be due to the stronger link between the bodily self
and motor representations compared to that emerging in object-based
transformations.
PMID- 24917833
TI - Functional connectivity supporting the selective maintenance of feature-location
binding in visual working memory.
AB - Information on an object's features bound to its location is very important for
maintaining object representations in visual working memory. Interactions with
dynamic multi-dimensional objects in an external environment require complex
cognitive control, including the selective maintenance of feature-location
binding. Here, we used event-related functional magnetic resonance imaging to
investigate brain activity and functional connectivity related to the maintenance
of complex feature-location binding. Participants were required to detect task
relevant changes in feature-location binding between objects defined by color,
orientation, and location. We compared a complex binding task requiring complex
feature-location binding (color-orientation-location) with a simple binding task
in which simple feature-location binding, such as color-location, was task
relevant and the other feature was task-irrelevant. Univariate analyses showed
that the dorsolateral prefrontal cortex (DLPFC), hippocampus, and frontoparietal
network were activated during the maintenance of complex feature-location
binding. Functional connectivity analyses indicated cooperation between the
inferior precentral sulcus (infPreCS), DLPFC, and hippocampus during the
maintenance of complex feature-location binding. In contrast, the connectivity
for the spatial updating of simple feature-location binding determined by
reanalyzing the data from Takahama et al. (2010) demonstrated that the superior
parietal lobule (SPL) cooperated with the DLPFC and hippocampus. These results
suggest that the connectivity for complex feature-location binding does not
simply reflect general memory load and that the DLPFC and hippocampus flexibly
modulate the dorsal frontoparietal network, depending on the task requirements,
with the infPreCS involved in the maintenance of complex feature-location binding
and the SPL involved in the spatial updating of simple feature-location binding.
PMID- 24917834
TI - Fast phonetic learning in very young infants: what it shows, and what it doesn't
show.
PMID- 24917831
TI - The perception of odor objects in everyday life: a review on the processing of
odor mixtures.
AB - Smelling monomolecular odors hardly ever occurs in everyday life, and the daily
functioning of the sense of smell relies primarily on the processing of complex
mixtures of volatiles that are present in the environment (e.g., emanating from
food or conspecifics). Such processing allows for the instantaneous recognition
and categorization of smells and also for the discrimination of odors among
others to extract relevant information and to adapt efficiently in different
contexts. The neurophysiological mechanisms underpinning this highly efficient
analysis of complex mixtures of odorants is beginning to be unraveled and support
the idea that olfaction, as vision and audition, relies on odor-objects encoding.
This configural processing of odor mixtures, which is empirically subject to
important applications in our societies (e.g., the art of perfumers, flavorists,
and wine makers), has been scientifically studied only during the last decades.
This processing depends on many individual factors, among which are the
developmental stage, lifestyle, physiological and mood state, and cognitive
skills; this processing also presents striking similarities between species. The
present review gathers the recent findings, as observed in animals, healthy
subjects, and/or individuals with affective disorders, supporting the perception
of complex odor stimuli as odor objects. It also discusses peripheral to central
processing, and cognitive and behavioral significance. Finally, this review
highlights that the study of odor mixtures is an original window allowing for the
investigation of daily olfaction and emphasizes the need for knowledge about the
underlying biological processes, which appear to be crucial for our
representation and adaptation to the chemical environment.
PMID- 24917835
TI - Impulsive action: emotional impulses and their control.
AB - This paper presents a novel theoretical view on impulsive action, integrating
thus far separate perspectives on non-reflective action, motivation, emotion
regulation, and impulse control. We frame impulsive action in terms of
directedness of the individual organism toward, away, or against other givens -
toward future states and away from one's present state. First, appraisal of a
perceived or thought-of event or object on occasion, rapidly and without
premonition or conscious deliberation, triggers a motive to modify one's relation
to that event or object. Situational specifics of the event as perceived and
appraised motivate and guide selection of readiness for a particular kind of
purposive action. Second, perception of complex situations can give rise to
multiple appraisals, multiple motives, and multiple simultaneous changes in
action readiness. Multiple states of action readiness may interact in generating
action, by reinforcing or attenuating each other, thereby yielding impulse
control. We show how emotion control can itself result from a motive state or
state of action readiness. Our view links impulsive action mechanistically to
states of action readiness, which is the central feature of what distinguishes
one kind of emotion from another. It thus provides a novel theoretical
perspective to the somewhat fragmented literature on impulsive action.
PMID- 24917836
TI - Self-locomotion and spatial language and spatial cognition: insights from typical
and atypical development.
AB - Various studies have shown that occurrence of locomotion in infancy is correlated
with the development of spatial cognitive competencies. Recent evidence suggests
that locomotor experience might also be important for the development of spatial
language. Together these findings suggest that locomotor experience might play a
crucial role in the development of linguistic-cognitive spatial skills. However,
some studies indicate that, despite their total deprivation of locomotor
experience, young children with spinal muscular atrophy (SMA) have the capacity
to acquire and use rich spatial representations including good spatial language.
Nonetheless, we have to be cautious about what the striking performances
displayed by SMA children can reveal on the link between motor and spatial
development, as the dynamics of brain development in atypically developing
children are different from typically developing children.
PMID- 24917837
TI - The emergence of embedded structure: insights from Kafr Qasem Sign Language.
AB - This paper introduces data from Kafr Qasem Sign Language (KQSL), an as-yet
undescribed sign language, and identifies the earliest indications of embedding
in this young language. Using semantic and prosodic criteria, we identify
predicates that form a constituent with a noun, functionally modifying it. We
analyze these structures as instances of embedded predicates, exhibiting what can
be regarded as very early stages in the development of subordinate constructions,
and argue that these structures may bear directly on questions about the
development of embedding and subordination in language in general. Deutscher
(2009) argues persuasively that nominalization of a verb is the first step-and
the crucial step-toward syntactic embedding. It has also been suggested that
prosodic marking may precede syntactic marking of embedding (Mithun, 2009).
However, the relevant data from the stage at which embedding first emerges have
not previously been available. KQSL might be the missing piece of the puzzle: a
language in which a noun can be modified by an additional predicate, forming a
proposition within a proposition, sustained entirely by prosodic means.
PMID- 24917838
TI - Processing of syllable stress is functionally different from phoneme processing
and does not profit from literacy acquisition.
AB - Speech is characterized by phonemes and prosody. Neurocognitive evidence supports
the separate processing of each type of information. Therefore, one might suggest
individual development of both pathways. In this study, we examine literacy
acquisition in middle childhood. Children become aware of the phonemes in speech
at that time and refine phoneme processing when they acquire an alphabetic
writing system. We test whether an enhanced sensitivity to phonemes in middle
childhood extends to other aspects of the speech signal, such as prosody. To
investigate prosodic processing, we used stress priming. Spoken stressed and
unstressed syllables (primes) preceded spoken German words with stress on the
first syllable (targets). We orthogonally varied stress overlap and phoneme
overlap between the primes and onsets of the targets. Lexical decisions and Event
Related Potentials (ERPs) for the targets were obtained for pre-reading
preschoolers, reading pupils and adults. The behavioral and ERP results were
largely comparable across all groups. The fastest responses were observed when
the first syllable of the target word shared stress and phonemes with the
preceding prime. ERP stress priming and ERP phoneme priming started 200 ms after
the target word onset. Bilateral ERP stress priming was characterized by enhanced
ERP amplitudes for stress overlap. Left-lateralized ERP phoneme priming
replicates previously observed reduced ERP amplitudes for phoneme overlap. Groups
differed in the strength of the behavioral phoneme priming and in the late ERP
phoneme priming effect. The present results show that enhanced phonological
processing in middle childhood is restricted to phonemes and does not extend to
prosody. These results are indicative of two parallel processing systems for
phonemes and prosody that might follow different developmental trajectories in
middle childhood as a function of alphabetic literacy.
PMID- 24917839
TI - Achieving external validity in home advantage research: generalizing crowd noise
effects.
AB - Different factors have been postulated to explain the home advantage phenomenon
in sport. One plausible explanation investigated has been the influence of a
partisan home crowd on sports officials' decisions. Different types of studies
have tested the crowd influence hypothesis including purposefully designed
experiments. However, while experimental studies investigating crowd influences
have high levels of internal validity, they suffer from a lack of external
validity; decision-making in a laboratory setting bearing little resemblance to
decision-making in live sports settings. This focused review initially considers
threats to external validity in applied and theoretical experimental research.
Discussing how such threats can be addressed using representative design by
focusing on a recently published study that arguably provides the first
experimental evidence of the impact of live crowd noise on officials in sport.
The findings of this controlled experiment conducted in a real tournament setting
offer a level of confirmation of the findings of laboratory studies in the area.
Finally directions for future research and the future conduct of crowd noise
studies are discussed.
PMID- 24917841
TI - Modeling a role of field of view in the extraction of geometric cues during
reorientation.
PMID- 24917842
TI - For a science of layered mechanisms: beyond laws, statistics, and correlations.
AB - Two general claims are made in this work. First, we need several different layers
of "theory," in particular for understanding human behavior. These layers should
concern: the cognitive (mental) representations and mechanisms; the neural
underlying processes; the evolutionary history and adaptive functions of our
cognition and behaviors; the emergent and complex social structures and dynamics,
their relation and feedbacks on individual minds and behaviors, and the
relationship between internal regulating goals and the external functions/roles
of our conduct; the historical and cultural mechanisms shaping our minds and
behaviors; the developmental paths. Second, we do not just need "predictions" and
"laws" but also "explanations"; that is, we need to identify the mechanisms
producing (here-and-now, or diachronically) a given phenomenon. "Laws" are not
enough; they are simply descriptive and predictive; we need the "why" and "how."
Correlations are not enough (and they are frequently misleading). We need
computational models of the processes postulated in our theories.
PMID- 24917840
TI - Distinct cortical locations for integration of audiovisual speech and the McGurk
effect.
AB - Audiovisual (AV) speech integration is often studied using the McGurk effect,
where the combination of specific incongruent auditory and visual speech cues
produces the perception of a third illusory speech percept. Recently, several
studies have implicated the posterior superior temporal sulcus (pSTS) in the
McGurk effect; however, the exact roles of the pSTS and other brain areas in
"correcting" differing AV sensory inputs remain unclear. Using functional
magnetic resonance imaging (fMRI) in ten participants, we aimed to isolate brain
areas specifically involved in processing congruent AV speech and the McGurk
effect. Speech stimuli were composed of sounds and/or videos of consonant-vowel
tokens resulting in four stimulus classes: congruent AV speech (AVCong),
incongruent AV speech resulting in the McGurk effect (AVMcGurk), acoustic-only
speech (AO), and visual-only speech (VO). In group- and single-subject analyses,
left pSTS exhibited significantly greater fMRI signal for congruent AV speech
(i.e., AVCong trials) than for both AO and VO trials. Right superior temporal
gyrus, medial prefrontal cortex, and cerebellum were also identified. For McGurk
speech (i.e., AVMcGurk trials), two clusters in the left posterior superior
temporal gyrus (pSTG), just posterior to Heschl's gyrus or on its border,
exhibited greater fMRI signal than both AO and VO trials. We propose that while
some brain areas, such as left pSTS, may be more critical for the integration of
AV speech, other areas, such as left pSTG, may generate the "corrected" or merged
percept arising from conflicting auditory and visual cues (i.e., as in the McGurk
effect). These findings are consistent with the concept that posterior superior
temporal areas represent part of a "dorsal auditory stream," which is involved in
multisensory integration, sensorimotor control, and optimal state estimation
(Rauschecker and Scott, 2009).
PMID- 24917843
TI - Contingencies and attentional capture: the importance of matching stimulus
informativeness in the item-specific proportion congruent task.
PMID- 24917844
TI - Trait-based cue Utilization and initial skill acquisition: implications for
models of the progression to expertise.
AB - The primary aim of this study was to examine the role of cue utilization in the
initial acquisition of psycho-motor skills. Two experiments were undertaken, the
first of which examined the relationship between cue utilization typologies and
levels of accuracy following four simulated, power-off landing trials in a light
aircraft simulator. The results indicated that higher levels of cue utilization
were associated with a greater level of landing accuracy following training
exposure. In the second study, participants' levels of cue utilization were
assessed prior to two 15 min periods during which they practiced take-offs and
landings using a simulated unmanned aerial vehicle (UAV). Consistent with Study
1, the outcomes of Study 2 revealed a statistically significant relationship
among levels of cue utilization and the number of trials to criterion on the take
off task, and the proportion of successful trials during both take-off and
landing. In combination, the results suggest that the capacity for the
acquisition and the subsequent utilization of cues is an important predictor of
skill acquisition, particularly during the initial stages of the process. The
implications for theory and applied practice are discussed.
PMID- 24917845
TI - Heterogeneous timescales are spatially represented.
PMID- 24917846
TI - Brain stimulation for combating Alzheimer's disease.
PMID- 24917847
TI - The Correlation between Osteoporosis Occurrences in Both Schizophrenia and
Parkinson's Disease.
PMID- 24917849
TI - Chronic pain following physical and emotional trauma: the station nightclub fire.
AB - OBJECTIVE: The purpose of this study was to evaluate factors associated with
chronic pain in survivors of a large fire, including those with and without burn
injury. METHODS: This study employed a survey-based cross-sectional design to
evaluate data from survivors of The Station nightclub fire. The primary outcome
measure was the presence and severity of pain. Multiple linear regressions with a
stepwise approach were used to examine relationships among variables. Variables
considered included age, gender, marital status, burn injury, total body surface
area, skin graft, pre-morbid employment, time off work, return to same
employment, depression (Beck depression inventory, BDI), and post-traumatic
stress (impact of event scale - revised). RESULTS: Of 104 fire survivors, 27%
reported pain at least 28 months after the event. Multiple factors associated
with pain were assessed in the univariate analysis but only age (p = 0.012),
graft (p = 0.009), and BDI score (p < 0.001) were significantly associated with
pain in the multiple regression model. DISCUSSION: A significant number of fire
survivors with and without burn injuries experienced chronic pain. Depth of burn
and depression were significantly associated with pain outcome. Pain management
should address both physical and emotional risk factors in this population.
PMID- 24917850
TI - Monitoring of Cerebral Blood Flow and Metabolism Bedside in Patients with
Subarachnoid Hemorrhage - A Xenon-CT and Microdialysis Study.
AB - Cerebral ischemia is the leading cause of morbidity and mortality following
aneurysmal subarachnoid hemorrhage (SAH). Although 70% of the patients show
angiographic vasospasm only 30% develop symptomatic vasospasm defined as delayed
cerebral ischemia (DCI). Early detection and management of reversible ischemia is
of critical importance in patients with SAH. Using a bedside Xenon enhanced
computerized tomography (Xenon-CT) scanner makes it possible to measure
quantitative regional Cerebral blood flow (CBF) bedside in the neurointensive
care setting and intracerebral microdialysis (MD) is a method that offers the
possibility to monitor the metabolic state of the brain continuously. Here, we
present results from nine SAH patients with both MD monitoring and bedside Xenon
CT measurements. CBF measurements were performed within the first 72 h following
bleeding. Six out of nine patients developed DCI at a later stage. Five out of
six patients who developed DCI had initial global CBF below 26 ml/100 g/min
whereas one had 53 ml/100 g/min. The three patients who did not develop clinical
vasospasm all had initial global CBF above 27 ml/100 g/min. High lactate/pyruvate
(L/P) ratio was associated with lower CBF values in the area surrounding the
catheter. Five out of nine patients had L/P ratio >=25 and four of these patients
had CBF <= 22 ml/100 g/min. These preliminary results suggest that patients with
initially low global CBF on Xenon-CT may be more likely to develop DCI. Initially
low global CBF was accompanied with metabolic disturbances determined by the MD.
Most importantly, pathological findings on the Xenon-CT and MD could be observed
before any clinical signs of DCI. Combining bedside Xenon-CT and MD was found to
be useful and feasible. Further studies are needed to evaluate if DCI can be
detected before any other signs of DCI to prevent progress to infarction.
PMID- 24917851
TI - Therapeutic role and ligands of medium- to long-chain Fatty Acid receptors.
AB - Medium- and long-chain free fatty acids (FFAs) are energy source for whole body
and biological metabolites and components. In these decades, some research groups
have reported that the biological functions of medium- to long-chain FFAs are
exerted through G-protein coupled receptor designated free fatty acid receptor
(FFAR). As the medium- to long-chain FFAs-activated FFARs, FFA1 and FFA4 are
reported to be expressed widely in whole body and regulate various physiological
processes. FFA1 expressed in pancreatic beta-cells has been shown to be involved
in insulin secretion. FFA4 expressed in intestine, adipocytes, and macrophages
has been shown to be involved in incretin secretion, differentiation, and anti
inflammatory effect, respectively. These physiological functions have been
focused on the treatment of metabolic disorders. In addition, these receptors
have been also reported to be expressed in several other tissues such as
intestine for FFA1, and tongue and stomach for FFA4. The recent functional
studies indicated that they also contributed to energy homeostasis. Further, the
number of synthetic compounds of FFA1 and FFA4 strongly promoted the
physiological characterization of the receptors and their own therapeutic
utility. In this article, we will discuss the recent progress regarding the
therapeutic potential of these receptors and its ligands.
PMID- 24917848
TI - Mechanisms of body weight fluctuations in Parkinson's disease.
AB - Typical body weight changes are known to occur in Parkinson's disease (PD).
Weight loss has been reported in early stages as well as in advanced disease and
malnutrition may worsen the clinical state of the patient. On the other hand, an
increasing number of patients show weight gain under dopamine replacement therapy
or after surgery. These weight changes are multifactorial and involve changes in
energy expenditure, perturbation of homeostatic control, and eating behavior
modulated by dopaminergic treatment. Comprehension of the different mechanisms
contributing to body weight is a prerequisite for the management of body weight
and nutritional state of an individual PD patient. This review summarizes the
present knowledge and highlights the necessity of evaluation of body weight and
related factors, as eating behavior, energy intake, and expenditure in PD.
PMID- 24917852
TI - Teleost microbiomes: the state of the art in their characterization, manipulation
and importance in aquaculture and fisheries.
AB - Indigenous microbiota play a critical role in the lives of their vertebrate
hosts. In human and mouse models it is increasingly clear that innate and
adaptive immunity develop in close concert with the commensal microbiome.
Furthermore, several aspects of digestion and nutrient metabolism are governed by
intestinal microbiota. Research on teleosts has responded relatively slowly to
the introduction of massively parallel sequencing procedures in microbiomics.
Nonetheless, progress has been made in biotic and gnotobiotic zebrafish models,
defining a core microbiome and describing its role in development. However,
microbiome research in other teleost species, especially those important from an
aquaculture perspective, has been relatively slow. In this review, we examine
progress in teleost microbiome research to date. We discuss teleost microbiomes
in health and disease, microbiome ontogeny, prospects for successful microbiome
manipulation (especially in an aquaculture setting) and attempt to identify
important future research themes. We predict an explosion in research in this
sector in line with the increasing global demand for fish protein, and the need
to find sustainable approaches to improve aquaculture yield. The reduced cost and
increasing ease of next generation sequencing technologies provides the
technological backing, and the next 10 years will be an exciting time for teleost
microbiome research.
PMID- 24917853
TI - The roles of lipids and nucleic acids in HIV-1 assembly.
AB - During HIV-1 assembly, precursor Gag (PrGag) proteins are delivered to plasma
membrane (PM) assembly sites, where they are triggered to oligomerize and bud
from cells as immature virus particles. The delivery and triggering processes are
coordinated by the PrGag matrix (MA) and nucleocapsid (NC) domains. Targeting of
PrGag proteins to membranes enriched in cholesterol and phosphatidylinositol-4,5
bisphosphate (PI[4,5]P2) is mediated by the MA domain, which also has been shown
to bind both RNA and DNA. Evidence suggests that the nucleic-acid-binding
function of MA serves to inhibit PrGag binding to inappropriate intracellular
membranes, prior to delivery to the PM. At the PM, MA domains putatively trade
RNA ligands for PI(4,5)P2 ligands, fostering high-affinity membrane binding.
Triggering of oligomerization, budding, and virus particle release results when
NC domains on adjacent PrGag proteins bind to viral RNA, leading to capsid (CA)
domain oligomerization. This process leads to the assembly of immature virus
shells in which hexamers of membrane-bound MA trimers appear to organize above
interlinked CA hexamers. Here, we review the functions of retroviral MA proteins,
with an emphasis on the nucleic-acid-binding capability of the HIV-1 MA protein,
and its effects on membrane binding.
PMID- 24917856
TI - Lignocellulose-responsive bacteria in a southern California salt marsh identified
by stable isotope probing.
AB - Carbon cycling by microbes has been recognized as the main mechanism of organic
matter decomposition and export in coastal wetlands, yet very little is known
about the functional diversity of specific groups of decomposers (e.g., bacteria)
in salt marsh benthic trophic structure. Indeed, salt marsh sediment bacteria
remain largely in a black box in terms of their diversity and functional roles
within salt marsh benthic food web pathways. We used DNA stable isotope probing
(SIP) utilizing (13)C-labeled lignocellulose as a proxy to evaluate the fate of
macrophyte-derived carbon in benthic salt marsh bacterial communities. Overall,
146 bacterial species were detected using SIP, of which only 12 lineages were
shared between enriched and non-enriched communities. Abundant groups from the
(13)C-labeled community included Desulfosarcina, Spirochaeta, and Kangiella. This
study is the first to use heavy-labeled lignocellulose to identify bacteria
responsible for macrophyte carbon utilization in salt marsh sediments and will
allow future studies to target specific lineages to elucidate their role in salt
marsh carbon cycling and ultimately aid our understanding of the potential of
salt marshes to store carbon.
PMID- 24917855
TI - Specificity of plant-microbe interactions in the tree mycorrhizosphere biome and
consequences for soil C cycling.
AB - Mycorrhizal associations are ubiquitous and form a substantial component of the
microbial biomass in forest ecosystems and fluxes of C to these belowground
organisms account for a substantial portion of carbon assimilated by forest
vegetation. Climate change has been predicted to alter belowground plant
allocated C which may cause compositional shifts in soil microbial communities,
and it has been hypothesized that this community change will influence C
mitigation in forest ecosystems. Some 10,000 species of ectomycorrhizal fungi are
currently recognized, some of which are host specific and will only associate
with a single tree species, for example, Suillus grevillei with larch.
Mycorrhizae are a strong sink for plant C, differences in mycorrhizal anatomy,
particularly the presence and extent of emanating hyphae, can affect the amount
of plant C allocated to these assemblages. Mycorrhizal morphology affects not
only spatial distribution of C in forests, but also differences in the longevity
of these diverse structures may have important consequences for C sequestration
in soil. Mycorrhizal growth form has been used to group fungi into distinctive
functional groups that vary qualitatively and spatially in their foraging and
nutrient acquiring potential. Through new genomic techniques we are beginning to
understand the mechanisms involved in the specificity and selection of
ectomycorrhizal associations though much less is known about arbuscular
mycorrhizal associations. In this review we examine evidence for tree species-
mycorrhizal specificity, and the mechanisms involved (e.g., signal compounds). We
also explore what is known about the effects of these associations and
interactions with other soil organisms on the quality and quantity of C flow into
the mycorrhizosphere (the area under the influence of mycorrhizal root tips),
including spatial and seasonal variations. The enormity of the mycorrhizosphere
biome in forests and its potential to sequester substantial C belowground
highlights the vital importance of increasing our knowledge of the dynamics of
the different mycorrhizal functional groups in diverse forests.
PMID- 24917858
TI - The feasibility of automated online flow cytometry for in-situ monitoring of
microbial dynamics in aquatic ecosystems.
AB - Fluorescent staining coupled with flow cytometry (FCM) is often used for the
monitoring, quantification and characterization of bacteria in engineered and
environmental aquatic ecosystems including seawater, freshwater, drinking water,
wastewater, and industrial bioreactors. However, infrequent grab sampling hampers
accurate characterization and subsequent understanding of microbial dynamics in
all of these ecosystems. A logic technological progression is high throughput and
full automation of the sampling, staining, measurement, and data analysis steps.
Here we assess the feasibility and applicability of automated FCM by means of
actual data sets produced with prototype instrumentation. As proof-of-concept we
demonstrate examples of microbial dynamics in (i) flowing tap water from a
municipal drinking water supply network and (ii) river water from a small creek
subject to two rainfall events. In both cases, automated measurements were done
at 15-min intervals during 12-14 consecutive days, yielding more than 1000
individual data points for each ecosystem. The extensive data sets derived from
the automated measurements allowed for the establishment of baseline data for
each ecosystem, as well as for the recognition of daily variations and specific
events that would most likely be missed (or miss-characterized) by infrequent
sampling. In addition, the online FCM data from the river water was combined and
correlated with online measurements of abiotic parameters, showing considerable
potential for a better understanding of cause-and-effect relationships in aquatic
ecosystems. Although several challenges remain, the successful operation of an
automated online FCM system and the basic interpretation of the resulting data
sets represent a breakthrough toward the eventual establishment of fully
automated online microbiological monitoring technologies.
PMID- 24917854
TI - The importance of the viable but non-culturable state in human bacterial
pathogens.
AB - Many bacterial species have been found to exist in a viable but non-culturable
(VBNC) state since its discovery in 1982. VBNC cells are characterized by a loss
of culturability on routine agar, which impairs their detection by conventional
plate count techniques. This leads to an underestimation of total viable cells in
environmental or clinical samples, and thus poses a risk to public health. In
this review, we present recent findings on the VBNC state of human bacterial
pathogens. The characteristics of VBNC cells, including the similarities and
differences to viable, culturable cells and dead cells, and different detection
methods are discussed. Exposure to various stresses can induce the VBNC state,
and VBNC cells may be resuscitated back to culturable cells under suitable
stimuli. The conditions that trigger the induction of the VBNC state and
resuscitation from it are summarized and the mechanisms underlying these two
processes are discussed. Last but not least, the significance of VBNC cells and
their potential influence on human health are also reviewed.
PMID- 24917857
TI - Fracture zones in the Mid Atlantic Ridge lead to alterations in prokaryotic and
viral parameters in deep-water masses.
AB - We hypothesized that mixing zones of deep-water masses act as ecotones leading to
alterations in microbial diversity and activity due to changes in the
biogeochemical characteristics of these boundary systems. We determined the
changes in prokaryotic and viral abundance and production in the Vema Fracture
Zone (VFZ) of the subtropical North Atlantic Ocean, where North Atlantic Deep
Water (NADW) and Antarctic Bottom Water (AABW) are funneled through this narrow
canyon and therefore, are subjected to intense vertical mixing. Consequently,
salinity, potential temperature, oxygen, PO4, SiO4, NO3 were altered in the NADW
inside the VFZ as compared to the NADW outside of the VFZ. Also, viral abundance,
lytic viral production (VP) and the virus-to-prokaryote ratio (VPR) were elevated
in the NADW in the VFZ as compared to the NADW outside the VFZ. In contrast to
lytic VP, lysogenic VP and both the frequency of lytically (FIC) and
lysogenically infected cells (FLC) did not significantly differ between in- and
outside the VFZ. Generally, FIC was higher than FLC throughout the water column.
Prokaryotic (determined by T-RFLP) and viral (determined by RAPD-PCR) community
composition was depth-stratified inside and outside the VFZ. The viral community
was more modified both with depth and over distance inside the VFZ as compared to
the northern section and to the prokaryotic communities. However, no clusters of
prokaryotic and viral communities characteristic for the VFZ were identified.
Based on our observations, we conclude that turbulent mixing of the deep water
masses impacts not only the physico-chemical parameters of the mixing zone but
also the interaction between viruses and prokaryotes due to a stimulation of the
overall activity. However, only minor effects of deep water mixing were observed
on the community composition of the dominant prokaryotes and viruses.
PMID- 24917859
TI - Stress responses in flavivirus-infected cells: activation of unfolded protein
response and autophagy.
AB - The Flavivirus is a genus of RNA viruses that includes multiple long known human,
animal, and zoonotic pathogens such as Dengue virus, yellow fever virus, West
Nile virus, or Japanese encephalitis virus, as well as other less known viruses
that represent potential threats for human and animal health such as Usutu or
Zika viruses. Flavivirus replication is based on endoplasmic reticulum-derived
structures. Membrane remodeling and accumulation of viral factors induce
endoplasmic reticulum stress that results in activation of a cellular signaling
response termed unfolded protein response (UPR), which can be modulated by the
viruses for their own benefit. Concomitant with the activation of the UPR, an
upregulation of the autophagic pathway in cells infected with different
flaviviruses has also been described. This review addresses the current knowledge
of the relationship between endoplasmic reticulum stress, UPR, and autophagy in
flavivirus-infected cells and the growing evidences for an involvement of these
cellular pathways in the replication and pathogenesis of these viruses.
PMID- 24917860
TI - Planctomycetes and macroalgae, a striking association.
AB - Planctomycetes are part of the complex microbial biofilm community of a wide
range of macroalgae. Recently, some studies began to unveil the great diversity
of Planctomycetes present in this microenvironment and the interactions between
the two organisms. Culture dependent and independent methods revealed the
existence of a great number of species but, so far, only less than 10 species
have been isolated. Planctomycetes comprise the genera Rhodopirellula,
Blastopirellula, and Planctomyces, Phycisphaera and the uncultured class OM190
and some other taxa have only been found in this association. Several factors
favor the colonization of macroalgal surfaces by planctomycetes. Many species
possess holdfasts for attachment. The macroalgae secrete various sulfated
polysaccharides that are the substrate for the abundant sulfatases produced by
planctomycetes. Specificity between planctomycetes and macroalgae seem to exist
which may be related to the chemical nature of the polysaccharides produced by
each macroalga. Furthermore, the peptidoglycan-free cell wall of planctomycetes
allows them to resist the action of several antimicrobial compounds produced by
the macroalgae or other bacteria in the biofilm community that are effective
against biofouling by other microorganisms. Despite the increase in our knowledge
on the successful planctomycetes-macroalgae association, a great effort to fully
understand this interaction is needed.
PMID- 24917861
TI - The role of acetogens in microbially influenced corrosion of steel.
AB - Microbially influenced corrosion (MIC) of iron (Fe(0)) by sulfate-reducing
bacteria (SRB) has been studied extensively. Through a mechanism, that is still
poorly understood, electrons or hydrogen (H2) molecules are removed from the
metal surface and used as electron donor for sulfate reduction. The resulting
ferrous ions precipitate in part with the sulfide produced, forming
characteristic black iron sulfide. Hydrogenotrophic methanogens can also
contribute to MIC. Incubation of pipeline water samples, containing bicarbonate
and some sulfate, in serum bottles with steel coupons and a headspace of 10%
(vol/vol) CO2 and 90% N2, indicated formation of acetate and methane. Incubation
of these samples in serum bottles, containing medium with coupons and bicarbonate
but no sulfate, also indicated that formation of acetate preceded the formation
of methane. Microbial community analyses of these enrichments indicated the
presence of Acetobacterium, as well as of hydrogenotrophic and acetotrophic
methanogens. The formation of acetate by homoacetogens, such as Acetobacterium
woodii from H2 (or Fe(0)) and CO2, is potentially important, because acetate is a
required carbon source for many SRB growing with H2 and sulfate. A consortium of
the SRB Desulfovibrio vulgaris Hildenborough and A. woodii was able to grow in
defined medium with H2, CO2, and sulfate, because A. woodii provides the acetate,
needed by D. vulgaris under these conditions. Likewise, general corrosion rates
of metal coupons incubated with D. vulgaris in the presence of acetate or in the
presence of A. woodii were higher than in the absence of acetate or A. woodii,
respectively. An extended MIC model capturing these results is presented.
PMID- 24917863
TI - Epitope-specific antibody levels in tuberculosis: biomarkers of protection,
disease, and response to treatment.
AB - Monoclonal antibodies restricted to Mycobacterium tuberculosis can measure
epitope-specific antibody levels in a competition assay. Immunodominant epitopes
were defined from clinical samples and related to the clinical spectrum of
disease. Antibody to the immunodominant epitopes was associated with HLA-DR15.
Occupational exposure showed a different response and was consistent with
recognition of dormancy-related proteins and protection despite exposure to
tuberculosis (TB). Studies in leprosy revealed the importance of immune deviation
and the relationships between T and B cell epitopes. During treatment, antibody
levels increased, epitope spreading occurred, but the affinity constants remained
the same after further antigen exposure, suggesting constraints on the process of
epitope selection. Epitope-specific antibody levels have a potential role as
biomarkers for new vaccines which might prevent the progression of latent to
active TB and as tools to measure treatment effects on subpopulations of tubercle
bacilli.
PMID- 24917862
TI - Complexity and Diversity of the NKR-P1:Clr (Klrb1:Clec2) Recognition Systems.
AB - The NKR-P1 receptors were identified as prototypical natural killer (NK) cell
surface antigens and later shown to be conserved from rodents to humans on NK
cells and subsets of T cells. C-type lectin-like in nature, they were originally
shown to be capable of activating NK cell function and to recognize ligands on
tumor cells. However, certain family members have subsequently been shown to be
capable of inhibiting NK cell activity, and to recognize proteins encoded by a
family of genetically linked C-type lectin-related ligands. Some of these ligands
are expressed by normal, healthy cells, and modulated during transformation,
infection, and cellular stress, while other ligands are upregulated during the
immune response and during pathological circumstances. Here, we discuss
historical and recent developments in NKR-P1 biology that demonstrate this NK
receptor-ligand system to be far more complex and diverse than originally
anticipated.
PMID- 24917864
TI - Immunologic Basis for Long HCDR3s in Broadly Neutralizing Antibodies Against HIV
1.
AB - A large number of potent broadly neutralizing antibodies (bnAbs) against HIV-1
have been reported in recent years, raising hope for the possibility of an
effective vaccine based on epitopes recognized by these protective antibodies.
However, many of these bnAbs contain the long heavy chain complementarity
determining region 3 (HCDR3), which is viewed as an obstacle to the development
of an HIV-1 vaccine targeting the bnAb responses. This mini-review summarizes the
current literature and discusses the different potential immunologic mechanisms
for generating long HCDR3, including D-D fusion, VH replacement, long N region
addition, and skewed D-J gene usage, among which potential VH replacement
products appear to be significant contributors. VH replacement occurs through
recombinase activated gene-mediated secondary recombination and contributes to
the diversified naive B cell repertoire. During VH replacement, a short stretch
of nucleotides from previously rearranged VH genes remains within the newly
formed HCDR3, thus elongating its length. Accumulating evidence suggests that
long HCDR3s are present in significant numbers in the human mature naive B cell
repertoire and are primarily generated by recombination during B cell
development. These new observations indicate that long HCDR3s, though low in
frequency, are a normal feature of the human antibody naive repertoire and they
appear to be selected to target conserved epitopes located in deep, partially
obscured regions of the HIV-1 envelope trimer. Therefore, the presence of long
HCDR3 sequences should not necessarily be viewed as an obstacle to the
development of an HIV-1 vaccine based upon bnAb responses.
PMID- 24917865
TI - Immune defects in the risk of infection and response to vaccination in monoclonal
gammopathy of undetermined significance and multiple myeloma.
AB - The plasma cell proliferative disorders monoclonal gammopathy of undetermined
significance (MGUS) and malignant multiple myeloma (MM) are characterized by an
accumulation of transformed clonal plasma cells in the bone marrow and production
of monoclonal immunoglobulin. They typically affect an older population, with
median age of diagnosis of approximately 70 years. In both disorders, there is an
increased risk of infection due to the immunosuppressive effects of disease and
conjointly of therapy in MM, and response to vaccination to counter infection is
compromised. The underlying factors in a weakened immune response in MGUS and MM
are as yet not fully understood. A confounding factor is the onset of normal
aging, which quantitatively and qualitatively hampers humoral immunity to affect
response to infection and vaccination. In this review, we examine the status of
immune alterations in MGUS and MM and set these against normal aging immune
responses. We focus primarily on quantitative and functional aspects of B-cell
immunity. Furthermore, we review the current knowledge relating to susceptibility
to infectious disease in MGUS and MM, and how efficacy of conventional
vaccination is affected by proliferative disease-related and therapy-related
factors.
PMID- 24917866
TI - The role of natural killer cells and CD8(+) T cells in hepatitis B virus
infection.
AB - Hepatitis B virus (HBV) infection is one of the main causes of chronic liver
diseases that may progress to liver cirrhosis and hepatocellular carcinoma. Host
immune responses are important factors that determine whether HBV infection is
cleared or persists. Natural killer (NK) cells represent the main effector
population of the innate immune system and are abundant in the human liver.
Recently, it has been demonstrated that NK cells not only exhibit antiviral
functions but may also regulate adaptive immune responses by deletion of HBV
specific CD8(+) T cells. It is well-established that HBV-specific CD8(+) T cells
contribute to virus elimination. However, the mechanisms contributing to CD8(+) T
cell failure in chronic HBV infection are not well-understood. In this review, we
will summarize the current knowledge about NK cells and CD8(+) T cells and
illustrate their contribution to viral clearance and persistence in HBV
infection. Moreover, novel immunological in vitro model systems and techniques to
analyze HBV-specific CD8(+) T cells, which are barely detectable using current
multimer staining methods, will be discussed.
PMID- 24917867
TI - Does antigen masking by ubiquitin chains protect from the development of
autoimmune diseases?
AB - Autoimmune diseases are characterized by the production of antibodies against
self-antigens and generally arise from a failure of central or peripheral
tolerance. However, these diseases may develop when newly appearing antigens are
not recognized as self by the immune system. The mechanism by which some antigens
are "invisible" to the immune system is not completely understood. Apoptotic and
complement system defects or autophagy imbalance can generate this antigenic
autoreactivity. Under particular circumstances, cellular debris containing
autoreactive antigens can be recognized by innate immune receptors or other
sensors and can eventually lead to autoimmunity. Ubiquitination may be one of the
mechanisms protecting autoreactive antigens from the immune system that, if
disrupted, can lead to autoimmunity. Ubiquitination is an essential post
translational modification used by cells to target proteins for degradation or to
regulate other intracellular processes. The level of ubiquitination is regulated
during T cell tolerance and apoptosis and E3 ligases have emerged as a crucial
signaling pathway for the regulation of T cell tolerance toward self-antigens. I
propose here that an unrecognized role of ubiquitin and ubiquitin-like proteins
could be to render intracellular or foreign antigens (present in cellular debris
resulting from apoptosis, complement system, or autophagy defects) invisible to
the immune system in order to prevent the development of autoimmunity.
PMID- 24917868
TI - Immunoglobulin gene repertoire diversification and selection in the stomach -
from gastritis to gastric lymphomas.
AB - Chronic gastritis is characterized by gastric mucosal inflammation due to
autoimmune responses or infection, frequently with Helicobacter pylori. Gastritis
with H. pylori background can cause gastric mucosa-associated lymphoid tissue
lymphoma (MALT-L), which sometimes further transforms into diffuse large B-cell
lymphoma (DLBCL). However, gastric DLBCL can also be initiated de novo. The
mechanisms underlying transformation into DLBCL are not completely understood. We
analyzed immunoglobulin repertoires and clonal trees to investigate whether and
how immunoglobulin gene repertoires, clonal diversification, and selection in
gastritis, gastric MALT-L, and DLBCL differ from each other and from normal
responses. The two gastritis types (positive or negative for H. pylori) had
similarly diverse repertoires. MALT-L dominant clones (defined as the largest
clones in each sample) presented higher diversification and longer mutational
histories compared with all other conditions. DLBCL dominant clones displayed
lower clonal diversification, suggesting the transforming events are triggered by
similar responses in different patients. These results are surprising, as we
expected to find similarities between the dominant clones of gastritis and MALT-L
and between those of MALT-L and DLBCL.
PMID- 24917869
TI - The role of the cell wall compartment in mutualistic symbioses of plants.
AB - Plants engage in mutualistic interactions with microbes that improve their
mineral nutrient supply. The most wide-spread symbiotic association is arbuscular
mycorrhiza (AM), in which fungi of the order Glomeromycota invade roots and
colonize the cellular lumen of cortical cells. The establishment of this
interaction requires a dedicated molecular-genetic program and a cellular
machinery of the plant host. This program is partially shared with the root
nodule symbiosis (RNS), which involves prokaryotic partners collectively referred
to as rhizobia. Both, AM and RNS are endosymbioses that involve intracellular
accommodation of the microbial partner in the cells of the plant host. Since
plant cells are surrounded by sturdy cell walls, root penetration and cell
invasion requires mechanisms to overcome this barrier while maintaining the
cytoplasm of the two partners separate during development of the symbiotic
association. Here, we discuss the diverse functions of the cell wall compartment
in establishment and functioning of plant symbioses with the emphasis on AM and
RNS, and we describe the stages of the AM association between the model organisms
Petunia hybrida and Rhizophagus irregularis.
PMID- 24917870
TI - Integrating omic approaches for abiotic stress tolerance in soybean.
AB - Soybean production is greatly influenced by abiotic stresses imposed by
environmental factors such as drought, water submergence, salt, and heavy metals.
A thorough understanding of plant response to abiotic stress at the molecular
level is a prerequisite for its effective management. The molecular mechanism of
stress tolerance is complex and requires information at the omic level to
understand it effectively. In this regard, enormous progress has been made in the
omics field in the areas of genomics, transcriptomics, and proteomics. The
emerging field of ionomics is also being employed for investigating abiotic
stress tolerance in soybean. Omic approaches generate a huge amount of data, and
adequate advancements in computational tools have been achieved for effective
analysis. However, the integration of omic-scale information to address complex
genetics and physiological questions is still a challenge. In this review, we
have described advances in omic tools in the view of conventional and modern
approaches being used to dissect abiotic stress tolerance in soybean. Emphasis
was given to approaches such as quantitative trait loci (QTL) mapping, genome
wide association studies (GWAS), and genomic selection (GS). Comparative genomics
and candidate gene approaches are also discussed considering identification of
potential genomic loci, genes, and biochemical pathways involved in stress
tolerance mechanism in soybean. This review also provides a comprehensive catalog
of available online omic resources for soybean and its effective utilization. We
have also addressed the significance of phenomics in the integrated approaches
and recognized high-throughput multi-dimensional phenotyping as a major limiting
factor for the improvement of abiotic stress tolerance in soybean.
PMID- 24917871
TI - The new insights into cadmium sensing.
AB - Cadmium (Cd) is non-essential heavy metal, which in excess, exhibits deleterious
effects to the most of the organisms. Mobilization of defense mechanisms against
this toxic agent requires rapid activation of signaling pathways. The article
presents recent advances in the research concerning cadmium signal transduction
in plants. New insights into the involvement of reactive oxygen species (ROS),
nitric oxide (NO), plant growth regulators, and Cd-induced protein modifications
are reviewed. Moreover, the role of recently recognized Cd-associated signal
elements, including micro RNAs and several cis- and trans-acting elements is
discussed.
PMID- 24917873
TI - Epigenetic regulation of bud dormancy events in perennial plants.
AB - Release of bud dormancy in perennial plants resembles vernalization in
Arabidopsis thaliana and cereals. In both cases, a certain period of chilling is
required for accomplishing the reproductive phase, and several transcription
factors with the MADS-box domain perform a central regulatory role in these
processes. The expression of DORMANCY-ASSOCIATED MADS-box (DAM)-related genes has
been found to be up-regulated in dormant buds of numerous plant species, such as
poplar, raspberry, leafy spurge, blackcurrant, Japanese apricot, and peach.
Moreover, functional evidence suggests the involvement of DAM genes in the
regulation of seasonal dormancy in peach. Recent findings highlight the presence
of genome-wide epigenetic modifications related to dormancy events, and more
specifically the epigenetic regulation of DAM-related genes in a similar way to
FLOWERING LOCUS C, a key integrator of vernalization effectors on flowering
initiation in Arabidopsis. We revise the most relevant molecular and genomic
contributions in the field of bud dormancy, and discuss the increasing evidence
for chromatin modification involvement in the epigenetic regulation of seasonal
dormancy cycles in perennial plants.
PMID- 24917875
TI - A biologist, a statistician, and a bioinformatician walk into a conference
room... and walk out with a great metagenomics project plan.
PMID- 24917874
TI - Uncovering plant-pathogen crosstalk through apoplastic proteomic studies.
AB - Plant pathogens have evolved by developing different strategies to infect their
host, which in turn have elaborated immune responses to counter the pathogen
invasion. The apoplast, including the cell wall and extracellular space outside
the plasma membrane, is one of the first compartments where pathogen-host
interaction occurs. The plant cell wall is composed of a complex network of
polysaccharides polymers and glycoproteins and serves as a natural physical
barrier against pathogen invasion. The apoplastic fluid, circulating through the
cell wall and intercellular spaces, provides a means for delivering molecules and
facilitating intercellular communications. Some plant-pathogen interactions lead
to plant cell wall degradation allowing pathogens to penetrate into the cells. In
turn, the plant immune system recognizes microbial- or damage-associated
molecular patterns (MAMPs or DAMPs) and initiates a set of basal immune
responses, including the strengthening of the plant cell wall. The establishment
of defense requires the regulation of a wide variety of proteins that are
involved at different levels, from receptor perception of the pathogen via
signaling mechanisms to the strengthening of the cell wall or degradation of the
pathogen itself. A fine regulation of apoplastic proteins is therefore essential
for rapid and effective pathogen perception and for maintaining cell wall
integrity. This review aims to provide insight into analyses using proteomic
approaches of the apoplast to highlight the modulation of the apoplastic protein
patterns during pathogen infection and to unravel the key players involved in
plant-pathogen interaction.
PMID- 24917876
TI - Characterization of HPV DNA methylation of contiguous CpG sites by bisulfite
treatment and massively parallel sequencing-the FRAGMENT approach.
AB - Invasive cervix cancer (ICC) is the third most common malignant tumor in women
and human papillomavirus 16 (HPV16) causes more than 50% of ICC. DNA methylation
is a covalent modification predominantly occurring at CpG dinucleotides and
increased methylation across the HPV16 genome is strongly associated with ICC
development. Next generation (Next Gen) sequencing has been proposed as a novel
approach to determine DNA methylation. However, utilization of this method to
survey CpG methylation in the HPV16 genome is not well described. Moreover, it
provides additional information on methylation "haplotypes." In the current
study, we chose 12 random samples, amplified multiple segments in the HPV16
bisulfite treated genome with specific barcodes, inspected the methylation ratio
at 31 CpG sites for all samples using Illumina sequencing, and compared the
results with quantitative pyrosequencing. Most of the CpG sites were highly
consistent between the two approaches (overall correlation, r = 0.92), thus
verifying that Next Gen sequencing is an accurate and convenient method to survey
HPV16 methylation and thus can be used in clinical samples for risk assessment.
Moreover, the CpG methylation patterns (methylation haplotypes) in single
molecules identified an excess of complete-and non-methylated molecules and a
substantial amount of partial-methylated ones, thus indicating a complex dynamic
for the mechanisms of HPV16 CpG methylation. In summary, the advantages of Next
Gen sequencing compared to pyrosequencing for HPV genome methylation analyses
include higher throughput, increased resolution, and improved efficiency of time
and resources.
PMID- 24917877
TI - Copy number variants and selective sweeps in natural populations of the house
mouse (Mus musculus domesticus).
AB - Copy-number variants (CNVs) may play an important role in early adaptations,
potentially facilitating rapid divergence of populations. We describe an approach
to study this question by investigating CNVs present in natural populations of
mice in the early stages of divergence and their involvement in selective sweeps.
We have analyzed individuals from two recently diverged natural populations of
the house mouse (Mus musculus domesticus) from Germany and France using custom,
high-density, comparative genome hybridization arrays (CGH) that covered almost
164 Mb and 2444 genes. One thousand eight hundred and sixty one of those genes we
previously identified as differentially expressed between these populations,
while the expression of the remaining genes was invariant. In total, we
identified 1868 CNVs across all 10 samples, 200 bp to 600 kb in size and
affecting 424 genic regions. Roughly two thirds of all CNVs found were deletions.
We found no enrichment of CNVs among the differentially expressed genes between
the populations compared to the invariant ones, nor any meaningful correlation
between CNVs and gene expression changes. Among the CNV genes, we found cellular
component gene ontology categories of the synapse overrepresented among all the
2444 genes tested. To investigate potential adaptive significance of the CNV
regions, we selected six that showed large differences in frequency of CNVs
between the two populations and analyzed variation in at least two
microsatellites surrounding the loci in a sample of 46 unrelated animals from the
same populations collected in field trappings. We identified two loci with large
differences in microsatellite heterozygosity (Sfi1 and Glo1/Dnahc8 regions) and
one locus with low variation across the populations (Cmah), thus suggesting that
these genomic regions might have recently undergone selective sweeps.
Interestingly, the Glo1 CNV has previously been implicated in anxiety-like
behavior in mice, suggesting a differential evolution of a behavioral trait.
PMID- 24917878
TI - Fetal alcohol spectrum disorders and their transmission through genetic and
epigenetic mechanisms.
AB - Fetal alcohol spectrum disorders (FASD) are a group of related conditions that
arise from prenatal exposure to maternal consumption of the teratogen, ethanol.
It has been estimated that roughly 1% of children in the US suffer from FASD
(Sampson etal., 1997), though in some world populations, such as inhabitants of
some poorer regions of South Africa, the rate can climb to as high as 20% (May
etal., 2013). FASD are the largest cause of mental retardation in U.S. neonates,
and ironically, are entirely preventable. FASD have been linked to major changes
in the hypothalamic-pituitary-adrenal (HPA) axis, resulting in lifelong
impairments through mental disorders, retardation, and sensitivity to stress.
FASD are linked to an impaired immune system which consequently leads to an
elevated risk of cancer and other diseases. FASD arise from a complex interplay
of genetic and epigenetic factors. Here, we review current literature on the
topic to tease apart what is known in these areas particularly emphasizing HPA
axis dysfunction and how this ties into new studies of transgenerational
inheritance in FASD.
PMID- 24917879
TI - Transfer RNA and human disease.
AB - Pathological mutations in tRNA genes and tRNA processing enzymes are numerous and
result in very complicated clinical phenotypes. Mitochondrial tRNA (mt-tRNA)
genes are "hotspots" for pathological mutations and over 200 mt-tRNA mutations
have been linked to various disease states. Often these mutations prevent tRNA
aminoacylation. Disrupting this primary function affects protein synthesis and
the expression, folding, and function of oxidative phosphorylation enzymes.
Mitochondrial tRNA mutations manifest in a wide panoply of diseases related to
cellular energetics, including COX deficiency (cytochrome C oxidase),
mitochondrial myopathy, MERRF (Myoclonic Epilepsy with Ragged Red Fibers), and
MELAS (mitochondrial encephalomyopathy, lactic acidosis, and stroke-like
episodes). Diseases caused by mt-tRNA mutations can also affect very specific
tissue types, as in the case of neurosensory non-syndromic hearing loss and
pigmentary retinopathy, diabetes mellitus, and hypertrophic cardiomyopathy.
Importantly, mitochondrial heteroplasmy plays a role in disease severity and age
of onset as well. Not surprisingly, mutations in enzymes that modify cytoplasmic
and mitochondrial tRNAs are also linked to a diverse range of clinical
phenotypes. In addition to compromised aminoacylation of the tRNAs, mutated
modifying enzymes can also impact tRNA expression and abundance, tRNA
modifications, tRNA folding, and even tRNA maturation (e.g., splicing). Some of
these pathological mutations in tRNAs and processing enzymes are likely to affect
non-canonical tRNA functions, and contribute to the diseases without
significantly impacting on translation. This chapter will review recent
literature on the relation of mitochondrial and cytoplasmic tRNA, and enzymes
that process tRNAs, to human disease. We explore the mechanisms involved in the
clinical presentation of these various diseases with an emphasis on neurological
disease.
PMID- 24917880
TI - Genetic analysis of long-lived families reveals novel variants influencing high
density-lipoprotein cholesterol.
AB - The plasma levels of high-density lipoprotein cholesterol (HDL) have an inverse
relationship to the risks of atherosclerosis and cardiovascular disease (CVD),
and have also been associated with longevity. We sought to identify novel loci
for HDL that could potentially provide new insights into biological regulation of
HDL metabolism in healthy-longevous subjects. We performed a genome-wide
association (GWA) scan on HDL using a mixed model approach to account for family
structure using kinship coefficients. A total of 4114 subjects of European
descent (480 families) were genotyped at ~2.3 million SNPs and ~38 million SNPs
were imputed using the 1000 Genome Cosmopolitan reference panel in MACH. We
identified novel variants near-NLRP1 (17p13) associated with an increase of HDL
levels at genome-wide significant level (p < 5.0E-08). Additionally, several CETP
(16q21) and ZNF259-APOA5-A4-C3-A1 (11q23.3) variants associated with HDL were
found, replicating those previously reported in the literature. A possible
regulatory variant upstream of NLRP1 that is associated with HDL in these elderly
Long Life Family Study (LLFS) subjects may also contribute to their longevity and
health. Our NLRP1 intergenic SNPs show a potential regulatory function in
Encyclopedia of DNA Elements (ENCODE); however, it is not clear whether they
regulate NLRP1 or other more remote gene. NLRP1 plays an important role in the
induction of apoptosis, and its inflammasome is critical for mediating innate
immune responses. Nlrp1a (a mouse ortholog of human NLRP1) interacts with SREBP
1a (17p11) which has a fundamental role in lipid concentration and composition,
and is involved in innate immune response in macrophages. The NLRP1 region is
conserved in mammals, but also has evolved adaptively showing signals of positive
selection in European populations that might confer an advantage. NLRP1
intergenic SNPs have also been associated with immunity/inflammasome disorders
which highlights the biological importance of this chromosomal region.
PMID- 24917881
TI - Long-term genomic and epigenomic dysregulation as a consequence of prenatal
alcohol exposure: a model for fetal alcohol spectrum disorders.
AB - There is abundant evidence that prenatal alcohol exposure leads to a range of
behavioral and cognitive impairments, categorized under the term fetal alcohol
spectrum disorders (FASDs). These disorders are pervasive in Western cultures and
represent the most common preventable source of neurodevelopmental disabilities.
The genetic and epigenetic etiology of these phenotypes, including those factors
that may maintain these phenotypes throughout the lifetime of an affected
individual, has become a recent topic of investigation. This review integrates
recent data that has progressed our understanding FASD as a continuum of
molecular events, beginning with cellular stress response and ending with a long
term "footprint" of epigenetic dysregulation across the genome. It reports on
data from multiple ethanol-treatment paradigms in mouse models that identify
changes in gene expression that occur with respect to neurodevelopmental timing
of exposure and ethanol dose. These studies have identified patterns of genomic
alteration that are dependent on the biological processes occurring at the time
of ethanol exposure. This review also adds to evidence that epigenetic processes
such as DNA methylation, histone modifications, and non-coding RNA regulation may
underlie long-term changes to gene expression patterns. These may be initiated by
ethanol-induced alterations to DNA and histone methylation, particularly in
imprinted regions of the genome, affecting transcription which is further fine
tuned by altered microRNA expression. These processes are likely complex, genome
wide, and interrelated. The proposed model suggests a potential for intervention,
given that epigenetic changes are malleable and may be altered by postnatal
environment. This review accentuates the value of mouse models in deciphering the
molecular etiology of FASD, including those processes that may provide a target
for the ammelioration of this common yet entirely preventable disorder.
PMID- 24917882
TI - Genetic-based prediction of disease traits: prediction is very difficult,
especially about the future.
AB - Translation of results from genetic findings to inform medical practice is a
highly anticipated goal of human genetics. The aim of this paper is to review and
discuss the role of genetics in medically-relevant prediction. Germline genetics
presages disease onset and therefore can contribute prognostic signals that
augment laboratory tests and clinical features. As such, the impact of genetic
based predictive models on clinical decisions and therapy choice could be
profound. However, given that (i) medical traits result from a complex interplay
between genetic and environmental factors, (ii) the underlying genetic
architectures for susceptibility to common diseases are not well-understood, and
(iii) replicable susceptibility alleles, in combination, account for only a
moderate amount of disease heritability, there are substantial challenges to
constructing and implementing genetic risk prediction models with high utility.
In spite of these challenges, concerted progress has continued in this area with
an ongoing accumulation of studies that identify disease predisposing genotypes.
Several statistical approaches with the aim of predicting disease have been
published. Here we summarize the current state of disease susceptibility mapping
and pharmacogenetics efforts for risk prediction, describe methods used to
construct and evaluate genetic-based predictive models, and discuss applications.
PMID- 24917883
TI - Evolution of genomic structural variation and genomic architecture in the
adaptive radiations of African cichlid fishes.
AB - African cichlid fishes are an ideal system for studying explosive rates of
speciation and the origin of diversity in adaptive radiation. Within the last few
million years, more than 2000 species have evolved in the Great Lakes of East
Africa, the largest adaptive radiation in vertebrates. These young species show
spectacular diversity in their coloration, morphology and behavior. However,
little is known about the genomic basis of this astonishing diversity. Recently,
five African cichlid genomes were sequenced, including that of the Nile Tilapia
(Oreochromis niloticus), a basal and only relatively moderately diversified
lineage, and the genomes of four representative endemic species of the adaptive
radiations, Neolamprologus brichardi, Astatotilapia burtoni, Metriaclima zebra,
and Pundamila nyererei. Using the Tilapia genome as a reference genome, we
generated a high-resolution genomic variation map, consisting of single
nucleotide polymorphisms (SNPs), short insertions and deletions (indels),
inversions and deletions. In total, around 18.8, 17.7, 17.0, and 17.0 million
SNPs, 2.3, 2.2, 1.4, and 1.9 million indels, 262, 306, 162, and 154 inversions,
and 3509, 2705, 2710, and 2634 deletions were inferred to have evolved in N.
brichardi, A. burtoni, P. nyererei, and M. zebra, respectively. Many of these
variations affected the annotated gene regions in the genome. Different patterns
of genetic variation were detected during the adaptive radiation of African
cichlid fishes. For SNPs, the highest rate of evolution was detected in the
common ancestor of N. brichardi, A. burtoni, P. nyererei, and M. zebra. However,
for the evolution of inversions and deletions, we found that the rates at the
terminal taxa are substantially higher than the rates at the ancestral lineages.
The high-resolution map provides an ideal opportunity to understand the genomic
bases of the adaptive radiation of African cichlid fishes.
PMID- 24917884
TI - Epigenetic-based therapies for Friedreich ataxia.
AB - Friedreich ataxia (FRDA) is a lethal autosomal recessive neurodegenerative
disorder caused primarily by a homozygous GAA repeat expansion mutation within
the first intron of the FXN gene, leading to inhibition of FXN transcription and
thus reduced frataxin protein expression. Recent studies have shown that
epigenetic marks, comprising chemical modifications of DNA and histones, are
associated with FXN gene silencing. Such epigenetic marks can be reversed, making
them suitable targets for epigenetic-based therapy. Furthermore, since FRDA is
caused by insufficient, but functional, frataxin protein, epigenetic-based
transcriptional re-activation of the FXN gene is an attractive therapeutic
option. In this review we summarize our current understanding of the epigenetic
basis of FXN gene silencing and we discuss current epigenetic-based FRDA
therapeutic strategies.
PMID- 24917885
TI - Imaging of polysaccharides in the tomato cell wall with Raman microspectroscopy.
AB - BACKGROUND: The primary cell wall of fruits and vegetables is a structure mainly
composed of polysaccharides (pectins, hemicelluloses, cellulose). Polysaccharides
are assembled into a network and linked together. It is thought that the
percentage of components and of plant cell wall has an important influence on
mechanical properties of fruits and vegetables. RESULTS: In this study the Raman
microspectroscopy technique was introduced to the visualization of the
distribution of polysaccharides in cell wall of fruit. The methodology of the
sample preparation, the measurement using Raman microscope and multivariate image
analysis are discussed. Single band imaging (for preliminary analysis) and
multivariate image analysis methods (principal component analysis and
multivariate curve resolution) were used for the identification and localization
of the components in the primary cell wall. CONCLUSIONS: Raman microspectroscopy
supported by multivariate image analysis methods is useful in distinguishing
cellulose and pectins in the cell wall in tomatoes. It presents how the
localization of biopolymers was possible with minimally prepared samples.
PMID- 24917886
TI - A comparative study of ethanol production using dilute acid, ionic liquid and
AFEXTM pretreated corn stover.
AB - BACKGROUND: In a biorefinery producing cellulosic biofuels, biomass pretreatment
will significantly influence the efficacy of enzymatic hydrolysis and microbial
fermentation. Comparison of different biomass pretreatment techniques by studying
the impact of pretreatment on downstream operations at industrially relevant
conditions and performing comprehensive mass balances will help focus attention
on necessary process improvements, and thereby help reduce the cost of biofuel
production. RESULTS: An on-going collaboration between the three US Department of
Energy (DOE) funded bioenergy research centers (Great Lakes Bioenergy Research
Center (GLBRC), Joint BioEnergy Institute (JBEI) and BioEnergy Science Center
(BESC)) has given us a unique opportunity to compare the performance of three
pretreatment processes, notably dilute acid (DA), ionic liquid (IL) and ammonia
fiber expansion (AFEX(TM)), using the same source of corn stover. Separate
hydrolysis and fermentation (SHF) was carried out using various combinations of
commercially available enzymes and engineered yeast (Saccharomyces cerevisiae
424A) strain. The optimal commercial enzyme combination (Ctec2: Htec2: Multifect
Pectinase, percentage total protein loading basis) was evaluated for each
pretreatment with a microplate-based assay using milled pretreated solids at 0.2%
glucan loading and 15 mg total protein loading/g of glucan. The best enzyme
combinations were 67:33:0 for DA, 39:33:28 for IL and 67:17:17 for AFEX. The
amounts of sugar (kg) (glucose: xylose: total gluco- and xylo-oligomers) per 100
kg of untreated corn stover produced after 72 hours of 6% glucan loading
enzymatic hydrolysis were: DA (25:2:2), IL (31:15:2) and AFEX (26:13:7).
Additionally, the amounts of ethanol (kg) produced per 100 kg of untreated corn
stover and the respective ethanol metabolic yield (%) achieved with exogenous
nutrient supplemented fermentations were: DA (14.0, 92.0%), IL (21.2, 93.0%) and
AFEX (20.5, 95.0%), respectively. The reason for lower ethanol yield for DA is
because most of the xylose produced during the pretreatment was removed and not
converted to ethanol during fermentation. CONCLUSIONS: Compositional analysis of
the pretreated biomass solids showed no significant change in composition for
AFEX treated corn stover, while about 85% of hemicellulose was solubilized after
DA pretreatment, and about 90% of lignin was removed after IL pretreatment. As
expected, the optimal commercial enzyme combination was different for the solids
prepared by different pretreatment technologies. Due to loss of nutrients during
the pretreatment and washing steps, DA and IL pretreated hydrolysates required
exogenous nutrient supplementation to ferment glucose and xylose efficiently,
while AFEX pretreated hydrolysate did not require nutrient supplementation.
PMID- 24917887
TI - Anaphylatoxin C5a modulates hepatic stellate cell migration.
AB - BACKGROUND: C5a and its cognate receptor, C5a receptor (C5aR), key elements of
complement, are critical modulators of liver immunity and fibrosis. However, the
molecular mechanism for the cross talk between complement and liver fibrosis is
not well understood. C5a is a potent chemokine regulating migration of cells in
the innate immune system. Since activation and migration of hepatic stellate
cells (HSC) are hallmarks of liver fibrosis, we hypothesized that C5a contributes
to fibrosis by regulating HSC activation and/or migration. RESULTS: Primary
cultures of mouse HSC increased expression of alpha smooth muscle actin (alpha
SMA) and collagen 1A (Col1A1) mRNA in response to activation on plastic.
Expression of mRNA for C5aR, but not C5L2, a second C5a receptor that acts as a
negative regulator, increased in parallel with markers of HSC activation in
culture. Increased expression of C5aR on activated HSC was confirmed by
immunocytochemistry. Cell surface expression of C5aR was also detected by flow
cytometry on activated HSC isolated from mice expressing GFP under the control of
the collagen promoter after exposure to chronic carbon tetrachloride. To
understand the functional significance of C5aR expression in HSC, we next
investigated whether C5a influenced HSC activation and/or migration. Challenge of
HSC with C5a during culture had no effect on expression of alpha-SMA and Col1A1,
suggesting that C5a did not influence HSC activation. Another important
characteristic of HSC is their migratory capacity; migration of HSC in response
to platelet derived growth factor (PDGF) and monocyte chemoattractant protein-1
(MCP-1) has been well characterized. Challenge of HSC with C5a enhanced HSC
migration almost as efficiently as PDGF in a two-dimensional wound healing and
Boyden chamber migration assays. C5a also stimulated expression of MCP-1. C5a
induced cell migration was slowed, but not completely inhibited, in presence of
227016, a MCP-1 receptor antagonist, suggesting C5a-induced migration occurs via
both MCP-1-dependent and -independent mechanisms. CONCLUSIONS: These data reveal
that C5a regulates migration of HSC and suggest a novel mechanism by which
complement contributes to hepatic fibrosis. C5a and its receptors are therefore
potential therapeutic targets for the prevention and/or treatment of liver
fibrosis.
PMID- 24917888
TI - Laparoscopic fertility-sparing surgery for early stage ovarian cancer: a single
centre case series and systematic literature review.
AB - BACKGROUND: There is as yet limited evidence about fertility-sparing surgery for
early ovarian cancer (EOC) carried out laparoscopically. We sought to analyze
recurrence patterns and fertility outcome in a cohort of ovarian cancer patients
who underwent fertility-saving laparoscopic surgical staging. METHODS: We
conducted a retrospective analysis of prospectively collected data on all
patients undergoing fertility-sparing laparoscopic staging procedures for
presumed EOC at a single gynecologic oncology service. Oncologic safety and
reproductive outcome were the main outcome measures. The pertinent literature is
reviewed. RESULTS: The study cohort consisted of 12 women. Cases included 5
invasive epithelial tumors and 7 nonepithelial tumors. The disease was
reclassified to a higher stage in one woman. After a median follow up period of
38 months (range: 14-108), the overall survival was 100% and recurrence-free
survival 90.9%. Five (100%) of patients who attempted pregnancy conceived
spontaneously. Three of them had uneventful term pregnancy delivering healthy
babies. The literature search yielded 62 cases of laparoscopic fertility
conserving surgery for ovarian cancer. There were 4 (6.2%) recurrences.
Cumulative pregnancy and live birth rate were not estimable as earlier
publications lack essential data. CONCLUSIONS: Laparoscopic staging may represent
a viable option for premenopausal women seeking fertility preservation in the
setting of early ovarian cancer. More research is needed to determine whether
laparoscopy may offer reproductive benefits to this particular population.
PMID- 24917889
TI - The effect on fall rate of blood glucose testing at the time of falls in elderly
diabetics.
AB - OBJECTIVE: To determine the pattern of blood sugar and HbA1c testing among
supportive living residents with diabetes and whether, in those with diabetes,
blood glucose measurement was done at the time of a fall. RESEARCH DESIGN AND
METHODS: The management of diabetes in relation to falls in the supportive living
sector is unknown. A cross-sectional questionnaire study in Edmonton Alberta,
Canada of Designated Supportive Living (DSL) homes have places funded by Alberta
Health Services and other homes (SL) that have no funded places. A questionnaire
was distributed to Directors of Care/managers of supportive living homes, with
telephone interview follow-up if required. RESULTS: Sixty responses from 61 of
the 71 homes (86%) provided information. 21 were DSL and 39 were SL homes. DSL
homes were significantly more likely than SL ones to report that residents with
diabetes had blood glucose measurements as part of regular care, to be aware that
glycosylated haemoglobin was measured, and to say that blood glucose was measured
at the time of a fall. Regression analysis identified that facilities with a
policy to measure blood glucose at the time of a fall had a lower rate of falls
in residents with diabetes than facilities without such a policy (p < 0.05). No
effect of this policy was seen in residents without diabetes. CONCLUSION:
Residents with diabetes were less likely to fall in homes that indicated that
they had a policy to measure blood glucose at the time of a fall.
PMID- 24917890
TI - Efficacy and safety of vildagliptin, Saxagliptin or Sitagliptin as add-on therapy
in Chinese patients with type 2 diabetes inadequately controlled with dual
combination of traditional oral hypoglycemic agents.
AB - BACKGROUND: The oral DPP-4 inhibitors are new incretin-based therapies for
treatment of type 2 diabetes. To assess the efficacy and safety of three DPP-4
inhibitors (Saxagliptin, Sitagliptin and Vildagliptin) as add-on therapy to dual
combination of traditional oral hypoglycemic agents in Chinese type 2 diabetes
patients. METHODS: In this 24-week, randomized, open-label, parallel clinical
trial, we enrolled inadequately controlled (glycosylated haemoglobin A1c [HbA1c]
>=7.5% to <=10%) patients with type 2 diabetes, who were treated by dual
combination of metformin and another traditional oral hypoglycemic agent
(glimepiride, acarbose or pioglitazone). 207 patients had been randomized to add
on 5 mg saxagliptin group or 100 mg sitagliptin once daily group, or 50 mg
vildagliptin twice daily group for 24 weeks. HbA1c, fasting and postprandial
blood glucose (FBG and P2hBG), body weight, body mass index (BMI), episodes of
hypoglycemia and adverse events were evaluated. RESULT: After 24 weeks, HbA1c,
FBG, and P2hBG of each group were significantly decreased. (saxagliptin vs
vildagliptin vs sitagliptin: HbA1c: -1.2% vs -1.3% vs -1.1%; FBG: -1.8 mmol/l vs
2.4 mmol/l vs -1.5 mmol/l; P2hBG: -3.4 mmol/l vs -3.7 mmol/l vs -3.2 mmol/l). The
changes of HbA1c and P2hBG among the three groups had no significance. However,
vildagliptin-added group showed the greatest reduction (p < 0.001), while,
sitagliptin-added group showed the lowest reduction (p < 0.001) in terms of FPG
changes. Proportions of patients achieving HbA1c < 7% at the end were similar in
three groups (saxagliptin 59%, vildagliptin 65%, sitagliptin 59%). Mild
hypoglycemia was commonly reported among the three groups (saxagliptin 6%,
vildagliptin 2%, sitagliptin 3%). No significant between-group difference was
shown in other AEs. CONCLUSION: The three gliptins showed almost similar glycemic
control and incidence of adverse events. However, for FBG control, saxagliptin
demonstrated superiority to sitagliptin, while, inferiority to vildagliptin.
PMID- 24917891
TI - 3D IMAGING OF THE MITOCHONDRIAL REDOX STATE OF RAT HEARTS UNDER NORMAL AND
FASTING CONDITIONS.
AB - The heart requires continuous ATP availability that is generated in the
mitochondria. Although studies using the cell culture and perfused organ models
have been carried out to investigate the biochemistry in the mitochondria in
response to a change in substrate supply, mitochondrial bioenergetics of heart
under normal feed or fasting conditions has not been studied at the tissue level
with a sub-millimeter spatial resolution either in vivo or ex vivo. Oxidation of
many food-derived metabolites to generate ATP in the mitochondria is realized
through the NADH/NAD+ couple acting as a central electron carrier. We employed
the Chance redox scanner - the low-temperature fluorescence scanner to image the
three-dimensional (3D) spatial distribution of the mitochondrial redox states in
heart tissues of rats under normal feeding or an overnight starvation for 14.5 h.
Multiple consecutive sections of each heart were imaged to map three redox
indices, i.e., NADH, oxidized flavoproteins (Fp, including flavin adenine
dinucleotide (FAD)) and the redox ratio NADH/Fp. The imaging results revealed the
micro-heterogeneity and the spatial distribution of these redox indices. The
quantitative analysis showed that in the fasted hearts the standard deviation of
both NADH and Fp, i.e., SD_NADH and SD_Fp, significantly decreased with a p value
of 0.032 and 0.045, respectively, indicating that the hearts become relatively
more homogeneous after fasting. The fasted hearts contained 28.6% less NADH (p =
0.038). No significant change in Fp was found (p = 0.4). The NADH/Fp ratio
decreased with a marginal p value (0.076). The decreased NADH in the fasted
hearts is consistent with the cardiac cells' reliance of fatty acids consumption
for energy metabolism when glucose becomes scarce. The experimental observation
of NADH decrease induced by dietary restriction in the heart at tissue level has
not been reported to our best knowledge. The Chance redox scanner demonstrated
the feasibility of 3D imaging of the mitochondrial redox state in the heart and
provides a useful tool to study heart metabolism and function under normal,
dietary-change and pathological conditions at tissue level.
PMID- 24917892
TI - Is there any relation between chronic cerebrospinal venous insufficiency and
multiple sclerosis? - a critical review.
AB - Summary Though the etiology of multiple sclerosis remains unknown, the widely
accepted explanation is that it has an autoimmune inflammatory background. In
2006 Paolo Zamboni renewed the somewhat forgotten vascular theory of the
pathogenesis of multiple sclerosis, proposing the new entity of 'chronic
cerebrospinal venous insufficiency'. As a result of this hypothesis, Zamboni
suggested an endovascular treatment for multiple sclerosis involving venoplasty
of the internal jugular vein and the azygos vein. Unfortunately, several teams
have tried to replicate Zamboni's results without success. In this review, we
present a chronological description of the results of the studies conducted by
Zamboni and the later attempts to replicate his work. The main conclusion is
that, taking into account results that are currently available, we should remain
cautious and routine use of this treatment in patients should not be advisable.
PMID- 24917893
TI - A Previously Discounted Flap Now Reconsidered: MatriDerm and Split-Thickness Skin
Grafting for Tendon Cover Following Dorsalis Pedis Fasciocutaneous Flap in Lower
Limb Trauma.
AB - OBJECTIVE: The dorsalis pedis flap has reliable vascularity; however, its use is
limited by reports of donor site morbidity including infection, delayed healing,
exposure of tendons, and later contractures. The purpose of this study was to
demonstrate its continued role in lower limb trauma when the donor site is
reconstructed with MatriDerm to avoid complications. METHODS: A 65-year-old man
presented with a displaced, Gustilo 3b open transverse fracture of his left
distal fibula. He had a 2 cm(2) open wound over his lateral malleolus. RESULTS:
Following review of possible local options, a dorsalis pedis fasciocutaneous flap
was deemed best for coverage, and the donor site was closed with 1-mm MatriDerm
dermal matrix and a 6/1000 inch split-thickness skin graft (STSG) in a single
stage. Three months postoperatively, the foot had excellent function and
cosmesis, with toes in a neutral position and a full range of movement.
CONCLUSIONS: The dorsalis pedis flap is a valuable reconstructive option for
defects of the foot and ankle. Its major limitation donor site morbidity can be
overcome by the additional application of a dermal substitute such as MatriDerm
under the STSG.
PMID- 24917894
TI - Application of the Single Use Negative Pressure Wound Therapy Device (PICO) on a
Heterogeneous Group of Surgical and Traumatic Wounds.
AB - OBJECTIVES: Traumatic wounds and surgery inherently have their complications.
Localized infections, wound dehiscence, and excessive wound leakage can be
devastating to the patient with a prolonged recovery, but it is also costly to
the hospital with an increased length of stay, extra workload, and dressing
changes. The single use PICO (Smith and Nephew Healthcare, Hull, United Kingdom)
negative pressure wound therapy (NPWT) dressing has revolutionized our management
of various acute, chronic, and high output wounds. It requires fewer dressing
changes than conventional practice, is used in the outpatient setting, and is a
necessary adjuvant therapy to hasten wound healing. AIMS: To observe the efficacy
of the PICO vacuum-assisted healing within a cost improvement programme.
SETTINGS: Plastic surgery department, Royal London Hospital. MATERIALS AND
METHODS: Twenty-one patients with a diversity of postoperative or posttraumatic
wounds were considered suitable for PICO application and treated totally on an
outpatient basis once the PICO dressing was applied. All wounds were then
subjected to continued PICO dressings until healed. RESULTS: All patients
tolerated the PICO well with no dressing failure or failure to comply. The number
of dressings per patient ranged from 1 to 7. The cost per patient of treatment
ranged from L120 to L1578. Estimated cost of all PICO dressing for 21 patients
including plastic surgery dressing clinic appointments = L13,345. Median length
of treatment to healing (days) = 16; standard deviation = 9.5. Eight patients
would have had an inpatient bed stay with conventional therapy, total 24 bed days
saved at Bartshealth @L325 per day. CONCLUSIONS: The outpatient application of a
disposable NPWT can benefit a wide range of clinical wounds that optimizes
patient care, promotes rapid wound healing, and importantly helps manage costs.
PMID- 24917895
TI - Forearm compartment syndrome.
PMID- 24917896
TI - Maffucci syndrome.
PMID- 24917897
TI - Morel-lavallee lesion.
PMID- 24917898
TI - Making contact.
PMID- 24917899
TI - Catch 22, giant congenital melanocytic nevus in a florid keloid former.
PMID- 24917900
TI - Necrotizing fasciitis.
PMID- 24917901
TI - Reconstruction for the distal urethral end incorrectly anastomosed to the
proximal false passage in the treatment of urethral stricture.
AB - PATIENT: Male, 24 FINAL DIAGNOSIS: Urethral stricture Symptoms: - MEDICATION: -
Clinical Procedure: - Specialty: Urology. OBJECTIVE: Unusual or unexpected effect
of treatment. BACKGROUND: The most dependable management of anterior urethral
stricture is the complete excision of the area of fibrosis, with a primary
reanastomosis of the normal ends of the anterior urethra. CASE REPORT: A 24-year
old man had urethral stricture in the penoscrotal junction caused by
catheterization approximately 3 years ago. After the resection of the urethral
stricture segment and the end-to-end anastomosis were performed, in addition to
stricture, urethrocutaneous fistula formation as another complication in the
penoscrotal junction was confirmed. The direct vision internal urethrotomy did
not improve all the above symptoms. The retrograde urethrogram and voiding cysto
urethrogram showed complete obliteration in the penile urethra, urethrocutaneous
fistula, and proximal urethral bifurcation singularity. Intraoperatively, we
found that the distal urethral end had been anastomosed to the proximal false
passage in the initial surgery and the proximal urethra was located in the dorsal
side of the false passage. Then, tubularized preputial flap urethroplasty was
performed. The patient was followed up for 10 months. His peak urinary flow was
18.3 milliliter per second. CONCLUSIONS: We would remind urologists that urethral
end intraoperatively anastomosed to the false passage is a rare, serious,
avoidable, and elementary medical error. Urethroplasty is one of the curative
choices for treatment of this unexpected condition.
PMID- 24917902
TI - Changing patterns of bacterial strains in adults and children with otitis media
in korean tertiary care centers.
AB - OBJECTIVES: Otitis media (OM) is an infectious disease that affects all age
brackets. Aural discharge is a typical symptom, occurring in all subtypes of OM.
We have compared the identity and antibiotic sensitivity of bacteria isolated
from aural discharges of adults and children with various types of OM, including
acute OM (AOM), OM with effusion (OME), chronic OM (COM), and cholesteatomatous
OM (CSOM). METHODS: The study involved 2,833 patients who visited five tertiary
hospitals between January 2001 and December 2010 and were diagnosed with AOM,
OME, COM, or CSOM. The patients were divided into a pediatric group and an adult
group, and the distribution of cultured bacteria and their antibiotic sensitivity
were compared in the two groups. RESULTS: Bacterial detection rates were higher
in adults than in children with OME and COM (P=0.000 each). The majority of the
bacteria cultured from patients with AOM and OME bacteria were methicillin
susceptible Staphylococcus aureus (MSSA) and Streptococcus pneumoniae. Bacteria
cultured from children were more susceptible to antibiotics (P=0.002) and had
higher antibiotic sensitivity (P=0.001) than were bacteria cultured from adults.
The majority of bacteria culture from patients with COM and CSOM were MSSA and
pathogenic Pseudomonas aeruginosa. The frequency of methicillin-resistant
Staphylococcus aureus was significantly higher in adults than in children, and
more strains of bacteria isolated from adults were sensitive to the antibiotics
septrin, vancomycin, and teicoplanin. CONCLUSION: Bacteria cultured from children
were more susceptible to antibiotics and had higher antibiotic sensitivity than
did bacteria cultured from adults.
PMID- 24917903
TI - Effect of different sounds on the treatment outcome of tinnitus retraining
therapy.
AB - OBJECTIVES: The purpose of this study was to evaluate the therapeutic effect of
three different types of sounds on tinnitus patients undergoing tinnitus
retraining therapy (TRT). METHODS: This is a single-institution retrospective
study, performed in one tertiary otological referral center. Thirty-eight adults
with subjective idiopathic tinnitus who were followed for at least 9 weeks were
enrolled. Sound therapy was delivered in 3 different ways: narrowband noise TRT
(nTRT); mixed band noise TRT (mTRT); broadband noise TRT (bTRT). Treatment
response was measured through validated psychometric questionnaires: Tinnitus
Handicap Inventory (THI), visual analog scale (VAS) on annoyance, and numerical
description of hours of tinnitus perception (awareness hours). RESULTS: A total
of 38 patients were followed for at least 9 weeks. In nTRT group, all outcome
measures including THI, VAS, and the awareness hours, decreased over 9 weeks with
no statistical significance. In mTRT group, all outcome measures except for
awareness hours significantly improved 9 weeks after the beginning of the
treatment. In bTRT group, all outcome measures decreased significantly in 9
weeks. When therapeutic success is defined as improvement in THI 7 or more, bTRT
group (77.8%) showed a higher success rate than other groups for 38 patients with
the minimum follow-up of 9 weeks. CONCLUSION: All three sounds can provide relief
in patients with annoying tinnitus after TRT. However, there is difference in the
therapeutic effect according to sound types. Broadband sound seems to be better
than narrowband sound or mixed sound in relieving the patients from tinnitus.
Therefore, sound therapy with broadband noise may be more appropriate during TRT,
but further evidence is needed for precise conclusion.
PMID- 24917904
TI - Advantages of binaural amplification to acceptable noise level of directional
hearing aid users.
AB - OBJECTIVES: The goal of the present study was to examine whether Acceptable Noise
Levels (ANLs) would be lower (greater acceptance of noise) in binaural listening
than in monaural listening condition and also whether meaningfulness of
background speech noise would affect ANLs for directional microphone hearing aid
users. In addition, any relationships between the individual binaural benefits on
ANLs and the individuals' demographic information were investigated. METHODS:
Fourteen hearing aid users (mean age, 64 years) participated for experimental
testing. For the ANL calculation, listeners' most comfortable listening levels
and background noise level were measured. Using Korean ANL material, ANLs of all
participants were evaluated under monaural and binaural amplification with a
counterbalanced order. The ANLs were also compared across five types of competing
speech noises, consisting of 1- through 8-talker background speech maskers. Seven
young normal-hearing listeners (mean age, 27 years) participated for the same
measurements as a pilot testing. RESULTS: The results demonstrated that
directional hearing aid users accepted more noise (lower ANLs) with binaural
amplification than with monaural amplification, regardless of the type of
competing speech. When the background speech noise became more meaningful,
hearing-impaired listeners accepted less amount of noise (higher ANLs), revealing
that ANL is dependent on the intelligibility of the competing speech. The
individuals' binaural advantages in ANLs were significantly greater for the
listeners with longer experience of hearing aids, yet not related to their age or
hearing thresholds. CONCLUSION: Binaural directional microphone processing
allowed hearing aid users to accept a greater amount of background noise, which
may in turn improve listeners' hearing aid success. Informational masking
substantially influenced background noise acceptance. Given a significant
association between ANLs and duration of hearing aid usage, ANL measurement can
be useful for clinical counseling of binaural hearing aid candidates or
unsuccessful users.
PMID- 24917905
TI - The efficacy of preemptive analgesia with pregabalin in septoplasty.
AB - OBJECTIVES: Pregabalin is used to treat neuropathic pain and has shown analgesic
properties in postoperative pain. The aim of this study was to investigate the
effectiveness and safety of pregabalin in reducing postoperative pain in patients
after septoplasty. METHODS: Forty-seven patients scheduled for elective
septoplasty were randomly assigned to groups that received either pregabalin (150
mg) or placebo, both one hour before surgery and 12 hours after the initial dose.
Pain (verbal numerical rating scale, VNRS) and side effect assessments were
performed at 6, 12, 12 to 24, and 24 to 48 hours postoperatively. RESULTS: From 1
to 12 hours postoperatively, VNRS scores for pain were lower in the pregabalin
group (n=24) than in the placebo group (n=23; P<0.05). The number of patients who
needed rescue analgesics was lower in the pregabalin group (P=0.042). The
incidence of nausea and vomiting did not differ between groups (P=0.666), and the
incidence of sedation was higher in the placebo groups (P=0.022). CONCLUSION: The
perioperative administration of oral pregabalin (150 mg twice) is an effective
and safe way to reduce early postoperative pain in patients undergoing
septoplasty.
PMID- 24917906
TI - Effects of topical intranasal doxycycline treatment in the rat allergic rhinitis
model.
AB - OBJECTIVES: Allergic rhinitis (AR) is a chronic upper respiratory tract disease
that inflames the mucous membranes of the nose and occurs when circulating
inflammatory cells including eosinophils and basophils migrate to and accumulate
in the inflammation area by passing through the interstitium and capillary walls.
To pass through these barriers, the inflammatory cells degrade extracellular
matrix proteins. Matrix metalloproteinases (MMPs) released by inflammatory cells
mediate the degradation of these proteins. MMPs have synthetic inhibitors and
doxycycline, a tetracycline antibiotic, inhibits MMPs. This study investigated
the efficiency of intranasal doxycycline in decreasing the symptoms and
inflammatory cell infiltration in an animal model of AR. METHODS: AR was created
in female Wistar rats by repeated intranasal challenge with ovalbumin by
intraperitoneal injection. For 15 days, topical intranasal doxycycline was
administered one hour before ovalbumin administration. Following intranasal
administration, nasal symptoms were scored and the nasal mucosae of all rats were
evaluated histopathologically. To investigate tissue changes, hematoxyline-eosin
and Alcian blue/periodic acid Schiff stains were used. As well, cilia loss,
goblet cell changes, vascular congestion, vascular proliferation, inflammatory
cell infiltration, eosinophil infiltration and the degree of hypertrophy in
chondrocytes were evaluated with light microscopy. RESULTS: Typical symptoms of
AR were decreased by intranasal doxycycline administration. These effects were
stable after repeated intranasal ovalbumin administration. Histological
evaluation of doxycycline treated rats did not reveal typical inflammatory
changes associated with AR. CONCLUSION: MMPs may have crucial functions in AR and
topical intranasal doxycycline, which decreases inflammatory cell infiltration,
may offer an alternative therapy for AR.
PMID- 24917907
TI - Dexamethasone Induces Apoptosis of Nasal Polyp-Derived Tissue Cultures Through
JNK and p38 MAPK Activation.
AB - OBJECTIVES: Glucocorticoids, such as dexamethasone (DEX), increase apoptosis in a
variety of white cells in nasal polyps and apoptosis is an important factor in
the resolution of inflammation. However, the mechanism of glucocorticoids induced
apoptosis in nasal polyp remains unclear. In this study the authors evaluated
which pathways were engaged in apoptosis induced by DEX in an ex vivo model of
nasal polyps. METHODS: Nasal polyp tissues were cultured using an air-liquid
interface method. Cultures were maintained in the absence or presence of DEX (10
or 100 uM) for 24 hours. To investigate the involvement of the apoptotic
signaling pathways in nasal polyp, such as caspase cascades, Fas-FasL signaling
pathway, mitochondrial pathway and p38 mitogen-activated protein kinase
(MAPK)/JNK pathway, the authors performed reverse transcription-polymerase chain
reaction and Western blotting. RESULTS: The expression ratios of FasL, activated
form of caspase-8, caspase-9, and caspase-3 were significantly higher in DEX
treated polyps (P<0.01). In the Bcl-2 family expression, the anti-apoptotic
molecules, Bcl-2 and Bcl-XL decreased, but pro-apoptotic molecules, Bax
increased, and Bid and Bad were activated. In the conventional MAPKs, JNK, and
the phospho-p38 MAPK were significantly higher, but phospho-extracellular signal
regulated kinase (ERK)1/2 was significantly lower in DEX-treated polyps (P<0.01).
CONCLUSION: DEX induces apoptosis of nasal polyp via caspase cascades, Fas-FasL
signaling pathway, mitochondrial pathway and p38 MAPK/JNK pathway.
PMID- 24917908
TI - Partial Superficial Parotidectomy via Retroauricular Hairline Incision.
AB - OBJECTIVES: The purpose of this study was to evaluate the usefulness of
retroauricular hair line incision (RAHI) in partial superficial parotidectomy by
comparison with modified Blair incision or facelift incision. METHODS: Medical
records of 73 patients with benign parotid tumor who underwent partial
superficial parotidectomy were retrospectively reviewed. Size and location of
tumors, operative time, occurrence of facial nerve paralysis and Frey's syndrome,
and cosmetic outcomes were compared among RAHI, facelift incision (FLI), modified
Blair incision (MBI) groups. RESULTS: RAHI group showed better cosmetic results
than FLI group or MBI group compared with other type of incisions (P<0.001,
P<0.001, respectively). Among the 3 groups, there were no significant differences
of operative time and location of tumor (P=0.377), size of tumor (P>0.999),
occurrence of temporary or permanent facial nerve paralysis (P=0.745) and Frey's
syndrome (P=0.940). CONCLUSION: Partial superficial parotidectomy can be done
safely by RAHI in most cases of benign parotid tumor. Compared with MBI or FLI,
RAHI has better cosmetic outcome with no increase of operative time or
postoperative complications.
PMID- 24917909
TI - The Role of Transnasal Esophagoscopy in ENT Office: A Prospective, Multicenter
Study in Korea.
AB - OBJECTIVES: The purpose of study was to report the current role of transnasal
esophagoscopy (TNE) in Korea. METHODS: One hundred thirty-seven patients who
underwent TNE at Soonchunhyang University Bucheon Hospital (n=69) and Korea
University Anam Hospital (n=68) from July 2007 to February 2009 were
prospectively analyzed. Laryngopharyngeal reflux disease (LPRD) patients without
any response to proton-pump inhibitor (n=102), and patients with complaints that
require esophagoscopy as part of their evaluation (n=35) were included in this
study; investigation of metachronous lesions or routine follow-up screening of
head and neck cancer patients, n=17; dysphagia, n=9; blood tinged saliva, n=4; to
determine the cause of vocal fold paralysis as screening tool, n=4; suspicious
esophageal foreign body, n=1. RESULTS: Fifty-three patients (38.7%) had positive
findings on TNE. Positive finding ratio was highest in group of dysphagia (7
among 9 patients, 78%). Forty-two patients (41.1%) were found to have pathology
(esophagitis, n=41; esophageal diverticulum, n=1) during the screening
examination for LPRD. There were no significant complications in any of the
patients. CONCLUSION: TNE is a high-yield diagnostic and therapeutic modality
available to otolaryngologists for use on awake patients in the office setting.
PMID- 24917910
TI - Clinical history, prognostic factors, and management of facial nerve in malignant
tumors of the parotid gland.
AB - OBJECTIVES: We analyzed the outcomes following clinical management of parotid
masses that were determined to be malignant tumors after parotidectomy. METHODS:
We evaluated data from 70 patients with parotid malignancies between November
1994 and December 2005. RESULTS: Among salivary histotypes (n=49), the most
significant prognostic parameter was cT4 stage at diagnosis (P=0.0055, log-rank)
both for clinical involvement of the facial nerve and for invasion of other
structures. The main cause of cancer-related death was a distant metastasis.
CONCLUSION: The present series confirms that the main prognostic parameter in
salivary parotid malignancies was cT4 classification at diagnosis, often due to
clinical involvement of the facial nerve. The oncological outcome of salivary
malignancies was influenced by distant metastasis more than most other head and
neck sites. We recommend dissecting and preserving the functioning VIIth cranial
nerve during surgery for parotid malignancies.
PMID- 24917911
TI - Characteristics of deep neck infection in children according to weight
percentile.
AB - OBJECTIVES: To evaluate the effect of weight percentile on deep neck infections
in children. METHODS: A retrospective evaluation of 79 patients who were treated
for deep neck infections. The patients were divided into six groups according to
weight percentile. Patients who had systemic and/or congenital disease were
excluded. Their demographics, etiology, localization, laboratory, and treatment
results were reviewed. RESULTS: In total, 79 pediatric patients were recorded:
48.1% were females and 51.9% were males, with a mean age of 7.3 years. In total,
60 patients were under the 50th percentile according to their weight versus all
children. The anteroposterior triangle (29.1%) and submandibular (26.5%) spaces
were most commonly involved with deep neck infection. However, the
anteroposterior triangle space was the highest in the group below the 3rd
percentile (44.4%). In the blood analysis, white blood cell levels in patients
with at percentile values of 75-50 were higher than other groups (P<0.05).
Significant differences were found between C-reactive protein and hemoglobin
levels and diameter of abscesses. The need for surgical drainage in patients in
lower percentiles was higher. The patients who needed surgical drainage consisted
of 56 patients (93.3%) below the 50th percentile and 9 patients (100%) below the
3rd percentile. CONCLUSION: Deep neck infection is more insidious and dangerous
in low-weight-percentile children, especially those having low white blood cell
counts, low hemoglobin levels, and high C-reactive protein in laboratory results.
PMID- 24917912
TI - Persistent Direction-Fixed Nystagmus Following Canalith Repositioning Maneuver
for Horizontal Canal BPPV: A Case of Canalith Jam.
AB - The authors report a 64-year-old man who developed persistent direction fixed
nystagmus after a canalith repositioning maneuver for horizontal canal benign
paroxysmal positional vertigo (HC-BPPV). The patient was initially diagnosed with
right HC-BPPV given that the Dix-Hallpike test showed geotropic horizontal
nystagmus that was more pronounced on the right side, although the roll test did
not show any positional nystagmus. The patient was treated with a canalith
repositioning maneuver (Lempert maneuver). The next day, the patient experienced
a different character of dizziness, and left-beating spontaneous nystagmus
regardless of head position was observed. After a forced prolonged left decubitus
and frequent head shaking, his symptoms and nystagmus resolved. This condition,
referred to as canalith jam, can be a complication after the repositioning
maneuver in patients with BPPV. Atypical positional tests suggest that abnormal
canal anatomy could be the underlying cause of canalith jam.
PMID- 24917913
TI - Reconstruction of a columellar defect with a nasolabial island flap.
AB - Columellar skin defects may be caused by excision of cutaneous malignancy,
trauma, or tissue necrosis associated with surgery. Although columellar skin
necrosis rarely occurs following rhinoplasty, this condition might be more common
when using an external approach than a closed approach. Columellar skin incision
performed with exaggerated tip augmentation may cause columellar necrosis. The
nasolabial island flap, used unilaterally to cover columellar skin defects, is
used for a single-stage reconstruction procedure and is generally not associated
with the need for secondary surgeries. This technique is well suited for
repairing columellar skin defects. We experienced a patient with columellar skin
necrosis occurring after rhinoplasty which was reconstructed using a unilateral
single-stage nasolabial island flap.
PMID- 24917914
TI - Sinonasal glomangiopericytoma causing oncogenic osteomalacia.
AB - A 60-year-old woman suffered from recurrent femur neck fracture. Laboratory data
showed serum hypophosphatemia, elevated alkaline phosphatase, normal serum
calcium levels, and normal parathyroid hormone levels. Radiological examinations
revealed a tumor in the right maxillary alveolar bone. The nasal cavity mass was
removed, and the histological features were those of glomangiopericytoma. After
removal of the tumor, some of the laboratory data normalized. Based on the
clinical features, histopathological diagnosis and postoperative course of
events, a diagnosis of glomangiopericytoma causing oncogenic osteomalacia was
confirmed. We report a case of oncogenic osteomalacia caused by sinonasal
glomangiopericytoma.
PMID- 24917915
TI - Oncocytic sialolipoma of the submandibular gland.
AB - Sialolipoma, a rare tumor of the salivary gland, is a recently described variant
of salivary gland lipoma. Oncocytic sialolipoma was first described by Pusiol et
al. in 2009. We report the case of an oncocytic sialolipoma of the submandibular
gland in a 43-year-old female. Excision of the tumor was performed with
preservation of the submandibular gland. The tumor had a thin, fibrous capsule
and consisted of abundant adipose tissue, an oncocytic nodule, and scattered
normal glandular structures surrounded by adipose tissue. Four cases of
sialolipoma of the submandibular gland, including the present case, were
reviewed. All 4 tumors were developed on the right submandibular glands, with a
composition of adipose tissue as high as that of sialolipoma of the parotid
gland; in contrast to previous reports, three cases were in females. As newly
described tumor type, care should be taken to distinguish oncocytic sialolipoma
from other salivary gland neoplasms such as simple lipoma, pleomorphic adenoma,
or oncocytoma.
PMID- 24917916
TI - A cervical vagal schwannoma mimicking a parathyroid cyst.
AB - Cervical vagal schwannomas with cystic degeneration changes are extremely rare.
These tumors are typically benign and slow growing. A 44-year-old woman underwent
complete resection of a tumor measuring 4*3.5 cm in the right neck using the
endoscopic approach, instead of the conventional transcervical approach. We
applied the new scarless neck surgery technique with an endoscopic unilateral
axillo-breast approach. The tumor originated from the right vagus nerve, and was
confirmed to be a vagal schwannoma pathologically. The patient has been followed
up for 18 months postoperatively with no evidence of tumor recurrence or
neurological deficit. We report an extremely rare vagal schwannoma with cystic
degeneration that was removed by an endoscopic approach, along with a review of
the relevant literature.
PMID- 24917917
TI - Effect of Fibroblast Co-culture on In Vitro Maturation and Fertilization of Mouse
Preantral Follicles.
AB - BACKGROUND: The aim of this study was to evaluate fibroblast co-culture on in
vitro maturation and fertilization of prepubertal mouse preantral follicles.
MATERIALS AND METHODS: The ovaries of 12-14 day old mice were dissected and 120
150 MUm intact preantral follicles with one or two layers of granulosa cells, and
round oocytes were cultured individually in alpha-minimal essential medium (alpha
MEM) supplemented with 5% fetal bovine serum (FBS), 100 mIU/ml recombinant
follicle stimulating hormone, 1% insulin, transferrin, selenium mix, 100 MUg/ml
penicillin and 50 MUg/ml streptomycin as base medium for 12 days. A total number
of 226 follicules were cultured under two conditions: i) base medium as control
group (n=113); ii) base medium co-cultured with mouse embryonic fibroblast (MEF)
(n=113). Follicular diameters, alone, in addition to other factors were analyzed
by student's t-test and chi-square test, respectively. RESULTS: The co-culture
group showed significant differences (p<0.05) in growth rate (days 4, 6 and 8 of
the culture period) and survival rate. However, there was no significant
difference in antrum formation, ovulation rate and embryonic development of
released oocytes. There were significant differences (p<0.05) in the estradiol
and progesterone secretion at all days between the co-culture and control groups.
CONCLUSION: Fibroblast co-culture increased survival rate and steroid production
of preantral follicles by promoting granulosa cell proliferation.
PMID- 24917918
TI - Does Adding Dexamethasone to Clomiphene Citrate Improve Ovulation in PCOS
Patients? A Triple - Blind Randomized Clinical Trial Study.
AB - BACKGROUND: A common cause of anovulation is polycystic ovarian syndrome (PCOS).
Clomiphene citrate (CC) is the first line of treatment in PCOS patients however
approximately 25% of patients may be CC-resistant. This study aimed to evaluate
the efficacy of adding dexamethasone (dex) to CC in CC-resistant PCOS patients
with the intent to improve ovulation. MATERIALS AND METHODS: This randomized
controlled trial study was performed on 60 infertile PCOS patients referred to
our infertility research center from 2007 to 2009. Patients were randomly divided
in two groups and stimulation performed with dex+CC or CC+placebo. Rates of
ovulation, pregnancy and number of mature follicles were evaluated. RESULTS:
Ovulation rate in the dex+CC group was 21 out of 30 (70%) and in the CC+placebo
group it was 17 out of 30 (56.7%). The pregnancy rate was 5 (16.7%) in the dex+CC
group and 3 (10%) in the CC+placebo group. There was no significant difference
between rates of ovulation and pregnancy in both groups, but the number of
follicles >=18 mm were significant in the dex+CC group (p<0.05). CONCLUSION: Our
results showed that addition of dex to cc significantly increased the number of
matured follicles, however the ovulation and pregnancy rates were comparable
between the two groups (Registeration Number: IRCT 138807041760 N2).
PMID- 24917919
TI - The effects of lead acetate on sexual behavior and the level of testosterone in
adult male rats.
AB - BACKGROUND: In the present study, the oral effect of lead acetate on the
parameters related to sexual behavior as well as changes in the level of
testosterone hormone in adult male rats have been investigated. MATERIALS AND
METHODS: Forty adult male Wistar rats were allocated into five equal groups. The
control group received nothing, the sham group received distilled water and the
experimental groups received 25, 50 and 100mg/kg lead acetate orally,
respectively for 28 days. The changes in testosterone hormone level and following
sexual behavior parameters were investigated: mount latency (ML), intromission
latency (IL), post ejaculatory interval (PEI), mount frequency (MF), ejaculatory
latency (EL), intromission frequency (IF), copulatory efficacy (CE) and
intercopulatory interval (ICI). RESULTS: The levels of testosterone hormone in
the groups that received 50 and 100 mg/kg lead acetate showed significant
decreases in compared to the control group. Additionally, the same doses of lead
acetate caused significant increases in ML, IL, PEI and EL compared to the
control group. No significant change was observed in MF, but a significant
decrease was detected in IF and CE in the experimental group that received 100
mg/kg lead acetate when compared with the control group. ICI showed significant
decreases in the experimental groups that received 50 and 100 mg/kg lead acetate
compared to the control group. CONCLUSION: It can be concluded that ingestion of
lead acetate affects some behavioral activities and the testosterone level of
male rats. These effects might be conducted via the alteration of leydig cells
following lead acetate poisoning.
PMID- 24917920
TI - Effect of Culture System on Developmental Competence, Cryosurvival and DNA
Fragmentation of In Vitro Bovine Blastocysts.
AB - BACKGROUND: This study investigated the effect of two in vitro embryo culture
systems (co-culture system versus cell-free sequential-media) on developmental
competence, cryosurvival and DNA- fragmentation of in vitro developed bovine
blastocysts. MATERIALS AND METHODS: Bovine presumptive zygotes were cultured in
Menezo's B2 (B2) plus vero-cells or sequential synthetic oviductal fluid (SOF)
for eight days. Subsequently, half of the expanded blastocysts developed in both
groups were vitrified, warmed within 30 minutes and post- warming embryos along
with their corresponding non-vitrified embryos were cultured for two additional
days in the same medium used before vitrification. Embryo development,
cryosurvival and apoptosis were compared between the groups. RESULTS: For non
vitrified embryos, culture in SOF significantly promoted the potency of embryos
to develop into blastocysts compared with the co-culture system. The difference
in post vitrification survival rate of SOF blastocysts (83.3%) was insignificant
compared with co-culture (84.3%). However, while total cell number of warmed
blastocysts in the co-culture system was significantly higher in the co-culture
versus the sequential system (215.4 vs. 170.4), the quality of survived embryos
in terms of hatching ability and apoptosis was adversely affected by co-culture
compared with SOF (65.0% vs. 74.3%, and 13.5% vs. 10.0%, respectively; p<0.05).
CONCLUSION: Although co-culture system may increase the viability of embryos
following cryopreservation, the potency and dynamics of blastocyst formation
significantly increased with sequential media compared to the co-culture system
which can compensate for the lower efficiency of sequential media for
vitrification/warming purposes.
PMID- 24917921
TI - Follicular Viability and Histological Alterations after Auto-transplantation of
Dog Ovaries by Experimentally Inducing Blood Sinus on Stomach.
AB - BACKGROUND: Currently, chemotherapy and radiotherapy are considered most
effective methods for cancer treatment, however these strategies often result in
fertility problems. A favorable alternative to prevent fertility loss in cancer
patients is the cryopreservation and transplantation of sexual tissues (ovaries
and/or testes). There is a low rate of fertilization following cryopreservation
of ovaries prior to implantation. Therefore, in our opinion, this low rate is
caused by instable blood flow during organ transplantation. Thus, this study
researches a canine ovarian model that focuses on direct exposure of ovaries with
blood in an experimentally induced sinus-like cavity. We implanted this tissue on
the muscular layer of the stomach, which is its most vascularized region.
MATERIALS AND METHODS: Ovarian transplantation was conducted on T1 animals (n=5),
bilateral ovariectomy was performed on T2 animals (n=5), unilateral ovariectomy
was conducted on T3 cases and animals in the control-sham group (n=5) did not
undergo ovariectomy or transplantation. RESULTS: All isotransplanted ovaries
survived. Ovaries resumed follicular growth and revascularization. Transplanted
ovaries contained 75%-76% of survived small follicles (pre antral) after 60 days.
The ovarian granulosa cells showed considerable resistance against ischemia.
After day 30 no statistically significant differences in the level of estradiol
and progesterone were observed between T1 animals and the T3 group. T1 animals
showed considerably high levels of progesterone and estradiol in comparison to T2
cases. CONCLUSION: This study showed that using blood sinus method for ovarian
isotransplantation helps ovarian tissue to survive from post implantation
ischemia which confirms with normal follicles presentation and intact endocrine
function of the implanted ovaries.
PMID- 24917922
TI - Evaluation of nuchal translucency measurement in first trimester pregnancy.
AB - BACKGROUND: A significant number of pregnancies, particularly in women with
previous histories of infertility, are associated with fetal abnormalities.
Methods such as the nuchal translucency (NT) measurement enable us to identify
more pregnancies with chromosomal abnormalities. MATERIALS AND METHODS: This
analytic cross-sectional study was performed in 446 pregnant women at 11-14 weeks
gestation, from 2009 to 2010 in the Fetal Medicine Unit of Imam Khomeini
Hospital, Ahvaz Jundishapur University of Medical Sciences. All NT measurements
were performed by a certified sonographer using the Fetal Medicine Foundation
(FMF) recommended protocol. FMF first trimester software was used for primary and
secondary (adjusted) risk calculation. RESULTS: The average maternal age was 28.5
years and 15% of mothers were >=35 years of age. The average crown rump length
(CRL), gestational age and NT thickness were 61.7, 12.4 weeks and 1.75 mm,
respectively. There were 20 cases with increased adjusted risk (4.04%) and 4
cases of documented abnormal karyotype. CONCLUSION: In our study increased
adjusted risk was 4.04%.Documented abnormal karyotype were 0.9% and 28% of total
and high-risk groups who accepted amniocentesis, respectively. In this study, 50%
of women with high-risk results and about half of those with abnormal karyotypes
were seen in women under age 35. Knowing these risks is of utmost importance in
pregnancy, particularly in patients with infertility histories.
PMID- 24917923
TI - Long-term Developmental Effects of Lactational Exposure to Lead Acetate on Ovary
in Offspring Wistar Rats.
AB - BACKGROUND: During the last decades, environmental contamination by lead
generated from human activities has become an evident concern. The present study
assessed the long-term effects of neonatal exposure to different doses of lead
acetate on the ovaries of offspring rats. MATERIALS AND METHODS: Pregnant female
Wistar rats were randomly divided into a control and three experimental groups.
The experimental groups received 20, 100 and 300 mg/L/day lead acetate via
drinking water during lactation. Ovaries of the offspring were removed at 30, 60,
90 and 120 days of age, their weights recorded and fixed in Bouin's solution.
Following tissue processing, 5 MUm serial sections were stained with hematoxylin
eosin, and then, the numbers and diameters of ovarian follicles and corpora lutea
were estimated. RESULTS: Ovary weights decreased significantly (p<0.05) in the
300 mg/L/day dose groups at 30, 60 and 90 days postnatal development. Significant
dose-related decreases were seen in the numbers of primary, secondary and antral
follicles in 100 (p<0.05) and 300 mg/L/day doses groups at 30 and 60 days of age
(p<0.01). There was significant decrease in mean number of corpora lutea in the
100 (p<0.05) and 300 (p<0.01) mg/L/day dose groups at 60 days of age. It seems
that neonatal lead treatment has transient effects on follicular development in
the ovary of offspring and ovarian parameters gradually improve until 90 days of
age. CONCLUSION: The present study showed that maternal lead acetate exposure
affects prepubertal ovarian follicle development in a dose dependent manner, but
ovarian parameters gradually improve during the postpubertal period.
PMID- 24917924
TI - Anti-coagulation during pregnancy in women with mechanical heart valves: a
prospective study.
AB - BACKGROUND: Pregnancy is associated with a hypercoagulable state, therefore the
optimal anticoagulants for potential use in pregnant women with prosthetic heart
valves are controversial. The aim of this study is to investigate the effect of
anticoagulants on pregnancy outcomes and their potential risks in pregnant women
with mechanical heart valves. MATERIALS AND METHODS: In this prospective cohort
study, we followed 44 women with 49 pregnancies who had mechanical heart valves
from September 2002 to September 2007. A total of 38 patients took warfarin
throughout their pregnancies (group A). In 11 patients, warfarin was changed to
heparin during the first trimester and then again to warfarin during 12thto
36thweeks of gestational age (group B). All women took warfarin from 36thweeks of
gestational age until delivery. RESULTS: In group A, there were 22 live births
(57.9%), 15 abortions (39.5%) and 1 maternal death (2.6%). In group B, there were
seven live births (63.6%), three spontaneous abortions (27.3%) and one intra
uterine fetal death (9.1%). There was no significant difference in live birth
rate between the two groups (p=0.24). Thirty-three pregnancies (86.8%) in group A
and five pregnancies (45.4%) in group B had no maternal complications (p=0.004).
The difference in pregnancy complications between both groups was significant
(p<0.001). CONCLUSION: The present study shows that low dose warfarin (5 mg/day
or less) may be safe during the first trimester of pregnancy. Maternal adverse
events are low when pregnant women with mechanical heart valves remain on a
warfarin regimen. The risk of embryopathy does not necessarily increase.
PMID- 24917925
TI - Adipose-derived stem cells cooperate with fractional carbon dioxide laser in
antagonizing photoaging: a potential role of Wnt and beta-catenin signaling.
AB - BACKGROUND: It is well established that adipose-derived stem cells (ADSCs)
produce and secrete cytokines/growth factors that antagonize UV-induced
photoaging of skin. However, the exact molecular basis underlying the anti
photoaging effects exerted by ADSCs is not well understood, and whether ADSCs
cooperate with fractional carbon dioxide (CO2) laser to facilitate photoaging
skin healing process has not been explored. Here, we investigated the impacts of
ADSCs on photoaging in a photoaging animal model, its associated mechanisms, and
its functional cooperation with fractional CO2 laser in treatment of photoaging
skin. RESULTS: We showed that ADSCs improved dermal thickness and activated the
proliferation of dermal fibroblast. We further demonstrated that the combined
treatment of ADSCs and fractional CO2 laser, the latter which is often used to
resurface skin and treat wrinkles, had more beneficial effects on the photoaging
skin compared with each individual treatment. In our prepared HDF photoaging
model, flow cytometry showed that, after adipose derived stem cells conditioned
medium (ADSC-CM) co-cultured HDF photoaging model, the cell proliferation rate is
higher than UVB irradiation induced HDF modeling (p < 0.05). Additionally, the
expressions of beta-catenin and Wnt3a, which were up-regulated after the
transplantation of ADSCs alone or in combination with fractional CO2 laser
treatment. And the expression of wnt3a and beta-catenin has the positive
correlation with photoaging related protein TGF-beta2 and COLI. We also verified
these protein expressions in tissue level. In addition, after injected SFRP2 into
ADSC-CM co-cultured HDF photoaging model, wnt3a inhibitor, compared with un
intervened group, wnt3a, beta-catenin protein level significantly decreased.
CONCLUSION: Both ADSCs and fractional CO2 laser improved photoaging skin at least
partially via targeting dermal fibroblast activity which was increased in
photoaging skin. The combinatorial use of ADSCs and fractional CO2 laser
synergistically improved the healing process of photoaging skin. Thus, we provide
a strong rationale for a combined use of ADSCs and fractional CO2 laser in
treatment of photoaging skin in clinic in the future. Moreover, we provided
evidence that the Wnt/beta-catenin signaling pathway may contribute to the
activation of dermal fibroblast by the transplantation of ADSCs in both vitro and
vivo experiment.
PMID- 24917926
TI - Characterization of coagulase negative staphylococci from cases of subclinical
mastitis in dairy cattle in Kampala, Uganda.
AB - BACKGROUND: Coagulase negative staphylococci (CNS) are the most common pathogens
leading to subclinical mastitis (SCM) in dairy cattle in Uganda. Coagulase
negative staphylococci can vary between bacterial species in how they cause
disease. The aim of the study was to characterize CNS, from cows with SCM in
Uganda, at the species level. FINDINGS: Quarter milk samples (n = 166) were
collected from 78 animals with SCM. Bacteriological analyses were carried out at
Makerere University, Kampala, Uganda and at the National Veterinary Institute
(SVA), Uppsala, Sweden. The most common pathogens found in milk samples from cows
with SCM were CNS (31.7%). Two species of CNS were found, S. epidermidis (85%)
and S. haemolyticus (15%). Of the CNS isolates, 16/20 (80%) were positive for
beta-lactamase production (beta+). CONCLUSIONS: In milk samples from cows with
SCM caused by CNS, S. epidermidis was most prevalent, followed by S.
haemolyticus.
PMID- 24917927
TI - Safety and efficacy of outpatient bronchoscopy in lung transplant recipients - a
single centre analysis of 3,197 procedures.
AB - BACKGROUND: Bronchoscopy represents an important diagnostic and therapeutic tool
in the management of lung transplant (LTx) recipients. Outpatient bronchoscopy
reduces health costs and may improve quality of life amongst these patients. This
retrospective study assessed the safety and efficacy of outpatient bronchoscopy
including trans-bronchial biopsy. METHODS: All outpatient bronchoscopies
performed on lung transplant recipients between 1 August 2008 and 31 January 2011
were reviewed. Sample quality, duration and complications were recorded. Cost
analysis was performed from local trust financial data. RESULTS: A total of 3,197
bronchoscopies were performed on 571 LTx recipients under topical anaesthesia.
Fourteen percent of examinations required intravenous sedation. In 79.8% of
examinations no complications were observed. Most complications were minor
(17.9%) including cough (5.3%) and minimal bleeding after trans-bronchial biopsy
(7.8%). Major complications (2.3%) were pneumothorax, severe bleeding and severe
desaturation. No attributable deaths were recorded during the observation period.
Quality of examination based on bronchoalveolar lavage recovery median (>50%) and
biopsy results was adequate at 75% and 77.4%, respectively. Independent risk
factors associated with complication were long-term oxygen therapy, sedation
before examination, balloon dilatation and transbronchial biopsy. After excluding
high-risk procedures annual savings per patient (2.2 bronchoscopies per year)
were 2140?. CONCLUSIONS: Outpatient bronchoscopy after LTx is safe. The low
complication rate could be attributed to withholding of intravenous sedation.
Furthermore, it reduces health community costs.
PMID- 24917928
TI - Changes in fetal mannose and other carbohydrates induced by a maternal insulin
infusion in pregnant sheep.
AB - BACKGROUND: The importance of non-glucose carbohydrates, especially mannose and
inositol, for normal development is increasingly recognized. Whether pregnancies
complicated by abnormal glucose transfer to the fetus also affect the regulation
of non-glucose carbohydrates is unknown. In pregnant sheep, maternal insulin
infusions were used to reduce glucose supply to the fetus for both short (2-wk)
and long (8-wk) durations to test the hypothesis that a maternal insulin infusion
would suppress fetal mannose and inositol concentrations. We also used direct
fetal insulin infusions (1-wk hyperinsulinemic-isoglycemic clamp) to determine
the relative importance of fetal glucose and insulin for regulating non-glucose
carbohydrates. RESULTS: A maternal insulin infusion resulted in lower maternal
(50%, P < 0.01) and fetal (35-45%, P < 0.01) mannose concentrations, which were
highly correlated (r(2) = 0.69, P < 0.01). A fetal insulin infusion resulted in a
50% reduction of fetal mannose (P < 0.05). Neither maternal nor fetal plasma
inositol changed with exogenous insulin infusions. Additionally, maternal insulin
infusion resulted in lower fetal sorbitol and fructose (P < 0.01). CONCLUSIONS:
Chronically decreased glucose supply to the fetus as well as fetal
hyperinsulinemia both reduce fetal non-glucose carbohydrates. Given the role of
these carbohydrates in protein glycosylation and lipid production, more research
on their metabolism in pregnancies complicated by abnormal glucose metabolism is
clearly warranted.
PMID- 24917929
TI - Mitochondria and cancer.
PMID- 24917930
TI - Integrating COPD care in Italy.
PMID- 24917931
TI - Expression of aquaporins in bronchial tissue and lung parenchyma of patients with
chronic obstructive pulmonary disease.
AB - BACKGROUND: Aquaporins AQP1 and AQP5 are highly expressed in the lung. Recent
studies have shown that the expression of these proteins may be mechanistically
involved in the airway inflammation and in the pathogenesis of chronic
obstructive pulmonary disease (COPD). The aim of this study was to investigate
the expression of AQP1 and AQP5 in the bronchial tissue and the lung parenchyma
of patients with COPD and COPD-resistant smokers. METHODS: Using a case-control
design, we selected a group of 15 subjects with COPD and 15 resistant smokers
(smokers without COPD) as a control, all of whom were undergoing lung resection
surgery due to a lung neoplasm. We studied the expression of AQP1 and AQP5 in the
bronchial tissue and the lung parenchyma by means of immunohistochemistry and
reverse-transcription real-time polymerase chain reaction. Tissue expression of
AQP1 and AQP5 was semi-quantitatively assessed in terms of intensity and
expression by immunohistochemistry using a 4-point scale ranging from 0 (none) to
3 (maximum). RESULTS: There were no significant differences in gene expression
between COPD patients and resistant smokers both in the bronchial tissue and in
the lung parenchyma. However, AQP1 gene expression was 2.41-fold higher in the
parenchyma of smokers with COPD compared to controls, whereas the AQP5 gene
showed the opposite pattern, with a 7.75-fold higher expression in the bronchus
of smokers with COPD compared with controls. AQP1 and AQP5 proteins were
preferentially expressed in endothelial cells, showing a higher intensity for
AQP1 (66.7% of cases with an intensity of 3, and 93.3% of subjects with an
extension of 3 among patients with COPD). Subtle interstitial disease was
associated with type II pneumocyte hyperplasia and an increased expression of
AQP1. CONCLUSIONS: This study provides pilot observations on the differences in
AQP1 and AQP5 expression between COPD patients and COPD-resistant smokers. Our
findings suggest a potential role for AQP1 in the pathogenesis of COPD.
PMID- 24917932
TI - Detection of piroplasms infection in sheep, dogs and hedgehogs in Central China.
AB - BACKGROUND: Piroplasms are kinds of tick-borne parasitic apicomplexan protozoa,
which are detrimental to humans and animals in tropical and subtropical areas
around the world. Up until now, there has been a limited amount of reliable
information available about the prevalence of piroplasms infections in wild
animals in China. Therefore, we have investigated the infections of Babesia and
Theileria species in both domestic and wild animals in Xinyang city, Henan
province, where tick-borne diseases have recently been reported. This study aims
to analyze the distribution patterns of piroplasms infections in animals, and
assess their potential threat to humans in Central China. METHODS: Blood samples
were collected from sheep, dogs and hedgehogs in two regions, including Shihe
District and Luoshan County, of Xinyang city, Henan province from August to
December 2012. Babesia spp. and Theileria spp. were detected by polymerase chain
reaction (PCR) and identified by sequencing and phylogenetic analysis. Moreover,
the characteristics of detected piroplasms in different animal hosts were
compared between the two study regions. RESULTS: A total of 227 blood samples
were collected from 73 sheep, two dogs and 152 hedgehogs. Babesia spp. was only
detected in the two dogs. Theileria spp. was detected both in the sheep and the
hedgehogs, and the total positive rate of Theileria spp. in the sheep and the
hedgehogs was 57.53% and 13.82%, respectively. Sequencing and phylogenetic
analysis revealed that the Theileria spp. detected in the sheep and the hedgehogs
were very close to T. lunwenshuni cloned from a small ruminant and Theileria spp.
isolated from a febrile hospitalized patient in China. CONCLUSION: Babesia and
Theileria infections were detected in both domestic and wild animals in Xinyang
city, Henan province in Central China, thus warranting further studies in these
regions.
PMID- 24917933
TI - Dysfunctional self-talk associated with eating disorder severity and
symptomatology.
AB - BACKGROUND: While self-talk has been argued to play a crucial role in the
development and maintenance of eating disorders (EDs), it has received limited
research attention. This study aimed to explore the relationship of ED self-talk
with ED severity and symptomatology. METHODS: Analysis of the existing
literature, supplemented with a small-scale pilot study, identified 24 distinct
categories of ED self-talk. The main study involved the completion of on-line
questionnaires by 172 women aged 18-49, recruited through clinical services, ED
websites, and the general population. Participants were assigned to clinical (n =
83) and non-clinical (n = 89) samples, using the Eating Disorder Examination
Questionnaire to screen for ED psychopathology. RESULTS: Substantial differences
in the levels of ED self-talk were found between the clinical and non-clinical
populations. Principal components analysis, conducted within the clinical sample,
revealed ED self-talk to have a two-component structure. Self-talk reflecting an
'abusive relationship' between the sufferer and the ED strongly predicted overall
severity and several aspects of symptomatology. 'Ascetic attitudes' towards
thinness were linked with compulsive exercising and lower BMIs but not with
overall severity. CONCLUSIONS: Close examination of the 'abusive relationship'
component suggests a need to loosen the connection between negative appraisals of
the abused self and the abusive voice of the ED so that the former can fulfil
their potential as a force for change. Further, in seeking to counter the impact
of the ED voice, it is suggested that the seducer and abuser roles require
primary clinical focus.
PMID- 24917935
TI - Introduction from the president.
PMID- 24917934
TI - Pilot study employing heart rate variability biofeedback training to decrease
anxiety in patients with eating disorders.
AB - Heart rate variability (HRV) biofeedback, a technique which encourages slow
meditative breathing, was offered to 25 in-patients with various eating disorder
diagnoses-anorexia nervosa, bulimia nervosa and binge eating disorder. We found
that this modality had no serious side effects, and was subjectively useful to
most participants. An enhanced ability to generate highly coherent HRV patterns
in patients with recent onset anorexia nervosa was observed.
PMID- 24917936
TI - Introductory message from the Editors.
PMID- 24917937
TI - Linaclotide: A new drug for the treatment of chronic constipation and irritable
bowel syndrome with constipation.
AB - INTRODUCTION: Linaclotide is the first member of a novel class of drugs to be
extensively evaluated for the treatment of chronic constipation (CC) and
irritable bowel syndrome with constipation (IBS-C). AIM: To provide a
comprehensive overview of the current state of knowledge on linaclotide, its
pharmacological properties, mode of action and efficacy in clinical trials to
date. METHODS: We conducted a systematic review of the literature. RESULTS: The
survey revealed that linaclotide is a minimally absorbed, 14-amino acid peptide
which acts in the intestinal lumen on guanylate cyclase-C (GC-C). This results in
generation of cyclic guanosine monophosphate (cGMP), which stimulates chloride
secretion, resulting in increased luminal fluid secretion and an acceleration of
intestinal transit. In animal models, linaclotide also decreased visceral
hypersensitivity. Linaclotide softened stool and increased transit in CC and in
IBS-C. Phase II and phase III clinical studies established efficacy of
linaclotide in CC (linaclotide 145 ug daily approved in the United States for CC)
and in IBS-C (linaclotide 290 ug daily US Food and Drug Administration-approved
for IBS-C, with favourable recommendation for the European Medicines Agency
Committee for Medicinal Products for Human Use (CHMP). Linaclotide showed a
favourable safety profile, and the main treatment-emerging adverse event was
diarrhea, leading to discontinuation rates of up to 5%. Linaclotide is an
important addition to the therapeutic possibilities for treating IBS-C and CC.
PMID- 24917938
TI - Modern medical and surgical management of difficult-to-treat GORD.
AB - Approximately 30-40% of patients taking proton pump inhibitors (PPIs) for
presumed gastro-oesophageal reflux (GOR) symptoms do not achieve adequate symptom
control, especially when no oesophageal mucosal breaks are present at endoscopy
and when extra-oesophageal symptoms are concerned. After failure of optimization
of medical therapy, a careful work up is mandatory that aims at determining
whether symptoms are related to GOR or not. Most patients with refractory
symptoms do not have GOR-related symptoms. Some may have symptoms related to
weakly acidic reflux and/or oesophageal hypersensitivity. Baclofen is currently
the only antireflux compound available as add-on therapy to PPIs, but its poor
tolerability limits its use in clinical practice. There is room for pain
modulators in patients with hypersensitive oesophagus and functional heartburn.
Antireflux surgery is a suitable option in patients responding to medical therapy
who want to avoid taking medication or if persisting symptoms can be clearly
attributed to poorly controlled GOR.
PMID- 24917939
TI - Laparoscopy for extraperitoneal rectal cancer reduces short-term morbidity:
Results of a systematic review and meta-analysis.
AB - BACKGROUND: The role of laparoscopy in the treatment of extraperitoneal rectal
cancer is still controversial. The aim of the study was to evaluate differences
in safety of laparoscopic rectal resection for extraperitoneal cancer, compared
with open surgery. MATERIALS AND METHODS: A systematic review from 2000 to July
2012 was performed searching the MEDLINE and EMBASE databases (PROSPERO
registration number CRD42012002406). We included randomized and prospective
controlled clinical studies comparing laparoscopic and open resection for rectal
cancer. Primary endpoints were 30-day mortality and morbidity. Then a meta
analysis was conducted by a fixed-effect model, performing a sensitivity analysis
by a random-effect model. Relative risk (RR) was used as an indicator of
treatment effect. RESULTS: Eleven studies, representing 1684 patients, met the
inclusion criteria: four were randomized for a total of 814 patients. Mortality
was observed in 1.2% of patients in the laparoscopic group and in 2.3% of
patients in the open group, with an RR of 0.56 (95% CI 0.19-1.64, p = 0.287). The
overall incidence of short-term complications was lower in the laparoscopic group
(31.5%) compared to the open group (38.2%), with an RR of 0.83 (95% CI 0.73-0.94,
p = 0.004). Surgical complications, wound complications, blood loss and the need
for blood transfusion, time for bowel movement recovery, food intake recovery,
and hospital stay were significantly lower or less frequent in the laparoscopic
group. The incidence of intra-operative injuries, anastomotic leakages, and
surgical re-interventions was similar in the two groups. Only operative time was
in favour of the open group. CONCLUSIONS: Based on the evidence of both
randomized and prospective controlled series, mortality was lower after
laparoscopy although not significantly so, while the short-term morbidity RR,
including subgroup analysis, was significantly lower after laparoscopy for
extraperitoneal rectal cancer compared to open surgery.
PMID- 24917940
TI - Efficacy and safety of oral prucalopride in women with chronic constipation in
whom laxatives have failed: an integrated analysis.
AB - BACKGROUND: Prucalopride is a selective, high-affinity, 5-hydroxytryptamine
(serotonin) type 4 (5-HT4) receptor agonist with gastrointestinal prokinetic
activities. This integrated analysis of data from three double-blind phase III
trials (ClinicalTrials.gov: NCT00488137, NCT00483886, NCT00485940) compared the
efficacy and safety of prucalopride 2 mg once daily in women with chronic
constipation [<=2 spontaneous complete bowel movements (SCBM) per week] in whom
laxatives had failed to provide adequate relief with that in the all-patient (AP)
population of men and women with chronic constipation who had or had not obtained
relief from laxatives. METHODS: Patients received prucalopride 2 mg or placebo
once-daily for 12 weeks. Efficacy endpoints included an average of >=3 SCBM/week
and average increases of >=1 SCBM/week and >=1 SBM/week over this period. A
response on any of these three endpoints was considered to be clinically
relevant, and an overall response rate was derived for patients satisfying any of
these endpoints. RESULTS: Of the AP population (n = 1318), 936 were women in whom
laxatives had failed to provide adequate relief (WLF). More patients on
prucalopride 2 mg than placebo had an average of >=3 SCBM/week (AP 24.4 vs 11.0%;
WLF 24.7 vs 9.2%), an average increase of >=1 SCBM/week (AP 43.5 vs 24.8%; WLF
44.2 vs 22.6%), and an average increase of >=1 SBM/week (AP 66.7 vs 38.4%; WLF
68.3 vs 37.0%) (all p < 0.001). Significant differences from placebo were evident
in week 1 and sustained thereafter. Overall response rates in the AP and WLF
populations, respectively, were 69.7 and 71.0% with prucalopride 2 mg and 44.5
and 41.6% with placebo (p < 0.001). Early (weeks 1-4) response predicted ultimate
response over time. Common (>10%) adverse events were abdominal pain, nausea,
diarrhoea, and headache. CONCLUSIONS: Prucalopride 2 mg once daily is effective
in WLF. The efficacy and safety profile observed in WLF was similar to that in
the total evaluated population of patients with chronic constipation who had or
had not obtained adequate relief from laxatives.
PMID- 24917941
TI - Etiology, endoscopic management and mortality of upper gastrointestinal bleeding
in patients with cancer.
AB - BACKGROUND: The source and outcomes of upper gastrointestinal bleeding (UGIB) in
oncologic patients are poorly investigated. OBJECTIVE: The study aimed to
investigate these issues in a tertiary academic referral center specialized in
cancer treatment. METHODS: This was a retrospective study including all patients
with cancer referred to endoscopy due to UGIB in 2010. RESULTS: UGIB was
confirmed in 147 (of 324 patients) referred to endoscopy for a suspected episode
of GI bleeding. Tumor was the most common cause of bleeding (N = 35, 23.8%),
followed by varices (N = 30, 19.7%), peptic ulcer (N = 29, 16.3%) and
gastroduodenal erosions (N = 16, 10.9%). Among the 32 patients with cancer of the
upper GI tract, the main causes of bleeding were cancer (N = 27, 84.4%) and
peptic ulcer (N = 5, 6.3%). Forty-one patients (27.9%) presented with bleeding
from the primary tumor or from a metastatic lesion, and seven received endoscopic
therapy, with successful initial hemostasis in six (85.7%). Rebleeding and
mortality rates were not different between endoscopically treated (N = 7) and non
treated (N = 34) patients (28.6% vs. 14.7%, p = 0.342; 43.9% vs. 44.1%, p =
0.677). Median survival was 20 days, and the overall 30-day mortality rate was
44.9%. There was no predictive factor of mortality or rebleeding. CONCLUSION:
Tumor bleeding is the most common cause of UGIB in cancer patients. UGIB in
cancer patients correlates with a high mortality rate regardless of the bleeding
source. Current endoscopic treatments may not be effective in preventing
rebleeding or improving survival.
PMID- 24917942
TI - UEG Week 2012 award winners.
PMID- 24917943
TI - UEG Support Policies: LINK Award Programme 2012.
PMID- 24917944
TI - Synopsis of recent guidelines on pancreatic exocrine insufficiency.
AB - BACKGROUND: In recent years, three national gastroenterology societies
established guidelines for the diagnosis and therapy of pancreatic exocrine
insufficiency (PEI). In addition, the Cochrane Collaboration issued a review.
OBJECTIVE: The purpose of this paper is to present an overview of the
recommendations and concordance between the four recent published guidelines and
stimulate further discussion. METHODS: A review of the Australian, German and
Italian guidelines and the Cochrane review was conducted, and a synthesis was
made of common statements. RESULTS: There is a high degree of agreement on almost
all items within these guidelines, both in the diagnosis of PEI and in terms of
therapy and approach to management of PEI. In addition, novel emerging
developments are highlighted, such as the fecal elastase-1 test, which is widely
used but is not suitable for measuring mild-to-moderate PEI despite its ability
to positively establish the diagnosis of severe PEI. One of the few novel tests
proving to be useful is the (13)C mixed-chain triglycerides (MCT) breath test.
This test, albeit an excellent quantitative test, is not widely used and is
rarely available. The use of this test is making it apparent that there is a
difference between treating the symptoms of PEI and treating malnutrition, the
broader underlying defect. This may have direct consequences for the dosing of
pancreatic enzymes (pancreatin), in that the consensus starting dose of all
guidelines may be too low for some patients. Although chronic pancreatitis in
adults and cystic fibrosis in children account for the main evidence base used
for PEI, other indications are also discussed. CONCLUSIONS: There is good
concordance between recommendations provided by international groups. More
prospective studies are required in many areas, including the use of pancreatic
enzymes in other gastrointestinal disorders, such as celiac disease and irritable
bowel syndrome (IBS). We also need to assess the feasibility of the (13)C MCT
breath test. At the same time, it needs to be confirmed that higher doses of
pancreatic enzymes are really necessary to not only relieve the symptoms of PEI
but also treat malnutrition appropriately.
PMID- 24917945
TI - Molecular imaging in endoscopy.
AB - Molecular imaging focuses on the molecular signature of cells rather than
morphological changes in the tissue. The need for this novel type of imaging
arises from the often difficult detection and characterization especially of
small and/or premalignant lesions. Molecular imaging specifically visualizes
biological properties of a lesion and might thereby be able to close diagnostic
gaps, e.g. when differentiating hyperplastic from neoplastic polyps or detecting
the margins of intraepithelial neoplastic spread. Additionally, not only the
detection and discrimination of lesions could be improved: based on the molecular
features identified using molecular imaging, therapy regimens could be adjusted
on the day of diagnosis to allow for personalized medicine and optimized care for
each individual patient.
PMID- 24917947
TI - Comparison of transhepatic and extrahepatic routes for EUS-guided rendezvous
procedure for distal CBD obstruction.
AB - BACKGROUND: EUS-guided rendezvous procedure (EUS-RV) can be done by the
transhepatic (TH) or the extrahepatic (EH) route. There is no data on the
preferred access route when both routes are available. STUDY AIM: To compare the
success, complications, and duration of hospitalization for patients undergoing
EUS-RV by the TH or the EH route. PATIENTS AND METHODS: Patients with distal
common bile duct (CBD) obstruction, who failed selective cannulation, underwent
EUS-RV by the TH route through the stomach or the EH route through the duodenum.
RESULTS: A total of 35 patients were analysed (17 TH, 18 EH). The mean procedure
time was significantly longer for the TH group (34.4 vs. 25.7 min; p = 0.0004).
There was no difference in the technical success (94.1 vs. 100%). However, the TH
group had a higher incidence of post-procedure pain (44.1 vs. 5.5%; p = 0.017),
bile leak (11.7 vs. 0; p = 0.228), and air under diaphragm (11.7 vs. 0; p =
0.228). All bile leaks were small and managed conservatively. Duration of
hospitalization was significantly higher for the TH group (2.52 vs. 0.17 days; p
= 0.015). CONCLUSIONS: EUS-RV has similar success rate by the TH or the EH route.
However, the TH route has higher post-procedure pain, longer procedure time, and
longer duration of hospitalization. The EH route should be preferred for EUS-RV
in patients with distal CBD obstruction when both access routes are technically
feasible.
PMID- 24917946
TI - Comparison of a standard fully covered stent with a super-thick silicone-covered
stent for the treatment of refractory esophageal benign strictures: A prospective
multicenter study.
AB - BACKGROUND: Some esophageal strictures resist endoscopic treatments. There is a
need for new treatments, such as specifically designed stents. OBJECTIVE: Our
study sought to compare the results achieved with a standard, fully covered
metallic stent (FCMS) and those achieved using a stent designed specifically for
benign strictures (BS-FCMS). PATIENTS AND METHODS: The study used a prospective,
multicenter, controlled design, with patients recruited from tertiary referral
centers. Patients with refractory esophageal strictures were included. Standard
FCMS were used in group 1 (N = 24), and BS-FCMS were used in group 2 (N = 17).
Patients were followed for 24 months after stent removal. The main outcomes
measured were stricture resolution rate, 24 months' recurrence rate and stent
related morbidity. RESULTS: Early stent migrations occurred in one (4.1%) patient
from group 1 and five (29.4%) from group 2 (p < 0.05). During esophageal
stenting, complications occurred in six patients (25%) in group 1 and six
patients (35.3%) in group 2 (p = 0.47), respectively. Fifty percent of
complications were attributed to migration. There was no procedure-related
morbidity associated with the extraction of the stent. The stricture resolution
rate was, respectively, 95.2% in group 1 and 87.5% in group 2 (the difference
between the two groups is not significant). During follow-up, stricture
recurrence occurred in 15/19 patients (group 1, 79%) and 7/8 patients (group 2,
87.5%; p = 1.0). The median time to recurrence of esophageal stricture was 1.7
months (group 1, 0.6-12 months) and 1 month (group 2, 0.1-6 months). Study
limitations include its nonrandomized design. CONCLUSION: The stricture
resolution rate was high at the end of the stenting period for both types of
stents without any statistical difference between the two groups, but the long
term results were disappointing, with stricture recurring frequently and rapidly
in both groups.
PMID- 24917948
TI - Smoking, breastfeeding, physical inactivity, contact with animals, and size of
the family influence the risk of inflammatory bowel disease: A Slovak case
control study.
AB - BACKGROUND: The aetiology of inflammatory bowel disease (IBD) is not known but is
likely to involve a combination of genetic predisposition and environmental risk
factors. Smoking has been associated consistently with a higher risk of Crohn's
disease (CD), while appendectomy and smoking appear to diminish the risk of
ulcerative colitis (UC). The roles of other environmental factors are unclear.
The aim of the present study was to evaluate the association of CD and UC with
several environmental risk factors. METHODS: This case-control study included 338
patients (190 CD, 148 UC) and 355 controls. All subjects completed a detailed
questionnaire regarding breastfeeding duration, history of helminthic infections,
allergic diseases, appendectomy, household size, housing type, contact with
specific domestic animals, physical activity, and smoking. Associations between
risk factors and CD and UC were investigated by univariate and multivariate
analysis. RESULTS: On multivariate analysis, CD associated with smoking at
diagnosis (odds ratio, OR, 3.7, 95% CI 2.2-6.2; p < 0.001), being breastfed for
<6 months (OR 2.7, 95% CI 1.7-4.4; p < 0.001), and less than two childhood
sporting activities weekly (OR 2.7, 95% CI 1.5-5.0; p < 0.001) and inversely
associated with frequent contact with cats in childhood (OR 0.6, 95% CI 0.4-0.9;
p < 0.03). UC associated with less than two sporting weekly activities in
childhood (OR 2.0, 95% CI 1.1-3.5, p = 0.02), fewer household members in
childhood (OR 0.8, 95% CI 0.7-0.98, p = 0.03), and being breastfed for <6 months
(OR 1.7, 95% CI 1.02-2.8, p = 0.04). A composite environmental risk index for CD
revealed that 47 and 14% of the controls and patients with CD had no risk
factors, respectively, and that 14 and 38% of the controls and patients with CD
had at least two risk factors, respectively. CONCLUSION: CD and UC associated
with infrequent childhood sports activities and short breastfeeding. Furthermore,
CD associated with smoking and infrequent contact with animals in childhood. UC
associated with a smaller family size in childhood.
PMID- 24917950
TI - UEG Week attendance and abstract submission: What's in it for me?
PMID- 24917951
TI - Jean-Paul Galmiche, winner of the UEG Lifetime Achievement Award 2012.
PMID- 24917949
TI - Endomicroscopy in bile duct: Inflammation interferes with pCLE applied in the
bile duct: A prospective study of 54 patients.
AB - BACKGROUND: The preoperative diagnosis of biliary stenosis is associated with low
accuracy. As a consequence, probe-based confocal laser endomicroscopy (pCLE), an
in-vivo histological imaging technique, was applied in the bile duct. The aim of
this study was to establish whether previous inflammation of the bile duct
affects confocal interpretation. The findings from pCLE were compared in two
groups of patients: those in whom there had been no cholangitis nor stenting and
those in whom stents had been used and subsequently retrieved or who had suffered
cholangitis. PATIENTS AND METHODS: pCLE was performed on 54 patients (mean age 66
years; 31 men, 23 women) from September 2008 to July 2011. Patients were divided
in two groups: group 1: 39 patients who had not undergone a biliary procedure in
the month preceding the pCLE procedure; and group 2: 15 patients who had
undergone stent placement or presented with cholangitis in the month preceding
the pCLE procedure. Endoscopic and pCLE data were collected prospectively. pCLE
results were compared to benchmark histology (surgery, endoultrasonography,
percutaneous biopsy). Patients with a benign stricture who did not undergo
operation were followed for 1 year. pCLE images of the bile duct were obtained
during endoscopic retrograde cholangiopancreatography procedures. pCLE images
were interpreted prospectively using the Miami classification in vivo and in real
time. RESULTS: In group 1, sensitivity, specificity, and accuracy were 88, 83,
and 87%, respectively. In group 2, sensitivity, specificity, and accuracy were
75, 71, and 73%, respectively. Diagnostic accuracy of pCLE was lower when applied
to group 2 (p < 0,001). The investigation is less reliable in bile ducts affected
by inflammation from cholangitis or previous stenting. CONCLUSIONS: Inflammatory
lesions of the bile duct interfere with interpretation of pCLE. A refined pCLE
description of inflammatory lesions should improve accuracy of pCLE in bile duct
stenosis.
PMID- 24917954
TI - Endoscopic colorectal cancer screening provides long-lasting effect.
PMID- 24917952
TI - Treatment of GORD: Three decades of progress and disappointments.
AB - The treatment of GORD has been revolutionized by the introduction, in the 1980s,
of proton-pump inhibitors as the mainstay of medical therapy and by the
development of laparoscopic antireflux surgery which has definitively replaced
open surgery. However, despite these major advances, many unmet therapeutic needs
still persist and justify novel therapeutic approaches. The aim of this
historical review is to recall the main discoveries in the treatment of GORD that
have occurred during the last three decades and to discuss why some initially
promising drugs or techniques have not translated into clinical applications. A
careful analysis of these previous disappointing experiences should help to
identify high priorities and better research programmes on the management of
GORD.
PMID- 24917953
TI - Lactose malabsorption and intolerance: pathogenesis, diagnosis and treatment.
AB - Lactose malabsorption is a common condition caused by reduced expression or
activity of lactase in the small intestine. In such patients, lactose intolerance
is characterized by abdominal symptoms (e.g. nausea, bloating, and pain) after
ingestion of dairy products. The genetic basis of lactose malabsorption is
established and several tests for this condition are available, including
genetic, endoscopic, and H2-breath tests. In contrast, lactose intolerance is
less well understood. Recent studies show that the risk of symptoms after lactose
ingestion depends on the dose of lactose, lactase expression, intestinal flora,
and sensitivity of the gastrointestinal tract. Lactose intolerance has recently
been defined as symptoms developing after ingestion of lactose which do not
develop after placebo challenge in a person with lactose maldigestion. Such
blinded testing might be especially important in those with functional
gastrointestinal diseases in whom self-reported lactose intolerance is common.
However, placebo-controlled testing is not part of current clinical practice.
Updated protocols and high-quality outcome studies are needed. Treatment options
of lactose intolerance include lactose-reduced diet and enzyme replacement.
Documenting the response to multiple doses can guide rational dietary management;
however, the clinical utility of this strategy has not been tested. This review
summarizes the genetic basis, diagnosis, and treatment of lactose malabsorption
and intolerance.
PMID- 24917955
TI - Long-term effectiveness of endoscopic screening on incidence and mortality of
colorectal cancer: A randomized trial.
AB - BACKGROUND: Due to few randomized trials, there is uncertainty about the long
time effect of endoscopic screening on colorectal cancer (CRC) incidence and
mortality. AIM: To evaluate the long-term effect of endoscopic screening on CRC
incidence and mortality, we performed a population-based randomized controlled
trial in Norway. MATERIALS AND METHODS: In 1983, 799 Norwegian men and women, age
50-59 years were drawn from the population registry and randomly assigned to
flexible sigmoidoscopy screening (400 individuals), or no screening (399
individuals). Colonoscopy surveillance was offered after two and six years for
all polyp-bearers in the screening group. In 1996, both groups were offered
colonoscopy. Only individuals with advanced adenomas at colonoscopy in 1996 were
recommended surveillance. All individuals were followed through Norwegian
registries until 2008. Hazard ratios (HR) for CRC incidence, and CRC and overall
mortality rates were calculated. RESULTS: During 26 years of follow up (17,327
person-years), 26 colorectal cancers were observed: seven in the screening group
and 19 in the control group (HR in screening group 0.40, 95% CI 0.17-0.95, p =
0.04). Eight individuals died of colorectal cancer; one in the screening group
and seven in the control group (HR 0.16, 95% CI 0.02-1.28, p = 0.08).
CONCLUSIONS: This first randomized trial on the long-term effect of endoscopic
screening shows reduced CRC incidence and mortality if screening is combined with
rigorous surveillance for individuals with polyps. Colonoscopy screening without
such surveillance may not be effective.
PMID- 24917956
TI - Hiatal hernia predisposes to nocturnal gastro-oesophageal reflux.
AB - BACKGROUND: Nocturnal reflux has been associated with severe complications of
gastro-oesophageal reflux disease and a poorer quality of life. Hiatal hernia
predisposes to increased oesophageal acid exposure, but the effect on night
reflux symptoms has never been investigated. The aim of the study was to
investigate if hiatal hernia is associated with more frequent and severe night
reflux symptoms. METHODS: A total of 215 consecutive patients (110 male, mean age
52.6 +/- 14.7 years) answered a detailed questionnaire on frequency and severity
of specific day and night reflux symptoms. Subsequently, all patients underwent
upper endoscopy and were categorized in two groups based on the endoscopic
presence of hiatal hernia. RESULTS: Patients with hiatal hernia were more likely
to have nocturnal symptoms compared to those without hiatal hernia (78.6 vs.
51.8%, p = 0.0001); 59.2% of patients with hiatal hernia reported heartburn and
60.2% regurgitation compared to 43.8 and 39.3% of those without hiatal hernia,
respectively (p = 0.033 and p = 0.003). The proportions of patients with day
heartburn or regurgitation were not significantly different between the two
groups. Night heartburn and regurgitation were graded as significantly more
severe by patients with hiatal hernia (4.9 +/- 4.2 vs. 3.2 +/- 3.7, p = 0.002,
and 3.8 +/- 4.2 vs. 2.2 +/- 3.5, p = 0.001, respectively). Patients with hiatal
hernia had more frequent weekly night heartburn and regurgitation compared to
those without hiatal hernia (p = 0.004 and p = 0.008, respectively). CONCLUSIONS:
More patients with hiatal hernia reported nocturnal reflux symptoms compared to
those without hiatal hernia. Furthermore, nocturnal reflux symptoms were
significantly more frequent and graded as significantly more severe in patients
with presence of hiatal hernia rather than in those without hiatal hernia.
PMID- 24917957
TI - Validation of the GerdQ questionnaire for the management of gastro-oesophageal
reflux disease in Japan.
AB - BACKGROUND: The GerdQ scoring system may be a useful tool for managing gastro
oesophageal reflux disease. However, GerdQ has not been fully validated in Asian
countries. OBJECTIVE: To validate the Japanese version of GerdQ and to compare
this version to the Carlsson-Dent questionnaire (CDQ) in both general and
hospital-based populations. METHODS: The questionnaires, including the Japanese
versions of GerdQ and CDQ, and questions designed to collect demographic
information, were sent to a general population via the web, and to a hospital
based population via conventional mail. The optimal cutoff GerdQ score and the
differences in the characteristics between GerdQ and CDQ were assessed. RESULTS:
The answers from 863 web-responders and 303 conventional-mail responders were
analysed. When a GerdQ cutoff score was set at 8, GerdQ significantly predicted
the presence of reflux oesophagitis. Although the GerdQ scores were correlated
with the CDQ scores, the concordance rates were poor. Multivariate analysis
results indicated that, the additional use of over-the-counter medications was
associated with GerdQ score >= 8, but not with CDQ score >= 6. CONCLUSIONS: The
GerdQ cutoff score of 8 was appropriate for the Japanese population. Compared
with CDQ, GerdQ was more useful for evaluating treatment efficacy and detecting
patients' unmet medical needs.
PMID- 24917958
TI - Gastric obstruction after endoscopic submucosal dissection.
AB - BACKGROUND: Bleeding and perforation are two major complications of gastric
endoscopic submucosal dissection (ESD). There are only a few reports concerning
gastric obstruction related to ESD in the stomach. OBJECTIVE: The aim of this
study was to clarify the clinicopathological features of patients who experienced
gastric obstruction after gastric ESD. METHODS: Clinicopathological data of 1878
patients who underwent gastric ESD from September 2002 to December 2010 were
retrospectively reviewed. Data of lesion location, circumference, circumferential
extent of ESD ulcer, specimen diameter, depth of cancer, ulcer findings within
the lesion, curability of ESD, number of simultaneous lesions, and occurrence of
post-operative bleeding and perforation were collected. The risk of gastric
obstruction regarding lesion and procedure related factors were assessed, and
treatment for these patients was studied. RESULTS: Gastric obstruction was
observed in 2.5% of the patients (47/1878). Symptoms occurred in a median of 24
days after ESD. The incidence among patients with lesions in the upper part of
the stomach was 4.7% (17/316), 0.36% (3/818) in the middle, and 3.8% (27/699) in
the lower part. In relation to the circumferential extent, the incidence was 50%
(33/66) among patients with a resection of >75% of the circumference. Stenosis
was observed in 87% (41/47) of patients with gastric obstruction. Endoscopic
balloon dilation was performed in 45 patients. Perforation due to EBD occurred in
four patients; one was referred to surgery. CONCLUSIONS: Patients with a wide
resection of >75% of the circumference should be considered for early repeat
endoscopy after ESD, and dilation should be performed with caution if found to
have stenosis.
PMID- 24917959
TI - A cross sectional study of colonic diverticulosis in the London Bangladeshi
population.
AB - BACKGROUND: Diverticular disease is the most common morphological abnormality of
the colon and the fifth most important gastrointestinal disease in terms of cost
in the Western world. Tower Hamlets is the poorest borough in London containing a
large Bangladeshi community. We observed that emergency admissions with
complications of colonic diverticulosis were minimal in the Bangladeshi
community. The objective was to compare the background prevalence of colonic
diverticulosis in Bangladeshis with other ethnicities in patients undergoing
colonoscopy at a single centre in Tower Hamlets. METHODS: Four thousand four
hundred and fifty-four consecutive colonoscopy reports over a 2-year period were
retrospectively analysed. Patients under 40 years of age and repeat colonoscopies
were excluded, leaving 3151 patients (mean age: 63 years; 48% male). Demographics
including ethnicity and medical background were retrieved from the electronic
patient record system and findings correlated with the prevalence of other
'Western' diseases in the cohort. RESULTS: Six hundred and thirty out of 3151
(20%) colonoscopies were performed on Bangladeshis. The prevalence of colonic
diverticulosis was significantly lower in Bangladeshis (17/630: 2.7%) than
Caucasians (673/1869: 36%), Indians/Pakistanis (16/161: 9.9%), Oriental (15/44:
34%) and Black (90/369: 24.4%) patient groups (chi(2) p < 0.0001 for all
comparisons). The prevalence of classical sigmoid diverticulosis in the
Bangladeshi cohort was only 1.0%, despite significantly more Bangladeshi patients
undergoing colonoscopy for abdominal pain (p < 0.0001, chi(2)) and diarrhoea (p <
0.0034, chi(2)). There was also a significantly greater incidence of type 2
diabetes mellitus and ischaemic heart disease (p < 0.0001, chi(2)) in Bangladeshi
patients. CONCLUSIONS: There is a negligible prevalence of colonic diverticulosis
in the Bangladeshi population of London who undergo colonoscopy. This is in spite
of a high incidence of type 2 diabetes and ischaemic heart disease. The effect of
diet and genetics on the prevalence of colonic diverticulosis in Bangladeshis is
not known and merits further investigation.
PMID- 24917960
TI - Clinical outcomes using a faecal immunochemical test for haemoglobin as a first
line test in a national programme constrained by colonoscopy capacity.
AB - INTRODUCTION: Because of their many advantages, faecal immunochemical tests (FIT)
are superseding traditional guaiac-based faecal occult blood tests in bowel
screening programmes. METHODS: A quantitative FIT was adopted for use in two
evaluation National Health Service (NHS) Boards in Scotland using a cut-off
faecal haemoglobin concentration chosen to give a positivity rate equivalent to
that achieved in the Scottish Bowel Screening Programme. Uptake and clinical
outcomes were compared with results obtained contemporaneously in two other
similar NHS Boards and before and after the evaluation in the two evaluation NHS
Boards. RESULTS: During the evaluation, uptake was 58.5%. This was higher than in
the same NHS Boards both before and after the evaluation, higher than in the
other two NHS Boards and higher than the 53.7% achieved overall in Scotland. The
overall positivity rate was higher in men than in women and increased with age in
both genders. Positive predictive values for cancer (4.8%), high-risk adenoma
(23.3%), all adenoma (38.2%) and all neoplasia (43.0%) in the two test NHS Boards
were similar in all groups. CONCLUSIONS: In summary, this evaluation of the FIT
supports the introduction of FIT as a first-line test, even when colonoscopy
capacity is limited.
PMID- 24917962
TI - UEG Education: What's that all about?
PMID- 24917961
TI - Fistulizing Crohn's disease: Diagnosis and management.
AB - Fistulizing Crohn's disease represents an evolving, yet unresolved, issue for
multidisciplinary management. Perianal fistulas are the most frequent findings in
fistulizing Crohn's disease. While enterocutaneous fistulas are rare, they are
associated with considerable morbidity and mortality. Detailed evaluation of the
fistula tract by advanced imaging techniques is required to determine the most
suitable management options. The fundamentals of perianal fistula management are
to evaluate the complexity of the fistula tract, and exclude proctitis and
associated abscess. The main goals of the treatment are abscess drainage, which
is mandatory, before initiating immunosuppressive medical therapy, resolution of
fistula discharge, preservation of continence and, in the long term, avoidance of
proctectomy with permanent stoma. The management of enterocutaneous fistulas
comprises of sepsis control, skin care, nutritional optimization and, if needed,
delayed surgery.
PMID- 24917963
TI - UEG Week: Late-breaking abstract submission.
PMID- 24917964
TI - UEG Rising Stars Award.
PMID- 24917965
TI - Do we need to eradicate Helicobacter pylori in patients with GORD?
AB - Eradication of Helicobacter pylori should not be avoided because of complicated
reflux symptoms. Previously, although H. pylori eradication in patients with GORD
was considered to induce unfavourable effects that worsen reflux symptoms, recent
reports indicates that it can have favourable consequences by reducing symptoms
and therefore improving quality of life, especially in GORD patients without
severe corpus atrophy or severe hiatal herniation.
PMID- 24917966
TI - The effect of Helicobacter pylori infection and eradication in patients with
gastro-oesophageal reflux disease: A parallel-group, double-blind, placebo
controlled multicentre study.
AB - OBJECTIVES: This study aimed to resolve controversy regarding the effects of
Helicobacter pylori eradication therapy and H. pylori infection in gastro
oesophageal reflux disease. DESIGN: A randomized, double-blind, multicentre trial
was performed in patients presenting with reflux symptoms. H. pylori-positive
patients were randomized to receive either antibiotics or placebo for 7 days. H.
pylori-negative patient controls received placebo. All received esomeprazole 20
mg b.d. for 7 days, followed by 40 mg o.d. to complete an 8-week course, and were
followed up for 32 weeks by telephone. RESULTS: In this study, 198/589 (34%)
patients were H. pylori-positive and 113 H. pylori-negative patients served as
controls. Baseline endoscopy revealed 63% Los Angeles grade 0A and 37% Los
Angeles grade BCD oesophagitis with no difference between patient groups. Symptom
improvement on esomeprazole was seen in 89%. H. pylori eradication was successful
in 82%. H. pylori eradication had no effect on symptomatic relapse (hazard ratio
1.15, 95% CI 0.74-1.8; p = 0.5). Overall, H. pylori-positive patients had a lower
probability of relapse compared to H. pylori-negative controls (hazard ratio 0.6,
95% CI 0.43-0.85; p = 0.004). Relapse hazard was modulated also by oesophagitis
grade (BCD vs. 0A, hazard ratio 2.1, 95% CI 1.5-3.0). CONCLUSION: Relapse of
gastro-oesophageal reflux disease symptoms after a course of high dose acid
suppression took longer for H. pylori-positive patients than H. pylori-negative
controls; however eradication therapy had no effect on the risk of relapse;
ClincialTrials.gov number, NCT00574925.
PMID- 24917967
TI - Diagnosing early Barrett's neoplasia and oesophageal squamous cell neoplasia by
bioimpedance spectroscopy in human tissue.
AB - BACKGROUND: Detection of early oesophageal cancer in surrounding normal tissue
can be challenging, but detection is essential to determine the subsequent
treatment. Dysplastic tissue can be detected by using electrical impedance
spectroscopy (EIS). OBJECTIVE: The aim of the present study was to evaluate the
feasibility and value of EIS in the diagnosis of oesophageal neoplasia. METHODS:
This prospective ex-vivo study included 23 patients with early oesophageal cancer
(17 with Barrett's cancer and six with early squamous cell cancer). Immediately
after endoscopic resection, the electrical properties of the resected specimens
were investigated using a pencil probe (5 mm in diameter, frequency range from
100 Hz to 1 MHz). Punch biopsies were taken from the measured site in order to
compare the results of EIS with histology. RESULTS: EIS was able to detect
dysplastic oesophageal mucosa with a high rate of accuracy (82% in Barrett's
oesophagus and 100% in squamous oesophagus) A total of 54 different sites in 26
tumours were evaluated. CONCLUSIONS: EIS was able to differentiate reliably
between non-neoplastic and neoplastic oesophageal mucosa. Using EIS, it might be
possible to use it for targeted biopsies and to avoid unnecessary biopsies during
cancer surveillance in future.
PMID- 24917968
TI - Oesophageal baseline impedance values are decreased in patients with eosinophilic
oesophagitis.
AB - BACKGROUND: Gastro-oesophageal reflux has been suggested to play a role in
eosinophilic oesophagitis (EoO). Oesophageal acid exposure decreases baseline
intraluminal impedance, a marker of mucosal integrity, in patients with gastro
oesophageal reflux disease (GORD). OBJECTIVES: The aim of this study was to
assess oesophageal baseline impedance levels in EoO patients and to investigate
their relationship with oesophageal acid exposure. METHODS: Ambulatory 24-h pH
impedance monitoring was performed in 11 EoO patients and in 11 healthy controls
with matched oesophageal acid exposure. We assessed baseline impedance levels in
the distal, mid-, and proximal oesophageal impedance channels. RESULTS: BASELINE
IMPEDANCE LEVELS IN EOO PATIENTS WERE MARKEDLY LOWER COMPARED TO CONTROLS IN THE
DISTAL OESOPHAGUS (MEDIAN (INTERQUARTILE RANGE): 988 (757-1978) vs. 2259 (1767
2896) Omega, p = 0.015), mid-oesophagus (1420 (836-2164) vs. 2614 (2374-3879)
Omega, p = 0.003), and proximal oesophagus (1856 (1006-2625) vs. 2868 (2397-3439)
Omega, p = 0.005). Whereas baseline impedance decreased from proximal to distal
in healthy subjects (p = 0.037), no such gradient was seen in EoO patients (p =
0.123). CONCLUSIONS: Throughout the oesophagus, baseline impedance values are
decreased in EoO patients, indicating impaired mucosal integrity. Our findings
suggest that factors other than acid reflux are the cause of low baseline
impedance in EoO.
PMID- 24917969
TI - Oesophageal pressure-flow metrics in relation to bolus volume, bolus consistency,
and bolus perception.
AB - BACKGROUND: The utility of combined oesophageal pressure-impedance recording has
been enhanced by automation of data analysis. OBJECTIVE: To understand how
oesophageal function as measured by automated impedance manometry (AIM) pressure
flow analysis varies with bolus characteristics and subjective perception of
bolus passage. METHODS: Oesophageal pressure-impedance recordings of 5 and 10 ml
liquid or viscous swallows and 2 and 4 cm solid swallows from 20 healthy control
subjects (five male; 25-73 years) were analysed. Metrics indicative of bolus
pressurization (intrabolus pressure and intrabolus pressure slope) were derived.
Bolus flow resistance, the relationship between bolus pressurization and flow
timing, was assessed using a pressure-flow index. Bolus retention was assessed
using the ratio of nadir impedance to peak pressure impedance (impedance ratio).
Subjective perception of bolus passage was assessed swallow by swallow. RESULTS:
Viscosity increased the bolus flow resistance and reduced bolus clearance.
Responses to boluses of larger volume and more viscous consistency revealed a
positive correlation between bolus pressurization and oesophageal peak pressure.
Flow resistance was higher in subjects who perceived bolus hold up of solids.
CONCLUSIONS: Bolus volume and bolus type alter oesophageal function and impact
AIM analysis metrics descriptive of oesophageal function. Perception of bolus
transit was associated with heightened bolus pressurization relative to bolus
flow.
PMID- 24917970
TI - Effect of aspirin cessation before endoscopy in Japanese patients with low-dose
aspirin-associated gastroduodenal mucosal injury.
AB - BACKGROUND: The incidence of upper gastrointestinal injury by low-dose aspirin
(LDA) has increased. OBJECTIVE: We aimed to clarify the risk factors and
prevention strategies associated with LDA-induced gastroduodenal ulcer in
Japanese patients. METHODS: A retrospective study involving 284 LDA users who
underwent oesophagogastroduodenoscopy between January and December 2010 were
included. We investigated the patients' clinical characteristics and endoscopic
findings. RESULTS: Of 284 patients, 29 (10.2%) had gastro and/or duodenal ulcers.
Male gender, peptic ulcer history, abdominal symptoms, half-dose proton pump
inhibitors (PPIs), complete-dose PPIs, and nonsteroidal anti-inflammatory drugs
were significantly associated with LDA-induced gastro and/or duodenal ulcers:
odds ratio (95% confidence interval) 3.62 (1.06-12.27), 6.60 (1.84-23.62), 3.06
(1.12-8.40), 0.16 (0.03-0.94), 0.07 (0.01-0.61), and 9.68 (1.64-57.18),
respectively. PPI significantly reduced gastric ulcers and/or duodenal ulcers (p
= 0.03). The modified Lanza score for gastric mucosal lesion in the LDA cessation
group was significantly lower than in the LDA noncessation group (0.53 vs. 1.02;
p = 0.008). CONCLUSIONS: Half-dose PPIs as well as complete-dose PPIs were
effective for preventing LDA-induced gastric and/or duodenal ulcers. The
cessation of LDA before endoscopy may lead to an underestimation of LDA-induced
gastric injury.
PMID- 24917971
TI - Hedgehog inhibition reduces angiogenesis by downregulation of tumoral VEGF-A
expression in hepatocellular carcinoma.
AB - BACKGROUND: Dysregulation and activation of Hedgehog (Hh) signalling may
contribute to tumorigenesis, angiogenesis, and metastatic seeding in several
solid tumours. OBJECTIVE: We investigated the impact of Hh inhibition on tumour
growth and angiogenesis using in-vitro and in-vivo models of hepatocellular
carcinoma (HCC). METHODS: The effect of the Hh pathway inhibitor GDC-0449 on
tumour growth was investigated using an orthotopic rat model. Effects on
angiogenesis were determined by immunohistochemical staining of von Willebrand
factor antigen and by assessing the mRNA expression of several angiogenic
factors. In vitro, HCC cell lines were treated with GDC-0449 and evaluated for
viability and expression of vascular endothelial growth factor (VEGF).
Endothelial cells were evaluated for viability, migration, and tube formation.
RESULTS: In the orthotopic HCC model, GDC-0449 significantly decreased tumoral
VEGF expression which was accompanied by a significant reduction of microvessel
density and tumour growth. In HCC cells, GDC-0449 had no effect on cell growth
but significantly reduced target gene regulation and VEGF expression while having
no direct effect on endothelial cell viability, migration, and tube formation.
CONCLUSIONS: Hh inhibition with GDC-0449 downregulates tumoral VEGF production in
vitro and reduces tumoral VEGF expression, angiogenesis, and tumour growth in an
orthotopic HCC model.
PMID- 24917972
TI - Application of international consensus diagnostic criteria to an Italian series
of autoimmune pancreatitis.
AB - BACKGROUND: International consensus diagnostic criteria (ICDC) have been proposed
to classify autoimmune pancreatitis (AIP) in type 1, type 2, or not otherwise
specified. OBJECTIVE: Aim was to apply the ICDC to an Italian series of patients
to evaluate the incidence and clinical profiles among different subtypes of AIP.
METHODS: we re-evaluated and classified 92 patients diagnosed by Verona criteria,
according to the ICDC. RESULTS: Out of 92 patients, 59 (64%) were diagnosed as
type 1, 17 (18%) as type 2, and 15 (16%) as not otherwise specified according to
the ICDC. A significant difference between type 1 and type 2 were found for age
(54.5 +/- 14.5 vs. 34.4 +/- 13.9 respectively; p < 0.0001), male sex (76 vs. 47%;
p = 0.007), jaundice (66 vs. 18%; p = 0.002) and acute pancreatitis (9 vs. 47%; p
< 0.0001), elevated serum IgG4 levels (85 vs. 7%; p < 0.0001), inflammatory bowel
disease (8 vs. 82%; < 0.0001), and relapse of the disease (34 vs. 6%; p = 0.058).
Imaging and response to steroids in the not-otherwise-specified group were
similar to type 1 and 2. CONCLUSIONS: Type 1 has a different clinical profile
from type 2 autoimmune pancreatitis. The not-otherwise-specified group has
peculiar clinical features which are shared both with type 1 or type 2 groups.
PMID- 24917973
TI - Comparison of endosonography-guided vs. percutaneous biliary stenting when
papilla is inaccessible for ERCP.
AB - BACKGROUND: Endoscopic retrograde cholangiopancreatography (ERCP) and biliary
stenting fails in 5-10% patients of malignant biliary obstruction because papilla
is inaccessible. Percutaneous transhepatic biliary drainage (PTBD) is an accepted
alternative. Endosonography-guided biliary drainage (EUS-BD) has been described
recently. AIM: To compare success rates and complications of EUS-BD and PTBD
internal stenting. METHODS: This retrospective study included failed ERCP in
inoperable malignant biliary obstruction due to inaccessible papilla undergoing
PTBD or EUS-BD. Percutaneous transhepatic cholangiography guided/EUS-guided
rendezvous procedures were excluded. When PTBD internal stenting failed, external
drainage was performed. EUS-BD was performed using either intra- or extrahepatic
approach, and stents were placed by transmural (choledocho-duodenostomy or
hepatico-gastrostomy) or antegrade approach. Self-expandable metallic stents or
plastic stents were placed in both groups. Success of internal stenting and
complications were compared using t-test and chi-squared test. RESULTS:
Retrospective review of 6 years of records (2005-2011) revealed 50 patients
meeting the required criteria. EUS-BD was attempted in 25 and PTBD in 26 patients
(one crossover from EUS-BD to PTBD). Internal stenting was technically and
clinically successful in 23/25 (92%) EUS-BD vs. 12/26 (46%) PTBD (p < 0.05).
External catheter drainage was performed in remaining 14 PTBD patients.
Complications occurred in 5/25 (20%) EUS-BD (one major, four minor) and in 12/26
(46%) PTBD (four major, eight minor; p < 0.05). Late stent occlusion occurred in
one EUS-BD and three PTBD. CONCLUSIONS: In this retrospective study comparing
success and complications of EUS-BD and PTBD in patients with inoperable
malignant biliary obstruction and inaccessible papilla, EUS-BD was found superior
to PTBD for both comparators.
PMID- 24917974
TI - The impact of early endoscopic lesions on the clinical course of patients
following ileocolonic resection for Crohn's disease: A 5-year prospective cohort
study.
AB - BACKGROUND: Early endoscopic lesions following resection for Crohn's disease (CD)
are often observed. Currently, the relationship between this endoscopic
observation and subsequent occurrence of CD lesions or recurrence is not
understood well, but should be valuable in the context of predicting CD course.
This prospective study was to investigate the impact of early endoscopic lesions
on future clinical recurrence rates following ileocolonic resection for CD.
METHODS: Forty patients who had maintained clinical remission, CD activity index
(CDAI) <150 with mesalazine during 6 months after ileocolonic resection for CD
were included. At 6 months after surgery, ileocolonoscopy was performed, and the
endoscopic activity score at the proximal site of the anastomosis was determined
according to Rutgeerts. All patients were regularly monitored for 5 years, and
clinical recurrence was defined as CDAI >= 150. Corticosteroids,
immunosuppressants, or biological agents were not given unless there was clinical
recurrence. RESULTS: At 6 months after surgery, the endoscopic scores were i0 or
i1 in 27 patients, i2 in seven patients, i3 in four patients, and i4 in two
patients. During the following 5 years, the clinical recurrence occurred in three
(11%) patients with endoscopic score of i0 or i1, four (57%) patients with i2
score, three (75%) patients with i3 score, and two (100%) patients with i4 score,
showing a significant positive correlation (p = 0.001) between the endoscopic
severity of the proximal site of the anastomosis at 6 months after surgery and
the clinical recurrence rate during the following 5 years. CONCLUSIONS: The
assessment of endoscopic lesions at the proximal site of the anastomosis appeared
to be valuable for predicting subsequent clinical recurrence after ileocolonic
resection for CD. Further studies in larger cohorts of patients are warranted to
strengthen our findings.
PMID- 24917975
TI - UEG: What is the Public Affairs Committee (PAC)?
PMID- 24917976
TI - UEG Week 2013: Scientific programme finalised and the top five abstracts selected
by the Scientific Committee.
PMID- 24917977
TI - Hot topics in gut microbiota.
AB - The study of gut microbiota is a rapidly moving field of research, and the impact
of gut microbial communities on human health is widely perceived as one of the
most exciting advancements in biomedicine in recent years. The gut microbiota
plays a key role in digestion, metabolism and immune function, and has widespread
impact beyond the gastrointestinal tract. Changes in the biodiversity of the gut
microbiota are associated with far reaching consequences on host health and
development. Further understanding of the importance of developing and
maintaining gut microbiota diversity may lead to targeted interventions for
health promotion, disease prevention and management. Diet, functional foods and
gut microbiota transplantation are areas that have yielded some therapeutic
success in modulating the gut microbiota, and warrant further investigation of
their effects on various disease states.
PMID- 24917978
TI - Serological testing for celiac disease in adults.
AB - BACKGROUND AND AIM: We present a systematic review on the performance of
currently available methods for serological diagnosis of celiac disease (CD) and
the role of human leukocyte antigen (HLA) typing. OBJECTIVE: A literature survey
was conducted using PubMed, MeSH database, Web of Science as well as manual
searches. RESULTS: Tissue transglutaminase antibodies (tTG) (IgA) (tested in nine
studies) show sensitivities and specificities in the range of 0.76-0.968 and
0.909-0.98, and deamidated gliadin peptide (DGP) (IgA and IgG) (tested in eight
studies) show sensitivities and specificities in the range of 0.69-0.984 and
0.903-1. Endomysial antibodies (EMA) (tested in five studies) show sensitivities
and specificities in the range of 0.61-0.937 and 0.98-1, respectively.
Combination assays (tested in three studies) using DGP + tTG and DGP (IgA + IgG)
show sensitivities and specificities in the range of 0.87-1 and 0.8-1,
respectively. HLA DQ2/DQ8 may be necessary for the development of CD-HLA DQ2 in
particular. A possible close correlation may also exist between CD and HLA-G.
CONCLUSION: DGP and tTG for serological testing for CD show equivalent diagnostic
performance. More studies with, in particular, DGP alone and in combination with
tTG are necessary before a firm recommendation can be made. HLA typing to exclude
CD may still be controversial. It still seems premature to diagnose celiac
disease in adults based on serology alone.
PMID- 24917979
TI - Endoscopic ultrasound, the one-stop shop for abdominal pain?
AB - The Endosonography for Right Sided and Acute Upper Intestinal Misery (EFRAIM)
study indicates that the yield of endoscopic ultrasound (EUS) is equivalent if
not superior to upper endoscopy combined with transabdominal ultrasound in
patients presenting with acute discomfort. Furthermore, this strategy may be more
cost effective as EUS simultaneously enables assessment of intraluminal disease
as well as extra intestinal pathology. These results are in sync with prior
studies demonstrating the hegemony of EUS in the assessment of pancreaticobiliary
disease and its role in the assessment of enigmatic chronic abdominal pain.
Nevertheless, EUS does not permit assessment for appendicitis or genitourinary
catastrophe. Thus a careful history and physical examination to localize pain to
the right upper quadrant and epigastrium is essential.
PMID- 24917980
TI - Endosonography For Right-sided and Acute Upper Intestinal Misery: the EFRAIM
study: A prospective, randomized, controlled, blinded study.
AB - BACKGROUND: Acute upper abdominal pain is a frequent symptom leading to hospital
admission. OBJECTIVE: To determine whether a primary intra- and extraluminal
diagnostic approach enabled by endoscopic ultrasound is as effective as a
conventional diagnostic algorithm of transabdominal ultrasound followed by
oesophagogastroduodenoscopy. METHODS: A total of 240 patients who presented with
acute right-sided and/or upper abdominal pain were prospectively recruited.
Exclusion criteria were chronic pain, malignancy, prior abdominal surgery,
bleeding, peritonitis, and elevated liver enzymes or lipase as defined 3-times
higher than upper reference value. All patients underwent first transabdominal
ultrasound and were then randomized (1 : 1) to either endoscopy followed by
endoscopic ultrasound or vice versa. Patients and respective examiners were
blinded to prior findings. RESULTS: A total of 223 patients were included.
Endoscopic ultrasound provided a higher diagnostic yield than the combination of
transabdominal ultrasound and endoscopy (62.3 vs. 50.7%; p = 0.001). For
mucosal/intraluminal lesions, we observed a very good agreement between both
endoscopic modalities (kappa 0.89). The agreement for pancreatic and biliary
causes was good between both ultrasound modalities (kappa 0.66). CONCLUSIONS: Due
to its high diagnostic yield, endoscopic ultrasound as a primary diagnostic
modality appears to be a valuable option in patients with acute upper abdominal
pain.
PMID- 24917981
TI - The societal gain of medical development and innovation in gastroenterology.
AB - BACKGROUND: Gastroenterology has over the past 30 years evolved very rapidly. The
societal benefits to which this has led are incompletely determined, yet form a
mandate to determine the need for future innovations and further development of
the field. A more thorough understanding of societal benefits may help to
determine future goals and improve decision making. AIMS: The objective of this
article is to determine the societal gains of medical innovations in the field of
gastroenterology in the past and future, using peptic ulcer disease as an example
of past innovation and the implementation of colorectal cancer screening as an
illustration of future gains. METHODS: Literature searches were performed for
data on peptic ulcer and colorectal cancer epidemiology, treatment outcomes, and
costs. National and governmental databases in the Netherlands were searched to
obtain the input for calculations of quality-adjusted life years (QALYs), health
adjusted life expectancy (HALE), and the corresponding societal benefit. RESULTS:
Since 1980 the improvements in peptic ulcer treatment have had a limited impact
on life expectancy, rising from 83.6 years to 83.7 years, but have led to a
yearly gain of 46,000 QALYs, caused by improved quality of life. These
developments in the field of peptic ulcer translated into a yearly gain of 1.8
billion to 7.8 billion euros in 2008 compared with the 1980s. Mortality due to
colorectal cancer is high, with 21.6 deaths per 100,000 per year in the
Netherlands (European Standardized Rate (ESR)). The future implementation of a
nationwide call-recall colorectal cancer screening by means of biennial fecal
immunochemical testing (FIT) is expected to result in a 50%-80% mortality
reduction and thus a gain of an estimated 35,000 life years per year,
corresponding to 26,000 QALYs per year. The effects of the implementation of FIT
screening can be translated to a future societal gain of 1.0 billion to 4.4
billion euro. CONCLUSIONS: The innovations and developments in the field of
gastroenterology have led to significant societal gains in the past three
decades. This process will continue in the near future as a result of further
developments. These calculations provide a template for calculations on the need
for specialist training as well as research and implementation of new
developments in our field.
PMID- 24917982
TI - The influence of the speed of food intake on multichannel impedance in patients
with gastro-oesophageal reflux disease.
AB - BACKGROUND: There is a general belief that gastro-oesophageal reflux increases
after meals and especially following a rapid intake. OBJECTIVE: To evaluate the
impact of rapid vs. slow food intake on gastro-oesophageal reflux disease (GORD)
patients. MATERIALS AND METHODS: Forty-six GORD patients with heartburn and / or
acid regurgitation once a week or more often common were included in this study.
Participants were asked to eat the same standard meal within either 5 or 30
minutes under observation in a random order on 2 consecutive days. A total of 28
hours of recording were obtained by intraoesophageal impedance pH and number of
liquid and mixed reflux episodes within 3 hours of the slow- and fast-eating
postprandial periods were calculated. RESULTS: While all patients defined GORD
symptoms, 10 (21.7%) had pathological 24-h intraoesophageal impedance
measurement, 15 (32.6%) had pathological DeMeester and 21.7% had erosive
oesophagitis. No difference has been shown according to the eating speed when all
reflux episodes were taken together (754 vs. 733). Speed of food intake also did
not have an impact on patients with normal vs. pathological 24-h intraoesophageal
impedance or erosive vs. non-erosive. During the first postprandial hour,
approximately half of the reflux events were non-acid, compared to 34.2% during
the second hour and 26.8% during the third hour (p < 0.001). The number of acid
reflux episodes was significantly higher than non-acid reflux especially during
the second and third hours and in total for 3 hours. CONCLUSIONS: This first
study addressing the effect of eating speed on reflux episodes in GORD patients
did not support the general belief that reflux increases following fast eating.
Acid and non-acid reflux were similar at the first postprandial hour, then acid
reflux episodes were predominantly higher, which implicate the importance of acid
pockets.
PMID- 24917983
TI - Fibrolamellar hepatocellular carcinoma in the USA, 2000-2010: A detailed report
on frequency, treatment and outcome based on the Surveillance, Epidemiology, and
End Results database.
AB - OBJECTIVE: Epidemiological and clinical information on fibrolamellar
hepatocellular carcinoma (fHCC) is scarce. We performed a Surveillance,
Epidemiology and End Results (SEER) database analysis with the aim of collecting
information to better understand the biology and clinical aspects of this rare
disease. DESIGN: Incidence trends, race- and age-specific rates, tumor size,
first course surgery and five-year relative survival of 191 US cases (SEER)
diagnosed with fHCC during 2000-2010 were compared to cases with hepatocellular
carcinoma (HCC), HCC-not otherwise specified (HCC-NOS) and other HCC-types.
RESULTS: While HCC-NOS incidence rates increased by 5.2% annually from 2000-2008
(p < 0.05) before leveling, the 1.3% change in fHCC incidence was not
statistically significant. The rates of fHCC were similar across ethnic groups
while HCC-NOS incidence rates were higher among non-whites. Although 16% of fHCC
patients had primary tumors <=5 cm compared to 37% of HCC-NOS cases five-year
survival was better among fHCC (34%) than HCC-NOS cases (16%). Fibrolamellar HCC
cases of 0-39 years of age were more likely to receive radiofrequency ablation,
transplant or resection than HCC-NOS cases of that age. Survival was similar
among fibrolamellar and HCC-NOS cases receiving surgery. CONCLUSION: In this
largest case series, fibrolamellar and HCC-NOS age- and race-specific incidence
rates and time trends differed. Despite larger tumor size than HCC-NOS cases
fibrolamellar cases received surgery more often and had better survival rates.
Differences in co-morbidity may influence treatment. Studies of fHCC biology,
including by age, are recommended.
PMID- 24917984
TI - Symptom pattern following a meal challenge test in patients with irritable bowel
syndrome and healthy controls.
AB - BACKGROUND: Patients with irritable bowel syndrome (IBS) often complain of
worsening of symptoms after meal intake. Meal challenge tests have previously
been used to study symptoms and pathophysiology in functional dyspepsia.
OBJECTIVE: The objective of this article is to evaluate differences in
gastrointestinal (GI) symptom response to a standardized meal test in IBS
compared to healthy controls. METHODS: We included 67 patients with IBS and 16
healthy controls. After an overnight fast the subjects were served breakfast (540
kcal; 36% fat, 15% proteins, 49% carbohydrates; 8.9 g fiber). They completed
visual analog scales assessing severity of six GI symptoms (abdominal pain,
bloating, discomfort, nausea, gas, fullness) before breakfast and every 30
minutes up to 240 minutes after breakfast. The patients also completed a
questionnaire (IBS-SSS) to assess IBS symptom severity during the preceding week.
The course of symptom scores over time was analyzed using mixed models. RESULTS:
The meal was well tolerated and all subjects completed the test period. In
patients, significant effects of time (initial increase to a maximum, followed by
a return to baseline) were found for fullness, bloating, nausea and discomfort
(all p values < 0.01 for linear, quadratic and third-order effect of time). In
IBS patients, an independent significant association between IBS-SSS scores and
all postprandial symptoms, except for nausea, was found (all p < 0.01). In
controls, a significant linear, quadratic and third-order effect of time (all p <
0.0001) was found for fullness only. The difference in time course for bloating
and discomfort between IBS patients and controls was confirmed when comparing the
groups directly (significant time-by-group interaction effects, all p < 0.05),
but not for nausea. On average, IBS patients scored significantly higher than
controls on all symptoms, except for nausea (significant main effects of group,
all p < 0.05). CONCLUSIONS: A standardized meal test seems to be a promising tool
to study the symptom pattern in IBS and potentially to follow the effect of
interventions.
PMID- 24917985
TI - Faecal calprotectin and lactoferrin as markers for monitoring disease activity
and predicting clinical recurrence in patients with Crohn's disease after
ileocolonic resection: A prospective pilot study.
AB - BACKGROUND: Several studies have reported that faecal calprotectin and
lactoferrin showed a close correlation with endoscopic inflammation in patients
with inflammatory bowel disease. However, the clinical significance of faecal
calprotectin or lactoferrin in postoperative Crohn's disease (CD) is not fully
evaluated. This prospective study was to investigate the relationship between
endoscopic activity, and faecal calprotectin and lactoferrin, and assess the
predictive value of these markers for future recurrence. METHODS: Twenty patients
who remained in remission during 6-12 months after ileocolonic resection for CD
were included. All patients underwent ileocolonoscopy for assessing endoscopic
activity (Rutgeerts score) in the neo-terminal ileum. A stool sample was
collected for measurement of calprotectin and lactoferrin. All patients were then
followed up for 12 months, and clinical recurrence was defined as a CDAI >150
with an increase of >=70 points. RESULTS: The mean time between surgery and the
endoscopic examination at entry was 7.2 months. The endoscopic scores were i0 or
i1 in 10 patients, i2 in six patients, i3 in three patients, and i4 in one
patient. Both calprotectin and lactoferrin positively correlated with the
endoscopic scores (p = 0.0001 and p = 0.038, respectively). Six patients
developed clinical recurrence during the 12-month follow-up. Both calprotectin
and lactoferrin levels were significantly higher in patients with clinical
recurrence than those in remission (p = 0.0007 and p = 0.025, respectively). A
cutoff value of 170 ug/g for calprotectin had a sensitivity of 83% and a
specificity of 93% to predict a risk of clinical recurrence, while a cutoff value
of 140 ug/g for lactoferrin had a sensitivity of 67% and a specificity of 71%.
CONCLUSIONS: Both calprotectin and lactoferrin levels correlate well with
endoscopic activity after ileocolonic resection for CD. Calprotectin and
lactoferrin could be clinically relevant biomarkers for predicting postoperative
recurrence. Further well-designed large trials should strengthen the findings of
the present investigation.
PMID- 24917986
TI - Factors affecting satisfaction with treatment in European women with chronic
constipation: An internet survey.
AB - BACKGROUND: Data on factors affecting treatment satisfaction in European women
with chronic constipation are limited. OBJECTIVE: To assess factors associated
with treatment satisfaction among European women with chronic constipation.
METHODS: A 2011-2012 internet survey was conducted in men and women from 12
European countries. Respondents analysed were female with self-reported chronic
constipation (>=1 symptoms for >=6 months of lumpy/hard stools, feeling of
incomplete evacuation, and pain during defecation, as well as <3 bowel
movements/week). For laxative users, satisfaction with treatment, factors
affecting satisfaction, and interactions with healthcare professionals were
collected. RESULTS AND CONCLUSIONS: In total, 4805/50,319 participants fulfilled
the inclusion criteria (female with chronic constipation). Of the laxative users
(1575/4805), 57% (n = 896) were satisfied with their treatment, while 26% were
neutral, and 17% dissatisfied. Dissatisfied respondents visited their GP less
frequently in the past 12 months, were more likely to obtain over-the-counter
laxatives, and took a dose higher than recommended more frequently than those
satisfied. Respondents were most satisfied with ease of use of treatment and
least satisfied with relief from bloating. Newer treatments aimed at alleviating
symptoms, particularly bloating, are required for respondents neutral or
dissatisfied with their current treatment.
PMID- 24917987
TI - A survey of pharmacological and nonpharmacological treatment of functional
gastrointestinal disorders.
AB - BACKGROUND: Treatment of functional gastrointestinal disorders (FGIDs) is based
on symptoms relieve by conventional drugs, but increasingly complementary and
alternative medicine (CAM) is used. OBJECTIVE: This survey aimed to investigate
the current treatments used by FGIDs patients. METHODS: A total of 25 Italian
gastroenterologists interviewed outpatients on gastrointestinal symptoms and
treatments (pharmacological, CAM, diet/dietary supplements) used during the last
year to relieve FGIDs. Consecutive adults with FGIDs according to Rome III were
included. RESULTS: Of the 199 patients, 81% used conventional drugs, 64.3%
diet/dietary supplements, and 48.7% CAM. Conventional drugs, diet/dietary
supplements, or CAM as exclusive treatment were used by 24.6, 6, and 2.5% of
patients, respectively. Two-thirds used more than one treatment: 34.7%
conventional drugs, CAM, and diet/dietary supplements, 17.1% conventional drugs
and diet/dietary supplements, 10.1% diet and CAM, and 5% conventional drugs and
CAM. Benefits and adverse effects were similar for conventional drugs and
nonpharmacological treatments. Males (OR 2.4) without lower GI symptoms (OR 5.4)
used more frequently exclusive pharmacological treatment of FGIDs. CONCLUSIONS:
Conventional drugs are the preferred treatment for FGID. CAM and dietary
modifications are more likely used as an adjunct to rather than instead of
conventional drugs. Adverse effects occurred in all treatments.
PMID- 24917989
TI - UEG Week Vienna 2014: New horizons, fresh ideas.
PMID- 24917988
TI - Early life factors initiate a 'vicious circle' of affective and gastrointestinal
symptoms: A longitudinal study.
AB - OBJECTIVE: Functional gastrointestinal disorders (FGID) have been shown to be
associated with both comorbid mood disorders and traumatic events such as abuse
earlier in life. In a longitudinal study, we tested a model that hypothesized:
(i) childhood abuse was associated with subsequent mood disorder and pain or
interference in life by bowel symptoms both directly and indirectly via neurotic
personality; and (ii) an ongoing cycle of mood disorder impacts on bowel
symptoms. DESIGN: Subjects from the general population classified as irritable
bowel syndrome and/or functional dyspepsia (IBS/FD, n = 207) or free of FGID (n =
100) were prospectively studied every 6 months over 18 months. In addition to
bowel symptom interference and abdominal pain, measures of personality
(neuroticism), childhood abuse history, depression, and anxiety were obtained.
The hypothesized model was tested via Path Modelling. RESULTS: Childhood abuse
was found to be directly associated with neuroticism but only indirectly
associated with baseline interference and mood disorders (via neuroticism). The
data further supported an ongoing cycle of elevations in mood disorders and
pain/interference by bowel symptoms. The data supported direct effects of
interference at one time point on interference at the subsequent time point in
addition to indirect effects of prior anxiety and depression. Repeating the model
with pain frequency as the outcome yielded almost identical findings which
suggests the findings are generalized across domains of symptoms and quality-of
life. CONCLUSION: Our data provide support for a model characterized by a
'vicious circle' between mood disorders and FGID symptoms in adulthood, with
initial input from early life factors.
PMID- 24917990
TI - Learn it by doing it! Hands on education at UEG.
PMID- 24917991
TI - Gastrointestinal motility revisited: The wireless motility capsule.
AB - INTRODUCTION: The wireless motility capsule (WMC) is a novel ambulatory
technology that concurrently measures intraluminal pH, temperature, and pressure
as it traverses the gastrointestinal tract. OBJECTIVES: We aim to provide a
concise summary of the WMC, detailing the procedure for its administration and
the parameters it records. We also review the evidence that has validated the WMC
against other methods currently regarded as 'gold standard'. CONCLUSIONS: The WMC
offers a number of advantages over and above current techniques, especially with
respect to patient tolerability, safety, and standardization. The WMC represents
a considerable enhancement of the researchers' and clinicians' investigatory
armamentarium. If this technology becomes widely adopted, coupled with
international consensus upon the interpretation of physiological data derived
therein, it may herald a new and exciting era in gastrointestinal physiology.
PMID- 24917992
TI - The good lies so near - in vivo diagnosis of colonic polyps.
PMID- 24917993
TI - High-definition vs. standard-definition endoscopy with indigo carmine for the in
vivo diagnosis of colonic polyps.
AB - BACKGROUND: There is growing evidence that indigo carmine chromoendoscopy is
effective for the in vivo diagnosis of colonic polyps. However, the impact of
colonoscope resolution on diagnostic accuracy has not been investigated.
OBJECTIVE: We aimed to compare the effectiveness of in vivo diagnosis of small
colonic polyps using indigo carmine dye spray with standard-definition and high
definition colonoscopes. METHODS: Procedures were performed using Fujinon
colonoscopes and EPX 4400 processor. Fujinon standard-definition (SD) and high
definition (HD) colonoscopes were used, with the endoscopist blinded to
colonoscope definition. Polyps <10 mm were assessed using 0.2% indigo carmine dye
spray, with the predicted diagnosis recorded. In each case the kind of
colonoscope (SD or HD) was recorded. Polyps were removed and sent for
histological analysis, with the pathologist blinded to the diagnosis made by the
endoscopist. The predicted diagnosis was compared with the true histology to
calculate the accuracy, sensitivity and specificity of in vivo assessment using
either SD or HD scopes. RESULTS: In total 237 polyps <10 mm in size were
examined. There was no statistically significant difference for any of the
measured parameters between SD and HD assessments, with an accuracy, sensitivity
and specificity of 89%, 91% and 87% with SD colonoscopes and 92%, 96% and 84%
with HD colonoscopes. CONCLUSIONS: The accuracy of in vivo assessment of small
colonic polyps with indigo carmine dye spray is excellent with standard
definition colonoscopes and is not improved with high-definition colonoscopes.
PMID- 24917994
TI - Cigarette smoking is a modifiable risk factor for Barrett's oesophagus.
AB - BACKGROUND: Cigarette smoking has been associated with an increased risk of
oesophageal adenocarcinoma (OAC). However, the impact of smoking and more
importantly smoking cessation on Barrett's oesophagus (BO) is unclear. OBJECTIVE:
The aim of the study is to evaluate the association between cigarette smoking and
presence of BO in a large prospective cohort of patients with gastro-oesophageal
reflux disease (GORD). METHODS: Patients presenting to the endoscopy unit for
upper endoscopy completed a validated GORD questionnaire and information on
demographics (age, gender, and ethnicity), cigarette smoking [status
(current/past), amount (pack years) and duration of smoking cessation], clinical
data [medication history, body mass index (BMI), and family history] and
endoscopic findings [BO and hiatal hernia] were recorded. Cigarette smokers
(current and past) and nonsmokers were compared using Fisher's Exact test for
categorical variables and Mann-Whitney test for continuous variables. Effects of
cigarette smoking and smoking cessation on BO risk was assessed by stepwise
logistic regression analysis. RESULTS: A total of 1056 patients were included in
the analysis [mean age: 57.2 +/- 12.7years, Caucasian 880 (83.3%), male 985
(93.3%), and mean BMI 29.6 (SD: +/- 5.6)]. 827 (78.3%) were smokers and 229
(21.6%) were nonsmokers. 474 subjects (44.9%) had a previous history of smoking.
Anytime smokers were more likely to have BO (adjusted OR: 3.3; 95 CI: 1.7-6.3; p
< 0.01). Higher smoking burden (pack years) was associated with higher risk of BO
in this GORD cohort (p for trend < 0.01). Duration of smoking cessation was
inversely associated with risk of BO (p for trend: 0.01). CONCLUSION: This study
shows that smokers with reflux symptoms have about threefold higher risk of BO
compared with nonsmokers, whereas discontinuing smoking is associated with a
significant reduced risk. Smoking cessation appears to be a viable option to
reduce BO risk in patients with reflux disease.
PMID- 24917995
TI - Pharyngeal pH alone is not reliable for the detection of pharyngeal reflux
events: A study with oesophageal and pharyngeal pH-impedance monitoring.
AB - BACKGROUND: Pharyngeal pH probes and pH-impedance catheters have been developed
for the diagnosis of laryngo-pharyngeal reflux. OBJECTIVE: To determine the
reliability of pharyngeal pH alone for the detection of pharyngeal reflux events.
METHODS: 24-h pH-impedance recordings performed in 45 healthy subjects with a
bifurcated probe for detection of pharyngeal and oesophageal reflux events were
reviewed. Pharyngeal pH drops to below 4 and 5 were analysed for the simultaneous
occurrence of pharyngeal reflux, gastro-oesophageal reflux, and swallows,
according to impedance patterns. RESULTS: Only 7.0% of pharyngeal pH drops to
below 5 identified with impedance corresponded to pharyngeal reflux, while 92.6%
were related to swallows and 10.2 and 13.3% were associated with proximal and
distal gastro-oesophageal reflux events, respectively. Of pharyngeal pH drops to
below 4, 13.2% were related to pharyngeal reflux, 87.5% were related to swallows,
and 18.1 and 21.5% were associated with proximal and distal gastro-oesophageal
reflux events, respectively. CONCLUSIONS: This study demonstrates that pharyngeal
pH alone is not reliable for the detection of pharyngeal reflux and that adding
distal oesophageal pH analysis is not helpful. The only reliable analysis should
take into account impedance patterns demonstrating the presence of pharyngeal
reflux event preceded by a distal and proximal reflux event within the
oesophagus.
PMID- 24917996
TI - Effect of lansoprazole on the epigastric symptoms of functional dyspepsia (ELF
study): A multicentre, prospective, randomized, double-blind, placebo-controlled
clinical trial.
AB - BACKGROUND: Since the publication of the Rome III criteria for functional
dyspepsia (FD), the evidence about the efficacy of half-dose of proton pump
inhibitors for dyspepsia symptoms have been limited. OBJECTIVE: To examine the
efficacy of lansoprazole for functional dyspepsia (FD) diagnosed with the Rome
III criteria by the multicentre, double-blind, randomized, placebo-controlled
study in Japan. METHODS: A total of 54 FD participants were randomized to
lansoprazole 15 mg once daily or placebo for a 4-week double-blind treatment
period. The primary efficacy endpoint was an overall dyspeptic symptom relief
rate evaluated by 5-point Likert scale scores. The alteration of dyspeptic
symptom scores during the study period was also assessed. RESULTS: At week 4, the
overall dyspeptic symptom relief rates were higher in the lansoprazole group
(30.4%) than in the placebo group (6.7%) (p = 0.045). The scores for epigastric
pain (p = 0.045) and epigastric burning (p = 0.03) were significantly improved in
the lansoprazole group compared to the placebo group, whereas the improvement of
the scores for postprandial fullness (p = 0.81) and early satiation (p = 0.33)
was not different between lansoprazole and placebo groups. CONCLUSIONS:
Lansoprazole 15 mg ameliorates dyspeptic symptoms, particularly the epigastric
pain syndrome-related symptoms of FD.
PMID- 24917997
TI - Endoscopic submucosal dissection for early gastric cancer in cases preoperatively
contraindicated for endoscopic treatment.
AB - BACKGROUND AND STUDY AIMS: Endoscopic submucosal dissection (ESD) is an optimal
treatment for early gastric cancer (EGC) with negligible risk of lymph node
metastasis; however, ESD is sometimes performed to treat lesions preoperatively
contraindicated for the procedure due to various reasons. Here we aim to evaluate
the treatment outcomes of ESD for lesions that were preoperatively
contraindicated for ESD. PATIENTS AND METHODS: Clinicopathological data of 104
EGC lesions in 104 patients were reviewed retrospectively. The demographic
characteristics of patients, reasons for ESD, treatment results, complications,
and outcomes were assessed. RESULTS: The major reasons for undergoing ESD
included advanced age, desire to undergo ESD, and the existence of comorbidities.
En-bloc and complete resection rates were 97 and 71%, respectively. Perforation
and postoperative bleeding rates were 13 and 9%, respectively. Resection was
beyond the expanded Japanese criteria for endoscopic treatment of EGC in 87
patients (84%), 41 (47%) of whom underwent additional therapy, including
subsequent gastrectomy (29 patients) and photodynamic therapy (12 patients). The
median follow-up period was 47 months, during which seven patients died from
recurrent disease. The 5-year overall and disease-specific survival rates were 70
and 91.5%, respectively. CONCLUSIONS: ESD is a technically demanding procedure
for lesions preoperatively contraindicated for endoscopic resection. The curative
resection rate was low, but the 5-year disease-specific survival rate of 91.5%
was favourable. In experienced hands, ESD may be a treatment option for patients
not suitable for radical surgery, and the relevant risk of complications must be
considered before treatment.
PMID- 24917998
TI - Capsule endoscopy: High negative predictive value in the long term despite a low
diagnostic yield in patients with suspected Crohn's disease.
AB - INTRODUCTION: The role of small bowel capsule endoscopy (SBCE) in Crohn's disease
(CD) has expanded with greater understanding of the technology. The ability of
SBCE to differentiate CD from other causes of inflammation has been questioned.
Longitudinal studies are required to assess the long-term impact and significance
of SBCE findings in suspected CD. This study aimed to determine the long-term
clinical accuracy of SBCE in patients referred with suspected CD. METHODS: A
retrospective review was carried out on SBCE procedures performed for suspected
CD since 2010. Only patients with at least 6 months of documented follow up were
included. A chart review was undertaken to record SBCE findings/correlate with
subsequent diagnosis and outcome. RESULTS: In all, 95 patients with sufficient
follow up were identified. The mean follow up was 13 months (range 8-24). In
total, 72 (76%) SBCEs were negative and 23 (24%) positive for CD. Of the 72
negative tests, two patients (3%) were later diagnosed with CD. The negative
predictive value is 96%. There was a strong positive correlation between results
of WCE and subsequent clinical diagnosis. CONCLUSIONS: SBCE appears capable of
safely out-ruling CD, with only 3% of negative SBCE investigations being
diagnosed with CD after 15 months.
PMID- 24918000
TI - New research findings in gastroenterology have been presented at UEG Week 2013 in
Berlin.
PMID- 24918001
TI - Survey of Digestive Health across Europe.
PMID- 24917999
TI - CD25 and TNF receptor II reflect early primary response to infliximab therapy in
patients with ulcerative colitis.
AB - BACKGROUND: Although infliximab treatment is an option for patients with
ulcerative colitis (UC), not all patients do respond to therapy, and cellular
mechanisms leading to therapy response are incompletely known. OBJECTIVE: The
objective of this article is to determine early effects of infliximab therapy on
T cells in the blood of UC patients and if effects differed in therapy responders
and nonresponders. METHODS: Blood samples were obtained before and two weeks post
treatment start from 34 anti-tumor necrosis factor (TNF) therapy-naive UC
patients undergoing infliximab therapy. Response to therapy was evaluated prior
to the fourth treatment dose. Expression of T cell surface markers and levels of
soluble receptors and cytokines in serum were determined. RESULTS: At baseline,
there were no differences in cellular, biochemical or clinical parameters between
therapy responders and nonresponders. Infliximab therapy reduced frequencies of
CD25(+) T cells and increased frequencies of annexin V(+) T cells in patients
responding to infliximab, but not in nonresponding patients, two weeks after
therapy start. Only therapy responders had decreased serum levels of sCD25 and
sTNFRII two weeks after treatment start. In contrast, clinical parameters did not
reflect therapy outcome already two weeks after therapy start. CONCLUSION:
Soluble and membrane-bound T cell receptors may be early indicators of infliximab
therapy response in UC, which can be of clinical importance for the decision when
to continue or to stop the treatment.
PMID- 24918003
TI - Fructose-induced symptoms beyond malabsorption in FGID.
AB - The dietary carbohydrate fructose can be incompletely absorbed in the small
intestine and is sometimes associated with gastrointestinal symptoms that include
motility disturbances and abdominal pain. Fructose malabsorption has been well
documented in variable but similar proportions of healthy and populations with
functional gastrointestinal disorders. Recent work into the expression of the
main intestinal fructose transporter proteins highlight that our understanding of
the mechanistic basis for fructose malabsorption and how it differentiates in
gastrointestinal patients is incomplete. Until we have further mechanistic
insight, restricting dietary fructose intake and other poorly absorbed short
chain carbohydrates and polyols remains an efficacious approach for managing
functional gastrointestinal symptoms.
PMID- 24918002
TI - Timing of endoscopy in gastrointestinal bleeding.
AB - BACKGROUND: In gastrointestinal bleeding, a physician often has to make a
decision between two possible choices. Endoscopic management of the bleeding
could be initiated immediately, or it could be delayed until the patient has
become haemodynamically stable or the conditions for a successful endoscopy have
otherwise improved. OBJECTIVE: The present article serves to present such
situations and highlights their characteristic features. METHODS: The choice
between immediate and delayed endoscopy is analysed in terms of a decision tree,
comparing the expected results of the two management alternatives. The decision
tree is applied to three different clinical scenarios associated with
gastrointestinal bleeding, where performing endoscopy later rather than sooner
represents the preferred management option. RESULTS: The work up of chronic iron
deficient anaemia in patients with serious cardiac problems should be deferred
until resolution of their reduced cardiovascular status. It is also recommended
that, even in acute bleeding, endoscopy is deferred until the patient has become
haemodynamically stable. Lastly, for nonemergency treatment of oesophageal
varices bleeding, a long rather than short interval between consecutive banding
sessions appears more beneficial. CONCLUSIONS: The results illustrate how to use
threshold analysis as a simple bedside tool to solve seemingly complex decisions
associated with management of gastrointestinal bleeding.
PMID- 24918004
TI - Fructose transporters GLUT5 and GLUT2 expression in adult patients with fructose
intolerance.
AB - BACKGROUND: Gastrointestinal symptoms and malabsorption following fructose
ingestion (fructose intolerance) are common in functional gastrointestinal
disorders (FGID). The underlying mechanism is unclear, but is hypothesized to be
related an abnormality of intestinal fructose transporter proteins. OBJECTIVE: To
assess the expression of the main intestinal fructose transporter proteins,
glucose transport protein 5 (GLUT5) and 2 (GLUT2), in FGID. METHODS: The
expression of GLUT5 and GLUT2 protein and mRNA in small intestinal biopsy tissue
was investigated using real-time reverse-transcription PCR and Western
immunoblotting in 11 adults with FGID and fructose intolerance ascertained by
breath testing and in 15 controls. RESULTS: Median expression levels of GLUT5
mRNA normalized to beta-actin were 0.18 (interquartile range, IQR, 0.13-0.21) in
patients and 0.17 (IQR 0.12-0.19) in controls (p > 0.05). Respective levels of
GLUT2 mRNA were 0.26 (IQR 0.20-0.31) and 0.26 (IQR 0.19-0.31) (p > 0.05). Median
expression levels of GLUT5 protein normalized to alpha-tubulin were 0.95 (IQR
0.52-1.68) in patients and 0.95 (IQR 0.59-1.15) in controls (p > 0.05).
Respective protein expression levels for GLUT2 were 1.56 (IQR 1.06-2.14) and 1.35
(IQR 0.96-1.79) (p > 0.05). CONCLUSIONS: Human fructose intolerance may not be
associated with marked changes in GLUT5 and GLUT2 expression. Replication of
these results in a larger subject group, including measures of transporter
activation and membrane and subcellular localization, is warranted.
PMID- 24918005
TI - Factors related to bowel cleansing failure before colonoscopy: Results of the
PACOME study.
AB - BACKGROUND: The factors associated with inadequate bowel cleansing leading to
colonoscopy failure are not well known. OBJECTIVE: We conducted a multicentre,
prospective, observational case-control study in order to identify these factors.
METHODS: Investigators included one patient with colonoscopy failure due to
inadequate bowel cleansing (failure group) and the following patient with
complete colonoscopy (success group). Data related to demographics, medical
history, and preparation were collected and compared. Factors associated with
bowel cleansing failure were identified by multivariate logistical regression
analysis. RESULTS: A total of 101 gastroenterologists included 202 patients from
1 November 2009 to 15 January 2010. There was no difference between both groups
with regards to baseline characteristics. In univariate analysis, factors
significantly associated with bowel cleansing failure were vomiting during
preparation (p = 0.0007), incomplete intake of the preparation (p = 0.002), and
constipation (p = 0.02). Type and timing of preparation were not significantly
different between groups. In multivariate analysis, incomplete intake of the
preparation (OR 4.5, 95% CI 1.2-17.3), constipation (OR 4.2, 95% CI 1.2-14.9),
and treatment with neuroleptics or antidepressants (OR 9.9 (95% CI 1.4-71.0) were
independent predictors of colonoscopy failure. CONCLUSIONS: Factors associated
with bowel cleansing failure were incomplete intake of the preparation,
constipation, and treatment with psychotropic drugs. Interventions to reduce poor
colonoscopy preparations should be targeted at these at-risk patients.
PMID- 24918006
TI - Comparison of six different calprotectin assays for the assessment of
inflammatory bowel disease.
AB - BACKGROUND AND OBJECTIVES: Faecal calprotectin is a valuable noninvasive marker
for inflammatory bowel disease (IBD). The aim of our study was to determine the
correlation between six different calprotectin assays and compare their
performance for diagnosis and follow up of IBD. METHODS: Thirty-one patients with
suspected IBD and 31 patients in follow up were included. We determined
calprotectin by means of three rapid immmunochromatographic tests, two enzyme
linked immunosorbent assays, and one automated fluoroimmunoassay. Results were
correlated with endoscopic and histological findings. RESULTS: Although all
methods correlated significantly, slopes and intercepts differed extensively,
with up to 5-fold quantitative differences between assays. Sensitivity and
specificity for diagnosis of IBD were 82-83 and 84-89%, respectively. For follow
up, sensitivity in detecting mild ulcerative colitis was 71-100%. In moderate-to
severe ulcerative colitis, sensitivity was 100% for all assays. Specificity was
67-86% in both subgroups. In Crohn's disease, only moderate-to-severe disease
could be differentiated from remission, with sensitivity 83-86% and specificity
75% for all tests. CONCLUSIONS: All calprotectin assays showed comparable
clinical performance for diagnosis of IBD. For follow up, performance was
acceptable, except for mild Crohn's disease. Because of the large quantitative
differences, further efforts are needed to standardize calprotectin assays.
PMID- 24918007
TI - Clinical and genetic factors predicting response to therapy in patients with
Crohn's disease.
AB - AIM: To identify clinical and/or genetic predictors of response to several
therapies in Crohn's disease (CD) patients. METHODS: We included 242 patients
with CD (133 females) aged (mean +/- standard deviation) 39 +/- 12 years and a
disease duration of 12 +/- 8 years. The single-nucleotide polymorphisms (SNPs)
studied were ABCB1 C3435T and G2677T/A, IL23R G1142A, C2370A, and G9T, CASP9
C93T, Fas G670A and LgC844T, and ATG16L1 A898G. Genotyping was performed with
real-time PCR with Taqman probes. RESULTS: Older patients responded better to 5
aminosalicylic acid (5-ASA) and to azathioprine (OR 1.07, p = 0.003 and OR 1.03,
p = 0.01, respectively) while younger ones responded better to biologicals (OR
0.95, p = 0.06). Previous surgery negatively influenced response to 5-ASA
compounds (OR 0.25, p = 0.05), but favoured response to azathioprine (OR 2.1, p =
0.04). In respect to genetic predictors, we observed that heterozygotes for
ATGL16L1 SNP had a significantly higher chance of responding to corticosteroids
(OR 2.51, p = 0.04), while homozygotes for Casp9 C93T SNP had a lower chance of
responding both to corticosteroids and to azathioprine (OR 0.23, p = 0.03 and OR
0.08, p = 0.02,). TT carriers of ABCB1 C3435T SNP had a higher chance of
responding to azathioprine (OR 2.38, p = 0.01), while carriers of ABCB1 G2677T/A
SNP, as well as responding better to azathioprine (OR 1.89, p = 0.07), had a
lower chance of responding to biologicals (OR 0.31, p = 0.07), which became
significant after adjusting for gender (OR 0.75, p = 0.005). CONCLUSIONS: In the
present study, we were able to identify a number of clinical and genetic
predictors of response to several therapies which may become of potential utility
in clinical practice. These are preliminary results that need to be replicated in
future pharmacogenomic studies.
PMID- 24918009
TI - Time to prepare and submit your abstract for UEG Week Vienna 2014.
PMID- 24918008
TI - Welcome from the UEG President.
PMID- 24918010
TI - Lack of seasonal variation in the incidence of eosinophilic oesophagitis in
adolescent and adult non-PPI-responsive oesophageal eosinophilia midwestern US
populations.
AB - BACKGROUND: Eosinophilic oesophagitis (EoO) has been associated with allergic
disorders as well as aeroallergens. The current literature has shown a possible
association between seasonal variation, mainly in the spring, and the incidence
of EoO. However, this data was based on small population studies that did not
exclude proton-pump inhibitor (PPI)-responsive oesophageal eosinophilia (PPI-ROE)
in their cohort. AIM: The aim of this study was to determine if there is a
seasonal variation associated with the diagnosis of EoO in patients that had been
treated with high-dose PPI prior to diagnosis. METHODS: Oesophageal biopsies were
obtained from a cohort of patients who presented with symptoms of dysphagia,
odynophagia, and heartburn during a 10-year period. Symptomatic patients who had
biopsies from the mid and distal oesophagus with >=20 eosinophils per high-power
field (hpf) while on high-dose PPI treatment for at least 5 weeks were diagnosed
as having EoO. The monthly and seasonal incidences were determined (winter,
January-March; spring, April-June; summer, July-September; Autumn, October
December). RESULTS: A total of 20,718 patients were identified and their records
evaluated. From this cohort, 193 (0.93%) symptomatic patients had biopsy-proven
oesophageal eosinophilia (>=20 eosinophils/hpf) and no seasonal variation was
seen in this group. However, only 57 (0.28%) had been adequately treated with PPI
prior to diagnosis (i.e. non-PPI-ROE biopsy-proven EoO; >=20 eosinophils/hpf: 39
males, 18 females; age 29.5 years). The most common medical history components
included asthma (12.3%) and food allergies (3.5%), and the most common presenting
symptoms included dysphagia (50.9%) and heartburn (26.3%). The monthly and
seasonal incidences in our cohort were with no apparent trend (p = 0.713 and
0.703, respectively). CONCLUSIONS: The incidence of EoO was consistent across all
12 months as well as during the four seasons. Our data does not support a
seasonal variation in relation to the incidence of EoO in the US midwestern non
PPI-ROE population.
PMID- 24918011
TI - EndoFLIP system for the intraoperative evaluation of peroral endoscopic myotomy.
AB - BACKGROUND: Peroral endoscopic myotomy (POEM) has been recently introduced in
clinical practice for the treatment of achalasia. The endoluminal functional
lumen imaging probe (EndoFLIP) system) uses impedance planimetry for the real
time measurement of the diameter of the oesophago-gastric junction. OBJECTIVE:
The aim of this study is to prospectively evaluate the effect of POEM on the
oesophago-gastric junction using EndoFLIP. METHODS: All the patients who
underwent POEM in a single centre between April and July 2013 were enrolled in
the study. EndoFLIP was used intraoperatively, immediately before and after POEM.
During follow-up patients underwent oesophagogastroduodenoscopy, oesophageal pH
monitoring and manometry. Clinical outcomes were compared with the diameter of
the oesophago-gastric junction after POEM. RESULTS: In total, 23 patients (12
males, mean age 51.7 years) were enrolled, and 21 underwent POEM successfully.
Preoperative mean basal lower oesophageal sphincter pressure was 42.1 mmHg (+/
17.6). Before POEM, the mean oesophago-gastric junction diameter and cross
sectional area were 6.3 mm (+/-1.8) and 32.9 mm(2) (+/-23.1), respectively. After
treatment, the mean diameter and cross-sectional area of the oesophago-gastric
junction were 11.3 mm (+/-1.7 SD) and 102.38 mm(2) (+/-28.2 SD), respectively. No
complications occurred during a mean follow-up of 5 months. Median post-operative
Eckardt score was 1. Three patients (14.3%) referred heartburn. Follow-up studies
revealed gastro-oesophageal reflux disease (GORD) in 57.1% of patients and
oesophagitis in 33.3%. No correlations were observed between the diameter of
oesophago-gastric junction after POEM and symptoms relief, GORD incidence and
lower oesophageal sphincter pressure. CONCLUSIONS: The diameter of oesophago
gastric junction substantially increases after POEM. EndoFLIP is a reliable
method for the intraoperative evaluation of oesophago-gastric junction diameter.
However, the real usefulness of this technology after POEM remains controversial.
PMID- 24918012
TI - Rapid air infusion into the oesophagus: Motor response in patients with achalasia
and nonobstructive dysphagia assessed with high-resolution manometry.
AB - BACKGROUND: Achalasia is a neurodegenerative disorder of the oesophagus.
Alteration of motor activity induced by oesophageal distension has not been
explored. OBJECTIVES: To investigate this function, using high-resolution
Manometry. METHODS: This study enrolled 15 healthy subjects, 15 nonobstructive
dysphagia (NOD), and 18 achalasia patients successfully treated with pneumatic
dilation (six with restored peristalsis). The three groups underwent five rapid
(<1 s) intraoesophageal infusions of 20-ml air boluses, followed by eight 5-ml
water swallows. RESULTS: WHEREAS THE RESPONSE RATE TO WATER SWALLOWS WAS SIMILAR
IN THE THREE GROUPS, AIR INFUSION INDUCED A LOWER RESPONSE RATE IN ACHALASIA
(MEDIAN, INTERQUARTILE RANGE: 70%, 40-100%) and, to a lesser extent, in NOD
patients (100%, 60-100%) than in healthy subjects (100%, 100-100%; p < 0.001 and
p = 0.06, respectively). However, the response rate was highly variable in
achalasia patients irrespective of presence of peristalsis. Furthermore, the
strength of motor response to air infusion when compared to water swallows was
diminished in achalasia patients but not in healthy subjects and NOD.
CONCLUSIONS: Motor response to rapid air infusion was variably impaired in
achalasia. The role of this alteration in the long-term outcome deserves
evaluation.
PMID- 24918013
TI - Risk factors for the development of oesophageal adenocarcinoma in Barrett's
oesophagus: a UK primary care retrospective nested case-control study.
AB - BACKGROUND: Oesophageal adenocarcinoma (OAC) incidence is rising rapidly and
prognosis remains poor. Endoscopic surveillance of Barrett's oesophagus (BO)
remains controversial. OBJECTIVE: A nested case-control study was undertaken to
evaluate risk factors for progression of BO to OAC, potentially guiding
surveillance efforts. METHODS: The Health Improvement Network database includes
general practitioner consultations from 5 million UK subjects. BO subjects with 1
year minimum of follow up were followed until development of OAC or end of time
on database. Demographic variables (age, gender, smoking, body mass index) and
data on medication considered negatively (aspirin/nonsteroidal anti-inflammatory
drugs/proton pump inhibitors) or positively associated (lower oesophageal
sphincter-relaxing and asthma drugs) with OAC development were studied. Cox
regression analysis-derived hazard ratios with 95% confidence intervals estimated
the relative risk for OAC progression. RESULTS: A total of 3749 BO subjects were
studied: 55 developed OAC during 17,743 patient years of follow up, a progression
rate of 0.3% per annum. There was 96.7% of the cohort who took proton-pump
inhibitors, with no association observed. Increasing age (1.03, 95% CI 1.01-1.05,
p = 0.005), male gender (3.06, 95% CI 1.50-6.24, p = 0.002), and having ever
smoked (2.36, 95% CI 1.13-4.93, p = 0.023) were associated with progression to
OAC, (although smoking lost association on multivariate analysis). Increasing
number of drugs used for asthma (2.91, 95% CI 1.10-7.68, p= 0.0314) was also
associated. CONCLUSION: In this nested case-control study of BO, male gender,
increasing age, and increasing use of asthma drugs were associated with
progression to OAC.
PMID- 24918014
TI - Clinical significance of hepatitis B virion and SVP productivity: relationships
between intrahepatic and serum markers in chronic hepatitis B patients.
AB - BACKGROUND: Clinical use of hepatitis B viral (HBV) quantitative seromarker?s
remains questionable since it is not precisely known whether they represent
intrahepatic viral replication. Covalently closed circular DNA (cccDNA), relaxed
circular DNA (rcDNA), and pregenomic RNA (pgRNA) are more likely to represent
active HBV replication and their measurement can be used to derive virion
productivity (VP; rcDNA/cccDNA), subviral particle (SVP) productivity
(quantitative HBsAg/cccDNA), and replicative activity (RA; pgRNA/cccDNA). These
can be used to compare relative HBV replication between HBeAg-negative and
positive patients. OBJECTIVE: To study the clinical significance of intrahepatic
HBV replication phenomenon between HBeAg-negative and -positive patients and its
correlation with quantitative HBV seromarkers. METHOD: This was a prospective
study between January 2010 and December 2011. Study subjects were naive chronic
hepatitis B patients from Cipto Mangunkusumo and Medistra Hospitals. All patient
samples underwent liver biochemistry and HBV seromarkers testing (HBeAg,
quantitative HBsAg and HBV DNA levels), and patients underwent liver biopsy.
Stored liver specimens were analysed for intrahepatic rcDNA, cccDNA, and pgRNA
with quantification performed by real-time PCR. Comparison of HBV markers between
HBsAg-positive and -negative patients was carried out using the Mann-Whitney U
test. Pearson's correlation test was performed among HBV intrahepatic and
seromarkers using their log-transformed values. RESULTS: A total of 104 patients
were enrolled in this study; 54 (51.9%) were male. Patients' mean age was 41.9 +/
11.63 years (range 19-70 years). Sixty-one patients (58.7%) were HBeAg-negative.
All HBV markers were significantly higher in HBeAg-positive than HBeAg-negative
patients, except for SVP productivity and RA. Serum HBV DNA was strongly
correlated with intrahepatic total HBV DNA (r = 0.771), cccDNA (r = 0.774), and
rcDNA (r = 0.780) while serum quantitative HBsAg showed only moderate correlation
with intrahepatic total DNA (r = 0.671), cccDNA (r = 0.632), rcDNA (r = 0.675),
and SVP productivity (r = 0.557). CONCLUSIONS: Serum HBV DNA concentration and
quantitative HBsAg might not accurately predict intrahepatic viral activity.
Virion and SVP production do not occur in parallel with replicative activity.
PMID- 24918015
TI - Long-term outcome of cyclosporin rescue therapy in acute, steroid-refractory
severe ulcerative colitis.
AB - BACKGROUND: Although cyclosporin is effective in severe ulcerative colitis (UC),
long-term colectomy rate varies between 60 and 88% among patients in whom
cyclosporin initially induced remission. The aim of our study was to evaluate the
long-term outcome and the optimal duration of cyclosporin therapy in acute,
severe UC. METHODS: A total of 73 patients underwent i.v. cyclosporin therapy for
a steroid refractory flare up of UC between 1998 and 2009. All patients were
treated with 1 mg/kg i.v. methylprednisolone for 3-7 days before the
administration of cyclosporin. Patients received i.v. cyclosporin of 4-5 mg/kg
for 5 days following oral treatment. RESULTS: The mean follow up after the
initiation of cyclosporin was 4.2 years. There were 20 patients who underwent
early colectomy. Cyclosporin had to be discontinued due to side effects in 22
patients. Cyclosporin failed and late colectomy was performed in 14 of the 53
responders. Duration of cyclosporin treatment was significantly longer in those
who avoided colectomy. The probability of avoiding colectomy proved to be 66% in
case of 1-year treatment period with cyclosporin. The longer treatment period
resulted in longer colectomy-free disease course. CONCLUSIONS: Cyclosporin is
effective in acute, severe UC during long-term follow up. Our data suggest that
the longer cyclosporin is used, the more it is possible to avoid colectomy in the
future.
PMID- 24918016
TI - Gelatin tannate ameliorates acute colitis in mice by reinforcing mucus layer and
modulating gut microbiota composition: Emerging role for 'gut barrier protectors'
in IBD?
AB - BACKGROUND: Gelatin tannate, a gelatin powder containing tannic acids, is
commonly employed as an intestinal astringent. Neither information nor animal
model exist to confirm its efficacy or unravel mechanisms of action. OBJECTIVE:
To evaluate the action of gelatin tannate in murine dextran sodium sulphate (DSS)
induced acute colitis. METHODS: Mice were exposed to DSS and received gelatin
tannate by gavage. At sacrifice, colon histological degree of inflammation was
assessed. Stool samples were cultured for microbiological analysis. Colon samples
were analysed by two-photon confocal microscopy and atomic force microscopy.
Elisa was performed on murine serum to assess lipopolysaccharide and
peptidoglycan levels. RESULTS: Gelatin tannate treatment reduced disease
activity, bodyweight loss, and preserved colonic length. It produced a decrease
in the amount of enterobacteria and enterococci. At confocal microscopy,
intestinal samples from healthy and treated mice displayed similar structure in
mucus layer thickness and composition; samples from placebo group had no mucus
layer or a thinner stratus. Atomic force microscopy confirmed these findings.
Treated mice showed lower blood LPS levels vs. control. CONCLUSIONS: Gelatin
tannate decreased the severity of colitis. Acting as a gut barrier enhancer, it
re-establishes gut homeostasis by recovering intestinal permeability and mucus
layer integrity in gut mucosa and by modulating microbiota composition.
PMID- 24918017
TI - Postpolypectomy haemorrhage following removal of large polyps using mechanical
haemostasis or epinephrine: a meta-analysis.
AB - BACKGROUND AND AIM: Postpolypectomy haemorrhage (PPH) is a known adverse event
that can occur following polypectomy, occurring in 0.3-6.1% of cases. Previous
meta-analysis has included small polyps, which are less likely to bleed, and less
amenable to some methods of mechanical haemostasis. No comprehensive cost-benefit
analysis of this topic is available. The aim of this study was to perform a meta
analysis of randomized trials and a cost-benefit analysis of prophylactic
haemostasis in PPH. METHODS: A total of 3092 abstracts from prospective trials
conducted in human colonoscopic polypectomy were screened. Outpatients undergoing
polypectomy in seven suitable studies (1426 episodes), without polyposis
syndromes or bleeding diathesis, were identified. The interventions of
prophylactic haemostatic measures (clips, loops, and/or adrenaline injection) to
prevent PPH were assessed. The main outcome measurements were PPH measured by
haematochezia or drop in haematocrit >10% or haemoglobin >1 g/dl. Risk ratio and
number needed to treat (NNT) were generated using meta-analysis. RESULTS:
Comparing any prophylactic haemostasis to none, the pooled risk ratio for PPH was
0.35 (0.21-0.57; p < 0.0001), NNT was 13.6, and cost to prevent one PPH was
USD652. Using adrenaline alone vs. no prophylactic haemostasis revealed a pooled
risk ratio of 0.37 (0.20-0.66; p = 0.001), NNT 14.0, cost to prevent one PPH
USD382. Any prophylactic mechanical haemostasis compared to adrenaline produced a
RR for PPH of 0.28 (0.14-0.57; p < 0.0001), NNT 12.3, and cost to prevent one PPH
USD1368. CONCLUSIONS: Adrenaline injection or mechanical haemostasis reduces the
risk of PPH. Routine prophylactic measures to reduce PPH for polyps larger than
10 mm are potentially cost effective, although more thorough cost-benefit
modelling is required.
PMID- 24918019
TI - UEG Week Vienna 2014 cutting edge symposium: Today's Science, Tomorrow's Medicine
session features the immune system - a driving force in digestive health and
disease.
PMID- 24918018
TI - Symptomatic fructose malabsorption in irritable bowel syndrome: A prospective
study.
AB - INTRODUCTION: Fructose can trigger or worsen symptoms in irritable bowel syndrome
(IBS) patients. The aim of this study was to determine the prevalence of
symptomatic fructose malabsorption in IBS patients and to test whether the
patient's characteristics can help to detect a fructose malabsorption. MATERIALS
AND METHODS: Ninety Rome III IBS patients (predominant diarrhoea (IBS-D): 31%,
predominant constipation (IBS-C): 18%, mixed type (IBS-M): 51%) were included
prospectively. After exclusion of a small intestinal bacterial overgrowth by a
glucose breath test, fructose malabsorption was assessed by a five-hour breath
test, with symptom monitoring, after a 25 g load of fructose. An increase of more
than 20 ppm of hydrogen (H2) or methane (CH4) levels in the exhaled air led to
the diagnosis of malabsorption. RESULTS: Fructose test was abnormal in 20/90
patients among whom only 35% were intolerant, with a simultaneous rise of H2/CH4
levels and the onset of abdominal discomfort or diarrhoea. IBS characteristics
were not predictive even if young (p = 0.031) and male IBS patients (p = 0.029)
were at higher risk of malabsorption. At variance, 18 additional patients
experienced intestinal symptoms during the test despite normal fructose
absorption. DISCUSSION: After a 25 g fructose load, symptomatic fructose
malabsorption and intolerance without malabsorption were detected in 22% and 28%
of IBS patients respectively.
PMID- 24918020
TI - UEG Training Support - a good option to finance your high quality postgraduate
teaching!
PMID- 24918021
TI - Representation of national matters in UEG.
PMID- 24918022
TI - The Medicaid medically improved group: losing disability status and growing
earnings.
AB - OBJECTIVES: Under the Ticket to Work and Work Incentives Improvement Act (PL 106
170), states may extend Medicaid Buy-In coverage to a medically improved group.
Improved group coverage allows adults with disabilities to retain Medicaid
coverage even once they lose disability status due to medical improvement, as
long as they retain the original medical impairment. The goal of this paper is to
describe who participated, the patterns of their participation, and employment
outcomes. METHODS: The study population consists of all individuals (n = 315) who
participated in medically improved group coverage 2002-2009 in the seven states
with coverage by 2009 (Arizona, Connecticut, Kansas, New York, North Carolina,
Pennsylvania, and West Virginia). Linked data from state Medicaid Buy-In finder
files and Social Security Administration Ticket Research and Master Earnings
Files were used to describe improved group participants and their patterns of
enrollment. RESULTS: Although enrollment has been limited, with 255 participants
in 2009, it has doubled annually on average with little churning and drop-out.
Participants' earnings grew nearly 200 dollars per month after two years, likely
reflecting increased work hours and/or higher pay rates. CONCLUSIONS: Improved
group participants represent an unusually successful group of individuals with
disabilities, many of whom have recently moved off Social Security cash benefit
rolls or who were diverted from them. Specifics of insurance eligibility and
coverage for improved group participants are uncertain under the Affordable Care
Act. The challenge remains to provide a pathway for adults with disabilities to
increase work and assets without loss of adequate health insurance.
PMID- 24918023
TI - Impacts of generic competition and benefit management practices on spending for
prescription drugs: evidence from Medicare's Part D benefit.
AB - OBJECTIVE: This study estimates the effects of generic competition, increased
cost-sharing, and benefit practices on utilization and spending for prescription
drugs. DATA AND METHODS: We examined changes in Medicare price and utilization
from 2007 to 2009 of all drugs in 28 therapeutic classes. The classes accounted
for 80% of Medicare Part D spending in 2009 and included the 6 protected classes
and 6 classes with practically no generic competition. All variables were
constructed to measure each drug relative to its class at a specific plan
sponsor. RESULTS: We estimated that the shift toward generic utilization had cut
in half the rate of increase in the price of a prescription during 2007-2009.
Specifically, the results showed that (1) rapid generic penetration had
significantly held down costs per prescription, (2) copayment and other benefit
practices shifted utilization to generics and favored brands, and (3) price
increases were generally greater in less competitive classes of drugs.
CONCLUSION: In many ways, Part D was implemented at a fortuitous time; since
2006, there have been relatively few new blockbuster drugs introduced, and many
existing high-volume drugs used by beneficiaries were in therapeutic classes with
multiple brands and generic alternatives. Under these conditions, our paper
showed that plan sponsors have been able to contain costs by encouraging use of
generics or drugs offering greater value within therapeutic classes. It is less
clear what will happen to future Part D costs if a number of new and effective
drugs for beneficiaries enter the market with no real competitors.
PMID- 24918025
TI - Hemichorea Associated with CASPR2 Antibody.
AB - This letter was written in response to: Ramdhani RA, Frucht SJ. Isolated chorea
associated with LGI1 antibody. Tremor Other Hyperkinet Mov. 2014; 4. doi:
10.7916/D8MG7MFC.
PMID- 24918024
TI - Neuroimaging studies of essential tremor: how well do these studies
support/refute the neurodegenerative hypothesis?
AB - BACKGROUND: Tissue-based research has recently led to a new patho-mechanistic
model of essential tremor (ET)-the cerebellar degenerative model. We are not
aware of a study that has reviewed the current neuroimaging evidence, focusing on
whether the studies support or refute the neurodegenerative hypothesis of ET.
This was our aim. METHODS: References for this review were identified by searches
of PubMed (1966 to February 2014). RESULTS: Several neuroimaging methods have
been used to study ET, most of them based on magnetic resonance imaging (MRI).
The methods most specific to address the question of neurodegeneration are MRI
based volumetry, magnetic resonance spectroscopy, and diffusion-weighted imaging.
Studies using each of these methods provide support for the presence of
cerebellar degeneration in ET, finding reduced cerebellar brain volumes,
consistent decreases in cerebellar N-acetylaspartate, and increased mean
diffusivity. Other neuroimaging techniques, such as functional MRI and positron
emission tomography (PET) are less specific, but still sensitive to potential
neurodegeneration. These techniques are used for measuring a variety of brain
functions and their impairment. Studies using these modalities also largely
support cerebellar neuronal impairment. In particular, changes in (11)C
flumazenil binding in PET studies and changes in iron deposition in an MRI study
provide evidence along these lines. The composite data point to neuronal
impairment and likely neuronal degeneration in ET. DISCUSSION: Recent years have
seen a marked increase in the number of imaging studies of ET. As a whole, the
combined data provide support for the presence of cerebellar neuronal
degeneration in this disease.
PMID- 24918026
TI - Reply to: Hemichorea Associated with CASPR2 Antibody.
AB - This letter was written in reply to this letter to the editor: Vynogradova I,
Savitski V, Heckmann JG. Hemichorea associated with CASPR2 antibody. Tremor Other
Hyperkinet Mov. 2014; 4. doi: 10.7916/D8VM49C5. The above letter to the editor
was written in response to this article: Ramdhani RA, Frucht SJ. Isolated Chorea
Associated with LGI1 Antibody. Tremor Other Hyperkinet Mov. 2014; 4. doi:
10.7916/D8MG7MFC.
PMID- 24918027
TI - Quantitative trait loci for energy balance traits in an advanced intercross line
derived from mice divergently selected for heat loss.
AB - Obesity in human populations, currently a serious health concern, is considered
to be the consequence of an energy imbalance in which more energy in calories is
consumed than is expended. We used interval mapping techniques to investigate the
genetic basis of a number of energy balance traits in an F11 advanced intercross
population of mice created from an original intercross of lines selected for
increased and decreased heat loss. We uncovered a total of 137 quantitative trait
loci (QTLs) for these traits at 41 unique sites on 18 of the 20 chromosomes in
the mouse genome, with X-linked QTLs being most prevalent. Two QTLs were found
for the selection target of heat loss, one on distal chromosome 1 and another on
proximal chromosome 2. The number of QTLs affecting the various traits generally
was consistent with previous estimates of heritabilities in the same population,
with the most found for two bone mineral traits and the least for feed intake and
several body composition traits. QTLs were generally additive in their effects,
and some, especially those affecting the body weight traits, were sex-specific.
Pleiotropy was extensive within trait groups (body weights, adiposity and organ
weight traits, bone traits) and especially between body composition traits
adjusted and not adjusted for body weight at sacrifice. Nine QTLs were found for
one or more of the adiposity traits, five of which appeared to be unique. The
confidence intervals among all QTLs averaged 13.3 Mb, much smaller than usually
observed in an F2 cross, and in some cases this allowed us to make reasonable
inferences about candidate genes underlying these QTLs. This study combined QTL
mapping with genetic parameter analysis in a large segregating population, and
has advanced our understanding of the genetic architecture of complex traits
related to obesity.
PMID- 24918028
TI - TGF-beta1 of no avail as prognostic marker in lyme disease.
AB - Background. Within the present in vivo study using the wild type mouse strains
C3H/HeN and FVB/N it was intended to (1) measure TGF-beta1 expression in the
course of lyme disease, (2) examine the potential correlation of TGF-beta1
expression with the clinical outcome of a Borrelia infection (with a focus on
lyme arthritis), (3) develop a diagnostic tool based on the endogenous factor TGF
beta1 to predict the progressivity of lyme disease. Findings. In the course of
lyme disease there was an increase in the serum content of active TGF-beta1,
which became significant 56 days post infection (p < 0.001). The serum
concentration of total TGF-beta1 in the course of infection initially decreased
then rebounded and subsequently dropped again. Despite considerable individual
variations in active TGF-beta1 serum concentrations there were no identifiable
dissimilarities in the clinical appearance of the mice. Likewise, no correlation
could be seen between the serum content of active TGF-beta1 and the severity of
lyme arthritis of tibiotarsal joints of infected mice. Conclusions. The present
study clearly shows that TGF-beta1 is of no avail as prognostic marker in lyme
disease. Hence, the search for an endogenous predictive factor, which can be
determined in an easy and reliable manner, remains open.
PMID- 24918029
TI - Diagnosing the dangerous demography of manta rays using life history theory.
AB - Background. The directed harvest and global trade in the gill plates of mantas,
and devil rays, has led to increased fishing pressure and steep population
declines in some locations. The slow life history, particularly of the manta
rays, is cited as a key reason why such species have little capacity to withstand
directed fisheries. Here, we place their life history and demography within the
context of other sharks and rays. Methods. Despite the limited availability of
data, we use life history theory and comparative analysis to estimate the
intrinsic risk of extinction (as indexed by the maximum intrinsic rate of
population increase r max) for a typical generic manta ray using a variant of the
classic Euler-Lotka demographic model. This model requires only three traits to
calculate the maximum intrinsic population growth rate r max: von Bertalanffy
growth rate, annual pup production and age at maturity. To account for the
uncertainty in life history parameters, we created plausible parameter ranges and
propagate these uncertainties through the model to calculate a distribution of
the plausible range of r max values. Results. The maximum population growth rate
r max of manta ray is most sensitive to the length of the reproductive cycle, and
the median r max of 0.116 year(-1) 95th percentile [0.089-0.139] is one of the
lowest known of the 106 sharks and rays for which we have comparable demographic
information. Discussion. In common with other unprotected, unmanaged, high-value
large-bodied sharks and rays the combination of very low population growth rates
of manta rays, combined with the high value of their gill rakers and the
international nature of trade, is highly likely to lead to rapid depletion and
potential local extinction unless a rapid conservation management response occurs
worldwide. Furthermore, we show that it is possible to derive important insights
into the demography extinction risk of data-poor species using well-established
life history theory.
PMID- 24918030
TI - Aerosol delivery of synthetic lung surfactant.
AB - Background. Nasal continuous positive airway pressure (nCPAP) is a widely
accepted technique of non-invasive respiratory support in premature infants with
respiratory distress syndrome due to lack of lung surfactant. If this approach
fails, the next step is often intubation, mechanical ventilation (MV) and
intratracheal instillation of clinical lung surfactant. Objective. To investigate
whether aerosol delivery of advanced synthetic lung surfactant, consisting of
peptide mimics of surfactant proteins B and C (SP-B and SP-C) and synthetic
lipids, during nCPAP improves lung function in surfactant-deficient rabbits.
Methods. Experimental synthetic lung surfactants were produced by formulating 3%
Super Mini-B peptide (SMB surfactant), a highly surface active SP-B mimic, and a
combination of 1.5% SMB and 1.5% of the SP-C mimic SP-Css ion-lock 1 (BC
surfactant), with a synthetic lipid mixture. After testing aerosol generation
using a vibrating membrane nebulizer and aerosol conditioning (particle size,
surfactant composition and surface activity), we investigated the effects of
aerosol delivery of synthetic SMB and BC surfactant preparations on oxygenation
and lung compliance in saline-lavaged, surfactant-deficient rabbits, supported
with either nCPAP or MV. Results. Particle size distribution of the surfactant
aerosols was within the 1-3 um distribution range and surfactant activity was not
affected by aerosolization. At a dose equivalent to clinical surfactant therapy
in premature infants (100 mg/kg), aerosol delivery of both synthetic surfactant
preparations led to a quick and clinically relevant improvement in oxygenation
and lung compliance in the rabbits. Lung function recovered to a greater extent
in rabbits supported with MV than with nCPAP. BC surfactant outperformed SMB
surfactant in improving lung function and was associated with higher phospholipid
values in bronchoalveolar lavage fluid; these findings were irrespective of the
type of ventilatory support (nCPAP or MV) used. Conclusions. Aerosol delivery of
synthetic lung surfactant with a combination of highly active second generation
SP-B and SP-C mimics was effective as a therapeutic approach towards relieving
surfactant deficiency in spontaneously breathing rabbits supported with nCPAP. To
obtain similar results with nCPAP as with intratracheal instillation, higher
dosage of synthetic surfactant and reduction of its retention by the delivery
circuit will be needed to increase the lung dose.
PMID- 24918031
TI - New information on the anatomy of the Chinese Early Cretaceous Bohaiornithidae
(Aves: Enantiornithes) from a subadult specimen of Zhouornis hani.
AB - Enantiornithines are the most diverse avian clade in the Cretaceous. However,
morphological specializations indicative of specific ecological roles are not
well known for this clade. Here we report on an exquisitely well-preserved
specimen from the Lower Cretaceous Jehol Group of northeastern China, which pedal
morphology is suggestive of a unique ecological specialization within
Enantiornithes. The morphology of the new specimen is largely indistinguishable
from that of the holotype of the bohaiornithid enantiornithine Zhouornis hani,
albeit the latter is somewhat larger. The new specimen provides important and
previously unknown details of the skull of Zhouornis hani, which add to the
limited knowledge about the cranial anatomy and evolution of enantiornithines.
The information offered by the new specimen also augments our understanding of
the postcranial morphology of bohaiornithid enantiornithines, a clade that has
been only recently recognized. With the description of this specimen, Zhouornis
hani becomes one of the most anatomically complete known enantiornithine species,
which will facilitate future morphological studies.
PMID- 24918032
TI - Prey aggregation is an effective olfactory predator avoidance strategy.
AB - Predator-prey interactions have a major effect on species abundance and
diversity, and aggregation is a well-known anti-predator behaviour. For immobile
prey, the effectiveness of aggregation depends on two conditions: (a) the
inability of the predator to consume all prey in a group and (b) detection of a
single large group not being proportionally easier than that of several small
groups. How prey aggregation influences predation rates when visual cues are
restricted, such as in turbid water, has not been thoroughly investigated. We
carried out foraging (predation) experiments using a fish predator and (dead)
chironomid larvae as prey in both laboratory and field settings. In the
laboratory, a reduction in visual cue availability (in turbid water) led to a
delay in the location of aggregated prey compared to when visual cues were
available. Aggregated prey suffered high mortality once discovered, leading to
better survival of dispersed prey in the longer term. We attribute this to the
inability of the dead prey to take evasive action. In the field (where prey were
placed in feeding stations that allowed transmission of olfactory but not visual
cues), aggregated (large groups) and semi-dispersed prey survived for longer than
dispersed prey-including long term survival. Together, our results indicate that
similar to systems where predators hunt using vision, aggregation is an effective
anti-predator behaviour for prey avoiding olfactory predators.
PMID- 24918033
TI - Contrasting effects of ocean acidification on tropical fleshy and calcareous
algae.
AB - Despite the heightened awareness of ocean acidification (OA) effects on marine
organisms, few studies empirically juxtapose biological responses to CO2
manipulations across functionally distinct primary producers, particularly
benthic algae. Algal responses to OA may vary because increasing CO2 has the
potential to fertilize photosynthesis but impair biomineralization. Using a
series of repeated experiments on Palmyra Atoll, simulated OA effects were tested
across a suite of ecologically important coral reef algae, including five fleshy
and six calcareous species. Growth, calcification and photophysiology were
measured for each species independently and metrics were combined from each
experiment using a meta-analysis to examine overall trends across functional
groups categorized as fleshy, upright calcareous, and crustose coralline algae
(CCA). The magnitude of the effect of OA on algal growth response varied by
species, but the direction was consistent within functional groups. Exposure to
OA conditions generally enhanced growth in fleshy macroalgae, reduced net
calcification in upright calcareous algae, and caused net dissolution in CCA.
Additionally, three of the five fleshy seaweeds tested became reproductive upon
exposure to OA conditions. There was no consistent effect of OA on algal
photophysiology. Our study provides experimental evidence to support the
hypothesis that OA will reduce the ability of calcareous algae to biomineralize.
Further, we show that CO2 enrichment either will stimulate population or somatic
growth in some species of fleshy macroalgae. Thus, our results suggest that
projected OA conditions may favor non-calcifying algae and influence the relative
dominance of fleshy macroalgae on reefs, perpetuating or exacerbating existing
shifts in reef community structure.
PMID- 24918034
TI - Increasing the precision of orthology-based complex prediction through network
alignment.
AB - Macromolecular assemblies play an important role in almost all cellular
processes. However, despite several large-scale studies, our current knowledge
about protein complexes is still quite limited, thus advocating the use of in
silico predictions to gather information on complex composition in model
organisms. Since protein-protein interactions present certain constraints on the
functional divergence of macromolecular assemblies during evolution, it is
possible to predict complexes based on orthology data. Here, we show that
incorporating interaction information through network alignment significantly
increases the precision of orthology-based complex prediction. Moreover, we
performed a large-scale in silico screen for protein complexes in human, yeast
and fly, through the alignment of hundreds of known complexes to whole organism
interactomes. Systematic comparison of the resulting network alignments to all
complexes currently known in those species revealed many conserved complexes, as
well as several novel complex components. In addition to validating our
predictions using orthogonal data, we were able to assign specific functional
roles to the predicted complexes. In several cases, the incorporation of
interaction data through network alignment allowed to distinguish real complex
components from other orthologous proteins. Our analyses indicate that current
knowledge of yeast protein complexes exceeds that in other organisms and that
predicting complexes in fly based on human and yeast data is complementary rather
than redundant. Lastly, assessing the conservation of protein complexes of the
human pathogen Mycoplasma pneumoniae, we discovered that its complexes repertoire
is different from that of eukaryotes, suggesting new points of therapeutic
intervention, whereas targeting the pathogen's Restriction enzyme complex might
lead to adverse effects due to its similarity to ATP-dependent metalloproteases
in the human host.
PMID- 24918035
TI - Strain- and plasmid-level deconvolution of a synthetic metagenome by sequencing
proximity ligation products.
AB - Metagenomics is a valuable tool for the study of microbial communities but has
been limited by the difficulty of "binning" the resulting sequences into groups
corresponding to the individual species and strains that constitute the
community. Moreover, there are presently no methods to track the flow of mobile
DNA elements such as plasmids through communities or to determine which of these
are co-localized within the same cell. We address these limitations by applying
Hi-C, a technology originally designed for the study of three-dimensional genome
structure in eukaryotes, to measure the cellular co-localization of DNA
sequences. We leveraged Hi-C data generated from a simple synthetic metagenome
sample to accurately cluster metagenome assembly contigs into groups that contain
nearly complete genomes of each species. The Hi-C data also reliably associated
plasmids with the chromosomes of their host and with each other. We further
demonstrated that Hi-C data provides a long-range signal of strain-specific
genotypes, indicating such data may be useful for high-resolution genotyping of
microbial populations. Our work demonstrates that Hi-C sequencing data provide
valuable information for metagenome analyses that are not currently obtainable by
other methods. This metagenomic Hi-C method could facilitate future studies of
the fine-scale population structure of microbes, as well as studies of how
antibiotic resistance plasmids (or other genetic elements) mobilize in microbial
communities. The method is not limited to microbiology; the genetic architecture
of other heterogeneous populations of cells could also be studied with this
technique.
PMID- 24918036
TI - Biogeographic patterns in the cartilaginous fauna (Pisces: Elasmobranchii and
Holocephali) in the southeast Pacific Ocean.
AB - The abundance and species richness of the cartilaginous fish community of the
continental shelf and slope off central Chile is described, based on fishery
independent trawl tows made in 2006 and 2007. A total of 194,705 specimens
comprising 20 species (9 sharks, 10 skates, 1 chimaera) were caught at depths of
100-500 m along a 1,000 km transect between 29.5 degrees S and 39 degrees S.
Sample site locations were grouped to represent eight geographical zones within
this latitudinal range. Species richness fluctuated from 1 to 6 species per zone.
There was no significant latitudinal trend for sharks, but skates showed an
increased species richness with latitude. Standardised catch per unit effort
(CPUE) increased with increasing depth for sharks, but not for skates, but the
observed trend for increasing CPUE with latitude was not significant for either
sharks or skates. A change in community composition occurred along the depth
gradient with the skates, Psammobatis rudis, Zearaja chilensis and Dipturus
trachyderma dominating communities between 100 and 300 m, but small-sized, deep
water dogfishes, such as Centroscyllium spp. dominated the catch between 300 and
500 m. Cluster and ordination analysis identified one widespread assemblage,
grouping 58% of sites, and three shallow-water assemblages. Assemblages with low
diversity (coldspots) coincided with highly productive fishing grounds for
demersal crustaceans and bony fishes. The community distribution suggested that
the differences between assemblages may be due to compensatory changes in
mesopredator species abundance, as a consequence of continuous and unselective
species removal. Distribution patterns and the quantitative assessment of sharks,
skates and chimaeras presented here complement extant biogeographic knowledge and
further the understanding of deep-water ecosystem dynamics in relation to fishing
activity in the south-east Pacific Ocean.
PMID- 24918037
TI - Molecular pathway alterations in CD4 T-cells of nonobese diabetic (NOD) mice in
the preinsulitis phase of autoimmune diabetes.
AB - Type 1 diabetes (T1D) is a multigenic disease caused by T-cell mediated
destruction of the insulin producing pancreatic islet beta-cells. The earliest
sign of islet autoimmunity in NOD mice, islet leukocytic infiltration or
insulitis, is obvious at around 5 weeks of age. The molecular alterations that
occur in T cells prior to insulitis and that may contribute to T1D development
are poorly understood. Since CD4 T-cells are essential to T1D development, we
tested the hypothesis that multiple genes/molecular pathways are altered in these
cells prior to insulitis. We performed a genome-wide transcriptome and pathway
analysis of whole, untreated CD4 T-cells from 2, 3, and 4 week-old NOD mice in
comparison to two control strains (NOR and C57BL/6). We identified many
differentially expressed genes in the NOD mice at each time point. Many of these
genes (herein referred to as NOD altered genes) lie within known diabetes
susceptibility (insulin-dependent diabetes, Idd) regions, e.g. two diabetes
resistant loci, Idd27 (tripartite motif-containing family genes) and Idd13
(several genes), and the CD4 T-cell diabetogenic activity locus, Idd9/11 (2
genes, KH domain containing, RNA binding, signal transduction associated 1 and
protein tyrosine phosphatase 4a2). The biological processes associated with these
altered genes included, apoptosis/cell proliferation and metabolic pathways
(predominant at 2 weeks); inflammation and cell signaling/activation (predominant
at 3 weeks); and innate and adaptive immune responses (predominant at 4 weeks).
Pathway analysis identified several factors that may regulate these
abnormalities: eight, common to all 3 ages (interferon regulatory factor 1,
hepatic nuclear factor 4, alpha, transformation related protein 53, BCL2-like 1
(lies within Idd13), interferon gamma, interleukin 4, interleukin 15, and
prostaglandin E2); and two each, common to 2 and 4 weeks (androgen receptor and
interleukin 6); and to 3 and 4 weeks (interferon alpha and interferon regulatory
factor 7). Others were unique to the various ages, e.g. myelocytomatosis
oncogene, jun oncogene, and amyloid beta (A4) to 2 weeks; tumor necrosis factor,
transforming growth factor, beta 1, NF?B, ERK, and p38MAPK to 3 weeks; and
interleukin 12 and signal transducer and activator of transcription 4 to 4 weeks.
Thus, our study demonstrated that expression of many genes that lie within
several Idds (e.g. Idd27, Idd13 and Idd9/11) was altered in CD4 T-cells in the
early induction phase of autoimmune diabetes and identified their associated
molecular pathways. These data offer the opportunity to test hypotheses on the
roles played by the altered genes/molecular pathways, to understand better the
mechanisms of CD4 T-cell diabetogenesis, and to develop new therapeutic
strategies for T1D.
PMID- 24918038
TI - Lentiviral vector transduction of spermatozoa as a tool for the study of early
development.
AB - Spermatozoa and lentiviruses are two of nature's most efficient gene delivery
vehicles. Both can be genetically modified and used independently for the
generation of transgenic animals or gene transfer/therapy of inherited disorders.
Here we show that mature spermatozoa can be directly transduced with various
pseudotyped lentiviral vectors and used in in vitro fertilisation studies.
Lentiviral vectors encoding Green Fluorescent Protein (GFP) were shown to be
efficiently processed and expressed in sperm. When these transduced sperm were
used in in vitro fertilisation studies, GFP expression was observed in arising
blastocysts. This simple technique of directly transducing spermatozoa has
potential to be a powerful tool for the study of early and pre-implantation
development and could be used as a technique in transgenic development and
vertical viral transmission studies.
PMID- 24918039
TI - Tracing the movement of adiponectin in a parabiosis model of wild-type and
adiponectin-knockout mice.
AB - Adiponectin is exclusively synthesized by adipocytes and exhibits anti-diabetic,
anti-atherosclerotic and anti-inflammatory properties. Hypoadiponectinemia is
associated in obese individuals with insulin resistance and atherosclerosis.
However, the mechanisms responsible for hypoadiponectinemia remain unclear. Here,
we investigated adiponectin movement using hetero parabiosis model of wild type
(WT) and adiponectin-deficient (KO) mice. WT mice were parabiosed with WT mice
(WT-WT) or KO mice (WT-KO) and adiponectin levels were measured serially up to 63
days after surgery. In the WT-KO parabiosis model, circulating adiponectin levels
of the WT partners decreased rapidly, on the other hand, those of KO partners
increased, and then these reached comparable levels each other at day 7.
Circulating adiponectin levels decreased further to the detection limit of assay,
and remained low up to day 63. However, adiponectin protein was detected in the
adipose tissues of not only the WT partner but also WT-KO mice. In the diet
induced obesity model, high adiponectin protein levels were detected in adipose
stromal vascular fraction of diet-induced obese KO partner, without changes in
its binding proteins. The use of parabiosis experiments shed light on movement of
native adiponectin among different tissues such as the state of
hypoadiponectinemia in obesity.
PMID- 24918040
TI - Structure of the C-terminal domain of AspA (antigen I/II-family) protein from
Streptococcus pyogenes.
AB - The pathogenic bacteria Streptococcus pyogenes can cause an array of diseases in
humans, including moderate infections such as pharyngitis (strep throat) as well
as life threatening conditions such as necrotizing fasciitis and puerperal fever.
The antigen I/II family proteins are cell wall anchored adhesin proteins found on
the surfaces of most oral streptococci and are involved in host colonization and
biofilm formation. In the present study we have determined the crystal structure
of the C2-3-domain of the antigen I/II type protein AspA from S. pyogenes M type
28. The structure was solved to 1.8 A resolution and shows that the C2-3-domain
is comprised of two structurally similar DEv-IgG motifs, designated C2 and C3,
both containing a stabilizing covalent isopeptide bond. Furthermore a metal
binding site is identified, containing a bound calcium ion. Despite relatively
low sequence identity, interestingly, the overall structure shares high
similarity to the C2-3-domains of antigen I/II proteins from Streptococcus
gordonii and Streptococcus mutans, although certain parts of the structure
exhibit distinct features. In summary this work constitutes the first step in the
full structure determination of the AspA protein from S. pyogenes.
PMID- 24918041
TI - Functional characterization of diverse ring-hydroxylating oxygenases and
induction of complex aromatic catabolic gene clusters in Sphingobium sp. PNB.
AB - Sphingobium sp. PNB, like other sphingomonads, has multiple ring-hydroxylating
oxygenase (RHO) genes. Three different fosmid clones have been sequenced to
identify the putative genes responsible for the degradation of various aromatics
in this bacterial strain. Comparison of the map of the catabolic genes with that
of different sphingomonads revealed a similar arrangement of gene clusters that
harbors seven sets of RHO terminal components and a sole set of electron
transport (ET) proteins. The presence of distinctly conserved amino acid residues
in ferredoxin and in silico molecular docking analyses of ferredoxin with the
well characterized terminal oxygenase components indicated the structural
uniqueness of the ET component in sphingomonads. The predicted substrate
specificities, derived from the phylogenetic relationship of each of the RHOs,
were examined based on transformation of putative substrates and their structural
homologs by the recombinant strains expressing each of the oxygenases and the
sole set of available ET proteins. The RHO AhdA1bA2b was functionally
characterized for the first time and was found to be capable of transforming
ethylbenzene, propylbenzene, cumene, p-cymene and biphenyl, in addition to a
number of polycyclic aromatic hydrocarbons. Overexpression of aromatic catabolic
genes in strain PNB, revealed by real-time PCR analyses, is a way forward to
understand the complex regulation of degradative genes in sphingomonads.
PMID- 24918042
TI - The role of autophagy in the intracellular survival of Campylobacter concisus.
AB - Campylobacter concisus is an emerging pathogen that has been associated with
gastrointestinal diseases. Given the importance of autophagy for the elimination
of intracellular bacteria and the subversion of this process by pathogenic
bacteria, we investigated the role of autophagy in C. concisus intracellular
survival. Gentamicin protection assays were employed to assess intracellular
levels of C. concisus within Caco-2 cells, following autophagy induction and
inhibition. To assess the interaction between C. concisus and autophagosomes,
confocal microscopy, scanning electron microscopy, and transmission electron
microscopy were employed. Expression levels of 84 genes involved in the autophagy
process were measured using qPCR. Autophagy inhibition resulted in two- to four
fold increases in intracellular levels of C. concisus within Caco-2 cells, while
autophagy induction resulted in a significant reduction in intracellular levels
or bacterial clearance. C. concisus strains with low intracellular survival
levels showed a dramatic increase in these levels upon autophagy inhibition.
Confocal microscopy showed co-localization of the bacterium with autophagosomes,
while transmission electron microscopy identified intracellular bacteria
persisting within autophagic vesicles. Further, qPCR showed that following
infection, 13 genes involved in the autophagy process were significantly
regulated, and a further five showed borderline results, with an overall
indication towards a dampening effect exerted by the bacterium on this process.
Our data collectively indicates that while autophagy is important for the
clearance of C. concisus, some strains may manipulate this process to benefit
their intracellular survival.
PMID- 24918043
TI - A word of caution about biological inference - Revisiting cysteine covalent state
predictions.
AB - The success of methods for predicting the redox state of cysteine residues from
the sequence environment seemed to validate the basic assumption that this state
is mainly determined locally. However, the accuracy of predictions on randomized
sequences or of non-cysteine residues remained high, suggesting that these
predictions rather capture global features of proteins such as subcellular
localization, which depends on composition. This illustrates that even high
prediction accuracy is insufficient to validate implicit assumptions about a
biological phenomenon. Correctly identifying the relevant underlying biochemical
reasons for the success of a method is essential to gain proper biological
insights and develop more accurate and novel bioinformatics tools.
PMID- 24918044
TI - The role of Cysteine 227 in subcellular localization, water permeability, and
multimerization of aquaporin-11.
AB - Aquaporin-11 (AQP11) is the latest member of the mammalian water channel protein
family to be described. Recent in vivo studies have shown that mutation at
Cys(227) causes renal failure. However the importance of Cys(227) for the
molecular function of AQP11 is largely unknown. In this study, we examined the
subcellular localization, water permeability, and multimerization of AQP11 with a
mutation at Cys(227). Interestingly, cells expressing the mutants had
significantly higher osmotic water permeability. In contrast, the mutation
lowered the cell surface expression and multimerization levels. Our observations
suggest that Cys(227) is crucial for the proper molecular function of AQP11.
PMID- 24918045
TI - Mutation G805R in the transmembrane domain of the LDL receptor gene causes
familial hypercholesterolemia by inducing ectodomain cleavage of the LDL receptor
in the endoplasmic reticulum.
AB - More than 1700 mutations in the low density lipoprotein receptor (LDLR) gene have
been found to cause familial hypercholesterolemia (FH). These are commonly
divided into five classes based upon their effects on the structure and function
of the LDLR. However, little is known about the mechanism by which mutations in
the transmembrane domain of the LDLR gene cause FH. We have studied how the
transmembrane mutation G805R affects the function of the LDLR. Based upon Western
blot analyses of transfected HepG2 cells, mutation G805R reduced the amounts of
the 120 kDa precursor LDLR in the endoplasmic reticulum. This led to reduced
amounts of the mature 160 kDa LDLR at the cell surface. However, significant
amounts of a secreted 140 kDa G805R-LDLR ectodomain fragment was observed in the
culture media. Treatment of the cells with the metalloproteinase inhibitor
batimastat largely restored the amounts of the 120 and 160 kDa forms in cell
lysates, and prevented secretion of the 140 kDa ectodomain fragment. Together,
these data indicate that a metalloproteinase cleaved the ectodomain of the 120
kDa precursor G805R-LDLR in the endoplasmic reticulum. It was the presence of the
polar Arg805 and not the lack of Gly805 which led to ectodomain cleavage. Arg805
also prevented gamma-secretase cleavage within the transmembrane domain. It is
conceivable that introducing a charged residue within the hydrophobic membrane
lipid bilayer, results in less efficient incorporation of the 120 kDa G805R-LDLR
in the endoplasmic reticulum membrane and makes it a substrate for
metalloproteinase cleavage.
PMID- 24918047
TI - Establishment of a mast cell line, NCL-2, without Kit mutation, derived from NC
mouse bone marrow.
AB - Immortal mast cell lines, such as RBL-2H3 and HMC-1 cells, are commonly utilized
to investigate the function of mast cells. However, they are tumor cells carrying
a gain-of-function mutation of Kit. We established an immortal mast cell line
without Kit mutation, NCL-2, derived from NC mouse bone marrow. NCL-2 cells could
be maintained without additional growth factors and thus could respond to
exogenous growth signals. Moreover, NCL-2 cells expressed FcepsilonRI and KIT,
and release histamine and LTB4 in response to antigen stimulation. This cell line
could be a useful tool to analyze proliferation, differentiation, and function of
normal mast cells.
PMID- 24918046
TI - Inhibition of malignant phenotypes of human osteosarcoma cells by a gene
silencer, a pyrrole-imidazole polyamide, which targets an E-box motif.
AB - Gene amplification and/or overexpression of the transcription factor c-MYC, which
binds to the E-box sequence (5'-CACGTG-3'), has been observed in many human
tumors. In this study, we have designed 5 pyrrole-imidazole (PI) polyamides
recognizing E-box, and found that, among them, Myc-6 significantly suppresses
malignant phenotypes of human osteosarcoma MG63 cells both in vitro and in vivo.
Intriguingly, knockdown of the putative Myc-6 target MALAT1 encoding long
noncoding RNA remarkably impaired cell growth of MG63 cells. Collectively, our
present findings strongly suggest that Myc-6 exerts its tumor-suppressive ability
at least in part through the specific down-regulation of MALAT1.
PMID- 24918048
TI - The effects of the heme precursor 5-aminolevulinic acid (ALA) on REV-ERBalpha
activation.
AB - The nuclear receptor, REV-ERBalpha, has a key role in circadian rhythms and
requires heme as its ligand. The present study determined whether the heme
precursor, 5-aminolevulinic acid (ALA), affects REV-ERBalpha and its target
genes. When exposed to ALA, the human lung diploid cell line, WI-38, exhibited
activation of REV-ERBalpha and repression of the transcription of REV-ERBalpha
target genes, including BMAL1, an essential component of the circadian
oscillator. Moreover, co-incubation of sodium ferrous citrate (SFC) and ALA also
activated REV-ERBalpha and repressed the transcription of REV-ERBalpha target
genes. These results indicate that ALA regulates human circadian rhythms via REV
ERBalpha.
PMID- 24918050
TI - Interaction of Sesbania mosaic virus (SeMV) RNA-dependent RNA polymerase (RdRp)
with the p10 domain of polyprotein 2a and its implications in SeMV replication.
AB - Identification of viral encoded proteins that interact with RNA-dependent RNA
polymerase (RdRp) is an important step towards unraveling the mechanism of
replication. Sesbania mosaic virus (SeMV) RdRp was shown to interact strongly
with p10 domain of polyprotein 2a and moderately with the protease domain.
Mutational analysis suggested that the C-terminal disordered domain of RdRp is
involved in the interaction with p10. Coexpression of full length RdRp and p10
resulted in formation of RdRp-p10 complex which showed significantly higher
polymerase activity than RdRp alone. Interestingly, CDelta43 RdRp also showed a
similar increase in activity. Thus, p10 acts as a positive regulator of RdRp by
interacting with the C-terminal disordered domain of RdRp.
PMID- 24918049
TI - Staphylococcal nuclease domain containing-1 (SND1) promotes migration and
invasion via angiotensin II type 1 receptor (AT1R) and TGFbeta signaling.
AB - Staphylococcal nuclease domain containing-1 (SND1) is overexpressed in human
hepatocellular carcinoma (HCC) patients and promotes tumorigenesis by human HCC
cells. We now document that SND1 increases angiotensin II type 1 receptor (AT1R)
levels by increasing AT1R mRNA stability. This results in activation of ERK,
Smad2 and subsequently the TGFbeta signaling pathway, promoting epithelial
mesenchymal transition (EMT) and migration and invasion by human HCC cells. A
positive correlation was observed between SND1 and AT1R expression levels in
human HCC patients. Small molecule inhibitors of SND1, alone or in combination
with AT1R blockers, might be an effective therapeutic strategy for late-stage
aggressive HCC.
PMID- 24918051
TI - CD9 may contribute to the survival of human germinal center B cells by
facilitating the interaction with follicular dendritic cells.
AB - The germinal center (GC) is a dynamic microenvironment where antigen (Ag)
activated B cells rapidly expand and differentiate, generating plasma cells (PC)
that produce high-affinity antibodies. Precise regulation of survival and
proliferation of Ag-activated B cells within the GC is crucial for humoral immune
responses. The follicular dendritic cells (FDC) are the specialized stromal cells
in the GC that prevent apoptosis of GC-B cells. Recently, we reported that human
GC-B cells consist of CD9+ and CD9- populations and that it is the CD9+ cells
that are committed to the PC lineage. In this study, we investigated the
functional role of CD9 on GC-B cells. Tonsillar tissue section staining revealed
that in vivo CD9+ GC-B cells localized in the light zone FDC area. Consistent
this, in vitro CD9+ GC-B cells survived better than CD9- GC-B cells in the
presence of HK cells, an FDC line, in a cell-cell contact-dependent manner. The
frozen tonsillar tissue section binding assay showed that CD9+ GC-B cells bound
to the GC area of tonsillar tissues significantly more than the CD9- GC-B cells
did and that the binding was significantly inhibited by neutralizing anti
integrin beta1 antibody. Furthermore, CD9+ cells bound to soluble VCAM-1 more
than CD9- cells did, resulting in activation and stabilization of the active
epitope of integrin beta1. All together, our data suggest that CD9 on GC-B cells
contributes to survival by strengthening their binding to FDC through the
VLA4/VCAM-1 axis.
PMID- 24918052
TI - Fructose 1-phosphate is the one and only physiological effector of the Cra (FruR)
regulator of Pseudomonas putida.
AB - Fructose-1-phosphate (F1P) is the preferred effector of the catabolite
repressor/activator (Cra) protein of the soil bacterium Pseudomonas putida but
its ability to bind other metabolic intermediates in vivo is unclear. The Cra
protein of this microorganism (Cra(PP)) was submitted to mobility shift assays
with target DNA sequences (the PfruB promoter) and candidate effectors fructose
1,6-bisphosphate (FBP), glucose 6-phosphate (G6P), and fructose-6-phosphate
(F6P). 1 mM F1P was sufficient to release most of the Cra protein from its
operators but more than 10 mM of FBP or G6P was required to free the same
complex. However, isothermal titration microcalorimetry failed to expose any
specific interaction between Cra(PP) and FBP or G6P. To solve this paradox,
transcriptional activity of a PfruB-lacZ fusion was measured in wild-type and
DeltafruB cells growing on substrates that change the intracellular
concentrations of F1P and FBP. The data indicated that PfruB activity was
stimulated by fructose but not by glucose or succinate. This suggested that
Cra(PP) represses expression in vivo of the cognate fruBKA operon in a fashion
dependent just on F1P, ruling out any other physiological effector. Molecular
docking and dynamic simulations of the Cra-agonist interaction indicated that
both metabolites can bind the repressor, but the breach in the relative affinity
of Cra(PP) for F1P vs FBP is three orders of magnitude larger than the equivalent
distance in the Escherichia coli protein. This assigns the Cra protein of P.
putida the sole role of transducing the presence of fructose in the medium into a
variety of direct and indirect physiological responses.
PMID- 24918053
TI - EF-hand motifs of diacylglycerol kinase alpha interact intra-molecularly with its
C1 domains.
AB - Diacylglycerol kinase (DGK) alpha, which is activated by Ca(2+), contains a
recoverin homology (RVH) domain, tandem repeats of two Ca(2+)-binding EF-hand
motifs, two cysteine-rich C1 domains and the catalytic domain. We previously
found that a DGKalpha mutant lacking the RVH domain and EF-hands was
constitutively active and that the N-terminal region of DGKalpha, consisting of
the RVH domain and EF-hand motifs, interacted intra-molecularly with the C
terminal region containing the C1 and catalytic domains. In this study, we
narrowed down the interaction regions of DGKalpha. At the C-terminal region, the
C1 domains are responsible for the intra-molecular interaction. At the N-terminal
region, the EF-hand motifs mainly contribute to the interaction. Moreover, using
highly purified EF-hand motifs and C1 domains, we demonstrate that they directly
bind to each other. The co-precipitation of these two domains was clearly
attenuated by the addition of Ca(2+). These results indicate that the Ca(2+)
induced dissociation of the intra-molecular interaction between the EF-hand
motifs and the C1 domains of DGKalpha is the key event that regulates the
activity of the enzyme.
PMID- 24918054
TI - Changed membrane integration and catalytic site conformation are two mechanisms
behind the increased Abeta42/Abeta40 ratio by presenilin 1 familial Alzheimer
linked mutations.
AB - The enzyme complex gamma-secretase generates amyloid beta-peptide (Abeta), a 37
43-residue peptide associated with Alzheimer disease (AD). Mutations in
presenilin 1 (PS1), the catalytical subunit of gamma-secretase, result in
familial AD (FAD). A unifying theme among FAD mutations is an alteration in the
ratio Abeta species produced (the Abeta42/Abeta40 ratio), but the molecular
mechanisms responsible remain elusive. In this report we have studied the impact
of several different PS1 FAD mutations on the integration of selected PS1
transmembrane domains and on PS1 active site conformation, and whether any
effects translate to a particular amyloid precursor protein (APP) processing
phenotype. Most mutations studied caused an increase in the Abeta42/Abeta40
ratio, but via different mechanisms. The mutations that caused a particular large
increase in the Abeta42/Abeta40 ratio did also display an impaired APP
intracellular domain (AICD) formation and a lower total Abeta production.
Interestingly, seven mutations close to the catalytic site caused a severely
impaired integration of proximal transmembrane/hydrophobic sequences into the
membrane. This structural defect did not correlate to a particular APP processing
phenotype. Six selected FAD mutations, all of which exhibited different APP
processing profiles and impact on PS1 transmembrane domain integration, were
found to display an altered active site conformation. Combined, our data suggest
that FAD mutations affect the PS1 structure and active site differently,
resulting in several complex APP processing phenotypes, where the most aggressive
mutations in terms of increased Abeta42/Abeta40 ratio are associated with a
decrease in total gamma-secretase activity.
PMID- 24918056
TI - Identification of a truncated kinase suppressor of Ras 2 mRNA in sperm.
AB - The kinase suppressor of Ras 2 (KSR2) is a scaffold protein for the extracellular
signal-regulated protein kinase (ERK) signaling pathway. KSR2 mediates germline
mpk-1 (Caenorhabditis elegans ERK) phosphorylation in C. elegans and has been
implicated the regulation of meiosis. KSR2(-/-) mice exhibit metabolic
abnormalities and are reproductively impaired. The role of KSR2 in meiosis and
fertility in mice has yet to be elucidated. Here, we describe a novel truncated
KSR2 mRNA identified in mouse testes (T-KSR2). Further analysis demonstrates T
KSR2 is specific to mouse testes and mature sperm cells. The detection of T-KSR2
may enhance our understanding of mechanisms controlling spermatogenesis and
fertility.
PMID- 24918055
TI - CK2 phosphorylation of human centrins 1 and 2 regulates their binding to the DNA
repair protein XPC, the centrosomal protein Sfi1 and the phototransduction
protein transducin beta.
AB - Centrins are calcium-binding proteins that can interact with several cellular
targets (Sfi1, XPC, Sac3 and transducin beta) through the same hydrophobic triad.
However, two different orientations of the centrin-binding motif have been
observed: W(1)xxL(4)xxxL(8) for XPC (xeroderma pigmentosum group C protein) and
the opposite orientation L(8)xxxL(4)xxW(1) for Sfi1 (suppressor of fermentation
induced loss of stress resistance protein 1), Sac3 and transducin beta. Centrins
are also phosphorylated by several protein kinases, among which is CK2. The
purpose of this study was to determine the binding mechanism of human centrins to
three targets (transducin beta, Sfi1 and XPC), and the effects of in vitro
phosphorylation by CK2 of centrins 1 and 2 with regard to this binding mechanism.
We identified the centrin-binding motif at the COOH extremity of transducin beta.
Human centrin 1 binds to transducin beta only in the presence of calcium with a
binding constant lower than the binding constant observed for Sfi1 and for XPC.
The affinity constants of centrin 1 were 0.10 10(6) M(-1), 249 10(6) M(-1) and
52.5 10(6) M(-1) for Trd, R17-Sfi1 and P17-XPC respectively. CK2 phosphorylates
human centrin 1 at residue T138 and human centrin 2 at residues T138 and S158.
Consequently CK2 phosphorylation abolished the binding of centrin 1 to transducin
beta and reduced the binding to Sfi1 and XPC. CK2 phosphorylation of centrin 2 at
T138 and S158 abolished the binding to Sfi1 as assessed using a C-HsCen2 T138D
S158D phosphomimetic form of centrin 2.
PMID- 24918057
TI - Elucidating the host-pathogen interaction between human colorectal cells and
invading Enterovirus 71 using transcriptomics profiling.
AB - Enterovirus 71 (EV71) is one of the main etiological agents for Hand, Foot and
Mouth Disease (HFMD) and has been shown to be associated with severe clinical
manifestation. Currently, there is no antiviral therapeutic for the treatment of
HFMD patients owing to a lack of understanding of EV71 pathogenesis. This study
seeks to elucidate the transcriptomic changes that result from EV71 infection.
Human whole genome microarray was employed to monitor changes in genomic profiles
between infected and uninfected cells. The results reveal altered expression of
human genes involved in critical pathways including the immune response and the
stress response. Together, data from this study provide valuable insights into
the host-pathogen interaction between human colorectal cells and EV71.
PMID- 24918058
TI - Biophysical analysis of the interaction of the serum protein human beta2GPI with
bacterial lipopolysaccharide.
AB - There are several human serum proteins for which no clear role is yet known.
Among these is the abundant serum protein beta2-glycoprotein-I (beta2GPI), which
is known to bind to negatively charged phospholipids as well as to bacterial
lipopolysaccharides (LPS), and was therefore proposed to play a role in the
immune response. To understand the details of these interactions, a biophysical
analysis of the binding of beta2GPI to LPS and phosphatidylserine (PS) was
performed. The data indicate only a moderate tendency of the protein (1) to
influence the LPS-induced cytokine production in vitro, (2) to react exothermally
with LPS in a non-saturable way, and (3) to change its local microenvironment
upon LPS association. Additionally, we found that the protein binds more strongly
to phosphatidylserine (PS) than to LPS. Furthermore, beta2GPI converts the LPS
bilayer aggregates into a stronger multilamellar form, and reduces the fluidity
of the hydrocarbon moiety of LPS due to a rigidification of the acyl chains. From
these data it can be concluded that beta2GPI plays a role as an immune-modulating
agent, but there is much less evidence for a role in immune defense against
bacterial toxins such as LPS.
PMID- 24918059
TI - Small RNA expression and deep sequencing analyses of the nucleolus reveal the
presence of nucleolus-associated microRNAs.
AB - Micro RNAs (miRNA) are non-coding RNAs expressed in the cytoplasm as their
mature, 21-22-nucleotide short forms. More recently, mature miRNAs have also been
detected in the nucleus, raising the possibility that their spatial distribution
may be more complex than anticipated. Here we undertook comprehensive systematic
analyses of miRNA distribution in several subcellular compartments of human
cancer cells. In particular, we focused on the potential presence of miRNAs in
the nucleolus, which contains an abundance of small non-coding RNAs. We employed
two miRNA expression array platforms and small RNA deep sequencing of small RNAs
isolated from cells, nuclei, cytoplasm and the nucleoli. We developed an assay to
compare RNAs of isolated nucleoli before and after denaturation and used Northern
hybridization to verify the presence of miRNAs in the subcellular compartments.
Consistently, we found more than 10 miRNAs associated with the nucleolar
preparations. Several miRNAs had greater relative abundance in the nucleolus
compared to the other compartments. The nucleolar presence of miRNAs was
independent of Dicer and the main activity of the nucleolus, RNA polymerase I
transcription, but was dependent on CRM1 previously associated with nucleolar
trafficking of small nucleolar RNAs. These results highlight the complexity of
miRNA spatial arrangement and regulation.
PMID- 24918060
TI - The levels of RAC3 expression are up regulated by TNF in the inflammatory
response.
AB - RAC3 is a coactivator of glucocorticoid receptor and nuclear factor-kappaB (NF
kappaB) that is usually over-expressed in tumors and which also has important
functions in the immune system. We investigated the role of the inflammatory
response in the control of RAC3 expression levels in vivo and in vitro. We found
that inflammation regulates RAC3 levels. In mice, sub-lethal doses of
lipopolysaccharide induce the increase of RAC3 in spleen and the administration
of the synthetic anti-inflammatory glucocorticoid dexamethasone has a similar
effect. However, the simultaneous treatment with both stimuli is mutually
antagonistic. In vitro stimulation of the HEK293 cell line with tumor necrosis
factor (TNF), one of the cytokines induced by lipopolysaccharide, also increases
the levels of RAC3 mRNA and protein, which correlates with an enhanced
transcription dependent on the RAC3 gene promoter. We found that binding of the
transcription factor NF-kappaB to the RAC3 gene promoter could be responsible for
these effects. Our results suggest that increase of RAC3 during the inflammatory
response could be a molecular mechanism involved in the control of sensitivity to
both pro- and anti-inflammatory stimuli in order to maintain the normal healthy
course of the immune response.
PMID- 24918061
TI - Extracellular vesicle-mediated transfer of long non-coding RNA ROR modulates
chemosensitivity in human hepatocellular cancer.
AB - Hepatocellular cancers (HCC) are highly resistant to chemotherapy. TGFbeta has
been associated with chemoresistance in some human cancers but the mechanisms
involved are unknown. We explored how TGFbeta might contribute to altered
responses to therapy by assessing the involvement and mechanistic contribution of
extracellular vesicle long non-coding RNA (lncRNA) in mediating TGFbeta-dependent
chemoresistance. TGFbeta reduced the sensitivity of HCC cells to sorafenib or
doxorubicin and altered the release of both extracellular vesicles and of
selected lncRNA within these vesicles. Amongst these, lincRNA-ROR (linc-ROR), a
stress-responsive lncRNA was highly expressed in HCC cells and enriched within
extracellular vesicles derived from tumor cells. Incubation with HCC-derived
extracellular vesicles increased linc-ROR expression and reduced chemotherapy
induced cell death in recipient cells. Sorafenib increased linc-ROR expression in
both tumor cells and extracellular vesicles, whereas siRNA to linc-ROR increased
chemotherapy-induced apoptosis and cytotoxicity. Tumor-initiating cells that
express CD133 have an increased resistance to therapy. TGFbeta increased
expression of CD133+ cells and colony growth in limiting dilution assays, both of
which were attenuated by linc-ROR knockdown. These data provide mechanistic
insights into primary chemoresistance in HCC by showing that: (a) TGFbeta
selectively enriches linc-RoR within extracellular vesicles, which has a
potential role in intercellular signaling in response to TGFbeta; (b) expression
and enrichment of linc-ROR during chemotherapeutic stress plays a functional role
in chemoresistance; and (c) the effects of TGFbeta on chemoresistance in HCC may
involve linc-RoR-dependent effects on tumor-initiating cells. These findings
implicate extracellular vesicle lncRNA as mediators of the chemotherapeutic
response, and support targeting linc-ROR to enhance chemosensitivity in HCC.
PMID- 24918063
TI - Inhibitors of the Cdc34 acidic loop: A computational investigation integrating
molecular dynamics, virtual screening and docking approaches.
AB - Among the different classes of enzymes involved in the ubiquitin pathway, E2
ubiquitin-conjugating enzymes occupy a central role in the ubiquitination
cascade. Cdc34-like E2 enzymes are characterized by a 12-14 residue insertion in
the proximity of the catalytic site, known as the acidic loop. Cdc34 ubiquitin
charging activity is regulated by CK2-dependent phosphorylation and the
regulatory mechanism involves the acidic loop. Indeed, the phosphorylation
stabilizes the loop in an open conformation that is competent for ubiquitin
charging. Cdc34 is associated with a variety of diseases, such as hepatocellular
carcinomas and prostatic adenocarcinomas. In light of its role, the discovery of
potential inhibitory compounds would provide the mean to effectively modulate its
activity. Here, we carried out a computational study based on molecular dynamics,
virtual screening and docking to identify potential inhibitory compounds of
Cdc34, modulating the acidic loop conformation. The molecules identified in this
study have been designed to act as molecular hinges that can bind the acidic loop
in its closed conformation, thus inhibiting the Cdc34-mediated ubiquitination
cascade at the ubiquitin-charging step. In particular, we proposed a
pharmacophore model featuring two amino groups in the central part of the model
and two lateral aromatic chains, which respectively establish electrostatic
interactions with the acidic loop (Asp 108 and Glu 109) and a hydrogen bond with
Ser 139, which is one of the key residues for Cdc34 activity.
PMID- 24918062
TI - Campylobacter jejuni gene cj0511 encodes a serine peptidase essential for
colonisation.
AB - According to MEROPS peptidase database, Campylobacter species encode 64 predicted
peptidases. However, proteolytic properties of only a few of these proteins have
been confirmed experimentally. In this study we identified and characterised a
Campylobacter jejuni gene cj0511 encoding a novel peptidase. The proteolytic
activity associated with this enzyme was demonstrated in cell lysates. Moreover,
enzymatic studies conducted with a purified protein confirmed a prediction of it
being a serine peptidase. Furthermore, cj0511 mutant was found to be severely
attenuated in chicken colonisation model, suggesting a role of the Cj0511 protein
in infection.
PMID- 24918064
TI - Acute leukemia of ambiguous lineage with trisomy 4 as the sole cytogenetic
abnormality: A case report and literature review.
AB - We describe a patient with acute leukemia of ambiguous lineage who had trisomy 4
as the sole cytogenetic abnormality. Clinical, pathological, immunophenotypic and
molecular features are presented and compared with the previous 4 published
cases. Over expression of c-kit, which is localized to chromosome 4, was
documented on the leukemic blasts. Prognosis seems to be poor. Treatment with
acute lymphoblastic leukemia like regimens seems to be superior compared to acute
myeloid leukemia like regimens and allogeneic stem cell transplant is recommended
after achieving remission.
PMID- 24918065
TI - Cardiac anaplastic large cell lymphoma in an 8-year old boy.
AB - We report on an 8 year old boy with primary cardiac anaplastic large cell
lymphoma (ALCL), in whom the diagnosis was challenging and who was treated with
modified chemotherapy without radiation therapy according to the ALCL 99 study
protocol [1]. Two years and 4 months after completion of therapy the boy is in
complete remission with normal cardiac function.
PMID- 24918066
TI - Acute myeloid leukemia arising from a donor derived premalignant hematopoietic
clone: A possible mechanism for the origin of leukemia in donor cells.
AB - During recent years, it has become increasingly evident that donor leukemia
following allogeneic transplant may be more common then realized in the past. We
identified five cases of potential donor leukemia cases during past five years.
The precise mechanism of the origin of such leukemias, however, remains poorly
defined. In this short communication, we report a well documented case of donor
derived de novo acute myeloid leukemia (AML) that developed fourteen years after
allogeneic stem cell transplantation for treatment induced AML for his primary
malignancy Immunoblastic lymphoma. This case allows us to postulate a possible
mechanism of the origin of donor leukemia. The de novo AML clone contained a
distinct cytogenetic abnormality, trisomy 11, which was simultaneously detected
in preserved peripheral blood obtained at the time of transplantation as well as
in the current bone marrow from an otherwise clinically and phenotypically normal
donor. The findings from this unique case, provides insight into the process of
leukemogenesis, and suggests that the sequence of events leading to
leukemogenesis in this patient involved the senescence/apoptosis of normal donor
hematopoietic cells due to telomere shortening resulting in the selective
proliferation and transformation of this clone with MLL (mixed-lineage leukemia)
gene amplification.
PMID- 24918067
TI - Emotional bias of cognitive control in adults with childhood attention
deficit/hyperactivity disorder.
AB - Affect recognition deficits found in individuals with attention
deficit/hyperactivity disorder (ADHD) across the lifespan may bias the
development of cognitive control processes implicated in the pathophysiology of
the disorder. This study aimed to determine the mechanism through which facial
expressions influence cognitive control in young adults diagnosed with ADHD in
childhood. Fourteen probands with childhood ADHD and 14 comparison subjects with
no history of ADHD were scanned with functional magnetic resonance imaging while
performing a face emotion go/no-go task. Event-related analyses contrasted
activation and functional connectivity for cognitive control collapsed over face
valence and tested for variations in activation for response execution and
inhibition as a function of face valence. Probands with childhood ADHD made fewer
correct responses and inhibitions overall than comparison subjects, but
demonstrated comparable effects of face emotion on response execution and
inhibition. The two groups showed similar frontotemporal activation for cognitive
control collapsed across face valence, but differed in the functional
connectivity of the right dorsolateral prefrontal cortex, with fewer interactions
with the subgenual cingulate cortex, inferior frontal gyrus, and putamen in
probands than in comparison subjects. Further, valence-dependent activation for
response execution was seen in the amygdala, ventral striatum, subgenual
cingulate cortex, and orbitofrontal cortex in comparison subjects but not in
probands. The findings point to functional anomalies in limbic networks for both
the valence-dependent biasing of cognitive control and the valence-independent
cognitive control of face emotion processing in probands with childhood ADHD.
This limbic dysfunction could impact cognitive control in emotional contexts and
may contribute to the social and emotional problems associated with ADHD.
PMID- 24918068
TI - Multimodal evidence of regional midcingulate gray matter volume underlying
conflict monitoring.
AB - Functional neuroimaging studies have long implicated the mid-cingulate cortex
(MCC) in conflict monitoring, but it is not clear whether its structural
integrity (i.e., the gray matter volume) influences its conflict monitoring
function. In this multimodal study, we used T1-weighted MRI scans as well as
event-related potentials (ERPs) to test whether the MCC gray matter volume is
associated with the electrocortical marker (i.e., No-go N200 ERP component) of
conflict monitoring in healthy individuals. The specificity of such a
relationship in health was determined in two ways: by (A) acquiring the same data
from individuals with cocaine use disorder (CUD), known to have deficits in
executive function including behavioral monitoring; and (B) acquiring the P300
ERP component that is linked with attention allocation and not specifically with
conflict monitoring. Twenty-five (39.1 +/- 8.4 years; 8 females) healthy
individuals and 25 (42.7 +/- 5.9 years; 6 females) individuals with CUD underwent
a rewarded Go/No-go task during which the ERP data was collected, and they also
underwent a structural MRI scan. The whole brain regression analysis showed a
significant correlation between MCC structural integrity and the well-known ERP
measure of conflict monitoring (N200, but not the P300) in healthy individuals,
which was absent in CUD who were characterized by reduced MCC gray matter volume,
N200 abnormalities as well as reduced task accuracy. In individuals with CUD
instead, the N200 amplitude was associated with drug addiction symptomatology.
These results show that the integrity of MCC volume is directly associated with
the electrocortical correlates of conflict monitoring in healthy individuals, and
such an association breaks down in psychopathologies that impact these brain
processes. Taken together, this MCC-N200 association may serve as a biomarker of
improved behavioral monitoring processes in diseased populations.
PMID- 24918070
TI - Reducing sampling error in faecal egg counts from black rhinoceros (Diceros
bicornis).
AB - Faecal egg counts (FECs) are commonly used for the non-invasive assessment of
parasite load within hosts. Sources of error, however, have been identified in
laboratory techniques and sample storage. Here we focus on sampling error. We
test whether a delay in sample collection can affect FECs, and estimate the
number of samples needed to reliably assess mean parasite abundance within a host
population. Two commonly found parasite eggs in black rhinoceros (Diceros
bicornis) dung, strongyle-type nematodes and Anoplocephala gigantea, were used.
We find that collection of dung from the centre of faecal boluses up to six hours
after defecation does not affect FECs. More than nine samples were needed to
greatly improve confidence intervals of the estimated mean parasite abundance
within a host population. These results should improve the cost-effectiveness and
efficiency of sampling regimes, and support the usefulness of FECs when used for
the non-invasive assessment of parasite abundance in black rhinoceros
populations.
PMID- 24918071
TI - Phoretic interaction between the kangaroo leech Marsupiobdella africana
(Hirudinea: Glossiphoniidae) and the cape river crab Potamonautes perlatus
(Decapoda: Potamonautidae).
AB - The South African leech Marsupiobdella africana is a temporary ectoparasite of
the amphibian Xenopus laevis, has a phoretic association with a freshwater crab
Potamonautes perlatus, and exhibits advanced parental care by incubating its
offspring in a brood pouch. Because phoretic associations are usually regarded to
favor the phoront's dispersion, its occurrence within the biology of a parasitic
species reflects an intimate context of interactions. In addition to phoresy,
attachment to the crab may confer other advantages pertaining to offspring
development and predator avoidance, dispersion and the parasitic life cycle. Two
ponds where amphibian and crab hosts co-occur were sampled twice a month for a
period of 1 year. The population dynamics of the leeches and their use of
specific microhabitats as attachment sites on the crabs were also investigated.
Results indicate a direct relationship between intra-specific variation in the
sex ratio among captured crab hosts and the number of leeches recruited over
time. The attachments to specific microhabitats on the hard surfaces of the host
suggest a proximal proximate anti-predatory strategy. Finally, the importance of
oxygen accessibility for the offspring development has been investigated
experimentally. Results revealed a remarkable network of interactions linking all
partners of this system raising the question as to whether the crabs merely act
as a vehicle or play a role within the parasitic life cycle.
PMID- 24918069
TI - Effects of prenatal alcohol exposure on the development of white matter volume
and change in executive function.
AB - Prenatal alcohol exposure can cause a wide range of deficits in executive
function that persist throughout life, but little is known about how changes in
brain structure relate to cognition in affected individuals. In the current
study, we predicted that the rate of white matter volumetric development would be
atypical in children with fetal alcohol spectrum disorders (FASD) when compared
to typically developing children, and that the rate of change in cognitive
function would relate to differential white matter development between groups.
Data were available for 103 subjects [49 with FASD, 54 controls, age range 6-17,
mean age = 11.83] with 153 total observations. Groups were age-matched.
Participants underwent structural magnetic resonance imaging (MRI) and an
executive function (EF) battery. Using white matter volumes measured bilaterally
for frontal and parietal regions and the corpus callosum, change was predicted by
modeling the effects of age, intracranial volume, sex, and interactions with
exposure status and EF measures. While both groups showed regional increases in
white matter volumes and improvement in cognitive performance over time, there
were significant effects of exposure status on age-related relationships between
white matter increases and EF measures. Specifically, individuals with FASD
consistently showed a positive relationship between improved cognitive function
and increased white matter volume over time, while no such relationships were
seen in controls. These novel results relating improved cognitive function with
increased white matter volume in FASD suggest that better cognitive outcomes
could be possible for FASD subjects through interventions that enhance white
matter plasticity.
PMID- 24918072
TI - Angiostrongylus vasorum in wolves in Italy.
AB - In the past decade, the parasitic nematode Angiostrongylus vasorum has attracted
attention for its emergence in previously free areas and for the rise in clinical
cases in domestic dogs. Italy is regarded as one of the countries where this
potentially life-threatening parasite is spreading, especially due to bridging
infections between wildlife and domestic dogs. The present article describes the
presence of A. vasorum in wolves from Italy. Nematodes were observed in
histological sections of three wolves found dead in Rome province, central Italy.
Morphological and molecular identification of the nematodes, by polymerase chain
reaction of rDNA ITS-2 and sequencing, confirmed the nematodes to be A. vasorum,
with 99% genetic homology with A. vasorum from sympatric dogs. This is the second
report of this species in wolves and the first in this host in Italy, and
coincides with increasing records of A. vasorum in dogs and foxes in Italy.
Implications for the epidemiology of this emerging parasite and for wildlife
health are concisely discussed.
PMID- 24918073
TI - Use of filter papers to determine seroprevalence of Toxoplasma gondii among
hunted ungulates in remote Peruvian Amazon.
AB - Toxoplasmosis is a zoonosis caused by the protozoan Toxoplasma gondii, and it is
found worldwide. To determine whether ungulates are reservoirs of T. gondii in an
isolated and remote region of the northeastern Peruvian Amazon, antibodies to T.
gondii were determined in 5 species of ungulates by the modified agglutination
test (MAT). These animals were hunted by subsistence hunters along the Yavari
Mirin River, in the northeastern Peruvian Amazon. Blood samples were collected by
hunters on filter papers. For determination of T. gondii antibodies, blood was
eluted from filter papers, and a titer of 1:25 was considered indicative of
exposure to T. gondii. Antibodies to T. gondii were found in 26 (31.0%) peccaries
(Pecari tajacu, Tayassu pecari), six (17.1%) brocket deer (Mazama americana,
Mazama gouazoubira), and four (40.0%) lowland tapir (Tapirus terrestris). We also
introduced a modification to the MAT protocol that allows the extraction of fluid
samples from several types of laboratory-grade filter paper, thus enabling
researchers to easily adapt their approaches to the materials presented to them.
PMID- 24918074
TI - Pentastomids of wild snakes in the Australian tropics.
AB - Pentastomids are endoparasites of the respiratory system of vertebrates, maturing
primarily in carnivorous reptiles. Adult and larval pentastomids can cause severe
pathology resulting in the death of their intermediate and definitive hosts. The
study of pentastomids is a neglected field, impaired by risk of zoonoses,
difficulties in species identification, and life cycle complexities. We surveyed
wild snakes in the tropics of Australia to clarify which host species possess
these parasites, and then sought to identify these pentastomids using a
combination of morphological and molecular techniques. We detected pentastomid
infections in 59% of the 81 snakes surveyed. The ubiquity of pentastomid
infections in snakes of the Australian tropics sampled in this study is
alarmingly high considering the often-adverse consequences of infection and the
recognized zoonotic potential of these parasites. The pentastomids were of the
genera Raillietiella and Waddycephalus and infected a range of host taxa,
encompassing seven snake species from three snake families. All seven snake
species represent new host records for pentastomids of the genera Raillietiella
and/or Waddycephalus. The arboreal colubrid Dendrelaphis punctulatus and the
terrestrial elapid Demansia vestigiata had particularly high infection
prevalences (79% and 100% infected, respectively). Raillietiella orientalis
infected 38% of the snakes surveyed, especially frog-eating species, implying a
frog intermediate host for this parasite. Raillietiella orientalis was previously
known only from Asian snakes and has invaded Australia via an unknown pathway.
Our molecular data indicated that five species of Waddycephalus infect 28% of
snakes in the surveyed area. Our morphological data indicate that features of
pentastomid anatomy previously utilised to identify species of the genus
Waddycephalus are unreliable for distinguishing species, highlighting the need
for additional taxonomic work on this genus.
PMID- 24918075
TI - Trichomonas stableri n. sp., an agent of trichomonosis in Pacific Coast band
tailed pigeons (Patagioenas fasciata monilis).
AB - Trichomonas gallinae is a ubiquitous flagellated protozoan parasite, and the most
common etiologic agent of epidemic trichomonosis in columbid and passerine
species. In this study, free-ranging Pacific Coast band-tailed pigeons
(Patagioenas fasciata monilis) in California (USA) were found to be infected with
trichomonad protozoa that were genetically and morphologically distinct from T.
gallinae. In microscopic analysis, protozoa were significantly smaller in length
and width than T. gallinae and were bimodal in morphology. Phylogenetic analysis
of the ITS1/5.8S/ITS2, rpb1, and hydrogenosomal Fe-hydrogenase regions revealed
that the protozoan shares an ancestor with Trichomonas vaginalis, the sexually
transmitted agent of trichomoniasis in humans. Clinical and pathologic features
of infected birds were similar to infections with T. gallinae. Evidence presented
here strongly support taxonomical distinction of this parasite, which we hereby
name Trichomonas stableri n. sp. This work contributes to a growing body of
evidence that T. gallinae is not the sole etiologic agent of avian trichomonosis,
and that the incorporation of molecular tools is critical in the investigation of
infectious causes of mortality in birds.
PMID- 24918077
TI - Fertility preservation and potential future treatment options.
PMID- 24918076
TI - Myxozoa in high Arctic: Survey on the central part of Svalbard archipelago.
AB - Myxosporeans (Myxozoa), microscopic metazoan parasitic organisms, are poorly
studied in the Arctic region. Our survey of benthic and pelagic fish (n = 234)
collected in Isfjorden (Svalbard, Norway) together with detailed morphological
and molecular examination revealed the presence of nine myxosporean species. We
compared observed myxosporean diversity with diversity documented in regions
close to the Arctic and revealed that water depth rather than geographic
distribution is an important factor influencing myxosporean fauna. WE DESCRIBE
THREE NEW MYXOSPOREAN SPECIES: Zschokkella siegfriedi n. sp. from kidney of
Boreogadus saida, Parvicapsula petuniae n. sp. from the urinary bladder of
Gymnocanthus tricuspis, and Sinuolinea arctica n. sp. from the urinary bladder of
Myoxocephalus scorpius. We characterise Latyspora-like organism from kidney of
Clupea harengus. We provide new data for Ceratomyxa porrecta, Myxidium gadi,
Myxidium finnmarchicum, Schulmania aenigmatosa, and Parvicapsula irregularis
comb. nov. The phylogenetic analyses including the newly obtained SSU and LSU
rDNA data revealed that most of the species studied cluster in the marine urinary
clade within the marine myxosporean lineage. Newly obtained sequences including
the first molecular data for the member of the genus Schulmania, substantially
enriched the Zschokkella subclade. C. porrecta and the two Myxidium species
cluster within the Ceratomyxa and marine Myxidium clade, respectively. Newly
described species, Z. siegfriedi n. sp., was revealed to be morphologically
indistinguishable but genetically diverse from Zschokkella hildae known from
numerous gadid fish. Therefore, we consider Z. siegfriedi to be a cryptic
myxosporean species that might be misidentified with Z. hildae. A Latyspora-like
organism was found to be taxonomically problematic due to its suture line and its
distant phylogenetic position from the type species Latyspora scomberomori did
not allow us to assign it to the genus Latyspora. Based on an increased taxon
sampling and SSU + LSU rDNA-based phylogeny, evolutionary trends within the
marine urinary clade are investigated.
PMID- 24918078
TI - The Effect of Curcumin on Intracellular pH (pHi), Membrane Hyperpolarization and
Sperm Motility.
AB - BACKGROUND: Curcumin has shown to affect sperm motility and function in vitro and
fertility in vivo. The molecular mechanism(s) by which curcumin affects sperm
motility has not been delineated. Since modulation of intracellular pH (pHi) and
plasma membrane polarization is involved in sperm motility, the present study was
conducted to investigate the effect of curcumin on these sperm (human and murine)
parameters. METHODS: The effect of curcumin on sperm forward motility was
examined by counting percentages of forward moving sperm. The effect of curcumin
on intracellular pH (pHi) was measured by the fluorescent pH indicator 2,7
bicarboxyethyl-5,6-carboxyfluorescein-acetoxymethyl ester (BCECF-AM). The effect
of curcumin on plasma membrane polarization was examined using the fluorescence
sensitive dye bis (1,3-dibarbituric acid)-trimethine oxanol [DiBAC4(3)]. RESULTS:
Curcumin caused a concentration-dependent (p<0.05) decrease in forward motility
of both human and mouse sperm. It also caused a concentration-dependent decrease
in intracellular pH (pHi) in both human and mouse sperm. Curcumin induced
significant (p<0.05) hyperpolarization of the plasma membrane in both human and
mouse sperm. CONCLUSION: These findings indicate that curcumin inhibits sperm
forward motility by intracellular acidification and hyperpolarization of sperm
plasma membrane. This is the first study to our knowledge which examined the
effect of curcumin on sperm pHi and membrane polarization that affect sperm
forward motility. These exciting findings will have application in deciphering
the signal transduction pathway involved in sperm motility and function and in
development of a novel non-steroidal contraceptive for infertility.
PMID- 24918079
TI - The Effects of Glyceryl Trinitrate Patch on the Treatment of Preterm Labor: A
Single-blind Randomized Clinical Trial.
AB - BACKGROUND: Preterm labor (PTL) is one of the main causes of neonatal mortality
and morbidity. PTL leads to serious complications especially in the gestational
age prior to 24-26 weeks. The aim of this study was to investigate the effect of
glyceryl trinitrate (GTN) patch on the treatment and complications of PTL.
METHODS: In this clinical trial, 84 singleton pregnant women with gestational age
of 27-35 weeks were surveyed. PTL was clinically diagnosed and the patients were
randomly divided into two groups who were treated with GTN or placebo for 48 hr.
The consequences, complications and changes in some parameters in both groups
were compared. Data were analyzed with chi square test, paired and unpaired t
tests by SPSS software and p<0.05 was considered significant. RESULTS: No
significant difference was observed between two groups in terms of successful
tocolysis, receiving full dose of corticosteroids and the mean prolongation of
the pregnancy. However, delivery times in patients who delivered during the
hospitalization were 31+/-4.4 and 18.3+/-2.2 hr (p=0.01), respectively. Headache
was more severe in control group (p=0.007). The systolic and mean arterial blood
pressure decrease (p<0.001) and maternal heart rate increase (p=0.01) were
significant in GTN group. The changes of vital signs were not significant in
placebo group. CONCLUSION: The effect of GTN in the treatment of PTL is similar
to the placebo without any serious complication. However, GTN delays the delivery
time in delivery during the primary hospitalization. Thus, further studies with
larger sample size are needed to evaluate the exact effects of GTN on PTL.
PMID- 24918080
TI - Relationship between Structural and Intermediary Determinants of Health and
Preterm Delivery.
AB - BACKGROUND: Preterm birth is a major health problem that leads to infant
morbidity and mortality. The main goal of this study was to find the relationship
between social determinants of health and preterm delivery. METHODS: A
prospective longitudinal cohort study was carried out on 500 pregnant women in
their 24th to 28th gestational weeks in 2012. The pregnant women filled out a
self-report questionnaire on the structural determinant, perceived stress, and
perceived social support. The participants were followed up until labor and the
data about mother and the newborn were collected after labor. The data were
analyzed by SPSS 21 and Lisrel 8.8 software programs using pathway analysis.
RESULTS: The final path model fit well (CFI=0.96; RMSEA=0.060). Path analysis
showed that among structural factors, income had a direct effect (beta=0.06) and
the factors of income (beta=0.00594), number of children (family size) (beta=
0.024), as well as mother's education (beta=-0.0084) had the greatest overall
effect on gestational age at birth respectively. Also, the results showed that
among intermediate factors of social determinants of health, stress in the direct
path (beta=-0.12) and among the overall effects, the perceived stress (beta=
0.12) and perceived social support (beta=0.0396) affected the gestational age at
birth. CONCLUSION: The current study showed that some structural and intermediary
determinants such as income and perceived stress had an effect on preterm labor.
PMID- 24918081
TI - Impairment of quality of life in symptomatic reproductive tract infection and
sexually transmitted infection.
AB - BACKGROUND: Reproductive tract infections (RTI) and sexually transmitted
infections (STI) are often subclinical and remain undetected. The current study
aimed to estimate the burden of RTI/STI, associated symptoms, risk factors and
the impact of the condition on quality of life (QOL). METHODS: A community based,
cross sectional study was conducted. Married women aged 18 to 49 years were
selected through systematic random sampling in a rural area. A semi-structured
questionnaire was used to evaluate socio-demographic characteristics, symptoms,
risk factors and knowledge regarding RTI/STI. A standardized instrument from the
World Health Organization (WHO-BREF) was used to measure QOL. The chi square
(chi(2)) and unpaired t tests were used for statistic evaluation of results.
RESULTS: In a sample of 464 women, 60 (13%) women were symptomatic and the
commonest symptom was abnormal vaginal discharge (n = 54). 24 of the women had
sought treatment. Age (p = 0.0006) and socio-economic status (p = 0.0004) were
significant for an outcome of RTI/STI. Significant risk factors included lack of
use of barrier contraceptives (p < 0.001), past history of infection (p < 0.001),
use of reusable cloth during menstruation (p < 0.001) and presence of spousal
symptoms (p < 0.001). QOL scores were impacted on all domains with significant
differences. The largest mean difference was in the social relations and sexual
activity domain. CONCLUSION: In the current study, the obtained data was a 13%
prevalence of RTI/ STI symptoms with a significant lack of awareness regarding
occurrence and prevention among women and significant impairment on all QOL
domains.
PMID- 24918082
TI - Sociodemographic factors associated with pap test adherence and cervical
dysplasia in surgically sterilized women.
AB - BACKGROUND: Routine dysplasia screening decreases the rates of cervical cancer.
Since many women seek gynecological care to secure contraception, it was
hypothesized that sterilized women will be less likely to undergo routine
cervical cancer screening. Prior studies tried to evaluate this relationship, but
results were conflicting. The study sought to further explore the
sociodemographic and behavioral risk factors that might predispose sterilized
women to be screening non-adherent and more likely to have cervical dysplasia.
METHODS: Secondary analysis of women (n=1688) enrolled in a cross-sectional study
in North America and divided into screening (n=925) and diagnostic (n=763) groups
was performed. Information about sociodemographic and behavioral risk factors,
surgical sterilization and date of last Pap test were obtained from
questionnaires. Cervical histology was obtained from pathology records.
Univariable analyses identified differences in risk factors between groups.
Multivariable logistic regression models were constructed to evaluate Pap
adherence and cervical dysplasia. RESULTS: Sterilized women were 39% more likely
to be screening non-adherent (p<=0.05) especially if divorced, separated or
widowed (OR=1.62), Hispanic (OR=1.57) and with a higher number of vaginal births
(OR=2.00). Education was an effect measure modifier, significantly associated
with non-adherence (OR=1.60). The association between sterilization and non
adherence remained significant when adjusted for confounders (AOR=1.47).
Sterilization was associated with an 80% increased odds of cervical dysplasia in
women over 40. CONCLUSION: Sterilized women with certain sociodemographic factors
are more likely to be non-adherent with Pap screening and more prone to
dysplasia. These findings may assist practitioners in counseling at-risk
patients.
PMID- 24918083
TI - Outpatient follicle monitoring: a plea for standardization in ultrasound based
follicle monitoring and data transfer.
AB - BACKGROUND: The complexity of assisted reproductive technology (ART) increased
during the last decades. New scientific and medical findings as well as the
statutory requirements for improving the safety and the outcome of ART were the
main impetus for its development. While therapy planning is done and ART is used
by the IVF centers, the medical support and monitoring of patients is conducted
by referring gynecologists. Reported follicle measurements by the gynecologist
allow the adoption of the therapy plan. Most notably, the crucial aspect is
processing and interpretation of ultrasound scan (US). The results of the
received US, the transfer of data between IVF center(s) and referred physician(s)
as well as the subjective interpretation often culminate in interpretation and
logistical problems. This might increase the error probability with considerable
detriments for the patients and ART outcome. METHODS: The follicle monitoring was
performed using Voluson I ultrasound system combined with SonoAVC((r)) software.
Results were communicated via DICOM language to DynaMed((r)) software, a medical
program for managing an IVF center with seamless integration of all processes
needed for an accurate and precise workflow. RESULTS: In this study, no loss of
data was detected. All data were integrated by DynaMed((r)) software and were
recallable in a fast and easy manner. CONCLUSION: The broad usage of Voluson I
ultrasound SonoAVC((r)) software and communication of the results via Picture
Archiving and Communication System (PACS) server between the IVF center and local
gynecologist would provide more assistance for the patients and consequently the
ART outcomes can be improved.
PMID- 24918084
TI - The Case of Sacrococcygeal Teratoma in an IVF Pregnancy: Is There any Association
between Congenital Tumors and Assisted Reproduction Techniques?
AB - BACKGROUND: Sacrococcygeal teratoma (SCT) is a rare congenital tumor and its
association with IVF pregnancy is not clear. There are limited reports of
congenital tumors in IVF pregnancy. The exact embryogenesis of SCT is not known
but a genetic etiology has been reported. Whether these congenital tumors have
any association with assisted reproductive techniques remains obscure. CASE
PRESENTATION: In this study, a case of SCT in an IVF pregnancy with donor oocytes
was reported. IVF was performed for bilateral tubal blockade and poor ovarian
reserve. It was diagnosed antenatally by ultrasonography. Successful surgical
treatment was performed in postnatal period and six months follow-up remained
uneventful. CONCLUSION: The purpose of reporting this case is to emphasize on the
possibility of association of congenital tumors with assisted reproductive
techniques and hence, the need for screening in these pregnancies. An association
could not be detected based on few case reports and therefore, large population
based studies are required to elucidate the effect of these reproductive
techniques on occurrence of congenital tumors.
PMID- 24918085
TI - A Novel de novo Balanced Reciprocal Translocation t(18;22) Associated with
Recurrent Miscarriages: A Case Report.
AB - BACKGROUND: Recurrent miscarriage is a major concern in the couples with
reproductive problems. The chromosomal abnormalities, mainly balanced
rearrangements are reported in variable phenotypes and the prevalence of them is
2-8% in such couples. CASE PRESENTATION: In this study, the clinical, cytogenetic
and molecular cytogenetic evaluations were performed on a couple with RM. The
cytogenetic analysis of the husband revealed a balanced reciprocal translocation
of t(18;22)(q21.1;q12) whereas wife had a normal karyotype of 46,XX. Further
spectral karyotyping was performed to rule out the involvement of any other
chromosomal aberrations present in the genome. Additional whole chromosome paint
FISH (Fluorescence in situ hybridization) with paint probes 18 and 22 confirmed
the translocation. CONCLUSION: To our knowledge, this is the first report of a
novel (18;22) translocation with unique breakpoints and their association with
RM. The reciprocal translocations provide a good opportunity for the
identification of disease associated genes. However, in recurrent miscarriages,
most of them do not disrupt any gene at the breakpoint but can lead to unbalanced
gametes and hence poor reproductive outcome like RM or birth of a child with
malformations and intellectual disability. The translocation breakpoints might be
risk factors for RM. Moreover, the impact of the balanced translocations in
association with RM is discussed in this report.
PMID- 24918086
TI - Extramedullary acute myeloid leukemia: leukemic pleural effusion, case report and
review of the literature.
AB - OBJECTIVE AND IMPORTANCE: Malignant pleural effusions occur in the setting of
both solid and hematologic malignancies. Pleural effusion caused by leukemic
infiltration is an unusual extramedullary manifestation of acute myeloid leukemia
(AML) with fewer than 20 cases reported (1-11). We report a case of pericardial
and pleural effusions in a patient with AML and review the literature. CLINICAL
PRESENTATION: In this case, a 55-year-old man with previous history of
myeloproliferative neoplasm experienced transformation AML, heralded by
appearance of leukemic pleural effusions. The patient was identified to have
leukemic pleural effusion based on the extended cytogenetic analysis of the
pleural fluid, as morphologic analysis alone was insufficient. INTERVENTION: The
patient was treated with hypomethylator-based and intensive chemotherapy
strategies, both of which maintained resolution of the effusions in the remission
setting. CONCLUSION: Due to the rarity of diagnosis of leukemic pleural
effusions, both cytogenetic and fluorescence in situ hybridization testing are
recommended. Furthermore, systemic chemotherapy directed at the AML can lead to
complete resolution of leukemic pleural effusions.
PMID- 24918087
TI - O-GlcNAcylation: The Sweet Side of the Cancer.
AB - O-GlcNAcylation is an O-linked beta-N-acetylglucosamine (O-GlcNAc) moiety linked
to the serine or threonine residues in proteins. O-GlcNAcylation is a dynamic
post-translational modification involved in a wide range of biological processes
and diseases such as cancer. This modification can increase and decrease the
activity of enzymes as well as interfere with protein stability and interaction.
The modulatory capacity of O-GlcNAcylation, as well as protein phosphorylation,
is of paramount importance in the regulation of metabolism and intracellular
signaling of tumor cells. Thus, understanding the regulation of O-GlcNAcylation
in tumor cells and their difference compared to non-tumor cells may elucidate new
mechanisms related to tumor generation and development, could provide a new
marker to diagnosis and prognosis in patients with cancer and indicate a new
target to cancer chemotherapy.
PMID- 24918088
TI - Extracellular enolase of Candida albicans is involved in colonization of
mammalian intestinal epithelium.
AB - Enolase is secreted by Candida albicans and is present in its biofilms although
its extracellular function is unknown. Here we show that extracellular enolase
mediates the colonization of small intestine mucosa by C. albicans. Assays using
intestinal mucosa disks show that C. albicans adhesion is inhibited, in a dose
dependent mode, either by pretreatment of intestinal epithelium mucosa disks with
recombinant C. albicans enolase (70% at 0.5 mg/ml enolase) or by pretreatment of
C. albicans yeasts with anti-enolase antibodies (48% with 20 MUg antiserum). Also
using flow cytometry, immunoblots of conditioned media and confocal microscopy we
demonstrate that enolase is present in biofilms and that the extracellular
enolase is not an artifact due to cell lysis, but must represent functional
secretion of a stable form. This is the first direct evidence that C. albicans'
extracellular enolase mediates colonization on its primary translocation site.
Also, because enolase is encoded by a single locus in C. albicans, its dual role
peptide, as glycolytic enzyme and extracellular peptide, is a remarkable example
of gene sharing in fungi.
PMID- 24918089
TI - The importance of proteins of the RNase II/RNB-family in pathogenic bacteria.
PMID- 24918091
TI - Lyme and associated tick-borne diseases: global challenges in the context of a
public health threat.
PMID- 24918090
TI - Influence of the tryptophan-indole-IFNgamma axis on human genital Chlamydia
trachomatis infection: role of vaginal co-infections.
AB - The natural history of genital Chlamydia trachomatis infections can vary widely;
infections can spontaneously resolve but can also last from months to years,
potentially progressing to cause significant pathology. The host and bacterial
factors underlying this wide variation are not completely understood, but
emphasize the bacterium's capacity to evade/adapt to the genital immune response,
and/or exploit local environmental conditions to survive this immune response.
IFNgamma is considered to be a primary host protective cytokine against
endocervical C. trachomatis infections. IFNgamma acts by inducing the host enzyme
indoleamine 2,3-dioxgenase, which catabolizes tryptophan, thereby depriving the
bacterium of this essential amino acid. In vitro studies have revealed that
tryptophan deprivation causes Chlamydia to enter a viable but non-infectious
growth pattern that is termed a persistent growth form, characterized by a unique
morphology and gene expression pattern. Provision of tryptophan can reactivate
the bacterium to the normal developmental cycle. There is a significant
difference in the capacity of ocular and genital C. trachomatis serovars to
counter tryptophan deprivation. The latter uniquely encode a functional
tryptophan synthase to synthesize tryptophan via indole salvage, should indole be
available in the infection microenvironment. In vitro studies have confirmed the
capacity of indole to mitigate the effects of IFNgamma; it has been suggested
that a perturbed vaginal microbiome may provide a source of indole in vivo.
Consistent with this hypothesis, the microbiome associated with bacterial
vaginosis includes species that encode a tryptophanase to produce indole. In this
review, we discuss the natural history of genital chlamydial infections,
morphological and molecular changes imposed by IFNgamma on Chlamydia, and
finally, the microenvironmental conditions associated with vaginal co-infections
that can ameliorate the effects of IFNgamma on C. trachomatis.
PMID- 24918092
TI - Activities of three erythrocyte enzymes of hyperglycemic rats (Rattus norvegicus)
treated with Allium sativa extract.
AB - BACKGROUND: The present study sought to investigate erythrocyte glutathione S
transferases (GST), NADH-Methaemoglobin reductase (NADH-MR) and Na(+)/K(+)-ATPase
activities of hypoglycemic rats treated with ethanol/water (1:2 v/v) extract of
A. sativa as agent of glycemic control. METHODS: Hyperglycemia was induced by a
single intra-peritoneal injection of 0.1 mol/L alloxan monohydrate in phosphate
buffer saline (PBS) solution (pH = 7.4); dosage = 140 mg/kg. At the end of the
experimental time (t = 76 h), erythrocyte GST, NADH-MR and Na(+)/K(+)-ATPase
activities as well as serum fasting blood sugar (FBS) levels were measured by
spectrophotometric methods. RESULTS: Serum FBS levels of control/normal (C/N)
rats ranged between 72.93 +/- 0.82-95.12 +/- 0.92 mg/dL, whereas experimental
rats without glycemic control gave: 249.41 +/- 1.03-256.11 +/- 1.23 mg/dL.
Hyperglycemic rats treated with ethanol/water (1:2 v/v) extract of A. sativa
exhibited comparative reduced serum levels of FBS alongside with erythrocyte GST,
NADH-MR and Na(+)/K(+)-ATPase activities. The average relative activities of the
three enzymes and corresponding order of enzyme activity in hyperglycemic rats
treated with ethanol/water (1:2 v/v) extract of A. sativa was: NADH-MR = 60.99% >
GST = 47.81% > Na(+)/K(+)-ATPase = 46.81%. In the same order, relative activities
of the three enzymes in rats without glycemic control were: NADH-MR = 49.65% >
GST = 23.69% > Na(+)/K(+)-ATPase = 17.02%. CONCLUSION: Erythrocyte GST, NADH-MR
and Na(+)/K(+)-ATPase activities gave insights into the pathophysiology of
diabetic state and served as biomarkers for ascertaining therapeutic control in
Type 1 diabetes mellitus.
PMID- 24918093
TI - Oxidizability assay of unfractionated plasma of patients' with different plasma
profile: a methodological study.
AB - BACKGROUND: Present study describe the in vitro model of plasma oxidation of
patients with different lipid profile, that can be correlated to their invivo
plasma oxidizability in order to find the arterial diseases prone patient groups.
METHOD: The method applied here to measure the invitro plasma oxidizability,
accounts a convenient way that can be well suited in any clinical laboratory
settings. Un-fractionated plasma was exposed to CuSO4 (5.0 mmol/L), a pro
oxidant, and low frequency ultrasonic wave to induce oxidation, and finally
oxidizability was calculated by TBARS and Conjugated Diene methods. RESULT: In
our study, plasma LDL greater than 150 mg/dL possess 1.75 times more risk to
undergo oxidation (CI, 0.7774 to 3.94; p = 0.071) than the low LDL plasma,
percent of oxidation increased from 38.3% to 67.1% for the LDL level upto 150
mg/dL and high. Lag phase, which is considered as the plasma antioxidative
protection, was also influenced by the higher LDL concentration. The mean lag
time was 65.27 +/- 20.02 (p = 0.02 compared to healthy), where as for 94.71 +/-
35.11 min for the normolipidemic subject. The plasma oxidizability was also
changed drastically for total cholesterol level, oxidative susceptibility shown
35% and 55.02% for 200 mg/dL and high respectively, however it didn't appear as
risk factor. Patient samples were also stratified according to their age, gender,
and blood glucose level. Older persons (>=40 years) were 1.096 times (95% CL,
0.5607 to 2.141, p = 0.396) than younger (<=39 years age), males are 1.071 (95%
CI, 0.5072- 2.264) times than the females, and diabetic patients are 1.091 (CI,
0.6153 to 1.934, p = 0.391) times in more risk than the non-diabetic counterpart.
CONCLUSION: This method addressing its easy applicability in biomedical research.
And by this we were able to show that patients with high LDL (>=150 mg/dL) are in
alarming condition besides diabetic and elderly (>=40 years age) males are
considered to be susceptible and more prone to develop vascular diseases.
PMID- 24918095
TI - The prevalence of dyslipidemia in patients with diabetes mellitus of ayurveda
Hospital.
AB - BACKGROUND: Dyslipidemia is one of the major risk factors for cardiovascular
disease in diabetes mellitus. Early detection and treatment of dyslipidemia in
type-2 diabetes mellitus can prevent risk for atherogenic cardiovascular
disorder. The rationale of this study was to detect the lipid abnormality in
diabetic patients. METHODS: Necessary data was collected from the medical
archives of 150 patients (73 female and 77 male) with diabetes mellitus
registered in Department of pathology and biochemistry of a Ayurveda hospital
established at Kolkata, India. RESULTS: The mean ages of female and male subjects
were 51.8 +/- 10.8 and 53.2 +/- 11.3 years respectively. The range and mean value
of FBS in females were 113-342 mg/dl and 157.7 +/- 6.3 mg/dl, while the range and
mean value of PPBS in females were 135-560 mg/dl and 275.5 +/- 12.3 mg/dl
respectively. Results showed that range and mean value of FBS in males were 111
462 mg/dl and 160.8 +/- 7.4 mg/dl, while the range and mean value of PPBS in
males were 136-598 mg/dl and 302.1 +/- 12.6 mg/dl respectively. Results of serum
lipids showed that the mean values for total cholesterol (TC), triglyceride (TG),
high density lipoprotein cholesterol (HDL-C), low density lipoprotein cholesterol
(LDL-C) and very low density lipoprotein cholesterol (VLDL-C) in female patients
were 202.2 +/- 5.9 mg/dl, 168.3 +/- 8.2 mg/dl, 44.9 +/- 1.3 mg/dl, 123.6 +/- 5.2
mg/dl and 33.7 +/- 1.7 mg/dl respectively. The mean values for TC, TG, HDL-C, LDL
C and VLDL-C in male patients were 182.5 +/- 4.8 mg/dl, 128.1 +/- 10.8 mg/dl,
40.8 +/- 1.2 mg/dl, 105.4 +/- 4.8 mg/dl and 36.2 +/- 2.2 respectively. FBS showed
significant positive correlation with PPBS, cholesterol, TG, and VLDL-C. PPBS
also demonstrated direct and significant correlations with TG and VLDL-C.
CONCLUSIONS: The study showed common lipid abnormalities during diabetes induced
dyslipidemia i.e., hypercholesterolemia, hypertriglyceridemia and elevated LDL-C.
This study suggests the dominance of hyperlipidemia over increased prevalence of
dyslipidemia.
PMID- 24918094
TI - Insight into the impact of diabetes mellitus on the increased risk of
hepatocellular carcinoma: mini-review.
AB - Hepatocellular carcinoma is a multifactorial disease which is associated with a
background of many causal risk factors. Diabetes mellitus however is one of the
most common co-morbid illnesses found in hepatocellular carcinoma patients that
are significantly associated with worsening of hepatocellular carcinoma
development, patient prognosis and survival. Therefore, efforts have been focused
on understanding the mechanisms underlying progression of hepatocellular
carcinoma onset and development especially in diabetic patients. To our
knowledge, there are no reports which address the impact of tumor necrosis factor
alpha (TNF-alpha) and interleukin-6 (IL-6) along with epigenetic regulations
associated with increased risk of hepatocellular carcinoma confounded by diabetes
mellitus. Therefore, this mini-review focuses on the possible intermediary
mechanisms involved in worsening the onset and progression of hepatocellular
carcinoma development confounded by diabetes mellitus. The first approach is to
look at the role of inflammatory mediators (TNF-alpha and IL-6) in apoptosis and
inflammation during hepatocarcinogenesis through monitoring levels of apoptotic
regulators, B-cell lymphoma 2 protein which is encoded by BCL2 gene and apoptosis
regulator BAX known as bcl-2-like protein 4 which is encoded by the BAX gene. The
second approach is to focus on the possible epigenomic reprogramming that drives
hepatocellular transformation since epigenetic modification of DNA is a key
feature in the pathogenesis of hepatocarcinogenesis. Both approaches may suggest
role of using Bcl2 and Bax as apoptotic and inflammatory markers for
hepatocellular carcinoma detection as well as the importance impact of DNA
methylation, hypomethylation or histone modifications as attractive candidates
for early-detection biomarkers of hepatocellular carcinoma.
PMID- 24918096
TI - Minimally invasive surgery for pediatric tumors - current state of the art.
AB - During recent years, minimally invasive surgery (MIS) has become the standard
approach for various operations in infants and children. This also holds true for
surgery in children with solid tumors. Meanwhile, more and more oncological
biopsies and resections are being performed laparoscopically or
thoracoscopically. Despite its increasing role in pediatric tumor surgery, the
different national and international multicenter trial groups have not yet
implemented MIS within guidelines and recommendations in most of the current
treatment protocols. An increasing number of reports describe a potential role of
MIS in the different entities of pediatric surgical oncology. Over the time,
there has been a diverse development of this approach with regard to the
different neoplasms. The aim of this article is to give an overview and to
describe the current state of the art of MIS in pediatric solid tumors.
PMID- 24918097
TI - My tribute to Mary ellen avery.
PMID- 24918098
TI - Repeated insulin-like growth factor 1 treatment in a patient with rett syndrome:
a single case study.
AB - Rett syndrome (RTT) is a devastating neurodevelopmental disorder that has no
cure. Patients show regression of acquired skills, motor, and speech impairment,
cardio-respiratory distress, microcephaly, and stereotyped hand movements. The
majority of RTT patients display mutations in the gene that codes for the Methyl
CpG binding protein 2 (MeCP2), which is involved in the development of the
central nervous system, especially synaptic and circuit maturation. Thus, agents
that promote brain development and synaptic function are good candidates for
ameliorating the symptoms of RTT. In particular, insulin-like growth factor 1
(IGF1) and its active peptide (1-3) IGF1 cross the Blood Brain Barrier, and
therefore are ideal treatments for RTT Indeed, both (1-3) IGF1 and IGF1 treatment
significantly ameliorates RTT symptoms in a mouse model of the disease In a
previous study, we established that IGF1 is safe and well tolerated on Rett
patients. In this open label clinical case study, we assess the safety and
tolerability of IGF1 administration in two cycles of the treatment. Before and
after each cycle, we monitored the clinical and blood parameters, autonomic
function, and social and cognitive abilities, and we found that IGF1 was well
tolerated each time and did not induce any side effect, nor it interfered with
the other treatments that the patient was undergoing. We noticed a moderate
improvement in the cognitive, social, and autonomic abilities of the patient
after each cycle but the benefits were not retained between the two cycles,
consistent with the pre-clinical observation that treatments for RTT should be
administered through life. We find that repeated IGF1 treatment is safe and well
tolerated in Rett patients but observed effects are not retained between cycles.
These results have applications to other pathologies considering that IGF1 has
been shown to be effective in other disorders of the autism spectrum.
PMID- 24918100
TI - Does groundwater protection in Europe require new EU-wide environmental quality
standards?
AB - The European Groundwater Directive could be improved by limiting the scopes of
the Annexes I and II to the manmade and natural substances, respectively, and by
defining a common monitoring protocol. The changes in the European landuse
patterns, in particular the urban sprawl phenomena, obscure the distinction
between the point and diffuse sources of contamination. In the future more
importance will be given to the household contamination. Moreover, the
agricultural environment could be used for developing new conceptual models
related to the pharmaceuticals.
PMID- 24918099
TI - Breakfast: to skip or not to skip?
PMID- 24918101
TI - Unusual truncation of N-acylated peptoids under acidic conditions.
AB - The terminal amino groups of peptoids have often been protected with acetyl
groups to improve cell permeability and therapeutic potential, and to prevent the
poisoning of the catalysts in organometallic reactions. Interestingly, the
unusual truncation of the terminal peptoid unit has sometimes been encountered
when the acetylated linear peptoids were treated with a TFA cleavage cocktail. In
this study, we systematically investigated the electronic effects of acyl groups
on the truncation of N-acylated peptoids to rationalize the formation of the
deleted peptoids and to establish an appropriate strategy for preventing such
undesired truncation.
PMID- 24918102
TI - The selective electrochemical detection of homocysteine in the presence of
glutathione, cysteine, and ascorbic acid using carbon electrodes.
AB - The detection of homocysteine, HCys, was achieved with the use of catechol via
1,4-Michael addition reaction using carbon electrodes: a glassy carbon electrode
and a carbon nanotube modified glassy carbon electrode. The selective detection
of homocysteine was investigated and achieved in the absence and presence of
glutathione, cysteine and ascorbic acid using cyclic voltammetry and square wave
voltammetry. A calibration curve of homocysteine detection was determined and the
sensitivity is (0.20 +/- 0.02) MUA MUM(-1) and the limit of detection is 660 nM
within the linear range. Lastly, commercially available multi walled carbon
nanotube screen printed electrodes were applied to the system for selective
homocysteine detection. This work presents a potential practical application
towards medical applications as it can be highly beneficial towards quality
healthcare management.
PMID- 24918103
TI - Combined use of quantitative ED-EPMA, Raman microspectrometry, and ATR-FTIR
imaging techniques for the analysis of individual particles.
AB - In this work, quantitative energy-dispersive electron probe X-ray microanalysis
(ED-EPMA) (called low-Z particle EPMA), Raman microspectrometry (RMS), and
attenuated total reflectance Fourier transform infrared spectroscopic (ATR-FTIR)
imaging were applied in combination for the analysis of the same individual
airborne particles for the first time. After examining individual particles of
micrometer size by low-Z particle EPMA, consecutive examinations by RMS and ATR
FTIR imaging of the same individual particles were then performed. The relocation
of the same particles on Al or Ag foils was successfully carried out among the
three standalone instruments for several standard samples and an indoor airborne
particle sample, resulting in the successful acquisition of quality spectral data
from the three single-particle analytical techniques. The combined application of
the three techniques to several different standard particles confirmed that those
techniques provided consistent and complementary chemical composition information
on the same individual particles. Further, it was clearly demonstrated that the
three different types of spectral and imaging data from the same individual
particles in an indoor aerosol sample provided richer information on
physicochemical characteristics of the particle ensemble than that obtainable by
the combined use of two single-particle analytical techniques.
PMID- 24918104
TI - n-Bu4NI/TBHP-catalyzed direct amination of allylic and benzylic C(sp3)-H with
anilines under metal-free conditions.
AB - A novel and efficient n-Bu4NI/TBHP-catalyzed direct amination of allylic and
benzylic C(sp(3))-H with anilines to form N-substituted anilines under metal-free
conditions has been developed.
PMID- 24918105
TI - A photothermal cell viability-reporting theranostic nanoprobe for intraoperative
optical ablation and tracking of tumors.
AB - A photothermal pH-reporting nanoprobe was developed for intraoperative tumor
detection by "turn-on" fluorescence of the probe inside viable tumor cells,
photothermal tumor therapy, and in situ monitoring of tumor killing by non
fluorescence of the probe in damaged cells.
PMID- 24918106
TI - NH3 treatment of TiO2 nanotubes: from N-doping to semimetallic conductivity.
AB - In the present work we show that a suitable high temperature ammonia treatment
allows for the conversion of single-walled TiO2 nanotube arrays not only to a N
doped photoactive anatase material (which is already well established), but even
further into fully functional titanium nitride (TiN) tubular structures that
exhibit semimetallic conductivity.
PMID- 24918107
TI - Copper-catalyzed Hiyama cross-coupling using vinylsilanes and benzylic
electrophiles.
AB - Allylbenzene derivatives are ubiquitous frameworks in organic chemistry. Herein
is described an efficient copper-catalyzed cross-coupling reaction using
vinylsilanes and benzyl bromides, leading to the synthesis of allylbenzenes. This
methodology allows the use of cis, trans and 1,1'-disubstituted vinylsilanes as
well as a large number of sensitive moieties.
PMID- 24918108
TI - Semi-artificial and bioactive ferroxidase with nanoparticles as the active sites.
AB - Light-chain apoferritin lacks ferroxidase activity, which can be supplemented
with Pt-nanoparticles. The hybrid bioinorganic nanoparticle outperforms its heavy
chain pendant in terms of ferroxidase activity, mineralization ability and
inhibition resistance. Being active in a cellular environment it regulates the
iron homeostasis.
PMID- 24918109
TI - A large-scale quantitative analysis of latent factors and sentiment in online
doctor reviews.
AB - Online physician reviews are a massive and potentially rich source of information
capturing patient sentiment regarding healthcare. We analyze a corpus comprising
nearly 60,000 such reviews with a state-of-the-art probabilistic model of text.
We describe a probabilistic generative model that captures latent sentiment
across aspects of care (eg, interpersonal manner). We target specific aspects by
leveraging a small set of manually annotated reviews. We perform regression
analysis to assess whether model output improves correlation with state-level
measures of healthcare. We report both qualitative and quantitative results.
Model output correlates with state-level measures of quality healthcare,
including patient likelihood of visiting their primary care physician within 14
days of discharge (p=0.03), and using the proposed model better predicts this
outcome (p=0.10). We find similar results for healthcare expenditure. Generative
models of text can recover important information from online physician reviews,
facilitating large-scale analyses of such reviews.
PMID- 24918110
TI - Synthesis of isochromene-type scaffolds via single-flask Diels-Alder-[4 + 2]
annulation sequence of a silyl-substituted diene with menadione.
AB - A sequential Diels-Alder reaction/silicon-directed [4 + 2]-annulation was
developed to assemble hydroisochromene-type ring systems from menadione 2. In the
first step, a Diels-Alder of the 1-silyl-substituted butadiene 1 with 2 furnished
an intermediate cyclic allylsilane. Subsequently, TMSOTf promoted a [4 + 2]
annulation through trapping of an oxonium, generated by condensation between an
aldehyde and the TBS protected alcohol resulted in the formation of a cis-fused
hydroisochromene 13.
PMID- 24918111
TI - Estimating influence of cofragmentation on peptide quantification and
identification in iTRAQ experiments by simulating multiplexed spectra.
AB - Isobaric tag-based quantification such as iTRAQ and TMT is a promising approach
to mass spectrometry-based quantification in proteomics as it provides wide
proteome coverage with greatly increased experimental throughput. However, it is
known to suffer from inaccurate quantification and identification of a target
peptide due to cofragmentation of multiple peptides, which likely leads to under
estimation of differentially expressed peptides (DEPs). A simple method of
filtering out cofragmented spectra with less than 100% precursor isolation purity
(PIP) would decrease the coverage of iTRAQ/TMT experiments. In order to estimate
the impact of cofragmentation on quantification and identification of iTRAQ
labeled peptide samples, we generated multiplexed spectra with varying degrees of
PIP by mixing the two MS/MS spectra of 100% PIP obtained in global proteome
profiling experiments on gastric tumor-normal tissue pair proteomes labeled by 4
plex iTRAQ. Despite cofragmentation, the simulation experiments showed that more
than 99% of multiplexed spectra with PIP greater than 80% were correctly
identified by three different database search engines-MODa, MS-GF+, and Proteome
Discoverer. Using the multiplexed spectra that have been correctly identified, we
estimated the effect of cofragmentation on peptide quantification. In 74% of the
multiplexed spectra, however, the cancer-to-normal expression ratio was
compressed, and a fair number of spectra showed the "ratio inflation" phenomenon.
On the basis of the estimated distribution of distortions on quantification, we
were able to calculate cutoff values for DEP detection from cofragmented spectra,
which were corrected according to a specific PIP and probability of type I (or
type II) error. When we applied these corrected cutoff values to real
cofragmented spectra with PIP larger than or equal to 70%, we were able to
identify reliable DEPs by removing about 25% of DEPs, which are highly likely to
be false positives. Our experimental results provide useful insight into the
effect of cofragmentation on isobaric tag-based quantification methods. The
simulation procedure as well as the corrected cutoff calculation method could be
adopted for quantifying the effect of cofragmentation and reducing false
positives (or false negatives) in the DEP identification with general
quantification experiments based on isobaric labeling techniques.
PMID- 24918112
TI - Voluntary enhanced cocontraction of hamstring muscles during open kinetic chain
leg extension exercise: its potential unloading effect on the anterior cruciate
ligament.
AB - BACKGROUND: A number of research studies provide evidence that hamstring
cocontraction during open kinetic chain knee extension exercises enhances
tibiofemoral (TF) stability and reduces the strain on the anterior cruciate
ligament. PURPOSE: To determine the possible increase in hamstring muscle
coactivation caused by a voluntary cocontraction effort during open kinetic chain
leg-extension exercises, and to assess whether an intentional hamstring
cocontraction can completely suppress the anterior TF shear force during these
exercises. STUDY DESIGN: Descriptive laboratory study. METHODS: Knee kinematics
as well as electromyographic activity in the semitendinosus (ST), semimembranosus
(SM), biceps femoris (BF), and quadriceps femoris muscles were measured in 20
healthy men during isotonic leg extension exercises with resistance (R) ranging
from 10% to 80% of the 1-repetition maximum (1RM). The same exercises were also
performed while the participants attempted to enhance hamstring coactivation
through a voluntary cocontraction effort. The data served as input parameters for
a model to calculate the shear and compressive TF forces in leg extension
exercises for any set of coactivation patterns of the different hamstring
muscles. RESULTS: For R<= 40% 1RM, the peak coactivation levels obtained with
intentional cocontraction (l) were significantly higher (P < 10(-3)) than those
obtained without intentional cocontraction (l 0). For each hamstring muscle,
maximum level l was reached at R = 30% 1RM, corresponding to 9.2%, 10.5%, and
24.5% maximum voluntary isometric contraction (MVIC) for the BF, ST, and SM,
respectively, whereas the ratio l/l 0 reached its maximum at R = 20% 1RM and was
approximately 2, 3, and 4 for the BF, SM, and ST, respectively. The voluntary
enhanced coactivation level l obtained for R<= 30% 1RM completely suppressed the
anterior TF shear force developed by the quadriceps during the exercise.
CONCLUSION: In leg extension exercises with resistance R<= 40% 1RM, coactivation
of the BF, SM, and ST can be significantly enhanced (up to 2, 3, and 4 times,
respectively) by a voluntary hamstring cocontraction effort. The enhanced
coactivation levels obtained for R<= 30% 1RM can completely suppress the anterior
TF shear force developed by the quadriceps during the exercise. CLINICAL
RELEVANCE: This laboratory study suggests that leg extension exercise with
intentional hamstring cocontraction may have the potential to be a safe and
effective quadriceps-strengthening intervention in the early stages of
rehabilitation programs for anterior cruciate ligament injury or reconstruction
recovery. Further studies, including clinical trials, are needed to investigate
the relevance of this therapeutic exercise in clinical practice.
PMID- 24918114
TI - Prosthetic hip joint infection caused by non-capsulated Haemophilus influenzae.
AB - Haemophilus influenzae is rarely described as a causative agent of prosthetic
joint infections. Here, a case of prosthetic hip joint infection caused by H.
influenzae is reported. Treatment was successful, resulting in implant salvage,
by debridement and antibiotic treatment with ciprofloxacin as monotherapy for 3
months.
PMID- 24918113
TI - Synthesis of site-specific DNA-protein conjugates and their effects on DNA
replication.
AB - DNA-protein cross-links (DPCs) are bulky, helix-distorting DNA lesions that form
in the genome upon exposure to common antitumor drugs, environmental/occupational
toxins, ionizing radiation, and endogenous free-radical-generating systems. As a
result of their considerable size and their pronounced effects on DNA-protein
interactions, DPCs can interfere with DNA replication, transcription, and repair,
potentially leading to mutagenesis, genotoxicity, and cytotoxicity. However, the
biological consequences of these ubiquitous lesions are not fully understood due
to the difficulty of generating DNA substrates containing structurally defined,
site-specific DPCs. In the present study, site-specific cross-links between the
two biomolecules were generated by copper-catalyzed [3 + 2] Huisgen cycloaddition
(click reaction) between an alkyne group from 5-(octa-1,7-diynyl)-uracil in DNA
and an azide group within engineered proteins/polypeptides. The resulting DPC
substrates were subjected to in vitro primer extension in the presence of human
lesion bypass DNA polymerases eta, kappa, nu, and iota. We found that DPC lesions
to the green fluorescent protein and a 23-mer peptide completely blocked DNA
replication, while the cross-link to a 10-mer peptide was bypassed. These results
indicate that the polymerases cannot read through the larger DPC lesions and
further suggest that proteolytic degradation may be required to remove the
replication block imposed by bulky DPC adducts.
PMID- 24918115
TI - Risk factors for the acquisition of imipenem-resistant Acinetobacter baumannii in
a burn unit: An appraisal of the effect of colonization pressure.
AB - Imipenem-resistant Acinetobacter baumannii (IRAB) is a major threat for
critically ill patients, including those admitted to burn units. Recent studies
have suggested that colonization pressure (the proportion of patients or patient
days harbouring the pathogen of interest) is an important driver of the risk for
acquisition of multidrug-resistant organisms. With that in mind, we conducted a
cohort study, enrolling 208 patients admitted to a burn unit from November 2008
through December 2009. The outcome of interest was the acquisition of IRAB. In
addition to the usual risk factors, we assessed the impact of colonization
pressure. The number of wound excisions (odds ratio (OR) 12.06, 95% confidence
interval (CI) 2.82-51.64) and the number of antimicrobials used (OR 22.82, 95% CI
5.15-101.19) were significant risk factors for the outcome of interest. On the
other hand, colonization pressure (measured for whole time of exposure or up to
the last 14, 7, or 3 days) was not associated with the risk for IRAB acquisition.
PMID- 24918116
TI - Interferon-free therapies for chronic hepatitis C: toward a hepatitis C virus
free world?
AB - About 2% of the world's population is estimated to be chronically infected with
hepatitis C virus (HCV). These chronic carriers are at risk of developing liver
cirrhosis and its complications. Successful treatment of HCV infection is
associated with improved quality of life and increased survival. Antiviral
approaches were formerly based on interferon and therefore all patients with a
contraindication to interferon were excluded from treatment (e.g., patients with
decompensated disease, severe impairment of other organs). Very recently,
interferon-free combinations have become available for genotypes 2 and 3. This
review focuses on the most recently reported data on the various interferon-free
combinations used (namely, sofosbuvir-based combinations, the ABT
450/ombitasvir/dasabuvir/ribavirin combination, the daclatasvir/asunaprevir
combination, and the MK-5172/MK-8742 combination). All these combinations yielded
amazing results in terms of efficacy (90-100%), tolerability and safety. If the
problem of the high cost is overcome, interferon-free therapies will lead to what
has long been a chimera, namely, an HCV-free world.
PMID- 24918117
TI - Epidemiology and management of Buruli ulcer.
AB - Buruli ulcer (Mycobacterium ulcerans infection) is a neglected tropical disease
of skin and subcutaneous tissue that can result in long-term cosmetic and
functional disability. It is a geographically restricted infection but
transmission has been reported in endemic areas in more than 30 countries
worldwide. The heaviest burden of disease lies in West and Sub-Saharan Africa
where it affects children and adults in subsistence agricultural communities.
Mycobacterium ulcerans infection is probably acquired via inoculation of the skin
either directly from the environment or indirectly via insect bites. The
environmental reservoir and exact route of transmission are not completely
understood. It may be that the mode of acquisition varies in different parts of
the world. Because of this uncertainty it has been nicknamed the 'mysterious
disease'. The therapeutic approach has evolved in the past decade from aggressive
surgical resection alone, to a greater focus on antibiotic therapy combined with
adjunctive surgery.
PMID- 24918119
TI - Omics profiles in chronic venous ulcer wound fluid: innovative applications for
translational medicine.
AB - Chronic venous disease represents a healthcare problem due to high prevalence and
recurrence rates. Studies on chronic venous ulcer wound fluid (CVUWF) have
demonstrated increased inflammation and proteolysis which can cause tissue
destruction and delayed healing. This review discusses: nearly all known
metabolites discovered in the past 25 years in CVUWF studies; the omics
approaches characterizing the microenvironment of human venous leg ulcers; and
the use of biocompounds as prognostic biomarkers and as possible targets for
therapeutic approaches. A biomarker is a biological compound that can be
functional or non-functional, specific or non-specific in the diagnosis/prognosis
to a disease state and may be quantified to determine progression or regression
of disease. Omics studies in CVUWF provide the impetus for future identification
of biomarkers within the intricate network in chronic venous disease and set the
basis for determining the appropriate combination of molecules that are expressed
with the healing status of venous leg ulcers.
PMID- 24918118
TI - Plant responses to bacterial N-acyl L-homoserine lactones are dependent on
enzymatic degradation to L-homoserine.
AB - Many bacteria use quorum sensing (QS) to regulate phenotypes that ultimately
benefit the bacterial population at high cell densities. These QS-dependent
phenotypes are diverse and can have significant impacts on the bacterial host,
including virulence factor production, motility, biofilm formation,
bioluminescence, and root nodulation. As bacteria and their eukaryotic hosts have
coevolved over millions of years, it is not surprising that certain hosts appear
to be able to sense QS signals, potentially allowing them to alter QS outcomes.
Recent experiments have established that eukaryotes have marked responses to the
N-acyl L-homoserine lactone (AHL) signals used by Gram-negative bacteria for QS,
and the responses of plants to AHLs have received considerable scrutiny to date.
However, the molecular mechanisms by which plants, and eukaryotes in general,
sense bacterial AHLs remain unclear. Herein, we report a systematic analysis of
the responses of the model plants Arabidopsis thaliana and Medicago truncatula to
a series of native AHLs and byproducts thereof. Our results establish that AHLs
can significantly alter seedling growth in an acyl-chain length dependent manner.
Based upon A. thaliana knockout studies and in vitro biochemical assays, we
conclude that the observed growth effects are dependent upon AHL amidolysis by a
plant-derived fatty acid amide hydrolase (FAAH) to yield L-homoserine. The
accumulation of l-homoserine appears to encourage plant growth at low
concentrations by stimulating transpiration, while higher concentrations inhibit
growth by stimulating ethylene production. These results offer new insights into
the mechanisms by which plant hosts can respond to QS signals and the potential
role of QS in interkingdom associations.
PMID- 24918120
TI - Global differences between women and men in the prevalence of obesity: is there
an association with gender inequality?
AB - BACKGROUND/OBJECTIVES: In most populations the prevalence of obesity is greater
in women than in men; however, the magnitude of the difference between the sexes
varies significantly by country. We considered the role of gender inequality in
explaining these disparities. SUBJECTS/METHODS: We undertook an ecological
analysis of internationally comparable obesity prevalence data to examine the
association between indicators of gender inequality and the differences between
men and women in obesity prevalence. Gender inequality was assessed using three
measures: the Gender Inequality Index, the Global Gender Gap Index and the Social
Institutions and Gender Index. We fitted multiple regression models to examine
the association. RESULTS: We found that the prevalence of obesity across
countries shows gendered patterning with greater prevalence and greater
heterogeneity in women than in men (P<0.001). We also found that two of three
measures of gender inequality were significantly associated with the sex
differences in obesity prevalence across countries. CONCLUSIONS: The patterning
of obesity across countries is gendered. However, the association between global
measures of gender inequality and the sex gap in obesity is dependent on the
measure used. Further research is needed to investigate the mechanisms that
underpin the gendered nature of obesity prevalence.
PMID- 24918121
TI - Association between PON1 rs662 polymorphism and coronary artery disease.
AB - BACKGROUND/OBJECTIVES: Paraoxonase 1 (PON1) is a plasma enzyme that is capable of
inhibiting the progression of atherosclerosis, and is associated with
susceptibility of coronary artery disease (CAD). PON protein expression is
present in human aortic tissue and it plays an important role in the progression
of atherosclerosis. This study aimed to investigate PON1 immunohistochemistry in
human coronary arteries, determine its polymorphisms and plasma status, and
analyze its association with the risk of CAD. SUBJECTS/METHODS: PON1 expression
in human coronary artery tissues was detected by immunohistochemical staining.
PON1 polymorphisms were determined by polymerase chain reaction direct sequencing
in 2456 unrelated Chinese Han individuals. Serum PON1 levels were indirectly
reflected by PON1 activity towards paraoxon and phenylacetate by
spectrophotometry, and by its concentrations using a human enzyme-linked
immunosorbent assay. RESULTS: Immunohistochemical analysis showed that PON1
expression was lower in atherosclerotic arteries than in normal arteries. PON1
Q192R (rs662) had a significant effect on the risk of CAD (P=0.001). In a
logistic regression model, after adjusting for conventional risk factors of CAD,
192R allele carriers had a significantly higher risk of CAD than other allele
carriers. Serum PON1 activity and concentrations were significantly reduced in
CAD patients compared with controls (P<0.05), and highly associated with the R
allele. CONCLUSIONS: Low PON1 expression in human atherosclerotic coronary
arteries is associated with CAD. Moreover, PON1 Q192R polymorphism is
significantly associated with susceptibility of CAD in the Chinese Han
population, and the 192R allele might be an independent predictor for CAD.
PMID- 24918122
TI - Consumer attitudes and understanding of cholesterol-lowering claims on food:
randomize mock-package experiments with plant sterol and oat fibre claims.
AB - BACKGROUND/OBJECTIVES: Few studies have examined consumer acceptability or
comprehension of cholesterol-lowering claims on food labels. Our objective was to
assess consumer attitudes and understanding of cholesterol-lowering claims
regarding plant sterols (PS) and oat fibre (OF). SUBJECTS/METHODS: We conducted
two studies on: (1) PS claims and (2) OF claims. Both studies involved a
randomized mock-packaged experiment within an online survey administered to
Canadian consumers. In the PS study (n=721), we tested three PS-related claims
(disease risk reduction claim, function claim and nutrient content claim) and a
'tastes great' claim (control) on identical margarine containers. Similarly, in
the OF study (n=710), we tested three claims related to OF and a 'taste great'
claim on identical cereal boxes. In both studies, participants answered the same
set of questions on attitudes and understanding of claims after seeing each mock
package. RESULTS: All claims that mentioned either PS or OF resulted in more
positive attitudes than the taste control claim (P<0.0001), despite all products
within each study having the same nutrition profile. How consumers responded to
the nutrition claims between the two studies was influenced by contextual factors
such as familiarity with the functional food/component and the food product that
carried the claim. CONCLUSIONS: Permitted nutrition claims are approved based on
physiological evidence and are allowed on any food product as long as it meets
the associated nutrient criteria. However, it is difficult to generalize
attitudes and understanding of claims when they are so highly dependent on
contextual factors.
PMID- 24918124
TI - Management of cystic diseases of the pancreas.
AB - Pancreatic cysts are challenging to the gastroenterologist. Detection rate is
increasing and neither criteria for a definitive diagnosis, nor a validated
surveillance strategy is available. Pancreatic endosonography with or without
sampling is necessary in most of the cases. However this technique requires
expertise and is not widely available. While some cysts have a malignant
potential or already malign at the diagnosis, most are benign and remain so for
decades. We are going to review the existing data on this controversial subject.
PMID- 24918125
TI - Accuracy of biopsies for Helicobacter pylori in the presence of intestinal
metaplasia of the stomach.
AB - BACKGROUND/AIMS: Gastric cancer is the second leading cause of cancer-related
death worldwide. The majority of gastric cancers is "intestinal-type"
adenocarcinoma, caused in part by H. pylori infection. Chronic gastritis leading
to atrophy and intestinal metaplasia (IM) can result in cancer. Studies have
demonstrated reversibility of mucosal atrophy following H. pylori eradication.
Concern has been raised regarding the sensitivity of gastric biopsy for H. pylori
detection in the context of IM. MATERIALS AND METHODS: All cases of IM on gastric
biopsy from a single gastroenterologist's outpatient practice were
retrospectively reviewed from February 1, 2006 until May 31, 2012. RESULTS: In
total, 105 IM cases were found, of which 37 (35.2%, 95% CI: 26.3-45.2) were H.
pylori-positive on biopsy. Charts of the remaining 68 patients were reviewed for
availability of other tests, namely urea breath test (UBT) and serology. Of 43 H.
pylori-negative patients who underwent a UBT, 10 were positive for the infection
(23.3%, 95% CI: 12.3-39.0). Amongst patients with coexisting autoimmune gastritis
(AIG), 4 out of 9 (44.4%, 95% CI: 15.3-77.3) also had evidence of H. pylori
infection by UBT, despite negative histology. CONCLUSION: For cases of gastric IM
with negative histology for H. pylori, UBT should be considered, even in cases of
AIG, as this may alter the management and clinical course for patients.
PMID- 24918123
TI - Is low docosahexaenoic acid associated with disturbed rhythms and
neurodevelopment in offsprings of diabetic mothers?
AB - BACKGROUND/OBJECTIVE: To evaluate the relation between docosahexaenoic acid (DHA)
status and neurodevelopment in the offsprings of gestational diabetic mothers
(ODMs). SUBJECTS/METHODS: A prospective cohort study was performed. The offspring
of 63 pregnant women (23 controls, 21 diet-controlled gestational diabetes
mellitus (GDM) and 19 insulin-treated GDM) were recruited. Maternal and venous
cord plasma DHA percentages were analyzed. Skin temperature and activity in
children were recorded for 72 h at 3 and 6 months of life. Neurodevelopment was
assessed using the Bayley Scale of Infant Development II (BSID II) at 6 and 12
months of age. RESULTS: Cord plasma DHA percentage was significantly lower in the
ODMs compared with that in the controls (Control 6.43 [5.04-7.82](a); GDM+diet
5.65 [4.44-6.86](ab); GDM+insulin 5.53 [4.45-6.61](b)). Both mental (Control
102.71 [97.61-107.81](a); GDM+diet 100.39 [91.43-109.35](a); GDM+insulin 93.94
[88.31-99.57](b)) and psychomotor (Control 91.52 [81.82-101.22](a); GDM+diet
81.67 [73.95-89.39](b); GDM+insulin 81.89 [71.96-91.85](b)) scores evaluated by
the BSID II were significantly lower at 6 months in ODMs, even after adjusting
for confounding factors such as breastfeeding, maternal educational level and
gender. Cord plasma DHA percentage correlated with the psychomotor score from
BSID II (r=0.27; P=0.049) and with the intra-daily variability in activity (r=
0.24; P=0.043) at 6 months. Maternal DHA was correlated with several sleep rhythm
maturation parameters at 6 months. CONCLUSIONS: Lower DHA levels in cord plasma
of ODMs could affect their neurodevelopment. Maternal DHA status was also
associated with higher values in the sleep rhythm maturation parameters of
children.
PMID- 24918126
TI - Comparison of the clinicopathological features of flat and polypoid colorectal
adenomas that are smaller than or equal to five millimeters.
AB - BACKGROUND/AIMS: Colorectal flat adenomas (FAs) may represent a different
histogenesis, since their malignant potential is thought to be higher than
polypoid adenomas of the same size. In this study, we classified FAs of <=5 mm
into three subgroups-superficially elevated adenomas (SEAs), completely flat
adenomas (CFAs), and depressed adenomas (DAs)-based on their low microscopic
shapes and compared their clinicopathological features with polypoid tubular
adenomas (pTAs) with the same size. MATERIALS AND METHODS: One hundred one pTAs
and 46 FAs with tubular morphology with the same size (<=5 mm) were studied.
RESULTS: The percentages of high-grade dysplasia in FAs and pTAs were 19.56% and
12.87%, respectively. The percentages of the high-grade dysplasia were 28.57%,
13.63%, and 20.00% in the DA, SEA, and CFA subgroups, respectively. FAs had a
significantly higher number of normal epithelium at the basal crypts of the
lesion than the pTAs (p=0.001). The presence of pericryptal mesenchymal cells was
higher in pTAs than the FAs (78.21% vs 10.86%) (p<0.001). CONCLUSION: Flat
adenoma represents a distinct type of colorectal adenoma with special
histopathological properties-existence of a normal epithelium at the basal
crypts, lack of pericryptal mesenchymal cells, and a high percentage of high
grade dysplasia-especially when it has a depressed shape at low magnification.
PMID- 24918127
TI - Serrated lesions of the appendix: do they differ from their colorectal
counterparts?
AB - BACKGROUND/AIMS: The aim of this study is, therefore, to classify appendiceal
serrated polyps in a large case series with respect to the recent World Health
Organization classification using diagnostic criteria provided for colorectal
serrated polyps. MATERIALS AND METHODS: A total of 960 appendix specimens
diagnosed between 2005 and 2010 were reviewed retrospectively, and cases
presenting with a polyp with serrated morphology were classified with reference
to the recent World Health Organization criteria. Histologic criteria comprised
architectural features of the crypts, including serration, branching, basal
dilatation, inverted T- or L-shaped crypts together with cytologic features
comprising a mucin pattern, dysplasia, in terms of pseudostratification and
nuclear atypia, mitoses in the upper crypts, and cytoplasmic eosinophilia.
RESULTS: A total of 71 cases (7.39%) were diagnosed as serrated polyps, including
36 (50.7%) hyperplastic polyps, 33 (46.48%) sessile serrated adenoma/polyps, and
2 (2.81%) traditional serrated adenomas. There were 32 males and 39 females with
an age range of 2 to 82 years. Histology revealed that the majority of both
hyperplastic polyps (63.9%) and sessile serrated adenomas/polyps (74.3%) involved
the entire appendiceal circumference. Basal dilatation (94.3%), basal serration
(94.3%), T-/L-shaped crypts (94.3%), and ectopic crypts (68.6%) were
significantly more commonly observed in sessile serrated adenomas/polyps compared
to hyperplastic polyps. Dysplasia was observed in 31.4% of sessile serrated
adenomas/polyps, while hyperplastic polyps did not show dysplasia. CONCLUSION:
The results of the present study suggest that appendiceal serrated polyps,
despite bearing many similarities with their colorectal counterparts, may have
some special features due to the anatomic uniqueness of the organ itself and also
the polyps arising from its mucosal lining.
PMID- 24918128
TI - Acute liver failure in Turkey: a systematic review.
AB - BACKGROUND/AIMS: To present the causes of acute liver failure in Turkey.
MATERIALS AND METHODS: [corrected] International and national medical research
databanks were searched for publications related to acute liver failure and
originating from Turkey. Patients in the databank of acute liver failure of our
center were also added to this literature search. Patients were evaluated for
age, gender, etiology, treatment modality, and outcomes. RESULTS: A total of 308
patients were analyzed. Hepatitis A (20.9%) for children and hepatitis B (34.7%)
for adults were the most common causes of acute liver failure. Cryptogenic (18%)
and metabolic (14%) reasons were the followings. Wilson's disease was the most
common cause of metabolic diseases. Mushroom intoxication was the most frequent
factor of toxic liver failure for both adults and children (13%). Firework
intoxication, including yellow phosphorus, is an indigenous factor. Anti
tuberculosis agents (3.2%) were the main cause of drug-induced acute liver
failures (9%). Paracetamol was responsible for only 0.7% of all acute liver
failures. Survival of the transplanted patients (n=118) was better than the non
transplanted patients (n=178) (65% vs. 36% respectively, p<0.001) CONCLUSION:
Preventable causes of acute liver failure in Turkey include hepatitis viruses and
intoxication. Active vaccination and public awareness can decrease the number of
acute liver failures. Paracetamol is not an emerging reason for acute liver
failure in Turkey now, but selling it over the counter may increase the risks.
PMID- 24918129
TI - Drug- and herb-induced liver injury: a case series from a single center.
AB - BACKGROUND/AIMS: Drug-induced liver injury (DILI) is common worldwide and has a
potentially fatal outcome. It accounts for more than half of the cases of acute
liver failure in the United States. Herb-induced liver injury (HILI) is a less
documented condition but a growing problem. We present here the clinical
characteristics and outcome of patients with drug- and herb-induced liver injury
from our center. MATERIALS AND METHODS: In this 4-year retrospective study, 82
patients in whom there was a causal or highly probable relationship between
herbal medicine or drug use and liver disease are presented. RESULTS: The mean
age of patients was 43.1+/-14.8 years; sexual distribution was 53 females and 29
males. The major cause of hepatotoxicity was drugs (87.8%), with herbal medicine
accounting for 12.2%. The leading causative agents were nonsteroidal anti
inflammatory drugs (NSAIDs) (23.1%), followed by antibiotics (19.5%). The pattern
of hepatotoxicity was hepatocellular in 35 patients (42.6%), mixed in 28 (34.1%),
and cholestatic in 19 patients (23.1%). Teucrium polium (known popularly as felty
germander), which is a traditionally used herbal medicine of the Labiatae family
in our region, was the most common cause of herb-induced liver injury and
responsible in 7 of 10 herbal hepatotoxic cases. Acute liver failure developed in
3 patients (two patients related with flurbiprofen and diclofenac and one patient
due to an isoniazid-rifampicin combination). CONCLUSION: Antibiotics and NSAIDs
were the most common etiologic agents for drug-induced liver injury.
Surprisingly, herbs follow these groups of drugs and must be questioned more
carefully.
PMID- 24918130
TI - A retrospective review of children with gallstone: single-center experience from
Central Anatolia.
AB - BACKGROUND/AIMS: To evaluate children with gallstone in respect to demographic
features, type of presentation, predisposing risk factors, laboratory features,
complications, and outcome. MATERIALS AND METHODS: Overall, 124 children with
sonographically diagnosed gallstone were stratified into group 1 (symptomatic)
and group 2 (asymptomatic). The data on demographic features, predisposing risk
factors, laboratory features, complications, and outcome were collected from
medical charts and compared by using convenient statistical methods. RESULTS:
There were 76 (61%) children in group 1. Females were significantly older than
males at the time of diagnosis (p=0.001). After adjusting for age and sex,
asymptomatic presentation was associated with hemolytic anemia (r=346, <0.001)
and being an oncologic patient (r=248, p=0.006). No risk factor was specifically
associated with having a symptomatic presentation. Sixteen children (12.9%)
developed complications: 14 (18.4%) in group 1 and 2 (4.2%) in group 2 (p=0.027).
Gallstone resolution was detected in 20 (29.4%) and 10 children (23.3%) in groups
1 and 2, respectively (p=0.477). Resolution was observed in 43.8% of children
with ceftriaxone-associated gallstone. The rate of resolution with
ursodeoxycholic acid (UDCA) was similar to that observed with expectant
management. Gallstone resolution was evident in 9 infants (50.0%) and was
significantly higher than children over 2 years of age (21 out of 106 children,
19.8%) (p=0.006). The most important factor associated with gallstone resolution
was to be an infant (<2 years of age) at the time of diagnosis (OR: 3.1; 95% CI:
1.1-8.8; p=0.034). CONCLUSION: Ceftriaxone-associated gallstones are most likely
to resolve but do not always undergo spontaneous resolution. UDCA treatment seems
to be ineffective. Young age is a favorable factor for gallstone resolution. The
rate of complications in children with asymptomatic presentation is considerably
low. Thus, clinical follow-up rather than surgical intervention is suggested in
children with asymptomatic presentation and in infants.
PMID- 24918131
TI - Increased frequency of gallbladder stone and related parameters in hemodialysis
patients.
AB - BACKGROUND/AIMS: The prevalence of gallbladder stone (GBS) is shown to be
increased in some studies in patients with chronic kidney disease (CKD).
Nevertheless, some other studies did not confirm these findings. The
controversial results about the prevalence of GBS in hemodialysis (HD) patients
demand new studies to search GBS prevalence and associating risk factors in HD
patients. In the present study, we aimed to investigate GBS prevalence and risk
factors in our HD patients. MATERIALS AND METHODS: A total of 104 HD and 149
control patients were involved. Complete physical examinations, including
measurements of dry body weight and height, were done. Abdominal ultrasonography
was conducted by the same experienced radiologist. Blood samples were drawn via
venipuncture from the study participants after they had fasted overnight just
before a midweek hemodialysis session for laboratory examinations. RESULTS: The
prevalence of GBS in HD patients was 34.6 % (36/104), and that was significantly
higher than that of control group 12.9% (17/149; p=0.0001). In all study
participants (HD patients and control group), patients with GBS were older than
patients with no GBS (63.2+/-14.2 vs 53.7+/-16.7; p=0.0001). However, in HD
patients, mean age was similar in patients with GBS and no GBS (64.3+/-13.8 vs
60.1+/-16.6; p>0.05). Patients with GBS had a higher prevalence of units of blood
transfusions than patients with no GBS in hemodialysis patients (2.0+/-2.9 vs
0.9+/-1.3; p=0.047) CONCLUSION: The present study showed an increased prevalence
of GBS in HD patients compared to healthy controls. The number of blood
transfusions and autonomic neuropathy may be responsible for the increased
prevalence of GBS in HD patients.
PMID- 24918132
TI - Multiple orifices are better than single in the endoscopic treatment of
pancreatic pseudocysts.
AB - BACKGROUND/AIMS: The aim of this study was to compare the surgical drainage of
large, symptomatic pancreatic cysts (>5 cm) with single- and multi-channel
endoscopic drainage. MATERIALS AND METHODS: In the period 2005-2010, we treated
112 patients with post-inflammatory pancreatic cysts. Thirty-six patients
underwent surgical internal drainage. The remaining group of patients was treated
endoscopically. In 28 of them, drainage was performed by anastomosing the cyst to
the gastrointestinal tract using a single pig tail drain and then widening the
channel to a diameter of 15 mm. Forty-eight patients underwent multi-channel
cystic drainage. It consisted of connecting the cyst to the stomach and/or
duodenum using at least 3 drains. Each connection was widened to a minimum
diameter of 15 mm to ensure free drainage of the morphotic elements of the cyst.
Each procedure was preceded by abdominal computed tomography to determine the
exact location of the cyst in relation to the gastrointestinal tract and a
Doppler ultrasound scan to determine the location of the blood vessels modeling
on its surface. RESULTS: In 48 patients with multi-channel drainage, there was no
obstruction of the anastomosis, and cysts closed within 4 months. The drains were
removed after about 3 months. The created channels were patent for about 3-4
weeks, which was enough to completely close the cyst. CONCLUSION: Multi-channel
endoscopic anastomosis of pancreatic cyst to the gastrointestinal tract is a very
effective method for drainage of large post-inflammatory pancreatic cysts,
comparable in terms of effectiveness with the surgical method but less invasive.
PMID- 24918133
TI - South Korean endoscopists' attitudes toward endoscopic ultrasound for the
evaluation of gastrointestinal diseases.
AB - BACKGROUND/AIMS: Despite the common use of endoscopic ultrasound (EUS) for
various gastrointestinal diseases in Asia, little is known about Asian
endoscopists' attitudes toward the practice. The aim of our study was to provide
a profile of Korean endoscopists' attitudes toward EUS use. MATERIALS AND
METHODS: Self-administered questionnaires were distributed to endoscopists who
attended the 4th EndoFest symposium of the Korean Society of Gastrointestinal
Endoscopy on March 24, 2012. We evaluated opinions on the need for EUS for
assessing gastrointestinal diseases and the perceived barriers to widespread EUS
use. RESULTS: Data from 214 (32.4%) responders were analyzed. Positive opinions
(agree plus strongly agree) were given on the need for EUS in the evaluation of
the following gastrointestinal diseases were: subepithelial tumor (94.9%), early
esophageal cancer (88.8%), early gastric cancer (86%), pancreatic cancer (84.1%),
early rectal cancer (83.6%), gallbladder polyp (73.4%), advanced esophageal
cancer (47.7%), colon cancer (32.2%), advanced gastric cancer (31.8%), and
advanced rectal cancer (28.5%). Significant differences were observed when they
were asked about the need for EUS with respect to early versus advanced cancers
of the esophagus, stomach, and rectum (all p<0.001). The most commonly cited
barrier to widespread use of EUS was lack of experienced endosonographers
(66.2%). CONCLUSION: This is the first study to assess Korean attitudes toward
using EUS for evaluating gastrointestinal diseases. Korean endoscopists highly
value the use of EUS in the evaluation of early esophageal, gastric, and rectal
cancers, as well as subepithelial lesions.
PMID- 24918134
TI - The attitude of Asian endoscopist toward endoscopic ultrasonography.
PMID- 24918135
TI - Analysis of cases with tuberculous peritonitis: a single-center experience.
AB - BACKGROUND/AIMS: Tuberculous peritonitis (TP) is a rare form of tuberculosis and
is caused by peritoneal involvement with Mycobacterium tuberculosis. A
distinctive correlation exists between socioeconomic state and disease
prevalence. We aimed to evaluate the clinical, laboratory, and radiological
findings of patients with TP. MATERIALS AND METHODS: We conducted a retrospective
study in patients with peritoneal tuberculosis from January 2004 to October 2008
at Yuzuncu Yil University Medical School Education and Research Hospital. During
this time, the data of 21 patients (17 females) with TP were reviewed. RESULTS:
Fever, abdominal pain, and anorexia were the most common symptoms. An analysis of
ascites showed lymphocyte predominance and low albumin gradient in all patients.
Patients with TP had a median ascites adenosine deaminase (ADA) level of 139 U/L
(range, 25 to 303U/L). Peritoneal involvement (wet peritonitis) was seen in all
the cases. Following 6-month administration of combined anti-TBC treatment, mean
serum CA-125 levels were within the normal range among patients who had
previously higher serum CA-125 level. Mortality rate in the total cases was 4.6%.
CONCLUSION: Peritoneal tuberculosis should be considered in the differential
diagnosis of exudative ascites in eastern Turkey. A high level of suspicion is
required, especially in high-risk populations living in rural areas. ADA seems to
be a sufficient, safe, and inexpensive method to perform the diagnosis of
peritoneal tuberculosis. Serum CA-125 levels may play a key role to support the
diagnosis as well as disease management of TP.
PMID- 24918136
TI - Understanding tuberculous peritonitis: a difficult task to overcome.
PMID- 24918137
TI - Comparison of WHO 2000 and WHO 2010 classifications of gastroenteropancreatic
neuroendocrine tumors.
AB - BACKGROUND/AIMS: Grading and staging are important in gastroenteropancreatic
neuroendocrine tumors for directing treatment. In this study, we evaluated the
histopathological parameters of gastroenteropancreatic neuroendocrine tumors and
statistically analyzed the correlations of these parameters between the World
Health Organization (WHO) 2000 and 2010 classifications. MATERIALS AND METHODS: A
total of 77 cases diagnosed as neuroendocrine tumors were included in the study.
Cases were classified according to the WHO 2000 and WHO 2010 classification
systems, and the differences and correlations between the two systems were
discussed. RESULTS: Among the 50 cases that were diagnosed as well-differentiated
neuroendocrine tumor according to WHO 2000, 45 were found to be Grade 1 and 5
were found to be Grade 2 according to the WHO 2010 classification. Among the 8
cases with well-differentiated neuroendocrine carcinoma according to WHO 2000; 5
and 3 were Grade 1 and Grade 2, respectively, according to the WHO 2010
classification. All of the 19 cases with poorly differentiated neuroendocrine
carcinoma according to WHO 2000 were found to be Grade 3 according to the WHO
2010 classification. No differences were found between the classifications in the
poorly differentiated group with a full correlation between the two
classifications. CONCLUSION: Although WHO 2000 seems to be a better
classification to predict prognosis, since it is based on various parameters,
such as depth of invasion, angiolymphatic invasion, and presence of metastasis,
it was concluded that there was no difference between the WHO 2000 and WHO 2010
classification, which is based on only the number of mitoses and Ki-67
proliferation index.
PMID- 24918138
TI - Spontaneous giant splenic hydatid cyst rupture causing fatal anaphylactic shock:
a case report and brief literature review.
AB - Hydatid disease is a parasitic infection characterized by cyst formation in any
organ, although the liver and lungs are most commonly involved. Hydatid disease
of the spleen is uncommon, representing <8% of all human hydatid diseases.
Splenic hydatid cysts usually coexist with liver hydatid cysts (secondary form),
although the spleen is the primary location (primary form) in some cases. The
clinical signs and symptoms of splenic hydatid cysts depend on their size,
relationship with adjacent organs, and complications. One of the complications of
splenic hydatid cysts is cyst rupture either after trauma or spontaneously as a
result of increased intracystic pressure. These cysts may rupture into a hollow
organ, through the diaphragm into the pleural cavity, or directly into the
peritoneal cavity. A splenic hydatid cyst that ruptures into the peritoneal
cavity may cause complications, including signs of peritoneal irritation,
urticaria, anaphylaxis, and death, as in our case. Therefore, a hydatid cyst
rupture requires both emergency surgery and careful postoperative care. In this
study, we present a case of a giant splenic hydatid cyst that ruptured into the
peritoneal cavity without any trauma. A review of cases reported in the English
literature about splenic hydatid cyst perforation is also discussed.
PMID- 24918139
TI - An unusual cause of duodenal obstruction: mesenteric fibromatosis in a patient
with type I Mayer-Rokitansky-Kuster-Hauser syndrome.
AB - Patients with mesenteric fibromatosis (MF) are clinically asymptomatic, with
little or no focal symptoms until later in their course, at which time they
complain of pain, abdominal discomfort, constipation, vomiting, abdominal mass,
weight loss, and symptoms due to organ compression. Generally, it occurs as an
abdominal mass but may also present in many different ways. In some cases,
trauma, previous abdominal surgery, and hormonal stimulation (such as estrogen)
may play a role in onset of this neoplasm. Patients with Mayer-Rokitansky-Kuster
Hauser syndrome present primary amenorrhea and may have some other anomalies,
including hearing defects, heart defects, skeletal deformities, and genital
neoplastic diseases. We diagnosed duodenal obstruction due to MF in a patient
with type I Mayer-Rokitansky-Kuster-Hauser syndrome.
PMID- 24918140
TI - A case of ulcerative colitis with digital arterial thrombosis.
AB - Thromboembolic events are rare in the course of ulcerative colitis and related
with the activity of the disease. These complications are especially seen in
young patients and cause high mortality and morbidity. Arterial thrombotic
complications are less frequent and are usually seen after a surgical procedure.
Here, we present a 36-year-old man with active ulcerative colitis presenting via
digital arterial thrombosis and digital necrosis that was not associated with a
surgical procedure.
PMID- 24918141
TI - A rare outcome of iron deficiency and pica: Rapunzel syndrome in a 5-year-old
child iron deficiency and pica.
AB - Bezoar is defined as the accumulation of organic or nonbiological substances
inside the gastrointestinal system. Trichobezoars are the most frequently
detected ones and are mostly present in patients with neuropsychiatric disorders.
The continuance of the trichobezoar tail-shaped extension over the duodenum and
jejunum is described in Rapunzel syndrome. Both conditions are rarely reported in
children. The present case submitted here is related to a 5-year-old girl
referred with an abdominal mass and anemia, diagnosed with Rapunzel syndrome and
developing trichobezoar due to iron deficiency and pica.
PMID- 24918142
TI - Endoscopic repair of duodenal perforation with over-the-scope clipping system and
endoclips: a case report.
AB - Herein, we present an endoscopic repair of iatrogenic duodenal perforation by
over-the-scope clipping system (OTSC) and endoclips in an 84-year-old woman that
occurred during linear endosonography (EUS) examination. One OTSC and 8 clips
were used for repairing the perforation hole. After 3 days in the intensive care
unit (ICU) by chest tube and without oral feeding, she was discharged from the
hospital at 6. admission day, and she also had an acute coronary attack during 4.
hospital day. OTSC and clipping devices are very useful for repair of iatrogenic
perforations, especially in older patients who have comorbid diseases and who can
not tolerate the surgery.
PMID- 24918143
TI - Metastasis of carcinoid tumor to the transplanted liver graft: a rare case
report.
AB - In this study, we present a rare case of gastrointestinal carcinoid tumor that
metastasized to a transplanted liver graft. A 14-year-old female patient
suffering from cryptogenic cirrhosis had undergone liver transplantation. The
liver was received from a deceased donor. She presented to our clinic with
complaints of abdominal pain, diarrhea, flushing, fatigue, and syncope four years
after transplantation. On multislice computed tomography, multiple masses ranging
from 1 cm to 4 cm in size were detected in both lobes of the transplanted liver.
Biopsy from both lobes revealed carcinoid tumor. The primary tumor could not be
localized, and a colonoscopy was scheduled. As the patient refused an invasive
investigation, octreotide therapy was begun. Her symptoms related to the
carcinoid tumor diminished following initiation of the octreotide therapy. During
the fifth year of octreotide therapy, a whole-body positron emission tomography
(PET CT) with 10 mCi F-18 fludeoxyglucose (FDG) showed an increase in the
metabolic activity with a SUVmax value of 8 at the localization site, consistent
with the ileocecal region. The findings were considered secondary, as the
carcinoid tumor originated from the appendix or distal ileum. Again, the patient
again refused endoscopic investigations and continued the follow-up visits. To
our knowledge, this is the only reported case in the scientific literature of a
carcinoid tumor that metastasized to a transplanted liver.
PMID- 24918144
TI - Isolated hepatic tuberculosis: a rare cause of hepatic mass lesions.
AB - Hepatic tuberculosis usually accompanies pulmonary and extrapulmonary
tuberculosis. Although isolated hepatic tuberculosis is a very rare condition, it
should be considered in the differential diagnosis of a hepatic mass. Here, we
report a 42-year-old woman presenting with weight loss, fever, night sweats, and
a hepatic mass on the abdominal ultrasonography and magnetic resonance imaging
(MRI). Ultrasonography-guided percutaneous needle biopsy demonstrated a caseating
granuloma with epithelioid histiocytes and giant cells compatible with the
diagnosis of tuberculosis. The patient was treated with four anti-tuberculous
drugs for 1 year. She recovered clinically, and her post-treatment abdominal MRI
was normal.
PMID- 24918145
TI - Chronic hepatitis in a patient with rigid spine myopathy: cause or just an
association?
PMID- 24918146
TI - A rare ileal tumor causing anemia and intussusception: inflammatory fibroid
polyp.
PMID- 24918147
TI - Diagnostic usefulness of technetium-99m-pertechnetate SPECT in a patient with
Meckel's diverticulum.
PMID- 24918148
TI - Crimean-Congo hemorrhagic fever presenting with gastrointestinal manifestations:
two cases.
PMID- 24918149
TI - Can "DNA-based stool tests" replace colonoscopy in screening for colon cancer?
PMID- 24918150
TI - Antiviral therapy is not enough to prevent hepatocellular carcinoma development
in patients with chronic hepatitis B.
PMID- 24918151
TI - Does long-term metformin usage reduce gastric cancer risk?
PMID- 24918152
TI - Gut microbiota modulation in cirrhosis: a new frontier in hepatology.
PMID- 24918153
TI - Markers of endothelial dysfunction and inflammation predict progression of
diabetic nephropathy in African Americans with type 1 diabetes.
AB - African Americans with early-onset type 1 diabetes mellitus are at a high risk
for severe diabetic nephropathy and end-stage renal disease. In order to
determine whether baseline plasma levels of inflammatory markers predict
incidence of overt proteinuria or renal failure in African Americans with type 1
diabetes mellitus, we re-examined data of 356 participants in our observational
follow-up study of 725 New Jersey African Americans with type 1 diabetes. At
baseline and 6-year follow-up, a detailed structured clinical interview was
conducted to document medical history including kidney dialysis or transplant,
other diabetic complications, and renal-specific mortality. Plasma levels of 28
inflammatory biomarkers were measured using a multiplex bead analysis system.
After adjusting for baseline age, glycohemoglobin, and other confounders, the
baseline plasma levels of soluble intercellular adhesion molecule-1 (sICAM-1) in
the upper two quartiles were, respectively, associated with a three- to fivefold
increase in the risk of progression from no albuminuria or microalbuminuria to
overt proteinuria. Baseline plasma levels of the chemokine eotaxin in the upper
quartile were significantly associated with a sevenfold increase in risk of
incident renal failure. These associations were independent of traditional risk
factors for progression of diabetic nephropathy. Thus, in type 1 diabetic African
Americans, sICAM-1 predicted progression to overt proteinuria and eotaxin
predicted progression to renal failure.
PMID- 24918155
TI - The role of bioimpedance and biomarkers in helping to aid clinical decision
making of volume assessments in dialysis patients.
AB - Bioimpedance analysis (BIA) derives two main pieces of information--total tissue
fluid content, which when referring to the whole patient is equivalent to the
total body water (TBW), and cell mass, which in the limbs mainly reflects muscle.
The relationship between these measures, expressed in different ways, is abnormal
in dialysis patients due to muscle wasting combined with tissue overhydration. In
both dialysis modalities this is associated with aging, comorbidity, and
inflammation, and there is a conflict between achieving euvolemia to improve
blood pressure control and prevent left ventricular hypertrophy on one hand, but
risking episodes of hypovolemia and loss of residual renal function on the other.
In peritoneal dialysis, the situation is exacerbated by hypoalbuminemia, whereas
in hemodialysis BIA is unable to distinguish between the plasma volume and tissue
edema components of interdialytic weight gain. In longitudinal studies BIA can
identify changes in hydration following a defined intervention, and spontaneous
loss in TBW consequent on muscle wasting not appreciated clinically, resulting in
a failure to sufficiently reduce the dry weight. Cardiac biomarkers provide
additional information but it is not clear whether this reflects fluid status or
underlying structural organ damage. Intervention studies are now needed that show
how this information is best used to improve patient outcomes, including
meaningful end points such as hospitalization and survival.
PMID- 24918154
TI - Albumin-induced podocyte injury and protection are associated with regulation of
COX-2.
AB - Albuminuria is both a hallmark and a risk factor for progressive glomerular
disease, and results in increased exposure of podocytes to serum albumin with its
associated factors. Here in vivo and in vitro models of serum albumin-overload
were used to test the hypothesis that albumin-induced proteinuria and podocyte
injury directly correlate with COX-2 induction. Albumin induced COX-2, MCP-1,
CXCL1, and the stress protein HSP25 in both rat glomeruli and cultured podocytes,
whereas B7-1 and HSP70i were also induced in podocytes. Podocyte exposure to
albumin induced both mRNA and protein and enhanced the mRNA stability of COX-2, a
key regulator of renal hemodynamics and inflammation, which renders podocytes
susceptible to injury. Podocyte exposure to albumin also stimulated several
kinases (p38 MAPK, MK2, JNK/SAPK, and ERK1/2), inhibitors of which (except
JNK/SAPK) downregulated albumin-induced COX-2. Inhibition of AMPK, PKC, and
NFkappaB also downregulated albumin-induced COX-2. Critically, albumin-induced
COX-2 was also inhibited by glucocorticoids and thiazolidinediones, both of which
directly protect podocytes against injury. Furthermore, specific albumin
associated fatty acids were identified as important contributors to COX-2
induction, podocyte injury, and proteinuria. Thus, COX-2 is associated with
podocyte injury during albuminuria, as well as with the known podocyte protection
imparted by glucocorticoids and thiazolidinediones. Moreover, COX-2 induction,
podocyte damage, and albuminuria appear mediated largely by serum albumin
associated fatty acids.
PMID- 24918156
TI - The relationship between estimated sodium and potassium excretion and subsequent
renal outcomes.
AB - Patients are often advised to reduce sodium and potassium intake, but supporting
evidence is limited. To help provide such evidence we estimated 24 h urinary
sodium and potassium excretion in 28,879 participants at high cardiovascular risk
who were followed for a mean of 4.5 years in the ONTARGET and TRANSCEND trials.
The primary outcome was eGFR decline of 30% or more or chronic dialysis.
Secondary outcomes were eGFR decline of 40% or more or chronic dialysis, doubling
of serum creatinine or chronic dialysis, an over 5%/year loss of eGFR,
progression of albuminuria, and hyperkalemia. Multinomial logit regression with
multivariable fractional polynomials, adjusted for confounders, determined the
association between urinary sodium and potassium excretion and renal outcomes,
with death as a competing risk. The primary outcome occurred in 2,052 (7.6%)
patients. There was no significant association between sodium and any renal
outcome (primary outcome odds ratio 0.99; 95% CI 0.89-1.09 for highest [median
6.2 g/day] vs. lowest third [median 3.3 g/day]). Higher potassium was associated
with lower odds of all renal outcomes (primary outcome odds ratio 0.74; 95% CI
0.67-0.82 for highest [median 2.7 g/day] vs. lowest third [median 1.7 g/day],
except hyperkalemia nonsignificant. Thus, urinary potassium, but not sodium,
excretion predicted clinically important renal outcomes. Our findings do not
support routine low sodium and potassium diets for prevention of renal outcomes
in people with vascular disease with or without chronic kidney disease.
PMID- 24918157
TI - TREM-1 regulates macrophage polarization in ureteral obstruction.
AB - Chronic kidney disease (CKD) is an emerging worldwide public health problem.
Inflammatory cell infiltration and activation during the early stages in injured
kidneys is a common pathologic feature of CKD. Here, we determined whether an
important inflammatory regulator, triggering receptor expressed on myeloid cells
(TREM)-1, is upregulated in renal tissues collected from mouse ureteral
obstruction-induced nephritis. TREM-1 is crucial for modulating macrophage
polarization, and has a pivotal role in mediating tubular injury and interstitial
collagen deposition in obstructive nephritis. Lysates from nephritic kidneys
triggered a TREM-1-dependent M1 polarization ex vivo, consistent with the
observation that granulocyte-macrophage colony-stimulating factor (GM-CSF)
derived M1 macrophages express higher levels of TREM-1 in comparison with M-CSF
derived cells. Moreover, agonistic TREM-1 cross-link significantly strengthens
the inductions of iNOS and GM-CSF in M1 cells. These observations are validated
by a strong clinical correlation between infiltrating TREM-1-expressing/iNOS
positive macrophages and renal injury in human obstructive nephropathy. Thus,
TREM-1 may be a potential diagnostic and therapeutic target in human kidney
disease.
PMID- 24918158
TI - Improved prognosis of diabetic nephropathy in type 1 diabetes.
AB - The natural history of diabetic nephropathy offered an average survival of only 5
7 years. During the past decades, multiple changes in therapy and lifestyle have
occurred. The prognosis of diabetic nephropathy after implementing stricter
control of blood pressure (including increased use of long-term renin-angiotensin
system inhibition), lipids, and glycemia, along with less smoking and other
lifestyle and treatment advancements, is inadequately analyzed. To clarify this,
we studied 497 patients with type 1 diabetes and diabetic nephropathy at the
Steno Diabetes Center and compared them with previous data, obtained using
identical criteria at our hospital. The glomerular filtration rate, measured
yearly by 51Cr-EDTA plasma clearance, was a mean of 71 ml/min per 1.73 m2 at
baseline. The mean glomerular filtration rate decline was significantly reduced
by 19% (95% confidence interval 5-34) from previously 4.0 to 3.3 ml/min per 1.73
m2/year. During a median follow-up of 9.1 years, 29% of participants doubled
their plasma creatinine or developed end-stage renal disease. Mortality risk was
similar to our prior study (hazard ratio 1.05 (0.76-1.43). However, after age
adjustment, as both diabetes and nephropathy onset occurred later in life,
mortality was reduced by 30%. Risk factors for decline in glomerular filtration
rate, death, and other renal end points were generally in agreement with prior
studies. Thus, with current treatment of nephropathy in type 1 diabetes, the
prognosis and loss of renal function has improved along with better control of
modifiable risk factors.
PMID- 24918159
TI - Treating chronic pain: the need for non-opioid options.
AB - Chronic pain is a prevalent problem that exacts a significant toll on society.
The medical system has responded to this issue by implementing pain management
services centered on opioid pharmacotherapy. However, for many chronic pain
patients, the analgesic efficacy of long-term opioids is limited. Moreover,
chronic exposure to opioids can result in opioid misuse, addiction, and risk of
overdose. As such, non-opioid treatment options are needed. This article first
provides a selective review of cognitive, affective, and psychophysiological
mechanisms implicated in chronic pain to be targeted by novel non-opioid
treatments. Next, it briefly details one such treatment approach, Mindfulness
Oriented Recovery Enhancement, and describes evidence suggesting that this
intervention can disrupt the risk chain linking chronic pain to prescription
opioid misuse.
PMID- 24918160
TI - A combined Mossbauer, magnetic circular dichroism, and density functional theory
approach for iron cross-coupling catalysis: electronic structure, in situ
formation, and reactivity of iron-mesityl-bisphosphines.
AB - While iron-bisphosphines have emerged as effective catalysts for C-C cross
coupling, the nature of the in situ formed iron species, elucidation of the
active catalysts and the mechanisms of catalysis have remained elusive. A
combination of (57)Fe Mossbauer and magnetic circular dichroism (MCD)
spectroscopies of well-defined and in situ formed mesityl-iron(II)-SciOPP species
combined with density functional theory (DFT) investigations provides the first
direct insight into electronic structure, bonding and in situ speciation of
mesityl-iron(II)-bisphosphines in the Kumada cross-coupling of MesMgBr and
primary alkyl halides using FeCl2(SciOPP). Combined with freeze-trapped solution
Mossbauer studies of reactions with primary alkyl halides, these studies
demonstrate that distorted square-planar FeMes2(SciOPP) is the active catalyst
for cross-coupling and provide insight into the molecular-level mechanism of
catalysis. These studies also define the effects of key reaction protocol
details, including the role of the slow Grignard addition method and the addition
of excess SciOPP ligand, in leading to high product yields and selectivities.
PMID- 24918161
TI - Corroboration of naringin effects on the intestinal absorption and
pharmacokinetic behavior of candesartan cilexetil solid dispersions using in-situ
rat models.
AB - OBJECTIVE: The aim of this study was to corroborate the effects of naringin, a P
glycoprotein inhibitor, on the intestinal absorption and pharmacokinetics of
candesartan (CDS) from candesartan cilexetil (CAN) solid dispersions using in
situ rat models. MATERIALS AND METHODS: Intestinal transport and absorption
studies were examined by in-situ single pass perfusion and closed-loop models. We
evaluated the intestinal membrane damage in the presence of naringin by measuring
the release of protein and alkaline phosphatase (ALP). RESULTS AND DISCUSSION: We
noticed 1.47-fold increase in Peff of CDS from freeze-dried CAN-loaded solid
dispersions with naringin (15 mg/kg, w/w) when compared with freeze-dried solid
dispersion without naringin using in-situ single pass intestinal perfusion model.
However, no intestinal membrane damage was observed in the presence of naringin.
Our findings from in-situ closed-loop pharmacokinetic studies showed 1.34-fold
increase in AUC with elevated Cmax and shortened tmax for freeze-dried solid
dispersion with naringin as compared to freeze-dried solid dispersion without
naringin. CONCLUSION: This study demonstrated that increased solubilization
(favored by freeze-dried solid dispersion) and efflux pump inhibition (using
naringin), the relative bioavailability of CDS can be increased, suggesting an
alternative potential for improving oral bioavailability of CAN.
PMID- 24918162
TI - Sofosbuvir (Sovaldi) for the treatment of hepatitis C.
AB - Hepatitis C (HCV) remains an important cause of chronic liver disease worldwide.
Historically, treatment included pegylated-interferon and ribavirin with low
efficacy and numerous side effects contributing to poor adherence and impairment
of patients' well-being. The next step in developing better treatment regimens
for HCV led to the development of the first-generation direct acting antivirals
(DAAs). Although these DAAs improved efficacy, they also added substantial side
effects. The next generation of DAAs include Simeprevir and Sofosbuvir (SOF)
which not only further enhanced the efficacy of the regimens but also improve
their safety profile. This review summarizes the current clinical experience with
SOF. SOF, an HCV-specific uridine nucleotide analog which inhibits the NS5B
polymerase, is now available in the USA, Canada and Europe. Clinical trials of
SOF-containing regimens have shown that these regimens are safe, efficacious, and
well-tolerated in all genotypes. Additionally, SOF is associated with improved
patient reported outcomes.
PMID- 24918165
TI - A novel rhodopsin point mutation, proline-170-histidine, associated with sectoral
retinitis pigmentosa.
AB - Identification and classification of all retinitis pigmentosa (RP) causing
mutations contribute to a better understanding of disease variants. In this
report we describe a New Zealand family, of European heritage, affected by a
sectoral type RP phenotype in association with a novel rhodopsin mutation
(proline-170-histidine) in a highly conserved site.
PMID- 24918166
TI - Indolo[2,3-b]carbazole synthesized from a double-intramolecular Buchwald-Hartwig
reaction: its application for a dianchor DSSC organic dye.
AB - A new synthetic strategy for indolo[2,3-b]carbazole via a double-intramolecular
Buchwald-Hartwig reaction has been established. The N-alkylated indolo[2,3
b]carbazole then was adopted as the geometry-fixed core for the synthesis of a
new molecule (ICZDTA) bearing two bithiophene pi-bridged 2-cyanoacrylic acid
groups as the bidentate anchor. The bidentate anchoring together with efficient
HOMO (indolo[2,3-b]carbazole) -> LUMO (TiO2 nanocluster) electron transfer leads
to the successful development of ICZDTA-based DSSC with a power conversion
efficiency of 6.02%.
PMID- 24918167
TI - The clinical pharmacogenetics implementation consortium guideline for SLCO1B1 and
simvastatin-induced myopathy: 2014 update.
AB - Simvastatin is among the most commonly used prescription medications for
cholesterol reduction. A single coding single-nucleotide polymorphism,
rs4149056T>C, in SLCO1B1 increases systemic exposure to simvastatin and the risk
of muscle toxicity. We summarize evidence from the literature supporting this
association and provide therapeutic recommendations for simvastatin based on
SLCO1B1 genotype. This article is an update to the 2012 Clinical Pharmacogenetics
Implementation Consortium guideline for SLCO1B1 and simvastatin-induced myopathy.
PMID- 24918168
TI - Cost-utility of chelators in transfusion-dependent beta-thalassemia major
patients: a review of the pharmacoeconomic literature.
AB - In the inherited hematologic disorder beta-thalassemia major, patients receive
regular, lifelong blood transfusions, which carry excess iron that the body is
unable to eliminate. Chelation therapy (deferoxamine, deferiprone, deferasirox or
deferoxamine-deferiprone combination) is required to reduce iron accumulation in
target organs and the associated morbidity and mortality. Each chelation regimen
has a distinct safety/efficacy profile and particular costs associated with its
use. This review aims to provide an overview of published cost-utility analyses
of currently used chelation regimens, and to comment on the potential relevance
of their findings in the USA market, where deferiprone has recently been
introduced.
PMID- 24918170
TI - Reactive stepping behaviour in response to forward loss of balance predicts
future falls in community-dwelling older adults.
AB - BACKGROUND: a fall occurs when an individual experiences a loss of balance from
which they are unable to recover. Assessment of balance recovery ability in older
adults may therefore help to identify individuals at risk of falls. The purpose
of this 12-month prospective study was to assess whether the ability to recover
from a forward loss of balance with a single step across a range of lean
magnitudes was predictive of falls. METHODS: two hundred and one community
dwelling older adults, aged 65-90 years, underwent baseline testing of sensori
motor function and balance recovery ability followed by 12-month prospective
falls evaluation. Balance recovery ability was defined by whether participants
required either single or multiple steps to recover from forward loss of balance
from three lean magnitudes, as well as the maximum lean magnitude participants
could recover from with a single step. RESULTS: forty-four (22%) participants
experienced one or more falls during the follow-up period. Maximal recoverable
lean magnitude and use of multiple steps to recover at the 15% body weight (BW)
and 25%BW lean magnitudes significantly predicted a future fall (odds ratios 1.08
1.26). The Physiological Profile Assessment, an established tool that assesses
variety of sensori-motor aspects of falls risk, was also predictive of falls
(Odds ratios 1.22 and 1.27, respectively), whereas age, sex, postural sway and
timed up and go were not predictive. CONCLUSION: reactive stepping behaviour in
response to forward loss of balance and physiological profile assessment are
independent predictors of a future fall in community-dwelling older adults.
Exercise interventions designed to improve reactive stepping behaviour may
protect against future falls.
PMID- 24918169
TI - Relationships between physical performance and knee and hip osteoarthritis:
findings from the European Project on Osteoarthritis (EPOSA).
AB - BACKGROUND: poor physical performance (PP) is known to be associated with
disability, lower quality of life and higher mortality rates. Knee and hip
osteoarthritis (OA) might be expected to contribute to poor PP, through joint
pain and restricted range of movement. Both clinical and self-reported OA are
often used for large-scale community and epidemiological studies. OBJECTIVE: to
examine the relationships between hip and knee OA and PP in a large data set
comprising cohorts from six European countries. METHODS: a total of 2,942 men and
women aged 65-85 years from the Germany, Italy, Netherlands, Spain, Sweden and
the UK were recruited. Assessment included an interview and clinical assessment
for OA. PP was determined from walking speed, chair rises and balance (range 0
12); low PP was defined as a score of <=9. RESULTS: the mean (SD) age was 74.2
(5.1) years. Rates of self-reported OA were much higher than clinical OA.
Advanced age, female gender, lower educational attainment, abstinence from
alcohol and higher body mass index were independently associated with low PP.
Clinical knee OA, hip OA or both were associated with a higher risk of low PP; OR
(95% CI) 2.93 (2.36, 3.64), 3.79 (2.49, 5.76) and 7.22 (3.63, 14.38),
respectively, with relationships robust to adjustment for the confounders above
as well as pain. CONCLUSION: lower limb OA at the hip and knee is associated with
low PP, and for clinical diagnosis relationships are robust to adjustment for
pain. Those at highest risk have clinical OA at both sites.
PMID- 24918171
TI - Plasticizing effect of ibuprofen induced an alteration of drug released from
Kollidon SR matrices produced by direct compression.
AB - The objectives of this study were to investigate the effect of storage
temperature on drug release from matrices containing 10, 40 and 70% w/w ibuprofen
in Kollidon(r) SR (KSR). The matrix tablets were produced by direct compression
and then kept at 30 and 45 degrees C for 3 months. Drug release from the matrix
tablets was examined after storage for 0, 1, 4 and 12 weeks. Scanning electron
microscope was used to reveal physical appearance of the tablet surface at the
respective time intervals. In addition, differential scanning calorimeter was
used to investigate glass transition temperature (Tg) of ibuprofen in KSR at 0
100% w/w based on the principle of Gordon-Taylor equation. At 45 degrees C, the
dissolution of ibuprofen in KSR as well as the coalescence of polymer particles
were observed to be higher than those of storage at 30 degrees C. The physical
state of ibuprofen dispersed in the polymeric matrix and degree of polymer
coalescence led to the variation of drug release. The coalescence of polymer
particles was a result of the polymer transition from glassy to rubbery state
according to water absorption of KSR and plasticizing effect of ibuprofen. The
reduction of the Tg of ibuprofen blended with KSR could be better described by
the Kwei equation, a modified version of Gordon-Taylor equation.
PMID- 24918172
TI - Formulation of cellulose film containing permeation enhancers for prolonged
delivery of propranolol hydrocloride.
AB - The aim of this study was to evaluate the capacity of cellulose films enriched
with oleic acid and polysorbate 80 to enhance the transdermal permeation of
propranolol hydrochloride. Polymeric films were prepared by casting and drying
aqueous solutions of hydroxypropylmethylcellulose or carboxymethylcellulose and
characterized in chemical-physical properties, such as drug content, thickness,
morphology and water uptake capacity. In vitro transport experiments were
performed in order to evaluate the permeation enhancing ability of oleic acid and
polysorbate 80. All carboxymethylcellulose films showed lower cumulative amounts
of drug permeated than hydroxypropylmethylcellulose. Moreover, films containing
both oleic acid and polysorbate 80 provided a greater permeation in comparison to
film without permeation enhancers or only with one of these. The results obtained
confirm that propranolol hydrochloride permeation can be easily modulated by
varying the cellulose and enhancer type used for film preparation.
PMID- 24918173
TI - Caco-2 cells permeability evaluation of nifuroxazide derivatives with potential
activity against methicillin-resistant Staphylococcus aureus (MRSA).
AB - Throughout the period of evaluation and selection in drug development, the
assessment of the permeability potential of a compound to achieve an efficient
refinement of the molecular structure has been widely appraised by the transport
of substances across cell monolayers. This study aims to develop in vitro assays
through Caco-2 cells in order to analyze the permeability of 5-nitro-heterocyclic
compounds analogues to nifuroxazide with antimicrobial activity, especially
showing promising activity against multidrug-resistant Staphylococcus aureus
(MRSA). Caco-2 cell monolayers cultivated for 21 days in Transwell(r) plates were
used for the in vitro permeability assays. The quantification of the nifuroxazide
derivatives in the basolateral chambers was performed by a validated high
performance liquid chromatography with UV (HPLC-UV) method. Apparent permeability
values (Papp) show that these compounds can be considered as new drug candidates
with the potential to present high absorption in vivo, according to the
classifications of Yee and Biganzoli. The thiophenic derivatives showed
permeability values higher than the furanic ones, being AminoTIO the compound
with the greatest potential for the development of a new drug against MRSA, since
it showed the best cytotoxicity, permeability and solubility ratio among all the
derivatives.
PMID- 24918174
TI - Consequences of emergency contraceptives: the adverse effects.
AB - INTRODUCTION: Emergency contraception (EC) offers women an important strategy to
prevent unintended pregnancy following intercourse. Despite the constant
improvement of availability of different molecules and techniques already
existing (Yuzpe regimen, levonorgestrel, intrauterine device) and the emergence
of ulipristal acetate, the numbers of unintended pregnancies and unplanned births
could still be reduced. AREAS COVERED: This review will evaluate all the
information about the potential adverse effects and tolerability of each method
of EC by putting them in balance with their safety and effectiveness. A
literature search until December 2013 was performed to identify all trials
studying the safety data available concerning EC. EXPERT OPINION: Different means
of EC have been demonstrated to be generally safe and well tolerated. These data
support women information in order to improve use and efficacy of EC.
PMID- 24918175
TI - [Special aspects of breast cancer surgery in the elderly].
AB - Due to the aging population of Western countries and the high-quality health care
system, breast cancer in the elderly generally affects women of good or
satisfactory performance status pursuing active lifestyle. Over the last decade,
it became evident that, in contrast to previous dogmas, age alone cannot be the
contraindication to standard oncological treatment, and adequate
multidisciplinary therapy aiming full recovery rather than compromise treatment
is required. A number of specific aspects needs to be taken into account
regarding surgery, such as life expectancy, co-morbidities, individual mobility,
mental and emotional status as well as family background, which may result in
changes to the individual treatment plan. Objective evaluation of the above
mentioned parameters necessitates a close co-operation of professions.
Interestingly, the evidence-based protocols of modern oncology often originate
from the generalizations of results from clinical trials representing younger
population, due to the typical under representation of elderly patients in
clinical studies. Clinical trials should be extended to elderly patients as well
or should specifically aim this patient population. The authors of the present
paper review the special oncological and reconstructive surgical aspects of
breast cancer in the elderly, such as breast conserving surgery versus
mastectomia, sentinel lymph node biopsy, axillary lymphadenectomy or the omission
of surgery in axillary staging, and questions regarding implant based and
autologous reconstructive techniques.
PMID- 24918176
TI - [Psychological and biological background of the correlation between psoriasis and
stress].
AB - Stress is considered as a major contributor to the development and exacerbation
of psoriasis by a significant proportion of patients and dermatologists. As both
stressor and its effects are subject-dependent, thus extremely difficult to
measure, our understanding of the exact role of stress in disease development was
limited for a long time. In the past decade several new studies were carried out
which expanded our knowledge on the pathophysiologic processes linking stress to
psoriasis via with their objective measurements and the applied new techniques.
The authors review the current literature of both psychological (alexithymia,
personality, affect) and biological (cortisol, epinephrine, neurogenic
inflammation) factors influencing stress perception and response in psoriasis.
Results of recent investigations support previous reports about the interaction
between stress and psoriasis with objective evidence. Knowing how effective
stress-reducing psychopharmacologic and psychotherapeutic interventions are in
the treatment of psoriasis the authors hope that this review contributes to a
wider acceptance of the psychosomatic attitude in everyday dermatologic practice.
PMID- 24918177
TI - [The effect of Kaqun-water on the immune parameters of healthy volunteers].
AB - INTRODUCTION: Kaqun-water contains a high amount of stable oxygen, which absorbed
through the skin and intestinal tract, increases tissue oxygenation. AIM: The aim
of the authors was to evaluate the effect of 21 days of Kaqun-water treatment on
the immune parameters of healthy volunteers. METHOD: Subpopulations of
lymphocytes were determined by immune phenotyping, and CD25 and CD71 activation
antigens were used to assess lymphocyte activation. Production of reactive oxygen
intermediates was measured to determine the killing capacity of neutrophil
granulocytes. Data was analysed with repeated measures ANOVA. RESULTS: The
reactive oxygen intermediate production of neutrophils increased significantly in
stimulated samples during three weeks of Kaqun-water treatment. The percent of
activated, CD25 positive T and helper T cells, and the ratio of NK cells
increased. CONCLUSIONS: The increase in oxygen concentration caused by Kaqun
water treatment affects several immune functions: the killing potential of
neurophil granulocytes is enhanced, the activation of lymphocytes shows an
increased activity of immune function, and the elevated ratio of NK cells may
help combat virally infected and tumorous cells.
PMID- 24918178
TI - [Birth weight of Roma neonates: effect of biomedical and socioeconomic factors in
Hungary].
AB - INTRODUCTION: The last Hungarian study on birth weight of Roma neonates published
in 1991 indicated -377 gram crude difference as compared to the general
population. Exploration of this complex problem requires more sophisticated,
multifactorial linear regression analysis. AIM: To compare Roma and non-Roma
maternal and neonatal populations using biomedical and socioeconomic variables
focusing on differences in the birth weight of the neonates. METHOD: Data
collection with self-identified ethnicity was performed between 2009 and 2012 in
five north and eastern counties of Hungary. The authors used the IBM-SPSS v.22
program for Chi-square and t-probe and linear regression analysis. RESULTS: In
the sample of Roma (n = 3103) and non-Roma (n = 8918) populations there was a
disadvantage in birth weight in Roma neonates by 294 gram in crude terms, but the
linear regression model reduced it to 92 gram by the ethnic variable.
CONCLUSIONS: Biological (genetic) impact on the weight difference cannot be
excluded, however, the multifactorial statistical analysis indicates the priority
of socioeconomic factors and behavioural patterns.
PMID- 24918179
TI - [Role of the infirmary at Sarospatak Calvinist College in the history of school
hygiene].
PMID- 24918180
TI - Accuracy of plantar electrodes compared with hand and foot electrodes in fat-free
mass measurement.
AB - This paper investigates the measurement of fat-free mass (FFM) by bioimpedance
using foot-to-foot impedancemeters (FFI) with plantar electrodes measuring the
foot-to-foot resistance R34 and hand-to-foot medical impedancemeters. FFM
measurements were compared with corresponding data using Dual X-ray
absorptiometry (DXA). Equations giving FFM were established using linear multiple
regression on DXA data in a first group of 170 subjects. For validation, these
equations were used on a second group of 86 subjects, and FFM were compared with
DXA data; no significant difference was observed. The same protocol was repeated,
but using electrodes on the right hand and foot in standing position to measure
the hand to-foot resistance R13. Mean differences with DXA were higher for R13
than for R34. Effect of electrode size and feet position on resistance was also
investigated. R34 decreased when electrode area increased or if feet were moved
forward. It decreased if feet were moved backward. A proper configuration of
contact electrodes can improve measurement accuracy and reproducibility of FFI.
PMID- 24918181
TI - Evaluation of upper limb sense of position in healthy individuals and patients
after stroke.
AB - The aims of this study were to develop and evaluate reliability of a quantitative
assessment tool for upper limb sense of position on the horizontal plane. We
evaluated 15 healthy individuals (controls) and 9 stroke patients. A robotic
device passively moved one arm of the blindfolded participant who had to actively
move his/her opposite hand to the mirror location in the workspace. Upper-limb's
position was evaluated by a digital camera. The position of the passive hand was
compared with the active hand's 'mirror' position. Performance metrics were then
computed to measure the mean absolute errors, error variability, spatial
contraction/expansion, and systematic shifts. No significant differences were
observed between dominant and non-dominant active arms of controls. All
performance parameters of the post-stroke group differed significantly from those
of controls. This tool can provide a quantitative measure of upper limb sense of
position, therefore allowing detection of changes due to rehabilitation.
PMID- 24918182
TI - Data quality and completeness in a web stroke registry as the basis for data and
process mining.
AB - Electronic health records often show missing values and errors jeopardizing their
effective exploitation. We illustrate the re-engineering process needed to
improve the data quality of a web-based, multicentric stroke registry by
proposing a knowledge-based data entry support able to help users to
homogeneously interpret data items, and to prevent and detect treacherous errors.
The re-engineering also improves stroke units coordination and networking,
through ancillary tools for monitoring patient enrollments, calculating stroke
care indicators, analyzing compliance with clinical practice guidelines, and
entering stroke units profiles. Finally we report on some statistics, such as
calculation of indicators for assessing the quality of stroke care, data mining
for knowledge discovery, and process mining for comparing different processes of
care delivery. The most important results of the re-engineering are an improved
user experience with data entry, and a definitely better data quality that
guarantees the reliability of data analyses.
PMID- 24918183
TI - Policy-based approach to emergency bio-data management for mobile healthcare.
AB - In m-healthcare service, accurate detection and notification of emergency
situation are critical to chronic patients' life. Since they are usually
performed by a limited number of medical staff, it is difficult to simultaneously
support many patients in real-time. This article presents an architecture to
support the emergency bio-data management for m-healthcare service using
personalized emergency policy. The salient feature of the proposed architecture
is that the decision on emergency is made using personalized emergency policy.
Specifically, the structure of the detailed system components has also been
designed. The emergency condition of the individual bio-data collected from
wireless body area network is detected automatically using personalized emergency
policy. The message flow diagram based on the personalized emergency policy is
described. This enables quick emergency rescue service provided to the patient
both accurately and immediately. The prototype of proposed system has been built
to demonstrate the design concept.
PMID- 24918184
TI - PM2: a partitioning-mining-measuring method for identifying progressive changes
in older adults' sleeping activity.
AB - As people age, their health typically declines, resulting in difficulty in
performing daily activities. Sleep-related problems are common issues with older
adults, including shifts in circadian rhythms. A detection method is proposed to
identify progressive changes in sleeping activity using a three-step process:
partitioning, mining, and measuring. Specifically, the original spatiotemporal
representation of each sleeping activity instance was first transformed into a
sequence of equal-sized segments, or symbols, via a partitioning process. A data
mining-based algorithm was proposed to find symbols that are not present in all
instances of a sleeping activity. Finally, a measuring process was responsible
for evaluating the changes in these symbols. Experimental evaluation conducted on
a group of datasets of older adults showed that the proposed method is able to
identify progressive changes in sleeping activity.
PMID- 24918185
TI - Reducing hospital ICU noise: a behavior-based approach.
AB - Noise in Intensive Care Units (ICUs) is gaining increasing attention as a
significant source of stress and fatigue for nursing staff. Extensive research
indicates that hospital noise also has negative impact on patients. The objective
of this study was to analyze noise variations as experienced by both nursing
staff and patients, to gain a better understanding of noise levels and
frequencies observed in ICU settings over extended (week-long) durations, and to
implement a low cost behavior modification program to reduce noise. The results
of our study indicate that behavioral modification alone is not adequate to
control excessive noise. There is a need for further research involving the
supportive involvement by clinicians, ICU staff, along with effective medical
device alarm management, and continuous process improvement methods.
PMID- 24918186
TI - Energy performance of medium-sized healthcare buildings in Victoria, Australia- a
case study.
AB - This paper investigates the energy performance of three medium-sized healthcare
buildings in Victoria, Australia, that operate only during the daytime. The aim
is to provide preliminary understanding of energy consumption in this particular
typology in Australia in relation to the available benchmarks. This paper also
identifies the differences of energy consumption between different functional
areas within medium health facilities. Building features and operational
characteristics contributing to the variations in healthcare energy performance
are discussed. The total annual energy consumption data ranging from 167-306
kWh/m(2) or 42-72 kWh/m(3) were compared against international data from various
climatic zones. Some of the drivers of energy consumption were determined and
potentials for energy and water conservation were identified. Comparison with
international standards shows a possibility to achieve lower energy consumption
in Victorian healthcare buildings.
PMID- 24918189
TI - Photoinduced electron transfer in a dynamic supramolecular system with curved pi
structures.
AB - Photoinduced electron-transfer processes in a carbonaceous supramolecular
combination of a tubular host and a C60 guest were investigated with time
resolved transient absorption spectra upon laser flash photolysis. Following the
formation of triplet charge-separated species via electron transfer from the host
to the guest, a rapid back electron transfer proceeded to afford triplet C60.
PMID- 24918187
TI - Pooled results from 5 validation studies of dietary self-report instruments using
recovery biomarkers for energy and protein intake.
AB - We pooled data from 5 large validation studies of dietary self-report instruments
that used recovery biomarkers as references to clarify the measurement properties
of food frequency questionnaires (FFQs) and 24-hour recalls. The studies were
conducted in widely differing US adult populations from 1999 to 2009. We report
on total energy, protein, and protein density intakes. Results were similar
across sexes, but there was heterogeneity across studies. Using a FFQ, the
average correlation coefficients for reported versus true intakes for energy,
protein, and protein density were 0.21, 0.29, and 0.41, respectively. Using a
single 24-hour recall, the coefficients were 0.26, 0.40, and 0.36, respectively,
for the same nutrients and rose to 0.31, 0.49, and 0.46 when three 24-hour
recalls were averaged. The average rate of under-reporting of energy intake was
28% with a FFQ and 15% with a single 24-hour recall, but the percentages were
lower for protein. Personal characteristics related to under-reporting were body
mass index, educational level, and age. Calibration equations for true intake
that included personal characteristics provided improved prediction. This project
establishes that FFQs have stronger correlations with truth for protein density
than for absolute protein intake, that the use of multiple 24-hour recalls
substantially increases the correlations when compared with a single 24-hour
recall, and that body mass index strongly predicts under-reporting of energy and
protein intakes.
PMID- 24918190
TI - Respiratory failure due to upper airway obstruction in children: use of the
helmet as bridge interface.
AB - Upper airway obstruction (UAO) can cause severe respiratory distress in young
children by increasing inspiratory muscle load and decreasing alveolar
ventilation, ultimately resulting in hypercapnia and hypoxemia which have long
term negative cardiovascular effects. Although non-invasive continuous positive
airway pressure (CPAP) improves gas exchange in these patients, use of
conventional interfaces (nasal mask, nasal pillow and facial mask) may cause
significant discomfort and lead to CPAP intolerance. We report five cases of
children affected by UAO who experienced CPAP intolerance via application of
conventional interfaces. Alternatively, we acutely applied helmet-CPAP which
resulted in improved breathing pattern and gas exchange. Thereafter, patients
received training with respect to a nasal CPAP interface, allowing successful
long term treatment. In conclusion, these five clinical cases demonstrate that
helmet-CPAP can be used acutely in children with UAO if compliance to
conventional modalities is problematic, allowing for sufficient time to achieve
compliance to nasal-CPAP.
PMID- 24918191
TI - Effect of analgesic modality on outcome following open liver surgery: a
systematic review of postoperative analgesia.
AB - Postoperative analgesia following liver resection remains controversial. The
traditional standard of care of thoracic epidural is increasingly questioned due
to perceived associated complications and delays to recovery. Evidence supporting
alternative analgesic techniques is emerging however best practice is not yet
established. This review aimed to evaluate the literature to assess the optimum
analgesic technique following liver resection. A systematic review was conducted
of trials evaluating analgesic methods in open liver surgery. Primary outcome was
the postoperative complication rate. Secondary outcomes were length of stay and
pain scores. Fourteen trials matching the inclusion criteria were analysed. No
difference was observed in systemic complication rates between analgesic
modalities. Epidural was associated with prolonged length of stay when compared
with continuous wound infiltration and intrathecal morphine. Epidural offered
equivalent or superior pain scores when compared to alternative techniques. In
summary current evidence suggests alternative analgesic modalities may provide
favorable recovery outcomes following liver surgery but consistent evidence is
limited. Epidurals provide superior pain relief to alternatives but this does not
translate into reduced length of stay or complication rate following liver
surgery.
PMID- 24918192
TI - Is there a role for generic antiretroviral drugs in the United States?
AB - The high cost of antiretroviral drugs has limited access to treatment for some
HIV-infected patients in the United States and strained public resources. With
the introduction of much cheaper generic versions of some of these agents, and
with more to come in the next few years, the need increases to define the role of
generic antiretroviral drugs in patient management.
PMID- 24918193
TI - Endovascular treatment of coarctation and related aneurysms.
AB - Today, surgical repair has almost doubled the 30-year survival rate in patients
with coarctation of the aorta (CoA), and 72% to 98% of patients now reach
adulthood. Possible late complications include malignant hypertension, left
ventricular dysfunction, aortic valve dysfunction, recurrent CoA, and aneurysm
formation with risk of rupture. Treating postoperative CoA-related aneurysms with
observation alone is associated with a mortality rate of 36%, compared with 9%
for surgical repair. Even in the best surgeons' hands, aortic surgery has
associated complications, and the complexity of reoperative surgery makes the
risks substantially greater. For patients with CoA-related aneurysm, endovascular
treatment constitutes a good alternative to reoperative surgery because it poses
a lower risk of morbidity and mortality. Implanting an endograft has been shown
to be successful in treating CoA and related aneurysms, producing excellent
intermediate outcomes and minimal morbidity and mortality. Despite evidence that
using covered stents improves outcomes, the superiority of any particular stent
type has yet to be established. With a variety of endografts available, the
decision of which stent to use depends on anatomy, availability, and operator
preference.
PMID- 24918194
TI - Clinical validation of 40-mmHg carotid stump pressure for patients undergoing
carotid endarterectomy under general anesthesia.
AB - BACKGROUND: The aim of this study was to validate a mean stump pressure (SP) of
40 mmHg as the cut off threshold for shunting during carotid endarterectomy
(CEA). METHODS: A prospective analysis of recently symptomatic carotid stenosis
patients undergoing fast-track CEA under general anesthesia. An arbitrary cut-off
threshold of 40 mmHg (mean) was defined as the indication for shunt insertion.
With an SP<40 mmHg systemic blood pressure was increased 10-20% using
sympathomimetic drugs. Patients with an SP>=40 mmHg CEA were operated without a
shunt. A neurological observation scheme was filled out preoperatively by the
anesthesiologist and postoperatively during the first 24 hours after surgery.
Endpoints for validation of SP were per new transient ischemic attack (TIA) or
stroke (ipsilateral or contralateral), hypoperfusion/hypoxia syndrome or death
before discharge from hospital. RESULTS: One hundred and twenty consecutive CEAs
were performed in recently symptomatic patients. A significant correlation
between SP and the contralateral stenosis degree of internal carotid artery (ICA)
was found in our study P=0.05. Sixteen patients (14%) had SP<40 mmHg after
clamping the carotid arteries. Raising blood pressure intra-operatively by 10-20%
reduced the incidence of shunt insertion to only three patients (80% reduction).
Of the 120 CEAs, only 2.5% (95% CI 1-6%) of patients required shunt. There was no
post-operative TIA or stroke in our study. Two patients (1.65%) suffered early
TIA from ipsilateral ICA after discharge from the vascular unit. CONCLUSIONS:
Using a mean SP of 40 mmHg as a threshold seems to be a safe, easy and cheap
method for selective shunt insertion in fast track CEA under general anesthesia
with a zero false-negative rate. Raising the systemic blood pressure by 10-20%
during cross clamping increased SP above the threshold value 40 mmHg, thus
avoiding shunt insertion in a number of patients.
PMID- 24918195
TI - Retrograde recanalization of complex SFA lesions indications and techniques.
AB - Femoro-popliteal segment is often characterized by diffuse and severe steno
obstructive atheroclerotic disease. Most recent guidelines recommended
endovascular techniques as a valid and safe treatment in highly symptomatic
patients (Rutherford class 4 to 6) with complex femoropopliteal lesions (TASC C
and D). Continuous technical development is increasing the efficacy of the
endovascular technique with the introduction of new dedicated devices. In most
complex situation also retrograde recanalization can be applied. The main
indication to this technique is when conventional antegrade recanalisation fails
or cannot be apply. The most common retrograde access is through the popliteal
artery. However many operators do not like this approach due to the technical
issue correlated to the patient position. Recently several reports have been
published keeping the patient supine improving patient comfort and operator
activity. This tehnique was also affected by several complications at the level
of the popliteal puncture site, but those were resolved with the improvement of
technique and operator skills. Hence several Authors described different variants
of such technique (subintimal techniques, patient in supine position, puncture of
the distal superficial femoral artery, tibial/pedal artery access) all obtaining
an high rate of success with a low complication rate. In conclusion retrograde
recanalization can be considered a safe and effective technique for complex
femoral lesions. It is also less expensive than recanalisation devices, less time
consuming and can increase the outcome due to the higher percentage of
intraluminal recanalization.
PMID- 24918196
TI - TEVAR for chronic aortic dissection - is covering the primary entry tear enough?
AB - Treatment-strategies for type B aortic dissection (TBAD) are rapidly developing
towards endovascular treatment strategies. While TEVAR for acute TBAD shows
favourable results, TEVAR in chronic TBAD following the same interventional
strategies as in acute TBAD by covering the proximal entry-tear alone has shown
unsatisfactory results with one third of the patients developing further false
lumen growth and mortality of 36% at 3 years. This review article describes
endovascular strategies and adjunctive techniques to prevent distal false-lumen
back-flow in patients with chronic TBAD, as covering the proximal entry tear has
proven insufficient.
PMID- 24918197
TI - A comparison of disproportionality analysis methods in national adverse drug
reaction databases of China.
AB - OBJECTIVE: Several disproportionality analysis methods are widely used for signal
detection. The goal of this study was to compare the concordance of the
performance characteristics of these methods in spontaneous reporting system of
China. METHODS: Algorithms including reporting odds ratio (ROR), proportional
reporting ratio (PRR) and information component (IC), a composite criterion
previously used by Medicines and Healthcare Products Regulatory Agency (MHRA)
were compared. Kappa coefficient was used as the gauge to test the concordance.
Reports received in the year 2004 and 2005 were extracted for analysis in this
study. RESULTS: After data processing, 361,872 reports representing 52,769
combinations were analysed. The analysis generated 24,022, 22,646, 5637 and 5302
signals of disproportionality by PRR, ROR, MHRA and IC, respectively. The kappa
coefficient increased with the threshold of number of drug-adverse drug reactions
(ADR) combination, and the coefficient exceeded 0.7 when the number of suspected
drug-ADR exceeded 2. CONCLUSION: This study shows that different measures used
are broadly comparable in spontaneous reporting system in China when two or more
cases per combination have been collected.
PMID- 24918198
TI - Leucine-rich repeat kinase 2 inhibitors: a review of recent patents (2011 -
2013).
AB - INTRODUCTION: Leucine-rich repeat kinase 2 (LRRK2) is a large (2527 residues)
complex multi-domain protein that has GTPase and kinase domains. Autosomal
dominant missense mutations in LRRK2 have been found in individuals with
Parkinson's disease (PD) and are considered responsible for 1% of all cases of
PD. Among the mutations confirmed to contribute to PD pathogenicity, G2019S is
the most common cause of PD and it increases the kinase activity of LRRK2 by
around threefold. LRRK2 has received considerable attention as a therapeutic
target for PD, and LRRK2 inhibitors may help prevent and/or treat the disease.
AREAS COVERED: LRRK2 inhibitors are being investigated by various industrial and
academic institutions. The present review covers patents literature on small
molecule LRRK2 inhibitors patented between 2011 and 2013. EXPERT OPINION:
Currently, wild-type and mutant LRRK2 are being examined as therapeutic targets
for PD. In testimony to the significance of these novel targets, over 20 patent
applications related to LRRK2 have been filed in the last 3 years. Several
distinct chemotypes have been reported to be LRRK2 inhibitors with very good
potency. These compounds are being used to elucidate the physiological and
pathophysiological functions of LRRK2, and some may even emerge as therapeutics
for PD.
PMID- 24918200
TI - Metabolomic strategies to map functions of metabolic pathways.
AB - Genome sequencing efforts have revealed a strikingly large number of unannotated
and uncharacterized genes that fall into metabolic enzymes classes, likely
indicating that our current knowledge of biochemical pathways in normal
physiology, let alone in disease states, remains largely incomplete. This
realization presents a daunting challenge for post-genomic-era scientists in
deciphering the biochemical and (patho)physiological roles of these enzymes and
their metabolites and metabolic networks. This is further complicated by many
recent studies showing a rewiring of normal metabolic networks in disease states
to give rise to unique pathophysiological functions of enzymes, metabolites, and
metabolic pathways. This review focuses on recent discoveries made using
metabolic mapping technologies to uncover novel pathways and metabolite-mediated
posttranslational modifications and epigenetic alterations and their impact on
physiology and disease.
PMID- 24918199
TI - Interleukin-1beta mediates macrophage-induced impairment of insulin signaling in
human primary adipocytes.
AB - Adipose tissue expansion during obesity is associated with increased macrophage
infiltration. Macrophage-derived factors significantly alter adipocyte function,
inducing inflammatory responses and decreasing insulin sensitivity.
Identification of the major factors that mediate detrimental effects of
macrophages on adipocytes may offer potential therapeutic targets. IL-1beta, a
proinflammatory cytokine, is suggested to be involved in the development of
insulin resistance. This study investigated the role of IL-1beta in macrophage
adipocyte cross-talk, which affects insulin signaling in human adipocytes. Using
macrophage-conditioned (MC) medium and human primary adipocytes, we examined the
effect of IL-1beta antagonism on the insulin signaling pathway. Gene expression
profile and protein abundance of insulin signaling molecules were determined, as
was the production of proinflammatory cytokine/chemokines. We also examined
whether IL-1beta mediates MC medium-induced alteration in adipocyte lipid
storage. MC medium and IL-1beta significantly reduced gene expression and protein
abundance of insulin signaling molecules, including insulin receptor substrate-1,
phosphoinositide 3-kinase p85alpha, and glucose transporter 4 and phosphorylation
of Akt. In contrast, the expression and release of the proinflammatory markers,
including IL-6, IL-8, monocyte chemotactic protein-1, and chemokine (C-C motif)
ligand 5 by adipocytes were markedly increased. These changes were significantly
reduced by blocking IL-1beta activity, its receptor binding, or its production by
macrophages. MC medium-inhibited expression of the adipogenic factors and
stimulated lipolysis was also blunted with IL-1beta neutralization. We conclude
that IL-1beta mediates, at least in part, the effect of macrophages on insulin
signaling and proinflammatory response in human adipocytes. Blocking IL-1beta
could be beneficial for preventing obesity-associated insulin resistance and
inflammation in human adipose tissue.
PMID- 24918201
TI - Tissue-type plasminogen activator deficiency delays bone repair: roles of
osteoblastic proliferation and vascular endothelial growth factor.
AB - Further development in research of bone regeneration is necessary to meet the
clinical demand for bone reconstruction. Recently, we reported that plasminogen
is crucial for bone repair through enhancement of vessel formation. However, the
details of the role of tissue-type plasminogen activator (tPA) and urokinase-type
plasminogen activator (uPA) in the bone repair process still remain unknown.
Herein, we examined the effects of plasminogen activators on bone repair after a
femoral bone defect using tPA-deficient (tPA(-/-)) and uPA-deficient (uPA(-/-))
mice. Bone repair of the femur was delayed in tPA(-/-) mice, unlike that in wild
type (tPA(+/+)) mice. Conversely, the bone repair was comparable between wild
type (uPA(+/+)) and uPA(-/-) mice. The number of proliferative osteoblasts was
decreased at the site of bone damage in tPA(-/-) mice. Moreover, the
proliferation of primary calvarial osteoblasts was reduced in tPA(-/-) mice.
Recombinant tPA facilitated the proliferation of mouse osteoblastic MC3T3-E1
cells. The proliferation enhanced by tPA was antagonized by the inhibition of
endogenous annexin 2 by siRNA and by the inhibition of extracellular signal
regulated kinase (ERK)1/2 phosphorylation in MC3T3-E1 cells. Vessel formation as
well as the levels of vascular endothelial growth factor (VEGF) and hypoxia
inducible factor-1alpha (HIF-1alpha) were decreased at the damaged site in tPA(-/
) mice. Our results provide novel evidence that tPA is crucial for bone repair
through the facilitation of osteoblast proliferation related to annexin 2 and
ERK1/2 as well as enhancement of vessel formation related to VEGF and HIF-1alpha
at the site of bone damage.
PMID- 24918203
TI - A footprint of past climate change on the diversity and population structure of
Miscanthus sinensis.
AB - BACKGROUND AND AIMS: Miscanthus is a perennial C4 grass that is a leading
potential feedstock crop for the emerging bioenergy industry in North America,
Europe and China. However, only a single, sterile genotype of M. * giganteus
(M*g), a nothospecies derived from diploid M. sinensis (Msi) and tetraploid M.
sacchariflorus (Msa), is currently available to farmers for biomass production.
To facilitate breeding of Miscanthus, this study characterized genetic diversity
and population structure of Msi in its native range of East Asia. METHODS: A
total of 767 accessions were studied, including 617 Msi from most of its native
range in China, Japan and South Korea, and 77 ornamental cultivars and 43
naturalized individuals from the USA. Accessions were evaluated with 21 207
restriction site-associated DNA sequencing single nucleotide polymorphism (SNP)
markers, 424 GoldenGate SNPs and ten plastid microsatellite markers. KEY RESULTS:
Six genetic clusters of Msi from geographically distinct regions in Asia were
identified. Genetic data indicated that (1) south-eastern China was the origin of
Msi populations found in temperate eastern Asia, which is consistent with this
area probably having been a refugium during the last glacial maximum (LGM); (2)
Msi migrated directly from south-eastern China to Japan before migrating to the
same latitudes in China and Korea, which is consistent with the known sequence of
warming post-LGM; (3) ornamental Msi cultivars were derived from the southern
Japan population, and US naturalized populations were derived from a sub-set of
the ornamental cultivars; and (4) many ornamental cultivars previously described
as Msi have hybrid ancestry from Msa and Msi, whereas US naturalized populations
of Msi do not. CONCLUSIONS: Population structure of Msi was driven by patterns of
warming since the LGM, and secondarily by geographical barriers. This study will
facilitate germplasm conservation, association analyses and identification of
potential heterotic groups for the improvement of Miscanthus as a bioenergy crop.
PMID- 24918202
TI - Metabolic remodeling of white adipose tissue in obesity.
AB - Adipose tissue metabolism is a critical regulator of adiposity and whole body
energy expenditure; however, metabolic changes that occur in white adipose tissue
(WAT) with obesity remain unclear. The purpose of this study was to understand
the metabolic and bioenergetic changes occurring in WAT with obesity. Wild-type
(C57BL/6J) mice fed a high-fat diet (HFD) showed significant increases in whole
body adiposity, had significantly lower V(O2), V(CO2), and respiratory exchange
ratios, and demonstrated worsened glucose and insulin tolerance compared with low
fat-fed mice. Metabolomic analysis of WAT showed marked changes in lipid, amino
acid, carbohydrate, nucleotide, and energy metabolism. Tissue levels of succinate
and malate were elevated, and metabolites that could enter the Krebs cycle via
anaplerosis were mostly diminished in high-fat-fed mice, suggesting altered
mitochondrial metabolism. Despite no change in basal oxygen consumption or
mitochondrial DNA abundance, citrate synthase activity was decreased by more than
50%, and responses to FCCP were increased in WAT from mice fed a high-fat diet.
Moreover, Pgc1a was downregulated and Cox7a1 upregulated after 6 wk of HFD. After
12 wk of high-fat diet, the abundance of several proteins in the mitochondrial
respiratory chain or matrix was diminished. These changes were accompanied by
increased Parkin and Pink1, decreased p62 and LC3-I, and ultrastructural changes
suggestive of autophagy and mitochondrial remodeling. These studies demonstrate
coordinated restructuring of metabolism and autophagy that could contribute to
the hypertrophy and whitening of adipose tissue in obesity.
PMID- 24918204
TI - Drought tolerance and plasticity in the invasive knapweed Centaurea stoebe s.l.
(Asteraceae): effect of populations stronger than those of cytotype and range.
AB - BACKGROUND AND AIMS: Spotted knapweed (Centaurea stoebe s.l., Asteraceae) is
native to Europe, where it occurs as a diploid (2xEU) and tetraploid cytotype
(4xEU), but so far only the tetraploid has been reported in the introduced range
in North America (4xNA). In previous studies, significant range shifts have been
found towards drier climates in 4xEU compared with 2xEU, and in 4xNA when
compared with the native range. In addition, 4x plants showed thicker leaves and
reduced specific leaf area compared with 2x plants, suggesting higher drought
tolerance in 4x plants. It is thus hypothesized that the 4x cytotype might be
better pre-adapted to drought than the 2x, and the 4xNA better adapted than the
4xEU due to post-introduction selection. METHODS: Plants of the three
geocytotypes (2xEU, 4xEU and 4xNA ), each represented by six populations, were
subjected to three water treatments over 6 weeks in a greenhouse experiment.
Plasticity and reaction norms of above- and below-ground biomasses and their
ratio, survival rate, stomatal conductance and carbon isotope discrimination were
analysed using linear and generalized linear mixed effect models. KEY RESULTS AND
CONCLUSIONS: Above-ground and total biomasses of European tetraploids were
slightly less affected by drought than those of European diploids, and 4xEU
plants maintained higher levels of stomatal conductance under moderate drought
than 4xNA plants, thus supporting the pre-adaptation but not the post
introduction evolution hypothesis. Plasticity indexes for most of the traits were
generally higher in 2xEU and 4xNA than in 4xEU plants, but these differences were
not or were only marginally significant. Interestingly, the effect of population
origin and its interaction with treatment was more important than the effects of
geocytotype and range. Population means for the control treatment showed several
significant associations either with latitude or some aspect of climatic data,
suggesting evolution of local adaptations, especially within the 2xEU and 4xEU
geocytotypes.
PMID- 24918205
TI - Modelling the mechanical behaviour of pit membranes in bordered pits with respect
to cavitation resistance in angiosperms.
AB - BACKGROUND AND AIMS: Various correlations have been identified between anatomical
features of bordered pits in angiosperm xylem and vulnerability to cavitation,
suggesting that the mechanical behaviour of the pits may play a role. Theoretical
modelling of the membrane behaviour has been undertaken, but it requires input of
parameters at the nanoscale level. However, to date, no experimental data have
indicated clearly that pit membranes experience strain at high levels during
cavitation events. METHODS: Transmission electron microscopy (TEM) was used in
order to quantify the pit micromorphology of four tree species that show
contrasting differences in vulnerability to cavitation, namely Sorbus aria,
Carpinus betulus, Fagus sylvatica and Populus tremula. This allowed anatomical
characters to be included in a mechanical model that was based on the Kirchhoff
Love thin plate theory. A mechanistic model was developed that included the
geometric features of the pits that could be measured, with the purpose of
evaluating the pit membrane strain that results from a pressure difference being
applied across the membrane. This approach allowed an assessment to be made of
the impact of the geometry of a pit on its mechanical behaviour, and provided an
estimate of the impact on air-seeding resistance. KEY RESULTS: The TEM
observations showed evidence of residual strains on the pit membranes, thus
demonstrating that this membrane may experience a large degree of strain during
cavitation. The mechanical modelling revealed the interspecific variability of
the strains experienced by the pit membrane, which varied according to the pit
geometry and the pressure experienced. The modelling output combined with the TEM
observations suggests that cavitation occurs after the pit membrane has been
deflected against the pit border. Interspecific variability of the strains
experienced was correlated with vulnerability to cavitation. Assuming that air
seeding occurs at a given pit membrane strain, the pressure predicted by the
model to achieve this mechanical state corresponds to experimental values of
cavitation sensitivity (P50). CONCLUSIONS: The results provide a functional
understanding of the importance of pit geometry and pit membrane structure in air
seeding, and thus in vulnerability to cavitation.
PMID- 24918206
TI - Aerial and soil seed banks enable populations of an annual species to cope with
an unpredictable dune ecosystem.
AB - BACKGROUND AND AIMS: Simultaneous formation of aerial and soil seed banks by a
species provides a mechanism for population maintenance in unpredictable
environments. Eolian activity greatly affects growth and regeneration of plants
in a sand dune system, but we know little about the difference in the
contributions of these two seed banks to population dynamics in sand dunes.
METHODS: Seed release, germination, seedling emergence and survival of a desert
annual, Agriophyllum squarrosum (Chenopodiaceae), inhabiting the Ordos Sandland
in China, were determined in order to explore the different functions of the
aerial and soil seed banks. KEY RESULTS: The size of the aerial seed bank was
higher than that of the soil seed bank throughout the growing season. Seed
release was positively related to wind velocity. Compared with the soil seed
bank, seed germination from the aerial seed bank was lower at low temperature
(5/15 degrees C night/day) but higher in the light. Seedling emergence from the
soil seed bank was earlier than that from the aerial seed bank. Early-emerged (15
April-15 May) seedlings died due to frost, but seedlings that emerged during the
following months survived to reproduce successfully. CONCLUSIONS: The timing of
seed release and different germination behaviour resulted in a temporal
heterogeneity of seedling emergence and establishment between the two seed banks.
The study suggests that a bet-hedging strategy for the two seed banks enables A.
squarrosum populations to cope successfully with the unpredictable desert
environment.
PMID- 24918207
TI - Influencing referral of adolescents and young adults with cancer to sites with
higher rates of trial enrollment.
AB - Adolescents and young adults (AYAs) have lower rates of clinical trial enrollment
than younger or older patients with cancer. Multiple approaches to change policy
and practice need to be used to improve this statistic. This article examines the
option of increasing referral to 3 types of centers that are known to have
relatively higher rates of enrollment of AYAs: pediatric cancer centers, AYA
oncology programs, and National Cancer Institute-designated cancer centers. There
are reasonable challenges to changing referral patterns, and more research, as
well as education of those diagnosing AYAs, is required.
PMID- 24918208
TI - Improving enrollment in clinical trials for adolescents with cancer.
AB - Overall cancer cure rates have risen over the last 30 years. Adolescent and young
adult (AYA) oncology patients aged 15 to 39 have not shared in these successes as
an age group, including those who fall into the younger age group of 15 to 19
years. The reasons for this deficit in survival improvement are manifold, but
research has shown that an important factor is decreased enrollment in
therapeutic clinical trials in this population versus younger patients. The
paucity of adolescents treated in clinical trials is itself the result of several
elements of the health care landscape in the United States. On the local level,
these factors include referral patterns and facilities available; on the national
level, related factors include the number of clinical trials available for this
age group and health care provider education in the care of these patients. We
examine the data available that have contributed to this deficit in the United
States and offer broad strategies to address these shortcomings with the goal of
improving outcomes in this underserved population.
PMID- 24918209
TI - Increasing the number of clinical trials available to adolescents diagnosed with
cancer.
AB - Improving access to clinical trials for adolescents with cancer remains an
important issue for pediatric health care providers. In this brief report, we
highlight barriers to increasing the number of clinical trials as a mechanism for
addressing this problem. The challenges discussed include: (1) engaging
stakeholders to increase funding; (2) increasing cooperation between clinical
trial cooperative groups; and (3) permitting delivery of novel drugs to
postpubertal adolescents, in the absence of formal pediatric Phase I evaluation.
PMID- 24918210
TI - Regulatory barriers to clinical trial enrollment of adolescent and young adult
oncology patients.
AB - Adolescent and young adult (AYA) patients with cancer may face unique challenges
if they and their families wish to participate in clinical oncology trials.
Regulatory guidelines and funding requirements put in place to protect patients
may actually raise barriers to enrollment in clinical trials. Hospital age
guidelines may need to be readdressed to better suit the needs of AYA patients.
Finally, the creation of the National Clinical Trials Network will provide new
opportunities for pediatric and medical oncologists to collaborate in the care of
AYA patients.
PMID- 24918211
TI - Psychosocial barriers and facilitators to clinical trial enrollment and adherence
for adolescents with cancer.
AB - Adolescents (aged 15-19 years) have not experienced the same survival gains as
children and older adults diagnosed with cancer. Poor clinical trial enrollment
and adherence rates among adolescents may account for some of this disparity.
Although biological, regulatory, systemic, and practice-related challenges to
clinical trial enrollment and adherence have been examined, studies of
psychosocial factors, which can serve as barriers or facilitators to enrollment
and adherence, are limited. To bring attention to these psychological factors, we
reviewed existing literature on psychosocial barriers and facilitators that can
affect an adolescent's decision to enroll and adhere to a clinical trial. We also
provide potential strategies to address psychosocial factors affecting clinical
trial accrual and adherence.
PMID- 24918212
TI - Clinical trial enrollment among adolescents with cancer: supplement overview.
AB - BACKGROUND: Survival rates for children with cancer have significantly increased
over the past 35 years. However, adolescents with cancer aged 15 to 19 years have
had less progress in survival prolongation compared with younger children, which
may be due to lower clinical trial enrollment among adolescents with cancer. To
help address this issue, the Centers for Disease Control and Prevention (CDC)
convened a series of webinars to identify salient issues and measures to address
this problem. This supplement is intended to raise awareness about the unique
challenges of clinical trial enrollment among adolescents with cancer. METHODS:
The CDC convened a workgroup of researchers and health care providers in the
field of adolescent and young adult oncology and cancer survivorship to examine
the barriers and challenges limiting the participation of adolescents in clinical
trials and to define ways to improve on these concerns. RESULTS: The workgroup
identified 3 distinct issues affecting clinical trial enrollment among
adolescents with cancer: (1) many adolescents with cancer are not referred to
institutions where clinical trials are offered, (2) there are limited numbers of
clinical trials for adolescents with cancer, and (3) psychosocial barriers impede
adolescents with cancer from enrolling in clinical trials. CONCLUSIONS:
Adolescents with cancer have the smallest proportion and least number of patients
enrolled in clinical trials in pediatric oncology. Successfully addressing this
challenge requires improving referral to existing clinical trials, addressing
regulatory barriers to clinical trial enrollment, increasing the number of
clinical trials for adolescents, and addressing unique psychosocial barriers to
clinical trial enrollment.
PMID- 24918213
TI - Treatment setting, clinical trial enrollment, and subsequent outcomes among
adolescents with cancer: a literature review.
AB - BACKGROUND: There has been an overall improvement in survival rates for persons
with cancer over the past 35 years. However, these gains are less prevalent among
adolescents with cancer aged 15 to 19 years, which may be due to lower clinical
trial enrollment among adolescents with cancer. METHODS: We conducted a
literature review to assess current research regarding clinical trial enrollment
and subsequent outcomes among adolescents with cancer. The search included
English-language publications that reported original data from January 1985 to
October 2011. RESULTS: The search identified 539 records. Of these 539 records,
there were 30 relevant original research articles. Multiple studies reported that
adolescents with cancer are enrolled in clinical trials at lower rates compared
with younger children and older adults. Treatment setting, physician type, and
institution type may all be factors in the low enrollment rate among adolescents.
Few data focused solely on adolescents, with many studies combining adolescents
with young adults. The number of available studies related to this topic was
limited, with significant variability in study design, methods, and outcomes.
CONCLUSIONS: This literature review suggests that adolescents with cancer are not
treated at optimal settings and are enrolled in clinical trials at low rates.
This may lead to inferior treatment and poor subsequent medical and psychosocial
outcomes. The scarcity in data further validates the need for additional research
focusing on this population.
PMID- 24918215
TI - Disparities in early exposure to book sharing within immigrant families.
AB - OBJECTIVE: This study examined the early developmental context of children in
immigrant families (CIF), measured by the frequency with which parents share
books with their children. METHODS: Trends in the frequency with which parents
report book sharing, defined in this analysis as reading or sharing picture books
with their young children, were analyzed across immigrant and nonimmigrant
households by using data from the 2005, 2007, and 2009 California Health
Interview Survey. Stepwise multivariate logistic regression assessed the
likelihood that CIF shared books with parents daily. RESULTS: In this study,
57.5% of parents in immigrant families reported daily book sharing (DBS),
compared with 75.8% of native-born parents. The lowest percentage of DBS was seen
in Hispanic families with 2 foreign-born parents (47.1%). When controlling for
independent variables, CIF with 2 foreign-born parents had the lowest odds of
sharing books daily (odds ratio [OR]: 0.61; 95% confidence interval [CI]: 0.54
0.68). When stratified by race/ethnicity, separate multivariate logistic
regressions revealed CIF status to be associated with lower odds of DBS for Asian
(OR: 0.56; 95% CI: 0.38-0.81) and Hispanic CIF (OR: 0.49; 95% CI: 0.42-0.58).
CONCLUSIONS: There is an association between the lower odds of DBS and parental
immigrant status, especially for Hispanic and Asian children. This relationship
holds after controlling for variables thought to explain differences in literacy
related practices, such as parental education and income. Because book sharing is
central to children's development of early literacy and language skills, this
disparity merits further exploration with the aim of informing future
interventions.
PMID- 24918214
TI - Understanding and addressing the lack of clinical trial enrollment among
adolescents with cancer.
AB - Despite overall improvement in survival, morbidity, and quality of life of US
patients with cancer, this progress is less prevalent in the population of
adolescent and young adult patients with cancer, including those between the ages
of 15 and 19 years. Evidence suggests that participation in clinical trials is
associated with better survival outcomes among children and adolescents with
cancer; however, adolescents have lower clinical trial participation rates
compared with younger age cohorts. To better understand the unique concerns among
adolescent patients with cancer, the Division of Cancer Prevention and Control at
the Centers for Disease Control and Prevention convened a workgroup of
researchers and health care providers in the field of adolescent and young adult
oncology and cancer survivorship to examine the barriers and challenges limiting
the participation of adolescents in clinical trials and to define ways to improve
upon these concerns. This article summarizes the activities of the workgroup and
their suggestions for enhanced accrual.
PMID- 24918216
TI - Elucidating challenges and opportunities in the transition to ICD-10-CM.
PMID- 24918217
TI - The transition to ICD-10-CM: challenges for pediatric practice.
AB - BACKGROUND AND OBJECTIVES: Diagnostic codes are used widely within health care
for billing, quality assessment, and to measure clinical outcomes. The US health
care system will transition to the International Classification of Diseases, 10th
Revision, Clinical Modification (ICD-10-CM), in October 2015. Little is known
about how this transition will affect pediatric practices. The objective of this
study was to examine how the transition to ICD-10-CM may result in ambiguity of
clinical information and financial disruption for pediatricians. METHODS: Using a
statewide data set from Illinois Medicaid specified for pediatricians, 2708
International Classification of Diseases, Ninth Revision, Clinical Modification,
diagnosis codes were identified. Diagnosis codes were categorized into 1 of 5
categories: identity, class-to-subclass, subclass-to-class, convoluted, and no
translation. The convoluted and high-cost diagnostic codes (n = 636) were
analyzed for accuracy and categorized into "information loss," "overlapping
categories," "inconsistent," and "consistent." Finally, reimbursement by Medicaid
was calculated for each category. RESULTS: Twenty-six percent of pediatric
diagnosis codes are convoluted, which represents 21% of Illinois Medicaid
pediatric patient encounters and 16% of reimbursement. The diagnosis codes
represented by information loss (3.6%), overlapping categories (3.2%), and
inconsistent (1.2%) represent 8% of Medicaid pediatric reimbursement.
CONCLUSIONS: The potential for financial disruption and administrative errors
from 8% of reimbursement diagnosis codes necessitates special attention to these
codes in preparing for the transition to ICD-10-CM for pediatric practices.
PMID- 24918218
TI - Reducing malnutrition: time to consider potential links between stunting and
mycotoxin exposure?
PMID- 24918219
TI - Electronic cigarettes: the new face of nicotine.
PMID- 24918220
TI - Assessment of musculoskeletal toxicity 5 years after therapy with levofloxacin.
AB - BACKGROUND: Safety concerns for fluoroquinolones exist from animal studies
demonstrating cartilage injury in weight-bearing joints, dependent on dose and
duration of therapy. For children treated with levofloxacin or comparator in
randomized, prospective, comparative studies for acute otitis media and community
acquired pneumonia, this 5-year follow-up safety study was designed to assess the
presence/absence of cartilage injury. METHODS: Children enrolled in treatment
studies were also enrolled in a 1-year follow-up safety study, which; focused on
musculoskeletal adverse events (MSAE). Those with persisting MSAEs, protocol
defined musculoskeletal disorders, or of concern to the Data Safety and
Monitoring Committee were requested to enroll in four additional years of follow
up, the subject of this report. RESULTS: Of the 2233 subjects participating in
the 12-month follow-up study, 124 of 1340 (9%) of the levofloxacin subjects, and
83 of 893 (9%) of the comparator subjects were continued for 5-year posttreatment
assessment. From children identified with an MSAE during years 2 through 5
posttreatment, the number that were "possibly related" to drug therapy was equal
for both arms: 1 of 1340 for levofloxacin and 1 of 893 for comparator. Of all
cases of MSAE assessed by the Data Safety and Monitoring Committee at 5 years'
posttreatment, no case was assessed as "likely related" to study drug.
CONCLUSIONS: With no clinically detectable difference between levofloxacin- and
comparator-treated children in MSAEs presenting between 1 and 5 years in these
safety studies, risks of cartilage injury with levofloxacin appear to be
uncommon, are clinically undetectable during 5 years, or are reversible.
PMID- 24918221
TI - Baby-MONITOR: a composite indicator of NICU quality.
AB - BACKGROUND AND OBJECTIVES: NICUs vary in the quality of care delivered to very
low birth weight (VLBW) infants. NICU performance on 1 measure of quality only
modestly predicts performance on others. Composite measurement of quality of care
delivery may provide a more comprehensive assessment of quality. The objective of
our study was to develop a robust composite indicator of quality of NICU care
provided to VLBW infants that accurately discriminates performance among NICUs.
METHODS: We developed a composite indicator, Baby-MONITOR, based on 9 measures of
quality chosen by a panel of experts. Measures were standardized, equally
weighted, and averaged. We used the California Perinatal Quality Care
Collaborative database to perform across-sectional analysis of care given to VLBW
infants between 2004 and 2010. Performance on the Baby-MONITOR is not an absolute
marker of quality but indicates overall performance relative to that of the other
NICUs. We used sensitivity analyses to assess the robustness of the composite
indicator, by varying assumptions and methods. RESULTS: Our sample included 9023
VLBW infants in 22 California regional NICUs. We found significant variations
within and between NICUs on measured components of the Baby-MONITOR. Risk
adjusted composite scores discriminated performance among this sample of NICUs.
Sensitivity analysis that included different approaches to normalization,
weighting, and aggregation of individual measures showed the Baby-MONITOR to be
robust (r = 0.89-0.99). CONCLUSIONS: The Baby-MONITOR may be a useful tool to
comprehensively assess the quality of care delivered by NICUs.
PMID- 24918222
TI - Comparison of rapid cranial MRI to CT for ventricular shunt malfunction.
AB - OBJECTIVES: To compare the accuracy of rapid cranial magnetic resonance imaging
(MRI) with that of computed tomography (CT) for diagnosing ventricular shunt
malfunction. METHODS: We performed a single-center, retrospective cohort study of
children <=21 years of age who underwent either rapid cranial MRI or cranial CT
in the emergency department (ED) for evaluation of possible ventricular shunt
malfunction. Each neuroimaging study was classified as "normal" (unchanged or
decreased ventricle size) or "abnormal" (increased ventricle size). We classified
a patient as having a ventricular shunt malfunction if operative revision for
relief of mechanical causes of altered shunt flow was needed within 72 hours of
initial ED evaluation. Our primary analysis tested noninferiority of the accuracy
of rapid cranial MRI to CT for diagnosing shunt malfunction (noninferiority
margin 10%). RESULTS: We included 698 ED visits for 286 unique patients, with a
median age at visit of 10.0 years (interquartile range 5.9-15.5 years). Patients
underwent CT in 336 (48%) or rapid cranial MRI in 362 (52%) of ED visits for
evaluation of possible shunt malfunction. Patients had operative revision for
ventricular shunt malfunction in 140 ED visits (20%). The accuracy of rapid
cranial MRI was not inferior to that of CT scan for diagnosing ventricular shunt
malfunction (81.8% MRI vs 82.4% CT; risk difference 2.0%; 95% confidence
interval, -4.2% to 8.2%). CONCLUSIONS: Rapid cranial MRI was not inferior to CT
for diagnosing ventricular shunt malfunction and offers the advantage of sparing
a child ionizing radiation exposure.
PMID- 24918223
TI - Adjustment among area youth after the Boston Marathon bombing and subsequent
manhunt.
AB - BACKGROUND: The majority of research on terrorism-exposed youth has examined
large-scale terrorism with mass casualties. Limited research has examined
children's reactions to terrorism of the scope of the Boston Marathon bombing.
Furthermore, the extraordinary postattack interagency manhunt and shelter-in
place warning made for a truly unprecedented experience in its own right for
families. Understanding the psychological adjustment of Boston-area youth in the
aftermath of these events is critical for informing clinical efforts. METHODS:
Survey of Boston-area parents/caretakers (N = 460) reporting on their child's
experiences during the attack week, as well as psychosocial functioning in the
first 6 attack months. RESULTS: There was heterogeneity across youth in attack-
and manhunt-related experiences and clinical outcomes. The proportion of youth
with likely attack/manhunt-related posttraumatic stress disorder (PTSD) was
roughly 6 times higher among Boston Marathon-attending youth than nonattending
youth. Attack and manhunt experiences each uniquely predicted 9% of PTSD symptom
variance, with manhunt exposures more robustly associated than attack-related
exposures with a range of psychosocial outcomes, including emotional symptoms,
conduct problems, hyperactivity/inattention, and peer problems. One-fifth of
youth watched >3 hours of televised coverage on the attack day, which was linked
to PTSD symptoms, conduct problems, and total difficulties. Prosocial behavior
and positive peer functioning buffered the impact of exposure. CONCLUSIONS:
Clinical efforts must maintain a broadened focus beyond simply youth present at
the blasts and must also include youth highly exposed to the intense interagency
pursuit and manhunt. Continued research is needed to understand the adjustment of
youth after mass traumas and large-scale manhunts in residential communities.
PMID- 24918224
TI - Exposure to electronic cigarette television advertisements among youth and young
adults.
AB - BACKGROUND AND OBJECTIVE: Currently, the US Food and Drug Administration does not
regulate electronic cigarette (e-cigarette) marketing unless it is advertised as
a smoking cessation aid. To date, the extent to which youth and young adults are
exposed to e-cigarette television advertisements is unknown. The objective of
this study was to analyze trends in youth and young adult exposure to e-cigarette
television advertisements in the United States. METHODS: Nielsen data on
television household audiences' exposure to e-cigarette advertising across US
markets were examined by calendar quarter, year, and sponsor. RESULTS: Youth
exposure to television e-cigarette advertisements, measured by target rating
points, increased 256% from 2011 to 2013. Young adult exposure increased 321%
over the same period. More than 76% of all youth e-cigarette advertising exposure
occurred on cable networks and was driven primarily by an advertising campaign
for 1 e-cigarette brand. CONCLUSIONS: E-cigarette companies currently advertise
their products to a broad audience that includes 24 million youth. The dramatic
increase in youth and young adult television exposure between 2011 and 2013 was
driven primarily by a large advertising campaign on national cable networks. In
the absence of evidence-based public health messaging, the current e-cigarette
television advertising may be promoting beliefs and behaviors that pose harm to
the public health. If current trends in e-cigarette television advertising
continue, awareness and use of e-cigarettes are likely to increase among youth
and young adults.
PMID- 24918225
TI - Eruptive xanthomas masquerading as molluscum contagiosum.
AB - Eruptive xanthomas are cutaneous manifestations of hyperlipidemias in which
lipids accumulate in large foam cells within the skin. They classically present
as crops of 1- to 4-mm yellow-orange papules and are often associated with
extreme hypertriglyceridemia. We describe a 12-year-old boy with autism who was
thought to have widespread molluscum contagiosum for a year before dermatologic
consultation was obtained. Recognition of eruptive xanthomas led to the discovery
of massive hypertriglyceridemia (serum triglycerides 6853 mg/dL) and diabetes
mellitus. Through medical intervention, including insulin and fenofibrate
therapy, and dietary modification with weight loss, the xanthomas cleared during
the subsequent months, and his serum triglyceride levels nearly normalized.
PMID- 24918227
TI - Was Sarah Murnaghan treated justly?
AB - Lung transplantation is a potentially life-saving procedure for patients with
irreversible lung failure. Five-year survival rates after lung transplantation
are >50% for children and young adults. But there are not enough lungs to save
everyone who could benefit. In 2005, the United Network for Organ Sharing
developed a scoring system to prioritize patients for transplantation. That
system considered transplant urgency as well as time on the waiting list and the
likelihood that the patient would benefit from the transplant. At the time, there
were so few pediatric lung transplants that the data that were used to develop
the Lung Allocation Score were inadequate to analyze and prioritize children, so
they were left out of the Lung Allocation Score system. In 2013, the family of a
10-year-old challenged this system, claiming that it was unjust to children. In
the article, we asked experts in health policy, bioethics, and transplantation to
discuss the issues in the Murnaghan case.
PMID- 24918226
TI - Parental injury and psychological health of children.
AB - OBJECTIVE: To determine how parental injury affects the psychological health and
functioning of injured as well as uninjured children. METHODS: We recruited 175
parent-child dyads treated at a regional trauma center in 4 groups: parent and
child both injured in the same event, child-only injured, parent-only injured,
and neither parent nor child met criteria for significant injury. The preinjury
health and functioning of parents and children were assessed with follow-up at 5
and 12 months. RESULTS: Parents who were injured themselves showed higher levels
of impairment in activities of daily living, quality of life, and depression at
both follow-up assessments than parents who were not injured. Children in dyads
with both parent and child injured had the highest proportion of posttraumatic
stress disorder (PTSD) symptoms at both 5 and 12 months. In addition, children
with an injured parent but who were not injured themselves were more likely to
report PTSD symptoms at 5 months. CONCLUSIONS: There were bidirectional effects
of parental and child injury on the outcomes of each other. Injuries to the
parent negatively affected the health-related quality of life of the injured
children, over and above the effect of the injury itself on the child. Of great
concern is the effect of parental injury on risk of stress and PTSD among
uninjured children in the home.
PMID- 24918233
TI - Iain Campbell - a personal recollection.
PMID- 24918234
TI - Role of nutraceuticals in dementia care.
AB - With an increase in the number of patients diagnosed with Alzheimer's disease, it
is not surprising that there is a consistent increase in use of nutraceuticals
and other over-the-counter medications to combat memory complaints and ideally
treat dementia. Throughout this review article, the authors highlight recent
literature updates on B vitamins, vitamin D, vitamin E, omega-3 fatty acids, and
gingko biloba. Similar to any other medication, it is imperative to evaluate the
risks and benefits in older patients, especially in light of comorbidities and
existing medications.
PMID- 24918235
TI - [Oncogenes, tumor suppressor genes, and aneuploidy: the sum of the nuances].
PMID- 24918236
TI - [The epigenetic mechanisms at work in pediatric gliomas].
PMID- 24918237
TI - Retraction statement: The spectrum of morphology in non-neoplastic prostate
including cancer mimics.
PMID- 24918238
TI - Home lighting before usual bedtime impacts circadian timing: a field study.
AB - Laboratory studies suggest that evening light before bedtime can suppress
melatonin. Here, we measured the range of evening light intensity people can
generate with their household lights, and for the first time determined if
varying home light before usual bedtime can shift circadian phase. This was a 3
week study with two counterbalanced conditions separated by a 5-day break. In a
dim week, eight healthy subjects minimized their home light exposure from 4 h
before habitual bedtime until a self-selected bedtime. In a bright week, the
subjects maximized their home lighting for the same time. The dim light melatonin
onset (DLMO) was assessed after each week. On average subjects maximized their
lights to approximately 65 lux and minimized their lights to approximately 3 lux.
Wrist actigraphy indicated that subjects went to bed slightly later when lights
were maximized (average 14 min later, P = 0.05), but wake time did not change.
Every subject had a later DLMO after the week of maximum versus minimum light
exposure (average 1:03 h later, P < 0.001). These results demonstrate that the
light intensity people can generate at home in the few hours before habitual
bedtime can alter circadian timing. People should reduce their evening light
exposure to lessen circadian misalignment.
PMID- 24918239
TI - Early diagnosis of colorectal cancer in rats with DMH induced carcinogenesis by
means of urine autofluorescence analysis.
AB - Cancer is one of the most highlighted topics of current research. Early detection
of this disease allows more effective therapy, hence higher chance of cure.
Application of fluorescence spectral techniques into oncological diagnostic is
one of the potential alternatives. Chemically induced carcinogenesis in rats is
widely used model for exploration of various aspects of colorectal cancer. This
study shows value of discriminate analysis of urine fluorescent fingerprint
between healthy control group of rats and those with dimethylhydrazine induced
early lesions of colorectal cancer. Using fluorescence spectroscopy, significant
difference (P < 0.05) between both of group was achieved.
PMID- 24918240
TI - Heartsick: psychiatric and inflammatory implications of cerebromicrovascular
disease.
AB - BACKGROUND: Cerebromicrovascular disease (CMVD) strikes 87% of the population
older than 65 years and is linked to an increased risk of ischemic stroke,
depression, cognitive impairment, and Alzheimer's disease. Despite the wealth of
knowledge on the consequences to the body stemming from poor vascular health,
little focus has been placed on the consequences to the brain. DESIGN: In this
review, we present the preclinical and clinical evidence that supports the role
of CMVD in behavioral dysfunction, argues for a clinical need for better
recognition of the vascular depression phenotype, and calls for a more
integrative translational approach to CMVD. RESULTS AND CONCLUSIONS: Although the
concept of cerebrovascular-induced behavioral change has existed for over 100
years, the difficulty of diagnosis, the slow progression of CMVD, and the lack of
causative data have led to an underestimation of the patient population and poor
treatment strategies. Preclinical studies have focused on the use of microsphere
embolic models and vascular inflammation models to assess the mechanisms of, and
treatment options for, CMVD. Though preclinical models provide support for
correlative data collected in the clinic, translational reciprocity has not been
established. The lack of clinical appreciation for the role of cerebrovascular
health in brain function may result in missed diagnoses and inadequate treatment
of underlying cardiovascular disease. Enhanced recognition of symptoms and
disease presentation will allow for earlier prevention, detection, and
identification of novel targets for drug development and other intervention
strategies.
PMID- 24918241
TI - Cumulative sojourn time in longitudinal studies: a sequential imputation method
to handle missing health state data due to dropout.
AB - Missing data are ubiquitous in longitudinal studies. In this paper, we propose an
imputation procedure to handle dropouts in longitudinal studies. By taking
advantage of the monotone missing pattern resulting from dropouts, our imputation
procedure can be carried out sequentially, which substantially reduces the
computation complexity. In addition, at each step of the sequential imputation,
we set up a model selection mechanism that chooses between a parametric model and
a nonparametric model to impute eachmissing observation. Unlike usual model
selection procedures that aim at finding a single model fitting the entire data
set well, our model selection procedure is customized to find a suitable model
for the prediction of each missing observation.
PMID- 24918242
TI - Functional analysis of glaucoma data.
AB - We refer glaucoma to a category of eye disorders often associated with a
dangerous buildup of intraocular pressure (IOP), which can damage the eyes' optic
nerve that transmits visual information to the brain. Because IOP changes over
time, it is a function of time, and it is an advantage that we analyze the
phenomenon using functional data analysis. In this paper, we treat the data
related to the IOP of 35 patients with right eye glaucoma, collected in Rasul-e
Akram Hospital at Tehran, Iran, over the years 2007-2011. We shall explore the
structure of the data in search of the features that describe them, and find the
characteristics that give a comprehensible presentation of the structure of the
variability in the data.We extract patterns of variation in the data by using a
generalization of the smoothed functional principal component analysis to obtain
the main factors causing glaucoma and then determine their importance. We also
explore the correlation patterns between the IOP of right and left eyes, and then
model the left eye IOP of the glaucoma patients at each time on the basis of
their right eye IOP in a previous interval of time.We can use the model to
predict the values of the former variable by using the latter one in a previous
time interval.
PMID- 24918244
TI - [Acute obstructive crisis ? Non-invasive ventilation ? Weaning long-term
ventilation ].
PMID- 24918243
TI - [AAD 2014 -- every patient is unique and requires individual treatment].
PMID- 24918245
TI - Multiple imputation for high-dimensional mixed incomplete continuous and binary
data.
AB - It is common in applied research to have large numbers of variables measured on a
modest number of cases. Even with low rates of missingness of individual
variables, such data sets can have a large number of incomplete cases with a mix
of data types. Here, we propose a new joint modeling approach to address the high
dimensional incomplete data with a mix of continuous and binary data.
Specifically, we propose a multivariate normal model encompassing both continuous
variables and latent variables corresponding to binary variables. We apply a
parameter-extended Metropolis-Hastings algorithm to generate the covariance
matrix of a mixture of continuous and binary variables. We also introduce prior
distribution families for unstructured covariance matrices to reduce the
dimension of the parameter space. In several simulation settings, the method is
compared with available-case analysis, a rounding method, and a sequential
regression method.
PMID- 24918246
TI - An approach for modelling multiple correlated outcomes in a network of
interventions using odds ratios.
AB - A multivariate meta-analysis of two or more correlated outcomes is expected to
improve precision compared with a series of independent, univariate meta-analyses
especially when there are studies reporting some but not all outcomes.
Multivariate meta-analysis requires estimates of the within-study correlations,
which are seldom available. Existing methods for analysing multiple outcomes
simultaneously are limited to pairwise treatment comparisons. We propose a model
for a joint, simultaneous synthesis of multiple dichotomous outcomes in a network
of interventions and introduce a simple way to elicit expert opinion for the
within-study correlations by utilizing a set of conditional probability
parameters. We implement our multiple-outcomes network meta-analysis model within
a Bayesian framework, which allows incorporation of expert information. As an
example, we analyse two correlated dichotomous outcomes, response to the
treatment and dropout rate, in a network of pharmacological interventions for
acute mania. The produced estimates have narrower confidence intervals compared
with the simple network meta-analysis. We conclude that the proposed model and
the suggested prior elicitation method for correlations constitute a useful
framework for performing network meta-analysis for multiple outcomes.
PMID- 24918247
TI - Reply to De Coster et al.: Exploring the complexity of ecosystem-human health
relationships.
PMID- 24918249
TI - Report and session summary from the 18th World Congress on Disaster and Emergency
Medicine.
PMID- 24918248
TI - Reply to Deighton et al.: Neuronal activity regulates distinct antioxidant
pathways in neurons and astrocytes.
PMID- 24918250
TI - Biomechanical fatigue analysis of an advanced new carbon fiber/flax/epoxy plate
for bone fracture repair using conventional fatigue tests and thermography.
AB - The current study is part of an ongoing research program to develop an advanced
new carbon fiber/flax/epoxy (CF/flax/epoxy) hybrid composite with a "sandwich
structure" as a substitute for metallic materials for orthopedic long bone
fracture plate applications. The purpose of this study was to assess the fatigue
properties of this composite, since cyclic loading is one of the main types of
loads carried by a femur fracture plate during normal daily activities.
Conventional fatigue testing, thermographic analysis, and scanning electron
microscopy (SEM) were used to analyze the damage progress that occurred during
fatigue loading. Fatigue strength obtained using thermography analysis (51% of
ultimate tensile strength) was confirmed using the conventional fatigue test (50
55% of ultimate tensile strength). The dynamic modulus (E*) was found to stay
almost constant at 47 GPa versus the number of cycles, which can be related to
the contribution of both flax/epoxy and CF/epoxy laminae to the stiffness of the
composite. SEM images showed solid bonding at the CF/epoxy and flax/epoxy
laminae, with a crack density of only 0.48% for the plate loaded for 2 million
cycles. The current composite plate showed much higher fatigue strength than the
main loads experienced by a typical patient during cyclic activities; thus, it
may be a potential candidate for bone fracture plate applications. Moreover, the
fatigue strength from thermographic analysis was the same as that obtained by the
conventional fatigue tests, thus demonstrating its potential use as an alternate
tool to rapidly evaluate fatigue strength of composite biomaterials.
PMID- 24918251
TI - Tribute to Professor Gilbert Huault (1932-2013).
PMID- 24918252
TI - Reversible symmetric nonexpansive convolution: an effective image boundary
processing for M-channel lifting-based linear-phase filter banks.
AB - We present an effective image boundary processing for M-channel (M ? IN, M >= 2)
lifting-based linear-phase filter banks that are applied to unified lossy and
lossless image compression (coding), i.e., lossy-to-lossless image coding. The
reversible symmetric extension we propose is achieved by manipulating building
blocks on the image boundary and reawakening the symmetry of each building block
that has been lost due to rounding error on each lifting step. In addition,
complexity is reduced by extending nonexpansive convolution, called reversible
symmetric nonexpansive convolution, because the number of input signals does not
even temporarily increase. Our method not only achieves reversible boundary
processing, but also is comparable with irreversible symmetric extension in lossy
image coding and outperformed periodic extension in lossy-to-lossless image
coding.
PMID- 24918253
TI - The mechanisms leading to emergence and spread of zoonotic diseases. Preface.
PMID- 24918254
TI - Global health security.
PMID- 24918255
TI - Do your staff feel safe to speak out?
PMID- 24918256
TI - Rekindle your curiosity.
PMID- 24918257
TI - Patient collections: cleaning up the mess. As payment moves to point of service,
inefficiency abounds.
PMID- 24918259
TI - Simple, sensitive, and quantitative electrochemical detection method for paper
analytical devices.
AB - We report a new type of paper analytical device that provides quantitative
electrochemical output and detects concentrations as low as 767 fM. The model
analyte is labeled with silver nanoparticles (AgNPs), which provide 250,000-fold
amplification. AgNPs eliminate the need for enzymatic amplification, thereby
improving device stability and response time. The use of magnetic beads to
preconcentrate the AgNPs at the detection electrode further improves sensitivity.
Response time is improved by incorporation of a hollow channel, which increases
the flow rate in the device by a factor of 7 and facilitates the use of magnetic
beads. A key reaction necessary for label detection is made possible by the
presence of a slip layer, a fluidic switch that can be actuated by manually
slipping a piece of paper. The design of the device is versatile and should be
useful for detection of proteins, nucleic acids, and microbes.
PMID- 24918258
TI - Molecular recognition of methyl alpha-D-mannopyranoside by antifreeze
(glyco)proteins.
AB - Antifreeze proteins and glycoproteins [AF(G)Ps] have been well-known for more
than three decades for their ability to inhibit the growth and recrystallization
of ice through binding to specific ice crystal faces, and they show remarkable
structural compatibility with specific ice crystal faces. Here, we show that the
crystal growth faces of methyl alpha-D-mannopyranoside (MDM), a representative
pyranose sugar, also show noteworthy structural compatibility with the known
periodicities of AF(G)Ps. We selected fish AFGPs (AFGP8, AFGP1-5), and a beetle
AFP (DAFP1) with increasing antifreeze activity as potential additives for
controlling MDM crystal growth. Similar to their effects on ice growth, the
AF(G)Ps can inhibit MDM crystal growth and recrystallization, and more
significantly, the effectiveness for the AF(G)Ps are well correlated with their
antifreeze activity. MDM crystals grown in the presence of AF(G)Ps are smaller
and have better defined shapes and are of higher quality as indicated by single
crystal X-ray diffraction and polarized microscopy than control crystals, but no
new polymorphs of MDM were identified by single crystal X-ray diffraction, solid
state NMR, and attenuated total reflectance infrared spectroscopy. The observed
changes in the average sizes of the MDM crystals can be related to the changes in
the number of the MDM nuclei in the presence of the AF(G)Ps. The critical free
energy change differences of the MDM nucleation in the absence and presence of
the additives were calculated. These values are close to those of the ice
nucleation in the presence of AF(G)Ps suggesting similar interactions are
involved in the molecular recognition of MDM by the AF(G)Ps. To our knowledge
this is the first report where AF(G)Ps have been used to control crystal growth
of carbohydrates and on AFGPs controlling non-ice-like crystals. Our finding
suggests MDM might be a possible alternative to ice for studying the detailed
mechanism of AF(G)P-crystal interactions. The relationships between AF(G)Ps and
carbohydrate binding proteins are also discussed. The structural compatibility
between AF(G)Ps and growing crystal faces demonstrated herein adds to the
repertoire of molecular recognition by AF(G)Ps, which may have potential
applications in the sugar, food, pharmaceutical, and materials industries.
PMID- 24918260
TI - Selective deposition of Ru nanoparticles on TiSi2 nanonet and its utilization for
Li2O2 formation and decomposition.
AB - The Li-O2 battery promises high capacity to meet the need for electrochemical
energy storage applications. Successful development of the technology hinges on
the availability of stable cathodes. The reactivity exhibited by a carbon support
compromises the cyclability of Li-O2 operation. A noncarbon cathode support has
therefore become a necessity. Using a TiSi2 nanonet as a high surface area,
conductive support, we obtained a new noncarbon cathode material that corrects
the deficiency. To enable oxygen reduction and evolution, Ru nanoparticles were
deposited by atomic layer deposition onto TiSi2 nanonets. A surprising site
selective growth whereupon Ru nanoparticles only deposit onto the b planes of
TiSi2 was observed. DFT calculations show that the selectivity is a result of
different interface energetics. The resulting heteronanostructure proves to be a
highly effective cathode material. It enables Li-O2 test cells that can be
recharged more than 100 cycles with average round-trip efficiencies >70%.
PMID- 24918261
TI - Cinnamic anilides as new mitochondrial permeability transition pore inhibitors
endowed with ischemia-reperfusion injury protective effect in vivo.
AB - In this account, we report the development of a series of substituted cinnamic
anilides that represents a novel class of mitochondrial permeability transition
pore (mPTP) inhibitors. Initial class expansion led to the establishment of the
basic structural requirements for activity and to the identification of
derivatives with inhibitory potency higher than that of the standard inhibitor
cyclosporine-A (CsA). These compounds can inhibit mPTP opening in response to
several stimuli including calcium overload, oxidative stress, and thiol cross
linkers. The activity of the cinnamic anilide mPTP inhibitors turned out to be
additive with that of CsA, suggesting for these inhibitors a molecular target
different from cyclophylin-D. In vitro and in vivo data are presented for (E)-3
(4-fluoro-3-hydroxy-phenyl)-N-naphthalen-1-yl-acrylamide 22, one of the most
interesting compounds in this series, able to attenuate opening of the mPTP and
limit reperfusion injury in a rabbit model of acute myocardial infarction.
PMID- 24918262
TI - Modification of body composition and metabolism during oral contraceptives
containing non-androgenic progestins in association with estradiol or ethinyl
estradiol.
AB - AIM: To observe the influence on metabolism and body composition of two oral
contraceptives containing non-androgenic progestins in association with estradiol
or ethinyl estradiol (EE). STUDY DESIGN: Women on hormonal contraception with
estradiol valerate (E2V)/dienogest (DNG) in a quadriphasic regimen (n = 16) or 30
MUg EE/2 mg chlormadinone acetate (CMA) (n = 16) in a monophasic regimen were
evaluated at the third cycle for modifications in lipoproteins, apoproteins and
homeostatic model assessment for insulin resistance (HOMA-IR), and at the sixth
cycle for body composition and the markers of bone turnover osteocalcin and C
telopeptide X. RESULTS: During E2V/DNG lipoprotein, apoproteins and HOMA-IR
remained stable. During EE/CMA, total-cholesterol (p = 0.003), high-density
lipoprotein (HDL)-cholesterol (p = 0.001), triglycerides (p = 0.003) Apoprotein
A1 (Apo-A1; p = 0.001) and Apo B (p = 0.04) increased, low-density
lipoprotein/HDL (p = 0.039) decreased and total-cholesterol/HDL and Apoprotein
B/Apo-A1 ratio did not vary. HOMA-IR slightly increased from 1.33 +/- 0.87 to
1.95 +/- 0.88 (p = 0.005). There was a reduction of markers of bone metabolism in
both groups with no modification of body composition. CONCLUSIONS: Administration
of E2V/DNG does not influence lipid and glucose metabolism, while mixed effect
are exerted by EE/CMA. Both preparations reduce bone metabolism without
influencing short-term effect on body composition.
PMID- 24918263
TI - Fine tuning of fluorene-based dye structures for high-efficiency p-type dye
sensitized solar cells.
AB - We report on an experimental study of three organic push-pull dyes (coded as zzx
op1, zzx-op1-2, and zzx-op1-3) featuring one, two, and three fluorene units as
spacers between donors and acceptors for p-type dye-sensitized solar cells (p
DSSC). The results show increasing the number of spacer units leads to obvious
increases of the absorption intensity between 300 nm and 420 nm, a subtle
increase in hole driving force, and almost the same hole injection rate from dyes
to NiO nanoparticles. Under optimized conditions, the zzx-op1-2 dye with two
fluorene spacer units outperforms other two dyes in p-DSSC. It exhibits an
unprecedented photocurrent density of 7.57 mA cm(-2) under full sun illumination
(simulated AM 1.5G light illumination, 100 mW cm(-2)) when the I(-)/I3(-) redox
couple and commercial NiO nanoparticles were used as an electrolyte and a
semiconductor, respectively. The cells exhibited excellent long-term stability.
Theoretical calculations, impedance spectroscopy, and transient photovoltage
decay measurements reveal that the zzx-op1-2 exhibits lower photocurrent losses,
longer hole lifetime, and higher photogenerated hole density than zzx-op1 and zzx
op1-3. A dye packing model was proposed to reveal the impact of dye aggregation
on the overall photovoltaic performance. Our results suggest that the structural
engineering of organic dyes is important to enhance the photovoltaic performance
of p-DSSC.
PMID- 24918264
TI - Construction of a zinc porphyrin-fullerene-derivative based nonenzymatic
electrochemical sensor for sensitive sensing of hydrogen peroxide and nitrite.
AB - Enzymatic sensors possess high selectivity but suffer from some limitations such
as instability, complicated modified procedure, and critical environmental
factors, which stimulate the development of more sensitive and stable
nonenzymatic electrochemical sensors. Herein, a novel nonenzymatic
electrochemical sensor is proposed based on a new zinc porphyrin-fullerene (C60)
derivative (ZnP-C60), which was designed and synthesized according to the
conformational calculations and the electronic structures of two typical ZnP-C60
derivatives of para-ZnP-C60 (ZnP(p)-C60) and ortho-ZnP-C60 (ZnP(o)-C60). The two
derivatives were first investigated by density functional theory (DFT) and ZnP(p)
C60 with a bent conformation was verified to possess a smaller energy gap and
better electron-transport ability. Then ZnP(p)-C60 was entrapped in
tetraoctylammonium bromide (TOAB) film and modified on glassy carbon electrode
(TOAB/ZnP(p)-C60/GCE). The TOAB/ZnP(p)-C60/GCE showed four well-defined quasi
reversible redox couples with extremely fast direct electron transfer and
excellent nonenzymatic sensing ability. The electrocatalytic reduction of H2O2
showed a wide linear range from 0.035 to 3.40 mM, with a high sensitivity of
215.6 MUA mM(-1) and a limit of detection (LOD) as low as 0.81 MUM. The
electrocatalytic oxidation of nitrite showed a linear range from 2.0 MUM to 0.164
mM, with a sensitivity of 249.9 MUA mM(-1) and a LOD down to 1.44 MUM. Moreover,
the TOAB/ZnP(p)-C60/GCE showed excellent stability and reproducibility, and good
testing recoveries for analysis of the nitrite levels of river water and
rainwater. The ZnP(p)-C60 can be used as a novel material for the fabrication of
nonenzymatic electrochemical sensors.
PMID- 24918265
TI - Statistical Approaches to Assess the Association between Phenolic Compounds and
the in vitro Antioxidant Activity of Camellia sinensis and Ilex paraguariensis
Teas.
AB - Tea presents a diverse phenolic composition which is responsible for its alleged
biological activities, including the in vivo and in vitro antioxidant capacity.
It is very usual to find researches applying statistical methods, such as
analysis of variances (ANOVA) and linear Pearson correlation coefficients to
analyze the strength of correlation between phenolic composition and the in vitro
antioxidant activity of teas from Camellia sinensis (green, black, white, oolong,
red, and yellow teas) and Ilex paraguariensis (Yerba-mate), which are the most
produced and consumed types of teas. However, evidence has shown that these
approaches are not as suitable as multivariate statistical methods once they do
not depict nor show association among all results and variables simultaneously,
making it difficult to understand clearly the data structure and patterns. Then,
the objective of this work is to review and explain some univariate/bivariate and
multivariate statistical techniques used to assess the association between
phenolic compounds and the in vitro antioxidant activity of green, white, black,
red, yellow, oolong and Yerba-mate teas. Moreover, this paper provides an
overview on some assays used to estimate the in vitro antioxidant capacity of
teas.
PMID- 24918266
TI - Sexual sensation seeking in Spanish young men and women with different sexual
orientations.
AB - This study analyzes the relation of sexual orientation and gender to sexual
sensation seeking. Participants were 382 individuals (200 men, 182 women) between
17 and 29 years old who completed the Sexual Sensation Seeking Scale. Of the 382
participants, 52.46% self-reported heterosexual orientation, and 47.64% self
reported homosexual orientation. The results showed differences with Sexual
Sensation Seeking being more frequent among heterosexuals and men. There were no
differences between heterosexual and homosexual men. Heterosexual women had
higher sexual sensation seeking scores than did homosexual women. These results
and their possible implications for the effective development of prevention and
intervention programs in affective-sexual education are discussed.
PMID- 24918267
TI - Prevalence and intensity of nematode parasites in Wisconsin ermine.
AB - In the midwestern United States, ermine ( Mustela erminea ) are economically
important because they are legally harvested for pelts. Information on parasites
of ermine is lacking, and the effects that nematode parasites have on body
condition of ermine hosts are unknown. We identified Skrjabingylus nasicola and
Filaroides martis in ermine trapped from 2007 to 2013 from 6 counties in
Wisconsin. Small mammals, commonly consumed by ermine, serve as paratenic hosts
for both parasites. Our goal was to identify how age and sex of ermine, along
with year, influence nematode parasitism. We also investigated how infection
affected body condition for male and female ermine using body mass standardized
by length as an index of body condition. We commonly found S. nasicola and F.
martis in male and female ermine, but both prevalence and intensity of infection
were higher for males. Relative to juveniles (<1 yr), adult (>1 yr) male ermine
did not exhibit significantly higher intensity or prevalence of either parasite.
We found that body condition was not compromised by infection for either sex, and
intensity of S. nasicola and prevalence of F. martis were highest during the 2010
2011 trapping season. Of the 6 yr studied, precipitation was highest during the
summer before the 2010-2011 season, and increased precipitation can cause
increases in populations of gastropod intermediate hosts. We think that several
distinct natural history components, namely, mating structure, diet, and
metabolic rate, influence nematode parasitism in ermine.
PMID- 24918269
TI - Telemedicine and e-health in disaster response.
PMID- 24918268
TI - Passive strain-induced matrix synthesis and organization in shape-specific,
cartilaginous neotissues.
AB - Tissue-engineered musculoskeletal soft tissues typically lack the appropriate
mechanical robustness of their native counterparts, hindering their clinical
applicability. With structure and function being intimately linked, efforts to
capture the anatomical shape and matrix organization of native tissues are
imperative to engineer functionally robust and anisotropic tissues capable of
withstanding the biomechanically complex in vivo joint environment. The present
study sought to tailor the use of passive axial compressive loading to drive
matrix synthesis and reorganization within self-assembled, shape-specific
fibrocartilaginous constructs, with the goal of developing functionally
anisotropic neotissues. Specifically, shape-specific fibrocartilaginous
neotissues were subjected to 0, 0.01, 0.05, or 0.1 N axial loads early during
tissue culture. Results found the 0.1-N load to significantly increase both
collagen and glycosaminoglycan synthesis by 27% and 67%, respectively, and to
concurrently reorganize the matrix by promoting greater matrix alignment,
compaction, and collagen crosslinking compared with all other loading levels.
These structural enhancements translated into improved functional properties,
with the 0.1-N load significantly increasing both the relaxation modulus and
Young's modulus by 96% and 255%, respectively, over controls. Finite element
analysis further revealed the 0.1-N uniaxial load to induce multiaxial tensile
and compressive strain gradients within the shape-specific neotissues, with
maxima of 10.1%, 18.3%, and -21.8% in the XX-, YY-, and ZZ-directions,
respectively. This indicates that strains created in different directions in
response to a single axis load drove the observed anisotropic functional
properties. Together, results of this study suggest that strain thresholds exist
within each axis to promote matrix synthesis, alignment, and compaction within
the shape-specific neotissues. Tailoring of passive axial loading, thus, presents
as a simple, yet effective way to drive in vitro matrix development in shape
specific neotissues toward more closely achieving native structural and
functional properties.
PMID- 24918271
TI - Accuracy evaluation of a new real-time continuous glucose monitoring algorithm in
hypoglycemia.
AB - BACKGROUND: The purpose of this study was to evaluate the performance of a new
continuous glucose monitoring (CGM) calibration algorithm and to compare it with
the Guardian((r)) REAL-Time (RT) (Medtronic Diabetes, Northridge, CA) calibration
algorithm in hypoglycemia. SUBJECTS AND METHODS: CGM data were obtained from 10
type 1 diabetes patients undergoing insulin-induced hypoglycemia. Data were
obtained in two separate sessions using the Guardian RT CGM device. Data from the
same CGM sensor were calibrated by two different algorithms: the Guardian RT
algorithm and a new calibration algorithm. The accuracy of the two algorithms was
compared using four performance metrics. RESULTS: The median (mean) of absolute
relative deviation in the whole range of plasma glucose was 20.2% (32.1%) for the
Guardian RT calibration and 17.4% (25.9%) for the new calibration algorithm. The
mean (SD) sample-based sensitivity for the hypoglycemic threshold of 70 mg/dL was
31% (33%) for the Guardian RT algorithm and 70% (33%) for the new algorithm. The
mean (SD) sample-based specificity at the same hypoglycemic threshold was 95%
(8%) for the Guardian RT algorithm and 90% (16%) for the new calibration
algorithm. The sensitivity of the event-based hypoglycemia detection for the
hypoglycemic threshold of 70 mg/dL was 61% for the Guardian RT calibration and
89% for the new calibration algorithm. Application of the new calibration caused
one false-positive instance for the event-based hypoglycemia detection, whereas
the Guardian RT caused no false-positive instances. The overestimation of plasma
glucose by CGM was corrected from 33.2 mg/dL in the Guardian RT algorithm to 21.9
mg/dL in the new calibration algorithm. CONCLUSIONS: The results suggest that the
new algorithm may reduce the inaccuracy of Guardian RT CGM system within the
hypoglycemic range; however, data from a larger number of patients are required
to compare the clinical reliability of the two algorithms.
PMID- 24918270
TI - Asbestos-induced pulmonary fibrosis is augmented in 8-oxoguanine DNA glycosylase
knockout mice.
AB - Asbestos causes asbestosis and malignancies by mechanisms that are not fully
established. Alveolar epithelial cell (AEC) injury and repair are crucial
determinants of the fibrogenic potential of noxious agents such as asbestos. We
previously showed that mitochondrial reactive oxygen species mediate asbestos
induced AEC intrinsic apoptosis and that mitochondrial human 8-oxoguanine-DNA
glycosylase 1 (OGG1), a DNA repair enzyme, prevents oxidant-induced AEC
apoptosis. We reasoned that OGG1 deficiency augments asbestos-induced pulmonary
fibrosis. Compared with intratracheal instillation of PBS (50 MUl) or titanium
dioxide (100 MUg/50 MUl), crocidolite or Libby amphibole asbestos (100 MUg/50
MUl) each augmented pulmonary fibrosis in wild-type C57BL/6J (WT) mice after 3
weeks as assessed by histology, fibrosis score, lung collagen via Sircol, and
type 1 collagen expression; these effects persisted at 2 months. Compared with WT
mice, Ogg1 homozygous knockout (Ogg1(-/-)) mice exhibit increased pulmonary
fibrosis after crocidolite exposure and apoptosis in cells at the bronchoalveolar
duct junctions as assessed via cleaved caspase-3 immunostaining. AEC involvement
was verified by colocalization studies using surfactant protein C. Asbestos
increased endoplasmic reticulum stress in the lungs of WT and Ogg1(-/-) mice.
Compared with WT, alveolar type 2 cells isolated from Ogg1(-/-) mice have
increased mtDNA damage, reduced mitochondrial aconitase expression, and increased
P53 and cleaved caspase-9 expression, and these changes were enhanced 3 weeks
after crocidolite exposure. These findings suggest an important role for AEC
mtDNA integrity maintained by OGG1 in the pathogenesis of pulmonary fibrosis that
may represent a novel therapeutic target.
PMID- 24918272
TI - Comparison of efficacy and safety between a segmental thermo-expandable metal
alloy spiral stent (Memokath 051) and a self-expandable covered metallic stent
(UVENTA) in the management of ureteral obstructions.
AB - OBJECTIVE: To determine the efficacy and safety of the Memokath 051TM (PNN
Medical, Glostrup, Denmark) and UVENTATM (Taewoong Medical, Seoul, Korea) metal
stents, we reviewed our experience with these two metallic ureteral stents for
treating benign and malignant ureteral obstructions. SUBJECTS AND METHODS: Twenty
seven patients who received treatment with metallic ureteral stents (Memokath
051, 10 patients; UVENTA, 17 patients) from November 2011 to May 2013 at our
institution were identified and analyzed. We conducted a comparative analysis of
the causes of obstruction, technical/clinical success rate, cause of failure, and
complications. RESULTS: No difference was observed between the two metallic
stents for the causes of benign and malignant ureteral obstructions (P=.073). The
Memokath 051 and the UVENTA were inserted successfully in all ureters using a
retrograde technique. The mean follow-up was 13.6 months for Memokath 051 and 12
months for UVENTA (P=.244). The clinical success rate of the UVENTA was higher
than that of Memokath 051 (82.4% versus 42.9%; P=.031). The causes of failure
were obstruction by tumor progression (n=2) and stent migration (n=6) in cases
that received Memokath 051 and stent migration (n=1) and obstruction by mucosal
hyperplasia (n=2) in UVENTA. The complications caused by Memokath 051 were
intermittent flank pain (n=1) and acute pyelonephritis (n=1), whereas those of
UVENTA were intermittent flank pain (n=1), gross hematuria (n=1), and acute
pyelonephritis (n=1). CONCLUSIONS: The UVENTA achieved a higher clinical success
rate than the Memokath 051. Our study demonstrated that the UVENTA is safe and
effective in the management of benign and malignant ureteral obstruction. The
complications were similar between the two metallic stents.
PMID- 24918273
TI - [Very late effects of radiotherapy - limiting factor of current radiotherapy
techniques].
AB - BACKGROUND: Very late effects of radiotherapy occur within decades after the
initial exposure. Their development is induced by low doses of ionizing radiation
(from 4 Gy per radiation series) and their clinical manifestations are difficult
to distinguish from other independent diseases diagnosed in individuals not
formerly treated with radiation. A long time period from the exposure confounds
any causal relationships between radiation and adverse events. Still, these side
effects not only reduce the patients quality of life but also lead to an early
morbidity and mortality, hence generating significant costs in health care and
social systems. PURPOSE: This article summarizes findings about the most common
very late consequences of radiotherapy, which include cardiotoxicity, CNS
toxicity, pneumotoxicity, renal toxicity and secondary malignancies. This issue
is crucial in the group of children cancer patients, malignant lymphomas,
testicular tumors and CNS tumors. Generally, the risk of very late effects of
radiotherapy (RT) should be considered in all patients irradiated at a relatively
early age with a high chance of long term survival. The risk of very late effects
of RT is also one of the key limiting factors in the use of RT in the treatment
of patients with benign lesions with longterm survival expectation, e. g. in
patients with glomus tumors, neurofibromas, desmoid tumors or hemangiomas or
other benign lesions (arterio venous malformations). Currently, the only known
prevention of these very late adverse effects is to minimize the dose to critical
structures to the lowest achievable level.
PMID- 24918274
TI - [The combination of neoadjuvant chemoradiotherapy and epidermal growth factor
receptor inhibitors in the treatment of rectal adenocarcinoma].
AB - Rectal adenocarcinoma, in contrast to colorectal carcinoma, is typical of its
high local reccurence rate. Radiotherapy is proved to reduce the incidence of
recurrences. Neoadjuvant chemoradiotherapy demonstrated better treatment results
than adjuvant chemoradiotherapy. Standard cytotoxic agents involved in
combination therapy are 5- flurouracil or capecitabin. Epidermal growth factor
receptor (EGFR) is supposed to play an important role in cell- cycle regulation,
proliferation, differentiation, and surviving of normal epithelial tissues. EGFR
overexpression in patients with rectal adenocarcinoma is associated with
radioresistance of malignant tissues, lower rates of patological complete
response after neoadjuvant chemoradiation and generally poor survival. There are
many clinical studies describing combination of neoadjuvant chemoradiotherapy
with EGFR inhibitors, however, this regimen has not gained an acceptance as a
standard of treatmentment.
PMID- 24918275
TI - [Effect of vitamin d receptor polymorphisms on the development and progression of
malignant melanoma].
AB - BACKGROUND: Malignant melanoma is one of the most aggressive cutaneous tumors in
men and women. The risk of developing a malignant melanoma depends on several
external factors along with deregulation of mutual interaction of genotype and
phenotype. Nowadays, growing attention is focused on the study of the
interactions of the active form of vitamin D3 with its receptor and inhibitory
effect of vitamin D3 receptor polymorphisms on multiple signaling pathways
involved in proliferative and metastatic processes. OBJECTIVES: This review
article addresses the relationship between factors involved in the development of
malignant melanoma through Hedgehog signaling pathway (HH). It summarizes current
knowledge of malignant melanoma in regard to the role of the active form of
vitamin D3 binding to vitamin D3 receptor (VDR), as well as it describes the
influence of polymorphisms of VDR on the inhibition of HH. Understanding of these
mechanisms and critical assessment of available data is beneficial to both
primary and secondary prevention of malignant melanoma particularly by means of
chemo -preventive substances.
PMID- 24918276
TI - Analysis of disease-free survival and overall survival in patients with luminal a
breast cancer stratified according to TNM.
AB - BACKGROUND AND AIM: The TNM classification of malignant tumours is the most
commonly used system to assess the stage as well as the prognosis of cancer.
However, one of the biggest challenges in treatment of breast cancer is the
understanding of tumour heterogeneity typical of these carcinomas. The aim of
this study was to analyse the disease-free survival and overall survival in
patients with luminal A subtype of breast cancer, stratified by TNM staging
system. METHODS AND STUDY DESIGN: A total of 363 medical records from January
2001 to May 2006 were evaluated for data collection. There were 136 patients with
luminal A breast cancer, selected for the cohort. The main objective was the
analysis of disease-free survival (DFS) and overall survival (OS) in patients
with luminal A breast cancer, stratified according to the TNM classification.
RESULTS: The group of 136 patients with immunohistochemically defined luminal A
subtype represented 53% of the 253 patients with breast cancer. There was no
significant difference in the number and type of patients as for TNM stage and
histological grading among patients treated with chemotherapy and HT in
comparison to patients treated with HT alone. CONCLUSION: Our analysis did not
prove any significant difference in survival of patients treated with
chemotherapy followed by hormone therapy in comparison to patients treated with
HT alone. We suggest that the IHC luminal A subtype of breast cancer generally
warrants a good prognosis independently on other prognostic factors such as TNM
stage. We conclude that patients might not benefit of adding a chemotherapy to
hormonal therapy in adjuvant settings.
PMID- 24918277
TI - [Molecular cytogenetic analysis of chromosomal aberrations in cells of low grade
gliomas and its contribution for tumour classification].
AB - BACKGROUND: Low-grade gliomas represent a heterogeneous group of primary brain
malignancies. The current diagnostics of these tumors rely strongly on
histological classification. With the development of molecular cytogenetic
methods several genetic markers were described, contributing to a better
distinction of glial subtypes. The aim of this study was to assess the frequency
of acquired chromosomal aberrations in lowgrade gliomas and to search for new
genomic changes associated with higher risk of tumor progression. PATIENTS AND
METHODS: We analysed biopsy specimens from 41 patients with histological dia
gnosis of low-grade glioma using interphase fluorescence in situ hybridization (I
FISH) and single nucleotide polymorphism (SNP) array techniques (19 females and
22 males, medium age 42 years). RESULTS: Besides notorious and most frequent
finding of combined deletion of 1p/ 19q (81.25% patients) several other recurrent
aberrations were described in patients with oligodendrogliomas: deletions of p
and q arms of chromosome 4 (25% patients), deletions of the short arms of
chromosome 9 (18.75% patients), deletions of the long arms of chromosome 13 and
monosomy of chromosome 18 (18.75% patients). In bio-psy specimens from patients
with astrocytomas, we often observed deletion of 1p (24% patients), amplification
of the long arms of chromosome 7 (16% patients), deletion of the long arm of
chromosome 13 (20% patients), segmental uniparental disomy (UPD) of the short
arms of chromosome 17 (60% patients) and deletion of the long arms of chromosome
19 (28% patients). In one patient we detected a shuttered chromosome 10 resulting
from chromothripsis. CONCLUSION: Using a combination of I FISH and SNP array, we
detected not only known chromosomal changes but also new or less frequent recur
rent aberrations. Their role in cancer cell progression and their impact on low
grade gliomas classification remains to be elucidated in a larger cohort of
patients.
PMID- 24918278
TI - [Cost analysis of radiotherapy provided in inpatient setting - testing potential
predictors for a new prospective payment system].
AB - BACKGROUND: As a part of the development of a new prospective payment model for
radiotherapy we analyzed data on costs of care provided by three comprehensive
cancer centers in the Czech Republic. Our aim was to find a combination of
variables (predictors) which could be used to sort hospitalization cases into
groups according to their costs, with each group having the same reimbursement
rate. We tested four variables as possible predictors - number of fractions,
stage of disease, radiotherapy technique and diagnostic group. METHODS: We
analyzed 7,440 hospitalization cases treated in three comprehensive cancer
centers from 2007 to 2011. We acquired data from the I COP database developed by
Institute of Biostatistics and Analyses of Masaryk University in cooperation with
oncology centers that contains records from the National Oncological Registry
along with data supplied by healthcare providers to insurance companies for the
purpose of retrospective reimbursement. RESULTS: When comparing the four
variables mentioned above we found that number of fractions and radiotherapy
technique were much stronger predictors than the other two variables. Stage of
disease did not prove to be a relevant indicator of cost distinction. There were
significant differences in costs among diagnostic groups but these were mostly
driven by the technique of radiotherapy and the number of fractions. Within the
diagnostic groups, the distribution of costs was too heterogeneous for the
purpose of the new payment model. CONCLUSION: The combination of number of
fractions and radiotherapy technique appears to be the most appropriate cost
predictors to be involved in the prospective payment model proposal. Further
analysis is planned to test the predictive value of intention of radiotherapy in
order to determine differences in costs between palliative and curative
treatment.
PMID- 24918279
TI - [Inverted papiloma and its rare forms].
AB - Authors address the issue of a frequent benign tumour of the nasal cavity and
paranasal sinuses - inverted papilloma. They analyse the available diagnostic
methods and treatment options. On the background of selected case reports of a
rare malignant transformation they emphasize the need for longterm
dispensarization as a part of management plan for patients with this oncological
disease.
PMID- 24918280
TI - [Case report of a patient with advanced and disseminated gastric carcinoma
treated by s-1].
AB - BACKGROUND: Prognosis of patients with metastatic gastric cancer is abysmal,
usually just a few months. S-1 is a peroral fluoropyrimidine antitumor drug. It
is a fixed combination of three effective drugs - tegafur, gimeracil and oteracil
potassium. CASE: This is a case report of a 71-year-old man treated for local
advanced and metastatic gastric carcinoma treated with combination of S-1 and
cisplatin as a first line of therapy. Treatment response reached partial
remission and lasted for six months. Treatment was very well tolerated, with no
grade 3 and 4 toxicity. After progression, the patient was treated with further
lines of therapy. CONCLUSION: In the Czech Republic, experience with S-1 drug is
very limited. Our case report showed a good treatment response and minimal
toxicity of this treatment, in concordance with results of the study FLAGS.
PMID- 24918281
TI - [Cancer in elderly].
AB - BACKGROUND: Population ageing in developed countries associated with increasing
cancer incidence in higher age categories becomes a serious challenge in oncology
nowadays. AIM: To review the present policy of management of senior cancer
patients and to outline strategies of its improvement. Elderly patients are
generally undertreated if we address current treatment standards. The elderly
population is heterogenous in terms of functional status, physical and psychical
impairment, comorbidities, functional reserve, socioeconomic backgroud and
geriatric symptoms. There is a lack of consensus on guidelines for elderly
population due to under-representation of older patients in clinical trials.
Geriatric assessments could be a useful tool for medical decision making and
adjusting treatment plan for a certain group of patients - those suitable for
standard treatment, vulnerable group - advisable to treatment reduction, and
frail patients - indicated for paliative approach. However, studies confirming
effectiveness of this age-specific approach in comparison with routine clinical
practice remain to be conducted. CONCLUSION: Clinical studies focused on senior
cancer patients are urgently needed.
PMID- 24918282
TI - Bevacizumab as secondline treatment of glioblastoma - worth the effort?
AB - OBJECTIVE: To evaluate the role of bevacizumab and irinotecan as secondline
treatment of glioblastoma in patients with progression after radiotherapy and
temozolomide. METHODS: A retrospective analysis of 16 subjects was performed with
overall survival and toxicity evaluation as the primary endpoint. RESULTS: The
analysis revealed serious toxicity of this highly expensive regimen without
proving an improvement in overall survival of patients in comparison to a control
group. CONCLUSION: Unless there are robust data from phase III clinical trials,
including quality of life assessments or evaluation of predictive bio-markers to
guide therapy, bevacizumab and irinotecan regimen should be spared for cautiously
selected patients, especially in countries with limited budget for oncological
treatment.
PMID- 24918283
TI - Design and electronic structure of new styryl dye bases: steady-state and time
resolved spectroscopic studies.
AB - A comprehensive investigation of the electronic structure and fast relaxation
processes in the excited states of new styryl base-type derivatives was performed
using steady-state, pico-, and femtosecond time-resolved spectroscopic
techniques. Linear photophysical parameters of new compounds, including steady
state absorption, fluorescence, and excitation anisotropy spectra, were obtained
in a number of organic solvents at room temperature. A detailed analysis of the
fluorescence lifetimes and ultrafast relaxation processes in the electronically
excited state of the styryl bases revealed an important role of solvate dynamics
and donor-acceptor strength of the molecular structures in the formation of their
excited state absorption spectra. Experimental data were in good agreement with
quantum chemical calculations at the time dependent density functional theory
level, combined with a polarizable continuum model.
PMID- 24918284
TI - Entangled two-dimensional coordination networks: a general survey.
PMID- 24918285
TI - Screening and functional analysis of the peroxiredoxin specifically expressed in
Bursaphelenchus xylophilus--the causative agent of pine wilt disease.
AB - The pine wood nematode, Bursaphelenchus xylophilus, is the causal agent of pine
wilt disease. Accurately differentiating B. xylophilus from other nematodes
species, especially its related species B. mucronatus, is important for pine wood
nematode detection. Thus, we attempted to identify a specific protein in the pine
wood nematode using proteomics technology. Here, we compared the proteomes of B.
xylophilus and B. mucronatus using Two-dimensional gel electrophoresis (2-DE) and
matrix-assisted laser desorption/ionization -time-of-flight/time-of-flight (MALDI
TOF/TOF-MS) technologies. In total, 15 highly expressed proteins were identified
in B. xylophilus compared with B. mucronatus. Subsequently, the specificity of
the proteins identified was confirmed by PCR using the genomic DNA of other
nematode species. Finally, a gene encoding a specific protein (Bx-Prx) was
obtained. This gene was cloned and expressed in E. coli. The in situ
hybridisation pattern of Bx-Prx showed that it was expressed strongly in the tail
of B. xylophilus. RNAi was used to assess the function of Bx-Prx, the results
indicated that the gene was associated with the reproduction and pathogenicity of
B. xylophilus. This discovery provides fundamental information for identifying B.
xylophilus via a molecular approach. Moreover, the purified recombinant protein
has potential as a candidate diagnostic antigen of pine wilt disease, which may
lead to a new immunological detection method for the pine wood nematode.
PMID- 24918286
TI - SUMOylation of FOXM1B alters its transcriptional activity on regulation of MiR
200 family and JNK1 in MCF7 human breast cancer cells.
AB - Transcription factor Forkhead Box Protein M1 (FOXM1) is a well-known master
regulator in controlling cell-cycle pathways essential for DNA replication and
mitosis, as well as cell proliferation. Among the three major isoforms of FOXM1,
FOXM1B is highly associated with tumor growth and metastasis. The activities of
FOXM1B are modulated by post-translational modifications (PTMs), such as
phosphorylation, but whether it is modified by small ubiquitin-related modifier
(SUMO) remains unknown. The aim of the current study was to determine whether
FOXM1B is post-translationally modified by SUMO proteins and also to identify
SUMOylation of FOXM1B on its target gene transcription activity. Here we report
that FOXM1B is clearly defined as a SUMO target protein at the cellular levels.
Moreover, a SUMOylation protease, SENP2, significantly decreased SUMOylation of
FOXM1B. Notably, FOXM1B is selectively SUMOylated at lysine residue 463. While
SUMOylation of FOXM1B is required for full repression of its target genes MiR
200b/c and p21, SUMOylation of FOXM1B is essential for full activation of JNK1
gene. Overall, we provide evidence that FOXM1B is post-translationally modified
by SUMO and SUMOylation of FOXM1B plays a functional role in regulation of its
target gene activities.
PMID- 24918287
TI - The calcium phosphate matrix of FGF-2-apatite composite layers contributes to
their biological effects.
AB - The purpose of the present study was to fabricate fibroblast growth factor (FGF)
2-apatite composite layers on titanium (Ti) pins in one step at 25 degrees C
using a supersaturated calcium phosphate (CaP) solution, and to evaluate the
physicochemical characteristics and biological effects of the coated Ti pins
compared with coated Ti pins fabricated at 37 degrees C. Ti pins were immersed
in a supersaturated CaP solution containing 0.5, 1.0, or 2.0 ug/mL FGF-2 at 25
degrees C for 24 h (25F0.5, 25F1.0, and 25F2.0) or containing 4.0 ug/mL FGF-2 at
37 degrees C for 48 h (37F4.0). Except for the 25F0.5, the chemical compositions
and the mitogenic activity levels of FGF-2 of the composite layers formed by
these two methods were similar, except for the Ca/P molar ratio, which was
markedly smaller at 25 degrees C (1.55-1.56+/-0.01-0.02, p=0.0008-0.0045) than
at 37 degrees C (1.67+/-0.11). Thus, either the apatite was less mature or the
amount of amorphous calcium phosphate was higher in the composite layer formed at
25 degrees C. In vivo, the pin tract infection rate by visual inspection for
37F4.0 (45%) was lower than that for 25F1.0 (80%, p=0.0213), and the rate of
osteomyelitis for 37F4.0 (35%) was lower than that for 25F0.5 (75%, p=0.0341).
The extraction torque for 37F4.0 (0.276+/-0.117 Nm) was higher than that for
25F0.5 (0.192+/-0.117 Nm, p=0.0142) and that for 25F1.0 (0.176+/-0.133 Nm,
p=0.0079). The invasion rate of S. aureus for 37F4.0 (35%) was lower than that
for 25F0.5 (75%, p=0.0110). On the whole, the FGF-2-apatite composite layer
formed at 25 degrees C tended to be less effective at improving fixation
strength in the bone-pin interface and resisting pin tract infections. These
results suggest that the chemistry of the calcium phosphate matrix that embeds
FGF-2, in addition to FGF-2 content and activity, has a significant impact on
composite infection resistance and fixation strength.
PMID- 24918288
TI - Mechanisms of enzyme-catalyzed reduction of two carcinogenic nitro-aromatics, 3
nitrobenzanthrone and aristolochic acid I: Experimental and theoretical
approaches.
AB - This review summarizes the results found in studies investigating the enzymatic
activation of two genotoxic nitro-aromatics, an environmental pollutant and
carcinogen 3-nitrobenzanthrone (3-NBA) and a natural plant nephrotoxin and
carcinogen aristolochic acid I (AAI), to reactive species forming covalent DNA
adducts. Experimental and theoretical approaches determined the reasons why human
NAD(P)H: quinone oxidoreductase (NQO1) and cytochromes P450 (CYP) 1A1 and 1A2
have the potential to reductively activate both nitro-aromatics. The results also
contributed to the elucidation of the molecular mechanisms of these reactions.
The contribution of conjugation enzymes such as N,O-acetyltransferases (NATs) and
sulfotransferases (SULTs) to the activation of 3-NBA and AAI was also examined.
The results indicated differences in the abilities of 3-NBA and AAI metabolites
to be further activated by these conjugation enzymes. The formation of DNA
adducts generated by both carcinogens during their reductive activation by the
NOQ1 and CYP1A1/2 enzymes was investigated with pure enzymes, enzymes present in
subcellular cytosolic and microsomal fractions, selective inhibitors, and animal
models (including knock-out and humanized animals). For the theoretical
approaches, flexible in silico docking methods as well as ab initio calculations
were employed. The results summarized in this review demonstrate that a
combination of experimental and theoretical approaches is a useful tool to study
the enzyme-mediated reaction mechanisms of 3-NBA and AAI reduction.
PMID- 24918290
TI - Eicosapentaenoic acid protects against palmitic acid-induced endothelial
dysfunction via activation of the AMPK/eNOS pathway.
AB - Recent studies have shown that free fatty acids are associated with chronic
inflammation, which may be involved in vascular injury. The intake of
eicosapentaenoic acid (EPA) can decrease cardiovascular disease risks, but the
protective mechanisms of EPA on endothelial cells remain unclear. In this study,
primary human umbilical vein endothelial cells (HUVECs) treated with palmitic
acid (PA) were used to explore the protective effects of EPA. The results
revealed that EPA attenuated PA-induced cell death and activation of apoptosis
related proteins, such as caspase-3, p53 and Bax. Additionally, EPA reduced the
PA-induced increase in the generation of reactive oxygen species, the activation
of NADPH oxidase, and the upregulation of inducible nitric oxide synthase (iNOS).
EPA also restored the PA-mediated reduction of endothelial nitric oxide synthase
(eNOS) and AMP-activated protein kinase (AMPK) phosphorylation. Using AMPK siRNA
and the specific inhibitor compound C, we found that EPA restored the PA-mediated
inhibitions of eNOS and AKT activities via activation of AMPK. Furthermore, the
NF-kappaB signals that are mediated by p38 mitogen-activated protein kinase
(MAPK) were involved in protective effects of EPA. In summary, these results
provide new insight into the possible molecular mechanisms by which EPA protects
against atherogenesis via the AMPK/eNOS-related pathway.
PMID- 24918291
TI - Functional characterization of NIPBL physiological splice variants and eight
splicing mutations in patients with Cornelia de Lange syndrome.
AB - Cornelia de Lange syndrome (CdLS) is a congenital developmental disorder
characterized by distinctive craniofacial features, growth retardation, cognitive
impairment, limb defects, hirsutism, and multisystem involvement. Mutations in
five genes encoding structural components (SMC1A, SMC3, RAD21) or functionally
associated factors (NIPBL, HDAC8) of the cohesin complex have been found in
patients with CdLS. In about 60% of the patients, mutations in NIPBL could be
identified. Interestingly, 17% of them are predicted to change normal splicing,
however, detailed molecular investigations are often missing. Here, we report the
first systematic study of the physiological splicing of the NIPBL gene, that
would reveal the identification of four new splicing isoforms DeltaE10, DeltaE12,
DeltaE33,34, and B'. Furthermore, we have investigated nine mutations affecting
splice-sites in the NIPBL gene identified in twelve CdLS patients. All mutations
have been examined on the DNA and RNA level, as well as by in silico analyses.
Although patients with mutations affecting NIPBL splicing show a broad clinical
variability, the more severe phenotypes seem to be associated with aberrant
transcripts resulting in a shift of the reading frame.
PMID- 24918289
TI - Erythropoietin action in stress response, tissue maintenance and metabolism.
AB - Erythropoietin (EPO) regulation of red blood cell production and its induction at
reduced oxygen tension provides for the important erythropoietic response to
ischemic stress. The cloning and production of recombinant human EPO has led to
its clinical use in patients with anemia for two and half decades and has
facilitated studies of EPO action. Reports of animal and cell models of ischemic
stress in vitro and injury suggest potential EPO benefit beyond red blood cell
production including vascular endothelial response to increase nitric oxide
production, which facilitates oxygen delivery to brain, heart and other non
hematopoietic tissues. This review discusses these and other reports of EPO
action beyond red blood cell production, including EPO response affecting
metabolism and obesity in animal models. Observations of EPO activity in cell and
animal model systems, including mice with tissue specific deletion of EPO
receptor (EpoR), suggest the potential for EPO response in metabolism and
disease.
PMID- 24918292
TI - Up-regulation of Rhoa/Rho kinase pathway by translationally controlled tumor
protein in vascular smooth muscle cells.
AB - Translationally controlled tumor protein (TCTP), a repressor for Na,K-ATPase has
been implicated in the development of systemic hypertension, as proved by TCTP
over-expressing transgenic (TCTP-TG) mice. Aorta of TCTP-TG exhibited
hypercontractile response compared to that of non-transgenic mice (NTG)
suggesting dys-regulation of signaling pathways involved in the vascular
contractility by TCTP. Because dys-regulation of RhoA/Rho kinase pathway is
implicated in increased vascular contractility, we examined whether TCTP induces
alterations in RhoA pathway in vascular smooth muscle cells (VSMCs). We found
that TCTP over-expression by adenovirus infection up-regulated RhoA pathway
including the expression of RhoA, and its downstream signalings, phosphorylation
of myosin phosphatase target protein (MYPT-1), and myosin light chain (MLC).
Conversely, lentiviral silencing of TCTP reduced the RhoA expression and Rho
kinase signalings. Using immunohistochemical and Western blotting studies on
aortas from TCTP-TG confirmed the elevated expression of RhoA and increase in p
MLC (phosphorylated MLC). In contrast, down-regulation of RhoA and p-MLC were
found in aortas from heterozygous mice with deleted allele of TCTP (TCTP+/-). We
conclude that up-regulation of TCTP induces RhoA-mediated pathway, and that TCTP
induced RhoA plays a role in the regulation in vasculature. Modulation of TCTP
may offer a therapeutic target for hypertension and in vascular contractility
dysfunction.
PMID- 24918294
TI - The metallothionein gene, TaMT3, from Tamarix androssowii confers Cd2+ tolerance
in tobacco.
AB - Cadmium (Cd) is a nonessential microelement and low concentration Cd2+ has strong
toxicity to plant growth. Plant metallothioneins, a class of low molecular,
cystein(Cys)-rich and heavy-metal binding proteins, play an important role in
both metal chaperoning and scavenging of reactive oxygen species (ROS) with their
large number of cysteine residues and therefore, protect plants from oxidative
damage. In this study, a metallothionein gene, TaMT3, isolated from Tamarix
androssowii was transformed into tobacco (Nicotiana tobacum) through
Agrobacterium-mediated leaf disc method, and correctly expressed under the
control of 35S promoter. Under Cd2+ stress, the transgenic tobacco showed
significant increases of superoxide dismutase (SOD) activity and chlorophyll
concentration, but decreases of peroxidase (POD) activity and malondialdehyde
(MDA) accumulation when compared to the non-transgenic tobacco. Vigorous growth
of transgenic tobacco was observed at the early development stages, resulting in
plant height and fresh weight were significantly larger than those of the non
transgenic tobacco under Cd2+ stress. These results demonstrated that the
expression of the exogenous TaMT3 gene increased the ability of ROS cleaning-up,
indicating a stronger tolerance to Cd2+ stress.
PMID- 24918293
TI - Viral metagenomics on animals as a tool for the detection of zoonoses prior to
human infection?
AB - Many human viral infections have a zoonotic, i.e., wild or domestic animal,
origin. Several zoonotic viruses are transmitted to humans directly via contact
with an animal or indirectly via exposure to the urine or feces of infected
animals or the bite of a bloodsucking arthropod. If a virus is able to adapt and
replicate in its new human host, human-to-human transmissions may occur, possibly
resulting in an epidemic, such as the A/H1N1 flu pandemic in 2009. Thus,
predicting emerging zoonotic infections is an important challenge for public
health officials in the coming decades. The recent development of viral
metagenomics, i.e., the characterization of the complete viral diversity isolated
from an organism or an environment using high-throughput sequencing technologies,
is promising for the surveillance of such diseases and can be accomplished by
analyzing the viromes of selected animals and arthropods that are closely in
contact with humans. In this review, we summarize our current knowledge of viral
diversity within such animals (in particular blood-feeding arthropods, wildlife
and domestic animals) using metagenomics and present its possible future
application for the surveillance of zoonotic and arboviral diseases.
PMID- 24918295
TI - Prediction of protein S-nitrosylation sites based on adapted normal distribution
bi-profile Bayes and Chou's pseudo amino acid composition.
AB - Protein S-nitrosylation is a reversible post-translational modification by
covalent modification on the thiol group of cysteine residues by nitric oxide.
Growing evidence shows that protein S-nitrosylation plays an important role in
normal cellular function as well as in various pathophysiologic conditions.
Because of the inherent chemical instability of the S-NO bond and the low
abundance of endogenous S-nitrosylated proteins, the unambiguous identification
of S-nitrosylation sites by commonly used proteomic approaches remains
challenging. Therefore, computational prediction of S-nitrosylation sites has
been considered as a powerful auxiliary tool. In this work, we mainly adopted an
adapted normal distribution bi-profile Bayes (ANBPB) feature extraction model to
characterize the distinction of position-specific amino acids in 784 S
nitrosylated and 1568 non-S-nitrosylated peptide sequences. We developed a
support vector machine prediction model, iSNO-ANBPB, by incorporating ANBPB with
the Chou's pseudo amino acid composition. In jackknife cross-validation
experiments, iSNO-ANBPB yielded an accuracy of 65.39% and a Matthew's correlation
coefficient (MCC) of 0.3014. When tested on an independent dataset, iSNO-ANBPB
achieved an accuracy of 63.41% and a MCC of 0.2984, which are much higher than
the values achieved by the existing predictors SNOSite, iSNO-PseAAC, the Li et
al. algorithm, and iSNO-AAPair. On another training dataset, iSNO-ANBPB also
outperformed GPS-SNO and iSNO-PseAAC in the 10-fold crossvalidation test.
PMID- 24918298
TI - Comparison of acute countermovement jump responses after functional isometric and
dynamic half squats.
AB - The purpose of this study was to compare acute countermovement jump (CMJ)
responses after functional isometric (FI) and dynamic half (DH) squats. Ten
strength-trained males (relative full back squat 1 repetition maximum [1RM]: 1.9
+/- 0.2) participated in a randomized crossover design study. On 2 separate days,
participants performed baseline CMJs followed by either FI or DH squats loaded
with 150% of full back squat 1RM. Further CMJs were performed between 2 and 11
minutes after FI or DH squats. Kinematic and kinetic CMJ variables were measured.
There were no differences observed between conditions when peak CMJ variables
after FI or DH squats were compared with baseline values (p > 0.05).
Countermovement jump time effects (p <= 0.05) were observed after squats.
Increases in peak force (p <= 0.05; FI: 3.9%, range: -0.9 to 9.1%; DH: 4.2%,
range: 0.0-11.5%) and decreases in peak power (p <= 0.05; FI: -0.4%, range: -5.1
to 4.0%; DH: -1.1%, range: -6.6 to 2.9%) occurred for combined condition data.
Positive correlations between lower-body strength and the extent or timing of
acute CMJ responses were not detected (p > 0.05). Because of the apparent lack of
additive acute CMJ responses, the use of conventional DH squat protocols should
be considered rather than FI squats in precompetition and training situations.
Furthermore, the establishment of individual FI and DH squat protocols also seems
to be necessary, rather than relying on relative lower-body strength to predict
the nature of acute CMJ responses.
PMID- 24918297
TI - Triterpenoid saponins from Stauntonia chinensis ameliorate insulin resistance via
the AMP-activated protein kinase and IR/IRS-1/PI3K/Akt pathways in insulin
resistant HepG2 cells.
AB - Inflammation and oxidative stress play crucial roles in the etiology of type 2
diabetes mellitus. In this study, we examined the anti-diabetic effects of
triterpenoid saponins extracted from Stauntonia chinensis on stimulating glucose
uptake by insulin-resistant human HepG2 cells. The results showed that saponin 6
significantly increased glucose uptake and glucose catabolism. Saponin 6 also
enhanced the phosphorylation of AMP-activated protein kinase (AMPK) and activated
the insulin receptor (IR)/insulin receptor substrate-1 (IRS-1)/phosphoinositide 3
kinase (PI3K)/Akt pathway. Therefore, our results suggest that saponins from S.
chinensis improve glucose uptake and catabolism in hepatic cells by stimulating
the AMPK and the IR/IRS-1/PI3K/Akt signaling pathways. The results also imply
that saponins from S. chinensis can enhance glucose uptake and insulin
sensitivity, representing a promising treatment for type 2 diabetes mellitus.
PMID- 24918299
TI - Efficacy of the functional movement screen: a review.
AB - The aim of this review was to evaluate and synthesize the scientific literature
of the functional movement screen (FMS)-driven research for scientists and
strength and conditioning specialists. An additional purpose was to optimize the
methodological quality of prospective studies. Relevant research was identified
through using a manual and electronically database search. Thirty-four articles
met the inclusion criteria and were read, abstracted, and coded for this review.
The publications were classified into different stages of Bishops Applied
Research Model for the Sport Sciences (ARMSS). Thirteen descriptive studies
explored the main tasks in test development like factor structure, objectivity,
and reliability. They can be classified to the second stage of Bishops Model
(ARMSS stage 2). Twelve studies covered ability of FMS to predict sporting
performance and injury risk (ARMSS stages 3 and 4). Seven studies investigated
the effectiveness of the FMS in designing programs (ARMSS stages 6 and 8). In
addition, 2 assessed norming data. On the descriptive level, results suggest that
the FMS is a reliable screen, if the rater is educated and has solid experience
(>100 trials). Factor analysis describes the FMS as a unitary construct, which is
an argument against the FMS total score. Studies clearly illustrate its limited
ability to predict athletic performance. On the contrary, to predict injury risk
in team sports, the FMS total score is supported by moderate scientific evidence.
The majority of the FMS based intervention programs showed an improvement on
general motor quality. However, a randomized trial does not confirm that results.
Hence, to implement the findings on field, a critical strength and conditioning
specialist is crucial.
PMID- 24918296
TI - Alternative splicing in plant immunity.
AB - Alternative splicing (AS) occurs widely in plants and can provide the main source
of transcriptome and proteome diversity in an organism. AS functions in a range
of physiological processes, including plant disease resistance, but its
biological roles and functional mechanisms remain poorly understood. Many plant
disease resistance (R) genes undergo AS, and several R genes require
alternatively spliced transcripts to produce R proteins that can specifically
recognize pathogen invasion. In the finely-tuned process of R protein activation,
the truncated isoforms generated by AS may participate in plant disease
resistance either by suppressing the negative regulation of initiation of
immunity, or by directly engaging in effector-triggered signaling. Although
emerging research has shown the functional significance of AS in plant biotic
stress responses, many aspects of this topic remain to be understood. Several
interesting issues surrounding the AS of R genes, especially regarding its
functional roles and regulation, will require innovative techniques and
additional research to unravel.
PMID- 24918300
TI - Validation of a Video Analysis Software Package for Quantifying Movement Velocity
in Resistance Exercises.
AB - Sanudo, B, Rueda, D, del Pozo-Cruz, B, de Hoyo, M, and Carrasco, L. Validation of
a video analysis software package for quantifying movement velocity in resistance
exercises. J Strength Cond Res 30(10): 2934-2941, 2016-The aim of this study was
to establish the validity of a video analysis software package in measuring mean
propulsive velocity (MPV) and the maximal velocity during bench press. Twenty-one
healthy males (21 +/- 1 year) with weight training experience were recruited, and
the MPV and the maximal velocity of the concentric phase (Vmax) were compared
with a linear position transducer system during a standard bench press exercise.
Participants performed a 1 repetition maximum test using the supine bench press
exercise. The testing procedures involved the simultaneous assessment of bench
press propulsive velocity using 2 kinematic (linear position transducer and semi
automated tracking software) systems. High Pearson's correlation coefficients for
MPV and Vmax between both devices (r = 0.473 to 0.993) were observed. The
intraclass correlation coefficients for barbell velocity data and the kinematic
data obtained from video analysis were high (>0.79). In addition, the low
coefficients of variation indicate that measurements had low variability.
Finally, Bland-Altman plots with the limits of agreement of the MPV and Vmax with
different loads showed a negative trend, which indicated that the video analysis
had higher values than the linear transducer. In conclusion, this study has
demonstrated that the software used for the video analysis was an easy to use and
cost-effective tool with a very high degree of concurrent validity. This software
can be used to evaluate changes in velocity of training load in resistance
training, which may be important for the prescription and monitoring of training
programmes.
PMID- 24918301
TI - Live vs. digital video disk exercise in college--aged females.
AB - Although exercise digital video disk (DVD) sales have substantially increased
over the past decade, little is known on the effectiveness of personal training
vs. DVD exercises. The purpose of this study was to compare energy expenditures
and heart rates of live and DVD vigorously intense exercise sessions. Twenty
active and low-to-moderate fit, college-aged females completed 2 identical
exercise sessions. Each exercise session consisted of 6 exercises; 1 session was
completed with a personal trainer and the other with a DVD. A portable metabolic
analyzer was used to measure oxygen consumption and record heart rate. Both
energy expenditure (p < 0.001) and heart rate (p = 0.001) were significantly
higher during the live exercise session as compared with the DVD exercise
session. Rate of perceived exertion was significantly higher for the live
exercise session compared with the DVD exercise session (p = 0.045). Finally, the
majority (89%) of the participants reported that they preferred the live exercise
session over the DVD exercise session. The results suggest that low-to-moderately
fit college-aged females not only prefer exercise sessions with a personal
trainer but will also demonstrate higher energy expenditures and heart rates.
PMID- 24918302
TI - Small-sided games in team sports training: a brief review.
AB - Small-sided games (SSGs) incorporating skills, sport-specific movements, at
intensities sufficient to promote aerobic adaptations, are being increasingly
implemented in professional team sport environments. Small-sided games are often
employed by coaches based on the premise that the greatest training benefits
occur when training simulates the specific movement patterns and physiological
demands of the sport. At present, there is relatively little information
regarding how SSG can best be used to improve physical capacities and technical
and tactical skills in team sports. It is possible that with some modifications
(e.g., number of players, pitch size, coach encouragement, and wrestling), such
games may be physiologically beneficial for athletes with relatively high initial
aerobic fitness levels. For instance, it has been shown that 3-a-side soccer SSG
resulted in higher intensity (i.e., greater overall distance, less jogging and
walking, higher heart rate, and more tackling, dribbling, goal attempts, and
passes) than 5-a-side SSG. Likewise, when player numbers were kept constant, a
larger playing area increased the intensity of the SSG with a smaller playing
area having the opposite effect. It has also been demonstrated that energy
expenditure was similar between badminton and volleyball courts, but lower than
that obtained in a basketball court. Moreover, it has been demonstrated in rugby
that wrestling can increase the physical demands of SSG. Consistent coach
encouragement can also increase training intensity, although most rule changes
have trivial or no effect on exercise intensity. Further research is required to
examine the optimal periodization strategies of SSG training for the long-term
development of physiological capacity, technical skill, and tactical proficiency,
while also minimizing the associated risk of injuries.
PMID- 24918303
TI - Perfluoroalkyl acid distribution in various plant compartments of edible crops
grown in biosolids-amended soils.
AB - Crop uptake of perfluoroalkyl acids (PFAAs) from biosolids-amended soil has been
identified as a potential pathway for PFAA entry into the terrestrial food chain.
This study compared the uptake of PFAAs in greenhouse-grown radish (Raphanus
sativus), celery (Apium graveolens var. dulce), tomato (Lycopersicon
lycopersicum), and sugar snap pea (Pisum sativum var. macrocarpon) from an
industrially impacted biosolids-amended soil, a municipal biosolids-amended soil,
and a control soil. Individual concentrations of PFAAs, on a dry weight basis, in
mature, edible portions of crops grown in soil amended with PFAA industrially
impacted biosolids were highest for perfluorooctanoate (PFOA; 67 ng/g) in radish
root, perfluorobutanoate (PFBA; 232 ng/g) in celery shoot, and PFBA (150 ng/g) in
pea fruit. Comparatively, PFAA concentrations in edible compartments of crops
grown in the municipal biosolids-amended soil and in the control soil were less
than 25 ng/g. Bioaccumulation factors (BAFs) were calculated for the root, shoot,
and fruit compartments (as applicable) of all crops grown in the industrially
impacted soil. BAFs were highest for PFBA in the shoots of all crops, as well as
in the fruit compartment of pea. Root-soil concentration factors (RCFs) for
tomato and pea were independent of PFAA chain length, while radish and celery
RCFs showed a slight decrease with increasing chain length. Shoot-soil
concentration factors (SCFs) for all crops showed a decrease with increasing
chain length (0.11 to 0.36 log decrease per CF2 group). The biggest decrease
(0.54-0.58 log decrease per CF2 group) was seen in fruit-soil concentration
factors (FCFs). Crop anatomy and PFAA properties were utilized to explain data
trends. In general, fruit crops were found to accumulate fewer long-chain PFAAs
than shoot or root crops presumably due to an increasing number of biological
barriers as the contaminant is transported throughout the plant (roots to shoots
to fruits). These data were incorporated into a preliminary conceptual framework
for PFAA accumulation in edible crops. In addition, these data suggest that
edible crops grown in soils conventionally amended for nutrients with biosolids
(that are not impacted by PFAA industries) are unlikely a significant source of
long-chain PFAA exposure to humans.
PMID- 24918304
TI - Gait in amyotrophic lateral sclerosis: Is gait pattern differently affected in
spinal and bulbar onset of the disease during dual task walking?
AB - Amyotrophic lateral sclerosis (ALS) is characterized by weakness, fatigue, loss
of balance and coordination. The purpose of the study was to examine gait in ALS
patients. Gait was compared in ALS with spinal and bulbar onset, while performing
dual mental and motor tasks. Dual-task walking was performed by 27 ALS patients,
13 with spinal- and 14 with bulbar-onset disease. Twenty-nine healthy subjects
were used as a control group. The subjects performed a basic, simple walking
task, dual-motor task, dual-mental task, and combined motor and mental tasks.
Results showed that dual-task paradigm has an effect on gait in ALS patients.
Gait was differently affected in spinal and bulbar onset of ALS by some of the
given tasks. Mental tasks had a larger effect than motor tasks in all gait
parameters. In conclusion, both ALS forms have impaired gait in dual tasks.
Simple walk in patients with spinal onset shows higher variability of certain
gait parameters compared to bulbar-onset patients and controls. Differences in
gait could also indicate postural instability and possible falls in complex
walking situations.
PMID- 24918305
TI - Chemical protein polyubiquitination reveals the role of a noncanonical
polyubiquitin chain in DNA damage tolerance.
AB - Polyubiquitination of proteins regulates a variety of cellular processes,
including protein degradation, NF-kappaB pathway activation, apoptosis, and DNA
damage tolerance. Methods for generating polyubiquitinated protein with defined
ubiquitin chain linkage and length are needed for an in-depth molecular
understanding of protein polyubiquitination. However, enzymatic protein
polyubiquitination usually generates polyubiquitinated proteins with mixed chain
lengths in a low yield. We report herein a new chemical approach for protein
polyubiquitination with a defined ubiquitin chain length and linkage under a mild
condition that preserves the native fold of the target protein. In DNA damage
tolerance, K63-polyubiquitinated proliferating cell nuclear antigen (PCNA) plays
an important yet unclear role in regulating the selection of the error-free over
error-prone lesion bypass pathways. Using the chemically polyubiquitinated PCNA,
we revealed a mechanism of the K63 polyubiquitin chain on PCNA in promoting the
error-free lesion bypass by suppressing the DNA translesion synthesis (TLS).
PMID- 24918306
TI - Nonlinear Calibration Model Choice between the Four and Five-Parameter Logistic
Models.
AB - Both the four-parameter logistic (4PL) and the five-parameter logistic (5PL)
models are widely used in nonlinear calibration. In this paper, we study the
choice between 5PL and 4PL both by the accuracy and precision of the estimated
concentrations and by the power to detect an association between a binary disease
outcome and the estimated concentrations. Our results show that when the true
curve is symmetric around its inflection point, the efficiency loss from using
5PL is negligible under the prevalent experimental design. When the true curve is
asymmetric, 4PL may sometimes offer better performance due to bias-variance trade
off. We provide a practical guideline for choosing between 5PL and 4PL and
illustrate its application with a real dataset from the HIV Vaccine Trials
Network laboratory.
PMID- 24918307
TI - An internal focus of attention is not always as bad as its reputation: how
specific aspects of internally focused attention do not hinder running
efficiency.
AB - The aim of this study was to examine differentiated effects of internally focused
attention in endurance sports. Thirty-two active runners ran 24 min on a
treadmill at a fixed speed of moderate intensity. For each 6-min block,
participants had to direct their attention on different internal aspects
(movement execution, breathing, or feeling of the body) or received no
instructions. Oxygen consumption (VO2) was measured continuously to determine
running economy. Results revealed that the different internal focus instructions
had differentiated effects on VO2: A focus on breathing as well as a focus on the
running movement led to higher VO2 than a focus on feeling of the body which
showed similar VO2 as the control condition. We conclude that an internal focus
of attention is solely detrimental to performance when directed to highly
automated processes (e.g., breathing or movement). However, an internal focus on
how the body feels during exercise does not disrupt movement efficiency.
PMID- 24918308
TI - Initial development of the Coach Identity Prominence Scale: a role identity model
perspective.
AB - The focus of this multiphase research was to generate and test the psychometric
parameters of the Coach Identity Prominence Scale (CIPS). First, a pilot study
was conducted with context (n = 10) and construct (n = 6) specialists, who
evaluated the technical quality and content validity of 20 items developed from
semistructured interviews. Thirteen items were selected for Study 1, which tested
the factorial validity and reliability scores of coaches' (n = 343) responses to
the CIPS items. An eight-item structure, consisting of two factors (centrality
and evaluative emotions) was selected as the final CIPS measure, which was
examined with a final sample of coaches (n = 454) in Study 2 to evaluate the
factorial validity, group invariance, concurrent validity, and nomological
validity of respondents' scores to the CIPS. Initial evidence for the various
types of validity and reliability tested across the studies was provided.
PMID- 24918309
TI - Fitness level moderates executive control disruption during exercise regardless
of age.
AB - The purpose of this study was to assess the effects of exercise intensity, age,
and fitness levels on executive and nonexecutive cognitive tasks during exercise.
Participants completed a computerized modified-Stroop task (including
denomination, inhibition, and switching conditions) while pedaling on a cycle
ergometer at 40%, 60%, and 80% of peak power output (PPO). We showed that a bout
of moderate-intensity (60% PPO) to high-intensity (80% PPO) exercise was
associated with deleterious performance in the executive component of the
computerized modified-Stroop task (i.e., switching condition), especially in
lower-fit individuals (p < .01). Age did not have an effect on the relationship
between acute cardiovascular exercise and cognition. Acute exercise can
momentarily impair executive control equivalently in younger and older adults,
but individual's fitness level moderates this relation.
PMID- 24918310
TI - Examining the link between framed physical activity ads and behavior among women.
AB - Gain-framed messages are more effective at promoting physical activity than loss
framed messages. However, the mechanism through which this effect occurs is
unclear. The current experiment examined the effects of message framing on
variables described in the communication behavior change model (McGuire, 1989),
as well as the mediating effects of these variables on the message-frame-behavior
relationship. Sixty low-to-moderately active women viewed 20 gain- or loss-framed
ads and five control ads while their eye movements were recorded via eye
tracking. The gain-framed ads attracted greater attention, ps < .05; produced
more positive attitudes, p = .06; were better recalled, p < .001; influenced
decisions to be active, p = .07; and had an immediate and delayed impact on
behavior, ps < .05, compared with the loss-framed messages. Mediation analyses
failed to reveal any significant effects. This study demonstrates the effects of
framed messages on several outcomes; however, the mechanisms underlying these
effects remain unclear.
PMID- 24918311
TI - Mental toughness in sport: motivational antecedents and associations with
performance and psychological health.
AB - We argue that basic psychological needs theory (BPNT) offers impetus to the value
of mental toughness as a mechanism for optimizing human functioning. We
hypothesized that psychological needs satisfaction (thwarting) would be
associated with higher (lower) levels of mental toughness, positive affect, and
performance and lower (higher) levels of negative affect. We also expected that
mental toughness would be associated with higher levels of positive affect and
performance and lower levels of negative affect. Further, we predicted that
coaching environments would be related to mental toughness indirectly through
psychological needs and that psychological needs would indirectly relate with
performance and affect through mental toughness. Adolescent cross-country runners
(136 male and 85 female, M(age) = 14.36) completed questionnaires pertaining to
BPNT variables, mental toughness, and affect. Race times were also collected. Our
findings supported our hypotheses. We concluded that BPNT is generative in
understanding some of the antecedents and consequences of mental toughness and is
a novel framework useful for understanding mental toughness.
PMID- 24918312
TI - Implicit motives and basic need satisfaction in extreme endurance sports.
AB - Previous research has shown that the effects of basic psychological needs on the
flow experience in sports are moderated by implicit motives. However, so far,
only leisure and health-oriented sports have been analyzed. In a pilot study and
a main study (N = 29, 93), we tested whether the implicit achievement and
affiliation motives interact with the need for competence and the need for social
relatedness satisfaction, respectively, to predict flow experience and well-being
in extreme endurance athletes. Results showed that highly achievement-motivated
individuals benefited more from the need for competence satisfaction in terms of
flow than individuals with a low achievement motive did. In addition, highly
affiliation-motivated individuals whose need for social relatedness is satisfied
reported higher positive affect and lower exercise addiction scores than athletes
with a low motive. We discuss the differential effects of the interplay between
the achievement and affiliation motives and basic needs on different outcome
variables.
PMID- 24918313
TI - Team perfectionism and team performance: a prospective study.
AB - Perfectionism is a personality characteristic that has been found to predict
sports performance in athletes. To date, however, research has exclusively
examined this relationship at an individual level (i.e., athletes' perfectionism
predicting their personal performance). The current study extends this research
to team sports by examining whether, when manifested at the team level,
perfectionism predicts team performance. A sample of 231 competitive rowers from
36 boats completed measures of self-oriented, team-oriented, and team-prescribed
perfectionism before competing against one another in a 4-day rowing competition.
Strong within-boat similarities in the levels of team members' team-oriented
perfectionism supported the existence of collective team-oriented perfectionism
at the boat level. Two-level latent growth curve modeling of day-by-day boat
performance showed that team-oriented perfectionism positively predicted the
position of the boat in midcompetition and the linear improvement in position.
The findings suggest that imposing perfectionistic standards on team members may
drive teams to greater levels of performance.
PMID- 24918314
TI - "I'm pretty sure that we will win!": The influence of score-related nonverbal
behavioral changes on the confidence in winning a basketball game.
AB - The goal of the present research was to test whether score-related changes in
opponents' nonverbal behavior influence athletes' confidence in beating their
opponents. In an experiment, 40 participants who were experienced basketball
players watched brief video clips depicting athletes' nonverbal behavior. Video
clips were not artificially created, but showed naturally occurring behavior.
Participants indicated how confident they were in beating the presented athletes
in a hypothetical scenario. Results indicated that participants' confidence
estimations were influenced by opponents' score-related nonverbal behavior.
Participants were less confident about beating a leading team and more confident
about beating a trailing team, although they were unaware of the actual score
during the depicted scenes. The present research is the first to show that in
game variations of naturally occurring nonverbal behavior can influence athletes'
confidence. This finding highlights the importance of research into nonverbal
behavior in sports, particularly in relation to athletes' confidence.
PMID- 24918316
TI - Bacteriorhodopsin: Would the real structural intermediates please stand up?
AB - BACKGROUND: Bacteriorhodopsin (bR) is the simplest known light driven proton pump
and has been heavily studied using structural methods: eighty four X-ray
diffraction, six electron diffraction and three NMR structures of bR are
deposited within the protein data bank. Twenty one X-ray structures report light
induced structural changes and changes induced by mutation, changes in pH,
thermal annealing or X-ray induced photo-reduction have also been examined. SCOPE
OF REVIEW: We argue that light-induced structural changes that are replicated
across several studies by independent research groups are those most likely to
represent what is happening in reality. We present both internal distance matrix
analyses that sort deposited bR structures into hierarchal trees, and difference
Fourier analysis of deposited X-ray diffraction data. MAJOR CONCLUSIONS: An
internal distance matrix analysis separates most wild-type bR structures
according to their different crystal forms, indicating how the protein's
structure is influenced by crystallization conditions. A similar analysis
clusters eleven studies of illuminated bR crystals as one branch of a hierarchal
tree with reproducible movements of the extracellular portion of helix C towards
helix G, and of the cytoplasmic portion of helix F away from helices A, B and G.
All crystallographic data deposited for illuminated crystals show negative
difference density on a water molecule (Wat402) that forms H-bonds to the retinal
Schiff Base and two aspartate residues (Asp85, Asp212) in the bR resting state.
Other recurring difference density features indicated reproducible side-chain,
backbone and water molecule displacements. X-ray induced radiation damage also
disorders Wat402 but acts via cleaving the head-groups of Asp85 and Asp212.
GENERAL SIGNIFICANCE: A remarkable level of agreement exists when deposited
structures and crystallographic observations are viewed as a whole. From this
agreement a unified picture of the structural mechanism of light-induced proton
pumping by bR emerges. This article is part of a Special Issue entitled
Structural biochemistry and biophysics of membrane proteins.
PMID- 24918317
TI - Crystal melting by light: X-ray crystal structure analysis of an azo crystal
showing photoinduced crystal-melt transition.
AB - Trans-cis photoisomerization in an azo compound containing azobenzene
chromophores and long alkyl chains leads to a photoinduced crystal-melt
transition (PCMT). X-ray structure analysis of this crystal clarifies the
characteristic coexistence of the structurally ordered chromophores through their
pi...pi interactions and disordered alkyl chains around room temperature. These
structural features reveal that the PCMT starts near the surface of the crystal
and propagates into the depth, sacrificing the pi...pi interactions. A temporal
change of the powder X-ray diffraction pattern under light irradiation and a two
component phase diagram allow qualitative analysis of the PCMT and the following
reconstructive crystallization of the cis isomer as a function of product
accumulation. This is the first structural characterization of a compound showing
the PCMT, overcoming the low periodicity that makes X-ray crystal structure
analysis difficult.
PMID- 24918318
TI - Skin reactions during anti-TNFalpha therapy for pediatric inflammatory bowel
disease: a 2-year prospective study.
AB - BACKGROUND: Although the development of therapy-related skin reactions is common
along with an increase in the number of adult patients receiving anti-TNFalpha,
there are few studies on pediatric inflammatory bowel disease; hence, this
prospective study focuses on skin reactions related to infliximab therapy.
METHODS: All pediatric patients with inflammatory bowel disease undergoing
infliximab therapy were prospectively screened for the presence of skin
manifestations at the time of each infusion between March 1, 2011 and March 31,
2011 at Children's Hospital, Helsinki, Finland. Blood inflammatory markers and
fecal calprotectin levels were measured at the time of infusions. RESULTS: During
the study period, 84 children with inflammatory bowel disease (Crohn's n = 64)
received infliximab infusions (the median duration of therapy 12.2 mo). Almost
every other patient (n = 40; 47.6%) presented chronic skin reactions, 23% with
lesions considered severe. Most commonly, the patient's ear lobes and scalp were
affected with psoriasis-like manifestations, followed by their eyelids, perioral
and pubic area, trunk, and the extremities. However, an HLA-Cw*0602 genotype
associating with psoriasis was rare. Interestingly, most patients with skin
reactions had a low degree of intestinal inflammation based on their fecal
calprotectin levels (median level, 133 MUg/g versus 589 in unaffected patients; P
< 0.016). Seven patients (8.3% of all patients but 17% of those with skin
lesions) discontinued the given therapy due to a skin reaction. CONCLUSIONS: Skin
reactions are common during maintenance therapy with infliximab in pediatric
patients. For most patients, skin reactions seem to correlate with a low level of
intestinal inflammation. Although potentially harsh, skin lesions mostly allow
continuation of infliximab.
PMID- 24918319
TI - Fecal biomarkers in the diagnosis and monitoring of Crohn's disease.
AB - The diagnosis and monitoring of Crohn's disease has traditionally relied on
clinical assessment, serum markers of inflammation, and endoscopic examination.
Fecal biomarkers such as calprotectin, lactoferrin, and S100A12 are predominantly
derived from neutrophils, are easily detectable in the feces, and are emerging as
valuable markers of intestinal inflammation. This review focuses on the role of
fecal biomarkers in the diagnosis and monitoring of Crohn's disease, in
particular how these biomarkers change with disease activity and remission, how
they can be used to monitor the response to medical therapy, their value in
predicting clinical relapse, and their role in monitoring the postoperative
state.
PMID- 24918320
TI - Treatment patterns, complications, and disease relapse in a real-world population
of patients with moderate-to-severe ulcerative colitis initiating immunomodulator
therapy.
AB - BACKGROUND: Immunomodulator (IM) treatments in ulcerative colitis (UC) are not
curative and carry increased risk of complications, sometimes leading to therapy
changes, reduced treatment benefits, and eventual relapse. We assessed patterns
of IM utilization and therapy changes, complications, and disease relapse in a
real-world population of patients with moderate-to-severe UC. METHODS: Claims
data from a large commercially insured U.S. population were retrospectively
analyzed. Inclusion criteria were (1) >=2 UC diagnosis claims (ICD-9-CM 556.xx)
between January 2005 and July 2010, (2) >=1 IM claim, where first IM claim
defined the index date, (3) >=12 months preindex health plan enrollment
(baseline), and (4) >=24 months postindex plan enrollment (follow-up).
Characteristics of and changes to the index IM therapy during follow-up were
descriptively assessed, as were complications and disease relapses. RESULTS: A
total of 2136 patients were identified for inclusion (age, mean [SD], 46 [16]
years, 54% female). Azathioprine was the most common index IM (46% of patients),
followed by 6-mercaptopurine (28%). Switching from the index IM to another
therapy class was common (21% of patients), with 5-ASAs (48% of switchers), oral
corticosteroids (21%), and biologics (17%) being the most frequent next agents
used. Augmentation was also common (25% of patients), with 5-ASA being, by far,
the most frequent agent added to the index IM (72% of augmenters). Thirty percent
of patients experienced a complication, and 73% of patients relapsed, with the
majority of relapses occurring during index IM exposure. CONCLUSIONS: This
assessment of IM treatments for UC demonstrated frequent changes to therapy and
high downstream complication and relapse rates.
PMID- 24918321
TI - Probiotic mix VSL#3 is effective adjunctive therapy for mild to moderately active
ulcerative colitis: a meta-analysis.
AB - BACKGROUND: VSL#3 is a probiotic mix preparation reported to be effective in the
treatment of mild to moderately active ulcerative colitis. We aimed to perform a
systematic review of the literature and a meta-analysis of studies on its
efficacy. METHODS: The searched databases included PubMed, Scopus, and
ScienceDirect. The Mantel-Haenszel method was used to pool the effect- ize across
studies, and the odds ratios (ORs) and 95% confidence intervals (CIs) of
experiencing a specific outcome were calculated. RESULTS: Five studies with 441
patients were identified. The pooled remission rate was 49.4% (95% CI, 42.7
56.1). Only 3 low risk of bias studies with 319 patients met the inclusion
criteria for further analysis. A total of 162 patients received 3.6 * 10 CFU/d
VSL#3, and 157 patients received placebo. A total of 95% of patients received
concomitant therapies with 5-ASA and/or immunomodulators. The Ulcerative Colitis
Disease Activity Index was used to define response and remission. A >50% decrease
in the Ulcerative Colitis Disease Activity Index was achieved in 44.6% of the
VSL#3-treated patients versus 25.1% of the patients given placebo (P = 0008; OR,
2.793; 95% CI, 1.375-5.676; number needed to treat = 4-5). The response rate was
53.4% in VSL#3-treated patients versus 29.3% in patients given placebo (P < 0001;
OR, 3.03; 95% CI, 1.89-4.83; number needed to treat = 3-4). The remission rate
was 43.8% in VSL#3-treated patients versus 24.8% in patients given placebo (P =
0007; OR, 2.4; 95% CI, 1.48-3.88; number needed to treat = 4-5). No serious side
effects were reported. CONCLUSIONS: VSL#3, when added to conventional therapy at
a daily dose of 3.6 * 10 CFU/d, is safe and more effective than conventional
therapy alone in achieving higher response and remission rates in mild to
moderately active ulcerative colitis.
PMID- 24918322
TI - Impact of mode of delivery on outcomes in patients with perianal Crohn's disease.
AB - BACKGROUND: Crohn's disease (CD) often affects women during the reproductive
years. Although several studies have examined the impact of pregnancy on luminal
disease, limited literature exists in those with perianal CD. Decision regarding
mode of delivery is a unique challenge in such patients due to concerns regarding
the effect of pelvic floor trauma during delivery on preexisting perianal
involvement. METHODS: We performed a retrospective chart review of patients with
CD with established perianal disease undergoing either vaginal delivery or
caesarean section (C-section) at our institutions. We examined the occurrence of
symptomatic perianal disease flares within 5 years after delivery in such women
compared with nonpregnant CD controls. We also compared the occurrence of such
flares between the 2 modes of delivery in women with established perianal CD.
RESULTS: We identified 61 pregnant patients with CD with established perianal
disease (11 vaginal delivery, 50 through C-section) and 61 nonpregnant CD
controls with perianal disease. One-third of the C-sections were primarily for
obstetric indications. Six of the vaginal deliveries were complicated.
Approximately, 36% of cases had a symptomatic perianal flare within 1 year after
delivery. This was similar across both modes of delivery (P = 0.53) and similar
to nonpregnant patients with CD. There was no difference in the rates of perianal
surgical intervention or luminal disease flares in our population based on mode
of delivery or between pregnant patients with CD and nonpregnant CD controls.
CONCLUSIONS: We observed no difference in risk of symptomatic perianal flares in
patients with established perianal CD delivering vaginally or through C-section.
PMID- 24918324
TI - Cuff and pouch cancer in patients with inflammatory bowel disease: what
surveillance strategy should be recommended?
PMID- 24918323
TI - Crohn's disease-associated Escherichia coli survive in macrophages by suppressing
NFkappaB signaling.
AB - BACKGROUND: Epidemiological and genetic studies suggest a role for enteric flora
in the pathogenesis of Crohn's disease (CD). Crohn's disease-associated
Escherichia coli (CDEC) is characterized by their ability to invade epithelial
cells and survive and induce high concentration of TNF-alpha from infected
macrophages. However, the molecular mechanisms of CDEC survival in infected
macrophages are not completely understood. METHODS: Intracellular survival of
CDEC strain LF82 isolated from inflamed ileum tissue, 13I isolated from inflamed
colonic tissue, and control E. coli strains were tested in the murine macrophage
cell line, J774A.1 by Gentamicin protection assay. Modulation of intracellular
cell signaling pathways by the E. coli strains were assessed by western blot
analysis and confocal microscopy. RESULTS: 13I demonstrated increased survival in
macrophages with 2.6-fold higher intracellular bacteria compared with LF82, yet
both strains induced comparable levels of TNF-alpha. LF82 and 13I differentially
modulated key mitogen-activated protein kinase pathways during the acute phase of
infection; LF82 activated all 3 mitogen-activated protein kinase pathways,
whereas 13I activated ERK1/2 pathway but not p38 and JNK pathways. Both 13I and
LF82 suppressed nuclear translocation of NFkappaB compared with noninvasive E.
coli strains during the acute phase of infection. However, unlike noninvasive E.
coli strains, 13I and LF82 infection resulted in chronic activation of NFkappaB
during the later phase of infection. CONCLUSIONS: Our results showed that CDEC
survive in macrophages by initially suppressing NFkappaB activation. However,
persistence of bacterial within macrophages induces chronic activation of
NFkappaB, which correlates with increased TNF-alpha secretion from infected
macrophages.
PMID- 24918325
TI - Reply to Derikx and Hoentjen.
PMID- 24918326
TI - On robustness of noninferiority clinical trial designs against bias, variability,
and nonconstancy.
AB - The regulatory guidelines on noninferiority (NI) trials emphasize constancy not
only in the treatment effect over time but also in the trial design, clinical
practice, and quality of the trial conduct and execution. In practice, the
constancy assumption is generally impossible to justify; often, there are clear
reasons to expect a loss of efficacy over time. There are also concerns about the
inherent and publication bias in the historical data, and various sources of
selection bias in the NI trial design. Thus, a conservative NI margin is often
considered. However, different NI margin approaches are largely evaluated under
the assumption of constancy and absence of bias, and therefore, controversies
arise and are unresolved on the necessary degree of conservativeness. We develop
a framework to quantify the robustness of any NI margin approach against inherent
and publication bias in historical data, selection bias in trial design, and
nonconstancy in reference effects. We introduce a consistency principle to
address variability in the historical data. We control across-trial conditional
error rates given a final NI trial design over a design specific robust range for
reference effects. Following a conditionality principle, we provide a theoretical
justification of the framework and the conditions for controlling across-trial
unconditional type 1 error rates. We raise the issue of inherent bias in
historical data with an illustrative example.
PMID- 24918327
TI - Assessment of cardiotoxicity and effects of malathion on the early development of
zebrafish (Danio rerio) using computer vision for heart rate quantification.
AB - Malathion, a common organophosphate insecticide, is a proven acetylcholinesterase
inhibitor and is the most applied organophosphate insecticide in the United
States. The use of zebrafish as a model to study the effects of pesticides on
development is an innovative approach yielding relevant implications for
determining the potential toxic effects of these pesticides on humans. In this
study, a simple noninvasive technique was developed to investigate the
cardiotoxicity of malathion on Danio rerio embryos, and to detect and quantify
its effect on heart rate. Videos were recorded under a stereomicroscope and
examined with our custom-made software (FishBeat) to determine the heart rate of
the embryos. The pixel average intensity frequency (PI) of the videos was
computed at its maximum probability to indicate the average number of heartbeats
per second. Experimental observations successfully demonstrated that this method
was able to detect the heart rate of zebrafish embryos as compared with manual
stopwatch counting, with no significant difference. Embryos were treated acutely
with increasing malathion concentrations (33.3 and 50 MUg/mL malathion) at 52,
76, and 96 hpf. Embryos treated with 33.3 MUg/mL malathion had significant
bradycardia at 52 and 76 hpf, whereas embryos treated with 50 MUg/mL malathion
presented bradycardia at all hpf. These novel observations confirmed that
malathion, acting as an acetylcholinesterase inhibitor, induced heartbeat
irregularity in zebrafish embryos.
PMID- 24918328
TI - TA-cloning vectors for rapid and cheap cloning of zebrafish transgenesis
constructs.
PMID- 24918329
TI - Combining Classical MD and QM calculations to elucidate complex system
nucleation: a twisted, three-stranded, parallel beta-sheet seeds amyloid fibril
conception.
AB - The crystal structure of the Sup35 prion segment, GNNQQNY, revealed precise side
chain packing and an extensive H-bond network. However, the conformers and
stabilizing interactions involved at nascent amyloid formation are still unclear.
Here, long molecular dynamics simulations and quantum mechanical calculations
have been utilized to study the conformation and energetics of the initial
structure that acts to nucleate further growth. Considering all the plausible
intermediates that may act as stepping stones, we find that the initial nucleus
is a twisted single-layer, three-stranded parallel beta-sheet. H-bonds between
beta-strands in this twisted sheet, some of which differ from those of the
crystal structure's nontwisted beta-strands, are key for the nucleus' formation
and stability. High level theoretical calculations of these H-bonds' energetics
can account for this amyloid-like trimer's remarkable stability. The intermeshing
of facing sheets to form the dry interface provides less stability and would
occur between two three-stranded beta-sheets without metastable water nanowires.
PMID- 24918330
TI - Subparaneural versus circumferential extraneural injection at the bifurcation
level in ultrasound-guided popliteal sciatic nerve blocks: a prospective,
randomized, double-blind study.
AB - BACKGROUND: The ideal spread of local anesthetic for effective, rapid, and safe
sciatic nerve block is debated. We hypothesized that subparaneural ultrasound
guided injection results in faster onset and has a better success rate than
extraneural circumferential spread. METHODS: Patients undergoing elective tibial,
foot, and ankle surgery with popliteal sciatic nerve blocks were prospectively
enrolled. After randomization, the needle tip position was adjusted to ensure
circumferential extraneural or subparaneural spread; 0.3 mL/kg of mepivacaine 10
mg/mL was injected. Post hoc video analysis was used to group the patients
according to extraneural, subparaneural, and unintentional intraepineural spread.
RESULTS: There were 26 (43.3%) patients in the subparaneural group and 22 (36.7%)
in the extraneural group. Block onset time was shorter in the subparaneural group
than in the extraneural group (11 [3-21] minutes; mean [95% confidence interval],
11 [8.97-13.02] minutes and 17 [6-30] minutes; mean [95% confidence interval]
18.37 [14.17-22.57] minutes, respectively; P = 0.002). The duration of sensory
blockade increased (397 [178-505] minutes vs 265 [113-525] minutes; P = 0.04).
The success rate of the block also increased. Unintentional intraepineural
injection occurred in 8% of patients (3 patients in the subparaneural group and 1
patient in the extraneural group; NS). Block onset time was shorter than for the
subparaneural and extraneural groups (6 [3-12] minutes, 12 [3-21] minutes, and 18
[6-30] minutes; P = 0.01). CONCLUSIONS: A subparaneural injection accelerated the
onset time and increased the duration of tibial nerve sensory blockade compared
with circumferential extraneural injection. With unintentional intraepineural
spread, the onset time was significantly shorter than for the other groups.
PMID- 24918331
TI - Can changes in vital signs be used to predict the response to lumbar facet blocks
and radiofrequency denervation? A prospective, correlational study.
AB - BACKGROUND: Facet joint radiofrequency (RF) ablation is characterized by a high
failure rate, which is partly due to the fact that pain relief after diagnostic
blocks is inherently subjective. An area that has yet to be explored is whether
more objective measures, such as changes in vital signs after blocks, might be
used to predict treatment outcomes. METHODS: A multicenter, prospective study was
performed in 223 patients who underwent diagnostic lumbar medial branch blocks,
of whom 87 proceeded to RF denervation. Blood pressure (BP), heart rate (HR), and
pain scores were recorded preblock and 20 minutes postblock. A positive vital
sign response was designated as a decrease of less than 7.5 units in BP or HR,
and a positive facet block as pain relief of 50% or greater based on 6-hour pain
diary scores. RESULTS: Overall, 125 subjects (56.1%; 95% confidence interval,
49.3%-62.6%) experienced a positive facet block, and 71 had 3-month follow-up
information after denervation. Correlations between changes in NRS scores and HR
(r = -0.01, P = 0.893), systolic BP (r = 0.05, P = 0.47), diastolic BP (DBP) (r =
0.08, P = 0.22), and mean arterial pressure (r = 0.08, P = 0.21) were weak and
nonsignificant. No associations were found between facet block results and any
vital sign. Six (85.7%) of 7 patients who experienced a decrease in DBP of
greater than 7.5 mm Hg after facet block had a positive RF denervation outcome at
3 months, compared with 43.8% who did not (odds ratio, 7.52; 95% confidence
interval, 0.84-363.8; P = 0.049). A classification tree based on significant
decrease in DBP, pain duration, and baseline NRS pain score showed a 76.7%
(range, 65.8%-86.3%) accuracy rate. CONCLUSIONS: Although a decrease in DBP of
more than 7.5 mm Hg had 97.3% specificity and 85.7% positive predictive value for
predicting positive RF ablation outcomes, the low negative predictive value
(56.3%) precludes its use as a solitary screening tool. An algorithm based on
age, baseline NRS pain score, and a significant decrease in DBP was able to
predict 76.7% (range, 65.8%-86.3%) of RF denervation outcomes.
PMID- 24918332
TI - Neural blockade for persistent pain after breast cancer surgery.
AB - Persistent pain after breast cancer surgery is predominantly a neuropathic pain
syndrome affecting 25% to 60% of patients and related to injury of the
intercostobrachial nerve, intercostal nerves, and other nerves in the region.
Neural blockade can be useful for the identification of nerves involved in
neuropathic pain syndromes or to be used as a treatment in its own right. The
purpose of this review was to examine the evidence for neural blockade as a
potential diagnostic tool or treatment for persistent pain after breast cancer
surgery. In this systematic review, we found only 7 studies (n = 135) assessing
blocks directed at 3 neural structures-stellate ganglion, paravertebral plexus,
and intercostal nerves-but none focusing on the intercostobrachial nerve. The
quality of the studies was low and efficacy inconclusive, suggesting a need for
well-designed, high-quality studies for this common clinical problem.
PMID- 24918333
TI - Comparison between digital subtraction angiography and real-time fluoroscopy to
detect intravascular injection during lumbar transforaminal epidural injections.
AB - BACKGROUND AND OBJECTIVE: Infrequent but serious complications of transforaminal
epidural steroid injection (TFESI) are thought to be due to inadvertent
intravascular injection (embolization of corticosteroid particulates via the
vertebral or thoracolumbar radiculomedullary arteries). Recent studies suggest
that real-time fluoroscopy often fails to detect intravascular injection and that
digital subtraction angiography (DSA) may help reduce the incidence of accidental
vascular injection. The goal of this prospective study was to evaluate the
sensitivity of real-time fluoroscopy versus DSA in detecting intravascular
injection during TFESI. METHODS: From September 2012 to July 2013, 239
consecutive patients were enrolled and received 249 fluoroscopically guided TFESI
using the classic technique by one physician. We collected data of patient
demographics, reason for TFESI, occurrence of accidental intravascular injection
based on real-time fluoroscopic images and DSA, and spinal levels at which TFESI
was performed. Intravascular spread was assessed initially using real-time
fluoroscopy and subsequently with DSA. RESULTS: The overall incidence of
intravascular injection was 12.4% (31/249). Real-time fluoroscopy failed to
detect 9 cases of intravascular injections that were subsequently detected by DSA
(real-time fluoroscopy sensitivity, 71.0%). CONCLUSIONS: Digital subtraction
angiography is superior to real-time fluoroscopy for detecting intravascular
injections.
PMID- 24918334
TI - Asleep versus awake: does it matter?: Pediatric regional block complications by
patient state: a report from the Pediatric Regional Anesthesia Network.
AB - BACKGROUND AND OBJECTIVES: The impact of the patient state at time of placement
of regional blocks on the risk of complications is unknown. Current opinion is
based almost entirely on case reports, despite considerable interest in the
question. Analyzing more than 50,000 pediatric regional anesthesia blocks from an
observational prospective database, we determined the rate of adverse events in
relation to the patient's state at the time of block placement. Primary outcomes
considered were postoperative neurologic symptoms (PONSs) and local anesthetic
systemic toxicity (LAST). Secondary outcome was extended hospital stay due to a
block complication. METHODS: The Pediatric Regional Anesthesia Network is a multi
institutional research consortium that was created with an emphasis on rigorous,
prospective, and complete data collection including a data validation and audit
process. For the purpose of the analysis, blocks were divided in major groups by
single injection versus continuous and by block location. Rates were determined
in aggregate for these groups and classified further based on the patient's state
(general anesthesia [GA] without neuromuscular blockade [NMB], GA with NMB,
sedated, and awake) at the time of block placement. RESULTS: Postoperative
neurological symptoms occurred at a rate of 0.93/1000 (confidence interval [CI],
0.7-1.2) under GA and 6.82/1000 (CI, 4.2-10.5) in sedated and awake patients. The
only occurrence of PONSs lasting longer than 6 months (PONSs-L) was a small
sensory deficit in a sedated patient (0.019/1000 [CI, 0-0.1] for all, 0.48/1000
[CI, 0.1-2.7] for sedated patients). There were no cases of paralysis. There were
5 cases of LAST or 0.09/1000 (CI, 0.03-0.21). The incidence of LAST in patients
under GA (both with and without NMB) was 0.08/1000 (CI, 0.02-0.2) and 0.34/1000
(CI, 0-1.9) in awake/sedated patients. Extended hospital stays were described 18
times (0.33/1000 [CI, 0.2-0.53]). The rate for patients under GA without NMB was
0.29/1000 (CI, 0.13-0.48); GA with NMB, 0.29/1000 (CI, 0.06-0.84); sedated,
1.47/1000 (CI, 0.3-4.3); and awake, 1.15/1000 (CI, 0.02-6.4). CONCLUSIONS: The
placement of regional anesthetic blocks in pediatric patients under GA is as safe
as placement in sedated and awake children. Our results provide the first
prospective evidence for the pediatric anesthesia community that the practice of
placing blocks in anesthetized patients should be considered safe and should
remain the prevailing standard of care. Prohibitive recommendations based on
anecdote and case reports cannot be supported.
PMID- 24918335
TI - Spinal anesthesia does not impact prostate cancer recurrence in a cohort of men
undergoing radical prostatectomy: an observational study.
AB - BACKGROUND AND OBJECTIVES: Prior studies suggest a possible association between
the use of neuraxial-general anesthesia and a decrease in prostate cancer
recurrence after radical prostatectomy. We examine the correlation of a spinal
anesthesia-only technique on prostate cancer recurrence. METHODS: Charts from
consecutive radical prostatectomy patients of 3 experienced urologists from
January 1999 to December 2005 were reviewed. In addition to the usual clinical
and pathologic predictors of disease recurrence, patient records were queried for
the type of anesthesia (general vs spinal) performed. A Cox proportional hazards
model was used to determine the statistical significance of predictors of
biochemical recurrence. RESULTS: A total of 1964 patients-1166 and 798 receiving
spinal with sedation or general anesthesia, respectively-had complete
preoperative and follow-up data. In univariate proportional hazards analysis, the
use of general anesthesia was associated with a trend toward an increased risk of
biochemical recurrence when compared with the use of spinal anesthesia (hazard
ratio, 1.29; 95% confidence interval, 0.99-1.66; P = 0.053). In multivariable
analysis, the effect size (hazard ratio, 1.10; 95% confidence interval, 0.85
1.42; P = 0.458) was diminished by clinical and pathologic variables.
CONCLUSIONS: This was a retrospective study of patients with prostate cancer who
have undergone radical prostatectomy during a time period when the practice of
anesthesia for prostatectomy at our institution was transitioned from spinal to
general anesthesia. In our study, when controlling for other predictors of
advanced prostate cancer, the type of anesthetic given during prostatectomy had
no effect on the risk of biochemical recurrence.
PMID- 24918336
TI - Hsp90 picks PIKKs via R2TP and Tel2.
AB - Phosphatidylinositol-3 kinase-like kinases (PIKKs) are dependent on Hsp90 for
their activation via the R2TP complex and Tel2. In this issue of Structure, Pal
and colleagues present the molecular mechanism by which PIKKs are recruited to
Hsp90.
PMID- 24918337
TI - Single-molecule choreography between telomere proteins and G quadruplexes.
AB - Telomeric DNA binds proteins to protect chromosome ends, but it also adopts G
quadruplex (GQ) structures. Two new studies by Hwang and colleagues (in this
issue of Structure) and Ray and colleagues (published elsewhere) use single
molecule imaging to reveal how GQs affect the binding of different telomere
associated proteins. The data suggest that GQs play important roles in regulating
accessibility of telomeres.
PMID- 24918338
TI - Hopeful (protein InDel) monsters?
AB - In this issue of Structure, Arpino and colleagues describe in atomic detail how a
protein stomachs a deletion within a helix, an event that rarely occurs in nature
or in the lab. Can insertions and deletions (InDels) trigger dramatic structural
transitions?
PMID- 24918339
TI - Phonons and hybrid modes in the high and low temperature far infrared dynamics of
hexagonal TmMnO3.
AB - We report on temperature dependent TmMnO3 far infrared emissivity and
reflectivity spectra from 1910 K to 4 K. At the highest temperature the number of
infrared bands is lower than that predicted for centrosymmetric P63/mmc
(D(4)(6h)) (Z = 2) space group due to high temperature anharmonicity and possible
defect induced bitetrahedra misalignments. On cooling, at ~1600 +/- 40 K, TmMnO3
goes from non-polar to an antiferroelectric-ferroelectric polar phase reaching
the ferroelectric onset at ~700 K. Room temperature reflectivity is fitted using
19 oscillators and this number of phonons is maintained down to 4 K. A weak
phonon anomaly in the band profile at 217 cm(-1) (4 K) suggests subtle Rare Earth
magneto-electric couplings at ~TN and below. A low energy collective excitation
is identified as a THz instability associated with room temperature eg electrons
in a d-orbital fluctuating environment. It condenses into two modes that emerge
pinned to the E-type antiferromagnetic order hardening simultaneously down to 4
K. They obey power laws with TN as the critical temperature and match known zone
center magnons. The one peaking at 26 cm(-1), with critical exponent beta=0.42 as
for antiferromagnetic order in a hexagonal lattice, is dependent on the Rare
Earth ion. The higher frequency companion at ~50 cm(-1), with beta=0.25, splits
at ~TN into two peaks. The weaker band of the two is assimilated to the upper
branch of the gap opening in the transverse acoustical (TA) phonon branch
crossing the magnetic dispersion found in YMnO3. (Petit et al 2007 Phys. Rev.
Lett. 99 266604). The stronger second band at ~36 cm(-1) corresponds to the lower
branch of the TA gap. We assign both excitations as zone center magneto-electric
hybrid quasiparticles, concluding that in NdMnO3 perovskite the equivalent
picture corresponds to an instability which may be driven by an external field to
transform NdMnO3 into a multiferroic compound by perturbation enhancing the TA
phonon-magnetic correlation.
PMID- 24918340
TI - Intranasal insulin restores insulin signaling, increases synaptic proteins, and
reduces Abeta level and microglia activation in the brains of 3xTg-AD mice.
AB - Decreased brain insulin signaling has been found recently in Alzheimer's disease
(AD). Intranasal administration of insulin, which delivers the drug directly into
the brain, improves memory and cognition in both animal studies and small
clinical trials. However, the underlying mechanisms are unknown. Here, we treated
9-month-old 3xTg-AD mice, a commonly used mouse model of AD, with daily
intranasal administration of insulin for seven days and then studied brain
abnormalities of the mice biochemically and immunohistochemically. We found that
intranasal insulin restored insulin signaling, increased the levels of synaptic
proteins, and reduced Abeta40 level and microglia activation in the brains of
3xTg-AD mice. However, this treatment did not affect the levels of glucose
transporters and O-GlcNAcylation or tau phosphorylation. Our findings provide a
mechanistic insight into the beneficial effects of intranasal insulin treatment
and support continuous clinical trials of intranasal insulin for the treatment of
AD.
PMID- 24918343
TI - Synthesis and biological evaluation of some new amide moiety bearing quinoxaline
derivatives as antimicrobial agents.
AB - In this study, we aimed to synthesize some new quinoxaline derivatives bearing
amide moiety and to evaluate their antimicrobial activity. A set of 16 novel
compounds of N-[2,3-bis(4-methoxy/methylphenyl)quinoxalin-6-yl]-substituted
benzamide derivatives were synthesized by reacting 2,3-bis(4-methoxyphenyl)-6
aminoquinoxaline or 2,3-bis(4-methylphenyl)-6-aminoquinoxaline with benzoyl
chloride derivatives in tetrahydrofuran and investigated for their antimicrobial
activity. The structures of the obtained final compounds were confirmed by
spectral data (IR, (1)H-NMR, (13)C-NMR and MS). The antimicrobial activity of the
compounds were determined by using the microbroth dilution method. Antimicrobial
activity results revealed that synthesized compounds exhibited remarkable
activity against Candida krusei (ATCC 6258) and Candida parapsilosis (ATCC
22019).
PMID- 24918341
TI - Intrathecal infusion of BMAA induces selective motor neuron damage and
astrogliosis in the ventral horn of the spinal cord.
AB - The neurotoxin beta-N-methylamino-l-alanine (BMAA) was first identified as a
"toxin of interest" in regard to the amyotrophic lateral sclerosis-Parkinsonism
Dementia Complex of Guam (ALS/PDC); studies in recent years highlighting
widespread environmental sources of BMAA exposure and providing new clues to
toxic mechanisms have suggested possible relevance to sporadic ALS as well.
However, despite clear evidence of uptake into tissues and a range of toxic
effects in cells and animals, an animal model in which BMAA induces a
neurodegenerative picture resembling ALS is lacking, possibly in part reflecting
limited understanding of critical factors pertaining to its absorption,
biodistribution and metabolism. To bypass some of these issues and ensure
delivery to a key site of disease pathology, we examined effects of prolonged
(30day) intrathecal infusion in wild type (WT) rats, and rats harboring the
familial ALS associated G93A SOD1 mutation, over an age range (80+/-2 to 110+/
2days) during which the G93A rats are developing disease pathology yet remain
asymptomatic. The BMAA exposures induced changes that in many ways resemble those
seen in the G93A rats, with degenerative changes in ventral horn motor neurons
(MNs) with relatively little dorsal horn pathology, marked ventral horn
astrogliosis and increased 3-nitrotyrosine labeling in and surrounding MNs, a
loss of labeling for the astrocytic glutamate transporter, GLT-1, surrounding
MNs, and mild accumulation and aggregation of TDP-43 in the cytosol of some
injured and degenerating MNs. Thus, prolonged intrathecal infusion of BMAA can
reproduce a picture in spinal cord incorporating many of the pathological
hallmarks of diverse forms of human ALS, including substantial restriction of
overt pathological changes to the ventral horn, consistent with the possibility
that environmental BMAA exposure could be a risk factor and/or contributor to
some human disease.
PMID- 24918344
TI - Diclofenac-Choline Antioxidant Activity Investigated by means of Luminol
Amplified Chemiluminescence of Human Neutrophil Bursts and Electron Paramagnetic
Resonance Spectroscopy.
AB - A new diclofenac salt called diclofenac-choline (DC) has recently been proposed
for the symptomatic treatment of oropharyngeal inflammatory processes and pain
because its greater water solubility allows the use of high concentrations, which
are useful when the contact time between the drug and the oropharyngeal mucosa is
brief, as in the case of mouthwashes or spray formulations. The antioxidant
activity of DC has not yet been investigated, and so the aim was to use luminol
amplified-chemiluminescence (LACL) to verify whether various concentrations of DC
(1.48, 0.74 and 0.37 mg/mL for incubation times of 2, 4 and 8 min) interfere with
oxygen and nitrogen radicals during the course of human neutrophils respiratory
bursts; electron paramagnetic resonance (EPR) spectroscopy was used to
investigate its direct antiradical (scavenger) activity. The EPR findings showed
that DC has concentration-dependent scavenging activity against the ABTS, the
DPPH, and the hydroxyl radicals, but no activity on superoxide anion, as has been
previously reported in the case of other NSAIDs. LACL revealed an inhibitory
effect that was statistically significant after only 2 min of incubation, and
similar after 4 and 8 min. The effects on the peroxynitrite radical paralleled
those observed in the previous test. High concentrations and short incubation
times showed that there is no interference on PMN viability, and so the
inhibitory findings must be attributed to the effect of the drug. The anti
inflammatory effects of DC cannot be attributed solely to the inhibition of
prostaglandin synthesis, but its effects on free radicals and neutrophil bursts
suggest that they may contribute to its final therapeutic effect.
PMID- 24918342
TI - Epicutaneous Immunotherapy for Aeroallergen and Food Allergy.
AB - IgE-mediated allergies today affect up to 30 % of the population in
industrialized countries. Allergen immunotherapy is the only disease-modifying
treatment option with a long-term effect. However, very few patients (<5 %)
choose immunotherapy, due to the long treatment duration (between 3-5 years) and
possible local and systemic allergic side effects of the allergen
administrations. The latter occur when an allergen accidentally reaches the blood
circulation. Therefore, the ideal application route for allergen immunotherapy
should be characterized by two hallmarks: firstly, by a high number of potent
antigen-presenting cells, which enhance efficacy and thus shorten treatment
duration. Secondly, the allergen administration site is ideally non-vascularized,
so that inadvertent systemic distribution of the allergen and consequent systemic
allergic side effects are minimized. The epidermis contains high numbers of
potent antigen-presenting Langerhans cells and, as an epithelium, is non
vascularized. Therefore, the epidermis represents an interesting administration
route. Historical evidence for the clinical efficacy of epicutaneous allergy
immunotherapy (EPIT) has now been strengthened by a number of recent double
blinded placebo-controlled clinical trials performed by independent groups. We
review the immunological rationale, history and clinical experience with
epicutaneous allergy immunotherapy.
PMID- 24918345
TI - Endothelin receptor inhibition with bosentan delays onset of liver injury in
streptozotocin-induced diabetic condition.
AB - BACKGROUND: This study was designed to investigate the protective effects of
bosentan an orally active non-peptide mixed ETA/ETB receptor antagonist, on liver
injury in streptozotocin-induced diabetic rats. METHODS: 24 Albino-Wistar rats
were randomly divided into 4 groups: healthy (Group 1), diabetic (Group 2) (60
mg/kg of streptozotocin i.p.), diabetic treated with bosentan 50 mg/kg (Group 3)
and diabetic treated with bosentan 100 mg/kg (Group 4). The treatment of bosentan
was initiated after streptozocin injection and continued for 60 days. RESULTS:
Liver from diabetic rats showed significant increase in malondialdehyde (MDA)
level and significant decrease in glutathione (GSH), and superoxide dismutase
(SOD) activity. Endothelin (ET-1), tumor necrosis factor (TNF-alpha) and
transforming growth factor beta (TGF-beta) gene expression significantly
increased in the diabetic groups in the rat liver tissue. Bosentan treatment
showed a significant up-regulatory effect on ET-1, TNF-alpha and TGF-beta mRNA
expression. Results from histopathological evaluation of the liver were in
accordance with our biochemical and molecular results. CONCLUSIONS: These data
provide clear evidence that bosentan treatment is associated with promising
hepatoprotective effect against diabetes-induced liver damage via reduction of
cell inflammation and oxidative damage. These data suggest that ET receptors may
be an important actor in diabetes-related liver damage, and blockage of these
receptors may become a target for preventing diabetic complications in the
future.
PMID- 24918346
TI - Genistein prevents cadmium-induced neurotoxic effects through its antioxidant
mechanisms.
AB - INTRODUCTION: Cadmium-induced neurotoxic effects are mediated through adverse
oxidative stress and calcium signaling. Genistein, a phytoestrogen is a potent
antioxidant and exhibits property to cross blood-brain barrier. METHODS:
Experimental model of cadmium-induced neurotoxic effects were induced by
treatment with cadmium (5 mg/kg) for 28 days in wistar rats. For determining the
protective effect, genistein was administered at a dose of (10 mg/kg) for 7 days
followed by cadmium treatment for 28 days. Serum and tissues were analyzed for
various oxidative stress markers such as total antioxidant capacity, total
oxidant levels, non-enzymic antioxidants, enzymic antioxidants, lipid peroxide
levels and protein carbonyl content. RESULTS: The results showed significant
increase in the oxidative stress markers during cadmium treatment was attenuated
in rats treated with genistein followed by cadmium treatment. In addition,
cadmium induced alterations and activities of ATPase were significantly restored
by genistein treatment. CONCLUSION: The present study observations show promising
results of genistein against cadmium-induced neurotoxic effects in wistar rats.
Thus its potent antioxidant and cytoprotective effects could act as potent
therapeutic agent against various neuro-degenerative diseases involving oxidative
stress as primary mechanism.
PMID- 24918347
TI - Determination of SK3497 in rat plasma and its application in a pharmacokinetic
study of SK3497.
AB - In this study, a sensitive and reliable method for the quantitation of SK3497 in
rat plasma was developed and validated using high performance liquid
chromatography. The plasma samples were prepared by deproteinization, and
sildenafil was used as an internal standard. Chromatographic separation was
achieved using a reversed-phase (C18) column. The mobile phase, 0.02 M ammonium
acetate buffer:acetonitrile (45:55, v/v), was run at a flow rate of 1.0 mL/min,
and the column eluent was monitored using an ultraviolet detector at 254 nm at
room temperature. The retention times of sildenafil (an internal standard), and
SK3497 were approximately 5.6 and 8.3 min, respectively. The detection limit of
SK3497 in rat plasma was 0.03 MUg/mL. Pharmacokinetic parameters of SK3497 was
evaluated after intravenous (i. v.; at doses of 15 mg/kg) and oral (p.o.; at
doses of 30 mg/kg) administration of SK3497 in rats. After p.o. administration
(30 mg/kg) of SK3497, F-value was approximately 53.0%. The protein binding of
SK3497 to 4% human serum albumin were also described.
PMID- 24918348
TI - Synthesis and evaluation of anti-acetylcholinesterase activity of some
benzothiazole based new piperazine-dithiocarbamate derivatives.
AB - In this present study some benzothiazole derivatives bearing piperazine and
thiocarbamate moieties were synthesized and their potential anticholinesterase
properties were investigated. A set of 30 new compounds of 2-[(6-substituted
benzothiazol-2-yl)amino]-2-oxoethyl 4-substituted piperazine-1-carbodithioate
derivatives were synthesized by reacting 2-chloro-N-(6-substituted benzothiazole
2-yl)acetamide derivatives derivatives and sodium salts of appropriate N,N
disubstituted dithiocarbamic acids in acetone. The structures of the obtained
compounds were elucidated using FT-IR, (1)H-NMR and MS spectral data and
elemental analyses result. Each derivative was evaluated for its ability to
inhibit acetylcholinesterase (AChE) using a modificated Ellman's
spectrophotometric method. Some of the compounds can be identified as
anticholinesterase agents due to their inhibitory effect when compared with
Donepezil. Compounds with dimethylamino ethyl or dimethylamino propyl
substituents were defined as the anticholinesterase active compounds.
PMID- 24918349
TI - Comparative study on effects of nebulized and oral salbutamol on a cecal ligation
and puncture-induced sepsis model in rats.
AB - AIM: The present study aimed to compare the effects of different routes of
salbutamol administration (oral and nebulized) at different doses in a cecal
ligation and puncture-induced (CLP-induced) sepsis model of rats. METHODS: Rats
were separated into 8 groups: 1) sham, 2) sham+4 mg/kg oral salbutamol, 3) sham+6
min 2 mg/ml nebulized salbutamol, 4) CLP, 5) CLP+2 mg/kg oral salbutamol, 6)
CLP+4 mg/kg oral salbutamol, 7) CLP+3 min 2 mg/ml nebulized salbutamol, 8) CLP+6
min 2 mg/ml nebulized salbutamol. Subsequently, sepsis was induced by CLP through
16 h. RESULTS: CLP-induced sepsis increased serum cytokine levels (TNF-alpha, IL
1beta, and IL-6), increased tissue oxidative stress (8-Isoprosraglandin F2alpha),
decreased antioxidant parameters (SOD, GSH), and increased lung injury by
inflammatory cell accumulation. CONCLUSION: This study showed for the first time
that oral administration of salbutamol exerted protective effects on CLP-induced
sepsis and related lung injury in rats. We conclude that despite the greater side
effects of oral salbutamol, it should be considered for administration in oral
form due to its systemic effectiveness during septic conditions in emergency
settings.
PMID- 24918350
TI - [First case of phaeohyphomycosis due to Pleurostoma ootheca in a kidney
transplant recipient in Martinique (French West Indies)].
AB - Phaeohyphomycosis is a group of superficial and deep infections due to
dematiaceous fungi. They are most common in tropical environments, especially in
immunocompromised hosts. We describe the first case of phaeohyphomycosis due to
Pleurostoma ootheca in a kidney transplant recipient in Martinique (French West
Indies). A 59-year-old man with a kidney graft, treated with mycophenolate
mofetil, tacrolimus, and prednisone, presented suppurative tumefaction of the
left ankle. Cutaneous and osseous phaeohyphomycosis caused by P. ootheca was
diagnosed, based on mycological, histological, and radiological testing. The
patient's condition improved with posaconazole treatment. P. ootheca is a known
environmental fungus. Immunocompromised hosts are more vulnerable to many
infections, due to opportunistic pathogens. Bacteriological, histological, and
mycological testing is required for accurate diagnosis and appropriate treatment.
Treatment is not well defined and usually relies on antifungal agents or surgical
resection or both. An important point to consider is that azole antifungal agents
may cause major drug-drug interactions with immunosuppressive agents such as
tracrolimus.
PMID- 24918351
TI - Traditional Chinese exercises for pulmonary rehabilitation: evidence from a
systematic review.
AB - BACKGROUND: Qigong (QG) and tai chi (TC), alternative forms of exercise based on
traditional Chinese medicine, are reported to be beneficial to patients with
chronic obstructive pulmonary disease (COPD). This systematic review analyzed the
evidence and made recommendations for clinical applications and future research.
METHODS: Key words "qigong," "tai chi," "COPD," and "randomized controlled trial"
or corresponding terms in Chinese were searched using MEDLINE, EMBASE, and 3
Chinese databases. Randomized controlled trials (RCTs) on QG and/or TC for
patients with COPD were included. The quality of each RCT was appraised using the
Physiotherapy Evidence Database (PEDro) scale. Outcome variables that were
reported by greater than one-third of the RCTs were pooled for analysis. RESULTS:
A total of 37 RCTs were identified, with 12 matching the inclusion criteria. The
average PEDro score was 5.25, indicating that limitations were noted in the
methodology. Only forced expiratory volume in the first second of
expiration/forced vital capacity ratio and the 6-Minute Walk Test (6MWT) distance
were common outcome measures in greater than one-third of the RCTs. The weighted
mean differences and the 95% CI estimation for mean gains in forced expiratory
volume in the first second of expiration/forced vital capacity ratio and mean
gains in 6MWT distance between QG/TC and conventional exercise groups were 0.62
(95% CI, 0.30-0.93) and 12.18 (95% CI, 10.32-14.05) m, respectively. The
corresponding values between QG/TC and no exercise groups were 2.90 (95% CI, 2.37
3.43) and 37.77 (95% CI, 35.42-40.12) m, respectively. CONCLUSIONS: This
systematic review supports the therapeutic value of QG/TC in patients with COPD
and highlights areas for future research.
PMID- 24918352
TI - Repeatability of usual and fast walking speeds in patients with chronic
obstructive pulmonary disease.
AB - RATIONALE: Evaluation of the role of walking speeds in chronic obstructive
pulmonary disease (COPD) should be preceded by an assessment of its
repeatability. This study aimed to establish the repeatability of the usual
(susual) and fast (sfast) walking speeds among patients with stable COPD and
determine the accuracy of manual measurement. METHODS: Participants demonstrated
their susual and sfast over 10 m with speed calculated using a stopwatch; the
accuracy was confirmed with optical sensors. The walks were repeated after a 5
minute rest; the session was repeated on 2 subsequent days. The coefficient of
repeatability (CR) was calculated for both speeds, and their stability over days
was determined. RESULTS: A total of 29 participants (forced expiratory volume in
1 second (FEV1) = 43 +/- 25% predicted; FEV1/forced vital capacity (FVC) = 41 +/-
13%; susual = 60.3 +/- 11 m.min; sfast = 74.3 +/- 11.5 m.min) completed the
study. The CRs for the susual and sfast were 7.5 (95% CI: 5.0-10.0) and 7.1 (95%
CI: 4.8-9.4) m.min, respectively. There was a small increase in the susual (5%; P
< .001) on the second trial of every day and between successive days (5%; P <
.001); the sfast was not different between trials (P = .09) and increased only
between day 1 and day 2 (4%; P < .0001). There was no difference between the
stopwatch and the sensor determined susual (-0.5 [95% CI: -1.1 to 0.1] m.min; P =
.12). The small difference (-2.1 [95% CI: -2.7 to -1.5] m.min; P = .0001) between
the methods for sfast was within the CR of the sfast. CONCLUSIONS: In patients
with moderate to severe COPD, repeated measures of the susual and sfast using a
stopwatch support the use of these tests for simple, quick assessments of
disability.
PMID- 24918353
TI - Endocytosis: Bend it like galectin 3.
PMID- 24918354
TI - Larger CO2 source at the equatorial Pacific during the last deglaciation.
AB - While biogeochemical and physical processes in the Southern Ocean are thought to
be central to atmospheric CO2 rise during the last deglaciation, the role of the
equatorial Pacific, where the largest CO2 source exists at present, remains
largely unconstrained. Here we present seawater pH and pCO2 variations from
fossil Porites corals in the mid equatorial Pacific offshore Tahiti based on a
newly calibrated boron isotope paleo-pH proxy. Our new data, together with
recalibrated existing data, indicate that a significant pCO2 increase (pH
decrease), accompanied by anomalously large marine (14)C reservoir ages, occurred
following not only the Younger Dryas, but also Heinrich Stadial 1. These findings
indicate an expanded zone of equatorial upwelling and resultant CO2 emission,
which may be derived from higher subsurface dissolved inorganic carbon
concentration.
PMID- 24918355
TI - Convergent heteroditopic cyclo[6]aramides as macrocyclic ion-pair receptors for
constructing [2]pseudorotaxanes.
AB - A strategy of using amide groups as the only functionality was developed to
construct convergent heteroditopic cyclo[6]aramides that are able to strongly
bind dibutylammonium chloride in chloroform (>10(5) M(-1)), leading to the
formation of [2]pseudorotaxanes.
PMID- 24918356
TI - Plasmon-assisted radiolytic energy conversion in aqueous solutions.
AB - The field of conventional energy conversion using radioisotopes has almost
exclusively focused on solid-state materials. Herein, we demonstrate that liquids
can be an excellent media for effective energy conversion from radioisotopes. We
also show that free radicals in liquid, which are continuously generated by beta
radiation, can be utilized for electrical energy generation. Under beta
radiation, surface plasmon obtained by the metallic nanoporous structures on TiO2
enhanced the radiolytic conversion via the efficient energy transfer between
plasmons and free radicals. This work introduces a new route for the development
of next-generation power sources.
PMID- 24918357
TI - Large magnetoelectric coupling in magnetically short-range ordered Bi5Ti3FeO15
film.
AB - Multiferroic materials, which offer the possibility of manipulating the magnetic
state by an electric field or vice versa, are of great current interest. However,
single-phase materials with such cross-coupling properties at room temperature
exist rarely in nature; new design of nano-engineered thin films with a strong
magneto-electric coupling is a fundamental challenge. Here we demonstrate a
robust room-temperature magneto-electric coupling in a bismuth-layer-structured
ferroelectric Bi5Ti3FeO15 with high ferroelectric Curie temperature of ~1000 K.
Bi5Ti3FeO15 thin films grown by pulsed laser deposition are single-phase layered
perovskit with nearly (00l)-orientation. Room-temperature multiferroic behavior
is demonstrated by a large modulation in magneto-polarization and magneto
dielectric responses. Local structural characterizations by transmission electron
microscopy and Mossbauer spectroscopy reveal the existence of Fe-rich
nanodomains, which cause a short-range magnetic ordering at ~620 K. In
Bi5Ti3FeO15 with a stable ferroelectric order, the spin canting of magnetic-ion
based nanodomains via the Dzyaloshinskii-Moriya interaction might yield a robust
magneto-electric coupling of ~400 mV/Oe.cm even at room temperature.
PMID- 24918358
TI - Further insights into brevetoxin metabolism by de novo radiolabeling.
AB - The toxic dinoflagellate Karenia brevis, responsible for early harmful algal
blooms in the Gulf of Mexico, produces many secondary metabolites, including
potent neurotoxins called brevetoxins (PbTx). These compounds have been
identified as toxic agents for humans, and they are also responsible for the
deaths of several marine organisms. The overall biosynthesis of these highly
complex metabolites has not been fully ascertained, even if there is little doubt
on a polyketide origin. In addition to gaining some insights into the metabolic
events involved in the biosynthesis of these compounds, feeding studies with
labeled precursors helps to discriminate between the de novo biosynthesis of
toxins and conversion of stored intermediates into final toxic products in the
response to environmental stresses. In this context, the use of radiolabeled
precursors is well suited as it allows working with the highest sensitive
techniques and consequently with a minor amount of cultured dinoflagellates. We
were then able to incorporate [U-14C]-acetate, the renowned precursor of the
polyketide pathway, in several PbTx produced by K. brevis. The specific
activities of PbTx-1, -2, -3, and -7, identified by High-Resolution Electrospray
Ionization Mass Spectrometer (HRESIMS), were assessed by HPLC-UV and highly
sensitive Radio-TLC counting. We demonstrated that working at close to natural
concentrations of acetate is a requirement for biosynthetic studies, highlighting
the importance of highly sensitive radiolabeling feeding experiments.
Quantification of the specific activity of the four, targeted toxins led us to
propose that PbTx-1 and PbTx-2 aldehydes originate from oxidation of the primary
alcohols of PbTx-7 and PbTx-3, respectively. This approach will open the way for
a better comprehension of the metabolic pathways leading to PbTx but also to a
better understanding of their regulation by environmental factors.
PMID- 24918359
TI - Designing vaccines to neutralize effective toxin delivery by enterotoxigenic
Escherichia coli.
AB - Enterotoxigenic Escherichia coli (ETEC) are a leading cause of diarrheal illness
in developing countries. Despite the discovery of these pathogens as a cause of
cholera-like diarrhea over 40 years ago, and decades of vaccine development
effort, there remains no broadly protective ETEC vaccine. The discovery of new
virulence proteins and an improved appreciation of the complexity of the
molecular events required for effective toxin delivery may provide additional
avenues to pursue in development of an effective vaccine to prevent severe
diarrhea caused by these important pathogens.
PMID- 24918361
TI - Prediction factors for failure to seek treatment following traumatic dental
injuries to primary teeth.
AB - The objective of this study was to evaluate prediction factors for failure to
seek treatment following a traumatic dental injury (TDI) to primary teeth among
preschool children in the city of Campina Grande, Brazil. A cross-sectional study
was carried out involving 277 children 3 to 5 years of age, with TDI, enrolled in
public and private preschools. Parents filled out a form addressing demographic
data and whether or not they had sought treatment. Clinical examinations were
performed by three dentists who had undergone a calibration exercise (Kappa: 0.85
to 0.90) for the evaluation of TDI. Bivariate and multivariate Poisson regression
models were constructed (alpha=5%). Enamel fracture was the most prevalent type
of TDI (48.7%) and the upper central incisors were the most affected teeth
(88.4%). The frequency of seeking dental treatment was low (9.7%). The following
variables were associated with failure to seek treatment following TDI: a
household income greater than one minimum wage (PR=1.170; 95%CI 1.018-1.341),
parents/caregivers' perception of a child's oral health as poor (PR=1.100; 95%CI
1.026-1.176), and the non-perception of TDI by parents/caregivers (PR=1.250;
95%CI 1.142-1.360). In the present study, the frequency of seeking treatment
following TDI was low, and parents/caregivers with a higher income, a poor
perception of their child's oral health and a lack of awareness regarding the
trauma were more likely to fail to seek treatment following TDI to primary teeth.
PMID- 24918362
TI - Reliability and validity of MINCIR scale for methodological quality in dental
therapy research.
AB - The clinical paradigm of evidence-based medicine requires a foundation of good
quality research upon which clinical and epidemiological decisions can be based.
Several instruments have been designed to assess research quality and validated,
though most have limitations. The MINCIR scale was designed to determine the
methodological quality (MQ) of clinical research, its psychometric properties for
dental research involving large-scale evaluations have not yet been determined.
The aim of this study was to determine the validity and reliability of the MINCIR
scale for assessment of the MQ of dental therapy studies published in journals
indexed in Institute for Scientific Information (ISI) databases. A validation
study was performed on a sample of 99 articles from four representative ISI
dental journals. Criterion validity was determined in relation to level of
evidence (LoE) classification as described by the Oxford Center for Evidence
Based Medicine (OCEBM) ranking system, reliability was determined by calculation
of intra-class correlation coefficient (ICC) values, and internal consistency was
determined by calculation of Cronbach's alpha. Very good inter-observer
reliability (ICC=0.93), excellent temporal stability (ICC=0.97), good internal
consistency (Cronbach's alpha=0.77), and a strong (inverse) correlation with
OCEBM LoEs (-0.807; p<.0001) were obtained. These results indicate that the
MINCIR scale has adequate psychometric properties and therefore is a valid option
for use in the assessment of MQ in dental therapy research articles.
PMID- 24918360
TI - Microcystins alter chemotactic behavior in Caenorhabditis elegans by selectively
targeting the AWA sensory neuron.
AB - Harmful algal blooms expose humans and animals to microcystins (MCs) through
contaminated drinking water. While hepatotoxicity following acute exposure to MCs
is well documented, neurotoxicity after sub-lethal exposure is poorly understood.
We developed a novel statistical approach using a generalized linear model and
the quasibinomial family to analyze neurotoxic effects in adult Caenorhabditis
elegans exposed to MC-LR or MC-LF for 24 h. Selective effects of toxin exposure
on AWA versus AWC sensory neuron function were determined using a chemotaxis
assay. With a non-monotonic response MCs altered AWA but not AWC function, and MC
LF was more potent than MC-LR. To probe a potential role for protein phosphatases
(PPs) in MC neurotoxicity, we evaluated the chemotactic response in worms exposed
to the PP1 inhibitor tautomycin or the PP2A inhibitor okadaic acid for 24 h.
Okadaic acid impaired both AWA and AWC function, while tautomycin had no effect
on function of either neuronal cell type at the concentrations tested. These
findings suggest that MCs alter the AWA neuron at concentrations that do not
cause AWC toxicity via mechanisms other than PP inhibition.
PMID- 24918363
TI - Reproducibility of a silicone-based test food to masticatory performance
evaluation by different sieve methods.
AB - The aim of this study was to evaluate the reproducibility of the condensation
silicone Optosil Comfort(r) as an artificial test food for masticatory
performance evaluation. Twenty dentate subjects with mean age of 23.3+/-0.7 years
were selected. Masticatory performance was evaluated using the simple (MPI), the
double (IME) and the multiple sieve methods. Trials were carried out five times
by three examiners: three times by the first, and once by the second and third
examiners. Friedman's test was used to find the differences among time trials.
Reproducibility was determined by the intra-class correlation (ICC) test
(alpha=0.05). No differences among time trials were found, except for MPI-4 mm
(p=0.022) from the first examiner results. The intra-examiner reproducibility
(ICC) of almost all data was high (ICC>=0.92, p<0.001), being moderate only for
MPI-0.50 mm (ICC=0.89, p<0.001). The inter-examiner reproducibility was high
(ICC>0.93, p<0.001) for all results. For the multiple sieve method, the average
mean of absolute difference from repeated measurements were lower than 1 mm. This
trend was observed only from MPI-0.50 to MPI-1.4 for the single sieve method, and
from IME-0.71/0.50 to IME-1.40/1.00 for the double sieve method. The results
suggest that regardless of the method used, the reproducibility of Optosil
Comfort(r) is high.
PMID- 24918364
TI - Effects of stress hormones on the production of volatile sulfur compounds by
periodontopathogenic bacteria.
AB - Little is known about the effects of stress hormones on the etiologic agents of
halitosis. Thus, the aim of this study was to evaluate in vitro the effects of
adrenaline (ADR), noradrenaline (NA) and cortisol (CORT) on bacteria that produce
volatile sulfur compounds (VSC), the major gases responsible for bad breath.
Cultures of Fusobacterium nucleatum (Fn), Porphyromonas endodontalis (Pe),
Prevotella intermedia (Pi) and Porphyromonas gingivalis (Pg) were exposed to 50
uM ADR, NA and CORT or equivalent volumes of sterile water as controls for 12 and
24 h. Growth was evaluated based on absorbance at 660 nm. Portable gas
chromatography was used to measure VSC concentrations. Kruskal-Wallis and the
Dunn post-hoc test were used to compare the groups. For Fn, ADR, NA and CORT
significantly reduced bacterial growth after 12 h and 24 h (p<0.05). All the
substances tested increased hydrogen sulfide (H2S) production (p<0.05). For Pe,
all the substances tested reduced bacterial development after 24 h (p<0.05), and
NA significantly increased the H2S concentration after 12 h (p<0.05). In the Pg
and Pi cultures, no effects on bacterial growth were observed (p>0.05). In the Pi
cultures, ADR, NA and CORT increased H2S (p<0.05). Catecholamines and cortisol
can interfere with growth and H2S production of sub-gingival species in vitro.
This process appears to be complex and supports the association between stress
and the production of VSC.
PMID- 24918365
TI - Resorption of roots of different dimension induced by different types of forces.
AB - Root resorption is a variable to be considered in induced tooth movement (ITM).
It is related to root morphology and alveolar bone crest, and also to the types
of forces exerted by mechanotherapy. This histometric study evaluated the
predominance of root resorption among roots of different dimensions, following
ITM with different types of forces and at different time intervals. The study was
conducted on 54 rats divided into three groups, according to the type of force:
continuous (CF), continuous interrupted (CIF) and intermittent (IF), at periods
of 5, 7 and 9 days. The percentage of resorption between mesiobuccal roots of
larger dimension and intermediate roots of smaller dimension was assessed. The
evaluations were performed on the AxioVision software, and the non-parametric
analysis of variance for repeated measures in independent groups was further
applied, consisting of a scheme of two factors, and complemented by the Dunn test
at a significance level of 5%. The intermediate roots presented a higher
percentage of resorption, which was gradual at the periods evaluated for the
three types of forces, but mainly for CF. Comparing the intermediate roots with
the mesiobuccal roots, there was a statistically significant difference (p<0.05)
in the CF group at day 7 and day 9, and in the FI group, at day 9. The intragroup
analysis evidenced a statistically significant difference (p<0.05) between the
5th and the 9th day for the intermediate root in the CF group. The intergroup
analysis did not reveal any statistically significant difference (p>0.05) in
individually analyzed roots.
PMID- 24918366
TI - Influence of surrounding wall thickness on the fatigue resistance of molars
restored with ceramic inlay.
AB - The purpose of this study was to evaluate the influence of buccal and lingual
wall thickness on the fatigue resistance of molars restored with CAD/CAM ceramic
inlays. Forty human third molars were selected and divided into 4 groups,
according to the remaining surrounding wall thickness chosen for inlay
preparation (n=10): G1, 2.0 mm; G2, 1.5 mm; G3, 1.0 mm; G4, 0.5 mm. All inlays
were made from feldspathic ceramic blocks by a CAD/CAM system, and cemented
adhesively. After 1 week stored in distilled water at 37 degrees C, the
specimens were subjected to fatigue testing under the following protocol: 5Hz;
pre-load of 200 N for 5,000 cycles, followed by increasing loads of 400, 600,
800, 1000, 1200 and 1400 N for 30,000 cycles each. The specimens were cycled
until failure or completion of 185,000 cycles. The survival rate of the groups
was compared using the Kaplan-Meier survival curves (p>0.05). All specimens
withstood the fatigue protocol (185,000 cycles), representing a 100% survival
rate. The Kaplan-Meier survival curves showed no difference between groups. It
can be concluded that the remaining tooth wall thickness did not influence the
fatigue resistance of molars restored with CAD/CAM ceramic inlays.
PMID- 24918368
TI - Effects of layering technique on the shade of resin overlays and the
microhardness of dual cure resin cement.
AB - The purpose of this study was to assess the color of layered resin overlays and
to test the early microhardness of dual cure resin cement (DCRC) light cured
through the layered resin overlays. Resin overlays of 1.5 mm thickness were
fabricated with the A3 shade of Z350 (Group 1L), the A3B and A3E shades of
Supreme XT (Group 2L), and the A3, E3, and T1 shades of Sinfony (Group 3L) using
one, two, and three layers, respectively (n=7). Each layer of the resin overlays
was set in equal thickness. The color of the resin overlays was measured with a
colorimeter and compared with an A3 shade resin denture tooth. DCRC was light
cured through the resin overlays, and the early microhardness of the DCRC was
measured. The DeltaE value between the denture tooth and the resin overlays and
the Vickers hardness number (VHN) of the DCRC were analyzed with one-way ANOVA
and Tukey's HSD test. The color differences were 8.9+/-0.5, 5.3+/-1.0, and 7.3+/
0.5 and the VHNs were 19.4+/-1.1, 21.1+/-0.9, and 29.3+/-0.6 for Groups 1L, 2L,
and 3L, respectively. Therefore, to match the designated tooth color of resin
inlays and to increase the early microhardness of DCRC, layered resin inlays are
more appropriate than single-dentin-layer resin inlays. However, the translucent
layer should be used cautiously because the color difference of resin inlays with
a translucent layer was affected more than those without a translucent layer.
PMID- 24918367
TI - Nifedipine-induced histological changes in the parotid glands of hypertensive
rats.
AB - Nifedipine is a widely used anti-anginal and anti-hypertensive agent. It is
associated with significant gingival changes attributed more to collagen
hyperplasia than to enhancement of protein synthesis. We investigated the
influence of nifedipine on morphological changes in the parotid glands of rats in
a model of hypertension. Twenty-eight male Wistar rats (8-10 weeks; 200+/-15 g)
were divided into four groups (A-D). Hypertension was induced by surgical means
in groups C and D. Animals in groups B and D were treated with nifedipine (0.85
mg/kg) via a gastroesophageal catheter the day after surgery (experimental day-1)
for 2 weeks. A significant difference was observed between the control group and
nifedipine group and between the control group and hypertension group with regard
to the weight of the parotid gland and its surface area. Histological findings
demonstrated changes in the parotid glands of hypertensive animals with mild
vessel dilatation and infiltration of inflammatory cells. These histological
findings seemed to be due more to changes in venous function than to alterations
in gland architecture.
PMID- 24918369
TI - Microbiological changes after periodontal therapy in diabetic patients with
inadequate metabolic control.
AB - The present study investigated the effect of non-surgical periodontal treatment
(SRP) on the composition of the subgingival microbiota of chronic periodontitis
(CP) in individuals with type 2 diabetes (DM2) with inadequate metabolic control
and in systemically healthy (SH) individuals. Forty individuals (20 DM2 and 20
SH) with CP underwent full-mouth periodontal examination. Subgingival plaque was
sampled from 4 deep sites of each individual and tested for mean prevalence and
counts of 45 bacterial taxa by the checkerboard method. Clinical and
microbiological assessments were performed before and 3 months after SRP. At
baseline, those in the DM2 group presented a significantly higher percentage of
sites with visible plaque and bleeding on probing compared with those in the SH
group (p<0.01). Those in the DM2 group presented significantly higher levels of
C. rectus and P. gingivalis, and lower prevalence of P. micra and S. anginosus,
compared with those in the SH group (p<=0.001). At the 3-month visit, both groups
showed a significant improvement in all clinical parameters (p<0.01). Those in
the DM2 group showed significantly higher prevalence and/or levels of A.
gerencseriae, A. naeslundii I, A. oris, A. odontolyticus, C. sputigena, F.
periodonticum, and G. morbillorum compared with those in the SH group (p<=0.001).
However, those in the DM2 group showed a significant reduction in the levels of
P. intermedia, P. gingivalis, T. forsythia, and T. denticola (p<=0.001) over
time. Those in the SRP group showed improved periodontal status and reduced
levels of putative periodontal pathogens at 3 months' evaluation compared with
those in the DM2 group with inadequate metabolic control.
PMID- 24918370
TI - Effect of cleanser solutions on the color of acrylic resins associated with
titanium and nickel-chromium alloys.
AB - This study evaluated the effect of cleanser solutions on the color of heat
polymerized acrylic resin (HPAR) and on the brightness of dental alloys with 180
immersion trials. Disk-shaped specimens were made with I) commercially pure
titanium, II) nickel-chromium-molybdenum-titanium, III) nickel-chromium
molybdenum, and IV) nickel-chromium-molybdenum beryllium. Each cast disk was
invested in the flasks, incorporating the metal disk into the HPAR. The specimens
(n=5) were then immersed in solutions containing: 0.05% sodium hypochlorite,
0.12% chlorhexidine digluconate, 0.500 mg cetylpyridinium chloride, a citric acid
tablet, one of two different sodium perborate/enzyme tablets, and water. The
color measurements (?E) of the HPAR were determined by a colorimeter in
accordance with the National Bureau of Standards. The surface brightness of the
metal was visually examined for the presence of tarnish. The results (ANOVA;
Tukey test-alpha=0.05) show that there was a significant difference between the
groups (p<0.001) but not among the solutions (p=0.273). The highest mean was
obtained for group III (5.06), followed by group II (2.14). The lowest averages
were obtained for groups I (1.33) and IV (1.35). The color changes in groups I,
II and IV were slight but noticeable, and the color change was considerable for
group III. The visual analysis showed that 0.05% sodium hypochlorite caused
metallic brightness changes in groups II and IV. It can be concluded that the
agents had the same effect on the color of the resin and that the metallic alloys
are not resistant to the action of 0.05% sodium hypochlorite.
PMID- 24918371
TI - CPAP, weight loss, or both for obstructive sleep apnea.
AB - BACKGROUND: Obesity and obstructive sleep apnea tend to coexist and are
associated with inflammation, insulin resistance, dyslipidemia, and high blood
pressure, but their causal relation to these abnormalities is unclear. METHODS:
We randomly assigned 181 patients with obesity, moderate-to-severe obstructive
sleep apnea, and serum levels of C-reactive protein (CRP) greater than 1.0 mg per
liter to receive treatment with continuous positive airway pressure (CPAP), a
weight-loss intervention, or CPAP plus a weight-loss intervention for 24 weeks.
We assessed the incremental effect of the combined interventions over each one
alone on the CRP level (the primary end point), insulin sensitivity, lipid
levels, and blood pressure. RESULTS: Among the 146 participants for whom there
were follow-up data, those assigned to weight loss only and those assigned to the
combined interventions had reductions in CRP levels, insulin resistance, and
serum triglyceride levels. None of these changes were observed in the group
receiving CPAP alone. Blood pressure was reduced in all three groups. No
significant incremental effect on CRP levels was found for the combined
interventions as compared with either weight loss or CPAP alone. Reductions in
insulin resistance and serum triglyceride levels were greater in the combined
intervention group than in the group receiving CPAP only, but there were no
significant differences in these values between the combined-intervention group
and the weight-loss group. In per-protocol analyses, which included 90
participants who met prespecified criteria for adherence, the combined
interventions resulted in a larger reduction in systolic blood pressure and mean
arterial pressure than did either CPAP or weight loss alone. CONCLUSIONS: In
adults with obesity and obstructive sleep apnea, CPAP combined with a weight-loss
intervention did not reduce CRP levels more than either intervention alone. In
secondary analyses, weight loss provided an incremental reduction in insulin
resistance and serum triglyceride levels when combined with CPAP. In addition,
adherence to a regimen of weight loss and CPAP may result in incremental
reductions in blood pressure as compared with either intervention alone. (Funded
by the National Heart, Lung, and Blood Institute; ClinicalTrials.gov number,
NCT0371293 .).
PMID- 24918372
TI - CPAP versus oxygen in obstructive sleep apnea.
AB - BACKGROUND: Obstructive sleep apnea is associated with hypertension,
inflammation, and increased cardiovascular risk. Continuous positive airway
pressure (CPAP) reduces blood pressure, but adherence is often suboptimal, and
the benefit beyond management of conventional risk factors is uncertain. Since
intermittent hypoxemia may underlie cardiovascular sequelae of sleep apnea, we
evaluated the effects of nocturnal supplemental oxygen and CPAP on markers of
cardiovascular risk. METHODS: We conducted a randomized, controlled trial in
which patients with cardiovascular disease or multiple cardiovascular risk
factors were recruited from cardiology practices. Patients were screened for
obstructive sleep apnea with the use of the Berlin questionnaire, and home sleep
testing was used to establish the diagnosis. Participants with an apnea-hypopnea
index of 15 to 50 events per hour were randomly assigned to receive education on
sleep hygiene and healthy lifestyle alone (the control group) or, in addition to
education, either CPAP or nocturnal supplemental oxygen. Cardiovascular risk was
assessed at baseline and after 12 weeks of the study treatment. The primary
outcome was 24-hour mean arterial pressure. RESULTS: Of 318 patients who
underwent randomization, 281 (88%) could be evaluated for ambulatory blood
pressure at both baseline and follow-up. On average, the 24-hour mean arterial
pressure at 12 weeks was lower in the group receiving CPAP than in the control
group (-2.4 mm Hg; 95% confidence interval [CI], -4.7 to -0.1; P=0.04) or the
group receiving supplemental oxygen (-2.8 mm Hg; 95% CI, -5.1 to -0.5; P=0.02).
There was no significant difference in the 24-hour mean arterial pressure between
the control group and the group receiving oxygen. A sensitivity analysis
performed with the use of multiple imputation approaches to assess the effect of
missing data did not change the results of the primary analysis. CONCLUSIONS: In
patients with cardiovascular disease or multiple cardiovascular risk factors, the
treatment of obstructive sleep apnea with CPAP, but not nocturnal supplemental
oxygen, resulted in a significant reduction in blood pressure. (Funded by the
National Heart, Lung, and Blood Institute and others; HeartBEAT
ClinicalTrials.gov number, NCT01086800 .).
PMID- 24918373
TI - Brodalumab, an anti-IL17RA monoclonal antibody, in psoriatic arthritis.
AB - BACKGROUND: We assessed the efficacy and safety of brodalumab, a human monoclonal
antibody against interleukin-17 receptor A (IL17RA), in a phase 2, randomized,
double-blind, placebo-controlled study involving patients with psoriatic
arthritis. METHODS: We randomly assigned patients with active psoriatic arthritis
to receive brodalumab (140 or 280 mg subcutaneously) or placebo on day 1 and at
weeks 1, 2, 4, 6, 8, and 10. At week 12, patients who had not discontinued their
participation in the study were offered open-label brodalumab (280 mg) every 2
weeks. The primary end point was 20% improvement in American College of
Rheumatology response criteria (ACR 20) at week 12. RESULTS: Of the 168 patients
who underwent randomization (57 in the brodalumab 140-mg group, 56 in the
brodalumab 280-mg group, and 55 in the placebo group), 159 completed the double
blind phase and 134 completed 40 weeks of the open-label extension. At week 12,
the brodalumab 140-mg and 280-mg groups had higher rates of ACR 20 than the
placebo group (37% [P=0.03] and 39% [P=0.02], respectively, vs. 18%); they also
had higher rates of 50% improvement (ACR 50) (14% [P=0.05] and 14% [P=0.05] vs.
4%). Rates of 70% improvement were not significantly higher in the brodalumab
groups. Similar degrees of improvement were noted among patients who had received
previous biologic therapy and those who had not received such therapy. At week
24, ACR 20 response rates in the brodalumab 140-mg and 280-mg groups were 51% and
64%, respectively, as compared with 44% among patients who switched from placebo
to open-label brodalumab; responses were sustained through week 52. At week 12,
serious adverse events had occurred in 3% of patients in the brodalumab groups
and in 2% of those in the placebo group. CONCLUSIONS: Brodalumab significantly
improved response rates among patients with psoriatic arthritis. Larger studies
of longer duration are necessary to assess adverse events. (Funded by Amgen;
ClinicalTrials.gov number, NCT01516957 .).
PMID- 24918374
TI - Clinical practice. The child or adolescent with elevated blood pressure.
PMID- 24918375
TI - Images in clinical medicine. Morgagnian cataract.
PMID- 24918376
TI - Case records of the Massachusetts General Hospital. Case 18-2014. A 32-Year-old
man with a rash, myalgia, and weakness.
PMID- 24918377
TI - Cardiovascular morbidity and obstructive sleep apnea.
PMID- 24918378
TI - Translating the genomic revolution - targeted genome editing in primates.
PMID- 24918379
TI - Hemicraniectomy for middle-cerebral-artery stroke. Author reply.
PMID- 24918380
TI - Hemicraniectomy for middle-cerebral-artery stroke.
PMID- 24918381
TI - Hemicraniectomy for middle-cerebral-artery stroke.
PMID- 24918382
TI - Hemicraniectomy for middle-cerebral-artery stroke.
PMID- 24918383
TI - Chemoimmunotherapy for chronic lymphocytic leukemia. Author reply.
PMID- 24918384
TI - Chemoimmunotherapy for chronic lymphocytic leukemia.
PMID- 24918385
TI - Surgical safety checklists in Ontario, Canada. Author reply.
PMID- 24918386
TI - Surgical safety checklists in Ontario, Canada.
PMID- 24918387
TI - Surgical safety checklists in ontario, Canada.
PMID- 24918388
TI - Surgical safety checklists in ontario, Canada.
PMID- 24918389
TI - Surgical safety checklists in ontario, Canada.
PMID- 24918390
TI - Surgical safety checklists in ontario, Canada.
PMID- 24918394
TI - Videos in clinical medicine. Insertion of an intraosseous needle in adults.
AB - Intraosseous needle insertion is used as a temporary measure when intravascular
access cannot be achieved through peripheral or central venous routes. The
intraosseous needle may remain in situ for 72 to 96 hours, but it is best removed
within 6 to 12 hours, as soon as an alternative site of intravascular access has
been established. The intraosseous route provides fast and reliable vascular
access in emergency medical situations. The use of the appropriate technique will
ensure that the procedure is performed as safely and effectively as possible.
PMID- 24918395
TI - Images in clinical medicine. A blinking knee.
PMID- 24918396
TI - Interactive Medical case. Off balance.
PMID- 24918397
TI - Study on the agonists for the human Toll-like receptor-8 by molecular modeling.
AB - Toll-like receptor-8 agonists could be promising candidates for vaccine
adjuvants, especially for neonatal vaccines. In this study, we established
reliable models and explored valuable information which could explain the known
experimental facts at the molecular level. Firstly, we divided the whole dataset
into four splits and obtained many dependable models based on the simplified
molecular input line entry system (SMILES). Secondly, the whole dataset was
divided into three splits and other reliable comparative molecular field analysis
(CoMFA) and comparative molecular similarity indices analysis (CoMSIA) models
were established. Thirdly, we validated the prediction ability of these models
using various validation methods for the test set. Lastly, for a better
understanding of the binding modes between agonists and Toll-like receptor-8,
molecular docking was applied to reveal the structural factors that impact the
activity of agonists towards Toll-like receptor-8. Furthermore, molecular
dynamics simulation was employed to further validate the docking results. The
results obtained from molecular modeling support each other, which not only
provides models to predict the activities of agonists but also leads to a better
understanding of the essential features that should be considered when designing
novel agonists with desired activities.
PMID- 24918398
TI - Publications in the European Journal of Pediatric Surgery, Issue 1, Volume 24,
February 2014.
PMID- 24918399
TI - Changing Authorship Patterns and Publishing Habits in the European Journal of
Pediatric Surgery: A 10-Year Analysis.
AB - AIM: The aim of this study is an analysis of the changing authorship patterns and
publishing habits encountered in papers published in the European Journal of
Pediatric Surgery (EJPS) over the past 10 years. Furthermore, it seeks to
anticipate the trends in the years ahead. MATERIALS AND METHODS: We conducted a
retrospective review of articles published in the EJPS during a 10-year period
(January 1, 2003-December 31, 2012). Each article was classified as an Original
Report/Original Article (OR/OA) or as a Case Report/Case Gallery (CR/CG), and
they were analyzed separately. For investigation of the percentage distribution
of publications according to the number of authors per articles, papers were
combined and into three groups (1-2, 3-5, and 6 <= authors). The analysis focused
on whether the work was done by members of one institution, or in collaboration
with other units of the same institution, or in collaboration with other national
or multinational institutes. RESULTS: In the past 10 years, the EJPS published
996 articles (616 ORs/OAs, 380 CRs/CGs). The one and two authored publications
(125) have not decreased, the three to five authored articles (552) changed
minimally, whereas the number of 6 <= authored publications (319) has increased.
Of 996 publications, 348 were from single institutes and 648 were written in
collaboration with two or more other institutes. In addition, in this 10-year
period, the number of multinational articles has increased significantly from 24
to 59. CONCLUSION: Increase in cooperation within and between institutions is a
positive trend, aiming with the goal of improving quality of publications.
PMID- 24918400
TI - Regenerative medicine in urology.
AB - Regenerative medicine is an emerging field that is focused on the repair,
replacement or regeneration of tissues and organs. It involves multiple
disciplines dedicated to delivering different aspects of the regeneration
process, including cell biology, material sciences and bioengineering. The
development of tissue engineering strategies incorporating the use of autologous
stem cells holds particular promise for overcoming insufficiencies from using
cells from the patient's own diseased tissues and providing solutions for
treatment of many disorders of the genitourinary tract. Many experimental
projects have successfully utilized stem cells and several pilot studies in
humans indicate the potential of stem cell therapy. However, the discipline is
still young and further knowledge of both materials and stem cell biology is
required before this promise can be realized through clinical application. This
review examines the principles related to regenerative medicine and
bioengineering focusing on the stem cell isolation expansion and clinical
application. Analysis of current achievements will be reviewed alongside the
challenges that remain to be addressed in considering the present and future
perspectives of regenerative medicine applied to urology.
PMID- 24918401
TI - Skingineering.
AB - Large full-thickness skin defects still represent a significant clinical problem
for burn, plastic, and reconstructive surgeons. In fact, high morbidity and
mortality in the acute phase, as well as functionally and cosmetically
devastating scarring represent vexing problems that are far from being solved in
a satisfactory way. Although a variety of biologic dressings and cultured skin
substitutes, in particular cultured epithelial autografts, have contributed to
improve short- and long-term outcomes of patients in the past, the authors
hypothesize that only the bioengineering of near-natural autologous full
thickness skin grafts harbors the potential for a dimensional breakthrough. This
review gives an insight into the development and characteristics of the
autologous full-thickness skin grafts available for clinical application to date.
In addition, recent scientific progress toward the bioengineering of
dermoepidermal skin grafts which comprise a functional vasculature, pigmentation,
neural elements, and skin appendages is discussed.
PMID- 24918402
TI - Esophagus tissue engineering: designing and crafting the components for the
"hybrid construct" approach.
AB - Although being a tubular structure, the esophagus is an extremely complex organ
to engineer. To engineer an organ, its components and their structure and
function must be well understood. With regards to esophagus, extensive
investigations have been performed in experimental models to understand the
nature of the esophageal epithelial cells with regards to their isolation,
culture, and growth on scaffolds to generate epithelium. Special subpopulations
of these cells have been identified that possess proliferative capabilities with
subsequent differentiative capacity to generate epithelium. Studies have also
been performed to obtain data on the possibilities of utilizing esophageal
biopsies from esophagus damaged after caustic exposure for tissue engineering
applications. Subsequently, attention is being paid to the esophageal smooth
muscle which is an extremely complex structure responsible for the propulsive
activities. In addition to the muscle complex, proper functioning of the
esophagus will require understanding of the enteric nervous system (ENS) that
controls the propulsive activity in a coordinated manner. Investigations have
been performed to better understand the esophageal ENS and to isolate and
maintain these cells under tissue culture conditions. Besides the cellular
elements, studies have also been performed to seed these cells on scaffolds and
study the constructs with regards to cell attachment and viability under tissue
culture conditions. Tests have also been performed on native esophageal tissue to
understand the functioning of this tissue under the effect of pharmacological
agents and to establish norms to compare engineered esophageal tissue.
Vascularization, which is a limiting factor in tissue engineering, has been
approached with the in situ bioreactor concept using the omentum not only to
provide vascular ingrowth but also to offer a pedicle for the engineered
esophagus to enable its surgical transposition. This review offers an insight
into the advances in esophagus tissue engineering in a large experimental model
using the "hybrid construct" approach which advocates the precise engineering of
the tubular gastrointestinal organs based on growth of specific cells on
specially designed scaffolds and amalgamating them to create the desired complex
tissue structure.
PMID- 24918403
TI - LigaSure Hemorrhoidectomy for Symptomatic Hemorrhoids: First Pediatric
Experience.
AB - Hemorrhoids are uncommon in children. Third and fourth degree symptomatic
hemorrhoids may be surgically excised. We describe the first experience of using
LigaSure (Covidien, Mansfield, Massachusetts, United States) to perform
hemorrhoidectomies in children. LigaSure hemorrhoidectomy has been well described
in adults and is found to be superior in patient tolerance as compared with
conventional hemorrhoidectomy.
PMID- 24918404
TI - Prevalence of overweight and associated factors in under-five-year-old children
in urban population in Brazil.
AB - OBJECTIVES: To estimate the prevalence of overweight in children under five years
old from urban households and to investigate associated factors. METHODS: Cross
sectional population-based study carried out in the five regions of Brazil with a
sample of 6,397 children. The World Health Organization 2006 Growth Curves were
used and children were considered overweight when Z-score was higher than two
standard deviations of weight for height. The following variables were
investigated: family income, mothers' education level, race, age, gender, number
of siblings, weight at birth and duration of exclusive breastfeeding. Proportions
were compared with the chi2 test and reasons of prevalence were calculated.
Logistic regression was used for the adjusted analysis. RESULTS: The prevalence
of overweight was of 12%. After adjustments, this prevalence was significantly
higher among males (p = 0.030) and inversely proportional to the child's age (p =
0.032). White children presented 22% higher overweight prevalence than non-white
ones. A linear direct association was verified between weight at birth and
overweight (p = 0.000). Children who were breastfed until 120 days presented 34%
more prevalence of overweight when compared to the ones who were breastfed for a
longer time. CONCLUSIONS: Overweight prevalence was higher in male, under one
year old, white children, with more than 3,500 grams of weight at birth and who
were exclusively breastfed until 120 days.
PMID- 24918405
TI - Prevalence and sociodemographic characteristics of women with induced abortion in
a population sample of Sao Paulo, Brazil.
AB - OBJECTIVES: This study aims at estimating the prevalence of women with induced
abortion among women of childbearing age (15-49 years) who had any previous
pregnancy, in the city of Sao Paulo, Brazil, in the last quarter of 2008, and
identifying the Sociodemographic Characteristics (SC) associated with it.
METHODS: A cross-sectional survey was carried out. The dependent variable was
dichotomized as: no abortion and induced abortion. The independent variables
were: age, paid work/activity, familial monthly income, schooling, marital
status, contraceptive use and number of live births. Statistical analysis was
performed using log-binomial regression models with approximation of Poisson to
estimate the Prevalance Ratios (PR). RESULTS: Of all women with any previous
pregnancy (n = 683), 4.5% (n = 31) reported induced abortion. The final
multivariate model showed that having now between 40 and 44 years (PR = 2.76, p =
0.0043), being single (PR = 2.79, p = 0.0159), having 5 or more live births (PR =
3.97, p = 0.0013), current oral contraception or IUD use (PR = 2.70, p = 0.454)
and using a "non effective" (or of low efficacy) contraceptive method (PR = 4.18,
p = 0.0009) were sociodemographic characteristics associated with induced
abortion in this population. CONCLUSIONS: Induced abortion seems to be used to
limit fertility, more precisely after having reached the desired number of
children. The inadequate use or non-use of effective contraceptive methods, and /
or the use of contraceptives " non effective", exposed also the women to the risk
of unintended pregnancies and, therefore, induced abortions. In addition, when
faced with a pregnancy, single women were more likely to have an abortion than
married women.
PMID- 24918406
TI - Agreement on underlying causes of infant death between original records and after
investigation: analysis of two biennia in the years 2000.
AB - OBJECTIVE: To analyze the agreement between underlying causes of infant deaths
obtained from Death Certificates (DC) with those defined after investigation by
the Municipal Committee for the Prevention of Maternal and Infant Mortality
(CMPMMI), in Londrina, Parana State, in the biennia 2000-2001 and 2007-2008.
METHODS: DC of infants and records of investigations were obtained from the
CMPMMI. The causes of death registered in both sources were coded according to
the International Classification of Diseases, tenth revision (ICD-10), and the
underlying causes of deaths were selected. Agreement between underlying causes of
deaths was verified by Kappa's (k) test and analyzed according to ICD-10 chapters
and blocks of categories in both biennia. RESULTS: In 2000/2001, according to ICD
10 chapters, high agreement rates were observed for conditions originated in the
perinatal period (k = 0.85) and for external causes (k = 0.84), while, for
congenital malformations, there was a substantial agreement (k = 0.71). In
2007/2008, agreement was considered poor for all analyzed chapters. For blocks of
categories, high or substantial agreement rates were observed only in the first
biennium for "congenital malformations of the circulatory system" (k = 0.78) and
for "other external causes of accidental injury" (k = 0.91). CONCLUSIONS: A
decrease in agreement between the sources during the study period indicates
either an improvement in the process of investigation of infant death by the
CMPMMI and/or a worsening in the quality of the DC information.
PMID- 24918407
TI - Sex-specific differences in prevalence and in the factors associated to the
search for health services in a population based epidemiological study.
AB - OBJECTIVES: To identify the access to health care services and associated factors
in adults living in the city of Ribeirao Preto, Sao Paulo, Brazil, in 2007.
METHODS: A cross-sectional population-based epidemiological study with a sample
developed in three stages. The variability introduced in the third sampling
fraction was corrected by the attribution of weights, resulting in a sample of
2,471 participants. The outcome prevalence was estimated according to socio
demographic, behavioral and health-related variables. In order to identify
associated factors, the regression of Poisson was used, obtaining crude and
adjusted prevalence ratios. All estimates were calculated taking into account the
effect of the sampling design. RESULTS: The outcome prevalence increased
according to the age, being higher in female individuals. A different set of
variables remained in the final models, considering each gender separately. Among
men, the monthly income > R$ 1,400.00; scores > 823.6 to the Economic Indicator
of Ribeirao Preto (IERP) and daily average of sitting down time (154.4 - 240
min/day) constituted themselves into protective factors, whereas the increase of
age and scholarship, hospitalization, diabetes and hypertension constituted risk
factors for the use of the services. Among women, health self-reported as
regular, hospitalization, diabetes and hypertension characterized factors
positively associated to the outcome at matter. CONCLUSIONS: The results indicate
the need for planning actions aimed at capturing male individuals, as well as the
revaluation of detection and control of diabetes and hypertension programs, aimed
at the primary prevention of terminal cardiovascular events.
PMID- 24918408
TI - Prevalence of syphilis and associated factors in homeless people of Sao Paulo,
Brazil, using a Rapid Test.
AB - INTRODUCTION: Homeless people are a vulnerable group to sexually transmitted
diseases (STD) with high prevalence of syphilis and hepatitis. OBJECTIVES: To
estimate the prevalence of syphilis infection and its association with risky
behaviors for STDs in a sample of homeless people, and to assess the feasibility
of the use of rapid syphilis test (RST) in this population. METHODS: Cross
sectional study, in a convenience sample of homeless people assisted in social
support services of Sao Paulo, between 2006 and 2007. A structured questionnaire
was applied and RST was performed. In addition, a blood sample for syphilis
detection was also collected. The sensitivity and specificity of the RST was
estimated using conventional laboratory diagnosis (VDRL + TPHA) as reference.
RESULTS: 1,405 volunteers were included in the study. The prevalence rate of
syphilis was 7.0%, and was associated with homosexual practices (OR(adj) 4.9;
95%CI 2.6 - 9.4), prior history of STD (OR(adj) 2.6; 95%CI 1.7 - 4.0) and with
self-referred non-white race (OR(adj) 1.9; 95%CI 1.1 - 3.4). The sensitivity and
specificity of the RST for syphilis were, respectively, 81.4 and 92.1%.
CONCLUSION: The high prevalence of syphilis infection among homeless people shows
the need for actions for its control and the utilization of RST that can be
considered an efficient strategy due to its sensitivity and specificity. Public
Health policymakers must strengthen actions for syphilis control, with screening
tests for syphilis and early treatment, decreasing morbidity with the improvement
of sexual and reproductive health of the population in general and especially the
most vulnerable.
PMID- 24918409
TI - Reliability and validity of a physical activity social support assessment scale
in adolescents--ASAFA Scale.
AB - OBJECTIVE: To analyze the reliability and validity of a scale used to measure
social support for physical activity in adolescents - ASAFA Scale. METHODS: This
study included 2,755 adolescents (57.6% girls, 16.5 +/- 1.2 years of age), from
Joao Pessoa, Paraiba, Brazil. Initially, the scale was consisted of 12 items (6
for social support from parents and 6 from friends). The reliability of the scale
was estimated by Cronbach's alpha coefficient (alpha), by the Composite
Reliability (CR), and by the model with two factors and factorial invariance by
Confirmatory Factor Analysis (CFA) adequacy. RESULTS: The CFA results confirmed
that the social support scale contained two factors (factor 1: social support
from parents; factor 2: social support from friends) with five items each (one
item was excluded from each scale), all with high factor loadings (> 0.65) and
acceptable adjustment indexes (RMR = 0.050; RMSEA = 0.063; 90%CI: 0.060 - 0.067);
AGFI = 0.903; GFI = 0.940; CFI = 0.934, NNFI = 0.932). The internal consistency
was satisfactory (parents: alpha >= 0.77 and CR >= 0.83; friends: alpha >= 0.87
and CR >= 0.91). The scale's factorial invariance was confirmed (p > 0.05;
Deltachi2 and DeltaCFI <= 0.01) across all subgroups analyzed (gender, age,
economic class). The construct validity was evidenced by the significant
association (p < 0.05) between the adolescents physical activity level and the
social support score of parents (rho = 0.29) and friends (rho = 0.39).
CONCLUSIONS: The scale showed reliability, factorial invariance and satisfactory
validity, so it can be used in studies with adolescents.
PMID- 24918410
TI - Frequency of consumption of fruits, vegetables and soft drinks: a comparative
study among adolescents in urban and rural areas.
AB - OBJECTIVE: To compare the frequency of consumption of fruits, vegetables and soft
drinks among adolescents living in urban and rural areas of Pernambuco State.
METHODS: A cross-sectional study based on secondary analysis of data from a
representative sample of high school students in Pernambuco (n = 4,207, 14 - 19
years) was conducted. Data were collected through a previously validated
questionnaire. Adolescents who reported a daily consumption of soft drinks and
occasional consumption of fruits, juices and vegetables were classified as
exposed to inadequate standard of consumption of these foods. The independent
variable was the place of residence (urban/rural). Data were analyzed by
frequency distribution, chi2 test and binary logistic regression. RESULTS: It was
observed that students residing in rural areas had a higher prevalence of
occasional consumption of natural fruit juices (37.6%; 95%CI 36.1 - 39.0) than
those living in urban areas (32.1%; 95%CI 30.7 - 33.6). The proportion of
students exposed to daily consumption of soft drinks was higher among those who
reported they lived in urban areas (65.0%; 95%CI 63.5 - 66.4) compared to those
who reported living in rural areas (55.3%; 95%CI 53.8 - 56.9). CONCLUSION:
Adolescent students living in rural areas had a higher prevalence of low
consumption of natural fruit juices while those residing in urban areas had a
higher prevalence of daily consumption of soda drinks.
PMID- 24918411
TI - Characteristics and current direct costs of hospital admissions due to
occupational accidents in the southwest of Bahia from 2005 to 2007.
AB - OBJECTIVE: This study aimed to identify the profile and cost of admissions for
occupational accidents, under the Unified Health System (UHS) in municipalities
of the southwest of Bahia, in the period of 2005 to 2007. METHODS: It was
conducted a descriptive study using the records of the Hospital Information
System (HIS), from which were extracted data about the sociodemographic,
occupational and hospitalization profiles. To express the results, indicators
were used as absolute frequencies and proportions, the average stay, Total Cost
of Hospitalization (TCH), Hospital Mortality (HM), Average Spenditure (AS) and
Cost per Day (CD). RESULTS: 962 admissions were recorded, of which 94.1% were
related to path accidents. Among the admitted subjects 65.7% were male, and the
most affected age groups were 5 to 14 and 15 to 24 years. There were forearm
fractures on 26 cases of typical accidents. 248 cases of intracranial injuries
happened during commuting to work, which accounted for 78.2% of traffic accidents
and 28.8% of falls. The average stay was of 2.6 days and the deaths occurred in
0.5% of patients discharges. The total cost of the admissions was of R$
243,125.06, being the AS of R$ 252.73 and CD of R$ 97.44, lower than the external
causes. The frequencies of the variables related to the occupation were not
verified due to missing values. CONCLUSION: The data from the HIS Systems were
limited for identify the profile of the admitted workers. However, they are
important and can be used on occupational health surveillance.
PMID- 24918412
TI - Tendencies of mortality by prostate cancer in the states of the Central-West
Region of Brazil, 1980-2011.
AB - This study aimed at analyzing the pattern of prostate cancer mortality in the
Central-West Region, in the period 1980 - 2011. The quadrennial and annual
mortality rates, age-standardized by the world population, were calculated.
Polynomial regression models were estimated to analyze trends of mortality in
Brazilian regions and in the states of the Central-West Region. Throughout Brazil
there was an increase in the magnitude of mortality rates during the study's
period. In the Central-West Region, mortality rates from prostate cancer
increased from 7.65/100,000 in the period 1980 - 1983, to 14.36/100,000 in the
last four years, exceeding the national average. For Mato Grosso do Sul, an
increased trend, although not constant, was observed for prostate mortality
rates, while those rates showed stability for Mato Grosso and presented a
constant trend of increment for Goias along the studied period. There was a
statistically significant negative correlation between mortality rates from
prostate cancer and the proportional mortality from ill-defined causes of death
in the three states, but no correlations were observed between these rates and
the ratios of Prostate Specific Antigen (PSA) tests realized. Difficulties in the
access to the health services network, better quality of death records with
reduction of ill-defined causes and increased use of PSA may have contributed to
the mortality pattern observed in the Central-West Region. Further studies are
needed to investigate these relationships in order, to better understand the
patterns of mortality from this cancer in the Central-West population.
PMID- 24918413
TI - Association between life conditions and vulnerability with mortality from
cardiovascular diseases in elderly men of Northeast Brazil.
AB - The study aimed at identifying explanatory factors of the mortality rate of
elderly men due to cardiovascular diseases in the 187 micro regions of Northeast
Brazil, in 2000, based on indicators of life conditions and vulnerability of that
population, using the structural equations modeling. The following methodological
steps were taken: (1) using Censo 2000's microdata, 10 indicators were selected
to the latent exogenous construct 'life conditions and vulnerability'. Using the
Information System of Mortality from the Brazilian Ministry of Health, data about
deaths from the four major basic causes of cardiovascular diseases were
collected, which composed the endogenous latent construct as the outcome
variable; (2) qualitative analysis of mortality data; (3) statistical analysis
using the structural equation modeling through two phases: adjustment of the
outcome variables measurement model and adjustment of the obtained structural
model. Due to the multicollinearity observed, three indicators showed
significance for the measurement model: years of study, percentage of elderly men
in households with bathroom/plumbing and survival probability at 60 years of age.
The structural model indicated adjustment adequacy of the model, which the
measurement of standardized coefficient was considered of strong effect (SC =
0.81, p-value < 0.01) and coefficient of determination r2 = 66%. It was concluded
that indicators of life conditions and vulnerability were highly associated with
the mortality rate from cardiovascular diseases in elderly men from Northeast
Brazil in 2000.
PMID- 24918414
TI - Prevalence of obesity, overweight and abdominal obesity and its association with
physical activity in a federal university.
AB - A cross sectional study to investigate the prevalence of obesity, overweight and
abdominal obesity and its association with the level of physical activity (PA)
measured in employees of a Rio de Janeiro University according to the
International Physical Activity Questionnaire (IPAQ).299 employees selected by
random sampling were interviewed. The association between PA and anthropometric
markers was estimated by Poisson models (robust variance). The prevalence of
obesity was 27.4% (men 22.8% and 36.3% women), the prevalence of overweight was
63.5% (men 65.0% and women 65.8%) and the prevalence of abdominal obesity was
45.2% (men 35.5% and 63.7% women). Women reported a higher prevalence of low PA
(42.2%) compared to men (33.0%). The models adjusted for socio-demographic and
behavioral variables and habits related to health, showed a significant
association between PA and the outcomes analyzed. The low level of practice of PA
(high level reference) has remained associated with the occurrence of obesity (PR
= 1.89; 95%CI 1.05 - 3.42) and overweight (PR = 1.40; 95%CI 1.08 - 1.80). For the
abdominal obesity, both the mid level (PR = 1.70; 95%CI 1.11 - 2.58) and the low
level (PR = 1.74; 95%CI 1.14 - 2.66) were related. This study found inverse
association between the practice of PA and obesity in line with what has been
recommended by the WHO, and it reinforces the use of IPAQ in population studies.
Specifically in relation to abdominal obesity, a remarkable gradient was not
observed between levels of PA, suggesting that what is important is the high
level of practice of PA.
PMID- 24918415
TI - The International Classification of Functioning, Disability and Health: a
systematic review of observational studies.
AB - OBJECTIVE: To systematically review the use of the International Classification
of Functioning, Disability and Health (ICF) in observational studies. METHODS:
This study is a systematic review of articles that use the ICF in observational
studies. We took into account the observational design papers available in
databases such as PubMed, Lilacs and SciELO, published in English and Portuguese
from January 2001 to June 2011. We excluded those in which the samples did not
comprise individuals, those about children and adolescents, and qualitative
methodology articles. After reading the abstracts of 265 identified articles, 65
met the inclusion criteria. Of these, 18 were excluded. The STROBE (Strengthening
the Reporting of Observational Studies in Epidemiology) adapted Checklist, with
15 items needed for observational studies, was applied to the 47 remaining
articles. Any paper that met 12 of these criteria was included in this systematic
review. RESULTS: 29 articles were reviewed. Regarding the ICF application
methodology, the checklist was used in 31% of the articles, the core set in 31%
and the ICF categories in 31%. In the remaining 7%, it was not possible to define
the applied methodology. In most papers (41%), qualifiers were used in their
original format. As far as the area of knowledge is concerned, most of the
studies were related to Rheumatology (24%) and Orthopedics (21%). Regarding the
study design, 83% of the articles used cross-sectional studies. CONCLUSION:
Results indicate a wide scientific production related to ICF over the past 10
years. Different areas of knowledge are involved in the debate on the improvement
of information on morbidity. However, there are only a few quantitative
epidemiological studies involving the use of ICF. Future studies are needed to
improve data related to functioning and disability.
PMID- 24918416
TI - Quality of life of individuals with stroke and their caregivers in a city of
Triangulo Mineiro.
AB - OBJECTIVE: To compare the quality of life (QoL) of individuals with stroke and
their caregivers. METHODS: This is an observational cross-sectional survey,
involving 83 individuals who suffered a stroke. The participants were divided
into four groups: group of individuals with stroke who have caregivers (44),
group of individuals with stroke without caregivers (39), group of caregivers
(44) and a reference group (83) in the period of March to May 2010. To assess
QoL, the instrument used was the WHOQOL-bref. RESULTS: The highest scores for the
four fields were observed increasingly for the group of individuals with stroke
with caregivers, the stroke group without caregivers followed by the group of
caregivers and the reference group. The comparison of scores between groups
showed that the presence of stroke and the fact of being caregiver affect QoL in
all domains of WHOQOL-bref. CONCLUSION: It was possible to understand the
negative impact that stroke causes in the lives of the affected ones and their
caregivers, in order to better target public health policies.
PMID- 24918417
TI - Aggregation of the four main risk factors to non-communicable diseases among
adolescents.
AB - OBJECTIVE: It was to investigate the cluster of four main risk behaviors
(smoking, alcohol, physical inactivity and low consumption of fruits and
vegetables) related to chronic diseases from Northeastern Brazil. METHODS: Cross
sectional study with a representative sample (n = 600) of high school students
from public schools in Caruaru, Pernambuco, Brazil. The cluster was evaluated by
comparing the observed prevalence expected in all the possibilities of coupling
between the behaviors. The logistic regression analysis was performed by grouping
three or four behaviors, after adjusting for independent variables. RESULTS: With
the exception of smoking, other risk behaviors had prevalence rates above 20%.
Only 0.3% (95%CI 0.1 - 1.3) of the students presented the four risk behaviors
simultaneously, while 15.3% (95%CI 12.3 - 18.2) did not present anyone. Risk
behaviors tended to cluster, particularly smoking and alcohol consumption, being
more pronounced among boys, and physical inactivity and low consumption of fruits
and vegetables among girls (p < 0.05). Regression analysis indicated that, among
the independent variables, those students who do not have physical education
classes were 2.1 times more likely to have three or more risk behaviors added.
CONCLUSIONS: The results of this study allow us to establish the prevalence of
risk behaviors in cluster, which may have important implications for health
policies and practices. It is suggested that educational and health actions are
tested in schools, and physical education classes can be an important context for
intervention.
PMID- 24918419
TI - Application of the WHOQOL-BREF in a community segment as a subsidy for health
promotion actions.
AB - INTRODUCTION: This article presents the results of a research whose objective was
to verify the prevalence of the perception reports regarding quality of life of
library attendees in the public libraries in the Brazilian capital Federal
District (FD) and the surrounding region and to analyse the factors related to
dissatisfaction. METHODS: An epidemiological transversal study was conducted in
592 individuals aged above 12 years old through the application of the WHOQOL
BREF/WHO questionnaire. RESULTS: Higher frequencies of dissatisfaction were
observed among women with ages above 25, with lower personal income and lower
educational level. Dissatisfaction regarding the physical domain was more
prevalent in the surrounding region than in the FD. Under the psychological
domain, dissatisfaction predominated in people in the FD. Negative feelings,
concentration difficulties and dissatisfaction regarding personal safety were
referred by more than 25% of participants in both regions. Regarding the
environment domain, lack of money and of leisure opportunities were the main
complaints. In spite of these findings, interviewees referred being very
satisfied with their health and quality of life. CONCLUSIONS: The results can be
a sign that the quality of life in the study region is in alert level. A careful
look at these data is needed to identify alternatives to change this situation,
with effective actions for Health Promotion and development strategies for the
study area. A planning and an intervention in the area of health education in
public libraries is recommended, since these are very important social loci, that
can be engaged in health promotion and disease prevention actions in the
communities.
PMID- 24918418
TI - Development of a food frequency questionnaire for children from 7 to 10 years
old.
AB - OBJECTIVE: To present and discuss the process of the development of a
Quantitative Food Frequency Questionnaire (QFFQ) aimed to 7-10 year-old children.
METHODS: A methodological study was performed based on the application of a Food
Diary (FD) fulfilled by 85 children. Based on registered foods, the diet
composition in relation to energy and macronutrients was calculated. Foods were
grouped into items and the percentage of the contribution of each item to the
diet in terms of calories and macronutrients were calculated. RESULTS: The QFFQ
was constructed with 92 food items, 76 were selected by Block's method and 16
were identified from other instruments from literature developed for children and
adolescents. The food items were grouped in 17 food groups with 3 serving sizes
and 7 categories to measure food frequency. CONCLUSION: Among the food items, the
rice was the one that showed the biggest percentage of contribution for energy
and carbohydrates. For other nutrients, the meat and the milk were the ones that
contributed most to the consumption of proteins and lipids, respectively.
PMID- 24918420
TI - Performance of references based on body mass index for detecting excess body
fatness in schoolchildren aged 7 to 10 years.
AB - OBJECTIVE: To compare the sensitivity and specificity of body mass index (BMI)
based classification systems and to determine the optimal cut-offs for predicting
excess body fatness in schoolchildren. METHODS: 2795 schoolchildren aged 7 - 10
years were examined. Excess body fatness was defined as the standardized
residuals of the sum of three skinfold thickness ranking at or above the 90th
percentile. The international BMI-based system recommended by the World Health
Organization (WHO-2007) was evaluated on the basis of its sensitivity and
specificity for detecting excess body fatness and compared with a national BMI
reference (Brazil-2006). Likelihood ratios analysis was used to select the
optimal cut-offs in each curve. RESULTS: The two classification systems presented
high sensitivity (92.5 - 98.6%) and moderate specificity (75.9 - 85.0%) for both
sexes. The optimal BMI cut-offs improved specificity with no marked loss of
sensitivity. Using the proposed BMI cut-offs, the post-test probability of
predicting excess body fatness for children classified as non-overweight
decreased from 10 (pre-test probability) to 1.4% in girls and to 1.1% in boys.
For overweight children, this probability increased to more than 46.0%.
CONCLUSION: The results showed that both the WHO-2007 and Brazil-2006
classification systems can be used as screening instruments for excess body
fatness, and that one of the limitations of using the BMI-for-age references
could be improved by refining the existing cut-offs.
PMID- 24918421
TI - Assessment of control and epidemiologic details of the schistosomiasis mansoni in
Bananal, Sao Paulo, Brazil.
AB - The purpose of our study in the municipality of Bananal, state of Sao Paulo,
Brazil, was to stop the transmission of schistosomiasis mansoni. Particular
emphasis was given to such items as the active surveillance and eventual
treatment of hosts, mapping parasite foci, and increasing the extent of basic
sanitation in the municipality. Now, our records indicate that the eradication of
schistosomiasis in the municipality of Bananal is attainable. However, as the
vector Biomphalaria tenagophila can still be found in some water bodies within
this municipality, it remains included in the area where schistosomiasis is
endemic, which calls for very strict measures to avoid the human cases of
schistososomiasis. The expansion of the coverage of the basic sanitation network
and treatment of Schistosoma mansoni cases diagnosed during periodic surveys are
part of the plans to eradicate schistosomiasis in Bananal.
PMID- 24918422
TI - A study on the prevalence of adequacy of iron and vitamin C in children's diets.
AB - The aim of this study was to apply methodological procedures to determine the
prevalence of adequacy of Iron and vitamin C in children's diets. It was included
238 children aged 2 to 3 years enrolled in 2009 in 25 day care centers in the
municipality of Rio de Janeiro. Dietary intake was assessed by weighing the food
and food record. Assessing the prevalence of nutrient adequacy took into
consideration the individual and the group. The best estimate of the needs of the
individual is given by the estimated average requirement (EAR), since we do not
know the true needs of the individual who is being evaluated. To estimate the
need of the group method was used EAR as the cutoff. The prevalence of adequacy
of iron and vitamin C in children's diets was 91.2 and 62.2%, respectively. All
necessary to achieve the method EAR as the cutoff were used, but became unviable
the adjustment of the observed consumption data to estimate the distribution of
usual intake in this group. We conclude that the study of probability of adequacy
of habitual diet in iron and vitamin C in the age group in question was only
possible with the use of procedures for the individual.
PMID- 24918423
TI - Evaluation of the family health strategy implementation in Santa Catarina in 2004
and 2008.
AB - This study aimed to evaluate the implementation of the Family Health Program in
municipalities of the State of Santa Catarina, Brazil at two different periods
(2004 and 2008). Two hundred forty-four (83%) municipalities with complete health
information data comprised the sample. Indicators of coverage, evidence of change
in the healthcare model, and impact were calculated based on health system
database. Official documents and observed distribution of measures at the country
level were used in order to classify municipalities within each indicator. A high
coverage level increased from 73 to 83% of the cities between 2004 and 2008. Most
of them showed poor evidence of change in the healthcare model at both time
points. Increased proportion of cities showed low levels of morbidity by diseases
sensible to the primary health care from 2004 to 2008. Despite the fact that was
improvement in coverage and impact indicators over four years, most of the cities
studied showed poor evidence of change in the healthcare model, warning to the
review of the health care practices and organization by health professionals and
managers.
PMID- 24918424
TI - [Oral health in the EpiFloripa: a prospective study of adult health in southern
Brazil].
AB - OBJECTIVE: To describe methods and challenges of oral health studies nested in a
prospective cohort study of adults. METHODS: A sample of 2,016 adults was
investigated in 2009. Household visits were performed in order to apply a
questionnaire on socioeconomic, demographic, health related variables, medicine
consumption, blood donation, domestic violence and a set of questions related to
women's health. Oral health data included self-reported oral health, number of
remaining teeth, dental services use, perception of dental treatment needs,
occurrence, intensity and impact of dental pain on daily life, xerostomia and
chewing impairment due to poor oral health. In addition, participants' blood
pressure, weight, height and waist circumference were measured. The second wave
of the study was carried out in 2012. A questionnaire on socioeconomic factors,
quality of life, discriminatory experiences, 24-hour dietary recall and oral
health aspects (the same used in 2009) was applied. In addition, blood pressure,
weight, and waist circumference were measured and clinical oral health status was
assessed (dental caries, tooth loss, and periodontal outcomes). RESULTS:
Participation rate was 85.3% (n = 1,720) in 2009 and, among those, 1,222 (71.1%)
were followed up in 2012. CONCLUSIONS: The follow-up of this population will
contribute in the elucidation of the potentially causal associations between oral
outcomes and general chronic diseases.
PMID- 24918425
TI - How does a carnivore guild utilise a substantial but unpredictable anthropogenic
food source? Scavenging on hunter-shot ungulate carcasses by wild dogs/dingoes,
red foxes and feral cats in south-eastern Australia revealed by camera traps.
AB - There is much interest in understanding how anthropogenic food resources
subsidise carnivore populations. Carcasses of hunter-shot ungulates are a
potentially substantial food source for mammalian carnivores. The sambar deer
(Rusa unicolor) is a large (>= 150 kg) exotic ungulate that can be hunted
throughout the year in south-eastern Australia, and hunters are not required to
remove or bury carcasses. We investigated how wild dogs/dingoes and their hybrids
(Canis lupus familiaris/dingo), red foxes (Vulpes vulpes) and feral cats (Felis
catus) utilised sambar deer carcasses during the peak hunting seasons (i.e.
winter and spring). We placed carcasses at 1-km intervals along each of six
transects that extended 4-km into forest from farm boundaries. Visits to
carcasses were monitored using camera traps, and the rate of change in edible
biomass estimated at ~ 14-day intervals. Wild dogs and foxes fed on 70% and 60%
of 30 carcasses, respectively, but feral cats seldom (10%) fed on carcasses.
Spatial and temporal patterns of visits to carcasses were consistent with the
hypothesis that foxes avoid wild dogs. Wild dog activity peaked at carcasses 2
and 3 km from farms, a likely legacy of wild dog control, whereas fox activity
peaked at carcasses 0 and 4 km from farms. Wild dog activity peaked at dawn and
dusk, whereas nearly all fox activity occurred after dusk and before dawn.
Neither wild dogs nor foxes remained at carcasses for long periods and the amount
of feeding activity by either species was a less important predictor of the loss
of edible biomass than season. Reasons for the low impacts of wild dogs and foxes
on sambar deer carcass biomass include the spatially and temporally unpredictable
distribution of carcasses in the landscape, the rapid rate of edible biomass
decomposition in warm periods, low wild dog densities and the availability of
alternative food resources.
PMID- 24918426
TI - Combining morphology and genetics in resolving taxonomy--a systematic revision of
spined loaches (Genus Cobitis; Cypriniformes, Actinopterygii) in the Adriatic
watershed.
AB - Taxonomic investigation of spined loaches from Dalmatia and Herzegovina was
conducted on specimens from 14 localities. The results of the detailed
morphological investigations were combined with genetic data (based on one
mitochondrial and two nuclear genes) in order to resolve the taxonomic status of
each Cobitis population. Among the investigated features of external morphology,
the appearance of spots on the caudal fin base turned out to have the greatest
diagnostic value. Furthermore, the number of branched fin rays enabled the
discrimination of several species. No morphometric character alone could ensure
determination of any Cobitis species. Nevertheless, groups of populations that
are more similar in their body shapes correspond to mitochondrial phylogenetic
lineages. Based on molecular genetic markers, Dalmatian and Herzegovinian spined
loaches form independent lineages inside the Adriatic phylogenetic group.
Mitochondrial DNA phylogenetic reconstruction revealed six monophyletic lineages,
corresponding to six species distributed in the investigated area. The population
distributed in Mostarsko blato karstic field in Bosnia and Herzegovina is
described as a new species based on a unique combination of morphological
characters: a single triangular Canestrini scale; usually 51/2 branched anal fin
rays, 61/2 branched dorsal fin rays, 14 branched caudal fin rays; no spots in the
surface pigmentation layer on the caudal fin base; scales on the body very small.
PMID- 24918428
TI - Spatial measurement of mobility barriers: improving the environment of community
dwelling older adults in Taiwan.
AB - Mobility barriers can impede physical activity, increase the fear of falling, and
pose a threat to the ability of older adults to live independently. This study
investigated outdoor mobility barriers within a nonretirement public housing
community located in Tainan, Taiwan. Site observations and interviews with older
adult residents determined that parked motor scooters, potted plants, the rubber
tiles of play areas, and a set of steps were the most important barriers. In
addition, the space syntax parameters of control value and mean depth were
effectively able to quantitatively measure improvements in walkability resulting
from the hypothesized removal of these four barriers. These measures of improved
walkability can be included in a cost-benefit analysis of spatial improvement
factors to help policymakers address the mobility and accessibility needs of
older adults.
PMID- 24918427
TI - Targeting IL-1beta and IL-17A driven inflammation during influenza-induced
exacerbations of chronic lung inflammation.
AB - For patients with chronic lung diseases, such as chronic obstructive pulmonary
disease (COPD), exacerbations are life-threatening events causing acute
respiratory distress that can even lead to hospitalization and death. Although a
great deal of effort has been put into research of exacerbations and potential
treatment options, the exact underlying mechanisms are yet to be deciphered and
no therapy that effectively targets the excessive inflammation is available. In
this study, we report that interleukin-1beta (IL-1beta) and interleukin-17A (IL
17A) are key mediators of neutrophilic inflammation in influenza-induced
exacerbations of chronic lung inflammation. Using a mouse model of disease, our
data shows a role for IL-1beta in mediating lung dysfunction, and in driving
neutrophilic inflammation during the whole phase of viral infection. We further
report a role for IL-17A as a mediator of IL-1beta induced neutrophilia at early
time points during influenza-induced exacerbations. Blocking of IL-17A or IL-1
resulted in a significant abrogation of neutrophil recruitment to the airways in
the initial phase of infection or at the peak of viral replication, respectively.
Therefore, IL-17A and IL-1beta are potential targets for therapeutic treatment of
viral exacerbations of chronic lung inflammation.
PMID- 24918429
TI - Yolk sac mesenchymal progenitor cells from New World mice (Necromys lasiurus)
with multipotent differential potential.
AB - Fetal membranes are abundant, ethically acceptable and readily accessible sources
of stem cells. In particular, the yolk sac is a source of cell lineages that do
not express MHCs and are mainly free from immunological incompatibles when
transferred to a recipient. Although data are available especially for
hematopoietic stem cells in mice and human, whereas other cell types and species
are dramatically underrepresented. Here we studied the nature and differentiation
potential of yolk sac derived mesenchymal stem cells from a New World mouse,
Necromys lasiurus. Explants from mid-gestation were cultured in DMEM-High glucose
medium with 10% defined fetal bovine serum. The cells were characterized by
standard methods including immunophenotyping by fluorescence and flow cytometry,
growth and differentiation potential and tumorigenicity assays. The first
adherent cells were observed after 7 days of cell culture and included small,
elongated fibroblast-like cells (92.13%) and large, round epithelial-like cells
with centrally located nuclei (6.5%). Only the fibroblast-like cells survived the
first passages. They were positive to markers for mesenchymal stem cells (Stro-1,
CD90, CD105, CD73) and pluripotency (Oct3/4, Nanog) as well as precursors of
hematopoietic stem cells (CD117). In differentiation assays, they were classified
as a multipotent lineage, because they differentiated into osteogenic,
adipogenic, and chondrogenic lineages and, finally, they did not develop tumors.
In conclusion, mesenchymal progenitor cells with multipotent differentiation
potential and sufficient growth and proliferation abilities were able to be
obtained from Necromys yolk sacs, therefore, we inferred that these cells may be
promising for a wide range of applications in regenerative medicine.
PMID- 24918430
TI - Reliable multi-label learning via conformal predictor and random forest for
syndrome differentiation of chronic fatigue in traditional Chinese medicine.
AB - OBJECTIVE: Chronic Fatigue (CF) still remains unclear about its etiology,
pathophysiology, nomenclature and diagnostic criteria in the medical community.
Traditional Chinese medicine (TCM) adopts a unique diagnostic method, namely
'bian zheng lun zhi' or syndrome differentiation, to diagnose the CF with a set
of syndrome factors, which can be regarded as the Multi-Label Learning (MLL)
problem in the machine learning literature. To obtain an effective and reliable
diagnostic tool, we use Conformal Predictor (CP), Random Forest (RF) and Problem
Transformation method (PT) for the syndrome differentiation of CF. METHODS AND
MATERIALS: In this work, using PT method, CP-RF is extended to handle MLL
problem. CP-RF applies RF to measure the confidence level (p-value) of each label
being the true label, and then selects multiple labels whose p-values are larger
than the pre-defined significance level as the region prediction. In this paper,
we compare the proposed CP-RF with typical CP-NBC(Naive Bayes Classifier), CP
KNN(K-Nearest Neighbors) and ML-KNN on CF dataset, which consists of 736 cases.
Specifically, 95 symptoms are used to identify CF, and four syndrome factors are
employed in the syndrome differentiation, including 'spleen deficiency', 'heart
deficiency', 'liver stagnation' and 'qi deficiency'. THE RESULTS: CP-RF
demonstrates an outstanding performance beyond CP-NBC, CP-KNN and ML-KNN under
the general metrics of subset accuracy, hamming loss, one-error, coverage,
ranking loss and average precision. Furthermore, the performance of CP-RF remains
steady at the large scale of confidence levels from 80% to 100%, which indicates
its robustness to the threshold determination. In addition, the confidence
evaluation provided by CP is valid and well-calibrated. CONCLUSION: CP-RF not
only offers outstanding performance but also provides valid confidence evaluation
for the CF syndrome differentiation. It would be well applicable to TCM
practitioners and facilitate the utilities of objective, effective and reliable
computer-based diagnosis tool.
PMID- 24918431
TI - The functional and palaeoecological implications of tooth morphology and wear for
the megaherbivorous dinosaurs from the Dinosaur Park Formation (upper Campanian)
of Alberta, Canada.
AB - Megaherbivorous dinosaurs were exceptionally diverse on the Late Cretaceous
island continent of Laramidia, and a growing body of evidence suggests that this
diversity was facilitated by dietary niche partitioning. We test this hypothesis
using the fossil megaherbivore assemblage from the Dinosaur Park Formation (upper
Campanian) of Alberta as a model. Comparative tooth morphology and wear,
including the first use of quantitative dental microwear analysis in the context
of Cretaceous palaeosynecology, are used to infer the mechanical properties of
the foods these dinosaurs consumed. The phylliform teeth of ankylosaurs were
poorly adapted for habitually processing high-fibre plant matter. Nevertheless,
ankylosaur diets were likely more varied than traditionally assumed: the
relatively large, bladed teeth of nodosaurids would have been better adapted to
processing a tougher, more fibrous diet than the smaller, cusp-like teeth of
ankylosaurids. Ankylosaur microwear is characterized by a preponderance of pits
and scratches, akin to modern mixed feeders, but offers no support for
interspecific dietary differences. The shearing tooth batteries of ceratopsids
are much better adapted to high-fibre herbivory, attested by their scratch
dominated microwear signature. There is tentative microwear evidence to suggest
differences in the feeding habits of centrosaurines and chasmosaurines, but
statistical support is not significant. The tooth batteries of hadrosaurids were
capable of both shearing and crushing functions, suggestive of a broad dietary
range. Their microwear signal overlaps broadly with that of ankylosaurs, and
suggests possible dietary differences between hadrosaurines and lambeosaurines.
Tooth wear evidence further indicates that all forms considered here exhibited
some degree of masticatory propaliny. Our findings reveal that tooth morphology
and wear exhibit different, but complimentary, dietary signals that combine to
support the hypothesis of dietary niche partitioning. The inferred mechanical and
dietary patterns appear constant over the 1.5 Myr timespan of the Dinosaur Park
Formation megaherbivore chronofauna, despite continual species turnover.
PMID- 24918432
TI - Acute colonic pseudo-obstruction caused by mycophenolate mofetil in a kidney
transplant recipient.
AB - Mycophenolate mofetil is a component of immunosuppressive regimens in solid-organ
transplant recipients. Gastrointestinal symptoms such as nausea, abdominal pain,
and diarrhea without fever are common in patients treated with mycophenolate
mofetil. We treated a patient who had acute colonic pseudo-obstruction after
kidney transplant that resolved after discontinuing mycophenolate mofetil. The
disorder recurred soon after resuming mycophenolate mofetil, which is evidence
for an association between mycophenolate mofetil and acute colonic pseudo
obstruction in this patient.
PMID- 24918434
TI - Coronin3 regulates gastric cancer invasion and metastasis by interacting with
Arp2.
AB - Coronin3 expression is increased in gastric cancer (GC) tissues and can promote
GC invasion and metastasis. However, the mechanisms underlying Coronin3 function
in GC remain unclear. In this study, we aimed to explore the interacting
molecules essential for the tumor-promoting effects of Coronin3 in GC. Using mass
spectrometric analysis, functional studies, and immunohistochemistry, we found
that Arp2 interacted with Coronin3, and ectopic expression of Arp2 promoted GC
cell migration and invasion, while Arp2 knockdown suppressed whole-cell motility
and attenuated the Coronin3-mediated upregulation of cell migration and invasion.
In addition, both proteins correlated with the metastatic status of GC patients.
Furthermore, survival analyses demonstrated that both Coronin3 and Arp2
correlated with overall GC patient survival, and the combination of Coronin3 and
Arp2 most accurately predicted GC patient prognosis. Combined, these data
demonstrate that Coronin3 can regulate GC invasion and metastasis through Arp2,
and the combination of Coronin3 and Arp2 provides a potential marker for
predicting GC prognosis.
PMID- 24918435
TI - Generating visual flickers for eliciting robust steady-state visual evoked
potentials at flexible frequencies using monitor refresh rate.
AB - In the study of steady-state visual evoked potentials (SSVEPs), it remains a
challenge to present visual flickers at flexible frequencies using monitor
refresh rate. For example, in an SSVEP-based brain-computer interface (BCI), it
is difficult to present a large number of visual flickers simultaneously on a
monitor. This study aims to explore whether or how a newly proposed frequency
approximation approach changes signal characteristics of SSVEPs. At 10 Hz and 12
Hz, the SSVEPs elicited using two refresh rates (75 Hz and 120 Hz) were measured
separately to represent the approximation and constant-period approaches. This
study compared amplitude, signal-to-noise ratio (SNR), phase, latency, scalp
distribution, and frequency detection accuracy of SSVEPs elicited using the two
approaches. To further prove the efficacy of the approximation approach, this
study implemented an eight-target BCI using frequencies from 8-15 Hz. The SSVEPs
elicited by the two approaches were found comparable with regard to all
parameters except amplitude and SNR of SSVEPs at 12 Hz. The BCI obtained an
averaged information transfer rate (ITR) of 95.0 bits/min across 10 subjects with
a maximum ITR of 120 bits/min on two subjects, the highest ITR reported in the
SSVEP-based BCIs. This study clearly showed that the frequency approximation
approach can elicit robust SSVEPs at flexible frequencies using monitor refresh
rate and thereby can largely facilitate various SSVEP-related studies in neural
engineering and visual neuroscience.
PMID- 24918436
TI - Uridine affects liver protein glycosylation, insulin signaling, and heme
biosynthesis.
AB - Purines and pyrimidines are complementary bases of the genetic code. The roles of
purines and their derivatives in cellular signal transduction and energy
metabolism are well-known. In contrast, the roles of pyrimidines and their
derivatives in cellular function remain poorly understood. In this study, the
roles of uridine, a pyrimidine nucleoside, in liver metabolism are examined in
mice. We report that short-term uridine administration in C57BL/6J mice increases
liver protein glycosylation profiles, reduces phosphorylation level of insulin
signaling proteins, and activates the HRI-eIF-2alpha-ATF4 heme-deficiency stress
response pathway. Short-term uridine administration is also associated with
reduced liver hemin level and reduced ability for insulin-stimulated blood
glucose removal during an insulin tolerance test. Some of the short-term effects
of exogenous uridine in C57BL/6J mice are conserved in transgenic UPase1-/- mice
with long-term elevation of endogenous uridine level. UPase1-/- mice exhibit
activation of the liver HRI-eIF-2alpha-ATF4 heme-deficiency stress response
pathway. UPase1-/- mice also exhibit impaired ability for insulin-stimulated
blood glucose removal. However, other short-term effects of exogenous uridine in
C57BL/6J mice are not conserved in UPase1-/- mice. UPase1-/- mice exhibit normal
phosphorylation level of liver insulin signaling proteins and increased liver
hemin concentration compared to untreated control C57BL/6J mice. Contrasting
short-term and long-term consequences of uridine on liver metabolism suggest that
uridine exerts transient effects and elicits adaptive responses. Taken together,
our data support potential roles of pyrimidines and their derivatives in the
regulation of liver metabolism.
PMID- 24918437
TI - Pharmacological analysis of intrinsic neuronal oscillations in rd10 retina.
AB - In the widely used mouse model of retinal degeneration, rd1, the loss of
photoreceptors leads to rhythmic electrical activity of around 10-16 Hz in the
remaining retinal network. Recent studies suggest that this oscillation is formed
within the electrically coupled network of AII amacrine cells and ON-bipolar
cells. A second mouse model, rd10, displays a delayed onset and slower
progression of degeneration, making this mouse strain a better model for human
retinitis pigmentosa. In rd10, oscillations occur at a frequency of 3-7 Hz,
raising the question whether oscillations have the same origin in the two mouse
models. As rd10 is increasingly being used as a model to develop experimental
therapies, it is important to understand the mechanisms underlying the
spontaneous rhythmic activity. To study the properties of oscillations in rd10
retina we combined multi electrode recordings with pharmacological manipulation
of the retinal network. Oscillations were abolished by blockers for ionotropic
glutamate receptors and gap junctions. Frequency and amplitude of oscillations
were modulated strongly by blockers of inhibitory receptors and to a lesser
extent by blockers of HCN channels. In summary, although we found certain
differences in the pharmacological modulation of rhythmic activity in rd10
compared to rd1, the overall pattern looked similar. This suggests that the
generation of rhythmic activity may underlie similar mechanisms in rd1 and rd10
retina.
PMID- 24918440
TI - Establishment of a simple and rapid identification method for Listeria spp. by
using high-resolution melting analysis, and its application in food industry.
AB - Listeria monocytogenes is the causative bacteria of listeriosis, which has a
higher mortality rate than that of other causes of food poisoning. Listeria spp.,
of which L. monocytogenes is a member, have been isolated from food and
manufacturing environments. Several methods have been published for identifying
Listeria spp.; however, many of the methods cannot identify newly categorized
Listeria spp. Additionally, they are often not suitable for the food industry,
owing to their complexity, cost, or time consumption. Recently, high-resolution
melting analysis (HRMA), which exploits DNA-sequence differences, has received
attention as a simple and quick genomic typing method. In the present study, a
new method for the simple, rapid, and low-cost identification of Listeria spp.
has been presented using the genes rarA and ldh as targets for HRMA. DNA
sequences of 9 Listeria species were first compared, and polymorphisms were
identified for each species for primer design. Species specificity of each HRM
curve pattern was estimated using type strains of all the species. Among the 9
species, 7 were identified by HRMA using rarA gene, including 3 new species. The
remaining 2 species were identified by HRMA of ldh gene. The newly developed HRMA
method was then used to assess Listeria isolates from the food industry, and the
method efficiency was compared to that of identification by 16S rDNA sequence
analysis. The 2 methods were in coherence for 92.6% of the samples, demonstrating
the high accuracy of HRMA. The time required for identifying Listeria spp. was
substantially low, and the process was considerably simplified, providing a
useful and precise method for processing multiple samples per day. Our newly
developed method for identifying Listeria spp. is highly valuable; its use is not
limited to the food industry, and it can be used for the isolates from the
natural environment.
PMID- 24918438
TI - Enzymatic sialylation of IgA1 O-glycans: implications for studies of IgA
nephropathy.
AB - Patients with IgA nephropathy (IgAN) have elevated circulating levels of IgA1
with some O-glycans consisting of galactose (Gal)-deficient N-acetylgalactosamine
(GalNAc) with or without N-acetylneuraminic acid (NeuAc). We have analyzed O
glycosylation heterogeneity of naturally asialo-IgA1 (Ale) myeloma protein that
mimics Gal-deficient IgA1 (Gd-IgA1) of patients with IgAN, except that IgA1 O
glycans of IgAN patients are frequently sialylated. Specifically, serum IgA1 of
healthy controls has more alpha2,3-sialylated O-glycans (NeuAc attached to Gal)
than alpha2,6-sialylated O-glycans (NeuAc attached to GalNAc). As IgA1-producing
cells from IgAN patients have an increased activity of alpha2,6-sialyltransferase
(ST6GalNAc), we hypothesize that such activity may promote premature sialylation
of GalNAc and, thus, production of Gd-IgA1, as sialylation of GalNAc prevents
subsequent Gal attachment. Distribution of NeuAc in IgA1 O-glycans may play an
important role in the pathogenesis of IgAN. To better understand biological
functions of NeuAc in IgA1, we established protocols for enzymatic sialylation
leading to alpha2,3- or alpha2,6-sialylation of IgA1 O-glycans. Sialylation of
Gal-deficient asialo-IgA1 (Ale) myeloma protein by an ST6GalNAc enzyme generated
sialylated IgA1 that mimics the Gal-deficient IgA1 glycoforms in patients with
IgAN, characterized by alpha2,6-sialylated Gal-deficient GalNAc. In contrast,
sialylation of the same myeloma protein by an alpha2,3-sialyltransferase yielded
IgA1 typical for healthy controls, characterized by alpha2,3-sialylated Gal. The
GalNAc-specific lectin from Helix aspersa (HAA) is used to measure levels of Gd
IgA1. We assessed HAA binding to IgA1 sialylated at Gal or GalNAc. As expected,
alpha2,6-sialylation of IgA1 markedly decreased reactivity with HAA. Notably,
alpha2,3-sialylation also decreased reactivity with HAA. Neuraminidase treatment
recovered the original HAA reactivity in both instances. These results suggest
that binding of a GalNAc-specific lectin is modulated by sialylation of GalNAc as
well as Gal in the clustered IgA1 O-glycans. Thus, enzymatic sialylation offers a
useful model to test the role of NeuAc in reactivities of the clustered O-glycans
with lectins.
PMID- 24918441
TI - New insights on developmental dyslexia subtypes: heterogeneity of mixed reading
profiles.
AB - We examined whether classifications based on reading performance are relevant to
identify cognitively homogeneous subgroups of dyslexic children. Each of the 71
dyslexic participants was selected to have a mixed reading profile, i.e. poor
irregular word and pseudo-word reading performance (accuracy and speed). Despite
their homogeneous reading profile, the participants were found to split into four
distinct cognitive subgroups, characterized by a single phonological disorder, a
single visual attention span disorder, a double deficit or none of these
disorders. The two subgroups characterized by single and contrasted cognitive
disorders were found to exhibit a very similar reading pattern but more
contrasted spelling performance (quantitative analysis). A qualitative analysis
of the error types produced in reading and spelling provided some cues about the
participants' underlying cognitive deficit. The overall findings disqualify
subtyping based on reading profiles as a classification method to identify
cognitively homogeneous subgroups of dyslexic children. They rather show an
opaque relationship between the cognitive underpinnings of developmental dyslexia
and their behavioral manifestations in reading and spelling. Future neuroimaging
and genetic studies should take this issue into account since synthesizing over
cognitively heterogeneous children would entail potential pitfalls.
PMID- 24918442
TI - Future declines of coronary heart disease mortality in England and Wales could
counter the burden of population ageing.
AB - BACKGROUND: Coronary Heart Disease (CHD) remains a major cause of mortality in
the United Kingdom. Yet predictions of future CHD mortality are potentially
problematic due to population ageing and increase in obesity and diabetes. Here
we explore future projections of CHD mortality in England & Wales under two
contrasting future trend assumptions. METHODS: In scenario A, we used the
conventional counterfactual scenario that the last-observed CHD mortality rates
from 2011 would persist unchanged to 2030. The future number of deaths was
calculated by applying those rates to the 2012-2030 population estimates. In
scenario B, we assumed that the recent falling trend in CHD mortality rates would
continue. Using Lee-Carter and Bayesian Age Period Cohort (BAPC) models, we
projected the linear trends up to 2030. We validate our methods using past data
to predict mortality from 2002-2011. Then, we computed the error between observed
and projected values. RESULTS: In scenario A, assuming that 2011 mortality rates
stayed constant by 2030, the number of CHD deaths would increase 62% or
approximately 39,600 additional deaths. In scenario B, assuming recent declines
continued, the BAPC model (the model with lowest error) suggests the number of
deaths will decrease by 56%, representing approximately 36,200 fewer deaths by
2030. CONCLUSIONS: The decline in CHD mortality has been reasonably continuous
since 1979, and there is little reason to believe it will soon halt. The commonly
used assumption that mortality will remain constant from 2011 therefore appears
slightly dubious. By contrast, using the BAPC model and assuming continuing
mortality falls offers a more plausible prediction of future trends. Thus,
despite population ageing, the number of CHD deaths might halve again between
2011 and 2030. This has implications for how the potential benefits of future
cardiovascular strategies might best be calculated and presented.
PMID- 24918443
TI - Chondrogenesis of infrapatellar fat pad derived adipose stem cells in 3D printed
chitosan scaffold.
AB - Infrapatellar fat pad adipose stem cells (IPFP-ASCs) have been shown to harbor
chondrogenic potential. When combined with 3D polymeric structures, the stem
cells provide a source of stem cells to engineer 3D tissues for cartilage repair.
In this study, we have shown human IPFP-ASCs seeded onto 3D printed chitosan
scaffolds can undergo chondrogenesis using TGFbeta3 and BMP6. By week 4, a
pearlescent, cartilage-like matrix had formed that penetrated the top layers of
the chitosan scaffold forming a 'cap' on the scaffold. Chondrocytic morphology
showed typical cells encased in extracellular matrix which stained positively
with toluidine blue. Immunohistochemistry demonstrated positive staining for
collagen type II and cartilage proteoglycans, as well as collagen type I. Real
time PCR analysis showed up-regulation of collagen type II, aggrecan and SOX9
genes when IPFP-ASCs were stimulated by TGFbeta3 and BMP6. Thus, IPFP-ASCs can
successfully undergo chondrogenesis using TGFbeta3 and BMP6 and the cartilage
like tissue that forms on the surface of 3D-printed chitosan scaffold may prove
useful as an osteochondral graft.
PMID- 24918444
TI - Molecular detection of bioluminescent dinoflagellates in surface waters of the
Patagonian shelf during early austral summer 2008.
AB - We investigated the distribution of bioluminescent dinoflagellates in the
Patagonian Shelf region using "universal" PCR primers for the dinoflagellate
luciferase gene. Luciferase gene sequences and single cell PCR tests, in
conjunction with taxonomic identification by microscopy, allowed us to identify
and quantify bioluminescent dinoflagellates. We compared these data to
coincidental discrete optical measurements of stimulable bioluminescence
intensity. Molecular detection of the luciferase gene showed that bioluminescent
dinoflagellates were widespread across the majority of the Patagonian Shelf
region. Their presence was comparatively underestimated by optical
bioluminescence measurements, whose magnitude was affected by interspecific
differences in bioluminescence intensity and by the presence of other
bioluminescent organisms. Molecular and microscopy data showed that the complex
hydrography of the area played an important role in determining the distribution
and composition of dinoflagellate populations. Dinoflagellates were absent south
of the Falkland Islands where the cold, nutrient-rich, and well-mixed waters of
the Falklands Current favoured diatoms instead. Diverse populations of
dinoflagellates were present in the warmer, more stratified waters of the
Patagonian Shelf and Falklands Current as it warmed northwards. Here, the
dinoflagellate population composition could be related to distinct water masses.
Our results provide new insight into the prevalence of bioluminescent
dinoflagellates in Patagonian Shelf waters and demonstrate that a molecular
approach to the detection of bioluminescent dinoflagellates in natural waters is
a promising tool for ecological studies of these organisms.
PMID- 24918445
TI - Quantification of carbon and phosphorus co-limitation in bacterioplankton: new
insights on an old topic.
AB - Because the nature of the main resource that limits bacterioplankton (e.g.
organic carbon [C] or phosphorus [P]) has biogeochemical implications concerning
organic C accumulation in freshwater ecosystems, empirical knowledge is needed
concerning how bacteria respond to these two resources, available alone or
together. We performed field experiments of resource manipulation (2*2 factorial
design, with the addition of C, P, or both combined) in two Mediterranean
freshwater ecosystems with contrasting trophic states (oligotrophy vs. eutrophy)
and trophic natures (autotrophy vs. heterotrophy, measured as gross primary
production:respiration ratio). Overall, the two resources synergistically co
limited bacterioplankton, i.e. the magnitude of the response of bacterial
production and abundance to the two resources combined was higher than the
additive response in both ecosystems. However, bacteria also responded positively
to single P and C additions in the eutrophic ecosystem, but not to single C in
the oligotrophic one, consistent with the value of the ratio between bacterial C
demand and algal C supply. Accordingly, the trophic nature rather than the
trophic state of the ecosystems proves to be a key feature determining the
expected types of resource co-limitation of bacteria, as summarized in a proposed
theoretical framework. The actual types of co-limitation shifted over time and
partially deviated (a lesser degree of synergism) from the theoretical
expectations, particularly in the eutrophic ecosystem. These deviations may be
explained by extrinsic ecological forces to physiological limitations of
bacteria, such as predation, whose role in our experiments is supported by the
relationship between the dynamics of bacteria and bacterivores tested by SEMs
(structural equation models). Our study, in line with the increasingly recognized
role of freshwater ecosystems in the global C cycle, suggests that further
attention should be focussed on the biotic interactions that modulate resource co
limitation of bacteria.
PMID- 24918446
TI - Dose-dependent effect of estrogen suppresses the osteo-adipogenic
transdifferentiation of osteoblasts via canonical Wnt signaling pathway.
AB - Fat infiltration within marrow cavity is one of multitudinous features of
estrogen deficiency, which leads to a decline in bone formation functionality.
The origin of this fat is unclear, but one possibility is that it is derived from
osteoblasts, which transdifferentiate into adipocytes that produce bone marrow
fat. We examined the dose-dependent effect of 17beta-estradiol on the ability of
MC3T3-E1 cells and murine bone marrow-derived mesenchymal stem cell (BMMSC)
derived osteoblasts to undergo osteo-adipogenic transdifferentiation. We found
that 17beta-estradiol significantly increased alkaline phosphatase activity
(P<0.05); calcium deposition; and Alp, Col1a1, Runx2, and Ocn expression levels
dose-dependently. By contrast, 17beta-estradiol significantly decreased the
number and size of lipid droplets, and Fabp4 and PPARgamma expression levels
during osteo-adipogenic transdifferentiation (P<0.05). Moreover, the expression
levels of brown adipocyte markers (Myf5, Elovl3, and Cidea) and undifferentiated
adipocyte markers (Dlk1, Gata2, and Wnt10b) were also affected by 17beta
estradiol during osteo-adipogenic transdifferentiation. Western blotting and
immunostaining further showed that canonical Wnt signaling can be activated by
estrogen to exert its inhibitory effect of osteo-adipogenesis. This is the first
study to demonstrate the dose-dependent effect of 17beta-estradiol on the osteo
adipogenic transdifferentiation of MC3T3-E1 cells and BMMSCs likely via canonical
Wnt signaling. In summary, our results indicate that osteo-adipogenic
transdifferentiation modulated by canonical Wnt signaling pathway in bone
metabolism may be a new explanation for the gradually increased bone marrow fat
in estrogen-inefficient condition.
PMID- 24918448
TI - Predation on rose galls: parasitoids and predators determine gall size through
directional selection.
AB - Both predators and parasitoids can have significant effects on species' life
history traits, such as longevity or clutch size. In the case of gall inducers,
sporadically there is evidence to suggest that both vertebrate predation and
insect parasitoid attack may shape the optimal gall size. While the effects of
parasitoids have been studied in detail, the influence of vertebrate predation is
less well-investigated. To better understand this aspect of gall size evolution,
we studied vertebrate predation on galls of Diplolepis rosae on rose (Rosa
canina) shrubs. We measured predation frequency, predation incidence, and
predation rate in a large-scale observational field study, as well as an
experimental field study. Our combined results suggest that, similarly to
parasitoids, vertebrate predation makes a considerable contribution to mortality
of gall inducer larvae. On the other hand, its influence on gall size is in
direct contrast to the effect of parasitoids, as frequency of vertebrate
predation increases with gall size. This suggests that the balance between
predation and parasitoid attack shapes the optimal size of D. rosae galls.
PMID- 24918447
TI - The effects of vitamin D supplementation on hepatic dysfunction, vitamin D
status, and glycemic control in children and adolescents with vitamin D
deficiency and either type 1 or type 2 diabetes mellitus.
AB - BACKGROUND: The effects of vitamin D supplementation on mild hepatic dysfunction
and glycemic control are unclear in children and adolescents with either type 1
(T1D) or type 2 diabetes (T2D). HYPOTHESIS: Vitamin D supplementation will
improve hepatic dysfunction and glycemic control. AIM: To determine the effect of
vitamin D supplementation on alanine transaminase (ALT), hemoglobin A1c (HbA1c),
and serum 25-hydroxyvitamin D [25(OH)D] concentration. SUBJECTS AND METHODS: A
retrospective study of 131 subjects with either T1D (n = 88 ? 46 females, 42
males), or T2D (n = 43 ? 26 females, 17 males) of ages 3-18 years between 2007
2013. All subjects had (1) a diagnosis of diabetes for > 12 mo, (2) received
vitamin D supplementation for the management of vitamin D deficiency (3) had
baseline and subsequent simultaneous measurements of HbA1c, ALT, and 25(OH)D.
Vitamin D deficiency was defined as 25(OH)D concentration of < 50 nmol/L (20
ng/mL). RESULTS: At baseline, vitamin D deficiency occurred in 72.1% of patients
with T2D and in 37.5% of T1D patients (p < 0.001). Patients with T2D had
significantly higher values for BMI SDS (p < 0.001), alanine transaminase (ALT)
(p = 0.001), but lower 25(OH)D p < 0.001), and no difference in HbA1c (p = 0.94),
and total daily dose (TDD) of insulin per kg body weight (p = 0.48) as compared
to T1D patients. After 3 months of vitamin D supplementation, there was a
significant increase in 25(OH)D in both T2D (p = 0.015), and T1D patients (p <
0.001); significant reduction in BMI SDS (p = 0.015) and ALT (p = 0.012) in T2D,
but not in T1D. There was a clinically-significant decrease in HbA1c in T2D from
8.5 +/- 2.9% at baseline to 7.7 +/- 2.5 at 3 mo, but not in T1D, 8.5 +/- 1.2 to
8.53 +/- 1.1%. CONCLUSIONS: Vitamin D supplementation in subjects with T2D was
associated with statistically significant decreases in BMI SDS, ALT, and a
clinically-significant decrease in HbA1c.
PMID- 24918449
TI - SHARP1 suppresses angiogenesis of endometrial cancer by decreasing hypoxia
inducible factor-1alpha level.
AB - Recent data support a role for SHARP1, a basic helix-loop-helix transcription
repressor, in the regulation of malignant cell behavior in several human cancers.
However, the expression and role of SHARP1 during the development of endometrial
cancer (EC) remain unclear. Here we show that upregulation of SHARP1 suppressed
tumor angiogenesis by decreasing hypoxia-inducible factor-1alpha (HIF-1alpha),
inhibited cell viability and tumor growth in EC. Immunohistochemical staining
showed that the expression of SHARP1 was negatively correlated with tumor stage,
histological grade, myometrial invasion, lymph node metastasis, blood vessel
permeation in the myometrium and HIF-1alpha expression. Mechanistic studies
showed that SHARP1 interacted with HIF-1alpha physically, and the protein level
of HIF-1alpha and the mRNA level of its target genes (VEGFA, ANGPTL4 and CA9)
were decreased by SHARP1 under hypoxia. Upregulation of SHARP1 in EC impeded
hypoxia-induced angiogenesis by reducing VEGF secretion. Immunohistochemical
analysis verified a correlation between decreased SHARP1 expression and increased
microvessel density in EC tissues. Additionally, SHARP1 inhibited cell viability
in EC cell lines. Overexpression of SHARP1 in vivo inhibited tumor growth and
angiogenesis, and decreased HIF-1alpha expression. In this study, we established
SHARP1 as a novel tumor suppressor of EC and shed light on the mechanisms by how
SHARP1 inhibited EC progression. Therefore, SHARP1 may be a valuable prognostic
biomarker for EC progression and shows promise as a new potential target for
antiangiogenic therapeutics in human EC.
PMID- 24918451
TI - Majority of women are influenced by nonprofessional information sources when
deciding to consult a complementary and alternative medicine practitioner during
pregnancy.
AB - OBJECTIVES: Up to 87% of women are using some form of complementary and
alternative medicine (CAM) during their pregnancy, and this study was conducted
to investigate the information sources that these women find influential in
relation to such use. DESIGN: The study sample was obtained via the Australian
Longitudinal Study on Women's Health. This article is based on a substudy of 1835
pregnant women who were surveyed in 2010. The women answered questions about CAM
use, pregnancy-related health concerns, and influential information sources in
relation to CAM use. Logistic regression models were used to determine the
information sources that women reported as influential in their decision making
regarding CAM use. RESULTS: Of the respondents (n=1835, 79.2% response rate),
48.1% (n=623) of the pregnant women consulted a CAM practitioner and 91.7%
(n=1485) used a CAM product during pregnancy. The results show that, of the women
who used CAM, nearly half (48%, n=493) were influenced by their own personal
experience of CAM and 43% (n=423) by family and friends. Other popular sources of
information were general practitioners 27% (n=263), the media (television, radio,
books, magazines, newspapers) 22% (n=220), obstetricians 21% (n=208) and midwives
19% (n=190). Numerous statistically significant associations between influential
information sources and pregnancy-related health conditions were identified.
CONCLUSIONS: Women utilize a wide variety of information sources regarding their
CAM use during pregnancy. Nonprofessional sources of information were found to be
particularly influential, and maternity health care professionals need to have a
nonjudgmental and open discussion with women about their CAM use during pregnancy
in order to ensure safe and effective maternal outcomes.
PMID- 24918450
TI - The human antibody response to the surface of Mycobacterium tuberculosis.
AB - BACKGROUND: Vaccine-induced human antibodies to surface components of Haemophilus
influenzae and Streptococcus pneumonia are correlated with protection. Monoclonal
antibodies to surface components of Mycobacterium tuberculosis are also
protective in animal models. We have characterized human antibodies that bind to
the surface of live M. tuberculosis. METHODS: Plasma from humans with latent
tuberculosis (TB) infection (n = 23), active TB disease (n = 40), and uninfected
controls (n = 9) were assayed by ELISA for reactivity to the live M. tuberculosis
surface and to inactivated M. tuberculosis fractions (whole cell lysate,
lipoarabinomannan, cell wall, and secreted proteins). RESULTS: When compared to
uninfected controls, patients with active TB disease had higher antibody titers
to the surface of live M. tuberculosis (Delta = 0.72 log10), whole cell lysate
(Delta = 0.82 log10), and secreted proteins (Delta = 0.62 log10), though there
was substantial overlap between the two groups. Individuals with active disease
had higher relative IgG avidity (Delta = 1.4 to 2.6) to all inactivated
fractions. Surprisingly, the relative IgG avidity to the live M. tuberculosis
surface was lower in the active disease group than in uninfected controls (Delta
= -1.53, p = 0.004). Patients with active disease had higher IgG than IgM titers
for all inactivated fractions (ratios, 2.8 to 10.1), but equal IgG and IgM titers
to the live M. tuberculosis surface (ratio, 1.1). Higher antibody titers to the
M. tuberculosis surface were observed in active disease patients who were BCG
vaccinated (Delta = 0.55 log10, p = 0.008), foreign-born (Delta = 0.61 log10, p =
0.004), or HIV-seronegative (Delta = 0.60 log10, p = 0.04). Higher relative IgG
avidity scores to the M. tuberculosis surface were also observed in active
disease patients who were BCG-vaccinated (Delta = 1.12, p < 0.001) and foreign
born (Delta = 0.87, p = 0.01). CONCLUSIONS/SIGNIFICANCE: Humans with active TB
disease produce antibodies to the surface of M. tuberculosis with low avidity and
with a low IgG/IgM ratio. Highly-avid IgG antibodies to the M. tuberculosis
surface may be an appropriate target for future TB vaccines.
PMID- 24918452
TI - Chlorella zofingiensis as an alternative microalgal producer of astaxanthin:
biology and industrial potential.
AB - Astaxanthin (3,3'-dihydroxy-beta,beta-carotene-4,4'-dione), a high-value
ketocarotenoid with a broad range of applications in food, feed, nutraceutical,
and pharmaceutical industries, has been gaining great attention from science and
the public in recent years. The green microalgae Haematococcus pluvialis and
Chlorella zofingiensis represent the most promising producers of natural
astaxanthin. Although H. pluvialis possesses the highest intracellular
astaxanthin content and is now believed to be a good producer of astaxanthin, it
has intrinsic shortcomings such as slow growth rate, low biomass yield, and a
high light requirement. In contrast, C. zofingiensis grows fast phototrophically,
heterotrophically and mixtrophically, is easy to be cultured and scaled up both
indoors and outdoors, and can achieve ultrahigh cell densities. These robust
biotechnological traits provide C. zofingiensis with high potential to be a
better organism than H. pluvialis for mass astaxanthin production. This review
aims to provide an overview of the biology and industrial potential of C.
zofingiensis as an alternative astaxanthin producer. The path forward for further
expansion of the astaxanthin production from C. zofingiensis with respect to both
challenges and opportunities is also discussed.
PMID- 24918454
TI - Acetylcholinesterase inhibitory activity of pigment echinochrome A from sea
urchin Scaphechinus mirabilis.
AB - Echinochrome A (EchA) is a dark-red pigment of the polyhydroxynaphthoquinone
class isolated from sea urchin Scaphechinus mirabilis. Acetylcholinesterase
(AChE) inhibitors are used in the treatment of various neuromuscular disorders,
and are considered as strong therapeutic agents for the treatment of Alzheimer's
disease (AD). Although EchA is clinically used to treat ophthalmic diseases and
limit infarct formation during ischemia/ reperfusion injury, anti-AChE effect of
EchA is still unknown. In this study, we investigated the anti-AChE effect of
EchA in vitro. EchA and its exhausted form which lost anti-oxidant capacity did
not show any significant cytotoxicy on the H9c2 and A7r5 cells. EchA inhibited
AChE with an irreversible and uncompetitive mode. In addition, EchA showed
reactive oxygen species scavenging activity, particularly with nitric oxide.
These findings indicate new therapeutic potential for EchA in treating reduced
acetylcholine-related diseases including AD and provide an insight into
developing new AChE inhibitors.
PMID- 24918455
TI - A review of potable water accessibility and sustainability issues in developing
countries - case study of Uganda.
AB - Providing sources of sustainable and quality potable water in Uganda is a
significant public health issue. This project aimed at identifying and
prioritizing possible actions on how sustainable high quality potable water in
Uganda's water supply systems could be achieved. In that respect, a review of
both the current water supply systems and government programs on drinking water
in Uganda was completed. Aspects of quantity, quality, treatment methods,
infrastructure, storage and distribution of water for different water systems
were evaluated and compared with the existing water supply systems in the U.S.,
Latin America and the Caribbean, for purposes of generating feasible
recommendations and opportunities for improvement. Uganda utilizes surface water,
groundwater, and rainwater sources for consumption. Surface water covers 15.4% of
the land area and serves both urban and rural populations. Lake Victoria
contributes about 85% of the total fresh surface water. Potable water quality is
negatively affected by the following factors: disposal of sewage and industrial
effluents, agricultural pesticides and fertilizers, and surface run-offs during
heavy rains. The total renewable groundwater resources in Uganda are estimated to
be 29 million m3/year with about 20,000 boreholes, 3000 shallow-wells and 200,000
springs, serving more than 80% of the rural and slum communities. Mean annual
rainfall in Uganda ranges from 500 mm to 2500 mm. Groundwater and rainwater
quality is mainly affected by poor sanitation and unhygienic practices. There are
significant regional variations in the accessibility of potable water, with the
Northeastern region having the least amount of potable water from all sources.
Uganda still lags behind in potable water resource development. Priorities should
be placed mainly on measures available for improvement of groundwater and
rainwater resource utilization, protection of watersheds, health education,
improved water treatment methods and distribution in rural areas, and pollution
control and monitoring. Implementing these changes can promote potable water
accessibility especially to the poor populations living in rural and urban slum
areas because they comprise the majority (80%) of Uganda's population.
PMID- 24918453
TI - Emerging strategies and integrated systems microbiology technologies for
biodiscovery of marine bioactive compounds.
AB - Marine microorganisms continue to be a source of structurally and biologically
novel compounds with potential use in the biotechnology industry. The unique
physiochemical properties of the marine environment (such as pH, pressure,
temperature, osmolarity) and uncommon functional groups (such as isonitrile,
dichloroimine, isocyanate, and halogenated functional groups) are frequently
found in marine metabolites. These facts have resulted in the production of
bioactive substances with different properties than those found in terrestrial
habitats. In fact, the marine environment contains a relatively untapped
reservoir of bioactivity. Recent advances in genomics, metagenomics, proteomics,
combinatorial biosynthesis, synthetic biology, screening methods, expression
systems, bioinformatics, and the ever increasing availability of sequenced
genomes provides us with more opportunities than ever in the discovery of novel
bioactive compounds and biocatalysts. The combination of these advanced
techniques with traditional techniques, together with the use of dereplication
strategies to eliminate known compounds, provides a powerful tool in the
discovery of novel marine bioactive compounds. This review outlines and discusses
the emerging strategies for the biodiscovery of these bioactive compounds.
PMID- 24918456
TI - Multiclass cancer classification based on gene expression comparison.
AB - As the complexity and heterogeneity of cancer is being increasingly appreciated
through genomic analyses, microarray-based cancer classification comprising
multiple discriminatory molecular markers is an emerging trend. Such multiclass
classification problems pose new methodological and computational challenges for
developing novel and effective statistical approaches. In this paper, we
introduce a new approach for classifying multiple disease states associated with
cancer based on gene expression profiles. Our method focuses on detecting small
sets of genes in which the relative comparison of their expression values leads
to class discrimination. For an m-class problem, the classification rule
typically depends on a small number of m-gene sets, which provide transparent
decision boundaries and allow for potential biological interpretations. We first
test our approach on seven common gene expression datasets and compare it with
popular classification methods including support vector machines and random
forests. We then consider an extremely large cohort of leukemia cancer patients
to further assess its effectiveness. In both experiments, our method yields
comparable or even better results to benchmark classifiers. In addition, we
demonstrate that our approach can integrate pathway analysis of gene expression
to provide accurate and biological meaningful classification.
PMID- 24918457
TI - Event-related potentials show taste and risk effects on food evaluation.
AB - Tastes and claims about unhealthy food are important factors that affect
consumption. This study investigated the correlation of the event-related
potential (ERP) of the evaluation of processing of food information with the task
of positive judgment. Given the information on possible diseases that arise with
food consumption, sweet-tasting food elicited more conflict than salty food, and
this conflict was reflected by a negative ERP component at 250-500 ms (N400).
Moreover, the late positive wave at 500-800 ms that was evoked by presentation of
food with the names of chronic diseases that could arise from the consumption of
such food was larger than that evoked when acute diseases were presented. Sweet
tasting food caused a more intense conflict with disease-related risk than salty
food, and chronic diseases aroused a stronger emotional fear than acute diseases.
These findings provide new insights into the N400 component and the
neurocognitive processes of evaluating food combined with taste and risk
information.
PMID- 24918458
TI - D609-mediated inhibition of ATP synthesis in neural progenitor cells.
AB - Tricyclodecan-9-yl-xanthogenate (D609) is an antioxidative molecule with
antiproliferative and neuroprotective properties in a variety of cells.
Previously, we have shown that D609 decreased the proliferation of neural
progenitor cells. In this study, we examined the antioxidative property of D609
on neural progenitor cells isolated from the subventricular zone of the rat
brain. Cellular oxidation was assessed by measuring the ATP content of the cells.
Our results show that D609 decreased the ATP content of the neural progenitor
cells by ~40%, suggesting the possible inhibition of cellular metabolic activity.
Cytochrome c oxidase (Cox), also known as complex IV of the electron transport
chain, is a terminal enzyme involved in the oxidation of substrates resulting in
the generation of energy required for the cellular activity. Therefore,
regulating the activity of Cox could interfere with the generation of ATP,
consequently affecting the proliferation of cells. Consistent with this
hypothesis, we also observed a decrease in the Cox activity following the
incubation of neural progenitor cells with D609. These results suggest that D609
could inhibit the activity of Cox and subsequent ATP synthesis in the neural
progenitor cells.
PMID- 24918459
TI - Involvement of extracellular factors in maintaining self-renewal of neural stem
cell by nestin.
AB - Nestin knockout leads to embryonic lethality and self-renewal deficiency in
neural stem cells (NSCs). However, how nestin maintains self-renewal remains
uncertain. Here, we used the dosage effect of nestin in heterozygous mice (Nes+/
) to study self-renewal of NSCs. With existing extracellular signaling in vivo or
in vitro, nestin levels do not affect proliferation ability or apoptosis when
compared between Nes+/- and Nes+/+ NSCs. However, self-renewal ability of Nes+/-
NSCs is impaired when plated at a low cell density and completely lost at a
clonal density. This deficiency in self-renewal at a clonal density is rescued
using a medium conditioned by Nes+/+ NSCs. In addition, the Akt signaling pathway
is altered at low density and reversed by conditioned medium. Our data show that
secreted factors contribute toward maintaining self-renewal of NSCs by nestin,
potentially through Akt signaling.
PMID- 24918460
TI - Decreased white matter integrity in mesial temporal lobe epilepsy: a machine
learning approach.
AB - Statistical analysis on diffusion tensor imaging has been used extensively in
mesial temporal lobe epilepsy (mTLE) and most studies report decrease in
fractional anisotropy (FA) in multiple white matter regions. However, these
findings vary across studies and between regions. Therefore, in this study, we
used tract-based spatial statistics along with machine learning approaches to
investigate the whole-brain white matter changes between 17 left mTLE patients
and 15 right mTLE patients and 34 matched healthy controls. The results showed
that the three groups could be distinguished from each other with promising
accuracy. Compared with controls, the FA value of the most discriminating voxels
was decreased in the ipsilateral limbic system, corpus callosum, and temporal
white matter in both patient groups. Compared with right mTLE, left mTLE had
decreased FA in the left temporal white matter, whereas right mTLE had decreased
FA in the right frontal and temporal white matter, and right posterior corona
radiata. These findings not only provide useful information for lateralization of
the seizure focus but can also be used as a potential biomarker for the diagnosis
and treatment of the mTLE. This may be helpful in assessment of patients with
mTLE when no lesion is detected on visual evaluation.
PMID- 24918461
TI - Is the hypothalamic-pituitary axis the central command for controlling fracture
healing?
PMID- 24918462
TI - Transplanted iNSCs migrate through SDF-1/CXCR4 signaling to promote neural
recovery in a rat model of spinal cord injury: retraction.
AB - The article by Ma et al. [Ma J, Li X, Yi B, Yao H, Zhao H, Zhang Y, et al.
Transplanted iNSCs migrate through SDF-1/CXCR4 signaling to promote neural
recovery in a rat model of spinal cord injury. Neuroreport 2014; 25:391-397]
published in issue 6 of 2014 has been retracted by the Editors. This was owing to
falsification of documentation that meant not all authors supported submission of
the article for publication. The first author Jianhua Ma has accepted
responsibility.
PMID- 24918463
TI - Virtual single-source computed tomography using dual-source acquisition: a new
technique for the dose-neutral intraindividual comparison of different scan
protocols.
AB - OBJECTIVES: The objective of this study was to compare the image quality of a
standard single-source (SSS) computed tomography (CT) with that of a virtual
single-source CT (VSS-CT) data set reconstructed from 2 raw data sets obtained by
dual-source CT acquisition in abdominal CT to establish a radiation dose-neutral
approach for the intraindividual comparison of 3 acquisition protocols at
different radiation dose levels (RDLs). MATERIALS AND METHODS: An abdominal
phantom representing an 80-kg male was imaged using dual-source CT (SOMATOM
Definition; Siemens Healthcare) at 3 RDLs with 120 kV(p) and different tube
currents (low, standard, and high milliampere-second protocol). For each RDL, raw
data were obtained once in single-source mode using x-ray tube A only and 5 times
in dual-source mode using different ratios for tube current of x-ray tubes A and
B (same total radiation dose; A/B: 90%/10%, 80%/20%, 70%/30%, 60%/40%, 50%/50%).
For each RDL, SSS-CT and 5 virtual single-source image data sets (VSS-CT50 - 90)
were reconstructed. To compare SSS-CT and VSS-CT data sets, image quality was
assessed in terms of high- and low-contrast performance by calculating the
modulation transfer function, image noise, noise power spectrum, and, for low
contrast lesion detectability, the modified multiscale structural similarity
index (MS-SSIM*). A maximum decrease of Delta = 5% of image quality compared with
SSS-CT was defined as acceptable, and a noninferiority analysis with Delta was
performed. RESULTS: For modulation transfer function, noninferiority was observed
for all VSS-CT data sets and RDL (P < 0.05). Image noise demonstrated an
acceptable increase (<3.2%, P < 0.05) for each RDL and noise power spectrum
showed only minor differences in the midfrequency range. The MS-SSIM* index
demonstrated for the high RDL protocol a minor decrease for VSS-CT data sets
(<2%, P < 0.05). For the standard and low RDL, the relative differences of the MS
SSIM* index increased and were only in 1 case above Delta (standard RDL, mean VSS
CT80 5.1%, P > 0.05). CONCLUSIONS: The image quality obtained by virtual and SSS
reconstruction using equivalent total radiation exposure to the patient showed
only negligible differences in image quality. Therefore, this technique might
allow an intraindividual comparison of full and reduced radiation dose protocols
within 1 image acquisition step by simply splitting the radiation dose between
the 2 x-ray tubes of a dual-source CT.
PMID- 24918464
TI - Seven-tesla magnetic resonance imaging of atherosclerotic plaque in the
significantly stenosed carotid artery: a feasibility study.
AB - OBJECTIVES: The objective of this study was to assess the feasibility of carotid
vessel wall imaging at 7.0 for T magnetic resonance imaging (MRI) in a series of
patients with a symptomatic greater than 70% stenosis of the internal carotid
artery. MATERIALS AND METHODS: First, a series of 6 healthy volunteers were
scanned at 3.0 T and 7.0 T MRI to perform a signal-to-noise ratio comparison
between these 2 field strengths. Second, in patients with a greater than 70%
stenosed carotid artery, a 7.0 T MRI protocol, consisting of a dual-echo turbo
spin echo sequence (echo times of 45 and 150 milliseconds) and a T1-weighted
turbo spin echo sequence, was obtained. Lumen and vessel wall were delineated for
interobserver and intraobserver reproducibility, and signal intensity
distribution in the most severely stenosed part of the internal carotid artery
was correlated with different plaque components on histopathologic findings.
RESULTS: The mean (SD) signal-to-noise ratio in the vessel wall was 42 (12) at
7.0 T and 24 (4) at 3.0 T. Nineteen patients were included, but technical issues
yielded carotid MRI data of 14 patients available for the final analysis. Of
these patients, 4 were diagnosed with stroke, 7 were diagnosed with a transient
ischemic attack, and 3 were diagnosed with amaurosis fugax. Intraclass
correlation coefficient of the agreements of lumen and vessel wall determination
between 2 observers and between the repeated measures of 1 observer were above
0.80 in both 3.0 T and 7.0 T data sets of the healthy volunteers and also in the
7.0 T data set of the patients. Signal hyperintensity in the 7.0 T magnetic
resonance images was inversely proportional to calcification. Other correlations
between plaque components and signal intensity could not be confirmed.
CONCLUSIONS: This first series of patients with carotid atherosclerotic plaque
who were scanned at 7.0 T MRI shows that 7.0 T MRI enables to adequately
determine lumen and vessel wall areas. Signal hyperintensity in these 7.0 T
magnetic resonance images was inversely proportional to calcification. However,
at this stage, no other correlations between histologic findings and vessel wall
contrast were found. Implementation of in vivo high-resolution 7.0 T MRI of
plaque components for risk stratification remains challenging. Future development
of hardware and software is still needed to attain a more robust setup and to
enable complete plaque characterization, similar to what is currently possible
with multiple MRI sequences at 1.5 T and 3.0 T MRI.
PMID- 24918465
TI - Cystic gastrointestinal stromal tumors of the pancreas simulating
cystoadenocarcinoma. Report of three cases and short review of the literature.
AB - Gastrointestinal stromal tumors (GISTs) represent a distinct subset of
mesenchymal tumours of the gastrointestinal tract. They are more common in the
stomach and small intestine, and are characterized by the proliferation of
spindle or epithelioid cells and by the expression of CD117. Extra
gastrointestinal stromal tumors are rare and only 13 cases of pancreatic GISTs
have been reported in the literature, only 1 of which presented as a cystic
lesion. Mutational analysis of KIT and Platelet derived growth factor receptor
alpha genes was performed only in two out of the 13 cases. We report 3 cases of
cystic GISTs of the pancreas, radiologically mimicking a cystoadenocarcinoma.
Routine histopathology and molecular characterization of the tumours have been
performed. In two of them, molecular analysis showed unusual genetic alterations
(the internal repeat of codon 502 and 503 in exon 9 of the KIT gene and the KIT
exon 9 single nucleotide substitution c.1427G?T). Pancreatic GIST should be
included in the differential diagnosis of both cystic and solid masses of the
pancreas. The diagnosis should be accomplished by a combination of radiology,
histology, immunohistochemistry and molecular biology. The evaluation of CD117
expression and the sequence analysis of KIT and Platelet derived growth factor
receptor-alpha gene is mandatory for therapy.
PMID- 24918466
TI - Preparation and in vitro/in vivo evaluation of resveratrol-loaded carboxymethyl
chitosan nanoparticles.
AB - Resveratrol (RES) is natural polyphenol with a strong biological activity, but
its disadvantages, such as poor water solubility, susceptibility to oxidative
decomposition and rapid metabolism in the body, which substantially restricts in
vivo bioavailability, need to be resolved. This study used carboxymethyl chitosan
(CMCS) as a drug carrier and utilized emulsion cross-linking to prepare RES
loaded CMCS nanoparticles (RES-CMCSNPs). A single-factor experiment was performed
to optimize the preparation of these particles; in vitro and in vivo
characteristics were evaluated. Spherical RES-CMCSNPs were prepared under optimal
conditions, in which average particle size, potential, drug loading and
encapsulation efficiency were (155.3 +/- 15.2) nm, (-10.28 +/- 6.4) mV, (5.1 +/-
0.8)% and (44.5 +/- 2.2)%, respectively. FTIR, DSC and XRD showed that RES
molecules were wrapped in the nanoparticles. In vitro DPPH radical scavenging
abilities showed RES-CMCSNPs were better than RES raw powder. The nanoparticles
improved the solubility of RES, thereby greatly improving the antioxidant
activity of the drug. In vitro release experiments of RES and RES-CMCSNPs by
simulating the human gastrointestinal tract were performed, in which RES-CMCSNPs
rendered better releasing effects than raw RES. Raw RES and RES-CMCSNPs results
were in line with those obtained for the single-chamber model for pharmacokinetic
studies in rats. Compared with the bulk drugs, the RES-CMCSNPs exhibited
increased in vivo absorption, prolonged duration of action and increased relative
bioavailability by 3.516 times more than those of the raw RES. In addition, the
residual chloroform is less than the ICH limit for class 2 solvents.
PMID- 24918468
TI - [Atypical human trypanosomoses].
AB - Trypanosomes are principally responsible for two human diseases: human African
trypanosomiasis (HAT) or sleeping sickness (caused by Trypanosoma brucei
gambiense and T. b. rhodesiense), and Chagas disease, also called South American
trypanosomiasis (T. cruzi). However, some trypanosomes that are natural parasites
only of animals can sometimes infect humans and cause the so-called "atypical
human trypanosomiases" (aHT). T. evansi, the agent causing surra in camels,
horses, dogs, and bovines, and T. lewisi, a cosmopolite rat parasite, are the
most frequently involved. These atypical infections involve no or only minor
symptoms, but major symptoms are sometimes present. Parasite elimination is
generally spontaneous, but can require treatment. Molecular tools, such as
polymerase chain reaction, have improved the accuracy of parasite identification.
Immunological techniques, mainly immunoenzymatic assays, can detect asymptomatic
subjects. Several causes, most often concomitant, have been hypothesized,
including immune immaturity, immunodeficiency, and close contact with infected
animals. Innate immunity to animal trypanosomes depends on a trypanolytic factor
called apolipoprotein L-I, present in human serum. A deficit in both
apolipoprotein L-I alleles has been reported in an Indian patient infected by T.
evansi. The prevalence of aHT is probably underestimated. Moreover, these
trypanosomes might become potential emerging zoonotic pathogens, due to their
ability to invade new hosts. An international network has been set up to survey
these aHT (NAHIAT: Network on Atypical Human Infections by Animal Trypanosomes).
PMID- 24918469
TI - The ISBER strategic planning process and 2014 operating plan.
PMID- 24918470
TI - Fusion surgery for recurrent cerebellar infarctions due to bilateral atlantoaxial
rotational vertebral artery occlusion.
AB - STUDY DESIGN: Case report and literature review. OBJECTIVE: To describe a unique
case of recurrent cerebral embolisms with "bilateral" atlantoaxial rotational
vertebral artery occlusion (RVAO), and review the literature on this rare entity.
SUMMARY OF BACKGROUND DATA: The few reports of bilateral bow hunter's stroke
(BHS) contain either RVAO at the subaxial level, and BHS associated with
bilateral RVAO at the atlantoaxial level has never been reported. The treatment
strategy for this entity is controversial. METHODS: An 18-year-old female
experienced a cerebellar embolism in the left superior cerebellar artery area,
followed by a second cerebral embolism in the right posterior inferior cerebellar
artery area. Blood analysis showed no coagulation or autoimmune abnormalities.
Transesophageal echocardiography ruled out a patent foramen ovale. Vertebral
angiography and 3-dimensional computed tomography angiography with neck rotation
clearly showed vertebral artery occlusion at the atlantoaxial level. For
preventing a recurrence of thromboembolic event, surgical intervention was
chosen. RESULTS: The patient underwent posterior atlantoaxial fixation with an
iliac bone graft 2 months after the previous cerebellar infarction. Her
postoperative course was uneventful. At 22 months of follow-up, she had no
neurological deficits or further infarctions. Bony fusion was radiologically
confirmed and 3-dimensional computed tomography angiography with neck rotation
showed good patency of vertebral arteries. CONCLUSION: To our knowledge, the 7
published reports of bilateral BHS or RVAO involve double causes both the
atlantoaxial and subaxial levels, but 1 unspecified case. Because of the
difficulty to identify the proper affected side in the case of bilateral
atlantoaxial BHS with certainty, fusion surgery may be suitable for the reliable
treatment. LEVEL OF EVIDENCE: N/A.
PMID- 24918472
TI - Staphylococcus aureus hemolysin A disrupts cell-matrix adhesions in human airway
epithelial cells.
AB - Treatment of primary or immortalized human airway epithelial cells (16HBE14o-,
S9) or alveolar cancer cells (A549) with recombinant hemolysin A (rHla), a major
virulence-associated factor of Staphylococcus aureus, induces alterations in cell
shape and formation of paracellular gaps in the cell layer. Semiquantitative
Western blotting using extracts of freshly isolated airway tissue (nasal
epithelium) or 16HBE14o- model cells revealed that phosphorylation levels of
focal adhesion kinase (Fak) and paxillin were altered upon treatment of tissue or
cells with rHla. Immune fluorescence analyses showed that rHla treatment of
16HBE14o- cells results in losses of vinculin and paxillin from focal contacts
and a net reduction in the number of focal contacts. The actin cytoskeleton was
strongly remodeled. We concluded that treatment of cells with rHla activates Fak
signaling, which accelerates focal contact turnover and prevents newly formed
focal contacts (focal complexes) from maturation to focal adhesions. The
inability of rHla-treated cells to form stable focal adhesions may be one factor
that contributes to gap formation in the cell layer. In vivo, such changes may
disturb the defensive barrier function of the airway epithelium and may
facilitate lung infections by S. aureus.
PMID- 24918473
TI - Mediators and moderators of chronic pain outcomes in an online self-management
program.
AB - OBJECTIVES: Little is known about the moderators and mediators of change in
online pain interventions based on cognitive-behavior therapy (CBT). We
hypothesized that the effects of painACTION.com, an online pain self-management
program, on pain-related outcomes would be mediated by changes in depression,
anxiety, and stress, as well as the use of coping strategies. We also examined
potential moderators of change. METHODS: First, the efficacy of painACTION.com
and moderators of the intervention effects were evaluated using a pooled sample
from previous back, neuropathic, and arthritis pain studies. Next, we explored
whether the intervention effect on the primary outcomes, pain severity, and
patient global impression of change (PGIC), was mediated by coping strategies or
emotional functioning. RESULTS: Compared with controls, experimental participants
evidenced significant improvement in pain, emotional functioning, and coping
strategies from baseline to follow-up. There were no clear moderators of
intervention effects. Changes in emotional factors, particularly stress levels,
mediated the relationship between the intervention and outcome (pain severity)
over time. DISCUSSION: This study supports the effectiveness of online
interventions when CBT and self-management targets pain levels, emotional
factors, and wellness-focused coping. The importance of stress as a mediator of
pain severity is discussed. The absence of moderators may indicate that the
intervention is effective for a wide variety of patients with chronic pain.
PMID- 24918474
TI - The effect of neck-specific exercise with, or without a behavioral approach, on
pain, disability, and self-efficacy in chronic whiplash-associated disorders: a
randomized clinical trial.
AB - OBJECTIVES: The aim of this study was to compare the effect on self-rated pain,
disability, and self-efficacy of 3 interventions for the management of chronic
whiplash-associated disorders: physiotherapist-led neck-specific exercise (NSE),
physiotherapist-led NSE with the addition of a behavioral approach, or
Prescription of Physical Activity (PPA). MATERIALS AND METHODS: A total of 216
volunteers with chronic whiplash-associated disorders participated in this
randomized, assessor blinded, clinical trial of 3 exercise interventions. Self
rated pain/pain bothersomeness (Visual Analogue Scale), disability (Neck
Disability Index), and self-efficacy (Self-Efficacy Scale) were evaluated at
baseline and at 3 and 6 months. RESULTS: The proportion of patients reaching
substantial reduction in pain bothersomness (at least 50% reduction) was more
evident (P<0.01) in the 2 NSE groups (29% to 48%) compared with the PPA group
(5%) at 3 months. At 6 months 39% to 44% of the patients in the 2 neck-specific
groups and 28% in the PPA group reported substantial pain reduction. Reduction of
disability was also larger in the 2 neck-specific exercise groups at both 3 and 6
months (P<0.02). Self-efficacy was only improved in the NSE group without a
behavioral approach (P=0.02). However, there were no significant differences in
any outcomes between the 2 physiotherapist-led NSE groups. DISCUSSION: NSE
resulted in superior outcomes compared with PPA in this study, but the observed
benefits of adding a behavioral approach to the implementation of exercise in
this study were inconclusive.
PMID- 24918475
TI - Randomized placebo-controlled trial of sucrose analgesia on neonatal skin blood
flow and pain response during heel lance.
AB - OBJECTIVES: To evaluate the effect of oral sucrose on skin blood flow (SBF;
perfusion units; PU) measured by Laser Doppler Imager (LDI) in term newborns and
pain response (Neonatal Infant Pain Scale score; NIPS score) during heel lance;
(2) determine SBF changes during heel lance; and (3) the relationship between SBF
and NIPS. MATERIALS AND METHODS: Term infants <=7 days old (n=56) undergoing
routine heel lance were randomized to pretreatment with 2.0 mL oral 24% sucrose
(n=29) or sterile water (n=27) in a double-blinded, placebo-controlled trial. SBF
was assessed by LDI scans and NIPS scores at 10 minutes before lance, immediately
after lancing, and 5 minutes after blood extraction. Mean SBF and median NIPS
scores were compared between groups using General Linear Model or Kruskal-Wallis.
Regressions examined the relationship between SBF immediately after heel lance
and NIPS score. RESULTS: Mean SBF and median NIPS scores immediately after heel
lance were lower in sucrose-treated infants (167.9+/-15.5 vs. 205.4+/-16.0 PU,
P=0.09; NIPS 1 [interquartile range 0 to 4] vs. NIPS 3 [interquartile range 0 to
6], P=0.02), although no significant difference in mean SBF. During heel lance
NIPS score was predictive of SBF. An increase of 1 in NIPS score was associated
with 11 PU increase in SBF (R=0.21; P=0.09) for sucrose, and 16 PU increase for
placebo-treated infants (R=0.20; P=0.014). CONCLUSIONS: Increased SBF assessed by
LDI is a pain response among term neonates after routine heel lance, which was
not completely attenuated by oral sucrose administration. Increased SBF is
associated with NIPS scores. Sucrose analgesic efficacy evidenced by decreased
NIPS scores for the sucrose group. Association of SBF with NIPS scores suggests
that LDI is potentially useful for assessing newborn procedural pain.
PMID- 24918476
TI - Extra virgin olive oil phenols suppress migration and invasion of T24 human
bladder cancer cells through modulation of matrix metalloproteinase-2.
AB - The consumption of extra virgin olive oil (EVOO), a common dietary habit of the
Mediterranean people, seems to be related to a lower incidence of certain types
of cancer including bladder neoplasm. Metastases are the major cause of bladder
cancer-related deaths and targeting cell motility has been proposed as a
therapeutic strategy to prevent cancer spread. This study aimed to investigate
the potential antimetastatic effect of total phenols extracted from EVOO against
the human transitional bladder carcinoma cell line T24. We also aimed at
verifying that EVOO extract exerts cytotoxic effect on tumor cells without
affecting normal urothelial fibroblasts. Our results show that EVOO extract can
significantly inhibit the proliferation and motility of T24 bladder cells in a
dose-dependent manner. In the same experimental conditions fibroblast
proliferation and motility were not significantly modified. Furthermore the
enzymatic activity of MMP-2 was inhibited at nontoxic EVOO extract doses only in
T24 cells. The qRT-PCR revealed a decrease of the MMP-2 expression and a
simultaneous increase of the tissue inhibitors of metalloproteinases expression.
Our results may support the epidemiological evidences that link olive oil
consumption to health benefits and may represent a starting point for the
development of new anticancer strategies.
PMID- 24918477
TI - Effects of flue gas compositions on nitrosamine and nitramine formation in
postcombustion CO2 capture systems.
AB - Amine-based technologies are emerging as the prime contender for postcombustion
CO2 capture. However, concerns have arisen over the health impacts of amine-based
CO2 capture associated with the release of nitrosamines and nitramines, which are
byproducts from the reactions between flue gas NOx and solvent amines. In this
study, flue gas compositions were systematically varied to evaluate their effects
on the formation of nitrosamines and nitramines in a lab-scale CO2 capture
reactor with morpholine as a model solvent amine. The accumulation of N
nitrosomorpholine in both the absorber and washwater increased linearly with both
NO and NO2 for concentrations up to ~20 ppmv. These correlations could be
extrapolated to estimate N-nitrosomorpholine accumulation at extremely low NOx
levels (0.3 ppmv NO2 and 1.5 ppmv NO). NO played a particularly important role in
driving N-nitrosomorpholine formation in the washwater, likely following partial
oxidation to NO2 by O2. The accumulation of N-nitromorpholine in both the
absorber and washwater positively correlated with flue gas NO2 concentration, but
not with NO concentration. Both N-nitrosomorpholine and N-nitromorpholine
accumulated fastest in the absence of CO2. Flue gas humidity did not affect
nitrosamine accumulation in either the absorber or the washwater unit. These
results provide a basis for estimating the effects of flue gas composition on
nitrosamine and nitramine accumulation in postcombustion CO2 capture systems.
PMID- 24918478
TI - Noninferiority Studies with Multiple New Treatments and Heterogeneous Variances.
AB - The objective of a noninferiority (NI) trial is to affirm the efficacy of a new
treatment compared with an active control by verifying that the new treatment
maintains a considerable portion of the treatment effect of the control.
Compensation by benefits other than efficacy is usually the justification for
using a new treatment, as long as the loss of efficacy is within an acceptable
margin (NI margin) from the standard treatment. A popular approach is to express
this margin in terms of the efficacy difference between the new treatment and the
active control. Based on this approach and the realization that NI trials often
comprise several new treatments, statistical procedures that simultaneously
conduct NI tests of several new treatments have been developed. However, these
procedures rely on the assumption that the variances of the treatments are
homogeneous. In this article, we discuss the undesirable effect of using these
procedures on the familywise Type I error rate when the treatment responses have
heterogeneous variances. To alleviate this problem, we reveal potential
procedures that are more appropriate. Further, a power study is conducted to
compare the different procedures to provide guidance on the selection of adequate
testing procedures in NI trials. Clinical examples are given for illustrative
purposes.
PMID- 24918479
TI - Coupled 3D time-dependent wave-packet approach in hyperspherical coordinates:
application to the adiabatic singlet-state(1(1)A') D(+) + H2 reaction.
AB - We explore a coupled three-dimensional (3D) time-dependent wave packet formalism
in hyperspherical coordinates for a 4D reactive scattering problem on the lowest
adiabatic singlet surface (1(1)A') of the D(+) + H2 reaction. The coupling among
the wavepackets arises through quantization of the rotation matrix, which
represents the orientation of the three particles in space. The required
transformation from Jacobi to hyperspherical coordinates and vice versa during
initialization and projection of the wave packet on the asymptotic state(s), and
the coupled equations of motion, are briefly discussed. With the long-range
potential known to contribute significantly on the D(+) + H2 system, we
demonstrate the workability of our approach, where the convergence profiles of
the reaction probability for the reactive noncharge transfer (RNCT) process [D(+)
+ H2(v=0, j=0,1) -> HD(v',j') + H(+)] are shown for three different collisional
energies (1.7, 2.1, and 2.5 eV) with respect to the helicity (K) and total
angular momentum (J) quantum numbers. The calculated reactive cross-section is
presented as a function of the collision energy for two different initial states
of the diatom (v = 0, j = 0, 1).
PMID- 24918480
TI - Cyclosporine treatment of angioimmunoblastic T-cell lymphoma relapsed after an
autologous hematopoietic stem cell transplant.
AB - An angioimmunoblastic T-cell lymphoma is a unique type of peripheral T-cell
lymphoma; it has an aggressive course and a poor prognosis. There is no standard
therapy for angioimmunoblastic T-cell lymphoma, especially for refractory or
relapsed cases. Here, we report a case of 53-year-old woman with
angioimmunoblastic T-cell lymphoma who underwent high-dose chemotherapy with an
autologous hematopoietic stem cell transplant after several cycles of
chemotherapy, but soon relapsed with severe autoimmune hemolytic anemia. The
patient was given dexamethasone and thalidomide, but responded poorly; however,
she responded well to cyclosporine and finally achieved a sustained response.
Thus, we conclude that cyclosporine has an effect in relapsed angioimmunoblastic
T-cell lymphoma after an autologous hematopoietic stem cell transplant.
PMID- 24918481
TI - A simple method of reducing residual intraperitoneal carbon dioxide after
laparoscopic cholecystectomy: a prospective, randomized, controlled study.
AB - PURPOSE: The aim of the current study was to directly investigate whether active
gas suction reduces intraperitoneal residual carbon dioxide and to analyze the
effect of active gas suction on postoperative pain after laparoscopic
cholecystectomy. SUBJECTS AND METHODS: This prospective, randomized clinical
study included patients between 19 and 65 years of age with gallbladder disease
who were eligible for elective laparoscopic cholecystectomy. Patients were
allocated into either the natural evacuation group (NE group) or the active
suction group (AS group). In the AS group, active suction was applied by
inserting the laparoscopic suction irrigation device through a 5-mm trocar for 60
seconds at the end of surgery. A chest X-ray was taken at postoperative Day 1,
and the residual intraabdominal gas volume was measured. Perioperative data
including pain score and analgesic requirement were collected. RESULTS: Thirty
nine patients were allocated to the NE group, and 36 were allocated to the AS
group. There was no statistically significant difference between the two groups
in terms of demographic data and operative findings. However, a significant
difference was observed in the residual intraperitoneal gas volume, with 15.9+/
6.8 mL in the NE group and 6.7+/-4.0 mL in the AS group (P<.001). Significant
differences were also observed in the pain scores measured 6 hours after surgery,
on postoperative Day 1, and on postoperative Day 2. CONCLUSIONS: Active gas
suction is a very simple procedure that is safe and feasible. Performing this
procedure significantly decreases the residual intraperitoneal gas volume and
postoperative pain after laparoscopic surgery.
PMID- 24918482
TI - Surfactant-induced phases in water-supported alkane monolayers: I.
Thermodynamics.
AB - Alkanes longer than n = 6 carbons do not spread on the water surface, but
condense in a macroscopic lens. However, adding trimethylammonium-based
surfactants, C(m)TAB, in submillimolar concentrations causes the alkanes to
spread and form a single Langmuir-Gibbs (LG) monolayer of mixed alkanes and
surfactant tails, which coexists with the alkane lenses. Upon cooling, this LG
film surface-freezes at a temperature T(s) above the bulk freezing temperature
T(b). The thermodynamics of surface freezing (SF) of these LG films is studied by
surface tension measurements for a range of alkanes (n = 12-21) and surfactant
alkyl lengths (m = 14, 16, 18), at several concentrations c. The surface freezing
range T(s)-T(b) observed is up to 25 degrees C, an order of magnitude larger
than the temperature range of SF monolayers on the surface of pure alkane melts.
The measured (n,T) surface phase diagram is accounted for well by a model based
on mixtures' theory, which includes an interchange energy term omega. omega is
found to be negative, implying attraction between unlike species, rather than the
repulsion found for SF of binary alkane mixtures. Thus, the surfactant/alkane
mixing is a necessary condition for the occurrence of SF in these LG films. The X
ray derived structure of the films is presented in an accompanying paper.
PMID- 24918483
TI - A survey of interprofessional education in chiropractic continuing education in
the United States.
AB - Objective : The purpose of this study is to describe the state of chiropractic
continuing education vis-a-vis interprofessional education (IPE) with medical
doctors (MD) in a survey of a sample of US doctors of chiropractic (DC) and
through a review of policies. Methods : Forty-five chiropractors with experience
in interprofessional settings completed an electronic survey of their experiences
and perceptions regarding DC-MD IPE in chiropractic continuing education (CE).
The licensing bodies of the 50 US states and the District of Columbia were
queried to assess the applicability of continuing medical education (CME) to
chiropractic relicensure. Results : The majority (89.1%) of survey respondents
who attend CE-only events reported that they rarely to never experienced MD-IPE
at these activities. Survey respondents commonly attended CME-only events, and
84.5% stated that they commonly to very commonly experienced MD-IPE at these
activities. More than half (26 of 51) of the licensing bodies did not provide
sufficient information to determine if CME was applicable to DC relicensure.
Thirteen jurisdictions (25.5%) do not, and 12 jurisdictions (23.5%) do accept CME
credits for chiropractic relicensure. Conclusion : The majority of integrated
practice DCs we surveyed reported little to no IPE occurring at CE-only events,
yet significant IPE occurring at CME events. However, we found only 23.5% of
chiropractic licensing bodies allow CME credit to apply to chiropractic
relicensure. These factors may hinder DC-MD IPE in continuing education.
PMID- 24918484
TI - Synthesis and late-stage functionalization of complex molecules through C-H
fluorination and nucleophilic aromatic substitution.
AB - We report the late-stage functionalization of multisubstituted pyridines and
diazines at the position alpha to nitrogen. By this process, a series of
functional groups and substituents bound to the ring through nitrogen, oxygen,
sulfur, or carbon are installed. This functionalization is accomplished by a
combination of fluorination and nucleophilic aromatic substitution of the
installed fluoride. A diverse array of functionalities can be installed because
of the mild reaction conditions revealed for nucleophilic aromatic substitutions
(S(N)Ar) of the 2-fluoroheteroarenes. An evaluation of the rates for substitution
versus the rates for competitive processes provides a framework for planning this
functionalization sequence. This process is illustrated by the modification of a
series of medicinally important compounds, as well as the increase in efficiency
of synthesis of several existing pharmaceuticals.
PMID- 24918485
TI - Medical costs and productivity losses of cancer survivors--United States, 2008
2011.
AB - The number of persons in the United States with a history of cancer has increased
from 3 million in 1971 to approximately 13.4 million in 2012, representing 4.6%
of the population. Given the advances in early detection and treatment of cancer
and the aging of the U.S. population, the number of cancer survivors is projected
to increase by >30% during the next decade, to approximately 18 million. Cancer
survivors face many challenges with medical care follow-up, managing the long
term and late effects of treatments, monitoring for recurrence, and an increased
risk for additional cancers. These survivors also face economic challenges,
including limitations in work and daily activities, obtaining health insurance
coverage and accessing health care, and increasing medical care costs. To
estimate annual medical costs and productivity losses among male and female
cancer survivors and persons without a cancer history, CDC, along with other
organizations, analyzed data from the 2008-2011 Medical Expenditure Panel Survey
(MEPS), sponsored by the Agency for Healthcare Research and Quality. The results
indicate that the economic burden of cancer survivorship is substantial among all
survivors. For male cancer survivors, during 2008-2011, average annual medical
costs and productivity losses resulting from health problems per person and
adjusted to 2011 dollars were significantly higher among cancer survivors than
among persons without a cancer history, by $4,187 and $1,459, respectively; for
females, the estimated annual costs per person were $3,293 and $1,330 higher
among cancer survivors than among persons without a cancer history, respectively.
These findings suggest the need to develop and evaluate health and employment
intervention programs aimed at improving outcomes for cancer survivors and their
families.
PMID- 24918486
TI - Progress toward measles elimination--Eastern Mediterranean Region, 2008-2012.
AB - In 1997, the 22 countries in the World Health Organization (WHO) Eastern
Mediterranean Region (EMR) adopted a goal of measles elimination by 2010. To
achieve this goal, the WHO Regional Office for the Eastern Mediterranean Region
(EMRO) developed a four-pronged strategy: 1) achieve >= 95% vaccination coverage
of children with the first dose of measles-containing vaccine (MCV1) in every
district of each country through routine immunization services, 2) achieve >= 95%
vaccination coverage with the second dose of measles-containing vaccine (MCV2) in
every district of each country either through a routine 2-dose vaccination
schedule or through supplementary immunization activities (SIAs), 3) conduct high
quality, case-based surveillance in all countries, and 4) provide optimal
clinical case management, including supplementing diets with vitamin A. Although
significant progress was made toward measles elimination in the EMR during 1997
2007, the measles elimination goal was not reached by the target date of 2010,
and the date was revised to 2015. This report updates previous reports and
summarizes the progress made toward measles elimination in EMR during 2008-2012.
From 2008 to 2012, large outbreaks occurred in countries with a high incidence of
measles, and reported annual measles cases in EMR increased from 12,186 to
36,456. To achieve measles elimination in EMR, efforts are needed to increase 2
dose vaccination coverage, especially in countries with high incidence of measles
and in conflict-affected countries, and to implement innovative strategies to
reach populations at high risk in areas with poor access to vaccination services
or with civil strife.
PMID- 24918487
TI - Knowledge, attitudes, and practices regarding antimalarial chemoprophylaxis in
U.S. Peace Corps Volunteers--Africa, 2013.
AB - Long-term travelers to areas where malaria is endemic are at risk for this
potentially fatal disease; however, malaria can be prevented through the use of
insecticide-treated bednets, mosquito repellents, and chemoprophylaxis. Three
options for chemoprophylaxis are available in the Africa region: mefloquine,
doxycycline, and atovaquone-proguanil. These options differ by dosing regimen,
cost, and side effect profile. Long-term adverse effects of these drugs have been
reported rarely.
PMID- 24918488
TI - N-acetyl-beta-glucosaminidase urine activity as a marker of early proximal tubule
damage and a predictor of the long-term function of the transplanted kidneys.
AB - INTRODUCTION: Ischaemia-reperfusion injury (IRI) is a factor leading to the
damages of the transplanted kidney, what affects mainly the proximal tubules.
Early monitoring of tubule damage can be an efficient tool to predict the
allograft dysfunction. Present in proximal tubules, N-acetyl-beta-glucosaminidase
(NAG) is a lysosomal enzyme whose excretion rises as a result of IRI or acute
rejection. The aim of this study was to monitor the NAG urine activity to
evaluate the early proximal tubule damage, and to try to predict the long-term
function of the transplanted kidney. MATERIAL AND METHODS: The study enrolled 87
Caucasian renal transplant recipients (61.7% males, 38.3% females, mean age
45.56+/-14.34 years). Urine samples were collected for NAG and creatinine
analysis on the 1st day after transplantation, and then in the 3rd and 12th
month. Protocol biopsies were performed in the 3rd and 12th month. RESULTS: A
significant positive correlation between NAG urine activity in the 3rd month
after transplantation and creatinine concentration on the 14th (p=0.004) and 30th
day (p=0.05), in the 3rd month (p=0.009) and after the 1st (p=0.005) and 2nd year
(p=0.003) was observed. A statistically significantly higher urinary NAG activity
in samples collected in the first 3 days and in the 3rd month after
transplantation among patients with DGF (p=0.006 and p=0.03 respectively) was
found. There was a significant positive correlation between NAG urine activity in
the 3rd month and the grade of tubular atrophy in specimens collected in the 3rd
(p=0.03) and 12th (p=0.04) month. CONCLUSIONS: Monitoring of NAG urine activity
is useful in the evaluation of early proximal tubule damage and predicting the
long-term function of the transplanted kidneys.
PMID- 24918489
TI - A proteomics approach to identify the differential protein level in cardiac
muscle of diabetic rat.
AB - BACKGROUND: Cardiovascular proteomics investigation reveals the characterization
and elucidation of the novel therapeutic targets and strategies to prevent the
development of heart failure associated diabetic complication by using 2DE and
MS. METHODS: The experimental animals were made diabetic with a single
intraperitoneal injection of alloxan (150 mg/kg of bw). Albino rats were randomly
divided into four individual groups: Group-I control (n=6), group-II alloxan
induced diabetic rats, untreated (n=6), group-III (n=6) and group-IV (n=6)
alloxan-induced diabetic rats were treated with aqueous and ethanolic extracts of
Cynodon dactylon for 15 days, respectively. Animals were euthanized to collect
the heart tissues and blood samples. 2DE sample preparation, gel running and
staining (n=6: each groups) were performed at the same time to avoid variation.
The result of six gel images from each group were analyzed and evaluated as one
match set with 2D software (P<0.05). RESULTS: The above experiment revealed two
up-regulated proteins in group-II i.e. NTF4 and ETFB. CONCLUSIONS: NTF4 is a
neuro-protective agent for neuro-degenerative diseases. It will prevent diabetic
secondary complications, such as diabetic polyneuropathy and cardiomyopathy. ETFB
is active in the mitochondria, the energy-producing centres in cells. It is clear
from the experiment that because of up-regulation of ETFB more energy is
availabile and the electron transfer for heart during diabetes is possible, what
leads to reduce the oxidative stress and free-radical formation. The up-regulated
proteins reduced CVD that occurred just before overt hyperglycaemia due to
administration of C. dactylon. This approach established the preliminary
reference map for decoding cellular mechanisms linked between pathogenesis CVD
and diabetes.
PMID- 24918490
TI - Leonurus cardiaca L. herb--a derived extract and an ursolic acid as the factors
affecting the adhesion capacity of Staphylococcus aureus in the context of
infective endocarditis.
AB - The objective was an assessment of the impact of Leonurus cardiaca L. extract
(LCE) and ursolic acid (UA) on the adhesive properties of Staphylococus aureus
NCTC 8325 strain, expressing virulence factors important in the pathogenesis of
infective endocarditis. The adhesion and biofilm formation of bacteria cultured
in the presence of subinhibitory concentrations of LCE or UA on the abiotic
surface or covered with fibrinogen, fibronectin or collagen, were evaluated.
Inhibitory effects of LCE and UA on staphylococcal adherence to both types of
surface were demonstrated. This, in the case of UA, resulted in a significant
reduction of biofilm formation.
PMID- 24918491
TI - Antigen-specific lymphocyte proliferation as a marker of immune response in
guinea pigs with sustained Helicobacter pylori infection.
AB - Helicobacter pylori (H. pylori) bacteria are human pathogens causing symptomatic
gastritis, peptic ulcer or gastric cancer. Little is known about the kinetics of
immune responses in H. pylori infected patients because the initial moment of
infection has not been identified. Various animal models are used to investigate
the immune processes related to H. pylori infection. In this study we checked
whether H. pylori infection in guinea pigs, mimicking natural H. pylori infection
in humans, resulted in the development of specific immune responses to H. pylori
antigens by measuring the proliferation of lymphocytes localized in mesenteric
lymph nodes, spleen and peripheral blood. The maturity of macrophages and
cytokines, delivered by monocyte-macrophage lineage or lymphocytes, were
considered as mediators, which might influence the lymphocyte blastogenic
response. The obtained results showed the activation of T cells localized in
mesenteric lymph nodes by H. pylori antigens in H. pylori infected guinea pigs
four weeks postinfection. The blastogenic activity of lymphocytes was shaped by
their interaction with antigen presenting cells, which were present in the cell
cultures during the whole culture period. Moreover, the balance between cytokines
derived from adherent leukocytes including interleukin 8--IL-8 as well as
interferon gamma--IFN-gamma, and transforming growth factor beta--TGF-beta
delivered by lymphocytes, was probably important for the successful proliferation
of lymphocytes. The H. pylori specific lymphocytes were not propagated in
peripheral blood and spleen of H. pylori infected animals. The modulation of
immunocompetent cells by H. pylori antigens or their different distribution
cannot be excluded.
PMID- 24918492
TI - The effect of thyme and tea tree oils on morphology and metabolism of Candida
albicans.
AB - Members of Candida species cause significant problems in medicine and in many
industrial branches also. In order to prevent from Candida sp. development,
essential oils are more and more frequently applied as natural, non-toxic, non
pollutive and biodegradable agents with a broad spectrum of antimicrobial
activity. The aim of the research was to determine changes in morphology and
metabolic properties of Candida albicans in the presence of thyme and tea tree
oils. Changes of enzymatic activity of isolates were observed in the presence of
both tested essential oils, and they were primarily associated with loss or
decrease of activity of all enzymes detected for control. Furthermore, only for 3
out of 11 isolates additional activity of N-acetyl-beta-glucosaminidase, alpha
mannosidase, alpha-fucosidase and trypsin was detected. Vivid changes in
biochemical profiles were found after treatment with tea tree oil and they were
related to loss of ability to assimilate D-xylose, D-sorbitol and D-trehalose.
The main differences in morphology of isolates compared to the control strain
concerned formation of pseudohyphae structures. Both examined essential oils
caused changes in cell and colony morphology, as well as in the metabolism of
Candida albicans. However, the extent of differences depends on the type and
concentration of an essential oil. The most important finding is the broad
spectrum of changes in yeast enzymatic profiles induced by thyme and tea tree
oils. It can be supposed that these changes, together with loss of ability to
assimilate saccharides could significantly impact Candida albicans pathogenicity.
PMID- 24918494
TI - Triterpene sapogenins with oleanene skeleton: chemotypes and biological
activities.
AB - Critical survey of a selected class of pentacyclic triterpenes--the oleanane
family, is presented based on current literature in order to underline their
value for medicinal chemistry and drug development potential. Oleanenes may be
considered as a renewable resource of valuable research materials which are
structurally diverse, inherently biocompatible and have built-in affinity for
many categories of functional proteins. Although availability of particular
compounds from natural sources may be very low, synthetic methods elaborated by
generations of chemists, secure a way to obtaining desirable structures from
commercial starting materials.
PMID- 24918493
TI - High-grade mutant OmpF induces decreased bacterial survival rate.
AB - OmpF plays very important roles in the influx of antibiotics and bacterial
survival in the presence of antibiotics. However, high-grade mutant OmpF and its
function in decreasing bacterial survival rate have not been reported to date. In
the present study, we cloned a high-grade mutant OmpF (mOmpF) and sequence
analysis suggested that over 45 percent of the DNA sequence was significantly
mutated, leading to dramatic changes in over 55 percent of the amino acid
sequence. mOmpF protein was successfully expressed. When grown in the presence of
antibiotic, the bacterial survival rate decreased and the antibiotic inhibition
zone became larger with the increase of the mOmpF. It was concluded that
concentration of high-grade mutant mOmpF dramatically influenced the bacterial
survival rate. The study presented here may provide insights into better
understanding of the relationships between structure and function of OmpF.
PMID- 24918495
TI - Structural analysis of FeO(1 1 1)/Ag(0 0 1): undulation of hexagonal oxide
monolayers due to square lattice metal substrates.
AB - Iron oxide monolayers are grown on Ag(0 0 1) via reactive molecular beam epitaxy
(metal deposition in oxygen atmosphere). The monolayer shows FeO stoichiometry as
concluded from x-ray photoemission spectra. Both low energy electron diffraction
as well as scanning tunneling microscopy demonstrate that the FeO layer has a
quasi-hexagonal (1 1 1) structure although deposited on a surface with square
symmetry. Compared to bulk values, the FeO(1 1 1) monolayer is unidirectionally
expanded by 3.4% in [Formula: see text] directions while bulk values are
maintained in [Formula: see text] directions. In [Formula: see text] directions,
this lattice mismatch between FeO(1 1 1) monolayer and Ag(0 0 1) causes a
commensurate undulation of the FeO monolayer where 18 atomic rows of the FeO(1 1
1) monolayer match 17 atomic rows of the Ag(0 0 1) substrate. In [Formula: see
text] directions, however, the FeO(1 1 1) monolayer has an incommensurate
structure.
PMID- 24918496
TI - Social patterning in grip strength, chair rise, and walk speed in an aging
population: the Czech HAPIEE Study.
AB - BACKGROUND: The aim was to examine the association of objective measures of
physical functioning (PF) with education and material circumstances and the
decline in PF with age by socioeconomic position (SEP). METHODS: In 3,205
subjects (60-75 years) from the Czech Republic, we assessed relationship between
PF, SEP, and age. Linear regression was used to assess PF measures and SEP
measures. RESULTS: Cross-sectional decline in PF by age was similar in all
individuals. Differences between SEP groups were similar across age groups,
except for the difference in walk speed by material circumstances in men-bigger
at older ages (p = .004). Men and women with the highest education were about 2 s
faster at the chair rise test than those with the lowest education. DISCUSSION:
Findings suggest strong educational gradient in PF, an inconsistent role of self
assessed material circumstances, and virtually no interaction of SEP with the
cross-sectional decline in PF by age.
PMID- 24918497
TI - Resistant hypertension revisited: definition and true prevalence.
PMID- 24918498
TI - Optimization of chondrocyte isolation and phenotype characterization for
cartilage tissue engineering.
AB - Current protocols for chondrocyte isolation are inconsistent, resulting in
suboptimal cell yield and compromised cell quality. Thus, there is a need for an
improved isolation protocol that is able to give a maximum yield with optimal
cell viability while preserving the chondrocyte phenotype. In light of this, we
developed an improved isolation protocol based on enzymatic digestion using 0.1%
(w/v) collagenase II. Different from existing methods of digesting minced
cartilage for a prolonged period (usually 14-16 h), we performed two additional
digestions, with a 5- and 3-h interval in between. The results showed that this
multiple digestion method was able to yield a total number of cells that are more
than a fivefold increase as compared to any of the common isolation protocols.
More importantly, a high percentage of the isolated cells remained viable.
Furthermore, an evaluation of the effect of additional digestions on chondrocyte
phenotype indicated that cells harvested from the second and third digestion
showed a comparable or higher proliferative capacity than the first digestion and
all the cells expressed chondrocyte-specific markers tested, with cells from the
third digestion showing exceptionally high gene expression levels for collagen
type II (Col II), aggrecan, and COMP. Additionally, their ability to produce
collagen type II as well as their morphology were not affected by the two
additional digestions. Taken together, the results suggested that the use of this
isolation protocol resulted in a higher cell yield and the quality of the
isolated cells was maintained. Hence, we recommend this isolation protocol to be
employed for more efficient cell harvesting especially from limited biopsied
cartilage tissue samples.
PMID- 24918499
TI - Ultrafast carrier trapping of a metal-doped titanium dioxide semiconductor
revealed by femtosecond transient absorption spectroscopy.
AB - We explored for the first time the ultrafast carrier trapping of a metal-doped
titanium dioxide (TiO2) semiconductor using broad-band transient absorption (TA)
spectroscopy with 120 fs temporal resolution. Titanium dioxide was successfully
doped layer-by-layer with two metal ions, namely tungsten and cobalt. The time
resolved data demonstrate clearly that the carrier trapping time decreases
progressively as the doping concentration increases. A global-fitting procedure
for the carrier trapping suggests the appearance of two time components: a fast
one that is directly associated with carrier trapping to the defect state in the
vicinity of the conduction band and a slow one that is attributed to carrier
trapping to the deep-level state from the conduction band. With a relatively long
doping deposition time on the order of 30 s, a carrier lifetime of about 1 ps is
obtained. To confirm that the measured ultrafast carrier dynamics are associated
with electron trapping by metal doping, we explored the carrier dynamics of
undoped TiO2. The findings reported here may be useful for the implementation of
high-speed optoelectronic applications and fast switching devices.
PMID- 24918500
TI - Gold sputtered fiducial markers for combined secondary ion mass spectrometry and
MALDI imaging of tissue samples.
AB - Mass spectrometry imaging (MSI) is a label free technique capable of providing
simultaneous identification and localization of biomolecules. A multimodal
approach is required that allows for the study of the complexity of biological
tissue samples to overcome the limitations of a single MSI technique. Secondary
ion mass spectrometry (SIMS) allows for high spatial resolution imaging while
matrix-assisted laser desorption (MALDI) offers a significantly wider mass range.
The combination of coregistered SIMS and MALDI images results in detailed and
unique biomolecular information. In this Technical Note, we describe how gold
sputtered/implanted fiducial markers (FM) are created and can be used to ensure a
proper overlay and coregistration of the two-dimensional images provided by the
two MSI modalities.
PMID- 24918501
TI - Speech Language Pathology and Audiology.
PMID- 24918502
TI - Influence of memory, attention, IQ and age on auditory temporal processing tests:
preliminary study.
AB - PURPOSE: To investigate the existence of correlations between the performance of
children in auditory temporal tests (Frequency Pattern and Gaps in Noise--GIN)
and IQ, attention, memory and age measurements. METHOD: Fifteen typically
developing individuals between the ages of 7 to 12 years and normal hearing
participated in the study. Auditory temporal processing tests (GIN and Frequency
Pattern), as well as a Memory test (Digit Span), Attention tests (auditory and
visual modality) and intelligence tests (RAVEN test of Progressive Matrices) were
applied. RESULTS: Significant and positive correlation between the Frequency
Pattern test and age variable were found, which was considered good (p<0.01,
75.6%). There were no significant correlations between the GIN test and the
variables tested. CONCLUSIONS: Auditory temporal skills seem to be influenced by
different factors: while the performance in temporal ordering skill seems to be
influenced by maturational processes, the performance in temporal resolution was
not influenced by any of the aspects investigated.
PMID- 24918503
TI - Correlation between the characteristics of resonance and aging of the external
ear.
AB - PURPOSE: Aging causes changes in the external ear as a collapse of the external
auditory canal and tympanic membrane senile. Knowing them is appropriate for the
diagnosis of hearing loss and selection of hearing aids. For this reason, the
study aimed to verify the influence of the anatomical changes of the external ear
resonance in the auditory canal in the elderly. METHODS: The sample consisted of
objective measures of the external ear of elderly with collapse (group A), senile
tympanic membrane (group B) and without changing the external auditory canal or
tympanic membrane (group C) and adults without changing the external ear (group
D). In the retrospective/clinical study were performed comparisons of measures of
individuals with and without alteration of the external ear through the gain and
response external ear resonant frequency and the primary peak to the right ear.
RESULTS: In groups A, B and C was no statistically significant difference between
Real Ear Unaided Response (REUR) and Real Ear Unaided Gain (REUG), but not for
the peak frequency. For groups A and B were shown significant differences in REUR
and REUG. Between the C and D groups were significant statistics to the REUR and
REUG, but not for the frequency of the primary peak. CONCLUSION: Changes
influence the external ear resonance, decreasing its amplitude. However, the
frequency of the primary peak is not affected.
PMID- 24918504
TI - Auditory brainstem response: reference-values for age.
AB - PURPOSE: To study the absolute latencies of waves I , III and V and interpeak I
III , III-V and I-V of Auditory brainstem responses obtained in preterm newborns
in relation to post-conceptual age, term newborns and six months of age infants,
establishing reference values for each age group. METHODS: Retrospective study
realized through the analysis of tests performed on 80 infants divided into four
groups, being the group one composed per newborns assessed between 35 and 36
weeks post-conceptual age; group two by newborns assessed between 37 and 38
weeks; group three with newborns reviewed between 39 to 40 weeks; and group four
with infants evaluated with six months. RESULTS: The wave I absolute latency in
the group one was 1.81 ms, decreasing to 1.79 ms in groups two and three, and to
1.70 ms in group four. The wave III latency in group one was 4.74 ms, decreasing
to 4.62 ms in group two, to 4.56 ms in the group three and to 4.37 ms in the
group four. The wave V latency in group one was 7.14 ms, in the group two it was
7.05 ms, in the group three 6.90 ms; and in the group four it was 6.50 ms.
Interpeak latencies were also decreased in all groups. CONCLUSION: The latencies
studied decreased with the increasing age and were similar values with the
international literature.
PMID- 24918505
TI - Intensive non-avoidance group therapy with stutterer adults: preliminary results.
AB - PURPOSE: The purpose of the present study was to evaluate and document the use
and efficacy of intensive non-avoidance group treatment for Bulgarian adults who
stutter (AWS), to specify that changes are adopted in different speech situations
(in the stabilization phase), and to demonstrate that changes are maintained
after intensive therapy. METHODS: Participants were AWS (n=15, 12 males) with an
average age of 25.2 years) Bulgarian native-speakers. Twelve participants were
University students and three were clients with tertiary education in different
areas. All participants were enrolled in First (overall effect) and Second
(interim effect) Stages of Intensive Non-Avoidance Treatment for Stuttering. Van
Riper's stuttering modification therapy approach was employed; the latter
considers a non-avoidance treatment for stuttering. The treatment was conducted
in participants' native Bulgarian language. RESULTS: AWS, as a group (n=15),
significantly decreased the number of stuttered utterances after intensive
treatment; findings were consistent for participants with moderate as well as
severe stuttering. Likewise, there was a significant decrease in duration (in
seconds) of disfluencies after treatment; findings were consistent for
participants with moderate as well as severe stuttering. Eighty percent of AWS
used cancellation immediately and six months after treatment, 65% mastered
preparatory sets immediately and six months after treatment, 35% exhibited pull
outs immediately after treatment and 55%, six months post-treatment. CONCLUSION:
These preliminary findings were taken to suggest that intensive non-avoidance
treatment for stuttering can be successfully employed with Bulgarian adults who
stutter. Special focus was on the positive fluency changes that occurred during
the course of therapy regarding the duration of disfluencies in seconds, and
index of disfluencies.
PMID- 24918506
TI - Adaptation of Clinical Evaluation of Language Functions--4th Edition to Brazilian
Portuguese.
AB - PURPOSES: To translate and adapt the Clinical Evaluation of Language Functions-
4th Edition (CELF-4) to Brazilian Portuguese. METHOD: One hundred and sixty
normal language development school children between the ages of seven and ten,
half from public schools and the other half from private schools, both located on
the east side of Sao Paulo. RESULTS: CELF-4's translation and adjustment to
Brazilian Portuguese language showed equivalence between the original and
translated versions, which demonstrates that there were no significant changes in
the test's form and content. Cronbach's alpha test was used in order to verify
CELF-4's subtests internal consistency, in other words, if every subtest measures
consistently the evaluated constructors. In this analysis, we observed that by
excluding right or wrong items, and problematic items from the pool (those
different from the rest of the group), all analyzed subtest presented
satisfactory internal consistency, except for the Word Association Task for eight
years old. CONCLUSION: Most subtests, as well as the Pragmatic Profile and the
Observational Evaluation Scale, were simply translated, dismissing significant
adaptations. The alterations performed were due to morphosyntactic and
phonological differences between both languages. CELF-4's translated and adapted
version to Brazilian Portuguese was able to characterize the language performance
in the studied population.
PMID- 24918507
TI - Adaptation of the Child Development Clinical Risk Indicators instrument to
retrospective parent report.
AB - PURPOSE: To adapt the Child Development Clinical Risk Indicators (IRDI)
instrument to retrospective report for parents of children from 3 to 7 years old
and administer it in two groups. METHODS: Participated on the study 72 subjects-
parents of children aged from 2 years and 11 months to 7 years and 7 months-
divided into two groups: Research Group and Control Group. The proposed
modification to parent report transformed the 31 indicators (affirmations) into
retrospective self-administered questions to parents, with responses in Likert
scale. The IRDI-questionnaire was administered in the two groups. RESULTS: The
IRDI-questionnaire showed to be easy and quick to administer (average 15 minutes)
and with low cost. The analysis of the questions obtained a good internal
consistency value. The comparison between the groups by the parents' answers to
the 31 questions showed difference in 16 questions. CONCLUSION: The items of the
IRDI-questionnaire concern the main Autism Spectrum Disorders risk signs pointed
out in literature. The parents' answers showed differences between the studied
groups.
PMID- 24918508
TI - Insertion and performance of Speech-Language Pathology and Audiology in Family
Health Support Centers.
AB - PURPOSE: To analyze the structure of the Centers for Supporting the Family Health
(NASF), in 2010, identify the satisfaction degree of speech language pathologists
who work in this area and compare the model proposed by the Brazilian Ministry of
Health with practice. METHODS: Prospective and descriptive study, with 40 speech
language pathologists inserted in NASF type one, from all Brazilian regions, in
2010. It was used a questionnaire with nine questions related to different topics
(work infrastructure, NASF team, actions developed by these professionals and
satisfaction about the work), sent by electronic mail to the speech language
pathologists. Descriptive statistics, chi2, ANOVA and Pearson coefficient of
variation were used to analyze variables. Significance level of 5% was adopted.
RESULTS: The speech language pathologists reported that, in their working places
(NASF), there was an average of 12.2 Health Family Teams, with 8.9 professionals
and 1.6 speech language pathologists. Most of them work 40 hours per week.
Routine activities cited by speech language pathologists were: promotion and
health prevention actions, matricial, therapies, support to health community
workers, referrals, home visits, intersectoral actions and administrative tasks.
There was variability in the satisfaction score: the majority of interviewees
indicated the degree "Somewhat satisfied" for work infrastructure and referrals,
as well as reported "Very satisfied" degree for home visits and support for
health community workers. Comparing the model proposed by the Ministry of Health
with the speech language pathologists' practices, there was no significant
difference. The results show that 40% of speech language pathologists consider
that the NASF actions are below the proposed model. CONCLUSION: The NASF
structure varied in terms of the number of Family Health Teams, professionals
involved and actions performed. There was also significant variability in the
satisfaction degree among the subjects studied.
PMID- 24918509
TI - Measuring noise in classrooms: a systematic review.
AB - PURPOSE: The aim of this systematic review is to outline the main methodologies
used for measuring noise in classrooms and if the noise levels found are suitable
standards. METHODS: A survey of articles published in the last ten years, using
six different databases. Were verified 1.088 publications and only eight studies
met the inclusion criteria: (a) articles published in the last ten years, (b)
articles available in full, (c) studies that have measured the noise in the
classrooms of regular schools. DATA ANALYSIS: descriptive analysis was performed
of selected publications. RESULTS: Five studies conducted to measure the noise
using a sound level meter. One measurement performed using a dosimeter and two
studies used a laptop with audio recording software. In all classrooms, the noise
level was higher than allowed. CONCLUSION: Classrooms are noisy environment and
there is no standardization regarding the methodology that should be used to
measure the noise in these places. Therefore, schools need to be guided
constantly about the importance of acoustic adaptation in the classroom.
PMID- 24918510
TI - Behavioral characteristics of dysphonic children: integrative literature review.
AB - PURPOSE: Integrative review of the scientific literature about the behavioral
characteristics of dysphonic children discussing profiled and their etiologic
relationship with the voice disorder, seeking to define recommendations on the
importance of analyzing the behavior of children in voice assessment. RESEARCH
STRATEGY: Integrative review of articles published in the last 12 years, the
Virtual Health Library. SELECTION CRITERIA: Set up the theme of "behavioral
characteristics of dysphonic children" was selected the databases LILACS, IBECS,
MEDLINE, Cochrane Library, SciELO and ISI Web of Science and the following
inclusion criteria: original articles, publication year from 2000 and 2012,
Portuguese, English and Spanish. DATA ANALYSIS: Among the 528 articles published
childish voice and dysphonia, seven covered the topic researched and were
included in the results. RESULTS: Observed that four papers studied the behavior
of children with vocal nodules, one addressed the behavioral characteristics of
children with various types of dysphonic vocal fold lesion and three correlated
Attention Deficit Disorder / Hyperactivity and dysphonia. CONCLUSION: Not
established a behavioral profile of children with vocal disorders, but the
results were consistent recommendation for the importance of this analysis in the
evaluation of dysphonia in children.
PMID- 24918511
TI - Dysfunctions of the stomatognathic system and vocal aspects in Fahr disease: case
report.
AB - The aim of this study is to report the case of a patient with Fahr's Disease in
order to describe the main stomatognathic and vocal changes that can be found in
individuals with this disease. In order to establish the diagnosis, an assessment
of the conditions of orofacial motor system and speech production, as well the
efficiency of swallowing, was realized. Based on these assessments, there were
difficulties in coordinating and sustaining muscle during speech and presence of
oropharyngeal dysphagia. Speech disorders found in Fahr's disease manifest
themselves in complex and cover various aspects of phonological knowledge and the
diseases that affect the basal ganglia have similar frames of speech-language
disorders of the stomatognathic system, being able to present a picture of
dysarthria.
PMID- 24918512
TI - Neuroradiology and voice findings in stroke.
AB - Neurological dysphonias are vocal disorders followed by injuries or changes in
the nervous system. Stroke is the second leading cause of death worldwide and the
brain damage caused by it can affect communication in several aspects. The
changes in the vocal features caused by these lesions are poorly described
regarding the location and extent of cerebral involvement. The aim of this study
was to describe vocal parameters of ten elderly patients affected by stroke
according to the topography of the lesion at neuroimaging. We recorded from them:
perceptual and auditory evaluation of voice and laryngeal diadochokinesis.
Neuroimaging studies were classified according to location, extent, laterality
territory of brain injury. The results show a population of extensive middle
cerebral artery strokes and strokes with varied location in the brain. The
subjects' voices showed predominantly the presence of roughness, breathiness,
richeness and instability, and change in laryngeal motor control, demonstrated by
reduced speed and instability in laryngeal diadochokinesis. These features
presented in patients with extensive middle cerebral artery stroke and in
patients with short strokes with varied location in the brain. The results
suggest that the vocal features in the assessed cases do not depend only on the
topography of the brain damage. Thus, it is important to consider the patient as
unique in clinical evaluation.
PMID- 24918513
TI - Extended Neuralgic Amyotrophy Syndrome: voice therapy in one case of vocal fold
paralysis.
AB - Neuralgic Amyotrophy (NA) is a rare disturb of the peripheral nervous system that
can include extreme pain, multifocal paresis and atrophy of the muscles of the
upper limbs. When the nerves located outside of the brachial plexus are involved,
the term Neuralgic Amyotrophy Extended (ANE) is used. Diagnosis of NA is clinical
and has a series of inclusion and compatibility criteria established by the
European CMT Consortium. On this study the clinical history, multidimensional
vocal assessment data and the vocal techniques used in five-weeks voice therapy
for one patient, professional voice, with ANE are presented. In this case, sudden
and recurrent paralysis of his right vocal fold was the only manifestation of the
disease. At the end of the fifth week the patient's voice was normal, the spoken
and sung vocal ranges were same as before the current episode of ANE and scores
of his vocal self-assessment were appropriate.
PMID- 24918514
TI - Defining infidelity in research and couple counseling: a qualitative study.
AB - Infidelity can destroy relationships, but there is long-standing debate in the
field about how best to define the construct. A clear definition of infidelity is
important theoretically, empirically, and therapeutically; however, research on
the topic is limited. This study explores how seven experienced couple counselors
define infidelity on the basis of their work with heterosexual couples presenting
with this issue. Thematic analysis was used to analyze interview transcripts and
research findings suggest a rich web of conflicting definitions of infidelity for
couples counselors and, in their accounts, clients. The findings support an
understanding of infidelity as socially constructed and the implications of this
for the field are discussed.
PMID- 24918516
TI - The effect of La2O3 in Tm3+-doped germanate-tellurite glasses for ~2 MUm
emission.
AB - A germanate-tellurite glass (GeO2-TeO2-K2O-Nb2O5-La2O3) with thulium doping has
been investigated for application as a laser material around 2.0 MUm regions.
Under the 808 nm laser diode pumped, intense 1.8 MUm emission is obtained. Based
on the absorption spectra, radiative properties are predicted using Judd-Ofelt
theory. The maximum value of emission cross-section of Tm(3+) around 1.8 MUm can
reach 1.46 * 10(-20) cm(2), which indicated that the germanate-tellurite glass
may provide high gain as a good medium for efficient 1.8 MUm laser system.
PMID- 24918518
TI - Lack of association between APOE gene polymorphisms and amyotrophic lateral
sclerosis: a comprehensive meta-analysis.
AB - Several studies have evaluated the association between APOE gene polymorphisms
and the risk for amyotrophic lateral sclerosis (ALS), with inconclusive results.
The aim of our study was to further define the risk associated with carriage of
the APOE alleles and development and clinical characteristics of ALS. We
performed a comprehensive meta-analysis of all existing studies investigating the
association between the APOE gene and ALS published up to September 2013,
comprising a total of 4249 ALS patients and 10,397 controls. Pooled odds ratios
(OR) were estimated using the random effect (RE) model. Results showed that the
carriage of different APOE alleles had no effect on disease risk. In particular,
the epsilon4 allele was not associated with a significantly increased disease
risk (epsilon4 carriers vs. non-epsilon4 carriers: RE OR 1.18; 95% CI 0.91-1.53).
In conclusion, our study suggests that the APOE gene does not have a significant
effect in ALS aetiopathogenesis.
PMID- 24918517
TI - Mental Health and Self-directed Violence Among Student Service Members/Veterans
in Postsecondary Education.
AB - OBJECTIVES: Using a sample of student service members/veterans, the current study
aimed to examine the prevalence of psychiatric diagnoses and suicide-related
outcomes and the association of hazardous duty with mental health. PARTICIPANTS:
Data are from the Fall 2011 National College Health Assessment (N = 27,774).
METHODS: Logistic regression was used to examine (1) the association of student
service member/veteran status with mental health outcomes and (2) the association
of hazardous duty with mental health outcomes among student service
members/veterans (n = 706). RESULTS: Student service members/veterans had higher
odds of self-harm than students without military experience. Among student
service members/veterans, hazardous duty was positively associated (odds ratio
[OR] = 2.00, 95% confidence interval [CI] [1.30, 3.07]) with having a psychiatric
diagnosis but negatively associated (OR = 0.41, 95% CI [0.20, 0.85]) with
suicidal ideation. CONCLUSIONS: Self-harm may be a unique phenomenon among
service members/veterans. Suicide prevention with this population should include
information about self-harm, and future research should explore whether suicidal
intent underlies self-harm.
PMID- 24918519
TI - Shear induced fabrication of intertwined single walled carbon nanotube rings.
AB - Thin film microfluidic shearing of a mixture of toluene dispersed single walled
carbon nanotubes (SWCNTs) and water in a vortex fluidic device results in SWCNT
nanorings (and related structures), diameters 100 to 200 nm or 300 to 700 nm, for
respectively 10 mm or 20 mm diameter rotating tubes.
PMID- 24918520
TI - Internalizing disorders and quality of life in adolescence: evidence for
independent associations.
AB - OBJECTIVE: To investigate whether internalizing disorders are associated with
quality of life (QoL) in adolescents, even after accounting for shared risk
factors. METHODS: The sample comprised 102 adolescents from a community cross
sectional study with an oversampling of anxious subjects. Risk factors previously
associated with QoL were assessed and divided into five blocks organized
hierarchically from proximal to distal sets of risk factors. RESULTS: Multiple
regression analysis yielded a hierarchical model accounting for 72% of QoL
variance. All blocks were consistently associated with QoL (p < 0.05), accounting
for the following percentages of variance: 12% for demographics; 5.2% for family
environment; 37.8% for stressful events; 10% for nutritional and health habits;
and 64.2% for dimensional psychopathological symptoms or 22.8% for psychiatric
diagnoses (dichotomous). Although most of the QoL variance attributed to
internalizing symptoms was explained by the four proximal blocks in the
hierarchical model (43.2%), about 21% of the variance was independently
associated with internalizing symptoms/diagnoses. CONCLUSIONS: QoL is associated
with several aspects of adolescent life that were largely predicted by our
hierarchical model. Our findings reinforce the hypothesis that internalizing
disorders and internalizing symptoms in adolescents have a high impact on QoL and
deserve proper clinical attention.
PMID- 24918521
TI - Parameters for screening music performance anxiety.
AB - OBJECTIVE: To assess the discriminative capacity of the Kenny Music Performance
Anxiety Inventory (K-MPAI), in its version adapted for Brazil, in a sample of 230
Brazilian adult musicians. METHOD: The Social Phobia Inventory (SPIN) was used to
assess the presence of social anxiety indicators, adopting it as the gold
standard. The Mann-Whitney U test and the receiver operating characteristic (ROC)
curve were used for statistical analysis, with p <= 0.05 set as the significance
level. RESULTS: Subjects with social anxiety indicators exhibited higher mean
total K-MPAI scores, as well as higher individual scores on 62% of its items. The
area under the ROC curve was 0.734 (p = 0.001), and considered appropriate.
Within the possible cutoff scores presented, the score -15 had the best balance
of sensitivity and specificity values. However, the score -7 had greater
specificity and accuracy. CONCLUSION: The K-MPAI showed appropriate discriminant
validity, with a marked association between music performance anxiety and social
anxiety. The cutoff scores presented in the study have both clinical and research
value, allowing screening for music performance anxiety and identification of
possible cases.
PMID- 24918522
TI - Industry withdrawal from psychiatric medication development.
AB - Between 1950 and 1969, on a serendipitous basis, psychiatric drug development
flourished. However, there has been a steep decline in the development of new
medication classes. Instead of new molecular entities, slight molecular
modifications producing "me-too" drugs attempted to garner market share. With
failing profitability, industry is now withdrawing from psychiatric medication
development. Managed care drastically shortened contact between patients and
clinicians, so the possible observation of unexpected benefits has been
nullified. The randomized, parallel-groups design met FDA requirements for
specific pharmacological efficacy. However, it does not determine whether a
patient who improved while drug-treated required the drug or would have gotten
better on his own. Further, pathophysiology benefit remains obscure. The major
psychotropic drugs have no benefits for normal subjects. Their remarkable
benefits must stem from a necessary interaction with a pathophysiological state.
Therefore, understanding therapeutic benefit by treating normal subjects becomes
unlikely. The claim that therapeutic knowledge in psychiatry proceeds from bench
to bedside has proven vacuous, primarily because of our limited understanding of
brain pathophysiology. The utility of the alternative intensive design for
understanding diagnosis, therapeutic benefit, and pathophysiology is emphasized.
PMID- 24918523
TI - Septic encephalopathy: does inflammation drive the brain crazy?
AB - Sepsis and the multiorgan dysfunction syndrome are among the most common reasons
for admission to an intensive care unit, and are a leading cause of death. During
sepsis, the central nervous system (CNS) is one of the first organs affected, and
this is clinically manifested as sepsis-associated encephalopathy (SAE). It is
postulated that the common final pathway that leads to SAE symptoms is the
deregulation of neurotransmitters, mainly acetylcholine. Thus, it is supposed
that inflammation can affect neurotransmitters, which is associated with SAE
development. In this review, we will cover the current evidence (or lack thereof)
for the mechanisms by which systemic inflammation interferes with the metabolism
of major CNS neurotransmitters, trying to explain how systemic inflammation
drives the brain crazy.
PMID- 24918524
TI - Association study between the MDR1 gene and clinical characteristics in
schizophrenia.
AB - OBJECTIVE: Schizophrenia is a complex psychiatric disorder, characterized by
disturbed patterns of thought and affecting 0.3-2.0% of the world population.
Previously, the multidrug resistance 1 (MDR1) gene has been associated with
schizophrenia in treatment response studies in psychotic patients. The aim of
this study was to determine the association between MDR1 gene polymorphisms and
clinical characteristics in patients with schizophrenia. METHODS: Positive and
negative symptoms of schizophrenia were assessed with the Scale for the
Assessment of Negative Symptoms (SANS) and the Scale for the Assessment of
Positive Symptoms (SAPS) in 158 Mexican patients with schizophrenia. Analyses of
MDR1 gene polymorphisms were performed using TaqMan technology. A multivariate
ANOVA was performed with MDR1 polymorphisms and gender as independent variables.
RESULTS: Males with the G/G genotype of MDR1 rs2032582 presented significantly
higher levels of delusions (p = 0.02). When comparing female vs. male groups, the
difference was statistically significant (p = 0.0003). Analyses of the MDR1 gene
rs1045642 variant showed no significant differences. CONCLUSION: Our findings
suggest that male carriers of the G allele of variant rs2032582 exhibit greater
severity of delusions; however, these results should be taken as preliminary, and
replication studies in other populations of different ethnic origins are required
to confirm these findings.
PMID- 24918525
TI - The link between cardiovascular risk, Alzheimer's disease, and mild cognitive
impairment: support from recent functional neuroimaging studies.
AB - OBJECTIVE: To review functional neuroimaging studies about the relationship
between cardiovascular risk factors (CVRFs), Alzheimer's disease (AD), and mild
cognitive impairment (MCI). METHODS: We performed a comprehensive literature
search to identify articles in the neuroimaging field addressing CVRF in AD and
MCI. We included studies that used positron emission tomography (PET), single
photon emission computerized tomography (SPECT), or functional magnetic resonance
imaging (fMRI). RESULTS: CVRFs have been considered risk factors for cognitive
decline, MCI, and AD. Patterns of AD-like changes in brain function have been
found in association with several CVRFs (both regarding individual risk factors
and also composite CVRF measures). In vivo assessment of AD-related pathology
with amyloid imaging techniques provided further evidence linking CVRFs and AD,
but there is still limited information resulting from this new technology.
CONCLUSION: There is a large body of evidence from functional neuroimaging
studies supporting the hypothesis that CVRFs may play a causal role in the
pathophysiology of AD. A major limitation of most studies is their cross
sectional design; future longitudinal studies using multiple imaging modalities
are expected to better document changes in CVRF-related brain function patterns
and provide a clearer picture of the complex relationship between aging, CVRFs,
and AD.
PMID- 24918526
TI - Glucose positions affect the phloem mobility of glucose-fipronil conjugates.
AB - In our previous work, a glucose-fipronil (GTF) conjugate at the C-1 position was
synthesized via click chemistry and a glucose moiety converted a non-phloem
mobile insecticide fipronil into a moderately phloem-mobile insecticide. In the
present paper, fipronil was introduced into the C-2, C-3, C-4, and C-6 positions
of glucose via click chemistry to obtain four new conjugates and to evaluate the
effects of the different glucose isomers on phloem mobility. The phloem mobility
of the four new synthetic conjugates and GTF was tested using the Ricinus
seedling system. The results confirmed that conjugation of glucose at different
positions has a significant influence on the phloem mobility of GTF conjugates.
PMID- 24918528
TI - A Novel "Maximizing Kappa" Approach for Assessing the Ability of a Diagnostic
Marker and Its Optimal Cutoff Value.
AB - Threshold-dependent accuracy measures such as true classification rates in
ordered multiple-class (k > 3) receiver operating characteristic (ROC) hyper
surfaces have recently been used to assist with medical decision making. However,
based on low power performance in some circumstances, we construct a new method
that relies on the kappa coefficient to solve such diagnostic problems. Under the
approach proposed in the present article, the statistics depend strongly on the
[Formula: see text] cutoff threshold, which can be chosen to maximize the kappa
statistics of true disease status and of the new biomarker. The Monte Carlo
simulation results confirm the effectiveness of the proposed method in terms of
its predictive power. The proposed design is then compared with the volume under
the ROC hyper-surface by applying it to intracerebral hemorrhagic patients
classified into five stroke classes using the National Institutes of Health
Stroke Scale.
PMID- 24918527
TI - Genetic variations in IL1A and IL1RN are associated with the risk of preeclampsia
in Chinese Han population.
AB - Preeclampsia (PE) is an excessive systemic inflammation response with dysfunction
of endothelial. Our study was to investigate the association between genetic
variations in IL-1 and the susceptibility to PE in Chinese Han population. 402 PE
patients and 554 normal pregnant women of third trimester were enrolled. The
polymorphisms of rs315952 in IL1RN and rs17561 in IL1A were genotyped by TaqMan
allelic discrimination real-time PCR. Obviously statistic difference of the
genotypic frequencies were found in both of IL1RN rs315952 and IL1A rs17561
between cases and controls (for rs315952, P = 0.001; for rs17561, P = 0.021.).
For rs315952, the C allele was associated with development of PE (P = 0.003, OR =
1.319, 95%CI 1.099-1.583). Patients with CC or CT genotype were less likely to
develop severe PE than patients carrying TT genotype(P < 0.001, OR = 0.24, 95%CI
0.15-0.40). For rs17561, the C allele was the risk factor for predisposition to
PE (P = 0.012, OR = 1.496, 95%CI 1.089-2.055). Our results suggest IL1RN and IL1A
may involve in the development of PE in Chinese Han population.
PMID- 24918529
TI - Is glycated hemoglobin related to other dysmetabolic variables implicated in the
increase of cardiovascular risk in polycystic ovary syndrome? A comparative
study.
AB - BACKGROUND: In non-PCOS patients the concentration of glycated hemoglobin (HbA1C)
has been employed to identify individuals at higher risk for impaired glucose
tolerance (IGT) and diabetes mellitus. A few studies have examined the role of
HbA1C in PCOS patients and current results are controversial. AIM: To compare the
strength of the association between glycated hemoglobin and other predictors of
cardiovascular risk in polycystic ovary syndrome (PCOS). METHODS: This cross
sectional study enrolled 197 PCOS patients and 72 non-PCOS women. Transvaginal
ultrasound, biochemical and hormone measurement were performed. Glycated
hemoglobin (HbA1C) was correlated with other variables related to
dysmetabolic/vascular diseases. RESULTS: The HbA1C levels were 6.0+/-1.4% and
4.9+/-0.4% in PCOS patients and non-PCOS controls, respectively (p<0.001). The
HbA1C levels were>=5.7% in 46.4% of PCOS and in none of the control subjects
(OR=90.8). HbA1C was well-correlated with several anthropometric, metabolic and
endocrine parameters. Stepwise multiple regression including HbA1C and other
known predictors of cardiovascular risk resulted in a significant model in which
body mass index (BMI) and free testosterone exhibited the best correlation with
HbA1C (adjusted R(2)=0.530; F=39.8; p<0.001). CONCLUSION: HbA1C was elevated and
correlated with anthropometric, biochemical and endocrine variables of
metabolic/vascular disease risks in PCOS patients. Combined HbA1C, BMI and free
testosterone levels provided a significant model with potential use to evaluate
metabolic/vascular disease in PCOS patients.
PMID- 24918530
TI - Costs of Diabetes Mellitus (CoDiM) in Germany, direct per-capita costs of
managing hyperglycaemia and diabetes complications in 2010 compared to 2001.
AB - INTRODUCTION: To identify direct health care costs of patients with diabetes in
Germany in 2010, with focus on costs of treating hyperglycaemia and costs caused
by diabetes complications, and to compare findings with results from the CoDiM
study 2001. MATERIAL AND METHODS: The cost analysis was based on administrative
data (18.75% random sample of 1.5 million insured persons). Medical costs covered
by statutory health insurance and costs covered by nursing care insurance were
included. Incremental differences in costs of patients with diabetes (n=30 987)
and age and sex-matched subjects without a diagnosis of diabetes (controls) were
estimated according to the number and type of complications. Costs were
standardised to the German population. RESULTS: In 2010, incremental medical
costs attributed to diabetes were ? 2 391 (95% confidence interval: 2 257-2 524)
per patient with diabetes. Of that amount, 26.5% were spent for the management of
hyperglycaemia (? 633 (622-644)) and 73.5% for the treatment of comp-lications (?
1 758 (1 627-1 889)). Nursing care contributed incremental costs of ? 289 (249
330), of which 98.8% was due to complications. From 2001 to 2010 the incremental
per-capita costs for medical and nursing care decreased by 4.8% (controls:
+3.9%), the per-capita costs for treating hyperglycaemia increased by 2.0% and
the per-capita costs for complications decreased by 7.0%. CONCLUSION: Cost for
diabetes is largely caused by management of complications. It is important to
prevent complications by consequent management of diabetes as well as by primary
prevention of its onset.
PMID- 24918531
TI - Fasting blood glucose at admission and survival in patients with dilated
cardiomyopathy: a single-center cohort study.
AB - BACKGROUND: Recent data have suggested that impaired fasting glucose (IFG) is an
independent risk factor for mortality in patients with heart failure. However,
the prognostic indicator of elevated fasting blood glucose (FBG) such as IFG in
dilated cardiomyopathy (DCM) was not well understood. The purpose of this study
was to examine the association between IFG at admission and survival in
hospitalized patients with DCM. METHODS: A retrospective cohort study was
undertaken in 1 089 hospitalized patients with DCM in Fuwai Hospital from
November 2003 to September 2 011 (female 26.5%, 51.4+/-14.6 years old). Standard
demographics, echocardiography and routine blood samples were obtained shortly
after admission. The outcomes were assessed using all-cause mortality at a mean
follow-up of 3.5+/-2.3 years and were analyzed using Kaplan-Meier survival curve
(log-rank test) and Cox regression. RESULTS: The cohort consisted of 1 089
patients with DCM, 835 patients with normal fasting glucose (NFG, FBG<6.1 mmol/L,
76.7%), 113 patients with IFG (FBG 6.1-6.9 mmol/L, 10.4%), and 141 patients with
FBG>=7.0 mmol/L (12.9%). Among the 1 089 patients studied, 252 (23.1%) died over
a mean follow-up period of 3.5+/-2.3 years. All-cause mortality rates were
highest in patients with FBG>=7.0 mmol/L (31.2%), intermediate in those with IFG
(24.8%), and lowest in those with NFG (21.6%); a significant difference in all
cause mortality rate was found among the 3 groups (log-rank chi(2)=6.715,
P=0.035). After adjustment for baseline variables, New York Heart Association
(NYHA) functional class, QRS duration, left atrium diameter, systolic blood
pressure, FBG>=7.0 mmol/L, not IFG, and circulating creatinine levels were the
variables that remained as predictors of all-cause mortality. CONCLUSION: In the
present study, all-cause mortality was higher in patients with FBG>=7.0 mmol/L
compared to the patients with NFG, and FBG>= 7.0 mmol/L, not IFG, was one of
predictors of all-cause mortality in DCM patients.
PMID- 24918532
TI - High ghrelin levels in post-treatment euthyroid patients with Hashimoto's
thyroiditis: a case-control preliminary study.
AB - RATIONALE: Hashimoto's thyroiditis is a chronic inflammatory condition often
associated with changes in appetite and body composition. Ghrelin is an
orexigenic peptide involved in the regulation of appetite and food intake. A
possible role of ghrelin in mediating inflammation has been suggested. A few
contrasting published data are available on the relationship between thyroid
status and circulating ghrelin in patients affected by Hashimoto's thyroiditis.
The aim of the present case-control study was to provide additional evidence on
the relationship between thyroid status and plasma ghrelin levels in post
treatment euthyroid female patients with Hashimoto's thyroiditis, compared to
healthy controls. METHODS: 25 women [age 46.6+/-10.6 years; Body Mass Index
26.3+/-3.8 kg/m2] affected by overt hypothyroidism due to Hashimoto's thyroiditis
were studied after thyroid hormones and body weight were already normalized for
at least 2 months following L-thyroxine replacement. 25 healthy women (age 40.2+/
6.4 years; Body Mass Index 26.2+/-4.0 kg/m2) served as the control group. Blood
levels of thyroid hormones, thyroid peroxidase antibodies, thyroglobulin
antibodies and ghrelin were determined. Fat mass, fat-free mass and high-density
lipoprotein cholesterol were also assessed. RESULTS: Circulating ghrelin levels
were significantly higher in patients vs. control subjects (p<0.001). No
differences were found in metabolic parameters (body mass index, fat mass, fat
free mass, high-density lipoprotein cholesterol) between groups. CONCLUSION: The
present study provides additional evidence of hyperghrelinemia status in post
treatment euthyroid patients affected by Hashimoto's thyroiditis.
PMID- 24918533
TI - Effects of quercetin on oxidative stress biomarkers in methimazole - induced
hypothyroid rats.
AB - The objective of the present study was to evaluate the effect of quercetin on
oxidative stress biomarkers in methimazole (MMI) - induced hypothyroidism male
rats. Hypothyroidism was induced by administering MMI at 20 mg/100 ml in the
drinking water, for 1 month. After achieved hypothyroidism, rats received orally
10 or 25 mg/kg of quercetin (QT) for 8 weeks. 60 male wistar rats were randomly
divided into 6 groups (group I, control; group II, QT10; group III, QT25; group
IV, hypothyroid; group V, hypothyroid+QT10; group VI, hypothyroid+QT25). Liver,
kidney and serum TBARS levels significantly increased in hypothyroid rats when
compared to controls, along with increased protein carbonyl (PCO) in liver and
increased ROS levels in liver and kidney tissues. QT10 and QT25 were effective in
decreasing TBARS levels in serum and kidney, PCO levels in liver and ROS
generation in liver and kidney. MMI - induced hypothyroidism also increased TBARS
levels in cerebral cortex and hippocampus that in turn were decreased in rats
treated with QT25. Moreover, the administration of QT25 to hypothyroid rats
resulted in decreased SOD activities in liver and whole blood and increased liver
CAT activity. Liver and kidney ascorbic acid levels were restored with quercetin
supplementation at both concentrations. QT10 and QT25 also significantly
increased total oxidative scavenging capacity in liver and kidney tissues from
hypothyroid rats. These findings suggest that MMI - induced hypothyroidism
increases oxidative stress parameters and quercetin administration could exert
beneficial effects against redox imbalance in hypothyroid status.
PMID- 24918534
TI - Serum omentin-1 levels in diabetic and nondiabetic patients with chronic kidney
disease.
AB - BACKGROUND: Omentin-1, a novel adipokine identified in visceral adipose tissue,
is negatively correlated with different conditions such as diabetes, obesity and
inflammation. However, changes in serum Omentin levels associated with the degree
of the renal dysfunction and metabolic risk factors in CKD patients has not yet
been revealed. In the present study, we aimed to investigate the level of Omentin
1 and related para-meters in diabetic and non-diabetic CKD patients. METHODS: 64
(30 diabetic, 34 non-diabetic) CKD patients and 27 healthy control subjects
enrolled in this cross-sectional study. Anthropometric and laboratory assessment
performed and malnutrition and inflammation components evaluated. Serum
concentrations of Omentin-1 and insulin were measured by using ELISA. RESULTS:
Serum Omentin-1 levels in CKD patients were significantly lower compared to the
healthy controls. Further analyze revealed that decreased omentin in CKD patients
was due to the reduced omentin levels in the diabetic subgroup. An increase in
inflammation and malnutrition components was correlated with a decrease in the
serum level of Omentin. Omentin levels were lower in stage 2 and 3 CKD but not
stage 4 CKD patients compared to control. CONCLUSIONS: The results of the present
study suggest that diabetes mellitus and inflammation should be associated with
lower omentin levels in CKD population; however, this reduction resolves due to
the failure of degradation and excretion of omentin when creatinine clearance
falls below 30 ml/min (stage 4 CKD).
PMID- 24918535
TI - Genetic variability of GCKR alters lipid profiles in children with monogenic and
autoimmune diabetes.
AB - PURPOSE: Mutations in the glucokinase (GCK) gene are associated with altered
blood glucose and lipid concentrations. Our aim was to assess the effects on
HbA1c and serum lipid levels of single nucleotide polymorphisms (SNPs) in 2 genes
encoding proteins that interact with glucokinase: glucose-6-phospatase catalytic
subunit 2 (G6PC2) and glucokinase regulatory protein (GCKR). METHODS: The study
group included 129 children with GCK-MODY from the Polish Registry of Monogenic
Diabetes and 395 with type 1 diabetes (T1DM), in whom we genotyped 2 SNPs in
G6PC2 (rs560887) and GCKR (rs1260326). Lipid concentrations were assessed in
fasting serum samples. RESULTS: Total and HDL cholesterol concentrations were
significantly lower in the GCK-MODY group than in patients with T1DM (167.5+/
32.5 mg/dl vs. 174.4+/-31.1 mg/dl, p=0.0435 and 48.42+/-14.3 mg/dl vs. 58.7+/
12.7 mg/dl, p<0.0001, respectively). No differences in genotype distributions
were found except for underrepresentation of GCKR TT homozygotes among GCK-MODY
patients (10.9% in GCK-MODY vs. 17.7% in T1DM, p=0.0651). GCKR genotypes showed
significant associations with lipid profiles and HbA1c levels, whereas no such
associations were noted for G6PC2. After adjustment for confounders, TT
homozygotes were shown to have higher total cholesterol and marginally higher LDL
cholesterol and triglyceride levels (p=0.0245, p=0.0657 and p=0.0550,
respectively). The difference between TT homozygotes and other genotypes was
similar in magnitude within the GCK-MODY and T1DM groups. No significant
interactions between the type of diabetes and the GCKR or G6PC2 genotype were
detected. CONCLUSIONS: Individuals who are homozygous TT at rs1260326 of the GCKR
gene have higher triglyceride, total and LDL cholesterol levels regardless of the
presence of GCK mutations.
PMID- 24918536
TI - Associations between bone, fat tissue and metabolic control in children and
adolescents with type 1 diabetes mellitus.
AB - AIMS: To investigate the relationship between bone-derived osteocalcin (OC),
osteoprotegerin (OPG), Receptor Activator of Nuclear Factor NF-KB ligand (RANKL),
and fat tissue-derived leptin and adiponectin with a clinical outcome of type 1
diabetes mellitus (T1DM) in children and adolescents. METHODS: 78 patients (43
girls and 35 boys), aged 11.5+/-4.3 years with T1DM and 11 age- and BMI-matched
controls were included into the study. Patients were divided into 3 groups
according to HbA1c level, I - below 7% [53 mmol/mol], II - 7-9% [53-75 mmol/mol]
and III - above 9% [75 mmol/mol]. Blood samples for biochemical measurements were
drawn at 8.00 AM, when the patients were in a fasting state. HbA1c was measured
by the standardized IFCC method. OC, OPG, RANKL, leptin and adiponectin were
measured by ELISA. ANOVA, and multiple regression analysis were used for
statistical analysis. RESULTS: Significant differences in leptin and osteocalcin
levels between groups with different HbA1c values were observed (p=0.03, p=0.04).
Multiple regression analysis adjusted for age showed that serum OC and leptin
negatively correlated with HbA1c levels (r=-0.22, p=0.004 and r=-0.27, p=0.0001,
respectively). In contrast, serum OPG correlated positively with HbA1c (r=0.26,
p=0.02) as well as with adiponectin (r=0.26, p=0.02) and RANKL (r=0.27, p=0.02)
levels. The correlation of OC with HbA1c was the strongest in group I - patients
with good metabolic control of DM (r=-0.43, p=0.03). In that group, in multiple
regression analysis adjusted for age and BMI leptin correlated positively with
daily dose of insulin (r=0.52, r=0.009). In group II and III in multiple
regression analysis adjusted for age and BMI OC correlated negatively with leptin
(r=-0.37, p=0.01). CONCLUSIONS: Our data suggest significant relationships
between bone, fat tissue and glucose metabolism in pediatric patients with T1DM.
The results can confirm that poor metabolic control is associated with reduced
bone formation. On the other hand fat and bone tissue can influence glucose
metabolism, potentiality in insulin-dependent manner. From these data leptin or
OC may be potentially used as additional therapeutic agents for T1DM.
PMID- 24918537
TI - Evaluation of styrene-divinylbenzene beads as a support to immobilize lipases.
AB - A commercial and very hydrophobic styrene-divinylbenzene matrix, MCI GEL(r)
CHP20P, has been compared to octyl-Sepharose(r) beads as support to immobilize
three different enzymes: lipases from Thermomyces lanuginosus (TLL) and from
Rhizomucor miehie (RML) and Lecitase(r) Ultra, a commercial artificial
phospholipase. The immobilization mechanism on both supports was similar:
interfacial activation of the enzymes versus the hydrophobic surface of the
supports. Immobilization rate and loading capacity is much higher using MCI
GEL(r) CHP20P compared to octyl-Sepharose(r) (87.2 mg protein/g of support using
TLL, 310 mg/g using RML and 180 mg/g using Lecitase(r) Ultra). The thermal
stability of all new preparations is much lower than that of the standard octyl
Sepharose(r) immobilized preparations, while the opposite occurs when the
inactivations were performed in the presence of organic co-solvents. Regarding
the hydrolytic activities, the results were strongly dependent on the substrate
and pH of measurement. Octyl-Sepharose(r) immobilized enzymes were more active
versus p-NPB than the enzymes immobilized on MCI GEL(r) CHP20P, while RML became
700-fold less active versus methyl phenylacetate. Thus, the immobilization of a
lipase on this matrix needs to be empirically evaluated, since it may present
very positive effects in some cases while in other cases it may have very
negative ones.
PMID- 24918538
TI - Study on the synthesis, biological activity and spectroscopy of naphthalimide
diamine conjugates.
AB - Eleven novel naphthalimide-diamine conjugates were synthesized and their
structures were confirmed by elemental analysis, 1H-NMR, 13C-NMR and MS. Their in
vitro antitumor activities were assessed using MTT assays on two cancerous cell
lines K562, HCT116, and one normal hepatoma cell line QSG 7701. Compound 7f
exhibited potent antitumor activity on HCT116 cells and favorable cell
selectivity toward QSG 7701 compared with the positive control, amonafide.
Moreover, 7f could block HeG2 cells in the G2/M phase and induce HeG2 cells
apoptosis. The interaction of compound 7f with herring sperm DNA was studied by
UV/vis absorption and fluorescence spectroscopy under physiological conditions
(pH = 7.4). The observed spectral quenching of compound 7f by DNA and the
displacement of EB from DNA-EB complex by compound 7f indicated that compound 7f
could intercalate into DNA base pairs, which was also corroborated by the effect
of KI on compound-DNA interaction. Further caloric fluorescent tests revealed
that the quenching mechanism was a static type. Meanwhile, the binding constants,
thermodynamic parameters and the effect of NaCl on compound-DNA interaction
showed that the type of interaction force was mainly hydrogen bonds and the
binding process was driven by hydrogen and van der Waals bonding.
PMID- 24918539
TI - The edible brown seaweed Ecklonia cava reduces hypersensitivity in postoperative
and neuropathic pain models in rats.
AB - The current study was designed to investigate whether edible brown seaweed
Ecklonia cava extracts exhibits analgesic effects in plantar incision and spared
nerve injury (SNI) rats. To evaluate pain-related behavior, we performed the
mechanical withdrawal threshold (MWT) and thermal hypersensitivity tests measured
by von Frey filaments and a hot/cold plate analgesia meter. Pain-related behavior
was also determined through analysis of ultrasonic vocalization. The results of
experiments showed MWT values of the group that was treated with E. cava extracts
by 300 mg/kg significantly increased; on the contrary, number of ultrasonic
distress vocalization of the treated group was reduced at 6 h and 24 h after
plantar incision operation (62.8%, p < 0.05). Moreover, E. cava 300 mg/kg treated
group increased the paw withdrawal latency in hot-and cold-plate tests in the
plantar incision rats. After 15 days of continuous treatment with E. cava
extracts at 300 mg/kg, the treated group showed significantly alleviated SNI
induced hypersensitivity response by MWT compared with the control group. In
conclusion, these results suggest that E. cava extracts have potential analgesic
effects in the case of postoperative pain and neuropathic pain in rats.
PMID- 24918540
TI - Antimicrobial activity of resveratrol analogues.
AB - Stilbenes, especially resveratrol and its derivatives, have become famous for
their positive effects on a wide range of medical disorders, as indicated by a
huge number of published studies. A less investigated area of research is their
antimicrobial properties. A series of 13 trans-resveratrol analogues was
synthesized via Wittig or Heck reactions, and their antimicrobial activity
assessed on two different grapevine pathogens responsible for severe diseases in
the vineyard. The entire series, together with resveratrol, was first evaluated
on the zoospore mobility and sporulation level of Plasmopara viticola (the
oomycete responsible for downy mildew). Stilbenes displayed a spectrum of
activity ranging from low to high. Six of them, including the most active ones,
were subsequently tested on the development of Botrytis cinerea (fungus
responsible for grey mold). The results obtained allowed us to identify the most
active stilbenes against both grapevine pathogens, to compare the antimicrobial
activity of the evaluated series of stilbenes, and to discuss the relationship
between their chemical structure (number and position of methoxy and hydroxy
groups) and antimicrobial activity.
PMID- 24918541
TI - Formylation of amines.
AB - Methods to convert amines to formamides are of interest due to the many uses of
formamides as synthetic intermediates. These methods include stoichiometric
reactions of formylating reagents and catalytic reactions with CO as the carbonyl
source. This review discusses the reported stoichiometric and catalytic
approaches for preparation of formamides.
PMID- 24918542
TI - Methods of increasing the performance of radionuclide generators used in nuclear
medicine: daughter nuclide build-up optimisation, elution-purification
concentration integration, and effective control of radionuclidic purity.
AB - Methods of increasing the performance of radionuclide generators used in nuclear
medicine radiotherapy and SPECT/PET imaging were developed and detailed for
99Mo/99mTc and 68Ge/68Ga radionuclide generators as the cases. Optimisation
methods of the daughter nuclide build-up versus stand-by time and/or specific
activity using mean progress functions were developed for increasing the
performance of radionuclide generators. As a result of this optimisation, the
separation of the daughter nuclide from its parent one should be performed at a
defined optimal time to avoid the deterioration in specific activity of the
daughter nuclide and wasting stand-by time of the generator, while the daughter
nuclide yield is maintained to a reasonably high extent. A new characteristic
parameter of the formation-decay kinetics of parent/daughter nuclide system was
found and effectively used in the practice of the generator production and
utilisation. A method of "early elution schedule" was also developed for
increasing the daughter nuclide production yield and specific radioactivity, thus
saving the cost of the generator and improving the quality of the daughter
radionuclide solution. These newly developed optimisation methods in combination
with an integrated elution-purification-concentration system of radionuclide
generators recently developed is the most suitable way to operate the generator
effectively on the basis of economic use and improvement of purposely suitable
quality and specific activity of the produced daughter radionuclides. All these
features benefit the economic use of the generator, the improved quality of
labelling/scan, and the lowered cost of nuclear medicine procedure. Besides, a
new method of quality control protocol set-up for post-delivery test of
radionuclidic purity has been developed based on the relationship between gamma
ray spectrometric detection limit, required limit of impure radionuclide activity
and its measurement certainty with respect to optimising decay/measurement time
and product sample activity used for QC quality control. The optimisation ensures
a certainty of measurement of the specific impure radionuclide and avoids wasting
the useful amount of valuable purified/concentrated daughter nuclide product.
This process is important for the spectrometric measurement of very low activity
of impure radionuclide contamination in the radioisotope products of much higher
activity used in medical imaging and targeted radiotherapy.
PMID- 24918543
TI - Salidroside protects Caenorhabditis elegans neurons from polyglutamine-mediated
toxicity by reducing oxidative stress.
AB - Polyglutamine (polyQ) aggregation plays a pivotal role in the pathological
process of Huntington's disease and other polyQ disorders. Therefore, strategies
aiming at restoring dysfunction and reducing stresses mediated by polyQ toxicity
are of therapeutic interest for proteotoxicity diseases. Salidroside, a glycoside
from Rhodiola rosea, has been shown to have a variety of bioactivities, including
antioxidant activity. Using transgenic Caenorhabditis elegans models, we show
here that salidroside is able to reduce neuronal death and behavioral dysfunction
mediated by polyQ expressed in ASH neurons, but the neuroprotective effect is not
associated with prevention of polyQ aggregation per se. Further experiments
reveal that the neuroprotective effect of salidroside in C. elegans models
involves its antioxidant capabilities, including decrease of ROS levels and
paraquat-induced mortality, increase of antioxidant enzyme activities and
reduction of lipid peroxidation. These results demonstrate that salidroside
exerts its neuroprotective function against polyQ toxicity via oxidative stress
pathways.
PMID- 24918544
TI - Selective substitution of 31/42-OH in rapamycin guided by an in situ IR
technique.
AB - An in situ IR technique was applied in the selective synthesis of the key
intermediate for rapamycin derivatives, which made the reaction endpoint easily
defined. This technology solved a bothersome problem in the preparation of
rapamycin derivatives, and based on this technique, the 31-OH and 42-OH of
rapamycin were chemically modified by a series of quaternary ammonium salts to
generate 11 compounds. The solubility of all these compounds was remarkably
improved (25,000 times higher than that of rapamycin) and their structures were
confirmed by MS, IR, 1D and 2D NMR techniques.
PMID- 24918545
TI - Physicochemically and pharmacokinetically stable nonapeptide KISS1 receptor
agonists with highly potent testosterone-suppressive activity.
AB - Modifications of metastin(45-54) produced peptide analogues with higher metabolic
stability than metastin(45-54). N-terminally truncated nonapeptide 4 ([D-Tyr46,D
Pya(4)47,azaGly51,Arg(Me)53]metastin(46-54)) is a representative compound with
both potent agonistic activity and metabolic stability. Although 4 had more
potent testosterone-suppressant activity than metastin, it possessed
physicochemical instability at pH 7 and insufficient in vivo activity.
Instability at pH 7 was dependent upon Asn48 and Ser49; substitution of Ser49
with Thr49 reduced this instability and maintained KISS1 receptor agonistic
activity. Furthermore, [D-Tyr46,D
Trp47,Thr49,azaGly51,Arg(Me)53,Trp54]metastin(46-54) (14) showed 2-fold greater
[Ca2+]i-mobilizing activity than metastin(45-54) and an apparent increase in
physicochemical stability. N-terminal acetylation of 14 resulted in the most
potent analogue, 22 (Ac-[D-Tyr46,D
Trp47,Thr49,azaGly51,Arg(Me)53,Trp54]metastin(46-54)). With continuous
administration, 22 possessed 10-50-fold more potent testosterone-suppressive
activity in rats than 4. These results suggested that a controlled release of
short-length KISS1 receptor agonists can suppress the hypothalamic-pituitary
gonadal axis and reduce testosterone levels. Compound 22 was selected for further
preclinical evaluation for hormone-dependent diseases.
PMID- 24918546
TI - Congenital dacryocele with large intranasal cyst: efficacy of cruciate
marsupialization, adjunctive procedures, and outcomes.
AB - PURPOSE: To evaluate the safety and efficacy of a new technique of medial wall
cruciate marsupialization of large intranasal cysts associated with dacryocele
and to evaluate the outcomes. METHODS: A prospective, interventional consecutive
case series of 7 patients with large intranasal cysts were included in this
study. All patients underwent endoscopic marsupialization by a single surgeon
(MJA) using a new technique involving a medial wall cruciate incision. Patients
were followed up for a minimum of 6 months and analyzed for the resolution of
dacryocele and intranasal cysts and anatomical and functional success. RESULTS:
The mean age at presentation was 5.9 weeks with a female preponderance (71.4%).
All patients presented with a subcutaneous swelling in lacrimal sac region. Acute
dacryocystitis was noted in 42.8% (3/7). Associated lacrimal anomalies were noted
in 28.5% (2/7), and associated respiratory distress was noted in 57.1% (4/7) of
the patients. At a mean follow up of 10.8 months, anatomical patency and
resolution of intranasal cyst were achieved in all cases, and functional success
was noted in all except 1 patient. CONCLUSIONS: Endoscopic evaluation of all
dacryoceles is recommended. Medial wall cruciate marsupialization is a safe and
effective modality in the management of large intranasal cysts. Early diagnosis
and appropriate quick referral are likely to prevent acute dacryocystitis,
progression of dacryocele, and may aid in better outcomes.
PMID- 24918547
TI - Assessing subunit dependency of the Plasmodium proteasome using small molecule
inhibitors and active site probes.
AB - The ubiquitin-proteasome system (UPS) is a potential pathway for therapeutic
intervention for pathogens such as Plasmodium, the causative agent of malaria.
However, due to the essential nature of this proteolytic pathway, proteasome
inhibitors must avoid inhibition of the host enzyme complex to prevent toxic side
effects. The Plasmodium proteasome is poorly characterized, making rational
design of inhibitors that induce selective parasite killing difficult. In this
study, we developed a chemical probe that labels all catalytic sites of the
Plasmodium proteasome. Using this probe, we identified several subunit selective
small molecule inhibitors of the parasite enzyme complex. Treatment with an
inhibitor that is specific for the beta5 subunit during blood stage schizogony
led to a dramatic decrease in parasite replication while short-term inhibition of
the beta2 subunit did not affect viability. Interestingly, coinhibition of both
the beta2 and beta5 catalytic subunits resulted in enhanced parasite killing at
all stages of the blood stage life cycle and reduced parasite levels in vivo to
barely detectable levels. Parasite killing was achieved with overall low host
toxicity, something that has not been possible with existing proteasome
inhibitors. Our results highlight differences in the subunit dependency of the
parasite and human proteasome, thus providing a strategy for development of
potent antimalarial drugs with overall low host toxicity.
PMID- 24918548
TI - Molecular basis for pseudokinase-dependent autoinhibition of JAK2 tyrosine
kinase.
AB - Janus kinase-2 (JAK2) mediates signaling by various cytokines, including
erythropoietin and growth hormone. JAK2 possesses tandem pseudokinase and
tyrosine-kinase domains. Mutations in the pseudokinase domain are causally linked
to myeloproliferative neoplasms (MPNs) in humans. The structure of the JAK2
tandem kinase domains is unknown, and therefore the molecular bases for
pseudokinase-mediated autoinhibition and pathogenic activation remain obscure.
Using molecular dynamics simulations of protein-protein docking, we produced a
structural model for the autoinhibitory interaction between the JAK2 pseudokinase
and kinase domains. A striking feature of our model, which is supported by
mutagenesis experiments, is that nearly all of the disease mutations map to the
domain interface. The simulations indicate that the kinase domain is stabilized
in an inactive state by the pseudokinase domain, and they offer a molecular
rationale for the hyperactivity of V617F, the predominant JAK2 MPN mutation.
PMID- 24918549
TI - Treatment of gonococcal infection: when one drug is not enough.
PMID- 24918551
TI - The changing face of challenges in the management of acne and rosacea.
PMID- 24918550
TI - dbCerEx: a web-based database for the analysis of cervical cancer transcriptomes.
AB - BACKGROUND: Cervical cancers are ranked the second-most hazardous ailments among
women worldwide. In the past two decades, microarray technologies have been
applied to study genes involved in malignancy progress. However, in most of the
published microarray studies, only a few genes were reported leaving rather a
large amount of data unused. Also, RNA-Seq data has become more standard for
transcriptome analysis and is widely applied in cancer studies. There is a
growing demand for a tool to help the experimental researchers who are keen to
explore cervical cancer gene therapy, but lack computer expertise to access and
analyze the high throughput gene expression data. DESCRIPTION: The dbCerEx
database is designed to retrieve and process gene expression data from cervical
cancer samples. It includes the genome wide expression profiles of cervical
cancer samples, as well as a web utility to cluster genes with similar expression
patterns. This feature will help researchers conduct further research to uncover
novel gene functions. CONCLUSION: The dbCerEx database is freely available for
non-commercial use at http://128.135.207.10/dbCerEx/, and will be updated and
integrated with more features as needed.
PMID- 24918552
TI - Therapeutic update on acne scarring.
PMID- 24918553
TI - The duration of acne treatment.
AB - BACKGROUND: Acne has a ~90% lifetime prevalence, however the duration of the
condition and its treatment is not well characterized. The purpose of this study
was to estimate for how long acne patients are treated. METHODS: Electronic
patient records from an academic practice were queried to identify dermatology
visits with an acne diagnosis (ICD-9: 706.1) between January 1, 2009 and June 1,
2012. The duration of care for acne treatment was calculated as the time between
the earliest and latest visits. Kaplan Meier analyses were used to describe
treatment duration RESULTS: 1,130 patients had at least one visit acne-related
visit to a dermatologist, with 631 (56%) having only one visit and 499 (44%)
having multiple visits over the study period. For patients with multiple visits,
the mean duration from first to last visit was 0.57 year (95% CI: 0.52, 0.62);
25% ceased visiting in 0.25 year, 50% in 0.40 year, and 75% in 0.64 year.
CONCLUSION: Our study provides a lower limit on the duration of acne treatment.
The duration of acne treatment is longer than the duration of typical acne
clinical trials. Understanding the duration of the disease can help set patients'
treatment expectations, which may help improve adherence.
PMID- 24918554
TI - Tolerability of topical antimicrobials in treatment of acne vulgaris.
AB - BACKGROUND: Thirty to 40% of patients using topical treatments do not comply with
their treatment regimen. OBJECTIVE: To examine how tolerability is assessed,
tolerability ratings, and clinical significance of tolerability ratings of
topical antimicrobials for acne. METHODS: A literature search was performed using
the terms "tolerability AND acne AND (benzoyl peroxide OR antimicrobial OR
clindamycin OR erythromycin OR dapsone OR sulfur OR sulfacetamide)." Inclusion
criteria were: 1) evaluation of tolerability, 2) use of an identified topical
antimicrobial for acne treatment without combination retinoid use, 3) an original
study, in English. RESULTS: Thirty-four of 132 articles met the inclusion
criteria. Tolerability was measured through subject and investigator assessment
of specific tolerability parameters and by reporting of adverse events. Nearly
all of the acne treatments were well tolerated. Treatment related study
discontinuation rates were low and had little to no relation to the degree of
tolerability measures. LIMITATIONS: Patients may be more adherent in clinical
trials than in clinical practice. Differences in the measure used to assess
tolerability make comparisons difficult. CONCLUSIONS: Topical antimicrobial acne
therapy is generally well tolerated. Discontinuation rates are low under study
conditions. Tolerability of topical antimicrobial therapy for acne may not have
great clinical significance.
PMID- 24918555
TI - Results of a Phase III, double-blind, randomized, parallel-group, non-inferiority
study evaluating the safety and efficacy of isotretinoin-Lidose in patients with
severe recalcitrant nodular acne.
AB - BACKGROUND: Isotretinoin-Lidose, the first new formulation of isotretinoin in 30
years, differs from previously approved isotretinoin formulations in that it is
less dependent on the presence of fat in the gut for absorption. OBJECTIVE:
Evaluate the safety profiles of isotretinoin-Lidose and food-dependent generic
isotretinoin in the largest clinical study with isotretinoin-925 randomized
patients from 49 study sites. Determine if the efficacy of this new formulation
is noninferior to an existing isotretinoin. METHODS: Multicenter, double-blind,
randomized, parallel-group, noninferiority trial. Study medication was taken with
meals twice daily for 20 weeks. Patients were followed for 4 weeks after the last
dose. Safety evaluations included recordings of adverse events, assessments for
depression, anxiety, emergent psychotic symptoms, and suicidal ideation/behavior,
as well as DEXA and X-ray evaluations and changes in bone age. Two co-primary
efficacy outcomes were measured to assess noninferiority: a) change in total
nodular facial and truncal lesion count at from baseline to week 20 and b)
percentage of patients who experienced at least 90% reduction in nodular facial
and truncal lesion count from baseline to week 20. LIMITATIONS: Although
isotretinoin-Lidose can be taken without meals, it was given with food because
the absorption of both formulations in the study had to be similar to detect
noninferiority. RESULTS: The safety profile of the 2 formulations was comparable.
Criteria for noninferiority for both co-primary efficacy outcomes were met based
on predetermined margins. CONCLUSION: Safety and efficacy of isotretinoin-Lidose
is similar and noninferior to food-dependent generic isotretinoin, respectively.
PMID- 24918556
TI - Safety and efficacy comparison of minocycline microgranules vs lymecycline in the
treatment of mild to moderate acne: randomized, evaluator-blinded, parallel, and
prospective clinical trial for 8 weeks.
AB - BACKGROUND: Minocycline and lymecycline are used in the treatment of acne, but
there is not enough evidence to support superior efficacy of one of them.
METHODS: 170 participants from 14 to 34 years old with mild to moderate facial
acne vulgaris were recruited. 84 had 100 mg of minocycline in a single daily dose
for 8 weeks and 86 had 300 mg of lymecycline in a single daily dose for 8 weeks.
Participants were evaluated at baseline, week 4 and week 8. RESULTS: 65
minocycline and 60 lymecycline patients were evaluable. The last observation
carried forward for the count of non-inflammatory lesions changed from 37.5 +/-
17.8 to 37.7 +/- 17.8 in the minocycline group and from 36.9 +/- 15.5 to 33.4 +/-
19.3 in the lymecycline group (no significant changes); corresponding changes in
inflammatory lesions were from 19.4 +/- 12.4 to 12.2 +/- 10.0 in the minocycline
group and from 20.1 +/- 11.3 to 12.6 +/- 8.4 in lymecycline group (P< 0.05
comparing baseline vs. final in both groups). Porphyrin counts varied from 899.5
+/- 613.9 to 233.5 +/- 219.5 in the minocycline group and from 956.9 +/- 661.8 to
411.8 +/- 411.5 in the lymecycline group (P<0.05 between the groups at study
end). 36 (42.9%) patients receiving minocycline suffered 55 adverse events (22 of
them gastrointestinal), while 28 (33.3%) lymecycline patients had 37 adverse
events (15 of them gastrointestinal). One patient in the lymecycline group
withdrew the study due to gastritis, and one more patient in the same group
experienced eosinophilia. CONCLUSIONS: There were no differences between the
groups in non-inflammatory and inflammatory lesion counts, and in the safety
profile. Treatment with minocycline induced statistically significant decrease in
facial porphyrin counts compared to the group treated with lymecycline
(ClinicalTrials.gov number, NCT00988026).
PMID- 24918557
TI - Rapid treatment of mild acne with a novel skin care system containing 1%
salicylic acid, 10% buffered glycolic acid, and botanical ingredients.
AB - The biggest hurdle in the treatment of acne vulgaris is patient non-compliance
that is due in large part to poor tolerability to common acne medications. As
such, new acne treatments must be developed that balance good anti-acne efficacy
with excellent tolerability in order to ensure patient adherence and by extension
ensure good clinical outcomes. The goal of the present study was to determine the
tolerability and efficacy of a novel skin care system, composed of a cleanser,
containing 1% salicylic acid and botanical ingredients, and a treatment gel,
containing 1% salicylic acid, 10% buffered glycolic acid and botanical
ingredients for the treatment of mild acne. In this single-center, open-label
clinical study, 25 male and female volunteers used the test cleanser and test gel
twice daily over six weeks. Tolerability assessments showed that the skin care
regimen was very well tolerated by all study volunteers. Acne severity was
significantly reduced by two acne grades at six weeks. Inflammatory lesion counts
were significantly reduced, on average, by 59.06% (P <= 0.0001), 91.62% (P <=
0.0001), 90.85% (P <= 0.0001) and by 98.55% (P <= 0.0001) at weeks 1, 2, 4, and
6, respectively. Non-inflammatory lesion counts were reduced, on average, by
13.54% (ns), 38.95% (P <= 0.0001), 44.48% (P <= 0.0001), and by 56.10% (P <=
0.0001) at weeks 1, 2, 4, and 6, respectively. Standardized photography also
demonstrated a progressive reduction in acne lesions over time. In conclusion,
results of the present study suggest that the tested skin care regimen offers
rapid acne clearance and excellent tolerability that together may help to improve
patient adherence as well as treatment outcome.
PMID- 24918558
TI - Randomized, phase 2, dose-ranging study in the treatment of rosacea with
encapsulated benzoyl peroxide gel.
AB - OBJECTIVE: Compare the safety and efficacy of 1% and 5% silica encapsulated
benzoyl peroxide (E-BPO) in patients with papulopustular rosacea. DESIGN: Multi
centered randomized, double blind, vehicle controlled parallel group, 12 week
treatment in 92 patients with papulopustular rosacea. Primary endpoints were
dichotomized IGA with success defined as clear/near clear and reduction in
inflammatory lesions. PATIENTS: 92 patients: 74% graded as moderate IGA, 14%
severe and 12% mild. The mean inflammatory lesion count was 24. INTERVENTION:
Once daily treatment for 12 weeks with vehicle, 1% or 5% E-BPO. RESULTS: 1% and
5% E-BPO were superior to vehicle in reducing papulopustular lesions P =0.01 and
P =0.02. 5% E-BPO was superior to vehicle for IGA P =0.0013.
PMID- 24918559
TI - The quality of life impact of acne and rosacea compared to other major medical
conditions.
AB - BACKGROUND: Acne and rosacea cause significant negative impact on quality of
life. There is limited information comparing the health-related quality of life
(HRQL) impact associated with acne and rosacea to other patient populations.
PURPOSE: We review available literature to assess the HRQL impact of acne and
rosacea and compare them with major medical conditions. METHODS: A PubMed search
identified studies that utilized the Short Form 36 (SF-36), the Dermatology Life
Quality Index (DLQI), and the willingness-to-pay (WTP) metric to assess the HRQL
impact of acne and rosacea. These data were compared to HRQL values for other
diseases. RESULTS: The HRQL impact of acne is similar to asthma, epilepsy,
diabetes, back pain, arthritis, and coronary heart disease using SF-36 data. DLQI
scores for acne ranged from 2 to 17.7 and for rosacea ranged from 4.3 to 17.3;
the DLQI scores for psoriasis ranged from 1.7 to 18.2. WTP data identified ranged
widely for both acne and rosacea. LIMITATIONS: There was limited broadly
generalizable data for acne and rosacea. CONCLUSIONS: Acne and rosacea impact
HRQL to a similar degree as other major medical conditions by indirect comparison
to psoriasis, a skin condition causing significant disability, and by direct
comparison for acne. In the setting of limited health care resources, allocation
should be grounded in the evidence that acne and rosacea are not trivial in their
effects.
PMID- 24918561
TI - Cutaneous tolerability to tretinoin shows little variation with Fitzpatrick skin
type.
AB - Determinants of skin irritability are poorly understood. This study aims to
assess differences in cutaneous safety/irritation based on Fitzpatrick skin type
among patients with acne treated with tretinoin gel microsphere (TGM). This was a
phase 4, 12-week, prospective, nonrandomized, open-label, multicenter study.
Approximately 500 patients with mild to moderate acne were treated with TGM 0.04%
or 0.1% and assessed for cutaneous irritation at baseline and weeks 3, 6, and 12.
In this post hoc analysis of patients with Fitzpatrick skin type I-III vs
Fitzpatrick skin type IV-VI, there was a general trend toward initial worsening
of cutaneous adverse events (AEs) by week 3 across all variables and groups. This
was followed by a trend toward improvement and resolution of skin-related AEs
from week 3 to week 12 regardless of Fitzpatrick skin type, with a few
exceptions. Erythema was the only cutaneous AE that consistently decreased among
patients with darker skin. Results from a subsequent 3-group analysis
(Fitzpatrick I-II vs Fitzpatrick III-IV vs Fitzpatrick V-VI) generally mirrored
those from the 2-group study. Study limitations include patient nonadherence,
lack of a placebo arm, and lack of data regarding the impact of concurrent
medications on outcomes. There was no correlation between irritation and
Fitzpatrick skin type. ABBREVIATIONS USED: adverse event (AE), analysis of
variance (ANOVA), benzoyl peroxide (BP), case report form (CRF), modified Global
Acne Grading Score (mGAGS), tretinoin gel microsphere (TGM).
PMID- 24918560
TI - Improvement in facial erythema within 30 minutes of initial application of
brimonidine tartrate in patients with rosacea.
AB - BACKGROUND: Brimonidine tartrate (BT) 0.5% gel demonstrated significantly greater
efficacy versus vehicle gel once-daily for the treatment of moderate to severe
erythema of rosacea. OBJECTIVES: To assess the 30-minute speed of onset of
topical BT 0.5% gel in reducing facial erythema in Phase III studies as measured
by subject and clinician assessments of erythema. METHODS: Two Phase III,
randomized, controlled studies with identical design in which subjects with
moderate erythema of rosacea (study A: n=260; study B: n=293) were randomized 1:1
to apply topical BT 0.5% or vehicle gel once-daily for 4 weeks. Evaluations
included severity of erythema based on Clinician's Erythema Assessment (CEA) and
Patient's Self-Assessment (PSA) prior to study drug application and at 30 minutes
after application on days 1, 15, and 29. RESULTS: 97.7% and 96.6% of subjects
reported normal study completion for studies A and B, respectively. The
percentage of subjects achieving a 1-grade improvement in both CEA and PSA was
significantly increased at 30 minutes post-dosing with BT 0.5% gel compared to
vehicle gel on visit days (day 1: 27.9 vs 6.9%, P <0.001; day 15: 55.9 vs 21.1%,
P <0.001; Day 29: 58.3 vs 32.0%, P <0.001 for BT 0.5% gel vs vehicle) in study A.
Similar results were shown for study B. CONCLUSIONS: Once-daily topical BT gel
0.5% is not only efficacious at reducing facial erythema but also exhibits
response within 30 minutes of application in a significant number of patients
throughout both Phase III studies.
PMID- 24918562
TI - A retrospective analysis of 72 patients on prior efalizumab subsequent to the
time of voluntary market withdrawal in 2009.
AB - BACKGROUND: Efalizumab was voluntarily withdrawn from the market in April 2009
after four cases of progressive multifocal leukoencephalopathy. OBJECTIVE: To
review the baseline characteristics and psoriasis phenotypes of patients with
prior excelled response to efalizumab, and to determine the response of these
patients to prior as well as subsequent therapies. By defining this subgroup of
patients, particularly relating to palmoplantar psoriasis, future therapeutic
considerations could be improved. DESIGN: A retrospective review of 72 patients
who were on efalizumab at the time of market withdrawal was conducted. Data was
obtained through chart review of patients at a specialty psoriasis clinic in
Dallas, TX. MAIN OUTCOMES AND MEASURES: Patient characteristics, details of
efalizumab use, and efficacy of efalizumab compared with other psoriasis
treatment modalities. RESULTS: Of the 72 patients, 24 (33%) were found to have
palmoplantar disease. As a group, these patients were older, more likely to be
female, and less likely to have a family history of psoriasis. 48 patients (67%)
were on one or more systemic and/or biologic medication prior to starting
efalizumab. Their BSA improved from 5.45 to 0.8 as a group. Six patients were
identified as having failed TNF alpha antagonist treatment prior to starting
efalizumab. All responded well to the medication with an average BSA of 0.54.
Five of these six patients had evidence of palmoplantar disease prior to starting
efalizumab and five of these six patients were female. Eleven patients (15%)
experienced neurologic side effects and 13 (18%) had infections while on
efalizumab treatment. LIMITATIONS: This was a retrospective review. Quality of
life issues could not always be fully assessed from the data available.
CONCLUSIONS AND RELEVANCE: Efalizumab was effectively utilized in our clinical
practice to treat patients with palmoplantar psoriasis, including six patients
who had failed prior treatment with one or more TNF alpha antagonist agents.
PMID- 24918563
TI - Only skin deep: optimism and public self-consciousness did not associate with the
placebo response in a dermatology clinical trial.
AB - OBJECTIVE: Although not well-understood, dermatologic diseases studied in
clinical trials often demonstrate substantial response to placebo. The study
objective is to determine if optimism, public self-consciousness and other
personality traits predict response to placebo or active treatment in a
dermatology clinical trial. METHODS: A questionnaire was mailed to subjects
previously enrolled in a two-center rosacea study who had been randomized to
either a treatment or placebo gel. The questionnaire included the Revised Life
Orientation Test (LOT-R), the Public Self-Consciousness Scale, and questions to
assess personality traits. RESULTS: Forty-seven subjects out of 83 (57%) returned
the questionnaire. There was no statistically significant difference in the LOT-R
score in those who responded to placebo versus those who did not (18.08 vs 17.92,
P =0.92) nor in those who responded to active treatment versus those who did not
(16.27 vs 15.86, P =0.79). There was no statistically sigificant difference in
public-self consciousness among placebo or active treatment responders versus non
responders (11.75 vs 10.67, P =0.66; 13.55 vs 14.45, P =0.68). The placebo
responders were more likely to report that they were not unusually sensitive to
most drugs/medications (X2= 8.33, P =0.004). CONCLUSION: Although this pilot
study is small, there was no meaningful difference in levels of optimism or
public self-consciousness among those who responded to placebo. Placebo
responders were more likely to report that they were not sensitive to most
drugs/medications, raising the possibility that they are actually less likely to
detect when they are on medications.
PMID- 24918564
TI - The effect of desonide hydrogel on pruritis associated with atopic dermatitis.
AB - Itch is a common and troubling symptom of atopic dermatitis. It is not mediated
by histamine, and standard anti-itch therapies, therefore, have limited benefit
for most AD patients. Instead, anti-inflammatory agents are used to reduce
inflammation and therefore improve associated itch. Studies confirm that long
term use of corticosteroids can lead to a reduction in pruritus. A pilot study
was designed to assess the effects of one week of twice-daily application of
desonide hydrogel 0.05% for the treatment of atopic dermatitis. Active treatment
was associated with significant improvements in IGA scores at day 3 and day 7
(mean score 0.55, 75.83% improvement from Baseline; P <.0001) and pruritus VAS
scores at day 3 and day 7 (mean 6.35-point, 86.61% reduction in VAS scores; P
<.0001). Treatment with the convenient, hydrating hydrogel formulation is
effective and associated with an improvement in subjects' quality of life.
PMID- 24918565
TI - Systematic review of vismodegib toxicity profile in the treatment of advanced
basal cell carcinomas compared to other systemic therapies in dermatology.
AB - Vismodegib is a first-in-class, hedgehog-signal inhibitor that is FDA-approved
for use with advanced basal cell carcinomas (BCCs) that cannot be removed by
either surgical resection or treated with radiation. Release of the drug was fast
tracked because of need for this type of drug, and its overall efficacy in
clinical trial by producing either regression or even resolution of advanced
BCCs. Compared to placebo, patients using vismodegib have arrested BCC
progression, reduced size of BCC, and decreased recurrence of BCC. Unfortunately,
vismodegib has notable adverse effects (especially those of alopecia,
gastrointestinal, muscle spasms, and dysguesia) that make dermatologists
reluctant to prescribe the drug and patients unwilling to undergo therapy. In
this article, we tackle this dilemma by comparing the toxicity profile of
vismodegib to the adverse effect profiles of other dermatologic
chemotherapeutics, immunomodulators, retinoids, and biologics. Considering that
many of these drugs carry their own risks and those drugs used to treat advanced
melanoma have similar toxicity profiles to that of vismodegib, we hope
dermatologists and patients alike will be more willing to try vismodegib as a
treatment option for advanced BCCs in the future.
PMID- 24918566
TI - Incorrect reconstitution of incobotulinumtoxinA leads to loss of neurotoxin.
AB - BACKGROUND: IncobotulinumtoxinA (INCO) was approved for aesthetic use in the
United States in 2011. When reconstituted per manufacturer's instructions,
diminished delivery of INCO in US may result. OBJECTIVE: Investigators sought to
determine whether potential loss of decreased motor activity could be
demonstrated, using a simple reconstitution technique. METHODS AND MATERIALS: In
this 5-patient study, investigators added 2.0 mL of saline to INCO powder at the
bottom of the first of 5 vials, swirling gently to dissolve INCO powder at the
bottom. Reconstituted INCO was discarded and the cap was replaced. The "empty"
vial then received 0.6 mL of saline, and was swirled and inverted 3 times to
ensure dissolution. The 0.6 mL from the first vial was added to the second
"empty" vial and the process was repeated for the remaining 3 vials (5 vials per
patient). Patients were injected from reconstitution of "empty" vials to
determine neuromodulatory activity. Pre- and post-treatment patient photographs
of maximal contraction were taken. RESULTS: Markedly diminished maximal frown
could be observed in all 5 patients. CONCLUSION: Improper reconstitution of INCO,
or swirling without inversion of the vial following saline injection, can result
in significant loss of units of the neurotoxin in the clinical setting.
PMID- 24918567
TI - Efficacy and safety of ingenol mebutate 0.015% gel after cryosurgery of actinic
keratosis: 12-month results.
AB - INTRODUCTION: Recurrence rates of actinic keratosis (AK) lesions after
cryosurgery are high, and this treatment does not address field cancerization. We
investigated the efficacy and safety of field treatment of AKs with ingenol
mebutate gel following cryosurgery. METHODS: In this phase 3, randomized, double
blind, vehicle-controlled study (NCT01541553), patients >=18 years with four to
eight clinically typical, visible, discrete AKs within a contiguous 25-cm2
treatment area on the face or scalp underwent cryosurgery followed 3 weeks later
by once-daily ingenol mebutate 0.015% or vehicle gel for 3 consecutive days.
Endpoints included complete clearance at week 11 and safety and efficacy over 12
months. RESULTS: In 329 randomized patients, complete clearance rates were
greater with ingenol mebutate than vehicle (week 11: 60.5% vs 49.4%; P=.04; month
12: 30.5% vs 18.5%; P=.01). Fewer patients experienced the emergence of new
lesions with ingenol mebutate than with vehicle (38.9% vs 51.9%; P =.02). At
month 12, mean percentage reduction of AKs was higher with ingenol mebutate than
with vehicle (68.2% vs 54.1%; P =.002). The probability of remaining free of
lesions was sustained longer with ingenol mebutate compared with vehicle gel: 78%
vs 68% at 6 months; 64% vs 57% at 9 months; 55% vs 40% at month 12, respectively.
Ingenol mebutate 0.015% gel was well tolerated and no unexpected adverse events
occurred; all adverse events resolved within 2 weeks of starting treatment.
CONCLUSIONS: Field treatment with ingenol mebutate 0.015% gel following
cryosurgery significantly enhanced clearance of baseline lesions, and was well
tolerated. Furthermore, ingenol mebutate 0.015% gel following cryosurgery reduced
development of new lesions in the treated field.
PMID- 24918568
TI - Successful desensitization to brentuximab vedotin after hypersensitivity
reaction.
AB - Monoclonal antibodies (mAb) have become the standard of care for numerous
diseases. However, side effects including infusion and hypersensitivity reactions
experienced by patients continue to be a limiting factor in their use. In the
therapy of cancer, treatment choices are frequently limited and minimizing side
effects of a life-saving or life-prolonging therapy becomes of the utmost
importance. We report the successful use of a rapid desensitization protocol in a
patient with NHL, treated with a novel antibody-drug conjugate, chimeric
monoclonal antibody linked to the antimitotic agent monomethyl auristatin E
(MMAE) Brentuximab vedotin, who had previously developed a hypersensitivity
reaction.
PMID- 24918569
TI - Leuprolide acetate-induced generalized papular eruption.
AB - Leuprolide acetate, a gonadotropin-releasing hormone agonist, is used in the
treatment of prostate cancer. We report a unique case of a disseminated papular
rash following leuprolide acetate injections in a 65-year-old man that shares
clinical and histopathological features of papuloerythroderma of Ofuji.
Leuprolide-induced papuloerythroderma, as well as a limited number of other
disseminated cutaneous eruptions caused by this drug, is extremely rare, with
only one case previously reported. Our case calls attention to this uncommon side
effect in a commonly used hormonal therapy.
PMID- 24918570
TI - Rosacea fulminans with extrafacial lesions in an elderly man: successful
treatment with subantimicrobial-dose doxycycline.
AB - Rosacea fulminans, previously known as pyoderma faciale, is a rare disease
occurring almost exclusively in young women characterized by the sudden eruption
of coalescing papules and pustules, and large cystic nodules limited to the face.
Patients generally respond well to standard therapy consisting of systemic
isotretinoin in combination with topical and systemic corticosteroids. Lesions
usually resolve with minimal scarring with appropriate management. We describe an
elderly male patient with extrafacial rosacea fulminans successfully treated with
daily subantimicrobial (40mg) dose doxycycline (SDD). To our knowledge, this is
the first report of rosacea fulminans with extrafacial lesions in an elderly
male. We suggest that SDD may be a safe and effective alternative, particularly
for those unable to tolerate standard therapy.
PMID- 24918571
TI - Evolving concepts in the pathogenesis of acne vulgaris.
PMID- 24918572
TI - Re-evaluating treatment targets in acne vulgaris: adapting to a new understanding
of pathophysiology.
AB - Two primary factors are changing current approaches to the management of acne
vulgaris (AV): the continuously evolving role of Propionibacterium acnes in the
pathophysiology of AV and recent evidence of an inflammatory basis for AV via
innate immunity. The developing concepts emphasize that acne is primarily an
inflammatory disease. The emerging concept of subclinical inflammation and its
effect on development and progression of acne lesions correlating with the
sequence of the underlying inflammation process has been a major change in our
understanding of acne pathogenesis. Thus, inflammation has become the major
feature of the disease process from onset to resolution, including
postinflammatory erythema, postinflammatory hyperpigmentation, and scarring. Our
treatment targets may also need to be reconsidered, with more emphasis on anti
inflammatory treatments.
PMID- 24918573
TI - Acne vulgaris in skin of color: understanding nuances and optimizing treatment
outcomes.
AB - According to the United States national survey data, acne vulgaris is the leading
dermatologic diagnosis among African Americans, Hispanics/Latinos, and
Asians/Pacific Islanders. This patient population, collectively referred to as
having skin of color, exhibits clinical and therapeutic nuances that are relevant
in the management of acne. Understanding the nuances in clinical presentation,
safety considerations, cultural factors, and desired treatment endpoints is key
to ensuring successful outcomes.
PMID- 24918574
TI - Antibiotic resistance and acne: where we stand and what the future holds.
AB - Antibiotic resistance is described as "a global public health challenge" and a
"major health security challenge of the 21st century" by global health
authorities,1 and there is a growing need for dermatologists to counteract it in
their treatments of acne.3,4 Antibiotic limiting regimens, such as a combination
of topical retinoids and benzoyl peroxide, have shown effectiveness in the
treatment of acne; and topical probiotics could also play a needed role.
PMID- 24918575
TI - Lipopolysaccharide induces immune activation and SIV replication in rhesus
macaques of Chinese origin.
AB - BACKGROUND: Chronic immune activation is a hallmark of progressive HIV infection
and a key determinant of immunodeficiency in HIV-infected individuals. Bacterial
lipopolysaccharide (LPS) in the circulation has been implicated as a key factor
in HIV infection-related systemic immune activation. We thus investigate the
impact of LPS on systemic immune activation in simian immunodeficiency virus
(SIV)-infected rhesus macaques of Chinese origin. METHODS: The animals were
inoculated intravenously with SIVmac239. The levels of plasma viral load and host
inflammatory cytokines in PBMC were measured by real-time RT-PCR. CD4/CD8 ratio
and systemic immune activation markers were examined by flow cytometric analysis
of PBMCs. White blood cell and neutrophil counts and C Reactive Protein levels
were determined using biochemistry analyzer. The plasma levels of LPS were
determined by Tachypleus Amebocyte Lysate (TAL) test. RESULTS: The animals
inoculated with SIVmac239 became infected as evidenced by the increased plasma
levels of SIV RNA and decreased CD4/CD8 ratio. LPS administration of SIV-infected
animals induced a transient increase of plasma SIV RNA and immune activation,
which was indicated by the elevated expression of the inflammatory cytokines and
CD4+HLA-DR+ T cells in PBMCs. CONCLUSIONS: These data support the concept that
LPS is a driving factor in systemic immune activation of HIV disease.
PMID- 24918576
TI - Lithological control on phytolith carbon sequestration in moso bamboo forests.
AB - Phytolith-occluded carbon (PhytOC) is a stable carbon (C) fraction that has
effects on long-term global C balance. Here, we report the phytolith and PhytOC
accumulation in moso bamboo leaves developed on four types of parent materials.
The results show that PhytOC content of moso bamboo varies with parent material
in the order of granodiorite (2.0 g kg(-1)) > granite (1.6 g kg(-1)) > basalt
(1.3 g kg(-1)) > shale (0.7 g kg(-1)). PhytOC production flux of moso bamboo on
four types of parent materials varies significantly from 1.0 to 64.8 kg CO2 ha(
1) yr(-1), thus a net 4.7 * 10(6) -310.8 * 10(6) kg CO2 yr(-1) would be
sequestered by moso bamboo phytoliths in China. The phytolith C sequestration
rate in moso bamboo of China will continue to increase in the following decades
due to nationwide bamboo afforestation/reforestation, demonstrating the potential
of bamboo in regulating terrestrial C balance. Management practices such as
afforestation of bamboo in granodiorite area and granodiorite powder amendment
may further enhance phytolith C sequestration through bamboo plants.
PMID- 24918577
TI - Chitinase-like (CTL) and cellulose synthase (CESA) gene expression in gelatinous
type cellulosic walls of flax (Linum usitatissimum L.) bast fibers.
AB - Plant chitinases (EC 3.2.1.14) and chitinase-like (CTL) proteins have diverse
functions including cell wall biosynthesis and disease resistance. We analyzed
the expression of 34 chitinase and chitinase-like genes of flax (collectively
referred to as LusCTLs), belonging to glycoside hydrolase family 19 (GH19).
Analysis of the transcript expression patterns of LusCTLs in the stem and other
tissues identified three transcripts (LusCTL19, LusCTL20, LusCTL21) that were
highly enriched in developing bast fibers, which form cellulose-rich gelatinous
type cell walls. The same three genes had low relative expression in tissues with
primary cell walls and in xylem, which forms a xylan type of secondary cell wall.
Phylogenetic analysis of the LusCTLs identified a flax-specific sub-group that
was not represented in any of other genomes queried. To provide further context
for the gene expression analysis, we also conducted phylogenetic and expression
analysis of the cellulose synthase (CESA) family genes of flax, and found that
expression of secondary wall-type LusCESAs (LusCESA4, LusCESA7 and LusCESA8) was
correlated with the expression of two LusCTLs (LusCTL1, LusCTL2) that were the
most highly enriched in xylem. The expression of LusCTL19, LusCTL20, and LusCTL21
was not correlated with that of any CESA subgroup. These results defined a
distinct type of CTLs that may have novel functions specific to the development
of the gelatinous (G-type) cellulosic walls.
PMID- 24918578
TI - Non-collinear magnetism induced by frustration in transition-metal nanostructures
deposited on surfaces.
AB - How does magnetism behave when the physical dimension is reduced to the size of
nanostructures? The multiplicity of magnetic states in these systems can be very
rich, in that their properties depend on the atomic species, the cluster size,
shape and symmetry or choice of the substrate. Small variations of the cluster
parameters may change the properties dramatically. Research in this field has
gained much by the many novel experimental methods and techniques exhibiting
atomic resolution. Here we review the ab-initio approach, focusing on recent
calculations on magnetic frustration and occurrence of non-collinear magnetism in
antiferromagnetic nanostructures deposited on surfaces.
PMID- 24918579
TI - Seasonal distribution and historic trends in abundance of white sharks,
Carcharodon carcharias, in the western North Atlantic Ocean.
AB - Despite recent advances in field research on white sharks (Carcharodon
carcharias) in several regions around the world, opportunistic capture and
sighting records remain the primary source of information on this species in the
northwest Atlantic Ocean (NWA). Previous studies using limited datasets have
suggested a precipitous decline in the abundance of white sharks from this
region, but considerable uncertainty in these studies warrants additional
investigation. This study builds upon previously published data combined with
recent unpublished records and presents a synthesis of 649 confirmed white shark
records from the NWA compiled over a 210-year period (1800-2010), resulting in
the largest white shark dataset yet compiled from this region. These
comprehensive records were used to update our understanding of their seasonal
distribution, relative abundance trends, habitat use, and fisheries interactions.
All life stages were present in continental shelf waters year-round, but median
latitude of white shark occurrence varied seasonally. White sharks primarily
occurred between Massachusetts and New Jersey during summer and off Florida
during winter, with broad distribution along the coast during spring and fall.
The majority of fishing gear interactions occurred with rod and reel, longline,
and gillnet gears. Historic abundance trends from multiple sources support a
significant decline in white shark abundance in the 1970s and 1980s, but there
have been apparent increases in abundance since the 1990s when a variety of
conservation measures were implemented. Though the white shark's inherent
vulnerability to exploitation warrants continued protections, our results suggest
a more optimistic outlook for the recovery of this iconic predator in the
Atlantic.
PMID- 24918580
TI - Gallic acid ameliorated impaired glucose and lipid homeostasis in high fat diet
induced NAFLD mice.
AB - Gallic acid (GA), a naturally abundant plant phenolic compound in vegetables and
fruits, has been shown to have potent anti-oxidative and anti-obesity activity.
However, the effects of GA on nonalcoholic fatty liver disease (NAFLD) are poorly
understood. In this study, we investigated the beneficial effects of GA
administration on nutritional hepatosteatosis model by a more "holistic view"
approach, namely 1H NMR-based metabolomics, in order to prove efficacy and to
obtain information that might lead to a better understanding of the mode of
action of GA. Male C57BL/6 mice were placed for 16 weeks on either a normal chow
diet, a high fat diet (HFD, 60%), or a high fat diet supplemented with GA (50 and
100 mg/kg/day, orally). Liver histopathology and serum biochemical examinations
indicated that the daily administration of GA protects against hepatic steatosis,
obesity, hypercholesterolemia, and insulin resistance among the HFD-induced NAFLD
mice. In addition, partial least squares discriminant analysis scores plots
demonstrated that the cluster of HFD fed mice is clearly separated from the
normal group mice plots, indicating that the metabolic characteristics of these
two groups are distinctively different. Specifically, the GA-treated mice are
located closer to the normal group of mice, indicating that the HFD-induced
disturbances to the metabolic profile were partially reversed by GA treatment.
Our results show that the hepatoprotective effect of GA occurs in part through a
reversing of the HFD caused disturbances to a range of metabolic pathways,
including lipid metabolism, glucose metabolism (glycolysis and gluconeogenesis),
amino acids metabolism, choline metabolism and gut-microbiota-associated
metabolism. Taken together, this study suggested that a 1H NMR-based metabolomics
approach is a useful platform for natural product functional evaluation. The
selected metabolites are potentially useful as preventive action biomarkers and
could also be used to help our further understanding of the effect of GA in
hepatosteatosis mice.
PMID- 24918581
TI - QKI impairs self-renewal and tumorigenicity of oral cancer cells via repression
of SOX2.
AB - Cancer stem cells (CSCs) may contribute to tumor initiation, distant metastasis
and chemo-resistance. One of RNA-binding proteins, Quaking (QKI), was reported to
be a tumor suppressor. Here we showed that reduced QKI levels were observed in
many human oral cancer samples. Moreover further reduction of QKI expression in
CSCs was detected compared with non-CSCs in oral cancer cell lines.
Overexpressing QKI in oral cancer cells significantly reduced CSC sphere
formation and stem cell-associated genes. In tumor implanting nude mice model,
QKI significantly impeded tumor initiation rates, tumor sizes and lung metastasis
rates. As a contrast, knocking down QKI enhanced the above effects. Among the
putative CSC target genes, SOX2 expression was negatively affected by QKI,
mechanism study revealed that QKI may directly regulate SOX2 expression via
specific binding with its 3'UTR in a cis element-dependent way. Loss of SOX2 even
completely reversed the sphere forming ability in QKI knockdown cell line. Taken
together, these data demonstrated that SOX2 is an important CSC regulator in oral
cancer. QKI is a novel CSC inhibitor and impaired multiple oral CSC properties
via partial repression of SOX2. Therefore, reduced expression of QKI may provide
a novel diagnostic marker for oral cancer.
PMID- 24918582
TI - Dense genotyping of immune-related loci identifies variants associated with
clearance of HPV among HIV-positive women in the HIV epidemiology research study
(HERS).
AB - Persistent high-risk human papillomavirus (HR-HPV) is a necessary and causal
factor of cervical cancer. Most women naturally clear HPV infections; however,
the biological mechanisms related to HPV pathogenesis have not been clearly
elucidated. Host genetic factors that specifically regulate immune response could
play an important role. All HIV-positive women in the HIV Epidemiology Research
Study (HERS) with a HR-HPV infection and at least one follow-up biannual visit
were included in the study. Cervicovaginal lavage samples were tested for HPV
using type-specific HPV hybridization assays. Type-specific HPV clearance was
defined as two consecutive HPV-negative tests after a positive test. DNA from
participants was genotyped for 196,524 variants within 186 known immune related
loci using the custom ImmunoChip microarray. To assess the influence of each
single-nucleotide polymorphism (SNP) with HR-HPV clearance, the Cox proportional
hazards model with the Wei-Lin-Weissfeld approach was used, adjusting for CD4+
count, low risk HPV (LR-HPV) co-infection, and relevant confounders. Three
analytical models were performed: race-specific (African Americans (n = 258),
European Americans (n = 87), Hispanics (n = 55), race-adjusted combined analysis,
and meta-analysis of pooled independent race-specific analyses. Women were
followed for a median time of 1,617 days. Overall, three SNPs (rs1112085,
rs11102637, and rs12030900) in the MAGI-3 gene and one SNP (rs8031627) in the
SMAD3 gene were associated with HR-HPV clearance (p<10(-6)). A variant
(rs1633038) in HLA-G were also significantly associated in African American.
Results from this study support associations of immune-related genes, having
potential biological mechanism, with differential cervical HR-HPV infection
outcomes.
PMID- 24918583
TI - Integrated pathway clusters with coherent biological themes for target
prioritisation.
AB - Prioritising candidate genes for further experimental characterisation is an
essential, yet challenging task in biomedical research. One way of achieving this
goal is to identify specific biological themes that are enriched within the gene
set of interest to obtain insights into the biological phenomena under study.
Biological pathway data have been particularly useful in identifying functional
associations of genes and/or gene sets. However, biological pathway information
as compiled in varied repositories often differs in scope and content, preventing
a more effective and comprehensive characterisation of gene sets. Here we
describe a new approach to constructing biologically coherent gene sets from
pathway data in major public repositories and employing them for functional
analysis of large gene sets. We first revealed significant overlaps in gene
content between different pathways and then defined a clustering method based on
the shared gene content and the similarity of gene overlap patterns. We
established the biological relevance of the constructed pathway clusters using
independent quantitative measures and we finally demonstrated the effectiveness
of the constructed pathway clusters in comparative functional enrichment analysis
of gene sets associated with diverse human diseases gathered from the literature.
The pathway clusters and gene mappings have been integrated into the TargetMine
data warehouse and are likely to provide a concise, manageable and biologically
relevant means of functional analysis of gene sets and to facilitate candidate
gene prioritisation.
PMID- 24918584
TI - Reactive recruitment of attentional control in math anxiety: an ERP study of
numeric conflict monitoring and adaptation.
AB - This study uses event-related brain potentials (ERPs) to investigate the
electrophysiological correlates of numeric conflict monitoring in math-anxious
individuals, by analyzing whether math anxiety is related to abnormal processing
in early conflict detection (as shown by the N450 component) and/or in a later,
response-related stage of processing (as shown by the conflict sustained
potential; Conflict-SP). Conflict adaptation effects were also studied by
analyzing the effect of the previous trial's congruence in current interference.
To this end, 17 low math-anxious (LMA) and 17 high math-anxious (HMA) individuals
were presented with a numerical Stroop task. Groups were extreme in math anxiety
but did not differ in trait or state anxiety or in simple math ability. The
interference effect of the current trial (incongruent-congruent) and the
interference effect preceded by congruence and by incongruity were analyzed both
for behavioral measures and for ERPs. A greater interference effect was found for
response times in the HMA group than in the LMA one. Regarding ERPs, the LMA
group showed a greater N450 component for the interference effect preceded by
congruence than when preceded by incongruity, while the HMA group showed greater
Conflict-SP amplitude for the interference effect preceded by congruence than
when preceded by incongruity. Our study showed that the electrophysiological
correlates of numeric interference in HMA individuals comprise the absence of a
conflict adaptation effect in the first stage of conflict processing (N450) and
an abnormal subsequent up-regulation of cognitive control in order to overcome
the conflict (Conflict-SP). More concretely, our study shows that math anxiety is
related to a reactive and compensatory recruitment of control resources that is
implemented only when previously exposed to a stimuli presenting conflicting
information.
PMID- 24918585
TI - Increasing minimum daily temperatures are associated with enhanced pesticide use
in cultivated soybean along a latitudinal gradient in the mid-western United
States.
AB - Assessments of climate change and food security often do not consider changes to
crop production as a function of altered pest pressures. Evaluation of potential
changes may be difficult, in part, because management practices are routinely
utilized in situ to minimize pest injury. If so, then such practices, should, in
theory, also change with climate, although this has never been quantified.
Chemical (pesticide) applications remain the primary means of managing pests in
industrialized countries. While a wide range of climate variables can influence
chemical use, minimum daily temperature (lowest 24 h recorded temperature in a
given year) can be associated with the distribution and thermal survival of many
agricultural pests in temperate regions. The current study quantifies average
pesticide applications since 1999 for commercial soybean grown over a 2100 km
North-South latitudinal transect for seven states that varied in minimum daily
temperature (1999-2013) from -28.6 degrees C (Minnesota) to -5.1 degrees C
(Louisiana). Although soybean yields (per hectare) did not vary by state, total
pesticide applications (kg of active ingredient, ai, per hectare) increased from
4.3 to 6.5 over this temperature range. Significant correlations were observed
between minimum daily temperatures and kg of ai for all pesticide classes. This
suggested that minimum daily temperature could serve as a proxy for pesticide
application. Longer term temperature data (1977-2013) indicated greater relative
increases in minimum daily temperatures for northern relative to southern states.
Using these longer-term trends to determine short-term projections of pesticide
use (to 2023) showed a greater comparative increase in herbicide use for soybean
in northern; but a greater increase in insecticide and fungicide use for southern
states in a warmer climate. Overall, these data suggest that increases in
pesticide application rates may be a means to maintain soybean production in
response to rising minimum daily temperatures and potential increases in pest
pressures.
PMID- 24918586
TI - Roles for human papillomavirus type 16 l1 cysteine residues 161, 229, and 379 in
genome encapsidation and capsid stability.
AB - Human papillomavirus (HPV) capsids are formed through a network of inter- and
intra-pentameric hydrophobic interactions and disulfide bonds. 72 pentamers of
the major capsid protein, L1, and an unknown amount of the minor capsid protein,
L2, form the structure of the capsid. There are 12 conserved L1 cysteine residues
in HPV16. While C175, C185, and C428 have been implicated in the formation of a
critical inter-pentameric disulfide bond, no structural or functional roles have
been firmly attributed to any of the other conserved cysteine residues. Here, we
show that substitution of cysteine residues C161, C229, and C379 for serine
hinders the accumulation of endonuclease-resistant genomes as virions mature
within stratifying and differentiating human epithelial tissue. C229S mutant
virions form, but are non-infectious. These studies add detail to the
differentiation-dependent assembly and maturation that occur during the HPV16
life cycle in human tissue.
PMID- 24918587
TI - Structural diversity in the dandelion (Taraxacum officinale) polyphenol oxidase
family results in different responses to model substrates.
AB - Polyphenol oxidases (PPOs) are ubiquitous type-3 copper enzymes that catalyze the
oxygen-dependent conversion of o-diphenols to the corresponding quinones. In most
plants, PPOs are present as multiple isoenzymes that probably serve distinct
functions, although the precise relationship between sequence, structure and
function has not been addressed in detail. We therefore compared the
characteristics and activities of recombinant dandelion PPOs to gain insight into
the structure-function relationships within the plant PPO family. Phylogenetic
analysis resolved the 11 isoenzymes of dandelion into two evolutionary groups.
More detailed in silico and in vitro analyses of four representative PPOs
covering both phylogenetic groups were performed. Molecular modeling and docking
predicted differences in enzyme-substrate interactions, providing a structure
based explanation for grouping. One amino acid side chain positioned at the
entrance to the active site (position HB2+1) potentially acts as a "selector" for
substrate binding. In vitro activity measurements with the recombinant, purified
enzymes also revealed group-specific differences in kinetic parameters when the
selected PPOs were presented with five model substrates. The combination of our
enzyme kinetic measurements and the in silico docking studies therefore indicate
that the physiological functions of individual PPOs might be defined by their
specific interactions with different natural substrates.
PMID- 24918588
TI - Efficient CRISPR/Cas9-mediated gene editing in Arabidopsis thaliana and
inheritance of modified genes in the T2 and T3 generations.
AB - The newly developed CRISPR/Cas9 system for targeted gene knockout or editing has
recently been shown to function in plants in both transient expression systems as
well as in primary T1 transgenic plants. However, stable transmission of genes
modified by the Cas9/single guide RNA (sgRNA) system to the T2 generation and
beyond has not been demonstrated. Here we provide extensive data demonstrating
the efficiency of Cas9/sgRNA in causing modification of a chromosomally
integrated target reporter gene during early development of transgenic
Arabidopsis plants and inheritance of the modified gene in T2 and T3 progeny.
Efficient conversion of a nonfunctional, out-of-frame GFP gene to a functional
GFP gene was confirmed in T1 plants by the observation of green fluorescent
signals in leaf tissues as well as the presence of mutagenized DNA sequences at
the sgRNA target site within the GFP gene. All GFP-positive T1 transgenic plants
and nearly all GFP-negative plants examined contained mutagenized GFP genes.
Analyses of 42 individual T2 generation plants derived from 6 different T1
progenitor plants showed that 50% of T2 plants inherited a single T-DNA insert.
The efficiency of the Cas9/sgRNA system and stable inheritance of edited genes
point to the promise of this system for facile editing of plant genes.
PMID- 24918589
TI - Executive summary: opportunities for action and impact to address HIV and AIDS in
adolescents.
AB - INTRODUCTION: The global HIV epidemic in adolescents is not controlled, and this
group has not received sufficient attention in programming and research efforts
addressing HIV prevention, treatment, and care. METHODS: A global technical
consultation on adolescents and HIV addressing services and research gaps was
convened by United Nations Children's Fund and the London School of Hygiene and
Tropical Medicine in July 2013. Proceedings from this meeting are presented in
this issue of the Supplement. RESULTS: Several reviews highlight poor levels of
coverage of critical HIV prevention, treatment, and care interventions for
adolescents, disparities in HIV prevalence among adolescent girls, and low-risk
perceptions associated with risk behaviors among key risk groups. Others
underscore the significance of clear national targets and strengthening data,
government involvement, enhanced systems capacity and policy, engagement of
community and adolescent social networks, and of mobile and internet technologies
to the success of interventions for adolescents. Finally, reviews identified
several efficacious interventions for adults that could benefit from operational
research to inform optimizing implementation in adolescents and how to do so with
maximal cost efficiency and impact on the epidemic. CONCLUSIONS: Addressing the
adolescent gap in the response to the HIV epidemic is essential to a more
sustainable and effective response and is critical to overall adolescent health
and well-being. The global community has the means and the responsibility to put
measures in place to make AIDS-free survival the reality for children in this
second decade of life.
PMID- 24918590
TI - Epidemiology of HIV and AIDS among adolescents: current status, inequities, and
data gaps.
AB - OBJECTIVES: To examine levels and patterns of HIV prevalence, knowledge, sexual
behavior, and coverage of selected HIV services among adolescents aged 10-19
years and highlight data gaps and challenges. METHODS: Data were reviewed from
Joint United Nations Programme on HIV/AIDS HIV estimates, nationally
representative household surveys, behavioral surveillance surveys, and published
literature. RESULTS: A number of gaps exist for adolescent-specific HIV-related
data; however, important implications for programming can be drawn. Eighty-two
percent of the estimated 2.1 million adolescents aged 10-19 years living with HIV
in 2012 were in sub-Saharan Africa, and the majority of these (58%) were females.
Comprehensive accurate knowledge about HIV, condom use, HIV testing, and
antiretroviral treatment coverage remain low in most countries. Early sexual
debut (sex before 15 years of age) is more common among adolescent girls than
boys in low- and middle-income countries, consistent with early marriage and
early childbirth in these countries. In low and concentrated epidemic countries,
HIV prevalence is highest among key populations. CONCLUSIONS: Although the
available HIV-related data on adolescents are limited, increased HIV
vulnerability in the second decade of life is evident in the data. Improving data
gathering, analysis, and reporting systems specific to adolescents is essential
to monitoring progress and improving health outcomes for adolescents. More
systematic and better quality disaggregated data are needed to understand
differences by sex, age, geography, and socioeconomic factors and to address
equity and human rights obligations, especially for key populations.
PMID- 24918591
TI - Effective approaches for programming to reduce adolescent vulnerability to HIV
infection, HIV risk, and HIV-related morbidity and mortality: a systematic review
of systematic reviews.
AB - BACKGROUND: In 2012, an estimated 2.1 million adolescents were living with HIV.
Though there are effective interventions to prevent and treat HIV infection,
adolescents face specific barriers in accessing them. As a result, new infections
and poor outcomes among HIV-infected adolescents are common. HIV programming for
adolescents should focus on interventions of proven effectiveness and address
underlying factors driving incidence and lack of effective treatment and care in
this age group. METHODS: We conducted a systematic review of systematic reviews
to summarize the global data on effectiveness of 20 intervention types, to
identify characteristics of effective interventions, and to explore evidence of
how adolescents can access interventions with proven effectiveness. Interventions
were in 2 broad categories: those designed primarily for adults and those
designed specifically for adolescents. Where available, we evaluated the evidence
of impact on the key outcomes: HIV risk, HIV transmission, and HIV morbidity and
mortality. RESULTS: Among the interventions designed for adolescents, there was
high-quality evidence that in-school interventions and some interventions in
geographically defined communities can positively impact important HIV-related
outcomes, such as self-reported sexual risk behaviors. Interventions designed
primarily for adults that had high-quality, consistent biological evidence of
efficacy included voluntary medical male circumcision (VMMC), antiretrovirals for
the prevention of mother-to-child transmission, HIV testing and counseling, HIV
treatment, condom use, and provision of sterile injecting equipment to people who
inject drugs. There was also an evidence of potential efficacy for oral
preexposure prophylaxis and behavior change interventions among certain
populations. There was a dearth of systematic review data on how best to enable
adolescents to access the intervention types identified as having proven
effectiveness among adults. CONCLUSIONS: This series of reviews allowed us to
rigorously and systematically review a large number of intervention types at once
using a standard, transparent methodology. Eight key interventions showed clear
evidence of effectiveness, with evidence of potential efficacy for some
additional interventions among certain populations. DISCUSSION: These priority
interventions with proven effectiveness should be included in all HIV prevention
programming for adolescents. There is a pressing need for more rigorous research
on how best to enable adolescents to access these effective interventions.
PMID- 24918592
TI - The impact and cost of the HIV/AIDS investment framework for adolescents.
AB - BACKGROUND: In 2005, the resources needed to support orphans and vulnerable
children in sub-Saharan Africa were estimated at US$ 1.1-4.1 billion. Approaches
to support vulnerable children have changed considerably since then. This study
updates previous estimates by including new types of support and information on
support costs. METHODS: We considered 16 types of support categorized as economic
strengthening, education support, social care and community outreach, and program
support. The estimates combine the number of children in need of each
intervention with unit costs derived from the literature and coverage goals based
on current coverage and feasible future improvements. RESULTS: The number of
children affected by AIDS in low- and middle-income countries varies from 58
million to 315 million depending on the definition of need. The resources
required to provide support to children living in poor households will grow from
US$ 4.2 billion in 2012 to US$ 5-8 billion by 2020. Almost two-thirds of these
resources will be needed for Sub-Saharan Africa. The largest needs are for cash
transfers, community care workers, early childhood development, block grants for
education, M&E monitoring and evaluation, and direct material support.
DISCUSSION: The results show that we can significantly improve the coverage of
services for vulnerable children with only modest increases in resources. This
results from stable or declining numbers of orphans and children living with HIV
plus economic growth that is moving more households out of poverty. The results
also reflect an important shift toward providing support to strengthen families
and communities that care for children rather than direct material support.
CONCLUSION: More resources are required to support children affected by AIDS, but
new approaches to provide that support will be cost effective and have broad
social and economic benefits.
PMID- 24918593
TI - What HIV programs work for adolescent girls?
AB - BACKGROUND: Adolescent girls face unique challenges in reducing their risk of
acquiring HIV because of gender inequalities, but much of HIV programming and
evaluation lacks a specific focus on female adolescents. METHODS: This article,
based on a review of 150 studies and evaluations from 2001 to June 2013, reviews
evidence on programming for adolescents that is effective for girls or could be
adapted to be effective for girls. RESULTS: The evidence suggests specific
interventions for adolescent girls across 3 critical areas: (1) an enabling
environment, including keeping girls in school, promoting gender equity,
strengthening protective legal norms, and reducing gender-based violence; (2)
information and service needs, including provision of age-appropriate
comprehensive sex education, increasing knowledge about and access to information
and services, and expanding harm reduction programs for adolescent girls who
inject drugs; and (3) social support, including promoting caring relationships
with adults and providing support for adolescent female orphans and vulnerable
children. DISCUSSION: Numerous gaps remain in evidence-based programming for
adolescent girls, including a lack of sex- and age-disaggregated data and the
fact that many programs are not explicitly designed or evaluated with adolescents
in mind. However, evidence reinforces bolstering critical areas such as
education, services, and support for adolescent girls. CONCLUSIONS: This article
contributes to the growing body of literature on HIV and adolescent girls and
reviews the vulnerabilities of girls, articulates the challenges of programming,
develops a framework for addressing the needs of girls, and reviews the evidence
for successful programming for adolescent girls.
PMID- 24918594
TI - Lessons learned from a review of interventions for adolescent and young key
populations in Asia Pacific and opportunities for programming.
AB - BACKGROUND: Over a third of new HIV infections globally are among 15-24 year-olds
and over 20% among adolescents aged 10-19 years in Asia Pacific. The review was
initiated to identify interventions in the region with demonstrated or potential
impact for adolescent and young key populations (YKP) looking at the role of
individual and structural factors in accessibility and delivery. The review is a
component of a more comprehensive review undertaken by UNICEF and partners in the
region. METHODS: This was a desk review of over 1000 articles, and 37 were
selected. Journal articles in PubMed, Cochrane Library, Cochrane DARE, EMBASE,
PsycINFO, CINAHL, and Web of Science databases were searched for HIV intervention
related information for adolescent and YKP. RESULTS AND DISCUSSION: Findings
showed that except for low levels of risk perception, most individual decisions
regarding safe behavior and testing uptake were mediated by structural factors.
Critical enablers such as design and delivery of services, peer education, and
condom policies were associated with the uptake of high-impact interventions.
Synergistic development interventions such as sexuality education, rights-based
enforcement of antitrafficking laws, and addressing violence and abuse could
increase safer behaviors. CONCLUSIONS: Although structural factors play a key
role in access and uptake of HIV prevention services for adolescent and YKP,
further qualitative research is needed to understand and mitigate the drivers of
vulnerability and constructed perceptions of risk.
PMID- 24918595
TI - Lessons learned from scale-up of voluntary medical male circumcision focusing on
adolescents: benefits, challenges, and potential opportunities for linkages with
adolescent HIV, sexual, and reproductive health services.
AB - BACKGROUND AND METHODS: By December 2013, it was estimated that close to 6
million men had been circumcised in the 14 priority countries for scaling up
voluntary medical male circumcision (VMMC), the majority being adolescents (10-19
years). This article discusses why efforts to scale up VMMC should prioritize
adolescent men, drawing from new evidence and experiences at the international,
country, and service delivery levels. Furthermore, we review the extent to which
VMMC programs have reached adolescents, addressed their specific needs, and can
be linked to their sexual and reproductive health and other key services. RESULTS
AND DISCUSSION: In priority countries, adolescents represent 34%-55% of the
target population to be circumcised, whereas program data from these countries
show that adolescents represent between 35% and 74% of the circumcised men. VMMC
for adolescents has several advantages: uptake of services among adolescents is
culturally and socially more acceptable than for adults; there are fewer barriers
regarding sexual abstinence during healing or female partner pressures; VMMC
performed before the age of sexual debut has maximum long-term impact on reducing
HIV risk at the individual level and consequently reduces the risk of
transmission in the population. Offered as a comprehensive package, adolescent
VMMC can potentially increase public health benefits and offers opportunities for
addressing gender norms. Additional research is needed to assess whether current
VMMC services address the specific needs of adolescent clients, to test adapted
tools, and to assess linkages between VMMC and other adolescent-focused HIV,
health, and social services.
PMID- 24918596
TI - Scale-up of adolescent contraceptive services: lessons from a 5-country
comparative analysis.
AB - BACKGROUND: Poor sexual and reproductive health outcomes among adolescents aged
10-19 years are indicative of the barriers this group faces in accessing health
services and highlights a gap in the availability of appropriate services,
including adolescent-friendly contraceptive services (AFCS). The HIV Investment
Framework identifies contraceptive services as an entry point for HIV counseling,
testing, and treatment, and as a component of HIV prevention. To effectively meet
the needs of adolescents, greater understanding of effective scale-up strategies
for adolescent-friendly services is needed. METHODS: The authors conducted a
retrospective analysis of AFCS scale-up experiences in Ethiopia, Ghana,
Mozambique, Tanzania, and Vietnam using the ExpandNet/World Health Organization
framework for systematic scale-up. The authors analyzed the type of scale
(expansion or institutionalization), dissemination and advocacy, organizational
process, costs and resource mobilization, and monitoring and evaluation. RESULTS:
The analysis showed that all programs simultaneously pursued expansion and
institutionalization, contributing to sustainable scale-up. Advocacy complemented
by intensive capacity building at all levels of the health system contributed to
adoption of AFCS in national and district work plans and budgets as well
strengthening collection of age-disaggregated data. DISCUSSION: To achieve scale
up of AFCS, the authors identified the importance of institutionalization and
expansion in tandem for synergy and reinforcement, empowering adolescents to be
agents of change and hold government accountable to its commitments, and
strengthening health systems to sustain AFCS. CONCLUSIONS: This article
contributes to a growing body of evidence around scale-up of AFCS, which can
inform the implementation and sustainable scale-up of HIV and other services for
adolescents.
PMID- 24918597
TI - Lessons learned from HPV vaccine delivery in low-resource settings and
opportunities for HIV prevention, treatment, and care among adolescents.
AB - BACKGROUND: Human papillomavirus (HPV) vaccines to prevent cervical cancer have
become available in recent years and presented a new challenge to health systems,
since they prevent a sexually transmitted virus and are most effective if they
are delivered to young adolescent girls, a group not widely served by other
health programs. Demonstration and pilot HPV vaccination programs undertaken in
the past 7-8 years in low-resource settings have produced lessons that may be
more broadly applied to other adolescent health interventions, particularly to
those that attempt to reduce human immunodeficiency virus (HIV) infection.
METHODS: A systematic literature review was undertaken to identify formal and
informal evaluations of HPV vaccine use in low- and middle-income countries.
Special attention was devoted to the detailed evaluations carried out on large
demonstration projects in India, Peru, Uganda, and Vietnam. RESULTS: These
lessons fall into 2 main categories: service delivery operations and community
outreach and mobilization. Operational issues included venue and timing of
vaccinations, definition of target population, micro-planning and coordination,
integration with other services, and training. Community issues included consent,
messages and channels, endorsement and support, and timing of mobilization
efforts. DISCUSSION: Careful planning, good coordination across sectors and
levels, and sensitive attention to the expressed needs for information and
preferences for communication channels among youth, parents, and communities more
broadly were among the key lessons that are relevant for HIV interventions, but
many of the smaller details were also important. CONCLUSIONS: Applying or
adapting these lessons to adolescent HIV services could accelerate effective
program design and enhance success.
PMID- 24918598
TI - Preventing sexual violence and HIV in children.
AB - BACKGROUND: Evidence linking violence against women and HIV has grown, including
on the cycle of violence and the links between violence against children and
women. To create an effective response to the HIV epidemic, it is key to prevent
sexual violence against children and intimate partner violence (IPV) against
adolescent girls. METHODS: Authors analyzed data from national household surveys
on violence against children undertaken by governments in Swaziland, Tanzania,
Kenya, and Zimbabwe, with support of the Together for Girls initiative, as well
as an analysis of evidence on effective programmes. RESULTS: Data show that
sexual and physical violence in childhood are linked to negative health outcomes,
including increased sexual risk taking (eg, inconsistent condom use and increased
number of sexual partners), and that girls begin experiencing IPV (emotional,
physical, and sexual) during adolescence. Evidence on effective programmes
addressing childhood sexual violence is growing. Key interventions focus on
increasing knowledge among children and caregivers by addressing attitudes and
practices around violence, including dating relationships. Programmes also seek
to build awareness of services available for children who experience violence.
DISCUSSION: Findings include incorporating attention to children into HIV and
violence programmes directed to adults; increased coordination and leveraging of
resources between these programmes; test transferability of programmes in low-
and middle-income countries; and invest in data collection and robust evaluations
of interventions to prevent sexual violence and IPV among children. CONCLUSIONS:
This article contributes to a growing body of evidence on the prevention of
sexual violence and HIV in children.
PMID- 24918599
TI - Commentary: Innovations in programming for HIV among adolescents: towards an AIDS
free generation.
PMID- 24918600
TI - Evidence-based programming for adolescent HIV prevention and care: operational
research to inform best practices.
AB - BACKGROUND: Globally, a staggering number of adolescents, approximately 2.1
million, were estimated to be living with HIV in 2012. Unique developmental,
psychosocial, and environmental considerations make them particularly vulnerable
to HIV acquisition and argue for a comprehensive response to address this
burgeoning problem. METHODS: This article explores the current state of the
science of HIV prevention, treatment, and care for adolescents and identifies
opportunities to address knowledge gaps and improve health outcomes for this age
group. RESULTS: Over the past decade, several important milestones have been
achieved in HIV prevention and care among adults, and despite evidence that
adherence to care and medications among affected adolescents is significantly
compromised, critical research among adolescents and young adults substantially
lags behind. Operational research, in particular, is crucial to understanding how
to use effective services and interventions for HIV prevention and care safely
and effectively for adolescents who are in dire need. CONCLUSIONS: Operational
research among adolescent populations affected by HIV is critically needed to
close the knowledge and investment gaps, and scale-up efforts for HIV prevention,
treatment, care, and support for this vulnerable age group.
PMID- 24918601
TI - Unidirectional P-body transport during the yeast cell cycle.
AB - P-bodies belong to a large family of RNA granules that are associated with post
transcriptional gene regulation, conserved from yeast to mammals, and influence
biological processes ranging from germ cell development to neuronal plasticity.
RNA granules can also transport RNAs to specific locations. Germ granules
transport maternal RNAs to the embryo, and neuronal granules transport RNAs long
distances to the synaptic dendrites. Here we combine microfluidic-based
fluorescent microscopy of single cells and automated image analysis to follow p
body dynamics during cell division in yeast. Our results demonstrate that these
highly dynamic granules undergo a unidirectional transport from the mother to the
daughter cell during mitosis as well as a constrained "hovering" near the bud
site half an hour before the bud is observable. Both behaviors are dependent on
the Myo4p/She2p RNA transport machinery. Furthermore, single cell analysis of
cell size suggests that PBs play an important role in daughter cell growth under
nutrient limiting conditions.
PMID- 24918602
TI - The gut flora is required for the control of intestinal infection by poly(I:C)
administration in neonates.
AB - We found that immunostimulation of the intestinal immune system of neonatal mice
by poly(I:C) injection decreased intestinal infection by the parasite
Cryptosporidium parvum. We showed that the presence of dendritic cells and the
cooperation of mutually dependent cytokines, such as IL-12p40, and type I and
type II IFNs, were involved in the mechanism of protection induced by poly(I:C).
This protection is dependent not only on TLR3-TRIF signaling, but also on the
activation of the TLR5-MyD88 pathway by gut microbiota. These results raise the
possibility that flagellated intestinal commensal bacteria may, in the presence
of natural or synthetic agonists of TLR3, provide synergy between the TRIF and
MyD88 signaling pathways, thereby favoring the development of mucosal defenses.
In this addendum, we summarize these recent findings and discuss their
implications for neonatal infections and immunomodulatory strategies.
PMID- 24918603
TI - Dasatinib accelerates valproic acid-induced acute myeloid leukemia cell death by
regulation of differentiation capacity.
AB - Dasatinib is a compound developed for chronic myeloid leukemia as a multi
targeted kinase inhibitor against wild-type BCR-ABL and SRC family kinases.
Valproic acid (VPA) is an anti-epileptic drug that also acts as a class I histone
deacetylase inhibitor. The aim of this research was to determine the anti
leukemic effects of dasatinib and VPA in combination and to identify their
mechanism of action in acute myeloid leukemia (AML) cells. Dasatinib was found to
exert potent synergistic inhibitory effects on VPA-treated AML cells in
association with G1 phase cell cycle arrest and apoptosis induction involving the
cleavage of poly (ADP-ribose) polymerase and caspase-3, -7 and -9. Dasatinib/VPA
induced cell death thus occurred via caspase-dependent apoptosis. Moreover,
MEK/ERK and p38 MAPK inhibitors efficiently inhibited dasatinib/VPA-induced
apoptosis. The combined effect of dasatinib and VPA on the differentiation
capacity of AML cells was more powerful than the effect of each drug alone, being
sufficiently strong to promote AML cell death through G1 cell cycle arrest and
caspase-dependent apoptosis. MEK/ERK and p38 MAPK were found to control
dasatinib/VPA-induced apoptosis as upstream regulators, and co-treatment with
dasatinib and VPA to contribute to AML cell death through the regulation of
differentiation capacity. Taken together, these results indicate that combined
dasatinib and VPA treatment has a potential role in anti-leukemic therapy.
PMID- 24918605
TI - Single- and multi-channel modulation detection in cochlear implant users.
AB - Single-channel modulation detection thresholds (MDTs) have been shown to predict
cochlear implant (CI) users' speech performance. However, little is known about
multi-channel modulation sensitivity. Two factors likely contribute to
multichannel modulation sensitivity: multichannel loudness summation and the
across-site variance in single-channel MDTs. In this study, single- and multi
channel MDTs were measured in 9 CI users at relatively low and high presentation
levels and modulation frequencies. Single-channel MDTs were measured at widely
spaced electrode locations, and these same channels were used for the
multichannel stimuli. Multichannel MDTs were measured twice, with and without
adjustment for multichannel loudness summation (i.e., at the same loudness as for
the single-channel MDTs or louder). Results showed that the effect of
presentation level and modulation frequency were similar for single- and multi
channel MDTs. Multichannel MDTs were significantly poorer than single-channel
MDTs when the current levels of the multichannel stimuli were reduced to match
the loudness of the single-channel stimuli. This suggests that, at equal
loudness, single-channel measures may over-estimate CI users' multichannel
modulation sensitivity. At equal loudness, there was no significant correlation
between the amount of multichannel loudness summation and the deficit in
multichannel MDTs, relative to the average single-channel MDT. With no loudness
compensation, multichannel MDTs were significantly better than the best single
channel MDT. The across-site variance in single-channel MDTs varied substantially
across subjects. However, the across-site variance was not correlated with the
multichannel advantage over the best single channel. This suggests that CI
listeners combined envelope information across channels instead of attending to
the best channel.
PMID- 24918606
TI - Individual investigator profiles of biospecimen use in cancer research.
AB - BACKGROUND: Establishing targets for case accrual is an important component of a
strategic plan for a biobank. We have previously assessed overall patterns of
biospecimen use in cancer research publications in selected journals. Here we
extend this analysis to consider patterns of biospecimen use in relation to
cancer research programs developed by individual investigators. METHODS: We
selected three individual cancer research investigators whose independent
research programs began circa 1986, have been characterized by extensive use of
human tumor biospecimens, and have primarily involved translational research in
the areas of breast, lung, and ovarian cancer. We analyzed biospecimen and data
usage in their career publications categorized by numbers, type, and format, and
accompanying annotating data in terms of conformance with BRISQ reporting and
ethics related criteria. RESULTS: Biospecimens were used in 313/474 (66%) of
publications analyzed. The average number of biospecimens used by these research
programs increased six-fold from less than 1000 in 2001-2003 to greater than 6000
in 2010-2012, and the average cohort sizes per article also increased from
approximately 50 to 200 cases per study over the same period in most biospecimen
categories (p<0.05). The relative proportions of different formats of
biospecimens used has varied significantly and continues to change with the
emergence of digital biospecimen derived data. In these three translational
research programs, BRISQ elements relating to 'Biobank' categories were
significantly less well reported for biospecimens used in publications than data
corresponding to 'Clinical chart' categories (p<0001). CONCLUSIONS: This study
shows that overall use of biospecimens in cancer research has increased
significantly and that dynamic variation in the relative use of different
biospecimen formats has also occurred. This study also confirms our previous
findings on patterns of biospecimen use and also those concerning incomplete
reporting of relevant data elements that has not improved in the past decade.
PMID- 24918607
TI - Seasonal blowfly distribution and abundance in fragmented landscapes. Is it
useful in forensic inference about where a corpse has been decaying?
AB - Blowflies are insects of forensic interest as they may indicate characteristics
of the environment where a body has been laying prior to the discovery. In order
to estimate changes in community related to landscape and to assess if blowfly
species can be used as indicators of the landscape where a corpse has been
decaying, we studied the blowfly community and how it is affected by landscape in
a 7,000 km2 region during a whole year. Using baited traps deployed monthly we
collected 28,507 individuals of 10 calliphorid species, 7 of them well
represented and distributed in the study area. Multiple Analysis of Variance
found changes in abundance between seasons in the 7 analyzed species, and changes
related to land use in 4 of them (Calliphora vomitoria, Lucilia ampullacea, L.
caesar and L. illustris). Generalised Linear Model analyses of abundance of these
species compared with landscape descriptors at different scales found only a
clear significant relationship between summer abundance of C. vomitoria and
distance to urban areas and degree of urbanisation. This relationship explained
more deviance when considering the landscape composition at larger geographical
scales (up to 2,500 m around sampling site). For the other species, no clear
relationship between land uses and abundance was found, and therefore observed
changes in their abundance patterns could be the result of other variables,
probably small changes in temperature. Our results suggest that blowfly community
composition cannot be used to infer in what kind of landscape a corpse has
decayed, at least in highly fragmented habitats, the only exception being the
summer abundance of C. vomitoria.
PMID- 24918608
TI - Response to Diaz-Arrastia et al., "Pharmacotherapy of traumatic brain injury:
state of the science and the road forward".
PMID- 24918609
TI - Viruses in maize and Johnsongrass in southern Ohio.
AB - The two major U.S. maize viruses, Maize dwarf mosaic virus (MDMV) and Maize
chlorotic dwarf virus (MCDV), emerged in southern Ohio and surrounding regions in
the 1960s and caused significant losses. Planting resistant varieties and
changing cultural practices has dramatically reduced virus impact in subsequent
decades. Current information on the distribution, diversity, and impact of known
and potential U.S. maize disease-causing viruses is lacking. To assess the
current reservoir of viruses present at the sites of past disease emergence, we
used a combination of serological testing and next-generation RNA sequencing
approaches. Here, we report enzyme-linked immunosorbent assay and RNA-Seq data
from samples collected over 2 years to assess the presence of viruses in
cultivated maize and an important weedy reservoir, Johnsongrass (Sorghum
halepense). Results revealed a persistent reservoir of MDMV and two strains of
MCDV in Ohio Johnsongrass. We identified sequences of several other grass
infecting viruses and confirmed the presence of Wheat mosaic virus in Ohio maize.
Together, these results provide important data for managing virus disease in
field corn and sweet corn maize crops, and identifying potential future virus
threats.
PMID- 24918611
TI - Determinants of C1q binding in the single antigen bead assay.
AB - BACKGROUND: A modified single antigen bead (SAB) assay measuring C1q binding to
human leukocyte antigen antibodies has recently been introduced. The aim of this
study was to investigate the determinants of C1q binding on SAB. METHODS: Sera
from 73 sensitized patients were analyzed by the generic IgGpan as well as IgG
subclass specific SAB assays and correlated with the standard and an anti-human
globulin (AHG) enhanced C1q test. RESULTS: Among 2,665 SABs with positive IgGpan
results (mean fluorescence intensity [MFI]>500), strong complement-binding IgG1
and IgG3 subclasses accounted for a median of 99% (interquartile range, 76%-100%)
of the total IgG amount. IgGpan MFI alone showed a very strong association with
standard C1q positivity (r=0.72), which was superior to a model including all IgG
subclass MFI (r=0.62). Combining all IgG subclass MFI and IgGpan MFI only
marginally improved the prediction of standard C1q positivity compared with
IgGpan MFI alone (Deltar=0.02). IgGpan MFI greater than 14,154 predicted standard
C1q positivity, with 92% sensitivity and 96% specificity. Notably, 1,840 (93%) of
the 1,974 C1q-negative SABs contained human leukocyte antigen antibodies with
strong complement-binding IgG1 and IgG3 subclasses. Anti-human globulin
significantly enhanced the signal in the C1q assay, but the association of AHG
C1q positivity with IgGpan MFI was less strong (r=0.51). CONCLUSION: C1q binding
on SAB is strongly associated with IgGpan MFI. IgG subclass information only
marginally improves prediction of C1q binding likely because complement-binding
IgG1 and IgG3 subclasses dominate regarding frequency and relative amounts. A
negative C1q assay result does not indicate the absence of strong complement
binding IgG subclasses.
PMID- 24918612
TI - Death by donation: reflections on individual authorization, assisted suicide and
organ donation.
PMID- 24918610
TI - Associations of anthropometric factors with KRAS and BRAF mutation status of
primary colorectal cancer in men and women: a cohort study.
AB - Obesity is a well-established risk factor for colorectal cancer (CRC), and
accumulating evidence suggests a differential influence of sex and anthropometric
factors on the molecular carcinogenesis of the disease. The aim of the present
study was to investigate the relationship between height, weight, bodyfat
percentage, waist- and hip circumference, waist-hip ratio (WHR), body mass index
(BMI) and CRC risk according to KRAS and BRAF mutation status of the tumours,
with particular reference to potential sex differences. KRAS and BRAF mutations
were analysed by pyrosequencing in tumours from 494 incident CRC cases in the
Malmo Diet and Cancer Study. Hazard ratios of CRC risk according to
anthropometric factors and mutation status were calculated using multivariate Cox
regression models. While all anthropometric measures except height were
associated with an increased risk of KRAS-mutated tumours, only BMI was
associated with an increased risk of KRAS wild type tumours overall. High weight,
hip, waist, WHR and BMI were associated with an increased risk of BRAF wild type
tumours, but none of the anthropometric factors were associated with risk of BRAF
mutated CRC, neither in the overall nor in the sex-stratified analysis. In men,
several anthropometric measures were associated with both KRAS-mutated and KRAS
wild type tumours. In women, only a high WHR was significantly associated with an
increased risk of KRAS-mutated CRC. A significant interaction was found between
sex and BMI with respect to risk of KRAS-mutated tumours. In men, all
anthropometric factors except height were associated with an increased risk of
BRAF wild type tumours, whereas in women, only bodyfat percentage was associated
with an increased risk of BRAF wild type tumours. The results from this
prospective cohort study further support an influence of sex and lifestyle
factors on different pathways of colorectal carcinogenesis, defined by KRAS and
BRAF mutation status of the tumours.
PMID- 24918613
TI - Serine protease inhibitor-6 inhibits granzyme B-mediated injury of renal tubular
cells and promotes renal allograft survival.
AB - BACKGROUND: Protease inhibitor 9 (PI-9) is an intracellular serpin that
specifically inhibits granzyme B, a cytotoxic serine protease found in the
cytosolic granules of cytotoxic T lymphocytes and natural killer cells. Enhanced
cortical expression of PI-9 has been observed in kidney allografts with
subclinical rejection, suggesting that the tubular epithelial cell (TEC)
expression of this protein may have a protective role and attenuate overt
allograft rejection. METHODS AND RESULTS: We demonstrate that TEC express SPI-6
protein, the murine homolog of PI-9, basally with a modest increase after
cytokine exposure. Tubular epithelial cell expression of SPI-6 blocks granzyme B
mediated death because TEC from SPI-6 null kidneys have increased susceptibility
to cytotoxic CD8+ cells in vitro. The role of SPI-6 was tested in a mouse kidney
transplant model using SPI-6 null or wild type donor kidneys (H-2) into
nephrectomized recipients (H-2). SPI-6 null kidney recipients demonstrated
reduced renal function at day 8 after transplantation compared to controls
(creatinine, 113+/-23 vs. 28+/-3 MUmol/L; n=5; P<0.01), consistent with observed
tubular injury and extensive mononuclear cell infiltration. Loss of donor kidney
SPI-6 shortened graft survival time (20+/-19 vs. 66+/-33 days; n=8-10; P<0.001).
CONCLUSION: Our data show for the first time that resistance of kidney TEC to
cytotoxic T-cell granzyme B-induced death in vitro and in vivo is mediated by the
expression of SPI-6. We suggest that SPI-6 is an important endogenous mechanism
to prevent rejection injury from perforin or granzyme B effectors and enhanced PI
9 or SPI-6 expressions by TEC may provide protection from diverse forms of
inflammatory kidney injury and promote long-term allograft survival.
PMID- 24918614
TI - Questioning the added value of Luminex single antigen beads to detect C1q binding
donor HLA-specific antibodies.
PMID- 24918615
TI - Sirtuin 1 activation stimulates mitochondrial biogenesis and attenuates renal
injury after ischemia-reperfusion.
AB - BACKGROUND: Renal ischemia-reperfusion (I/R) is a major contributor to delayed
graft function after renal transplantation. The pathophysiology of I/R can be
summarized by a primary energy deficit during ischemia and a secondary phase of
oxidative stress and inflammation. Sirtuin 1 is an energy-sensing enzyme involved
in regulating multiple cellular functions. We hypothesized that stimulating
Sirtuin 1 would increase mitochondrial biogenesis thereby enhancing energy
metabolism and attenuating I/R-induced renal injury. METHODS: Adult male rats
were subjected to 60 min of bilateral renal pedicle clamping. SRT1720 (5 mg/kg
body weight) or vehicle (20% dimethyl sulfoxide in saline) was administered
intravenously at reperfusion. Blood and renal tissues were collected 24 hr after
reperfusion. RESULTS: Posttreatment with SRT1720 significantly improved renal
histologic architecture, decreased apoptosis, and reduced serum aspartate
aminotransferase and creatinine levels compared to the vehicle. Renal adenosine
triphosphate (ATP) levels were reduced by 48% after I/R, whereas SRT1720 restored
ATP to 77% of control. Further, SRT1720 reversed the loss of renal mitochondrial
mass induced by I/R supported by an increased expression of peroxisome
proliferator-activated receptor gamma coactivator 1-alpha and its downstream
mediators. SRT1720 also increased ATP levels and mitochondrial mass in human
renal HK-2 cells. Moreover, SRT1720 decreased the levels of malondialdehyde,
nitrotyrosine, and inducible nitric oxide synthase expression compared to the
vehicle. A marked decrease in macrophage infiltration by SRT1720 treatment was
associated with a decrease in tumor necrosis factor-alpha expression and a
decrease in IkappaB-alpha degradation and nuclear factor-kappaB phosphorylation
after I/R. CONCLUSION: SRT1720 treatment enhanced energy metabolism by
stimulating mitochondrial biogenesis as well as decreasing nitrosative stress and
inflammation, thereby attenuating I/R-induced renal injury.
PMID- 24918616
TI - Simultaneous transplantation of hematopoietic stem cells and a vascularized
composite allograft leads to tolerance.
AB - BACKGROUND: We have previously demonstrated that tolerance to a vascularized
composite allograft (VCA) can be achieved after the establishment of mixed
chimerism. We test the hypothesis that tolerance to a VCA in our dog leukocyte
antigen-matched canine model is not dependent on the previous establishment of
mixed chimerism and can be induced coincident with hematopoietic cell
transplantation (HCT). METHODS: Eight dog leukocyte antigen-matched, minor
antigen mismatched dogs received 200 cGy of radiation and a VCA transplant. Four
dogs received donor bone marrow at the time of VCA transplantation (group 1),
whereas a second group of four dogs did not (group 2). All recipients received a
limited course of postgrafting immunosuppression. All dogs that received HCT and
VCA were given donor, third-party, and autologous skin grafts. RESULTS: All group
1 recipients were tolerant to their VCA (>62 weeks). Three of the four dogs in
group 2 rejected their VCA transplants after the cessation of immunosuppression.
Biopsies obtained from the muscle and skin of VCA from group 1 showed few
infiltrating cells compared with extensive infiltrates in biopsies of VCA from
group 2. Compared with autologous skin and muscle, elevated levels of CD3+ FoxP3+
T-regulatory cells were found in the skin and muscle obtained from the VCA of HCT
recipients. All group 1 animals were tolerant to their donor skin graft and
promptly rejected the third-party skin grafts. CONCLUSION: These data
demonstrated that donor-specific tolerance to all components of the VCA can be
established through simultaneous nonmyeloablative allogeneic HCT and VCA
transplantation protocol.
PMID- 24918617
TI - The combined risk of donor quality and recipient age: higher-quality kidneys may
not always improve patient and graft survival.
AB - BACKGROUND: The Kidney Donor Profile Index (KDPI) is a more precise donor organ
quality metric replacing age-based characterization of donor risk. Little prior
attention has been paid on the outcomes of lower-quality kidneys transplanted
into elderly recipients. Although we have previously shown that immunological
risks associated with older organs are attenuated by advanced recipient age, it
remains unknown whether risks associated with lower-quality KDPI organs are
similarly reduced in older recipients. METHODS: Donor organ quality as measured
by the KDPI was divided into quintiles (very high, high, medium, low, and very
low quality), and Cox proportional hazards was used to assess graft and recipient
survival in first-time adult deceased donor transplant recipients by recipient
age. RESULTS: In uncensored graft survival analysis, recipients older than 69
years had demonstrated comparable outcomes if they received low-quality kidneys
compared to medium-quality kidneys. Death-censored analysis demonstrated no
increased relative risk when low-quality kidneys were transplanted into
recipients aged 70 to 79 years (hazard ratio [HR], 1.11; P=0.19) or older than 79
years (HR, 1.08; P=0.59). In overall survival analysis, elderly recipients gained
no relative benefit from medium-quality kidneys over low-quality kidneys (70-79
years: HR, 1.03, P=0.51; >79 years: HR, 1.08; P=0.32). CONCLUSION: Our analysis
demonstrates that transplanting medium-quality kidneys into elderly recipients
does not provide significant advantage over low-quality kidneys.
PMID- 24918618
TI - Low-serum testosterone levels pre-liver transplantation are associated with
reduced rates of early acute allograft rejection in men.
AB - BACKGROUND: Low pretransplant serum testosterone has recently been associated
with increased mortality in men awaiting liver transplantation, but the potential
impact on rejection has not yet been investigated. METHODS: Pretransplantation
serum testosterone, SHBG, and other variables were collected on 190 consecutive
men who received a liver transplant between 2007 and 2013. Rates of subsequent
acute cellular rejection were recorded. Multivariable analysis was performed to
define variables associated with rejection and other clinically important end
points. RESULTS: Thirty (16%) of 190 men experienced acute cellular rejection.
Lower pretransplant testosterone was associated with lower rejection rates, -7%
(95% confidence interval [CI], -2% to -12%) per nmol/L decrease in total
testosterone and -4% (95% CI, -0.5% to -7%) per 10 pmol/L decrease in free
testosterone. Total testosterone (correlation 0.29, P=0.04) and free testosterone
(correlation 0.37, P=0.01) correlated significantly with the histological
severity of rejection. Older age at transplant (+5% [95% CI, 9%-2%]) per year,
and nonautoimmune etiology of liver disease (OR, 1.0 for autoimmune, 0.22 [95%
CI, 0.07-0.73] for hepatitis C virus, and 0.58 [95% CI, 0.21-1.71] for other
etiologies) were also associated with decreased rejection risk. In a generalized
linear model including the covariates testosterone, SHBG, age, etiology, and
MELD, total testosterone remained a significant predictor of rejection (adjusted
OR, 1.06; P=0.03), as did age at transplant (OR, 0.95; P=0.01). CONCLUSION: Low
preliver transplant serum testosterone independently predicts a decreased risk of
acute allograft rejection. Whether testosterone is a marker of disease-associated
immune dysfunction or has immune-modulatory effects requires further study.
PMID- 24918619
TI - Prediction of death in less than 60 minutes after withdrawal of cardiorespiratory
support in potential organ donors after circulatory death.
AB - BACKGROUND: Given the stable number of potential organ donors after brain death,
donors after circulatory death have been an increasing source of organs procured
for transplant. Among the most important considerations for donation after
circulatory death (DCD) is the prediction that death will occur within a
reasonable period of time after the withdrawal of cardiorespiratory support
(WCRS). Accurate prediction of time to death is necessary for the procurement
process. We aimed to develop simple predictive rules for death in less than 60
min and test the accuracy of these rules in a pool of potential DCD donors.
METHODS: A multicenter prospective longitudinal cohort design of DCD eligible
patients (n=318), with the primary binary outcome being death in less than 60 min
after withdrawal of cardiorespiratory support conducted in 28 accredited
intensive care units (ICUs) in Australia. We used a random split-half method to
produce two samples, first to develop the predictive classification rules and
then to estimate accuracy in an independent sample. RESULTS: The best
classification model used only three simple classification rules to produce an
overall efficiency of 0.79 (0.72-0.85), sensitivity of 0.82 (0.73-0.90), and a
positive predictive value of 0.80 (0.70-0.87) in the independent sample. Using
only intensive care unit specialist prediction (a single classification rule)
produced comparable efficiency 0.80 (0.73-0.86), sensitivity 0.87 (0.78-0.93),
and positive predictive value 0.78 (0.68-0.86). CONCLUSION: This best predictive
model missed only 18% of all potential donors. A positive prediction would be
incorrect on only 20% of occasions, meaning there is an acceptable level of lost
opportunity costs involved in the unnecessary assembly of transplantation teams
and theatres.
PMID- 24918620
TI - The "metabolic winter" hypothesis: a cause of the current epidemics of obesity
and cardiometabolic disease.
AB - The concept of the "Calorie" originated in the 1800 s in an environment with
limited food availability, primarily as a means to define economic equivalencies
in the energy density of food substrates. Soon thereafter, the energy densities
of the major macronutrients-fat, protein, and carbohydrates-were defined.
However, within a few decades of its inception, the "Calorie" became a commercial
tool for industries to promote specific food products, regardless of health
benefit. Modern technology has altered our living conditions and has changed our
relationship with food from one of survival to palatability. Advances in
agriculture, food manufacturing, and processing have ensured that calorie
scarcity is less prevalent than calorie excess in the modern world. Yet, many
still approach dietary macronutrients in a reductionist manner and assume that
isocalorie foodstuffs are isometabolic. Herein, we discuss a novel way to view
the major food macronutrients and human diet in this era of excessive caloric
consumption, along with a novel relationship among calorie scarcity, mild cold
stress, and sleep that may explain the increasing prevalence of nutritionally
related diseases.
PMID- 24918621
TI - Bird community conservation and carbon offsets in western North America.
AB - Conservation initiatives to protect and restore valued species and communities in
human-dominated landscapes face huge challenges linked to the cost of acquiring
habitat. We ask how the sale of forest carbon offsets could reduce land
acquisition costs, and how the alternate goals of maximizing alpha or beta
diversity in focal communities could affect the prioritization land parcels over
a range of conservation targets. Maximizing total carbon storage and carbon
sequestration potential reduced land acquisition costs by up to 48%. Maximizing
beta rather than alpha-diversity within forest and savannah bird communities
reduced acquisition costs by up to 15%, and when these solutions included
potential carbon credit revenues, acquisition cost reductions up to 32% were
achieved. However, the total cost of conservation networks increased
exponentially as area targets increased in all scenarios. Our results indicate
that carbon credit sales have the potential to enhance conservation outcomes in
human-dominated landscapes by reducing the net acquisition costs of land
conservation in old and maturing forests essential for the persistence of old
forest plant and animal communities. Maximizing beta versus alpha-diversity may
further reduce costs by reducing the total area required to meet conservation
targets and enhancing landscape heterogeneity. Although the potential value of
carbon credit sales declined as a fraction of total acquisition costs, even
conservative scenarios using a carbon credit value of $12.5/T suggest reductions
in acquisition cost of up to $235 M, indicating that carbon credit sales could
substantially reduce the costs of conservation.
PMID- 24918623
TI - Chemical interactions between Nano-ZnO and Nano-TiO2 in a natural aqueous medium.
AB - The use of diverse engineered nanomaterials (ENMs) potentially leads to the
release of multiple ENMs into the environment. However, previous efforts to
understand the behavior and the risks associated with ENMs have focused on only
one material at a time. In this study, the chemical interactions between two of
the most highly used ENMs, nano-TiO2, and nano-ZnO, were examined in a natural
water matrix. The fate of nano-ZnO in Lake Michigan water was investigated in the
presence of nano-TiO2. Our experiments demonstrate that the combined effects of
ZnO dissolution and Zn adsorption onto nano-TiO2 control the concentration of
dissolved zinc. X-ray absorption spectroscopy was used to determine the
speciation of Zn in the particulate fraction. The spectra show that Zn partitions
between nano-ZnO and Zn2+ adsorbed on nano-TiO2. A simple kinetic model is
presented to explain the experimental data. It integrates the processes of nano
ZnO dissolution with Zn adsorption onto nano-TiO2 and successfully predicts
dissolved Zn concentration in solution. Overall, our results suggest that the
fate and toxicity potential of soluble ENMs, such as nano-ZnO, are likely to be
influenced by the presence of other stable ENMs, such as nano-TiO2.
PMID- 24918622
TI - The use of longitudinal 18F-FET MicroPET imaging to evaluate response to
irinotecan in orthotopic human glioblastoma multiforme xenografts.
AB - OBJECTIVES: Brain tumor imaging is challenging. Although 18F-FET PET is widely
used in the clinic, the value of 18F-FET MicroPET to evaluate brain tumors in
xenograft has not been assessed to date. The aim of this study therefore was to
evaluate the performance of in vivo 18F-FET MicroPET in detecting a treatment
response in xenografts. In addition, the correlations between the 18F-FET tumor
accumulation and the gene expression of Ki67 and the amino acid transporters LAT1
and LAT2 were investigated. Furthermore, Ki67, LAT1 and LAT2 gene expression in
xenograft and archival patient tumors was compared. METHODS: Human GBM cells were
injected orthotopically in nude mice and 18F-FET uptake was followed by weekly
MicroPET/CT. When tumor take was observed, mice were treated with CPT-11 or
saline weekly. After two weeks of treatment the brain tumors were isolated and
quantitative polymerase chain reaction were performed on the xenograft tumors and
in parallel on archival patient tumor specimens. RESULTS: The relative tumor-to
brain (T/B) ratio of SUV max was significantly lower after one week (123 +/- 6%,
n = 7 vs. 147 +/- 6%, n = 7; p = 0.018) and after two weeks (142 +/- 8%, n = 5
vs. 204 +/- 27%, n = 4; p = 0.047) in the CPT-11 group compared with the control
group. Strong negative correlations between SUV max T/B ratio and LAT1 (r =
0.62, p = 0.04) and LAT2 (r = -0.67, p = 0.02) were observed. In addition, a
strong positive correlation between LAT1 and Ki67 was detected in xenografts.
Furthermore, a 1.6 fold higher expression of LAT1 and a 23 fold higher expression
of LAT2 were observed in patient specimens compared to xenografts. CONCLUSIONS:
18F-FET MicroPET can be used to detect a treatment response to CPT-11 in GBM
xenografts. The strong negative correlation between SUV max T/B ratio and
LAT1/LAT2 indicates an export transport function. We suggest that 18F-FET PET may
be used for detection of early treatment response in patients.
PMID- 24918624
TI - Middle East respiratory syndrome coronavirus (MERS-CoV) infection: chest CT
findings.
AB - OBJECTIVE: The purpose of this study was to describe the chest CT findings in
seven patients with Middle East respiratory syndrome coronavirus (MERS-CoV)
infection. CONCLUSION: The most common CT finding in hospitalized patients with
MERS-CoV infection is that of bilateral predominantly subpleural and basilar
airspace changes, with more extensive ground-glass opacities than consolidation.
The subpleural and peribronchovascular predilection of the abnormalities is
suggestive of an organizing pneumonia pattern.
PMID- 24918625
TI - They are laughing at me: cerebral mediation of cognitive biases in social
anxiety.
AB - The fear of embarrassment and humiliation is the central element of social
anxiety. This frequent condition is associated with cognitive biases indicating
increased sensitivity to signals of social threat, which are assumed to play a
causal role in the maintenance of social anxiety. Here, we employed laughter, a
potent medium for the expression of acceptance and rejection, as an experimental
stimulus in participants selected for varying degrees of social anxiety to
identify cerebral mediators of cognitive biases in social anxiety using
functional magnetic resonance imaging in combination with mediation analysis. We
directly demonstrated that cerebral activation patterns within the dorsal
attention network including the left dorsolateral and dorsomedial prefrontal
cortex mediate the influence of social anxiety on laughter perception. This
mediation proved to be specific for social anxiety after correction for measures
of general state and trait anxiety and occurred most prominently under bimodal
audiovisual laughter presentation when compared with monomodal auditory or visual
laughter cues. Considering the possibility to modulate cognitive biases and
cerebral activity by neuropsychological trainings, non-invasive
electrophysiological stimulation and psychotherapy, this study represents a
starting point for a whole line of translational research projects and identifies
promising targets for electrophysiological interventions aiming to alleviate
cognitive biases and symptom severity in social anxiety.
PMID- 24918627
TI - Nurse moral distress and cancer pain management: an ethnography of oncology
nurses in India.
AB - BACKGROUND: The majority of cancer patients in low- and middle-income countries
(LMICs) present with late-stage, incurable disease, and basic tools to alleviate
patient suffering-such as morphine-are often absent. Oncology nurses must cope
with many challenges and may experience moral distress (MD), yet little research
has examined this experience in LMICs. OBJECTIVE: This ethnographic study
explored the experience of MD with oncology nurses (n = 37) and other providers
(n = 22) in India and its potential relationship to opioid availability. METHODS:
Data (semistructured interviews and field observations) were collected at a 300
bed government cancer hospital in urban South India over 9 months. Dedoose
v.4.5.91 supported analysis of transcripts using a coding schema that mapped to
an Integrated Model of Nurse Moral Distress and concepts that emerged from field
notes. RESULTS: Primary themes included "We feel bad," "We are alone and afraid,"
"We are helpless," and "We leave it." A weak link between MD and opioid
availability was found. CONCLUSIONS: Participants described significant work
related distress, but the moral dimension to this distress was less clear as some
key aspects of the Integrated Model of Nurse Moral Distress were not supported.
The concept of MD may have limited applicability in settings where alternative
courses of action are unknown, or not feasible, and where differing social and
cultural norms influence moral sensitivity. IMPLICATIONS FOR PRACTICE: Improving
job-related conditions is prerequisite to creating an environment where MD can
manifest. Educational initiatives in LMICs must account for the role of the
oncology nurse and their contextual moral and professional obligations.
PMID- 24918626
TI - The choice of regimens based on bortezomib for patients with newly diagnosed
multiple myeloma.
AB - INTRODUCTION: Bortezomib has significantly improved multiple myeloma (MM)
response rates, but strategies for choosing bortezomib-based regimens for initial
MM therapy are not standardized. Here, we describe four bortezomib-based
therapies in Chinese MM patients to determine the optimal chemotherapeutic
approach. METHODS: Newly diagnosed symptomatic MM patients at three hematological
centers between February 1, 2006 and May 31, 2013 were treated with therapies
including bortezomib plus dexamethasone (PD) or combinations of PD with either
adriamycin (PAD), cyclophosphamide (PCD) or thalidomide (PTD) for every 28 days.
RESULTS: The overall response rate of all the 215 eligible patients was 90.2%.
The ORR for PCD, PAD, PTD and PD were 97.4%, 93.2%, 85.3% and 77.8% while the
effects with VGPR or better were 63.7%, 62.7%, 44.2% and 37.8%, respectively. The
effect of ORR, VGPR and CR/nCR for the PCD regimen was better than the PD
protocol. Median PFS for all patients was 29.0 months with significant
differences observed among treatment groups. Median OS of all the patients was
not reached, but three-drug combinations were superior to PD alone. Frequently
observed toxicities were neutropenia, thrombocytopenia, fatigue, infection,
herpes zoster, and peripheral neuropathy. The incidence of peripheral neuropathy
(PN) in PTD group was significantly higher than other three groups, especially
grade 2-3 PN. Treatment with anti-viral agent acyclovir significantly reduced the
incidence of herpes zoster. CONCLUSIONS: Our experience indicated that bortezomib
based regimens were effective and well-tolerated in the Chinese population
studied; three-drug combinations PCD, PAD were superior to PD, especially with
respect to PCD.
PMID- 24918628
TI - Enhanced photocatalytic CO2-reduction activity of anatase TiO2 by coexposed {001}
and {101} facets.
AB - Control of TiO2 crystal facets has attracted enormous interest due to the
fascinating shape-dependent photocatalytic activity of this material. In this
work, the effect of the ratio of {001} and {101} facets on the photocatalytic CO2
reduction performance of anatase TiO2 is reported. A new "surface heterojunction"
concept is proposed on the basis of the density functional theory (DFT)
calculations to explain the difference in the photocatalytic activity of TiO2
with coexposed {001} and {101} facets.
PMID- 24918629
TI - Exploring for the safer ventilation method in laparoscopic urologic patients?
Conventional or low tidal?
AB - BACKGROUND: To study the effects of low tidal volume with positive end-expiratory
pressure (PEEP) on arterial blood gases of patients undergoing laparoscopic
urologic surgeries. SUBJECTS AND METHODS: Eighty-six laparoscopic urologic
patients were enrolled in this study. Patients were randomized into two groups
according to the ventilatory settings. In the conventional group (Group C)
(n=43), the tidal volume was 10 mL/kg, and the PEEP was set at 0 cm of H2O. In
the low tidal volume with PEEP group (Group LP), the tidal volume was 6 mL/kg,
with PEEP of 5 cm of H2O. In both groups total minute volume was 6 L/kg. Peak and
plateau airway pressure (PPEAK and PPLAT, respectively) and arterial blood gases
were recorded before pneumoperitoneum (PNP) (T1) and the first and third hour
(T3) after PNP induction and also after extubation in the intensive care unit.
Additionally, heart rate, mean arterial pressure, and peripheral O2 saturation of
hemoglobin were recorded. RESULTS: Heart rate, PPEAK, and PPLAT values were
similar in both groups. Partial arterial O2 pressure values measured
postoperatively were significantly higher in Group LP, whereas those measured
before PNP induction were similar (P=.014 and P=.056, respectively). Compared
with the baseline, partial arterial CO2 pressure values measured at T1 and at T3
after PNP induction were significantly higher in Group C than in Group LP
(P<.001). The pH values of Group C at T1 and at T3 postoperatively were
significantly lower than the values of Group LP (P<.001). Extubation times were
significantly lower in Group LP. CONCLUSIONS: The results of the present study
suggest that low tidal volume with PEEP application may be a good alternative for
preventing high CO2 levels and yielding better oxygenation and lower extubation
times in patients undergoing prolonged laparoscopic urology.
PMID- 24918630
TI - Surfactant-induced phases in water-supported alkane monolayers: II. Structure.
AB - The structure of the Langmuir-Gibbs films of normal alkanes C(n) of length n = 12
21 formed at the surface of aqueous solutions of C(m)TAB surfactants, m = 14, 16,
and 18, was studied by surface-specific synchrotron X-ray methods. At high
temperatures, a laterally disordered monolayer of mixed alkane molecules and
surface-adsorbed surfactant tails is found, having thicknesses well below those
of the alkanes' and surfactant tails' extended length. The mixed monolayer
undergoes a freezing transition at a temperature T(s)(n,m), which forms, for n <=
m + 1, a crystalline monolayer of mixed alkane molecules and surfactant tails.
For n >= m + 2, a bilayer forms, consisting of an upper pure-alkane, crystalline
monolayer and a lower liquidlike monolayer. The crystalline monolayer in both
cases consists of hexagonally packed extended, surface-normal-aligned chains. The
hexagonal lattice constant is found to decrease with increasing n. The films'
structure is discussed in conjunction with their thermodynamic properties
presented in an accompanying paper.
PMID- 24918631
TI - Contactless photoconductance study on undoped and doped nanocrystalline diamond
films.
AB - Hydrogen and oxygen surface-terminated nanocrystalline diamond (NCD) films are
studied by the contactless time-resolved microwave conductivity (TRMC) technique
and X-ray photoelectron spectroscopy (XPS). The optoelectronic properties of
undoped NCD films are strongly affected by the type of surface termination. Upon
changing the surface termination from oxygen to hydrogen, the TRMC signal rises
dramatically. For an estimated quantum yield of 1 for sub-bandgap optical
excitation the hole mobility of the hydrogen-terminated undoped NCD was found to
be ~0.27 cm(2)/(V s) with a lifetime exceeding 1 MUs. Assuming a similar mobility
for the oxygen-terminated undoped NCD a lifetime of ~100 ps was derived. Analysis
of the valence band spectra obtained by XPS suggests that upon oxidation of
undoped NCD the surface Fermi level shifts (toward an increased work function).
This shift originates from the size and direction of the electronic dipole moment
of the surface atoms, and leads to different types of band bending at the
diamond/air interface in the presence of a water film. In the case of boron-doped
NCD no shift of the work function is observed, which can be rationalized by
pinning of the Fermi level. This is confirmed by TRMC results of boron-doped NCD,
which show no dependency on the surface termination. We suggest that photoexcited
electrons in boron-doped NCD occupy nonionized boron dopants, leaving relatively
long-lived mobile holes in the valence band.
PMID- 24918632
TI - Complete wetting near an edge of a rectangular-shaped substrate.
AB - We consider fluid adsorption near a rectangular edge of a solid substrate that
interacts with the fluid atoms via long range (dispersion) forces. The curved
geometry of the liquid-vapour interface dictates that the local height of the
interface above the edge l(E) must remain finite at any subcritical temperature,
even when a macroscopically thick film is formed far from the edge. Using an
interfacial Hamiltonian theory and a more microscopic fundamental measure density
functional theory (DFT), we study the complete wetting near a single edge and
show that l(E)(0)-l(E)(deltaMU)~deltaMU(beta(CO)(E), as the chemical potential
departure from the bulk coexistence deltaMU = MU(s)(T) - MU tends to zero. The
exponent beta(CO)(E) depends on the range of the molecular forces and in
particular beta(CO)(E)=2/3 for three-dimensional systems with van der Waals
forces. We further show that for a substrate model that is characterised by a
finite linear dimension L, the height of the interface deviates from the one at
the infinite substrate as deltalE(L) ~ L(-1) in the limit of large L. Both
predictions are supported by numerical solutions of the DFT.
PMID- 24918633
TI - Chromatin properties of regulatory DNA probed by manipulation of transcription
factors.
AB - Transcription factors (TFs) bind to DNA and regulate the transcription of nearby
genes. However, only a small fraction of TF binding sites have such regulatory
effects. Here we search for the predictors of functional binding sites by
carrying out a systematic computational screening of a variety of contextual
factors (histone modifications, nuclear lamin-bindings, and cofactor bindings).
We used regression analysis to test if contextual factors are associated with
upregulation or downregulation of neighboring genes following the induction or
knockdown of the 9 TFs in mouse embryonic stem (ES) cells. Functional TF binding
sites appeared to be either active (i.e., bound by P300, CHD7, mediator, cohesin,
and SWI/SNF) or repressed (i.e., with H3K27me3 histone marks and bound by
Polycomb factors). Active binding sites mediated the downregulation of nearby
genes upon knocking down the activating TFs or inducing repressors. Repressed TF
binding sites mediated the upregulation of nearby genes (e.g., poised
developmental regulators) upon inducing TFs. In addition, repressed binding sites
mediated repressive effects of TFs, identified by the downregulation of target
genes after the induction of TFs or by the upregulation of target genes after the
knockdown of TFs. The contextual factors associated with functions of DNA-bound
TFs were used to improve the identification of candidate target genes regulated
by TFs.
PMID- 24918634
TI - Youth risk behavior surveillance--United States, 2013.
AB - PROBLEM: Priority health-risk behaviors contribute to the leading causes of
morbidity and mortality among youth and adults. Population-based data on these
behaviors at the national, state, and local levels can help monitor the
effectiveness of public health interventions designed to protect and promote the
health of youth nationwide. REPORTING PERIOD COVERED: September 2012-December
2013. DESCRIPTION OF THE SYSTEM: The Youth Risk Behavior Surveillance System
(YRBSS) monitors six categories of priority health-risk behaviors among youth and
young adults: 1) behaviors that contribute to unintentional injuries and
violence; 2) tobacco use; 3) alcohol and other drug use; 4) sexual behaviors that
contribute to unintended pregnancy and sexually transmitted infections (STIs),
including human immunodeficiency virus (HIV) infection; 5) unhealthy dietary
behaviors; and 6) physical inactivity. In addition, YRBSS monitors the prevalence
of obesity and asthma. YRBSS includes a national school-based Youth Risk Behavior
Survey (YRBS) conducted by CDC and state and large urban school district school
based YRBSs conducted by state and local education and health agencies. This
report summarizes results for 104 health-risk behaviors plus obesity, overweight,
and asthma from the 2013 national survey, 42 state surveys, and 21 large urban
school district surveys conducted among students in grades 9-12. RESULTS: Results
from the 2013 national YRBS indicated that many high school students are engaged
in priority health-risk behaviors associated with the leading causes of death
among persons aged 10-24 years in the United States. During the 30 days before
the survey, 41.4% of high school students nationwide among the 64.7% who drove a
car or other vehicle during the 30 days before the survey had texted or e-mailed
while driving, 34.9% had drunk alcohol, and 23.4% had used marijuana. During the
12 months before the survey, 14.8% had been electronically bullied, 19.6% had
been bullied on school property, and 8.0% had attempted suicide. Many high school
students nationwide are engaged in sexual risk behaviors that contribute to
unintended pregnancies and STIs, including HIV infection. Nearly half (46.8%) of
students had ever had sexual intercourse, 34.0% had had sexual intercourse during
the 3 months before the survey (i.e., currently sexually active), and 15.0% had
had sexual intercourse with four or more persons during their life. Among
currently sexually active students, 59.1% had used a condom during their last
sexual intercourse. Results from the 2013 national YRBS also indicate many high
school students are engaged in behaviors associated with chronic diseases, such
as cardiovascular disease, cancer, and diabetes. During the 30 days before the
survey, 15.7% of high school students had smoked cigarettes and 8.8% had used
smokeless tobacco. During the 7 days before the survey, 5.0% of high school
students had not eaten fruit or drunk 100% fruit juices and 6.6% had not eaten
vegetables. More than one-third (41.3%) had played video or computer games or
used a computer for something that was not school work for 3 or more hours per
day on an average school day. INTERPRETATION: Many high school students engage in
behaviors that place them at risk for the leading causes of morbidity and
mortality. The prevalence of most health-risk behaviors varies by sex,
race/ethnicity, and grade and across states and large urban school districts.
Long term temporal changes also have occurred. Since the earliest year of data
collection, the prevalence of most health-risk behaviors has decreased (e.g.,
physical fighting, current cigarette use, and current sexual activity), but the
prevalence of other health-risk behaviors has not changed (e.g., suicide attempts
treated by a doctor or nurse, having ever used marijuana, and having drunk
alcohol or used drugs before last sexual intercourse) or has increased (e.g.,
having not gone to school because of safety concern and obesity and overweight).
PUBLIC HEALTH ACTION: YRBSS data are used widely to compare the prevalence of
health-risk behaviors among subpopulations of students; assess trends in health
risk behaviors over time; monitor progress toward achieving 20 national health
objectives for Healthy People 2020 and one of the 26 leading health indicators;
provide comparable state and large urban school district data; and help develop
and evaluate school and community policies, programs, and practices designed to
decrease health-risk behaviors and improve health outcomes among youth.
PMID- 24918636
TI - Metabolomics of human brain aging and age-related neurodegenerative diseases.
AB - Neurons in the mature human central nervous system (CNS) perform a wide range of
motor, sensory, regulatory, behavioral, and cognitive functions. Such diverse
functional output requires a great diversity of CNS neuronal and non-neuronal
populations. Metabolomics encompasses the study of the complete set of
metabolites/low-molecular-weight intermediates (metabolome), which are context
dependent and vary according to the physiology, developmental state, or
pathologic state of the cell, tissue, organ, or organism. Therefore, the use of
metabolomics can help to unravel the diversity-and to disclose the specificity-of
metabolic traits and their alterations in the brain and in fluids such as
cerebrospinal fluid and plasma, thus helping to uncover potential biomarkers of
aging and neurodegenerative diseases. Here, we review the current applications of
metabolomics in studies of CNS aging and certain age-related neurodegenerative
diseases such as Alzheimer disease, Parkinson disease, and amyotrophic lateral
sclerosis. Neurometabolomics will increase knowledge of the physiologic and
pathologic functions of neural cells and will place the concept of selective
neuronal vulnerability in a metabolic context.
PMID- 24918635
TI - Involvement of matrix metalloproteinase-9 in amyloid-beta 1-42-induced shedding
of the pericyte proteoglycan NG2.
AB - Deposition of amyloid-beta (Abeta) 1-42, the major component of senile plaques
characteristic of Alzheimer disease, affects brain microvascular integrity and
causes blood-brain barrier dysfunction, increased angiogenesis, and pericyte
degeneration. To understand the cellular events underlying Abeta1-42 effects on
microvascular alterations, we investigated whether different aggregation forms of
Abeta1-42 affect shedding of the pericyte proteoglycan NG2 and whether they
affect proteolytic cleavage mediated by matrix metalloproteinase (MMP)-9. We
found decreased levels of soluble NG2, total MMP-9, and MMP-9 activity in
pericyte culture supernatants in response to fibril-enriched preparations of
Abeta1-42. Conversely, oligomer-enriched preparations of Abeta1-42 increased
soluble NG2 levels in the supernatants. This increase was ablated by the MMP
9/MMP-2 inhibitor SB-3CT. There was also a trend toward increased MMP-9 activity
observed after oligomeric Abeta1-42 exposure. Our results, demonstrating an
Abeta1-42 aggregation-dependent effect on levels of NG2 and MMP-9, support
previous studies showing an impact of Abeta1-42 on vascular integrity and thereby
add to our understanding of mechanisms behind the microvascular changes commonly
found in patients with Alzheimer disease.
PMID- 24918637
TI - Immunostaining of oxidized DJ-1 in human and mouse brains.
AB - DJ-1, the product of a causative gene of a familial form of Parkinson disease,
undergoes preferential oxidation of Cys106 (cysteine residue at position 106)
under oxidative stress. Using specific monoclonal antibodies against Cys106
oxidized DJ-1 (oxDJ-1), we examined oxDJ-1 immunoreactivity in brain sections
from DJ-1 knockout and wild-type mice and in human brain sections from cases
classified into different Lewy body stages of Parkinson disease and Parkinson
disease with dementia. Oxidized DJ-1 immunoreactivity was prominently observed in
neuromelanin-containing neurons and neuron processes of the substantia nigra;
Lewy bodies also showed oxDJ-1 immunoreactivity. Oxidized DJ-1 was also detected
in astrocytes in the striatum, in neurons and glia in the red nucleus, and in the
inferior olivary nucleus, all of which are related to regulation of movement.
These observations suggest the relevance of DJ-1 oxidation to homeostasis in
multiple brain regions, including neuromelanin-containing neurons of the
substantia nigra, and raise the possibility that oxDJ-1 levels might change
during the progression of Lewy body-associated neurodegenerative diseases.
PMID- 24918638
TI - Semaphorin 3A signaling through neuropilin-1 is an early trigger for distal
axonopathy in the SOD1G93A mouse model of amyotrophic lateral sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is a motor neuron disease characterized by
progressive distal axonopathy that precedes actual motor neuron death. Triggers
for neuromuscular junction degeneration remain to be determined, but the axon
repulsion factor semaphorin 3A (Sema3A), which is derived from terminal Schwann
cells, is a plausible candidate. This study examines the hypothesis that Sema3A
signaling through its motor neuron neuropilin-1 (NRP1) receptor triggers distal
axonopathy and muscle denervation in the SOD1 mouse model of ALS. Neuropilin-1
was found to be expressed in axonal terminals at the mouse neuromuscular junction
in vivo and in NSC-34 motor neuron-like cells in vitro. In differentiated NSC-34
cells, an anti-NRP1 antibody that selectively blocks Sema3A binding to NRP1
prevented Sema3A-induced growth cone collapse. Furthermore, intraperitoneal
injections of anti-NRP1 antibody administered twice weekly from age 40 days
significantly delayed and even temporarily reversed motor functional decline
while prolonging the life span of SOD1 mice. Histologic evaluation at 90 and 125
days revealed that anti-NRP1 antibody reduced neuromuscular junction denervation
and attenuated pathologic alterations in ventral roots at late-stage disease.
These data suggest that peripheral NRP1 signaling is involved in the pathobiology
of this ALS model and that antagonizing Sema3A/NRP1 binding or downstream signals
could have implications for the treatment of ALS.
PMID- 24918639
TI - mTOR Hyperactivation in down syndrome hippocampus appears early during
development.
AB - The mammalian target of rapamycin (mTOR) signaling pathway is a key developmental
pathway involved in mechanisms underlying cellular aging and neurodegeneration.
We hypothesized that its deregulation may occur during early brain development in
patients with Down syndrome (DS). The expression patterns and cellular
distribution of components of mTOR signaling (phosphorylated S6, phosphorylated
S6 kinase, phosphorylated eukaryotic initiation factor 4E binding protein 1, and
phosphorylated mTOR) were investigated in developing hippocampi from controls and
patients with DS and from adults with DS and Alzheimer disease-associated
pathology using immunocytochemistry. In control hippocampi, only phosphorylated
S6 was detected prenatally (19-41 gestational weeks); it became undetectable 2
months postnatally. Increased expression of phosphorylated S6, phosphorylated S6
kinase, phosphorylated eukaryotic initiation factor 4E binding protein 1, and
phosphorylated mTOR was observed in DS hippocampus compared with controls.
Phosphorylated S6 and phosphorylated S6 kinase were detected prenatally and
persisted throughout postnatal development. Prominent expression of mTOR
components was observed in pyramidal neurons with granulovacuolar degeneration
and in neurons containing neurofibrillary tangles in the hippocampi of DS
subjects with Alzheimer disease pathology. These findings suggest that a
dysregulated mTOR pathway may contribute to both early hippocampal developmental
abnormalities and hippocampal functional impairment developing before
neurodegeneration. Moreover, the expression patterns of mTOR components in adult
DS hippocampus support its association with Alzheimer disease-related
histopathologic changes.
PMID- 24918640
TI - Defining peripheral nervous system dysfunction in the SOD-1G93A transgenic rat
model of amyotrophic lateral sclerosis.
AB - Growing evidence indicates that alterations within the peripheral nervous system
(PNS) are involved at an early stage in the amyotrophic lateral sclerosis (ALS)
pathogenetic cascade. In this study, magnetic resonance imaging (MRI),
neurophysiologic analyses, and histologic analyses were used to monitor the
extent of PNS damage in the hSOD-1 ALS rat model. The imaging signature of the
disease was defined using in vivo MRI of the sciatic nerve. Initial abnormalities
were detected in the nerves by an increase in T2 relaxation time before the onset
of clinical disease; diffusion MRI showed a progressive increase in mean and
radial diffusivity and reduction of fractional anisotropy at advanced stages of
disease. Histologic analysis demonstrated early impairment of the blood-nerve
barrier followed by acute axonal degeneration associated with endoneurial edema
and macrophage response in motor nerve compartments. Progressive axonal
degeneration and motor nerve fiber loss correlated with MRI and neurophysiologic
changes. These functional and morphologic investigations of the PNS might be
applied in following disease progression in preclinical therapeutic studies. This
study establishes the PNS signature in this rat ALS model (shedding new light
into pathogenesis) and provides a rationale for translating into future
systematic MRI studies of PNS involvement in patients with ALS.
PMID- 24918642
TI - Epstein-barr virus in the central nervous system and cervical lymph node of a
patient with primary progressive multiple sclerosis.
PMID- 24918641
TI - Lack of neuropathy-related phenotypes in hint1 knockout mice.
AB - Mutations in HINT1, the gene encoding histidine triad nucleotide-binding protein
1 (HINT1), cause a recessively inherited peripheral neuropathy that primarily
involves motor dysfunction and is usually associated with neuromyotonia (i.e.
prolonged muscle contraction resulting from hyperexcitability of peripheral
nerves). Because these mutations are hypothesized to cause loss of function, we
analyzed Hint1 knockout mice for their relevance as a disease model. Mice lacking
Hint1 appeared normal and yielded normal behavioral test results or motor
performance, although they moved more slowly and for a smaller fraction of time
in an open-field arena than wild-type mice. Muscles, neuromuscular junctions, and
nodes of Ranvier were anatomically normal and did not show evidence of
degeneration or regeneration. Axon numbers and myelination in peripheral nerves
were normal at ages 4 and 13 months. Axons were slightly smaller than those in
wild-type mice at age 4 months, but this did not cause a decrease in conduction
velocity, and no differences in axon diameters were detected at 13 months. With
electromyography, we were unable to detect neuromyotonia even after using
supraphysiologic stimuli and stressors such as reduced temperature or 3,4
diaminopyridine to block potassium channels. Therefore, we conclude that Hint1
knockout mice may be useful for studying the biochemical activities of HINT1, but
these mice do not provide a disease model or a means for investigating the basis
of HINT1-associated neuropathy and neuromyotonia.
PMID- 24918643
TI - Do older adults' beliefs about their community mobility predict walking
performance?
AB - Among older adults, preserving community mobility (CM) is important for
maintaining independent living. We explored whether perceptions of the
environment and self-efficacy for CM (SE-CM) would predict walking performance
for tasks reflecting CM. We hypothesized that perceptions of the environment and
SE-CM would be additive predictors of walking performance on tasks reflecting the
complexity of CM. Independent living older adults (N = 60) aged 64-85 completed
six complex walking tasks (CWTs), SE-CM, and the environmental analysis of
mobility questionnaire (EAMQ). Multiple regression analyses indicated that for
each CWT, the EAMQ scales predicted walking performance (range: model R2Adj. =
.078 to .139, p < .04). However, when SE-CM was added to the models, it was the
sole significant predictor (p < .05). Contrary to our hypotheses, SE-CM was the
best predictor in the additive models. SE-CM may be more correspondent to walking
tests and thus a more sensitive predictor of CM walking performance.
PMID- 24918645
TI - Dulaglutide for the treatment of type 2 diabetes.
AB - Dulaglutide is a novel glucagon-like peptide 1 (GLP-1) receptor agonist with a
unique structure that supports once-weekly dosing in patients with type 2
diabetes (T2DM), most of whom have a big pill burden. It appears to be
efficacious in reducing hemoglobin A1c (HbA1c) up to 1.59% and promotes modest
weight loss up to 3 kg with a low incidence of hypoglycemia and mild to moderate
gastrointestinal adverse events. Convenient weekly dosing could improve
compliance and help attain sustained glycemic goals. Addressing obesity is an
integral part of T2DM management and weight loss may contribute to better
glycemic and cardiovascular benefits. Results of ongoing clinical trials on
cardiovascular safety are important to determine the risk-to-benefit ratio. As
with any drug, patient selection and ongoing monitoring will be important. If
approved, dulaglutide will be one of the first weekly GLP-1 receptor agonist to
be available in a ready-to-use pen device with an automatic injector.
PMID- 24918646
TI - Ibrutinib for the treatment of chronic lymphocytic leukemia and mantle cell
lymphoma.
AB - Ibrutinib is a novel oral tyrosine kinase inhibitor that irreversibly binds and
inhibits tyrosine-protein kinase BTK (Bruton tyrosine kinase). BTK has been found
to be important in the function of B-cell receptor signaling and therefore in the
maintenance and expansion of various B-cell malignancies including chronic
lymphocytic leukemia (CLL) and mantle cell lymphoma (MCL). Targeting BTK with
ibrutinib has been found to be an effective strategy in treating these
malignancies. Phase I clinical testing in non-Hodgkin's lymphomas and CLL showed
that the drug was extremely well tolerated with no major dose-limiting toxicities
and a 54% overall response rate. Subsequently, two phase Ib/II studies were
performed on patients with CLL, one in relapsed/refractory CLL and one in
previously untreated elderly patients with CLL. Both of these studies continued
to show good tolerability of the drug and an overall response rate of about 71%
with extended duration of response. Another phase II study using ibrutinib in
relapsed/refractory MCL was conducted and also showed that it was well tolerated
with an overall response rate of 68% and extended duration of response. Due to
these results, the U.S. Food and Drug Administration granted accelerated approval
for ibrutinib in November 2013 for patients with MCL who had received at least
one prior therapy and in February 2014 for patients with CLL who had received at
least one prior therapy. This review will discuss the preclinical pharmacology,
pharmacokinetics and clinical efficacy to date of ibrutinib in the treatment of
CLL and MCL.
PMID- 24918644
TI - Effect of high-dose irradiation on human bone-marrow-derived mesenchymal stromal
cells.
AB - Cell therapy using multipotent mesenchymal stromal cells (MSCs) is of high
interest in various indications. As the pleiotropic effects mediated by MSCs rely
mostly on their unique secretory profile, long-term persistence of ex-vivo
expanded cells in the recipient may not always be desirable. Irradiation is a
routine procedure in transfusion medicine to prevent long-term persistence of
nucleated cells and could therefore also be applied to MSCs. We have exposed
human bone-marrow-derived MSCs to 30 or 60 Gy of gamma-irradiation and assessed
cell proliferation, clonogenicity, differentiation, cytokine levels in media
supernatants, surface receptor profile, as well as expression of proto
oncogenes/cell cycle markers, self-renewal/stemness markers, and DNA
damage/irradiation markers. Irradiated MSCs show a significant decrease in
proliferation and colony-forming unit-fibroblasts. However, a subpopulation of
surviving cells is able to differentiate, but is unable to form colonies after
irradiation. Irradiated MSCs showed stable expression of CD73 and CD90 and
absence of CD3, CD34, and CD45 during a 16-week follow-up period. We found
increased vascular endothelial growth factor (VEGF) levels and a decrease of
platelet-derived growth factor (PDGF)-AA and PDGF-AB/BB in culture media of
nonirradiated cells. Irradiated MSCs showed an inverse pattern, that is, no
increase of VEGF, and less consumption of PDGF-AA and PDGF-AB/BB. Interestingly,
interleukin-6 (IL-6) levels increased during culture regardless of irradiation.
Cells with lower sensitivity toward gamma-irradiation showed positive beta
galactosidase activity 10 days after irradiation. Gene expression of both
irradiated and nonirradiated MSCs 13-16 weeks after irradiation with 60 Gy
predominantly followed the same pattern; cell cycle regulators CDKN1A (p21) and
CDKN2A (p16) were upregulated, indicating cell cycle arrest, whereas classical
proto-oncogenes, respectively, and self-renewal/stemness markers MYC, TP53 (p53),
and KLF4 were downregulated. In addition, DNA damage/irradiation markers ATM,
ATR, BRCA1, CHEK1, CHEK2, MDC1, and TP53BP1 also mostly showed the same pattern
of gene expression as high-dose gamma-irradiation. In conclusion, we demonstrated
the existence of an MSC subpopulation with remarkable resistance to high-dose
gamma-irradiation. Cells surviving irradiation retained their trilineage
differentiation capacity and surface marker profile but changed their cytokine
secretion profile and became prematurely senescent.
PMID- 24918647
TI - Racotumomab - a novel anti-idiotype monoclonal antibody vaccine for the treatment
of cancer.
AB - Racotumomab is a murine gamma-type anti-idiotype monoclonal antibody that
specifically induces an antibody response against Neu-glycolyl GM3 ganglioside
(NeuGcGM3), which is overexpressed in several solid tumors. It is adjuvanted with
aluminum hydroxide for intradermal administration as a cancer vaccine
(racotumomab-Alum, known commercially as Vaxira(r)). Racotumomab is currently
being evaluated for a number of cancer indications, including melanoma, breast
and lung cancer. In early clinical trials, racotumomab demonstrated high
immunogenicity and low toxicity and it advanced to further clinical testing as a
treatment for patients with non-small cell lung cancer (NSCLC). On the basis of
promising results in a phase II/III study, racotumomab was launched in 2013 in
Cuba and Argentina as an intradermal injection for the treatment of patients with
advanced stage NSCLC.
PMID- 24918648
TI - Vedolizumab for the treatment of inflammatory bowel disease.
AB - The causes of inflammatory bowel diseases, such as ulcerative colitis (UC) and
Crohn's disease (CD), remain to be elucidated. However, characteristic
inflammation of the gastrointestinal mucosa is caused by infiltration of T
lymphocytes into the submucosal layer. Inhibiting this immune response is a
promising therapeutic target. Integrins expressed on the cell surface mediate gut
homing of T lymphocytes. Blockade of integrin-cell adhesion molecule interaction
using antibodies against alpha4-containing integrins, namely natalizumab, has
shown clinical efficacy; however, this drug's lack of alpha4-containing integrin
specificity leads to systemic immunosuppression that caused progressive
multifocal leukoencephalopathy and death in some patients resulting in its
withdrawal from the market. Vedolizumab specifically targets the alpha4beta7
integrin that is selectively expressed on gut-homing T lymphocytes. Vedolizumab
successfully extended clinical remission in patients with UC or CD and reduced
patient reliance on corticosteroid use. The drug is well tolerated and there have
been no deaths or reports of progressive multifocal leukoencephalopathy infection
in patients receiving vedolizumab. A phase III long-term 7-year safety study in
patients with UC and CD is under way. Regulatory applications are under review in
the U.S. and E.U. for its use in the treatment of patients with UC and CD, with
decisions expected in mid-2014.
PMID- 24918649
TI - A report from the 72nd annual meeting of the American Academy of Dermatology
(March 21-25 - Denver, Colorado, USA).
AB - March, at 5,000 feet above sea level, is not the best season for having much skin
exposed, particularly when snow flurries are coming down on one's face. However,
that was the time and place for sharing or getting new knowledge of skin science
during this year's annual meeting of the American Academy of Dermatology. At high
altitude, a high level of science was constant throughout the meeting sessions,
including, notably, the Latest in Dermatology Research symposium held on Saturday
March 22, and the electronic poster presentations available to attendees
throughout the conference. This report summarizes the most significant new
scientific findings reported during the meeting, which have a direct impact on
how diseases of the skin are or will possibly be treated in the foreseen future.
PMID- 24918650
TI - Atomic force microscopic detection enabling multiplexed low-cycle-number
quantitative polymerase chain reaction for biomarker assays.
AB - Quantitative polymerase chain reaction is the current "golden standard" for
quantification of nucleic acids; however, its utility is constrained by an
inability to easily and reliably detect multiple targets in a single reaction. We
have successfully overcome this problem with a novel combination of two widely
used approaches: target-specific multiplex amplification with 15 cycles of
polymerase chain reaction (PCR), followed by single-molecule detection of
amplicons with atomic force microscopy (AFM). In test experiments comparing the
relative expression of ten transcripts in two different human total RNA samples,
we find good agreement between our single reaction, multiplexed PCR/AFM data, and
data from 20 individual singleplex quantitative PCR reactions. This technique can
be applied to virtually any analytical problem requiring sensitive measurement
concentrations of multiple nucleic acid targets.
PMID- 24918651
TI - [Influence of polymer type on the physical properties and the release study of
papaverine hydrochloride from tablets].
AB - BACKGROUND: Polymers are widely used in drug manufacturing. Researchers studied
their impact on the bioavailability of active substances or on physical
properties of tablets for many years. OBJECTIVES: To study the influence of
polymer excipients, such as microcrystalline cellulose (Avicel PH 101, Avicel PH
102), croscarmellose sodium, crospovidone or polyvinylpyrrolidone, on the release
profile of papaverine hydrochloride from tablets and on the physical properties
of tablets. MATERIAL AND METHODS: Six series of uncoated tablets were prepared by
indirect method, with previous wet granulation. Tablets contained papaverine
hydrochloride and various excipients. The physical properties of the prepared
granules, tablets and the release profile of papaverine hydrochloride from
tablets were examined. The content of papaverine hydrochloride from the release
study were determined spectrophotometrically. RESULTS: All tablets met the
pharmacopoeia requirements during following tests: the disintegration time of
tablets, uncoated tablets resistance to abrasion, the weight uniformity and dose
formulations, their dimensions, the resistance to crushing of tablets and the
drug substance content in the tablet. In four cases more than 80% of papaverine
was released up to 2 min, in one formula it was up to 5 min, and in last one up
to 10 min. CONCLUSIONS: Tablets containing crospovidone disintegrated faster than
tablets with croscarmellose sodium. Adding gelatinized starch to the tablet
composition increased the disintegration time, hardness and delayed the release
of papaverine. During the wet granulation process, granules containing
polyvinylpyrrolidone were characterized by a suitable flow properties and
slightly prolonged disintegration time. Tablets containing Avicel PH 102 compared
to tablets with Avicel PH 101 had less weight loss during the test of mechanical
resistance, improved hardness and faster release profile of papaverine from
tablets.
PMID- 24918652
TI - [Influence of primers ' chemical composition on shear bond strength of resin
cement to zirconia ceramic].
AB - BACKGROUND: Resin cements establish a strong durable bond between zirconia
ceramic and hard tissues of teeth. It is essential to use primers with proper
chemical composition before cementation. OBJECTIVES: The aim of this study was to
assess the influence of primer's chemical composition on the shear bond strength
of zirconia ceramic to resin cements. MATERIAL AND METHODS: 132 zirconia
specimens were randomly assigned to four groups. There were four resin systems
used. They included resin cement and respective primer, dedicated to zirconia:
Clearfil Ceramic Primer/Panavia F2.0, Monobond Plus/Multilink Automix, AZ -
Primer/ResiCem, Z - Prime Plus/Duo-Link. In each group the protocol of
cementation was as follows: application of primer to the zirconia surface and
application of the respective resin cement in cylindric mold (dimensions: 3.0 mm
height and 3.0 mm diameter). Then, the shear bond strength was evaluated and the
failure type was assessed in lupes (*2.5 magnification), also random specimens
under SEM. The Wilcoxon test was used to analyze the data, the level of
significance was alpha = 0.05. Finally, the known chemical composition of each
primer was analysed in reference to probable chemical bonds, which may occure
between primers and zirconia. RESULTS: The mean shear bond strength between resin
cements and zirconia was the highest for Z-Prime Plus/Duo-Link (8.24 +/- 3,21
MPa) and lowest for Clearfil Ceramic Primer/Panavia F 2.0 (4.60 +/- 2.21 MPa).
The analysis revealed significant difference between all groups, except pair
Clearfil Ceramic Primer/Panavia F 2.0 and AZ-Primer/ResiCem. The failure type in
groups of Clearfil Ceramic Primer/Panavia F 2.0 and AZ-Primer/ResiCem was mainly
adhesive, in groups Monobond Plus/ /Multilink Automix and Z-Prime Plus/Duo-Link
mainly mixed. The chemical composition of primers affects different bond
mechanisms between resin cements and zirconia. CONCLUSIONS: The highest shear
bond strength of resin cement to zirconia can be obtained for the primer composed
of 10-Methacryloyloxydecyl dihydrogen phosphate (MDP) and carboxylic monomer -
Biphenyl dimethacrylate (BPDM).
PMID- 24918653
TI - Ageing phenomenon in acrylic polymer dental materials detected by means of
positron annihilation lifetime spectroscopy.
AB - BACKGROUND: Polymer materials based on acrylic monomers are commonly used in
dentistry. It is important to research the structure of dental filling materials
towards the material ageing. OBJECTIVES: The study has been conducted in order to
determine the presence of free volume gaps in the structure of polymer materials.
MATERIAL AND METHODS: Brand new, acrylic polymer based samples of dental Dipol
materials were used as a research material. The study was conducted by means of
the positron annihilation lifetime spectroscopy (PALS). RESULTS: As a result of
the conducted measurements, curves describing numbers of counts of the acts of
annihilation in the time function were obtained. The conducted studies revealed
the existence of four components tau1, tau2, tau3 and tau4. The tau3 and tau4
components are attributed to the pick-off annihilation of o-Ps orthopositronium
trapping by free volume gaps and provide information about geometrical parameters
of the volumes. LT 9 computer program was used to calculate components. Free
volume holes were determined from empirical relationship between the radius of
free volume and the long lifetime components. CONCLUSIONS: Conducted studies
indicate the presence of free volume holes in the research materials. It has been
noted that a new long lifetime component is assigned to a new kind of free
volumes that exist in the structure of material related to the material ageing.
PMID- 24918654
TI - [The use of contact angle and the surface free energy as the surface
characteristics of the polymers used in medicine].
AB - BACKGROUND: In the interaction process between implant and a living organism an
important role is covered by the material layer. Many studies in the field of
chemical engineering concern biomaterials surface. OBJECTIVES: Changes estimation
of properties of polymers surface layer due to hydrolytic degradation on the base
on measurements of contact angles and calculation of the surface free energy.
MATERIAL AND METHODS: Contact angle measurements were made for the two polymers:
polylactide (PLA) and polyhydroxyalkanoate (PHA) undergoing the hydrolytic
degradation process over a period of 27 months. Based on the measured values of
contact angles, surface free energy by the Owens-Wendt method and the critical
surface tension were calculated. RESULTS: The surface for both tested polymers is
hydrophilic. Hydrolytic degradation influences the growth of the surface free
energy and the critical surface tension. CONCLUSIONS: Methodology can be a easily
available for the initial assessment of the material from the point of view of
the ability to cell adhesion and the progress of the degradation process.
PMID- 24918655
TI - [Network form of the Kedem-Katchalsky equations for ternary non-electrolyte
solutions 7. Evaluation of Sij Peusner's coefficients for polymeric membrane].
AB - BACKGROUND: Peusner's network thermodynamics (PNT) allows symmetrical and/or
hybrid transformation of Kedem-Katchalsky (K-K) equations to network form. For
homogenous solutions that consist of solvent and two soluble nonelec-metrolyte
substances, there are two symmetrical and six hybrid forms of network K-K
equations that contain symmetrical (Rij or Lij) or hybrid (Hij, Wij, Sij, Nij,
Kij or Pij) Peusner coefficients. OBJECTIVES: The aim of this study is to
introduce the hybrid form of network K-K equations that include tensor Peusner
coefficients Sij (i, j ? {1, 2, 3}) for homogenous ternary solutions of
nonelectrolytes and to calculate dependences of coefficients Sij on mean
concentration of one solution component (C1) when the concentration of the other
one is constant (C2). MATERIAL AND METHODS: The authors used celulose Nephrophan
membrane of known transport parameters for aqueous glucose and ethanol solutions
as a study material. The authors applied PNT formalism and K-K equations for
ternary nonelectrotyle solutions as a study method. RESULTS: Hybrid network form
of K-K equations was obtained for solutions that consist of a solvent and two
dissolved non-electrolyte substances. Dependences of coefficients Sij (i, j ? {1,
2, 3}) on mean concentration of one solution component (C1) when the
concentration of the other one is constant C2, were calculated for conditions of
homogeneity of solutions. These calculations were done using experimentally
determined coefficients of reflection (sigma), hydraulic (Lp) and solute
permeability (omega). CONCLUSIONS: Network form of K-K equations that include
Peusner coefficients Sij (i, j ? {1, 2, 3}) constitutes a novel research tool to
study membrane transport. We showed that coefficients S11, S12, S13, S21, S22,
S23, S31, S32 and S33 were sensitive to alterations in concentration and
composition of solutions separated by a polymer membrane.
PMID- 24918656
TI - [Perspectives of use of polymer carriers of epidoxorubicin and cyclophosphamide
in cancer therapy].
AB - Cancer diseases are an important problem of the contemporary clinical medicine
and pharmacology. Chemotherapy using cytostatic drugs has developed in the last
30 years. At present, it is the main method of therapy of metastatic cancers.
Cytostatics have an important role in the cancer therapy. They have particular
meaning in the therapy of solid and hematological tumors. However, using
cytostatic drugs is limited due to their toxic effects on healthy cells. In last
years, the decrease of toxicity of cytostatic drugs and the increase of their
therapeutic properties are intensively investigated. In this paper, the polymer
carriers of cytostatic drugs (mainly epirubicin and cyclophosphamide) and
examples of their applications were described.
PMID- 24918657
TI - Narcissism and Internet pornography use.
AB - This study examined the relation between Internet pornography use and narcissism.
Participants (N=257) completed an online survey that included questions on
Internet pornography use and 3 narcissism measures (i.e., Narcissistic
Personality Inventory, Pathological Narcissistic Inventory, and the Index of
Sexual Narcissism). The hours spent viewing Internet pornography was positively
correlated to participants' narcissism level. In addition, those who have ever
used Internet pornography endorsed higher levels of all 3 measures of narcissism
than did those who have never used Internet pornography.
PMID- 24918658
TI - Development and validation of a quantitative real-time polymerase chain reaction
assay specific for the detection of Rickettsia felis and not Rickettsia felis
like organisms.
AB - Human infections with Rickettsia felis have been reported worldwide. Recent
studies have revealed the presence of many closely related but unique
rickettsiae, referred to as Rickettsia felis-like organisms (RFLO), identified in
various arthropods. Due to the recent discovery of the lack of specificity of
earlier R. felis-specific assays, there has become a need to develop a new
generation of R. felis-specific molecular assays that will differentiate R. felis
not only from other rickettsiae but more importantly from other members of the R.
felis genogroup that may not be pathogenic to humans. This new generation of
assays is essential for determining the true risk for flea-borne spotted fever
(FBSF) by surveying arthropod vectors/hosts. Because of the lack of specificity
of previous assays developed to detect R. felis infections, prior surveys may
have overestimated the prevalence of R. felis in arthropod vectors and thus the
perceived risk of FBSF. We have developed a specific quantitative real-time
polymerase chain reaction (qPCR) assay to detect R. felis (RfelB). Specificity of
the assay was determined by testing it with a panel of 17 related Rickettsia
species and 12 nonrickettsial bacterial DNA preparations. The RfelB qPCR assay
was positive for R. felis DNA and negative for all of the 17 related Rickettsia
species and 12 nonrickettsia bacterial DNA preparations. The limit of detection
of the RfelB qPCR assay was determined to be two copies (two genoequivalents) per
microliter of R. felis target ompB fragment-containing plasmid. Validation of the
RfelB qPCR assay was accomplished by testing 83 previously sequence-confirmed R.
felis and RFLOs containing DNA preparations from human and flea samples collected
from different geographical locations around the world. This assay will be useful
for rapid detection, identification, and enumeration of R. felis, an emerging
human pathogen of worldwide importance, from both clinical and environmental
samples.
PMID- 24918659
TI - Plasmonic quasicrystals with broadband transmission enhancement.
AB - Plasmonic quasicrystals (PlQCs), by integrating the properties of quasicrystals
(rotational symmetry and long range ordering but lack translational symmetry) and
surface plasmon polariton mediated effects, offer several advantages over
plasmonic crystals (PlCs). For example, in PlQCs one could have broadband,
polarization independent response. However, large area patterning by electron
beam lithography requires precise lattice coordinates as well as a practical way
to design the structures for specific spectral response. We demonstrate design
and fabrication of large area quasicrystal air hole patterns of pi/5 symmetry in
metal film in which broadband, polarization and launch angle independent
transmission enhancement is observed. We demonstrate bi-grating quasicrystals to
show that designable transmission response is possible over visible to near
infrared wavelength regions with about 15 times enhancement. These would be
useful in many applications like energy harvesting, nonlinear optics and quantum
plasmonics.
PMID- 24918660
TI - Efficiency of class I and class II malocclusion treatment with four premolar
extractions.
AB - Four premolar extractions is a successful protocol to treat Class I malocclusion,
but it is a less efficient way when compared with other Class II treatment
protocols. OBJECTIVE: The objective of this study was to evaluate the influence
of anteroposterior discrepancy on the success of four premolar extractions
protocol. For that, treatment efficiency of Class I and complete Class II
malocclusions, treated with four premolar extractions were compared. METHODS: A
sample of 107 records from 75 Class I (mean age of 13.98 years--group 1) and 32
Class II (mean age of 13.19 years--group 2) malocclusion patients treated with
four premolar extractions was selected. The initial and final occlusal status of
each patient was evaluated on dental casts with the PAR index. The treatment time
was calculated based on the clinical charts, and the treatment efficiency was
obtained by the ratio between the percentage of PAR reduction and treatment time.
The PAR index and its components, the treatment time and the treatment efficiency
of the groups were statistically compared with t tests and Mann-Whitney U-test.
RESULTS: The Class II malocclusion patients had a greater final PAR index than
Class I malocclusion patients, and similar duration (Class I - 28.95 mo. and
Class II - 28.10 mo.) and treatment efficiency. CONCLUSION: The treatment of the
complete Class II malocclusion with four premolar extractions presented worse
occlusal results than Class I malocclusion owing to incomplete molar relationship
correction.
PMID- 24918661
TI - Postretention stability after orthodontic closure of maxillary interincisor
diastemas.
AB - Anterior spaces may interfere with smile attractiveness and compromise
dentofacial harmony. They are among the most frequent reasons why patients seek
orthodontic treatment. However, midline diastema is commonly cited as a
malocclusion with high relapse incidence by orthodontists. OBJECTIVES: This study
aimed to evaluate the stability of maxillary interincisor diastemas closure and
the association of their relapse and interincisor width, overjet, overbite and
root parallelism. MATERIAL AND METHODS: Sample comprised 30 patients with at
least a pretreatment midline diastema of 0.5 mm or greater after eruption of the
maxillary permanent canines. Dental casts and panoramic radiographs were taken at
pretreatment, posttreatment and postretention. RESULTS: Before treatment, midline
diastema width was 1.52 mm (SD=0.88) and right and left lateral diastema widths
were 0.55 mm (SD=0.56) and 0.57 mm (SD=0.53), respectively. According to repeated
measures analysis of variance, only midline diastema demonstrated significant
relapse. In the overall sample the average relapse of midline diastema was 0.49
mm (SD=0.66), whilst the unstable patients showed a mean space reopening of 0.78
mm (SD=0.66). Diastema closure in the area between central and lateral incisors
showed great stability. Multivariate correlation tests showed that only initial
diastema width (beta=0.60) and relapse of overjet (beta=0.39) presented
association with relapse of midline diastema. CONCLUSIONS: Midline diastema
relapse was statistically significant and occurred in 60% of the sample, while
lateral diastemas closure remained stable after treatment. Only initial diastema
width and overjet relapse showed association with relapse of midline diastema.
There was no association between relapse of interincisor diastema and root
parallelism.
PMID- 24918662
TI - Shaping ability of reciproc and TF adaptive systems in severely curved canals of
rapid microCT-based prototyping molar replicas.
AB - OBJECTIVE: To evaluate the shaping ability of Reciproc and Twisted-File Adaptive
systems in rapid prototyping replicas. MATERIAL AND METHODS: Two mandibular
molars showing S-shaped and 62-degree curvatures in the mesial root were scanned
by using a microcomputed tomography (MUCT) system. The data were exported in the
stereolitograhic format and 20 samples of each molar were printed at 16 um
resolution. The mesial canals of 10 replicas of each specimen were prepared with
each system. Transportation was measured by overlapping radiographs taken before
and after preparation and resin thickness after instrumentation was measured by
MUCT. RESULTS: Both systems maintained the original shape of the apical third in
both anatomies (P>0.05). Overall, considering the resin thickness in the 62
degree replicas, no statistical difference was found between the systems
(P>0.05). In the S-shaped curvature replica, Reciproc significantly decreased the
thickness of the resin walls in comparison with TF Adaptive. CONCLUSIONS: The
evaluated systems were able to maintain the original shape at the apical third of
severely curved mesial canals of molar replicas.
PMID- 24918664
TI - Regulatory B cells present in lymph nodes draining a murine tumor.
AB - In cancer, B cells have been classically associated with antibody secretion,
antigen presentation and T cell activation. However, a possible role for B
lymphocytes in impairing antitumor response and collaborating with tumor growth
has been brought into focus. Recent reports have described the capacity of B
cells to negatively affect immune responses in autoimmune diseases. The highly
immunogenic mouse tumor MCC loses its immunogenicity and induces systemic immune
suppression and tolerance as it grows. We have previously demonstrated that MCC
growth induces a distinct and progressive increase in B cell number and
proportion in the tumor draining lymph nodes (TDLN), as well as a less prominent
increase in T regulatory cells. The aim of this research was to study B cell
characteristics and function in the lymph node draining MCC tumor and to analyze
whether these cells may be playing a role in suppressing antitumor response and
favoring tumor progression. Results indicate that B cells from TDLN expressed
increased CD86 and MHCII co-stimulatory molecules indicating activated phenotype,
as well as intracellular IL-10, FASL and Granzyme B, molecules with regulatory
immunosuppressive properties. Additionally, B cells showed high inhibitory upon T
cell proliferation ex vivo, and a mild capacity to secrete antibodies. Our
conclusion is that even when evidence of B cell-mediated activity of the immune
response is present, B cells from TDLN exhibit regulatory phenotype and
inhibitory activity, probably contributing to the state of immunological
tolerance characteristic of the advanced tumor condition.
PMID- 24918663
TI - Erosive cola-based drinks affect the bonding to enamel surface: an in vitro
study.
AB - OBJECTIVE: This study aimed to assess the impact of in vitro erosion provoked by
different cola-based drinks (Coke types), associated or not with toothbrushing,
to bonding to enamel. MATERIAL AND METHODS: Fifty-six [Corrected] bovine enamel
specimens were prepared and randomly assigned into seven groups (N=8): C- Control
(neither eroded nor abraded), ERO-RC: 3x/1-minute immersion in Regular Coke (RC),
ERO-LC: 3x/1-minute immersion in Light Coke (LC), ERO-ZC: 3x/1-minute immersion
in Zero Coke (ZC) and three other eroded groups, subsequently abraded for 1
minute toothbrushing (EROAB-RC, EROAB-LC and EROAB-ZC, respectively). After
challenges, they were stored overnight in artificial saliva for a total of 24
hours and restored with Adper Single Bond 2/Filtek Z350. Buildup coronal surfaces
were cut in 1 mm2 -specimens and subjected to a microtensile test. Data were
statistically analyzed by two-way ANOVA/Bonferroni tests (alpha=0.05). Failure
modes were assessed by optical microscopy (X40). The Interface of the
restorations were observed using Confocal Laser Scanning Microscopy (CLSM).
RESULTS: All tested cola-based drinks significantly reduced the bond strength,
which was also observed in the analyses of interfaces. Toothbrushing did not have
any impact on the bond strength. CLSM showed that except for Zero Coke, all
eroded specimens resulted in irregular hybrid layer formation. CONCLUSIONS: All
cola-based drinks reduced the bond strength. Different patterns of hybrid layers
were obtained revealing their impact, except for ZC.
PMID- 24918665
TI - [Influenza: a four-year evolution of the pandemic. Prof. Alejandro Posadas
National Hospital, Argentina].
AB - As from January to August 2013, epidemiological weeks 1-35 (EW), Influenza
incidence, case characteristics, types and subtypes of circulating influenza
virus in the Nacional Profesor Alejandro Posadas Hospital were studied, and were
compared to incidences during 2009-2012. From late May to the end of August 2013
(EW18-35), an increase was observed in the proportion of patients' visits for
respiratory disease, influenza-like illness and hospitalizations due to
pneumonia; of 207 cases diagnosed with influenza A virus, 153 were infected by
H1N1pdm09, 46 by H3, and eight without subtype. The highest proportion of cases
was found in children under five years of age, followed by the group 60-64. The
chances of having the illness were three times greater among the group 40-64
years old compared to 15-39 or those older than 64. Mortality, which increased
with age, was 7.2%, and the odds of death were six times higher among those older
than 64. Vaccination rate among the cases was 11.6%. None of the fatal cases had
received the vaccine. After the 2009 pandemic, the proportions of annual
patients' visits decreased until 2012; in 2013, an increase of 52.0% during the
winter period compared to 2012. The viral circulation started earlier in 2013
compared to previous years. FLU-A(H1N1pdm) was the predominant circulating virus
in 2009 and 2013, FLU-A(H3) in 2011, FLU-A(H3) and FLU-B in both 2010 and 2012.
PMID- 24918666
TI - [Hereditary angioedema. Treatment of acute attacks in Argentina].
AB - In the world, hereditary angioedema (HAE) affects 1 every 50000 persons. It is
characterized by highly disabling and recurrent episodes of cutaneous, abdominal
and laryngeal episodes of angioedema. Asphyxia related mortality ranges from 15
to 50%. In Argentina a plasma derived C1 inhibitor concentrate (pdC1INH) has been
available for the treatment of acute attacks for many decades, however, only15
(26%) out of 58 patients had received pdC1INH at least once until 2008, and only2
(3.4%) had used it regularly. After worldwide approval of the new drugs for the
treatment of acute HAE attacks, adding icatibant to pdC1INH in Argentina, and
after publication of the therapeutic guide for the country, 42 (82%) out of 51
patients from the original group has pdC1INH available to treat their next
attack. However, 16 (18%) patients continue without access to medication and
other 15 (35.7%) obtain their therapy spuriously through some other affected
relative in their environment. Only 12 (28.6%) patients of the group self-treated
at home. Access to treatment has greatly improved, but needs to be extended to
all patients and self-treatment at home should be encouraged.
PMID- 24918667
TI - [Single port laparoscopic colostomy using the glove technique].
AB - The single port surgery with glove technique is a novel process, suitable to the
present day economic and technological moment. Colostomies are surgical
interventions suitable to its application. We describe the surgical method and
outcome of patients who underwent colostomy by single port glove technique within
the years 2011 and 2012, in two hospitals in Asturias, Spain. We carried out six
sigmoid colostomies. Four patients had tumoral pathology, another a perineal
necrotizing fasciitis, and the sixth, a patient with Crohn's disease and complex
perianal fistulas. The average age of the patients, four men and two women, was
54 years (range 42-67 years). The average intervention time was 42 minutes (range
30-65 minutes). There were no complications during the surgery or in the
postoperative period. In our facilities material expenditure was reduced to half
as regards other conventional single port devices. The glove technique represents
the most economic and least invasive approach for the surgical procedure of
stomas, in our experience considered a simple, safe and easily reproducible
technique.
PMID- 24918668
TI - Association of diffuse idiopathic skeletal hyperostosis and aortic valve
sclerosis.
AB - The principal objective of this investigation was to analyze the association
between diffuse idiopathic skeletal hyperostosis (DISH) and the presence of
aortic valve sclerosis (AVS). For this study we used results from 1000
consecutive outpatients (473 males), older than 50 years of age (average 67.6
years), that had been examined with Doppler echocardiogram and anterior and
lateral chest radiographs. Overall, 195 patients (19.5%) were diagnosed with DISH
and 283 (28.3%) with AVS. DISH was more prevalent than AVS in males (66.7% vs.
42.6%, p< 0.0001) and in older patients (73.6 +/- 9 years vs. 66.1 +/- 9 years, p
< 0.0001). Furthermore, 55.4% of patients with dorsal DISH presented aortic
sclerosis calcification vs. 21.7% of patients free of DISH (OR = 4.47; 95% CI =
3.22-6.21). The adjusted odds ratio (OR) was calculated by sex and age resulting
in 3.04 (95% CI = 2.12-4.36; p < .0001). A statistically significant association
was found between DISH and AVS in accordance to age and sex. The biological
plausibility of this association is based on similar risk factors, pathogenic
mechanisms and vascular complications.
PMID- 24918669
TI - [Methicillin-resistant Staphylococcus aureus (MRSA) isolation in breast abscesses
in a Public Maternity].
AB - Mastitis and breast abscess in lactating women are risk factors for early
breastfeeding cessation. This pathology is included in the group of skin and soft
tissue infections. A descriptive study was performed with an advanced outlook. As
of January 2007 through December 2011 a total of 137 breast abscesses were
treated in our institution. We analyzed incidence, parity, postpartum days, risk
factors, microbiological isolation and the adequacy of initial antibiotic
treatment. In that period we observed a steady and significant increase in breast
abscesses. Incidence from 0.19 to 0.84% in lactating women 2007 vs. 2011 p =
0.0001 IC 95% (-0.009; 0.003), 70.6% of them primiparous and a mean interval from
delivery to breast abscess of 41.9 +/- 35.8 days. The most frequent risk factors
were sore nipples and breast engorgement. Staphylococcus aureus was isolated in
82.3 to 95.0%. Methicillin resistance was higher than 60%. These strains were
susceptible to erythromycin, clindamycin, gentamicin, rifampicin, ciprofloxacin
and trimethoprim-sulfamethoxazol. All the cases were surgically drained; the
initial empirical treatment was inadequate in 60% of them, 90% of patients could
maintain breast feeding after the procedure. IN CONCLUSION: these data emphasize
the need to prevent risk factors associated to breast abscesses: sore nipples and
breast engorgement. In order to determine the adequate antibiotic treatment,
bacteriological studies are required at every collection because SAMR prevalence
varies according to diverse populations and geographic location.
PMID- 24918670
TI - [1944 - Houssay and the creation of IBYME, Institute of Experimental Biology and
Medicine].
PMID- 24918671
TI - [Stress (Takotsubo) cardiomyopathy in a patient with anorexia nervosa].
AB - We report the case of a woman with anorexia nervosa who developed takotsubo
cardiomyopathy triggered by emotional stress and electrolyte disturbances. The
patient improved with conservative management. Descriptions of stress
cardiomyopathy in association with eating disorders are often of higher severity
and related to QT prolongation because of electrolyte abnormalities, ventricular
arrhythmias and hypoglycemia. A review of cardiovascular compromise in patients
with anorexia nervosa is performed.
PMID- 24918672
TI - [Intrathoracic schwannoma].
AB - The schwannoma (neurilemmoma) is a slow-growing benign tumor originating from
Schwann sheath whose location in the chest cavity is exceptional. It is generally
asymptomatic and is discovered incidentally but can cause symptoms when the
lesion grows or invade underlying structures. Its importance lies in the
possibility of confusion with malignant tumors. We present a patient who
complains of chest pain caused by a prolonged course schwannoma. The tomographic
image is suggestive of extrapulmonary tumor, so the schwannoma in this location
should be considered in the differential diagnosis of metastatic or primary
pleural tumors such as lipoma, solitary fibrous tumor and mesothelioma.
PMID- 24918673
TI - [Bilateral pneumothorax complicating cavitary pulmonary metastases in
angiosarcoma].
AB - Metastatic pulmonary angiosarcomas are a common complication of a rare condition.
Generally, they are presented as peripheral solid nodules, infiltrates, and
pleural effusions. We report the case of a 65 year-old man with bilateral
recurrent pneumothorax secondary to metastatic cavitary lesions from angiosarcoma
of the scalp. In this case, videothoracoscopy allowed tumor resection,
inspection, and pleurodesis. There weren't complications or tumor recurrence at
six months follow up.
PMID- 24918674
TI - [Spontaneous rupture of renal pelvis with retroperitoneal hematoma].
PMID- 24918675
TI - [Mondor disease].
PMID- 24918676
TI - [Uterine subrogation: medical and legal aspects of the first legally supported
case in Argentina].
AB - A woman aged 38 was referred to this center for surrogacy treatment, after
subtotal ablation of her uterus due to a severe postpartum hemorrhage. Her
hormonal profiles and ovarian structure were normal. The husband proved fertile
and semen analysis was normal. The carrier, a woman 39 years old, fertile with
two children of her own, and a long bonding friendship with the patient. After
hormonal stimulation with gonadotropins and GnRH antagonist, six mature oocytes
were obtained. These originated four embryos after in vitro fertilization, three
of which were transferred to the carrier, achieving a singleton pregnancy which
led to the birth of a normal child, now more than a year old. A lawsuit was filed
after birth requesting the baby be registered with the biological parents name.
The judge granted the request based on evidence and testimonies provided,
international jurisprudence history and specification in Article 19 of the
Argentine Constitution: "No inhabitant of the Nation shall be obliged to do what
the law does not demand .nor be deprived of what it does not prohibit". This is
an almost ideal example of the proceedings in a case of subrogation. However, we
must always bear in mind the fact that in our country there is as yet no
regulatory framework for these treatments, therefore there is a high probability
of conflict.
PMID- 24918677
TI - [Ticagrelor in acute coronary syndrome. Explaining the inexplicable].
AB - The PLATO study evaluated the efficacy of adding ticagrelor, instead of
clopidogrel, to aspirin in patients with acute coronary syndrome, which showed
surprisingly positive results making the drug acceptable to regulatory agencies
and specialty societies worldwide. Notwithstanding the aforementioned success,
contradictory information supplied by critical analysis was submitted by the
sponsor. The controversial findings revealed several aspects that are difficult
to explain, threatening the veracity of the study's conclusions. Mortality rate
pattern, excessive benefit not comparable to prior studies, unexplained loss of
follow-up development and inconsistency in findings in accordance with the
country, the type of events arbitrator and monitoring committee are some of the
most questionable issues. Dubious reaction to this trial is based on the fact
that the information could not be found in published articles. This complex
situation poses a challenge to the critical analysis of the text and raises
questions as to how far the conflicts of financial interest influenced the
development of the study, the communication of its results and probably,
acceptance of the drug for commercial use.
PMID- 24918678
TI - [Influenza and pneumococcal immunization in cardiovascular prevention].
AB - A group of interdisciplinary experts (cardiologists, clinicians, infectologists
met with the purpose of analyzing the evidence revealed by the relationship
between respiratory diseases caused by influenza, pneumococcal diseases and
cardiovascular events, and the role played by immunization strategies applied in
cardiovascular prevention. The present statement summarizes the conclusions
reached by the expertise of the aforementioned professionals. Systematic
revisions imply consistent evidence that influenza and pneumococcal infection
lead to acute myocardial infarction and cardiovascular death. Studies published
during the last 15 years suggest that vaccination against influenza and S.
pneumoniae reduce the risk of acute coronary syndromes. With the current
evidence, and considering cost-effectiveness, reducing operating expenses and
safety profile of the vaccines, scientific societies, national and international
government health agencies strongly recommend incorporating immunization programs
in those patients with chronic cardiovascular disease.
PMID- 24918679
TI - [Mitochondria and oxidative stress participation in renal inflammatory process].
AB - The apoptosis and renal fibrosis are processes inherent to the chronic kidney
disease, and consequently a clear deregulation of the mitochondrial respiratory
mechanism has been described in patients with chronic renal disease associated to
an increase of the oxidative stress. The injured tubular cells linked to the
interstitial macrophages and myofibroblasts produce cytokines and growth factors
that encourage an inflammatory condition, inducing the apoptosis of the tubular
cells and enabling the accumulation of the extracellular matrix. The angiotensin
II has a central role in the renal fibrogenesis leading to a rapid progression of
the chronic kidney disease. The growing levels of the angiotensin II induce pro
inflammatory cytokines, the activation of NF-kB, adhesion molecules,chemokines,
growth factors, and oxidative stress. The current evidence suggests that the
angiotensin II increases the mitochondrial oxidative stress, regulates the
induction of the apoptosis and conditions the inflammatory process. Therefore the
mitochondria and the oxidative stress would play a determinant role in the renal
inflammatory process. Finally, this review summarizes our present knowledge
regarding the possible mechanisms that would contribute to the apoptosis
conditioned by inflammation and/or oxidative stress during the chronic renal
disease. Additionally, a new concept of the anti-inflammatory tools is proposed
to regulate the mitochondrial oxidative stress that would directly affect the
inflammatory process and apoptosis. This concept could have positive consequences
on the treatment of renal inflammatory pathologies and related diseases.
PMID- 24918680
TI - [Perfectioning medicine].
PMID- 24918681
TI - [Granulomatosis with polyangiitis].
PMID- 24918682
TI - [More about the name Wegener granulomatosis].
PMID- 24918683
TI - [Gnathostomiasis after travelling].
PMID- 24918684
TI - [About a probable case of gnathostomiasis].
PMID- 24918685
TI - [Is there gnathostomiasis in Colombia? Reflections from a possible case imported
to Argentina].
PMID- 24918686
TI - [In response: on a possible case of gnathostomiasis].
PMID- 24918687
TI - [The natriuretic peptide system and its biomarkers].
PMID- 24918688
TI - [Natriuretic peptides in non-cardiac diseases].
PMID- 24918689
TI - Assessment of disease progression and functional benefit in neurodegenerative
disease: can we tell the difference?
AB - Therapeutic strategies for neurodegenerative diseases include modalities intended
to modulate disease progression as well as those whose intent is to improve or
maintain functional capacity. As the search for pharmacodynamic markers has
proved elusive, treatment outcomes most commonly reflect patient function. As a
result, even when clinical trials show a beneficial effect, the underlying
etiology of that benefit can be difficult to determine. This review summarizes
recent trials in ALS and Parkinson's disease, with the goal of increasing
understanding of how the choice of outcome measures influences what can be
concluded from the results. Although most ALS trials have been negative in recent
years, outcomes are reviewed in terms of potential conclusions that could have
been drawn. Functional benefit has been established in a number of recent trials;
however, the outcomes used have lead to uncertainty as to whether specific agents
modify disease or alter function. In the absence of specific markers sensitive to
alteration of disease specific pathways, the distinction between agents that
alter underlying disease versus those that affect function may depend on
underlying hypotheses rather than clinical trial results.
PMID- 24918690
TI - Gastric coronary vein to portal vein reconstruction in liver transplant: case
report.
AB - OBJECTIVES: Portal vein thrombosis is a common complication in end-stage liver
diseases of candidates for liver transplant. Most portal vein thromboses can be
removed with thrombectomy. However, if the thrombosis extends to the distal
superior mesenteric vein, it is difficult to reconstruct the portal vein. We
report herein a case of dilated gastric coronary vein to portal vein
reconstruction in liver transplant. CASE REPORT: During the operation, the portal
vein thrombosis was confirmed; it extended to the distal superior mesenteric
vein. It could not be removed, and a jumping graft vein could not be used either.
The dilated gastric coronary vein was dissected. After a piggy-back caval
anastomosis, the recipient gastric coronary vein was anastomosed to donor portal
vein using side-to-end anastomosis. Successive ultrasound studies demonstrated
patent portal anastomosis. At postoperative day 30, computed tomography scans
confirmed the patency of the portal anastomosis. The patient recovered fully and
at the time of this writing, was doing well 1 year after transplant. Neither
ascites nor upper gastrointestinal bleeding occurred. CONCLUSIONS: If complete
portal vein thrombosis extends to the distal superior mesenteric vein, and a
jumping graft vein cannot be applied, the recipient gastric coronary vein or
other collateral varix anastomosed to the donor portal vein is an alternative.
PMID- 24918692
TI - Controlling the sign and magnitude of screw-sense preference from the C-terminus
of an achiral helical foldamer.
AB - The global screw-sense preference of an achiral helical oligomer may be
controlled by a single chiral monomer located at one terminus. Remarkably,
maximal control is induced in oligomers of the achiral quaternary amino acid Aib
by a single C-terminal alaninamide residue, probably because the Ala side chain,
though small, is compatible with a 310 helical conformation. The presence or
absence of a C-terminal hydrogen bond donor determines the screw sense of the
entire oligomer.
PMID- 24918691
TI - Essential oil alloaromadendrene from mixed-type Cinnamomum osmophloeum leaves
prolongs the lifespan in Caenorhabditis elegans.
AB - Cinnamomum osmophloeum Kaneh. is an indigenous tree species in Taiwan. The
present study investigates phytochemical characteristics, antioxidant activities,
and longevity of the essential oils from the leaves of the mixed-type C.
osmophloeum tree. We demonstrate that the essential oils from leaves of mixed
type C. osmophloeum exerted in vivo antioxidant activities on Caenorhabditis
elegans. In addition, minor (alloaromadendrene, 5.0%) but not major chemical
components from the leaves of mixed-type C. osmophloeum have a key role against
juglone-induced oxidative stress in C. elegans. Additionally, alloaromadendrene
not only acts protective against oxidative stress but also prolongs the lifespan
of C. elegans. Moreover, mechanistic studies show that DAF-16 is required for
alloaromadendrene-mediated oxidative stress resistance and longevity in C.
elegans. The results in the present study indicate that the leaves of mixed-type
C. osmophloeum and essential oil alloaromadendrene have the potential for use as
a source for antioxidants or treatments to delay aging.
PMID- 24918693
TI - Evaluation of the effects of recipient/donor gender on early/late postoperative
renal graft functions by renal scintigraphy.
AB - OBJECTIVES: We discuss the effects of recipient/donor gender on renal allograft
functions using scintigraphic parameters obtained 3 days after renal transplant
and 1 year after transplant. MATERIALS AND METHODS: This retrospective study
included 76 renal allograft recipients (group one, 38 males; group two, 38
females). Patients underwent scintigraphic imaging with Tc-99m DTPA on
postoperative day 3 and 1 year after transplant. We used the Hilson perfusion
index, maximum renal activity/background activity, ratio of renal activity at 20
minutes to renal activity at 3 minutes, time-to-peak activity, and glomerular
filtration rate to measure quantitative parameters. RESULTS: On postoperative day
3, the Hilson perfusion index, maximum renal activity/background activity, the
ratio of renal activity at 20 minutes to renal activity at 3 minutes, time-to
peak activity, and glomerular filtration rate values for male/female recipients
were similar (P = .65, P = .77, P = .38, P = .10, P = .99). The gender of donors
was compared with the above-mentioned scintigraphic parameters of the recipients,
and no statistically significant differences were found (P = .24, P = .25, P =
.44, P = .29, P = .13). At 1-year follow-up, values obtained from group 1 and
group 2 recipients were similar. After 1 year, chronic rejection developed in
15.7% of group 1 recipients and in 10.5% of group 2 recipients; acute rejection
developed in 21% of group 1 recipients and in 23.6% of group 2 recipients. There
were no statistically significant differences between the occurrence of acute
rejection and the gender of recipients or donors (P = 1.00, P = .45).
CONCLUSIONS: We observed no statistically significant differences between renal
graft functions and gender of the recipients/donors during the early/late
posttransplant period.
PMID- 24918695
TI - Oriental cholangiohepatitis - is our surgery appropriate?
AB - Oriental cholangiohepatitis, or recurrent pyogenic cholangitis is only noted in
certain parts of the world, especially South East Asia. Due to increasing
immigration the disease is now being seen in western countries also. Treating
physicians may face difficulty in managing such cases due to lack of exposure.
Furthermore management of such cases is not standardized because of lack of a
universally accepted classification system. Here we review the disease and share
our long experience with management of these patients.
PMID- 24918694
TI - Expression of human solute carrier family transporters in skin: possible
contributor to drug-induced skin disorders.
AB - Solute carrier (SLC) transporters play important roles in absorption and
disposition of drugs in cells; however, the expression pattern of human SLC
transporters in the skin has not been determined. In the present study, the
expression patterns of 28 human SLC transporters were determined in the human
skin. Most of the SLC transporter family members were either highly or moderately
expressed in the liver, while their expression was limited in the skin and small
intestine. Treatment of human keratinocytes with a reactive metabolite of
ibuprofen significantly reduced cell viability. Expression array analysis
revealed that S100 calcium binding protein A7A (S100A7A) was induced nearly 50
fold in dermal cells treated with ibuprofen acyl-glucuronide. Determination of
the expression of drug-metabolizing enzymes as well as drug transporters prior to
the administration of drugs would make it possible to avoid the development of
idiosyncratic skin diseases in individuals.
PMID- 24918696
TI - Total thyroidectomy with harmonic scalpel combined to gelatin-thrombin matrix
hemostatic agent: is it safe and effective? A single-center prospective study.
AB - INTRODUCTION: Hemostasis during thyroidectomy is essential; however, the safest,
most efficient and cost-effective way to achieve this is unclear. The aim of this
study was to evaluate the outcome of total thyroidectomy (TT) performed with
combination of harmonic scalpel (HS) and Floseal. METHODS: Patients undergone TT
were divided into two groups: HS + Floseal and traditional hemostasis groups. The
primary endpoint was 24-h drain output and blood-loss requiring reintervention.
Secondary endpoints included surgery duration, postsurgical complications and
hypocalcemia rates. RESULTS: Between September 2012 and January 2014, 165
patients were enrolled (100 to HS + Floseal, 65 to standard hemostasis); 80.5%
female; mean age 42.3 years. The 24-h drain output was lower in the HS + Floseal
group compared with standard TT. HS + Floseal also had a shorter mean surgery
time (p < 0.0001) vs standard TT. No differences in post-surgical complications
and in hypocalcemiarates between groups. CONCLUSION: combination of Floseal plus
the HS is effective and safe for TT and it provides a complementary hemostatic
approach.
PMID- 24918697
TI - Vitamin D level and risk of community-acquired pneumonia and sepsis.
AB - Previous research has reported reduced serum 25-hydroxyvitamin D (25(OH)D) levels
is associated with acute infectious illness. The relationship between vitamin D
status, measured prior to acute infectious illness, with risk of community
acquired pneumonia (CAP) and sepsis has not been examined. Community-living
individuals hospitalized with CAP or sepsis were age-, sex-, race-, and season
matched with controls. ICD-9 codes identified CAP and sepsis; chest radiograph
confirmed CAP. Serum 25(OH)D levels were measured up to 15 months prior to
hospitalization. Regression models adjusted for diabetes, renal disease, and
peripheral vascular disease evaluated the association of 25(OH)D levels with CAP
or sepsis risk. A total of 132 CAP patients and controls were 60 +/- 17 years,
71% female, and 86% Caucasian. The 25(OH)D levels <37 nmol/L (adjusted odds ratio
(OR) 2.57, 95% CI 1.08-6.08) were strongly associated with increased odds of CAP
hospitalization. A total of 422 sepsis patients and controls were 65 +/- 14
years, 59% female, and 91% Caucasian. The 25(OH)D levels <37 nmol/L (adjusted OR
1.75, 95% CI 1.11-2.77) were associated with increased odds of sepsis
hospitalization. Vitamin D status was inversely associated with risk of CAP and
sepsis hospitalization in a community-living adult population. Further clinical
trials are needed to evaluate whether vitamin D supplementation can reduce risk
of infections, including CAP and sepsis.
PMID- 24918698
TI - Effect of vitamin D supplementation on the level of circulating high-sensitivity
C-reactive protein: a meta-analysis of randomized controlled trials.
AB - Vitamin D might elicit protective effects against cardiovascular disease by
decreasing the level of circulating high-sensitivity C-reactive protein (hs-CRP),
an inflammatory marker. Thus, we conducted a meta-analysis of randomized
controlled trials to evaluate the association of vitamin D supplementation with
circulating hs-CRP level. A systematic literature search was conducted in
September 2013 (updated in February 2014) via PubMed, Web of Science, and
Cochrane library to identify eligible studies. Either a fixed-effects or a random
effects model was used to calculate pooled effects. The results of the meta
analysis of 10 trials involving a total of 924 participants showed that vitamin D
supplementation significantly decreased the circulating hs-CRP level by 1.08 mg/L
(95% CI, -2.13, -0.03), with the evidence of heterogeneity. Subgroup analysis
suggested a higher reduction of 2.21 mg/L (95% CI, -3.50, -0.92) among
participants with baseline hs-CRP level >=5 mg/L. Meta-regression analysis
further revealed that baseline hs-CRP level, supplemental dose of vitamin D and
intervention duration together may be attributed to the heterogeneity across
studies. In summary, vitamin D supplementation is beneficial for the reduction of
circulating hs-CRP. However, the result should be interpreted with caution
because of the evidence of heterogeneity.
PMID- 24918699
TI - Effect of the combination of metformin hydrochloride and melatonin on oxidative
stress before and during pregnancy, and biochemical and histopathological
analysis of the livers of rats after treatment for polycystic ovary syndrome.
AB - The aim of the present study was to analyze the effect of a combination of
metformin hydrochloride and melatonin on oxidative stress together with a
biochemical and histopathological analysis of the livers of Wistar rats induced
with PCOS. The results indicated that a combination of the drugs was more
effective in the reduction of plasmatic levels of liver enzyme alanine
aminotransferase, nitric oxide and total glutathione, and decreased the
inflammatory response and histopathological damage, producing results that were
significantly similar to animals from the control group. A mixture of the drugs
produced more effective results against liver toxicity caused by PCOS,
encouraging the normalization of biochemical parameters. During pregnancy, there
was reduced oxidative stress compared to monotherapeutic use of these drugs.
Interestingly, the combination of the drugs caused a physiological reaction
similar to responses identified in healthy rats without induction of the PCOS
control group. However, the clinical and physiological effectiveness of the
combination should be further explored, especially with respect to the possible
side effects on offspring.
PMID- 24918701
TI - Nasal reconstruction--the challenge "par excellence" for plastic surgery.
PMID- 24918700
TI - Evaluation of polyethylene glycol-conjugated novel polymeric anti-tumor drug for
cancer therapy.
AB - A novel polymeric prodrug (PXPEG) was prepared to enhance the solubility of an
anti-cancer drug, paclitaxel, in aqueous solutions and decrease the cytotoxicity
by PEGylation, which means PEG attached to another molecule. In addition, the
targeting ligand, transferrin (TF), was modified to PXPEG to enhance the
therapeutic efficacy. The targeting ligand-modified PXPEG (TFPXPEG) was examined
by (1)H-NMR to confirm the successful synthesis. The synthesized TFPXPEG had
better solubility than the free drug against aqueous solution. The particle size
of TFPXPEG was approximately 197.2nm and it had a spherical shape. The MTT assay
showed that the anti-tumor efficiency of TFPXPEG was better than that of TF
unmodified PXPEG. In the KB tumor-bearing mouse model, the tumor volume of
TFPXPEG treated groups was decreased dramatically by more than 2 fold or 3 fold
compared to the PBS or PXPEG treated groups. The in vitro and in vivo evaluation
showed that TFPXPEG had better efficacy than that of PXPEG due to the targeting
effect of targeting ligands, such as TF.
PMID- 24918702
TI - History of reconstructive rhinoplasty.
AB - Amputation of the nose was practiced as a sign of humiliation to adulterers,
thieves, and prisoners of war by certain ancient populations. To erase this
disfigurement, numerous techniques were invented over the centuries. In India,
where this injury was common, advancement cheek flaps were performed (around 600
BC). The forehead flap was introduced much later, probably around the 16th
century. The Venetian adventurer Manuzzi, in writing a report about the Mughal
Empire in the second half of the 17th century gave the description of the
forehead rhinoplasty. Detailed information concerning the Indian forehead flap
reached the Western world in 1794, thanks to a letter to the editor that appeared
in the Gentleman's Magazine. From this episode, one can date the beginning of a
widespread interest in rhinoplasty and in plastic surgery in general. In Europe,
nasal reconstruction started in the 15th century in Sicily with the Brancas,
initially with cheek flaps and then with arm flaps. At the beginning of the 16th
century, rhinoplasty developed in Calabria (Southern Italy) with the Vianeos. In
1597, Gaspare Tagliacozzi, Professor of Surgery at Bologna, improved the arm flap
technique and published a book entirely devoted to this art. He is considered the
founder of plastic surgery.
PMID- 24918703
TI - Histological control of tumor surgical margins with three-dimensional histology.
AB - Three-dimensional (3D) histology provides a complete 3D representation of the
margins of a tumor specimen on histological slides. This eliminates diagnostic
gaps and provides maximum sensitivity in identifying tumor outgrowths. The method
is used to confirm tumor-free margins or, in case of an incomplete tumor
resection, to make even very small infiltrated areas visible with topographic
orientation. The basic principle of 3D histology is the conversion from the 3D
structure of the tumor-specimen margins into a two-dimensional view of
histological sections. The 3D margins are flattened with their outside down and
then cut into pieces, suitable for histopathological procedures of cryo or
paraffin sections. The management of a full 3D histology needs a tight
communication between the surgeon and the pathologist. Clear and safe rules are
necessary to enable both the surgeon and the pathologist to point out areas of
incomplete tumor excisions very precisely. Using a circle as representation of
the separation between tumor base and margin, the pathologist can point out the
exact position of an outgrowth by referring to different positions on the circle
in clock times. This system will be sufficient to point out tumor outgrowths. The
advantage is that it is very simple to communicate and easy implemented in any
electronic communication.
PMID- 24918704
TI - Skin-fat-graft: a simple tool for reconstruction of small deep defects of the
nose.
AB - Small deep defects of the nose after resection of benign or malignant skin tumors
are a common challenge in facial plastic surgery daily routine. The use of local
flaps has several disadvantages for what reason they are a minor or no option
especially in certain localizations in the lower third of the nose. Many elder
patients suffer from comorbidities where complex more-staged reconstructional
procedures drop out. We present a technique of nasal defect closure with a skin
fat composite graft. Between April 2010 and July 2013, we treated 42 patients
with a total of 46 skin-fat-grafts to the nose. We reevaluated the esthetic and
functional outcome in a retrospective analysis. In 80% of the cases, the results
were rated excellent to satisfactory, 20% fair to poor. Reasons for worse
validation were color and contour differences of grafts and surrounding tissue as
well as alar retraction in very few cases. Nevertheless, we consider skin-fat
grafts to be a useful tool in single-layer nasal reconstruction in defects of
smaller size.
PMID- 24918705
TI - One-stage nasal soft tissue reconstruction with local flaps.
AB - Because of better public education and earlier diagnosis of skin tumors, the
number of soft tissue defects of the nose with limited size and depth after tumor
resection is increasing. A variety of surgical methods such as skin grafts,
regional flaps such as forehead flap, and local flaps have been described. The
method of choice is dependent on the defect size, localization, skin structure
and the wishes and expectations, and general condition of the patient. Nasal
reconstruction for soft tissue defects in the supratip area, dorsum, and
sidewalls using local rotation and/or advancement flaps is our primary option.
But achieving supreme results with these non-subunit-based techniques is still a
challenge. Showing schematic figures and case studies, this article is aimed at
assisting surgeons in the planning and decision making of which flap is
appropriate for the reconstruction of soft tissue defects of the nose.
PMID- 24918706
TI - Open rhinoplasty concepts in facilitating tip reconstruction.
AB - The nose is a frequent site for skin cancer, accounting for approximately 26% of
basal cell carcinomas and approximately 13% of spinal cell carcinomas of the
facial district. Also melanomas, mostly as lentigo maligna melanomas, are
frequently located at the nasal pyramid. Although defects can be of varying size
and depth, some even involving the whole trilaminar structure of the nose, most
remain superficial and seldom reach and infiltrate the underlying framework. In
contrast, they can be wide, thus requesting large flaps to resurface the defect.
Although a technically well-planned and well-performed surgery can lead to
excellent aesthetic results, scars from both donor and recipient sites can be
noticeable. Since skin cancers generally affect older people, we often deal with
aged noses. Such noses typically present some common features such as plunging
tip, increased length, and a prominent hump due to several reasons, already well
described in the literature. In this scenario, by reducing and addressing the
framework, we can obtain a variable quota of downsizing of the original defect,
thus requiring less skin for coverage, and thus reducing the size of needed flaps
and consequent scars. This is greatly facilitated by the open rhinoplasty
approach. Most of the maneuvers aimed at reducing the framework are indeed the
same.
PMID- 24918707
TI - Reconstruction of nasal alar defects with freestyle facial artery perforator
flaps.
AB - In 2009, we have described the use of freestyle facial artery perforator flaps
for one-stage nose reconstruction. Since then, several articles have reported the
use of facial artery perforator flaps for nose reconstruction. The purpose of
this article is to provide an update of the published technique after 10 years of
experience. Since 2004, 21 patients have been treated with a freestyle facial
artery perforator flap for one-stage reconstruction of the nasal ala. The flaps
were 16 propellers, 4 V-Y, and 1 island transposition. A single venous congestion
leading to a minor flap tip necrosis and a wound dehiscence was observed. All
other flaps healed uneventfully. The V-Y design and multiple subunit
reconstruction gave suboptimal results. It was concluded that indications for
freestyle facial artery perforator flaps are total nasal alar subunit
reconstruction or reconstruction of lateral alar defects when perforator anatomy
allows. In these cases, freestyle facial artery perforator flaps are the first
choice technique at our institution because they allow excellent results in one
stage operation. One-stage nasal ala reconstruction with freestyle facial artery
perforator flaps.
PMID- 24918708
TI - Lining in nasal reconstruction.
AB - Restoring nasal lining is one of the essential parts during reconstruction of
full-thickness defects of the nose. Without a sufficient nasal lining the whole
reconstruction will fail. Nasal lining has to sufficiently cover the shaping
subsurface framework. But in addition, lining must not compromise or even block
nasal ventilation. This article demonstrates different possibilities of lining
reconstruction. The use of composite grafts for small rim defects is described.
The limits and technical components for application of skin grafts are discussed.
Then the advantages and limitations of endonasal, perinasal, and hingeover flaps
are demonstrated. Strategies to restore lining with one or two forehead flaps are
presented. Finally, the possibilities and technical aspects to reconstruct nasal
lining with a forearm flap are demonstrated. Technical details are explained by
intraoperative pictures. Clinical cases are shown to illustrate the different
approaches and should help to understand the process of decision making. It is
concluded that although the lining cannot be seen after reconstruction of the
cover it remains one of the key components for nasal reconstruction. When dealing
with full-thickness nasal defects, there is no way to avoid learning how to
restore nasal lining.
PMID- 24918709
TI - Update on the septal pivot flap.
AB - The septal pivot flap has been an integral part of my concept for total and
subtotal nasal repair. Since 2004, I have performed 15 reconstructions, in 9
cases combined with a pivot flap. The three-stage procedure starts with
reconstruction of the septum by lifting the flap if available and needed. In the
nine patients, all flaps could be rotated smoothly and without necrosis,
contributing a minor or even major portion of nasal lining in every case. The
neoseptum provided a strong static support, thus preparing the ground for
significantly good projection, protection, and definition of profile and tip.
This article illustrates the basic technique step by step and presents four
typical cases.
PMID- 24918711
TI - Nasal reconstruction with the paramedian forehead flap--details for success.
AB - This article is focused on technical details for successfully reconstructing the
nasal skin cover in parts or totally. Nasal reconstruction is based on the
successful reconstruction of the inner lining and the nasal framework in three
layer defects. The details to be considered include planning the flap, subunit
reconstruction and outline of margins, dealing with hair-bearing forehead skin,
sequence of stages, intermediate debulking, details of pedicle dissection, brow
reconstruction, forehead closure, forehead expansion, and complication
management.
PMID- 24918710
TI - Framework fabrication with rib cartilage in partial and total nasal
reconstruction.
AB - The framework reconstruction of the nose is a significant and complex component
of its partial or total reconstruction. On the one hand, the design of the
individual framework parts is based on the anatomic nature of available rib or
ear cartilage, which must on the other hand be adapted to the anatomic
characteristics of the defect. The framework parts must be anchored not only to
each other but also stably to the facial skeleton. The symmetry of the framework
reconstruction is an essential component of the aesthetics of the reconstructed
nose. If these points are already considered in planning, the reconstruction of
the nasal framework can be standardized insofar as the same principles for the
basic design of the individual parts as well as stable solutions for the
anchoring points can be chosen. With reproducible techniques, functionally and
aesthetically good to very good results can be achieved, including in the long
term. The surgeon must possess special skills in the field of nasal
reconstruction to correctly choose, apply, and combine the various techniques of
nasal framework reconstruction.
PMID- 24918712
TI - A propeller flap for single-stage nose reconstruction in selected patients:
supratrochlear artery axial propeller flap.
AB - The paramedian forehead flap is the gold standard technique for nose
reconstruction. It requires two different surgical operations which prolonged the
postoperative dressing and care. We present our 5-year experience with a
propeller flap based on the supratrochlear artery, which allows one-stage
transfer of the forehead skin to the nose without the need for pedicle division.
This technique is indicated in a selected group of patients who are not suitable
for multiple-stage reconstructions because they have concurrent medical
conditions, reduced mobility, or live far away from specialized medical centers.
We have renamed this procedure as supratrochlear artery axial propeller flap,
from the acronym STAAP flap, to stress the axial, well known and constant,
vascularization of the flap. In the past 5 years, we have been performing 25
STAAP flaps; full-thickness nasal reconstruction was performed in 11 cases. The
patients were 16 males and 9 females, with a mean age of 79.5 years. All patients
had multiple comorbidities. Complete flap survival was observed in 23 cases and
healing was complete in 7 days. In two cases, there was a partial distal necrosis
of the flap treated conservatively. Cosmetic results were good and the patient's
satisfaction was significant. These results indicate that the STAAP flap is a
reliable and useful technique in selected cases, as old or noncompliant patients
who benefit from a one-stage technique of nose reconstruction.
PMID- 24918713
TI - Revising or redoing an imperfect or failed nasal reconstruction.
AB - Almost all major nasal reconstructions will require a late revision to refine
aesthetics and function. The early surgical result after pedicle division will be
determined by the materials, methods, priorities, planning, and surgical stages
chosen by the surgeon. Imperfections in nasal contour, including recreation of
the alar crease and nasolabial fold, are corrected by soft tissue debulking and
secondary cartilage grafting through peripheral or direct incisions. The nostrils
are enlarged by soft tissue excision and local tissue rearrangement.
Occasionally, the original repair must be discarded and a second regional flap
used to "redo" the reconstruction. Success is determined by careful analysis of
the visual deformity, regional principles of the subunit reconstruction, and
careful planning.
PMID- 24918714
TI - Nasal reconstruction in children.
AB - The nose represents the center of the face. The nasal shape changes with the
progression from infancy to adulthood. In newborns, the main supporting structure
of the nose is the dorsal septal cartilage; it is the facial growth center. The
loss of septal cartilage at different ages leads to various facial syndromes
involving the nose, maxilla, and orbita. Therefore, every surgical treatment can
compromise not only the nasal growth but also the growth of the whole face.
Childhood trauma or a malformation of the nose can also cause enormous functional
impairments similar to those caused by surgical treatments. Our contribution
represents different aspects of nasal deformities and their treatment. An
individual concept is indispensable to reach the best compromise in all cases.
The postulate of an early treatment gives priority to physical and mental damage
control. The late therapy concept uses the inimitable potential of the growth of
different tissues needed for a septoplasty and should be protected from
iatrogenic impairment. We recommend a first-line pediatric nasal reconstruction,
an attentive follow-up, and finally, a secondary nasal reconstruction in the
adult patient if required for achieving normalcy.
PMID- 24918715
TI - Nasal reconstruction in heminasal deficiency (proboscis lateralis): two case
reports, with airway reconstruction in one case.
AB - Proboscis lateralis is a very rare congenital malformation with heminasal
hypoplasia or aplasia. The affected side is represented by a trunk (proboscis)
which can be positioned from the upper eyelid down to the alar base. We present
two cases of proboscis lateralis, one in which we reconstructed an airway. The
first case is a 16-year-old male patient who presented with heminasal aplasia.
Immediately after birth, a proboscis lateralis was resected from the right upper
eyelid as primary treatment elsewhere. We reconstructed the nose using costal
cartilage as framework. A paramedian forehead flap was transposed to give the
patient a nose with adult dimensions. We made no attempt to reconstruct an airway
in this case as unilateral nasal breathing appeared adequate. The second case is
a 14-year-old male patient who presented with heminasal aplasia on his right
side, where a nodule-like appendix was existent with a fistula underneath. A
computed tomographic scan revealed an existing hypoplastic posterior nasal airway
and a complete ventilated sinus system. The fact that there was an existing
posterior airway encouraged us to construct an anterior airway to create an
airflow passage. Costal cartilage was taken for framework reconstruction and
nasal skin was completely replaced by a paramedian forehead flap. The
reconstructed airflow passage was stable.
PMID- 24918717
TI - Selective fluorescence detection of monosaccharides using a material composite
formed between graphene oxide and boronate-based receptors.
AB - We have developed a novel class of simple materials for sensing monosaccharides
by the functionalization of graphene oxide (GO) with boronate-based fluorescence
probes (BA1 and BA2). The composite materials were characterized by atomic force
microscopy, Raman spectroscopy, and UV-vis/fluorescence spectroscopy. The strong
fluorescence of the BA probes is quenched in the presence of GO through
fluorescence resonance energy transfer. The BA@GO composite sensors formed
provide a useful platform for fluorogenic detection of monosaccharides based on
the strong affinity between the boronic acid receptor and monosaccharides. The
BA@GO composite sensor displayed a "turn-on" fluorescence response with a good
linear relationship toward fructose over a range of other saccharides.
PMID- 24918716
TI - Dual protonophore-chitinase inhibitors dramatically affect O. volvulus molting.
AB - The L3-stage-specific chitinase OvCHT1 has been implicated in the development of
Onchocerca volvulus, the causative agent of onchocerciasis. Closantel, a known
anthelmintic drug, was previously discovered as a potent and specific OvCHT1
inhibitor. As closantel is also a known protonophore, we performed a simple
scaffold modulation to map out the structural features that are relevant for its
individual or dual biochemical roles. Furthermore, we present that either OvCHT1
inhibition or protonophoric activity was capable of affecting O. volvulus L3
molting and that the presence of both activities in a single molecule yielded
more potent inhibition of the nematode's developmental process.
PMID- 24918718
TI - [Polycystic ovary syndrome: the visible and invisible associate with
cardiovascular risk].
PMID- 24918719
TI - Surgical treatment of subcostal incisional hernia with polypropylene mesh -
analysis of late results.
AB - OBJECTIVE: To evaluate the results of subcostal incisional hernia repair using
polypropylene mesh, the technical aspects of musculo-aponeurotic reconstruction,
routine fixation of supra-aponeurotic mesh and follow-up for five years. METHODS:
We conducted a retrospective study that assessed 24 patients undergoing subcostal
incisional hernia repair with use of polypropylene mesh; 15 patients (62.5%) were
female; ages ranged from 33 to 82, and 79.1% had comorbidities. RESULTS: Early
complications: three cases (12.5%) of wound infection, three cases (12.5%) of
seroma, one case (4.1%) of hematoma; and one case (4.1%) of wound dehiscence.
Late complications occurred in one case (4.1%) of hernia recurrence attributed to
technical failure in the fixation of the mesh and in one case (4.1%) of chronic
pain. There were no cases of exposure or rejection of the mesh. CONCLUSION: The
subcostal incisional hernia, though not very relevant, requires adequate surgical
treatment. Its surgical correction involves rebuilding the muscle-aponeurotic
defect, supra-aponeurotic fixation of polypropylene mesh, with less complexity
and lower rates of complications and recurrences.
PMID- 24918720
TI - Local control of human papillomavirus infection after anal condylomata acuminata
eradication.
AB - OBJECTIVE: To verify whether the eradication of anal condylomata acuminata was
effective for local control of HPV infection using anal colposcopy and anal brush
cytology. METHODS: We evaluated 147 patients treated for anal margin and/or anal
canal condyloma, with 108 HIV-positive and 39 HIV-negative individuals. The
average age for males was 40 years for HIV-positive and 27.5 for HIV-negative. In
females, the mean age was 37.5 years for HIV-positive and 31.5 for HIV-negative.
RESULTS: Twenty-four patients (16.3%) had normal cytology and anal colposcopy, 16
(10.9%) normal cytology and altered anal colposcopy, 52 (35.4%) normal anal
colposcopy and altered cytology, and 55 (37.4%) had altered cytology and anal
colposcopy. CONCLUSION: the eradication of clinical lesions failed to locally
control HPV infection.
PMID- 24918721
TI - Postoperative topical analgesia of hemorrhoidectomy with policresulen and
cinchocaine: a prospective and controlled study.
AB - OBJECTIVE: To evaluate the effects of topical policresulen and cinchocaine in the
postoperative pain behavior of open hemorrhoidectomy. METHODS: We conducted a
prospective, double-blinded, controlled study. The control group received the
usual guidelines with oral medications. The topical treatment group received, in
addition, the application of the ointment and was comprised of two subgroups
(policresulen + cinchocaine, and placebo). Pain intensity was recorded with the
visual analogue scale. RESULTS: 43 patients were operated on: control group - n =
13, one excluded; placebo - n = 15; and policresulen + cinchocaine - n = 15. The
mean age was 45.98 years and 37.2% were men. The average pain intensity was 4.09
(immediate postoperative), 3.22 (hospital discharge), 5.73 (day 1) , 5.77 (day
2), 5.74 (day 3), 5.65 (day 7), 5.11 (day 10), 2.75 (day 15) and 7.70 (first
bowel movement), with no difference between groups in all periods. CONCLUSION:
This study showed no reduction in pain after hemorrhoidectomy with the use of
topical policresulen and cinchocaine.
PMID- 24918722
TI - Incidence of uterine post abortion infection at Hospital de Clinicas de Porto
Alegre. Is prophylactic antibiotic necessary?
AB - OBJECTIVE: To identify the incidence of pelvic infection after miscarriage
undergoing uterine evacuation in a tertiary hospital in southern Brazil and to
compare with the international literature. METHODS: we reviewed electronic
medical records of the Hospital de Clinicas de Porto Alegre of all patients who
underwent uterine evacuation for miscarriage between August 2008 and January 2012
were reviewed. We included all patients submitted to uterine curettage due to
abortion and who had outpatient visits for review after the procedure. We
calculated emographic and laboratory data of the study population, number needed
for treatment (NNT) and number needed to harm (NNH). RESULTS: of the 857 revised
electronic medical records, 377 patients were subjected to uterine evacuation for
miscarriage; 55 cases were lost to follow-up, leaving 322 cases that were
classified as not infected abortion on admission. The majority of the population
was white (79%); HIV prevalence and positive VDRL was 0.3% and 2%, respectively.
By following these 322 cases for a minimum of seven days, it was found that the
incidence of post-procedure infection was 1.8% (95% CI 0.8 to 4). The NNT and NNH
calculated for 42 months were 63 and 39, respectively. CONCLUSION: The incidence
of post-abortion infection between August 2008 to January 2012 was 1.8% (0.8 to
4).
PMID- 24918723
TI - Polycystic ovary syndrome: implications of metabolic dysfunction.
AB - OBJECTIVE: To determine the prevalence of metabolic syndrome (MS) and its
clinical interrelations in polycystic ovary syndrome (PCOS). METHODS: This was a
cross-sectional, prospective study with 100 patients with diagnosed PCOS based on
the consensus of Rotterdam (2003). We investigated the interrelationships of MS,
with intrinsic PCOS data. Dermatological profile was analyzed, in addition to
acanthosis nigricans (AN) in the presence of hirsutism and acne. The use of HOMA
IR (homeostatic model assessment of insulin resistance) aimed at the correlation
with MS in order to establish the metabolic dysfunction with the state of insulin
resistance. RESULTS: The mean and standard deviations corresponding figures for
age, body mass index and waist circumference were, respectively, 25.72 (+/-
4.87), 30.63 (+/- 9.31) and 92.09 (+/- 18.73). The prevalence of MS was 36% and
significantly correlated with BMI, AN, and in 51% of patients the state of
insulin resistance (HOMA-IR). Regarding skin profile, only AN significant
correlation with MS. CONCLUSION: We propose the routine inspection of metabolic
components related to severe PCOS. These parameters configure the cardiovascular
risk and such conduct is of undoubted importance to public health.
PMID- 24918724
TI - Influence of distal ileum exclusion on hepatic and renal functions in presence of
extrahepatic cholestasis.
AB - OBJECTIVE: To verify whether the ileal exclusion interferes with liver and kidney
functional changes secondary to extrahepatic cholestasis. METHODS: We studied 24
rats, divided into three groups with eight individuals each: Group 1 (control),
Group 2 (ligation of the hepatic duct combined with internal biliary drainage),
and Group 3 (bile duct ligation combined with internal biliary drainage and
exclusion of the terminal ileum). Animals in Group 1 (control) underwent sham
laparotomy. The animals of groups 2 and 3 underwent ligation and section of the
hepatic duct and were kept in cholestasis for four weeks. Next, they underwent an
internal biliary bypass. In Group 3, besides the biliary-enteric bypass, we
associated the exclusion of the last ten centimeters of the terminal ileum and
carried out an ileocolic anastomosis. After four weeks of monitoring, blood was
collected from all animals of the three groups for liver and kidney biochemical
evaluation (albumin, ALT, AST, direct and indirect bilirubin, alkaline
phosphatase, cGT, creatinine and urea). RESULTS: there were increased values of
ALT, AST, direct bilirubin, cGT, creatinine and urea in rats from Group 3 (p <
0.05). CONCLUSION: ileal exclusion worsened liver and kidney functions in the
murine model of extrahepatic cholestasis, being disadvantageous as therapeutic
procedure for cholestatic disorders.
PMID- 24918726
TI - Effects of splenic allograft in lipid profile of non-splenectomized rats: the
immune and metabolic role of the "double spleen".
AB - OBJECTIVE: To elucidate the role of the spleen and splenic allograft in lipid
control and evaluate its effect on the lipid profile of rats. METHOD: 32 male
Wistar rats were randomly assigned into four groups: control group (1), total
splenectomy group (2), splenectomy and implantation of allograft group (3) and
double spleen group (4). Each group was subdivided into two subgroups: A and B,
based on the death of the animals after 30 or 120 days of monitoring. The
procedures in groups 2, 3 and 4 were made simultaneously, and splenectomized
animals, groups 2 and 3 were donors, respectively, for the animals of groups 3
and 4. In group 4 the spleen was preserved and the animals received implants from
the spleens of rats from group 3. The regeneration of splenic tissue was
evaluated by macroscopic and microscopic analyzes of the grafts and own spleens,
as well as with measurements of VLDL, HDL, LDL, total cholesterol and
triglycerides. RESULTS: after 120 days, Group 4 showed levels of total
cholesterol and LDL lower than the other groups. Group 1 had higher levels of
lipids. CONCLUSION: The technique of double spleen was effective in the control
of lipid metabolism, corroborating the function of the spleen as a reserve of
lipids.
PMID- 24918725
TI - Liver regeneration with l-glutamine supplemented diet: experimental study in
rats.
AB - OBJECTIVE: To assess liver regeneration in rats after 60% hepatectomy with and
without supplementation of L-glutamine through liver weight changes, laboratory
parameters and histological study. METHODS: 36 male rats were divided into two
groups: glutamine group and control group. Each group was subdivided into three
subgroups, with death in 24h, 72h and seven days. The glutamine group received
water and standard diet supplemented with L-glutamine, and the control recieved
0.9% saline. In all subgroups analysis of liver regeneration was made by the Kwon
formula, study of liver function (AST, ALT, GGT, total bilirubin, indirect and
indirect bilirubin and albumin) and analysis of cell mitosis by hematoxylin
eosin. RESULTS: In both groups there was liver regeneration by weight gain. Gamma
GT increased significantly in the control group (p < 0.05); albumin increased in
the glutamine group. The other indicators of liver function showed no significant
differences. Histological analysis at 72h showed a higher number of mitoses in
the glutamine group, with no differences in other subgroups. CONCLUSION: Diet
supplementation with L glutamine is beneficial for liver regeneration.
PMID- 24918727
TI - Effects of low-level laser therapy on wound healing.
AB - OBJECTIVE: To gather and clarify the actual effects of low-level laser therapy on
wound healing and its most effective ways of application in human and veterinary
medicine. METHODS: We searched original articles published in journals between
the years 2000 and 2011, in Spanish, English, French and Portuguese languages,
belonging to the following databases: Lilacs, Medline, PubMed and Bireme; Tey
should contain the methodological description of the experimental design and
parameters used. RESULTS: doses ranging from 3 to 6 J/cm(2) appear to be more
effective and doses 10 above J/cm(2) are associated with deleterious effects. The
wavelengths ranging from 632.8 to 1000 nm remain as those that provide more
satisfactory results in the wound healing process. CONCLUSION: Low-level laser
can be safely applied to accelerate the resolution of cutaneous wounds, although
this fact is closely related to the election of parameters such as dose, time of
exposure and wavelength.
PMID- 24918728
TI - Vulvar reconstruction should be performed using gluteal-fold perforator flap
because of less morbidities and complications.
AB - The authors present a case of bilateral vulvar defects after abrasion of
malignant skin neoplasm, reconstructed with a gluteal-fold perforator flap,
resulting in a successful outcome.
PMID- 24918729
TI - Low-fidelity bench models for basic surgical skills training during undergraduate
medical education.
AB - It is remarkable the reduction in the number of medical students choosing general
surgery as a career. In this context, new possibilities in the field of surgical
education should be developed to combat this lack of interest. In this study, a
program of surgical training based on learning with models of low-fidelity bench
is designed as a complementary alternative to the various methodologies in the
teaching of basic surgical skills during medical education, and to develop
personal interests in career choice.
PMID- 24918730
TI - Point and Interval Estimators of the Target Dose in Clinical Dose-Finding Studies
with Active Control.
AB - In a clinical dose finding study with active control a new drug with several dose
levels is compared with an active comparator drug. The main focus of such studies
often lies on the estimation of a target dose that leads to the same efficacy as
the control. This article investigates the finite sample properties of the
maximum likelihood estimation of the target dose and compares several approaches
for constructing corresponding confidence intervals under the assumption of a
linear dose-response curve and normal error terms. Furthermore, the impact of
deviations from the model assumptions regarding the error distribution is
explored.
PMID- 24918731
TI - Neutralizing the detrimental effect of glutathione on precious metal catalysts.
AB - We report our efforts to enable transition-metal catalysis in the presence of
cellular debris, notably Escherichia coli cell free extracts and cell lysates.
This challenging goal is hampered by the presence of thiols, mainly present in
the form of glutathione (GSH), which poison precious metal catalysts. To overcome
this, we evaluated a selection of oxidizing agents and electrophiles toward their
potential to neutralize the detrimental effect of GSH on a Ir-based transfer
hydrogenation catalyst. While the bare catalyst was severely inhibited by
cellular debris, embedding the organometallic moiety within a host protein led to
promising results in the presence of some neutralizing agents. In view of its
complementary to natural enzymes, the asymmetric imine reductase based on the
incorporation of a biotinylated iridium pianostool complex within streptavidin
(Sav) isoforms was selected as a model reaction. Compared to purified protein
samples, we show that pretreatment of cell free extracts and cell lysates
containing Sav mutants with diamide affords up to >100 TON's and only a modest
erosion of enantioselectivity.
PMID- 24918732
TI - When our vocabulary changed.
PMID- 24918733
TI - Evaluation of wait times for patients seeking cosmetic and reconstructive breast
surgery.
AB - BACKGROUND: Patients seeking cosmetic or reconstructive procedures in plastic
surgery typically face significant wait times for consultations. Little attention
has been given to potential disparities in wait times between elective cosmetic
and reconstructive procedures. In this initial pilot study, we audited a broad
sample of plastic surgery offices within a single state for wait times in initial
consultations for both breast reconstruction and breast augmentation. METHODS: A
sample of board-certified plastic surgeons was audited from the American Society
of Plastic Surgeons (ASPS) Web site that listed both cosmetic and reconstructive
breast surgery. Scripted patient telephone calls were made to 67 plastic surgery
clinics within a single state on May 2012. Two calls separated by 7 days were
made to each office by the same actor seeking an initial appointment for either
breast reconstruction or breast augmentation. Wait times were calculated from the
date of the call until the date of appointment offered. RESULTS: There were 72
paired calls completed on 36 plastic surgery clinics. Significant disparities in
appointment wait times existed between elective cosmetic versus reconstructive
procedures (P = 0.02). Mean wait times for breast reconstruction consultation
(26.1 days) were significantly longer than mean consultation wait times for
breast augmentation (20.9 days). Interestingly, 17.9% of offices contacted no
longer perform certain procedures currently advertised on the ASPS Web site.
CONCLUSIONS: Disparities exist in access to care between patients seeking
elective breast augmentation and reconstruction after mastectomy. Patients
seeking breast augmentation have more rapid access to plastic surgeons. This
study did not evaluate possible explanations for the observed differences.
Potential causes may include physician preference and compensation benefits for
cosmetic procedures.
PMID- 24918734
TI - Body mass index as a continuous predictor of outcomes after expander-implant
breast reconstruction.
AB - BACKGROUND: Studies show that obesity is a risk factor for complications after
expander/implant breast reconstructions. However, reports vary on the precise
threshold of body mass index (BMI) as a predictor of heightened risk. We
endeavored to link BMI as a continuous variable to overall complications in a
single-surgeon series of expander-implant reconstructions. METHODS: From 399
patients undergoing expander-implant reconstruction, 551 breasts were stratified
to normal weight, overweight, and obese groups for analysis and comparison with
previous studies. Logistic regression was performed to predict changes to risk
profile per increment of BMI. RESULTS: Complication rates for obese and
overweight patients were significantly greater than for normal weight patients,
that is, 21.1% and 24.0% versus 10.4%, respectively (P < 0.005). A unit increase
in BMI predicted a 5.9% increase in the odds of a complication occurring, and
7.9% increase in the odds of reconstruction ending in failure. CONCLUSIONS: By
expanding the analysis of BMI to include patients who do not meet the traditional
definition of obesity (BMI >= 30 kg/m), we demonstrated that simply overweight
patients (25 <= BMI < 30 kg/m) had an elevated complication rate. Moreover,
through regression analysis, we established that BMI as a continuous variable
predicts outcomes from expander-based breast reconstruction.
PMID- 24918735
TI - Experience of using cultured epithelial autografts for the extensive burn wounds
in eight patients.
AB - In Japan, the cultured epithelial autografts "JACE" was accepted as a health
insurance adaptation from January 1, 2009. We examined the extensive burn wounds
in 8 patients by using a combination of autograft and JACE. After debridement, we
managed the wound bed preparation by using artificial dermis. The wound bed was
covered with fine tissue 2 weeks after we implanted artificial dermis and
trafermin was used every day. Meshed 6:1 split-thickness autografts were placed
onto the recipient wound bed under the JACE. The epidermalization was nearly
complete within 3 to 4 weeks. RESULTS: A total of 39 patients underwent medical
treatment of burns. All patients burned more than 30% total body surface area
(TBSA). We divided them into 2 groups. The control group consisted of 31 patient,
23 men and 8 women. They underwent operation not using JACE but only autograft.
The average age of the patients was 59.61 (3.85) years. The TBSA burned in this
control group was 58.94% (3.89%). Operation times were 2.16 (0.24) hours. The
overall survival rate was 35.5%. The study group consisted of 8 patients, 5 men
and 3 women. The average age of the patients was 56.38 (7.04) years. The TBSA
burned in this study group was 51.63% (4.17%). Operation times were 4.25 (0.59)
hours, and the overall survival rate in this study group was 87.5%. The average
take rate of JACE was 80.0% (3.09%) 4 weeks postoperatively. CONCLUSIONS: JACE is
one of the cultured epithelial autografts. Although we managed the wound bed
preparation by using artificial dermis instead of cryopreserved cadaver
allograft, we were able to recognize a good result from grafting JACE on meshed
6:1 split-thickness autografts. The study group observed a significant difference
in operation times compared with the control group. However, this treatment
contributed to reducing the area of the donor site.
PMID- 24918736
TI - Bilateral propeller flap closure of large meningomyelocele defects.
AB - BACKGROUND: Meningomyelocele is a defect of the spinal cord, vertebral spine, and
overlying skin and is the most common form of spinal dysraphism. Multiple methods
of soft tissue closure for larger myelomeningocele defects have been described,
including skin grafting, random fasciocutaneous flaps, skin undermining with
relaxing incisions, and musculocutaneous flaps. Most current methods for closure
of defects of 8 cm and greater and kyphotic spines usually remains inadequate. In
this study, we present our clinical experience with a new surgical procedure,
bilateral propeller (BP) flaps based on dorsal intercostal and lumbar artery
perforator, for the closure of large thoracolumbar meningomyelocele defects.
PATIENTS AND METHOD: Between January 2011 and April 2012, 7 newborns (5 males and
2 females) with thoracolumbar large meningomyelocele were included in the study.
Six patients had lumbar kyphosis. Myelomeningocele defects with a mean size of
89.3 cm (range, 58.9-136.8) were closed with BP flaps. RESULTS: All flaps
survived; hematoma, seroma, wound dehiscence, flap necrosis, or infection was not
observed. No patients required any surgical revisions. The patients had a follow
up of 4 to 16 months with a mean of 10 months, and no long-term complications,
including necrosis of flap edges, wound breakdown, or instability, have been
apparent in our series. CONCLUSIONS: We believe that the BP flaps represent a
useful tool in the management of soft tissue defects associated with especially
kyphotic large thoracolumbar and lumbosacral myelomeningoceles.
PMID- 24918737
TI - Patient perceptions on physician reimbursement in plastic surgery.
AB - BACKGROUND: Public perception on physician reimbursement may be that considerable
payments are received for procedures: a direct contrast to the actual decline. We
aim to investigate patient perceptions toward plastic surgeon reimbursements from
insurance companies. METHODS: A survey of 4 common, single-staged procedures was
administered to 140 patients. Patients were asked for their opinion on current
insurance company reimbursement fees and what they believed the reimbursement fee
should be. RESULTS: Eighty-four patients completed the survey. Patients estimated
physician's reimbursements at 472% to 1061% more for breast reduction, 347% to
770% for abdominal hernia reconstruction, 372% to 787% for panniculectomy, and
290% to 628% for mandibular fracture repair. Despite these perceived higher-than
actual-fee payments, 87% of patients thought reimbursements should still be
higher. CONCLUSIONS: Patients surveyed overestimated plastic surgery procedure
fees by 290% to 1061%. Patients should be informed and educated regarding current
fee schedules to plastic surgeons to correct current misconceptions.
PMID- 24918738
TI - Use of temporal morphomic indices as a clinically important variable in the
diagnosis of nonsyndromic craniosynostosis.
AB - INTRODUCTION: Preoperative computed tomography (CT) evaluation of patients with
nonsyndromic craniosynostosis (NSC) has focused on the bony cranial vault while
ignoring the surrounding soft tissues. In this study, we posit that novel CT
derived temporal muscle and temporal fat pad morphomics (tissue thickness, area,
and volume) can be used to calculate temporal morphomic indices (TMIs), which are
unique to each NSC subtype (metopic, coronal, and sagittal) and divergent from
normal individuals. METHODS: High-throughput image analysis was used to
reconstruct the 3-dimensional anatomy and quantify a TMI. These steps were
completed in a semiautomated method using algorithms programmed in MATLAB v13.0.
Differences in TMI across various craniosynostosis subtypes were assessed using
Wilcoxon nonparametric tests for both patients with NSC and a control cohort of
patients with trauma. RESULTS: Using preoperative CT images, we evaluated 117
children with NSC from the University of Michigan Health System and 50 age
matched control patients between 1999 and 2011. Results indicate significant
differences in TMI among the normal and NSC groups, with normal patients having
significantly higher TMI values than patients with metopic, sagittal, and coronal
synostosis. In addition, significant differences were found to exist between each
craniosynostosis category. CONCLUSIONS: Patients with craniosynostosis
demonstrate diminished temporalis muscle and overlying fat pad volume and
thickness compared with control patients. The unique changes in temporal
morphomics presented in this article demonstrate not only that the bony calvaria
is affected by craniosynostosis but also that there exist quantifiable
aberrations in the temporalis muscle and temporal fat pad. The methodologies
described offer a novel methodology to use pre-existing CT scans to glean
additional preoperative information on the soft tissue characteristics of
patients with craniosynostosis.
PMID- 24918739
TI - Chest wall, thymus, and heart vascularized composite allograft proof of concept
cadaveric model for heart transplantation.
AB - The use of vascularized composite allografts allows for the reconstruction of
complex scenarios that previously have required multistaged operations. Heart
transplantation often follows a series of previous operations leading to chest
wall deformities and significant mediastinal adhesions that can limit the use of
larger hearts, making it difficult to find a suitable donor. Further, research
has shown that the use of vascularized bone marrow and vascularized thymus in
transplantation potentially prolongs graft survival with decreased
immunosuppression requirements. The authors propose using a vascularized
composite allograft of the chest wall consisting of sternum and thymus in
conjunction with the heart for cardiac transplantation to allow for more
flexibility from the donor pool, maintain chest wall integrity and physiology,
and potentially immunoregulate the concomitant solid organ transplant.
PMID- 24918740
TI - The quality of aesthetic surgery training in plastic surgery residency.
PMID- 24918741
TI - The quality of aesthetic surgery training in plastic surgery residency: a survey
among residents in Germany: reply.
PMID- 24918742
TI - Relative positioning of classical benzodiazepines to the gamma2-subunit of GABAA
receptors.
AB - GABAA receptors are the major inhibitory neurotransmitter receptors in the brain.
Benzodiazepine exert their action via a high affinity-binding site at the
alpha/gamma subunit interface on some of these receptors. Diazepam has sedative,
hypnotic, anxiolytic, muscle relaxant, and anticonvulsant effects. It acts by
potentiating the current evoked by the agonist GABA. Understanding specific
interaction of benzodiazepines in the binding pocket of different GABAA receptor
isoforms might help to separate these divergent effects. As a first step, we
characterized the interaction between diazepam and the major GABAA receptor
isoform alpha1beta2gamma2. We mutated several amino acid residues on the gamma2
subunit assumed to be located near or in the benzodiazepine binding pocket
individually to cysteine and studied the interaction with three ligands that are
modified with a cysteine-reactive isothiocyanate group (-NCS). When the reactive
NCS group is in apposition to the cysteine residue this leads to a covalent
reaction. In this way, three amino acid residues, gamma2Tyr58, gamma2Asn60, and
gamma2Val190 were located relative to classical benzodiazepines in their binding
pocket on GABAA receptors.
PMID- 24918743
TI - Effects of IL-1beta-Blocking Therapies in Type 2 Diabetes Mellitus: A
Quantitative Systems Pharmacology Modeling Approach to Explore Underlying
Mechanisms.
AB - Recent clinical studies suggest sustained treatment effects of interleukin-1beta
(IL-1beta)-blocking therapies in type 2 diabetes mellitus. The underlying
mechanisms of these effects, however, remain underexplored. Using a quantitative
systems pharmacology modeling approach, we combined ex vivo data of IL-1beta
effects on beta-cell function and turnover with a disease progression model of
the long-term interactions between insulin, glucose, and beta-cell mass in type 2
diabetes mellitus. We then simulated treatment effects of the IL-1 receptor
antagonist anakinra. The result was a substantial and partly sustained
symptomatic improvement in beta-cell function, and hence also in HbA1C, fasting
plasma glucose, and proinsulin-insulin ratio, and a small increase in beta-cell
mass. We propose that improved beta-cell function, rather than mass, is likely to
explain the main IL-1beta-blocking effects seen in current clinical data, but
that improved beta-cell mass might result in disease-modifying effects not
clearly distinguishable until >1 year after treatment.
PMID- 24918745
TI - Burden of seasonal influenza in children with neurodevelopmental conditions.
AB - BACKGROUND: Studies have identified certain neurologic and neurodevelopmental
conditions (NNC) as risk factors for severe influenza infection. The Canadian
National Advisory Committee on Immunization does not currently recognize children
with NNC as having a high risk of complicated influenza infection unless their
condition compromises handling of respiratory secretions. We describe the burden
of influenza in hospitalized children with NNC, focusing on those without
potential airway compromise. METHODS: Using multi-year surveillance data obtained
by the Canadian Immunization Monitoring Program, Active (IMPACT), we examined
presenting signs and symptoms, risk factors and outcomes of children hospitalized
with seasonal influenza at 12 Canadian pediatric referral centers. Comparisons
were made between children with various NNC and other medical conditions, with
and without influenza vaccine indications. The analysis is descriptive with
selected comparisons made among groups for important indicators of disease
severity. RESULTS: We identified 1991 children hospitalized with influenza over 5
seasons: 293 had NNC, 115 of whom did not have airway compromise or another
vaccine indication. The latter group presented with seizures more frequently than
those with NNC and a vaccine indication (41.7% vs. 26.4%; P = 0.006) and required
intensive care unit admission (20.9% vs. 11.8%; P = 0.02) and mechanical
ventilation (14.8% vs. 4.5%; P < 0.001) more often than children without NNC but
with a vaccine indication. CONCLUSIONS: The burden of influenza infection in
children with NNC, even those whose conditions do not obviously compromise
respiratory function, is significant. All children with NNC should be recognized
as having a high risk of complicated influenza infection and be targeted to
receive influenza immunization.
PMID- 24918744
TI - Association of serum vitamin D with symptoms of depression and anxiety in early
pregnancy.
AB - Abstract Objective: To evaluate associations between early pregnancy 25
hydroxyvitamin D (25[OH]D) concentrations and antepartum depression and anxiety
symptoms and potential modifiers thereof. MATERIALS AND METHODS: In a pregnancy
cohort (N=498), we examined cross-sectional associations of early pregnancy
(mean=15.4 weeks gestation) serum 25[OH]D concentrations and depression and
anxiety symptoms. Symptoms were measured using Depression, Anxiety, and Stress
Scales (DASS-21) and Patient Health Questionnaire Depression Module (PHQ-9)
instruments. Regression models were fit and effect modification by prepregnancy
body mass index and leisure-time physical activity (LTPA) were assessed using
interaction terms and stratified analyses. RESULTS AND DISCUSSION: Mean 25[OH]D
concentration was 34.4 ng/mL. Approximately 12% had "moderate" anxiety (score >=
10) and depression (score >= 10) symptoms by DASS-21 Anxiety and PHQ-9
instruments, respectively. A 1 ng/mL lower 25[OH]D was associated with 0.043 and
0.040 higher DASS-21 Anxiety and PHQ-9 Scores (p-values=0.052 and 0.029,
respectively). Participants in the lowest quartile of 25[OH]D (<28.9 ng/mL) had
1.11 higher PHQ-9 scores than those in the highest quartile (>= 39.5 ng/mL,
p<0.05). However, associations were attenuated and statistically insignificant in
fully adjusted models. Inverse associations of 25[OH]D with depression symptoms
were significant among participants who reported no LTPA, but not among women who
reported any LTPA (interaction p=0.018). CONCLUSIONS: Our study provides modest
evidence for inverse cross-sectional associations of early pregnancy maternal
vitamin D concentrations with antepartum depression symptoms. We also observed
that these associations may be modified by physical activity.
PMID- 24918746
TI - Rifampin hypersensitivity in a 2-year-old child with successful rapid oral
desensitization.
PMID- 24918747
TI - Tuberculous osteomyelitis: a rare form of paradoxical reaction.
PMID- 24918748
TI - Structure and solid solution properties of Cu-Ag nanoalloys.
AB - The nanoparticle phase diagram of an immiscible system is studied at the atomic
level. Cu-Ag clusters with sizes 1000 and 2000 atoms, resulting from a global
minimum search and belonging to icosahedral and crystalline structural motifs,
are considered. We present the statistical analysis of the effect of temperature
on the solubility of the two elements based on Metropolis Monte Carlo importance
sampling. Our results suggest that the relevance of bulk phase diagrams to
nanoparticles is limited to cases where the internal stress distribution does not
deviate very much from uniform (e.g. sufficiently large crystalline clusters). In
the general case, the principal interdependence between partial phase
compositions and the overall cluster composition in nanoparticle phase diagrams
need to be taken into account.
PMID- 24918749
TI - Human myocardium releases heat shock protein 27 (HSP27) after global ischemia:
the proinflammatory effect of extracellular HSP27 through toll-like receptor
(TLR)-2 and TLR4.
AB - The myocardial inflammatory response contributes to cardiac functional injury
associated with heart surgery obligating global ischemia/reperfusion (I/R). Toll
like receptors (TLRs) play an important role in the mechanism underlying
myocardial I/R injury. The aim of this study was to examine the release of small
constitutive heat shock proteins (HSPs) from human and mouse myocardium after
global ischemia and examine the role of extracellular small HSP in myocardial
injury. HSP27 release was assessed by enzyme-linked immunosorbent assay. Anti
HSP27 was applied to evaluate the role of extracellular HSP27 in the postischemic
inflammatory response and functional injury in mouse hearts. Isolated hearts and
cultured coronary vascular endothelial cells were exposed to recombinant HSP27 to
determine its effect on proinflammatory signaling and production of
proinflammatory mediators. HSP27 levels were markedly elevated in coronary sinus
blood of patients and in coronary effluent of mouse hearts after global ischemia.
Neutralizing extracellular HSP27 suppressed myocardial nuclear factor (NF)-kappaB
activation and interleukin (IL)-6 production and improved cardiac function in
mouse hearts. Perfusion of HSP27 to mouse hearts induced NF-kappaB activation and
IL-6 production and depressed contractility. Further, recombinant HSP27 induced
NF-kappaB phosphorylation and upregulated monocyte chemoattractant protein (MCP)
1 and intercellular adhesion molecule (ICAM)-1 production in both human and mouse
coronary vascular endothelial cells. TLR2 knockout (KO) or TLR4 mutation
abolished NF-kappaB phosphorylation and reduced MCP-1 and ICAM-1 production
induced by extracellular HSP27 in endothelial cells. In conclusion, these results
show that the myocardium releases HSP27 after global ischemia and that
extracellular HSP27 is proinflammatory and contributes to the inflammatory
mechanism of myocardial functional injury. Both TLR2 and TLR4 are involved in
mediating the proinflammatory effect of extracellular HSP27.
PMID- 24918750
TI - Individual-based ant-plant networks: diurnal-nocturnal structure and species-area
relationship.
AB - Despite the importance and increasing knowledge of ecological networks, sampling
effort and intrapopulation variation has been widely overlooked. Using continuous
daily sampling of ants visiting three plant species in the Brazilian Neotropical
savanna, we evaluated for the first time the topological structure over 24 h and
species-area relationships (based on the number of extrafloral nectaries
available) in individual-based ant-plant networks. We observed that diurnal and
nocturnal ant-plant networks exhibited the same pattern of interactions: a nested
and non-modular pattern and an average level of network specialization. Despite
the high similarity in the ants' composition between the two collection periods,
ant species found in the central core of highly interacting species totally
changed between diurnal and nocturnal sampling for all plant species. In other
words, this "night-turnover" suggests that the ecological dynamics of these ant
plant interactions can be temporally partitioned (day and night) at a small
spatial scale. Thus, it is possible that in some cases processes shaping
mutualistic networks formed by protective ants and plants may be underestimated
by diurnal sampling alone. Moreover, we did not observe any effect of the number
of extrafloral nectaries on ant richness and their foraging on such plants in any
of the studied ant-plant networks. We hypothesize that competitively superior
ants could monopolize individual plants and allow the coexistence of only a few
other ant species, however, other alternative hypotheses are also discussed.
Thus, sampling period and species-area relationship produces basic information
that increases our confidence in how individual-based ant-plant networks are
structured, and the need to consider nocturnal records in ant-plant network
sampling design so as to decrease inappropriate inferences.
PMID- 24918751
TI - A comparison of facial color pattern and gazing behavior in canid species
suggests gaze communication in gray wolves (Canis lupus).
AB - As facial color pattern around the eyes has been suggested to serve various
adaptive functions related to the gaze signal, we compared the patterns among 25
canid species, focusing on the gaze signal, to estimate the function of facial
color pattern in these species. The facial color patterns of the studied species
could be categorized into the following three types based on contrast indices
relating to the gaze signal: A-type (both pupil position in the eye outline and
eye position in the face are clear), B-type (only the eye position is clear), and
C-type (both the pupil and eye position are unclear). A-type faces with light
colored irises were observed in most studied species of the wolf-like clade and
some of the red fox-like clade. A-type faces tended to be observed in species
living in family groups all year-round, whereas B-type faces tended to be seen in
solo/pair-living species. The duration of gazing behavior during which the facial
gaze-signal is displayed to the other individual was longest in gray wolves with
typical A-type faces, of intermediate length in fennec foxes with typical B-type
faces, and shortest in bush dogs with typical C-type faces. These results suggest
that the facial color pattern of canid species is related to their gaze
communication and that canids with A-type faces, especially gray wolves, use the
gaze signal in conspecific communication.
PMID- 24918752
TI - A comparison of the ability of levels of urinary biomarker proteins and exosomal
mRNA to predict outcomes after renal transplantation.
AB - BACKGROUND: mRNA for biomarkers of kidney injury extracted from urinary exosomes
may reflect or predict levels of the corresponding protein after transplantation
and clinical outcomes. METHODS: Urinary exosomes were isolated from patients
following renal transplantation, from healthy controls, and patients with CKD.
Expression of exosomal mRNA for the injury biomarkers neutrophil gelatinase
associated lipocalin (NGAL), interleukin-18 (IL-18), kidney injury molecule-1
(KIM-1), and cystatin C was compared with the concentrations of corresponding
urinary proteins, 18S RNA and serum creatinine. RESULTS: All biomarker protein
concentrations increased after transplantation, and urinary NGAL and IL-18 at 24
and 168 h correlated with the day 7 creatinine reduction ratio (CRR). Exosomal18S
RNA increased after transplantation, but exosomal mRNA for NGAL, IL-18 and
cystatin C did not correlate with the day 7 CRR, or urinary biomarker
concentrations at any time after transplantation. Exosomal NGAL mRNA was lower 4
h after transplantation than in control exosomes. In contrast, exosomal mRNA for
cystatin C was unchanged after transplantation and in CKD, although urinary
cystatin C temporarily increased following transplantation. Urinary KIM-1
increased after transplantation, but exosomal mRNA for KIM-1 remained
undetectable. In CKD 18S RNA was raised, and exosomal mRNA for NGAL, IL-18 and
cystatin C was detected in all patients. While urinary NGAL was greater in CKD
than control subjects, exosomal NGAL mRNA was unchanged. Exosomal IL-18 mRNA was
increased in CKD, but not IL-18 protein. CONCLUSIONS: After renal
transplantation, urinary NGAL and IL-18 levels reflect the day 7 CRR. However,
while mRNA for these biomarkers is present in exosomes, their levels do not
reflect or predict urinary biomarker levels or the CRR. This likely reflects the
fact that packaging of mRNA in exosomes is selective, and is not necessarily
representative of mRNA in the parent cells responsible for biomarker production.
PMID- 24918753
TI - Alpha-2-glycoprotein 1(AZGP1) regulates biological behaviors of LoVo cells by
down-regulating mTOR signaling pathway and endogenous fatty acid synthesis.
AB - AZGP1 is a multifaceted protein associated with lipid mobilization, a process
that is regulated by FASN and other metabolic pathways such as mTOR signaling.
The active mTOR signaling pathway has been found to be involved in a variety of
tumors. However, it remains unclear whether it is involved in the regulation of
AZGP1 and FASN. An AZGP1-expressing plasmid was transfected into a human
colorectal cancer cell line (LoVo) with a low expression of AZGP1. The expression
of AZGP1, FASN, eIF4E, p-mTOR, p-S6,and S6K1 were measured by Western blot
analysis, and target genes were detected by RT-PCR. Cell proliferation was
studied using the MTT and colony formation assays. The analysis of apoptosis and
the cell cycle phase were assessed by flow cytometry. The capacity of cell
migration was investigated using the transwell migration assay. We found that the
expression of AZGP1 was up-regulated while the expression of FASN, eIF4E, p-mTOR,
p-S6, and S6K1 were down-regulated in LoVo cells after AZGP1 was expressed. The
proliferation of malignant cells was reduced in AZGP1-overexpression cells, which
is consistent with an increased in the G2-arrest and apoptosis rate. Furthermore,
the migration of AZGP1-overexpression cells was decreased. The overexpression of
AZGP1 suppressed the activation of the mTOR pathway and endogenous FASN-regulated
fatty acid synthesis, mitigating the malignant phenotype of LoVo cells. Herein,
we provide evidence that AZGP1 may constitute a novel tumor suppressor for LoVo
colorectal cancer cells.
PMID- 24918754
TI - Definite differences between in vitro actin-myosin sliding and muscle contraction
as revealed using antibodies to myosin head.
AB - Muscle contraction results from attachment-detachment cycles between myosin heads
extending from myosin filaments and actin filaments. It is generally believed
that a myosin head first attaches to actin, undergoes conformational changes to
produce force and motion in muscle, and then detaches from actin. Despite
extensive studies, the molecular mechanism of myosin head conformational changes
still remains to be a matter for debate and speculation. The myosin head consists
of catalytic (CAD), converter (CVD) and lever arm (LD) domains. To give
information about the role of these domains in the myosin head performance, we
have examined the effect of three site-directed antibodies to the myosin head on
in vitro ATP-dependent actin-myosin sliding and Ca2+-activated contraction of
muscle fibers. Antibody 1, attaching to junctional peptide between 50K and 20K
heavy chain segments in the CAD, exhibited appreciable effects neither on in
vitro actin-myosin sliding nor muscle fiber contraction. Since antibody 1 covers
actin-binding sites of the CAD, one interpretation of this result is that rigor
actin-myosin linkage is absent or at most a transient intermediate in
physiological actin-myosin cycling. Antibody 2, attaching to reactive lysine
residue in the CVD, showed a marked inhibitory effect on in vitro actin-myosin
sliding without changing actin-activated myosin head (S1) ATPase activity, while
it showed no appreciable effect on muscle contraction. Antibody 3, attaching to
two peptides of regulatory light chains in the LD, had no significant effect on
in vitro actin-myosin sliding, while it reduced force development in muscle
fibers without changing MgATPase activity. The above definite differences in the
effect of antibodies 2 and 3 between in vitro actin-myosin sliding and muscle
contraction can be explained by difference in experimental conditions; in the
former, myosin heads are randomly oriented on a glass surface, while in the
latter myosin heads are regularly arranged within filament-lattice structures.
PMID- 24918755
TI - Evaluation of Minnesota and Illinois hospital respiratory protection programs and
health care worker respirator use.
AB - The objective of this study was to assess respiratory protection programs for
aerosol-transmissible diseases in acute care hospitals for conformance with
regulatory requirements and public health guidelines. Twenty-eight representative
hospitals were selected by size, location, and ownership in Minnesota and
Illinois. Interviews were conducted with 363 health care workers and 171 managers
from high-risk departments. Written programs from each hospital were reviewed for
required elements. Seventy-seven health care workers were observed donning and
doffing a FFR. The most serious deficiency in many written programs was failure
to identify a program administrator. Most written programs lacked adequate
details about medical evaluation, fit-testing, and training and did not include a
comprehensive risk assessment for aerosol transmissible diseases; tuberculosis
was often the only pathogen addressed. Employees with the highest probability of
tuberculosis exposure were most likely to pick a respirator for close contact,
but higher levels of respiratory protection were rarely selected for aerosol
generating procedures. Surgical masks were most commonly selected for close
contact with droplet disease- or influenza-infected patients; better protection
(e.g., respirator) was rarely selected for higher-risk exposures. Most of the
observed health care workers had access to a NIOSH-certified N95 FFR, properly
positioned the facepiece, and formed the nose clip. The most frequent
deficiencies were failure to correctly place straps, perform a user seal check,
and remove the respirator using straps.
PMID- 24918756
TI - Involvement of increased endogenous asymmetric dimethylarginine in the hepatic
endoplasmic reticulum stress of type 2 diabetic rats.
AB - OBJECTIVE: Increasing evidence suggested that endoplasmic reticulum (ER) stress
contributes to insulin resistance, which plays an important role in the
development of type 2 diabetes mellitus (T2DM). Accumulation of endogenous nitric
oxide synthase (NOS) inhibitor, asymmetric dimethylarginine (ADMA), is associated
with insulin resistance, T2DM, and diabetic cardiovascular complications,
although the mechanisms have not been elucidated. This study was to determine
whether elevated endogenous ADMA is involved in hepatic ER stress of type 2
diabetic rats, verify their causal relationship, and elucidate the potential
mechanism underlying ADMA induced ER stress in rat hepatocytes. METHODS:
Immunoglobulin binding protein (Bip) transcription, eukaryotic initiation factor
2alpha kinase (eIF2alpha) phosphorylation, X box-binding protein-1 (XBP-1) mRNA
splicing and C/EBP homologues protein (CHOP) expression were measured to reflect
ER stress. Contents of ADMA and nitrite/nitrate as well as activities or
expression of NOS and dimethylarginine dimethylaminohydrolase (DDAH) were
detected to show the changes in DDAH/ADMA/NOS/NO pathway. The lipid peroxidation
product malondialdehyde content and antioxidant enzyme superoxide dismutase
activity were analyzed to evaluate oxidative stress. RESULTS: ER stress was
provoked in the liver of type 2 diabetic rats, as expressed by increases of Bip
transcription, eIF2alpha phosphorylation, XBP-1 splicing and CHOP expression, all
of which were in parallel with the elevation of serum ADMA, suppression of NO
generation, NOS and DDAH activities in the liver. Exposure of hepatocytes to ADMA
or hydrogen peroxide also induced ER stress, which was associated with the
inhibition of NO production and increase of oxidative stress. Treatment of
hepatocytes with antioxidant pyrrolidine dithiocarbamate not only decreased ADMA
induced oxidative stress and inhibition of NO production but also reduced ADMA
triggered ER stress. CONCLUSIONS: These results indicate that increased
endogenous ADMA contributes to hepatic ER stress in type 2 diabetic rats, and the
mechanism underlying ADMA-induced ER stress may relate to oxidative stress via
NOS uncoupling.
PMID- 24918757
TI - Creating a charter of collaboration for international university partnerships:
the Elmina Declaration for Human Resources for Health.
AB - The potential of international academic partnerships to build global capacity is
critical in efforts to improve health in poorer countries. Academic
collaborations, however, are challenged by distance, communication issues,
cultural differences, and historical context. The Collaborative Health Alliance
for Reshaping Training, Education, and Research project (funded by the Bill and
Melinda Gates Foundation and implemented through academic medicine and public
health and governmental institutions in Michigan and Ghana) took a prospective
approach to address these issues. The project had four objectives: to create a
"charter for collaboration" (CFC), to improve data-driven policy making, to
enhance health care provider education, and to increase research capacity. The
goal of the CFC was to establish principles to guide the course of the technical
work. All participants participated at an initial conference in Elmina, Ghana.
Nine months later, the CFC had been revised and adopted. A qualitative
investigation of the CFC's effects identified three themes: the CFC's unique
value, the influence of the process of creating the CFC on patterns of
communication, and the creation of a context for research and collaboration.
Creating the CFC established a context in which implementing technical
interventions became an opportunity for dialogue and developing a mutually
beneficial partnership. To increase the likelihood that research results would be
translated into policy reforms, the CFC made explicit the opportunities,
potential problems, and institutional barriers to be overcome. The process of
creating a CFC and the resulting document define a new standard in academic and
governmental partnerships.
PMID- 24918758
TI - AM last page: the urgency of physician workforce diversity.
PMID- 24918759
TI - SNAPPS-Plus: an educational prescription for students to facilitate formulating
and answering clinical questions.
AB - PURPOSE: To analyze the content and quality of PICO-formatted questions (Patient
Intervention-Comparison-Outcome), and subsequent answers, from students'
educational prescriptions added to the final SNAPPS Select step (SNAPPS-Plus).
METHOD: Internal medicine clerkship students at the University of Minnesota
Medical Center were instructed to use educational prescriptions to complement
their bedside SNAPPS case presentations from 2006 to 2010. Educational
prescriptions were collected from all eligible students and coded for topic of
uncertainty, PICO conformity score, presence of answer, and quality of answer.
Spearman rank-order correlation coefficient was used to compare ordinal
variables, Kruskal-Wallis test to compare distribution of PICO scores between
groups, and McNemar exact test to test for association between higher PICO scores
and presence of an answer. RESULTS: A total of 191 education prescriptions were
coded from 191 eligible students, of which 190 (99%) included a question and 176
(93%, 176/190) an answer. Therapy questions constituted 59% (112/190) of the
student-generated questions; 19% (37/190) were related to making a diagnosis.
Three-fifths of the questions (61%, 116/190) were scored either 7 or 8 on the 8
point PICO conformity scale. The quality of answers varied, with 37% (71/190)
meeting all criteria for high quality. There was a positive correlation between
the PICO conformity score and the quality of the answers (Spearman rank-order
correlation coefficient = 0.726; P < .001). CONCLUSIONS: The SNAPPS-Plus
technique was easily integrated into the inpatient clerkship structure and
guaranteed that virtually every case presentation following this model had a well
formulated question and answer.
PMID- 24918760
TI - Interprofessional global health education in a cosmopolitan community of North
America: the iCHEE experience.
AB - PROBLEM: The rapidly diversifying population of North America has disparate
health needs that are addressed by creative, community-based training of health
professions students. APPROACH: The authors report five years (2008-2012) of
experience implementing a novel interprofessional Community Health and Education
Exchange (iCHEE) elective course for dental, medical, nursing, nutrition,
pharmacy, physician assistant, and public health students at Oregon Health &
Science University (OHSU). This pioneering interprofessional course was created
by the OHSU Global Health Center and is offered in fall, winter, and spring
quarters. Students interact with individual clients drawn from community centers
supporting refugees, recent immigrants, and other underserved people. In addition
to health concerns, clients are encouraged to share backgrounds and experiences
with student teams. Clients receive guidance on nutrition, exercise,
pharmaceuticals, and accessible health services. Student teams perform a
noninvasive health check on clients with the assistance of faculty mentors who,
on finding a physical or mental health issue, refer the client from the
educational setting to an appropriate health care facility. OUTCOMES: In addition
to supporting health promotion and early intervention for medically underserved
people, students reported gaining valuable cross-cultural knowledge,
understanding, and experience from clients. Students also appreciated the value
of diverse skills and knowledge available in their multidisciplinary teams.
Through the end of 2012, over 300 health professions students worked with
approximately 1,200 clients to complete the iCHEE course. NEXT STEPS: The iCHEE
model should prove helpful in preparing health professions students at other
institutions to understand and serve diverse populations.
PMID- 24918761
TI - Increasing women in leadership in global health.
AB - Globally, women experience a disproportionate burden of disease and death due to
inequities in access to basic health care, nutrition, and education. In the face
of this disparity, it is striking that leadership in the field of global health
is highly skewed towards men and that global health organizations neglect the
issue of gender equality in their own leadership. Randomized trials demonstrate
that women in leadership positions in governmental organizations implement
different policies than men and that these policies are more supportive of women
and children. Other studies show that proactive interventions to increase the
proportion of women in leadership positions within businesses or government can
be successful. Therefore, the authors assert that increasing female leadership in
global health is both feasible and a fundamental step towards addressing the
problem of women's health. In this Perspective, the authors contrast the high
proportion of young female trainees who are interested in academic global health
early in their careers with the low numbers of women successfully rising to
global health leadership roles. The authors subsequently explore reasons for
female attrition from the field of global health and offer practical strategies
for closing the gender gap in global health leadership. The authors propose
solutions aimed to promote female leaders from both resource-wealthy and resource
poor countries, including leadership training grants, mentorship from female
leaders in global professions, strengthening health education in resource-poor
countries, research-enabling grants, and altering institutional policies to
support women choosing a global health career path.
PMID- 24918762
TI - Global faculty development: lessons learned from the Foundation for Advancement
of International Medical Education and Research (FAIMER) initiatives.
AB - Foundation for Advancement of International Medical Education and Research
(FAIMER) faculty development programs have operated since 2001 and are designed
to overcome many of the challenges inherent in global health collaborations,
including alignment with local needs, avoiding persistent dependency, and
development of trust. FAIMER fellowship programs, developed for midcareer faculty
members in all health professions from around the world, share goals of
strengthening knowledge and skills in education leadership, education methods,
and project management and evaluation. Building community is another explicit
goal that allows participants to support and learn from each other.The author
recommends several practices for successful international collaborations based on
13 years of experience with FAIMER fellowships. These include using authentic
education projects to maintain alignment with local needs and apply newly
acquired knowledge and skills, teaching leadership across cultures with careful
communication and adaptation of concepts to local environments, cultivating a
strong field of health professions education to promote diffusion of ideas and
advocate for policy change, intentionally promoting field development and
leadership to reduce dependency, giving generously of time and resources,
learning from others as much as teaching others, and recognizing that effective
partnerships revolve around personal relationships to build trust. These
strategies have enabled the FAIMER fellowship programs to stay aligned with local
needs, reduce dependency, and maintain trust.
PMID- 24918763
TI - Storage of human biospecimens: selection of the optimal storage temperature.
AB - Millions of biological samples are currently kept at low tempertures in
cryobanks/biorepositories for long-term storage. The quality of the biospecimen
when thawed, however, is not only determined by processing of the biospecimen but
the storage conditions as well. The overall objective of this article is to
describe the scientific basis for selecting a storage temperature for a
biospecimen based on current scientific understanding. To that end, this article
reviews some physical basics of the temperature, nucleation, and ice crystal
growth present in biological samples stored at low temperatures (-20 degrees C to
-196 degrees C), and our current understanding of the role of temperature on the
activity of degradative molecules present in biospecimens. The scientific
literature relevant to the stability of specific biomarkers in human fluid, cell,
and tissue biospecimens is also summarized for the range of temperatures between
20 degrees C to -196 degrees C. These studies demonstrate the importance of
storage temperature on the stability of critical biomarkers for fluid, cell, and
tissue biospecimens.
PMID- 24918764
TI - HIVE-hexagon: high-performance, parallelized sequence alignment for next
generation sequencing data analysis.
AB - Due to the size of Next-Generation Sequencing data, the computational challenge
of sequence alignment has been vast. Inexact alignments can take up to 90% of
total CPU time in bioinformatics pipelines. High-performance Integrated Virtual
Environment (HIVE), a cloud-based environment optimized for storage and analysis
of extra-large data, presents an algorithmic solution: the HIVE-hexagon DNA
sequence aligner. HIVE-hexagon implements novel approaches to exploit both
characteristics of sequence space and CPU, RAM and Input/Output (I/O)
architecture to quickly compute accurate alignments. Key components of HIVE
hexagon include non-redundification and sorting of sequences; floating diagonals
of linearized dynamic programming matrices; and consideration of cross-similarity
to minimize computations. AVAILABILITY: https://hive.biochemistry.gwu.edu/hive/
PMID- 24918767
TI - Cost-effectiveness of a WOC advanced practice nurse in the acute care and
outpatient setting.
AB - Increasing numbers of advanced practice nurses who practice within the WOC
specialty are challenged by the need to justify their role by demonstrating
clinical and fiscal benefits to the employing agency. This View From Here column
describes the steps I took while completing such an analysis for a position for a
nurse practitioner with WOC certification in upstate New York.
PMID- 24918765
TI - Recurrent respiratory papillomatosis: HPV genotypes and risk of high-grade
laryngeal neoplasia.
AB - Patients with recurrent respiratory papillomatosis (RRP) in Norway treated
between 1987 and 2009 were recruited to this cohort study. They were followed
from disease onset and data recorded until January 2012. Here, we describe the
distribution of human papillomavirus (HPV) genotypes, the prevalence of multiple
HPV infections, and the risk of high-grade laryngeal neoplasia and respiratory
tract invasive carcinoma in a large cohort of patients with RRP. We also examined
whether HPV genotype, gender, age or clinical course are risk factors for this
development. Clinical records and histological specimens were reviewed. Using
formalin-fixed paraffin-embedded biopsies, HPV genotyping were performed by
quantitative polymerase chain reaction assays identifying 15 HPV types. HPV
negative specimens were analyzed by metagenomic sequencing. Paraffin blocks were
available in 224/238 patients. The DNA quality was approved in 221/224 cases. HPV
DNA was detected in 207/221 patients and all were HPV 6 or HPV 11 positive,
comprising HPV 6 in 133/207, HPV 11 in 40/207 cases and HPV 6/11 in 15/207 cases.
Co-infection with one or two high-risk HPV types together with HPV 6 or HPV 11
was present in 19/207 patients. Metagenomic sequencing of 14 HPV-negative
specimens revealed HPV 8 in one case. In total, 39/221 patients developed high
grade laryngeal neoplasia. 8/221 patients developed carcinoma of the respiratory
tract (six patients with laryngeal carcinoma and two patients with lung
carcinoma). High-grade laryngeal neoplasias were found more frequently in HPV
negative versus HPV-positive patients, (RR = 2.35, 95% CI 1.1, 4.99), as well as
respiratory tract carcinomas (RR = 48, 95% CI 10.72, 214.91). In summary, the
majority of RRP were associated with HPV 6 and/or 11. HPV-negative RRP biopsies
occurred more frequently in adult-onset patients, and were associated with an
increased risk of laryngeal neoplasia and carcinoma in the respiratory tract.
PMID- 24918766
TI - Audio-tactile integration in congenitally and late deaf cochlear implant users.
AB - Several studies conducted in mammals and humans have shown that multisensory
processing may be impaired following congenital sensory loss and in particular if
no experience is achieved within specific early developmental time windows known
as sensitive periods. In this study we investigated whether basic multisensory
abilities are impaired in hearing-restored individuals with deafness acquired at
different stages of development. To this aim, we tested congenitally and late
deaf cochlear implant (CI) recipients, age-matched with two groups of hearing
controls, on an audio-tactile redundancy paradigm, in which reaction times to
unimodal and crossmodal redundant signals were measured. Our results showed that
both congenitally and late deaf CI recipients were able to integrate audio
tactile stimuli, suggesting that congenital and acquired deafness does not
prevent the development and recovery of basic multisensory processing. However,
we found that congenitally deaf CI recipients had a lower multisensory gain
compared to their matched controls, which may be explained by their faster
responses to tactile stimuli. We discuss this finding in the context of
reorganisation of the sensory systems following sensory loss and the possibility
that these changes cannot be "rewired" through auditory reafferentation.
PMID- 24918768
TI - A fungal conserved gene from the basidiomycete Hebeloma cylindrosporum is
essential for efficient ectomycorrhiza formation.
AB - We used Agrobacterium-mediated insertional mutagenesis to identify genes in the
ectomycorrhizal fungus Hebeloma cylindrosporum that are essential for efficient
mycorrhiza formation. One of the mutants presented a dramatically reduced ability
to form ectomycorrhizas when grown in the presence of Pinus pinaster. It failed
to form mycorrhizas in the presence of glucose at 0.5 g liter(-1), a condition
favorable for mycorrhiza formation by the wild-type strain. However, it formed
few mycorrhizas when glucose was replaced by fructose or when glucose
concentration was increased to 1 g liter(-1). Scanning electron microscopy
examination of these mycorrhizas revealed that this mutant was unable to
differentiate true fungal sheath and Hartig net. Molecular analyses showed that
the single-copy disrupting T-DNA was integrated 6,884 bp downstream from the
start codon, of an open reading frame potentially encoding a 3,096-amino-acid
long protein. This gene, which we named HcMycE1, has orthologs in numerous fungi
as well as different other eukaryotic microorganisms. RNAi inactivation of
HcMycE1 in the wild-type strain also led to a mycorrhizal defect, demonstrating
that the nonmycorrhizal phenotype of the mutant was due to mutagenic T-DNA
integration in HcMycE1. In the wild-type strain colonizing P. pinaster roots,
HcMycE1 was transiently upregulated before symbiotic structure differentiation.
Together with the inability of the mutant to differentiate these structures, this
suggests that HcMycE1 plays a crucial role upstream of the fungal sheath and
Hartig net differentiation. This study provides the first characterization of a
fungal mutant altered in mycorrhizal ability.
PMID- 24918771
TI - Lipid-modified polyethylenimine-mediated DNA attraction evaluated by molecular
dynamics simulations.
AB - The effect of lipid modification on polyethylenimine (PEI)-mediated DNA
attraction was studied by performing umbrella sampling molecular dynamics
simulations that involved PEIs modified with three different types of lipids:
oleic acid (OA), linoleic acid (LA), and caprylic acid (CA). The potential of
mean force between two DNA molecules in the presence of these lipid-modified PEIs
was calculated using the weighted histogram analysis method, and it predicted the
stability and size of the DNA aggregate. When compared to native PEI, lipid
modification was found to enhance the stability of DNA aggregation in the case of
long lipids (LA and OA) but reduce the stability in the case of a short lipid
(CA). In addition, LA-substituted PEI was shown to form stronger DNA aggregate
than OA-substituted PEI, which correlates positively with previous experimental
observations.
PMID- 24918769
TI - Discovery and characterization of distinct simian pegiviruses in three wild
African Old World monkey species.
AB - Within the Flaviviridae, the recently designated genus Pegivirus has expanded
greatly due to new discoveries in bats, horses, and rodents. Here we report the
discovery and characterization of three simian pegiviruses (SPgV) that resemble
human pegivirus (HPgV) and infect red colobus monkeys (Procolobus tephrosceles),
red-tailed guenons (Cercopithecus ascanius) and an olive baboon (Papio anubis).
We have designated these viruses SPgVkrc, SPgVkrtg and SPgVkbab, reflecting their
host species' common names, which include reference to their location of origin
in Kibale National Park, Uganda. SPgVkrc and SPgVkrtg were detected in 47%
(28/60) of red colobus and 42% (5/12) red-tailed guenons, respectively, while
SPgVkbab infection was observed in 1 of 23 olive baboons tested. Infections were
not associated with any apparent disease, despite the generally high viral loads
observed for each variant. These viruses were monophyletic and equally divergent
from HPgV and pegiviruses previously identified in chimpanzees (SPgVcpz).
Overall, the high degree of conservation of genetic features among the novel
SPgVs, HPgV and SPgVcpz suggests conservation of function among these closely
related viruses. Our study describes the first primate pegiviruses detected in
Old World monkeys, expanding the known genetic diversity and host range of
pegiviruses and providing insight into the natural history of this genus.
PMID- 24918770
TI - R-spondin 3 regulates dorsoventral and anteroposterior patterning by antagonizing
Wnt/beta-catenin signaling in zebrafish embryos.
AB - The Wnt/beta-catenin or canonical Wnt signaling pathway plays fundamental roles
in early development and in maintaining adult tissue homeostasis. R-spondin 3
(Rspo3) is a secreted protein that has been implicated in activating the Wnt/beta
catenin signaling in amphibians and mammals. Here we report that zebrafish Rspo3
plays a negative role in regulating the zygotic Wnt/beta-catenin signaling.
Zebrafish Rspo3 has a unique domain structure. It contains a third furin-like
(FU3) domain. This FU3 is present in other four ray-finned fish species studied
but not in elephant shark. In zebrafish, rspo3 mRNA is maternally deposited and
has a ubiquitous expression in early embryonic stages. After 12 hpf, its
expression becomes tissue-specific. Forced expression of rspo3 promotes
dorsoanterior patterning and increases the expression of dorsal and anterior
marker genes. Knockdown of rspo3 increases ventral-posterior development and
stimulates ventral and posterior marker genes expression. Forced expression of
rspo3 abolishes exogenous Wnt3a action and reduces the endogenous Wnt signaling
activity. Knockdown of rspo3 results in increased Wnt/beta-catenin signaling
activity. Further analyses indicate that Rspo3 does not promote maternal Wnt
signaling. Human RSPO3 has similar action when tested in zebrafish embryos. These
results suggest that Rspo3 regulates dorsoventral and anteroposterior patterning
by negatively regulating the zygotic Wnt/beta-catenin signaling in zebrafish
embryos.
PMID- 24918772
TI - Differential neutralizing activities of a single domain camelid antibody (VHH)
specific for ricin toxin's binding subunit (RTB).
AB - Ricin, a member of the A-B family of ribosome-inactivating proteins, is
classified as a Select Toxin by the Centers for Disease Control and Prevention
because of its potential use as a biothreat agent. In an effort to engineer
therapeutics for ricin, we recently produced a collection of alpaca-derived,
heavy-chain only antibody VH domains (VHH or "nanobody") specific for ricin's
enzymatic (RTA) and binding (RTB) subunits. We reported that one particular RTB
specific VHH, RTB-B7, when covalently linked via a peptide spacer to different
RTA-specific VHHs, resulted in heterodimers like VHH D10/B7 that were capable of
passively protecting mice against a lethal dose challenge with ricin. However,
RTB-B7 itself, when mixed with ricin at a 1 ? 10 toxin:antibody ratio did not
afford any protection in vivo, even though it had demonstrable toxin-neutralizing
activity in vitro. To better define the specific attributes of antibodies
associated with ricin neutralization in vitro and in vivo, we undertook a more
thorough characterization of RTB-B7. We report that RTB-B7, even at 100-fold
molar excess (toxin:antibody) was unable to alter the toxicity of ricin in a
mouse model. On the other hand, in two well-established cytotoxicity assays, RTB
B7 neutralized ricin with a 50% inhibitory concentration (IC50) that was
equivalent to that of 24B11, a well-characterized and potent RTB-specific murine
monoclonal antibody. In fact, RTB-B7 and 24B11 were virtually identical when
compared across a series of in vitro assays, including adherence to and
neutralization of ricin after the toxin was pre-bound to cell surface receptors.
RTB-B7 differed from both 24B11 and VHH D10/B7 in that it was relatively less
effective at blocking ricin attachment to receptors on host cells and was not
able to form high molecular weight toxin:antibody complexes in solution. Whether
either of these activities is important in ricin toxin neutralizing activity in
vivo remains to be determined.
PMID- 24918773
TI - Rich structural chemistry in scandium selenium/tellurium oxides: mixed-valent
selenite-selenates, Sc2(SeO3)2(SeO4) and Sc2(TeO3)(SeO3)(SeO4), and ternary
tellurite, Sc2(TeO3)3.
AB - Both single crystals and pure bulk phases of three new scandium
selenium/tellurium oxides, Sc2(SeO3)2(SeO4), Sc2(TeO3)(SeO3)(SeO4), and
Sc2(TeO3)3, have been synthesized through hydrothermal and solid-state reactions.
X-ray diffractions were used to determine the structures and confirm the phase
purities of the reported materials. Isostructural Sc2(SeO3)2(SeO4) and
Sc2(TeO3)(SeO3)(SeO4) reveal three-dimensional frameworks with ScO7 pentagonal
bipyramids, SeO3 (and TeO3) trigonal pyramids, and SeO4 tetrahedra. A novel
ternary scandium tellurite, Sc2(TeO3)3, also shows a three-dimensional framework
that is composed of ScO6 octahedra, ScO7-capped octahedra, and TeO3 trigonal
pyramids. All three materials accommodate local asymmetric coordination moieties
owing to the lone pairs on Se(4+) and Te(4+) cations. The effect of coordination
environments of constituent cations on the frameworks, dimensionalities, and
centricities of products is discussed. Thorough characterizations including
elemental analyses, infrared and UV-vis diffuse reflectance spectroscopies,
thermal analyses, and dipole moment calculations for the reported materials are
reported. Crystal data: Sc2(SeO3)2(SeO4), monoclinic, space group P21/c (No. 14),
a = 6.5294(2) A, b = 10.8557(4) A, c = 12.6281(6) A, beta = 103.543(3) degrees ,
V = 870.21(6) A(3), and Z = 4; Sc2(TeO3)(SeO3)(SeO4), monoclinic, space group
P21/c (No. 14), a = 6.5345(12) A, b = 10.970(2) A, c = 12.559(2) A, beta =
102.699(10) degrees , V = 878.3(6) A(3), and Z = 4; Sc2(TeO3)3, monoclinic, space
group P21/n (No. 14), a = 5.2345(3) A, b = 24.3958(15) A, c = 6.8636(4) A, beta =
106.948(2) degrees , V = 838.42(9) A(3), and Z = 4.
PMID- 24918774
TI - Clinical performance metrics of 3D digital breast tomosynthesis compared with 2D
digital mammography for breast cancer screening in community practice.
AB - OBJECTIVE: The objective of our study was to assess the clinical performance of
combined 2D-3D digital breast tomosynthesis (DBT), referred to as "3D DBT,"
compared with 2D digital mammography (DM) alone for screening mammography in a
community-based radiology practice. MATERIALS AND METHODS: Performance outcomes
measures were assessed for 14 radiologists who interpreted more than 500
screening mammography 3D DBT studies after the initiation of tomosynthesis.
Outcomes from screening mammography during the study period between August 9,
2011, and November 30, 2012, using 3D DBT (n = 23,149 patients) versus 2D DM (n =
54,684 patients) were compared. RESULTS: For patients screened with 3D DBT, the
relative change in recall rate was 16.1% lower than for patients screened with 2D
DM (p > 0.0001). The overall cancer detection rate (CDR), expressed as number of
cancers per 1000 patients screened, was 28.6% greater (p = 0.035) for 3D DBT
(6.3/1000) compared with 2D DM (4.9/1000). The CDR for invasive cancers with 3D
DBT (4.6/1000) was 43.8% higher (p = 0.0056) than with 2D DM (3.2/1000). The
positive predictive value for recalls from screening (PPV1) was 53.3% greater (p
= 0.0003) for 3D DBT (4.6%) compared with 2D DM (3.0%). No significant difference
in the positive predictive value for biopsy (PPV3) was found for 3D DBT versus 2D
DM (22.8% and 23.8%, respectively) (p = 0.696). CONCLUSION: In community-based
radiology practice, mammography screening with 3D DBT yielded lower recall rates,
an increased CDR for cancer overall, and an increased CDR for invasive cancer
compared with 2D DM. The PPV1 was significantly greater in the group screened
using 3D DBT.
PMID- 24918775
TI - Maternal high-fat diet worsens memory deficits in the triple-transgenic (3xTgAD)
mouse model of Alzheimer's disease.
AB - Alzheimer's disease (AD) is not normally diagnosed until later in life, although
evidence suggests that the disease starts at a much earlier age. Risk factors for
AD, such as diabetes, hypertension and obesity, are known to have their affects
during mid-life, though events very early in life, including maternal over
nutrition, can predispose offspring to develop these conditions. This study
tested whether over-nutrition during pregnancy and lactation affected the
development of AD in offspring, using a transgenic AD mouse model. Female triple
transgenic AD dam mice (3xTgAD) were exposed to a high-fat (60% energy from fat)
or control diet during pregnancy and lactation. After weaning (at 3 weeks of
age), female offspring were placed on a control diet and monitored up until 12
months of age during which time behavioural tests were performed. A transient
increase in body weight was observed in 4-week-old offspring 3xTgAD mice from
dams fed a high-fat diet. However, by 5 weeks of age the body weight of 3xTgAD
mice from the maternal high-fat fed group was no different when compared to
control-fed mice. A maternal high-fat diet led to a significant impairment in
memory in 2- and 12-month-old 3xTgAD offspring mice when compared to offspring
from control fed dams. These effects of a maternal high-fat diet on memory were
accompanied by a significant increase (50%) in the number of tau positive
neurones in the hippocampus. These data demonstrate that a high-fat diet during
pregnancy and lactation increases memory impairments in female 3xTgAD mice and
suggest that early life events during development might influence the onset and
progression of AD later in life.
PMID- 24918776
TI - Cervical pessary use and preterm birth.
AB - Preterm birth remains a considerable public health concern and priority. Little
headway has been made in the prevention of preterm birth despite considerable
research in this area. New ideas and treatments are desperately needed. The
pessary has emerged as a possible treatment for the prevention of preterm birth
in both singleton and twin gestations. It appears to be low cost with minimal
side effects. This review focuses on the available evidence for the use of
cervical pessaries for the prevention of preterm birth, especially in a high-risk
population with a shortened cervical length. Larger scale randomized-controlled
trials are warranted before incorporation of the pessary into standard
obstetrical practice.
PMID- 24918777
TI - A novel method to reduce time investment when processing videos from camera trap
studies.
AB - Camera traps have proven very useful in ecological, conservation and behavioral
research. Camera traps non-invasively record presence and behavior of animals in
their natural environment. Since the introduction of digital cameras, large
amounts of data can be stored. Unfortunately, processing protocols did not evolve
as fast as the technical capabilities of the cameras. We used camera traps to
record videos of Eurasian beavers (Castor fiber). However, a large number of
recordings did not contain the target species, but instead empty recordings or
other species (together non-target recordings), making the removal of these
recordings unacceptably time consuming. In this paper we propose a method to
partially eliminate non-target recordings without having to watch the recordings,
in order to reduce workload. Discrimination between recordings of target species
and non-target recordings was based on detecting variation (changes in pixel
values from frame to frame) in the recordings. Because of the size of the target
species, we supposed that recordings with the target species contain on average
much more movements than non-target recordings. Two different filter methods were
tested and compared. We show that a partial discrimination can be made between
target and non-target recordings based on variation in pixel values and that
environmental conditions and filter methods influence the amount of non-target
recordings that can be identified and discarded. By allowing a loss of 5% to 20%
of recordings containing the target species, in ideal circumstances, 53% to 76%
of non-target recordings can be identified and discarded. We conclude that adding
an extra processing step in the camera trap protocol can result in large time
savings. Since we are convinced that the use of camera traps will become
increasingly important in the future, this filter method can benefit many
researchers, using it in different contexts across the globe, on both videos and
photographs.
PMID- 24918778
TI - The real-time dynamic monitoring of microRNA function in cholangiocarcinoma.
AB - BACKGROUND: Although many studies have confirmed a relationship between microRNAs
(miRNAs) and cholangiocarcinoma (CCA), the real-time dynamics of miRNA function
have not been examined. METHODS: miRNA reporter constructs were generated using a
recombinant adeno-associated virus vector, which contained complementary
sequences for six miRNAs (miR-200a, miR-200b, miR-21, miR-146a, miR-155, and miR
221), along with two independent expression cassettes encoding the fluorescent
reporter genes Fluc and Gluc. The spatio-temporal function of each miRNA was
monitored both in CCA and control tissues. RESULTS: All miRNAs participated in
CCA development, with distinct patterns of expression over time. The activity of
miR-21 was significantly lower in female T3N0M0 CCA tissue relative to controls
at three time points, yet was higher in two male T3N1M0 CCA tissues. The
difference in miR-200b function between two male T3N1M0 CCA tissues and their
corresponding controls peaked at 24 h, while function in a female T3N0M0 CCA was
detected only at 72 h. The four remaining miRNAs (miR-200a, miR146a, miR-155, and
miR-221) displayed patient-specific activity patterns in both CCA and control
tissues. CONCLUSION: Significant variability was observed in the temporal
function of all six miRNAs, which may play an important role in the development
of CCA.
PMID- 24918779
TI - Assessing landscape constraints on species abundance: does the neighborhood limit
species response to local habitat conservation programs?
AB - Landscapes in agricultural systems continue to undergo significant change, and
the loss of biodiversity is an ever-increasing threat. Although habitat
restoration is beneficial, management actions do not always result in the desired
outcome. Managers must understand why management actions fail; yet, past studies
have focused on assessing habitat attributes at a single spatial scale, and often
fail to consider the importance of ecological mechanisms that act across spatial
scales. We located survey sites across southern Nebraska, USA and conducted point
counts to estimate Ring-necked Pheasant abundance, an economically important
species to the region, while simultaneously quantifying landscape effects using a
geographic information system. To identify suitable areas for allocating limited
management resources, we assessed land cover relationships to our counts using a
Bayesian binomial-Poisson hierarchical model to construct predictive Species
Distribution Models of relative abundance. Our results indicated that landscape
scale land cover variables severely constrained or, alternatively, facilitated
the positive effects of local land management for Ring-necked Pheasants.
PMID- 24918780
TI - Chemically optimizing operational efficiency of molecular rotary motors.
AB - Unidirectional molecular rotary motors that harness photoinduced cis-trans (E-Z)
isomerization are promising tools for the conversion of light energy to
mechanical motion in nanoscale molecular machines. Considerable progress has been
made in optimizing the frequency of ground-state rotation, but less attention has
been focused on excited-state processes. Here the excited-state dynamics of a
molecular motor with electron donor and acceptor substituents located to modify
the excited-state reaction coordinate, without altering its stereochemistry, are
studied. The substituents are shown to modify the photochemical yield of the
isomerization without altering the motor frequency. By combining 50 fs resolution
time-resolved fluorescence with ultrafast transient absorption spectroscopy the
underlying excited-state dynamics are characterized. The Franck-Condon excited
state relaxes in a few hundred femtoseconds to populate a lower energy dark state
by a pathway that utilizes a volume conserving structural change. This is
assigned to pyramidalization at a carbon atom of the isomerizing bridging double
bond. The structure and energy of the dark state thus reached are a function of
the substituent, with electron-withdrawing groups yielding a lower energy longer
lived dark state. The dark state is coupled to the Franck-Condon state and decays
on a picosecond time scale via a coordinate that is sensitive to solvent
friction, such as rotation about the bridging bond. Neither subpicosecond nor
picosecond dynamics are sensitive to solvent polarity, suggesting that
intramolecular charge transfer and solvation are not key driving forces for the
rate of the reaction. Instead steric factors and medium friction determine the
reaction pathway, with the sterically remote substitution primarily influencing
the energetics. Thus, these data indicate a chemical method of optimizing the
efficiency of operation of these molecular motors without modifying their overall
rotational frequency.
PMID- 24918782
TI - Higher bone turnover is related to spinal radiographic damage and low bone
mineral density in ankylosing spondylitis patients with active disease: a cross
sectional analysis.
AB - INTRODUCTION: Ankylosing spondylitis (AS) is characterized by excessive bone
formation and bone loss. Our aim was to investigate the association of bone
turnover markers (BTM) with spinal radiographic damage and bone mineral density
(BMD) in AS patients with active disease. METHODS: 201 consecutive AS outpatients
of the Groningen Leeuwarden AS (GLAS) cohort were included. Serum markers of bone
resorption (C-telopeptides of type-I collagen, sCTX) and bone formation
(procollagen type-I N-terminal peptide, PINP; bone-specific alkaline phosphatase,
BALP) were measured. Z-scores were used to correct for the normal influence that
age and gender have on bone turnover. Radiographs were scored by two independent
readers according to modified Stoke AS Spinal Score (mSASSS). The presence of
complete bridging (ankylosis of at least two vertebrae) was considered as measure
of more advanced radiographic damage. Low BMD was defined as lumbar spine and/or
hip BMD Z-score <= -1. RESULTS: Of the 151 patients with complete data, 52 (34%)
had >= 1 complete bridge, 49 (33%) had >= 1 syndesmophyte (non-bridging), and 50
(33%) had no syndesmophytes. 66 (44%) had low BMD. Patients with bridging had
significantly higher sCTX and PINP Z-scores than patients without bridging (0.43
vs. -0.55 and 0.55 vs. 0.04, respectively). Patients with low BMD had
significantly higher sCTX Z-score than patients with normal BMD (-0.08 vs.
0.61). After correcting for gender, symptom duration, and CRP, sCTX Z-score
remained significantly related to the presence of low BMD alone (OR: 1.60),
bridging alone (OR: 1.82), and bridging in combination with low BMD (OR: 2.26).
CONCLUSIONS: This cross-sectional study in AS patients with active and relatively
long-standing disease demonstrated that higher serum levels of sCTX, and to a
lesser extent PINP, are associated with the presence of complete bridging. sCTX
was also associated with low BMD. Longitudinal studies are needed to confirm that
serum levels of sCTX can serve as objective marker for bone-related outcome in
AS.
PMID- 24918784
TI - Single-incision laparoscopic ileocecectomy in children with Crohn's disease.
AB - INTRODUCTION: We previously reported our experience with standard laparoscopic
ileocecectomy, but we have recently used a single-incision laparoscopic approach.
PATIENTS AND METHODS: We conducted a retrospective review of a single surgeon's
experience from 2009 to 2013. RESULTS: Twenty-eight children 11-18 years of age
(mean, 15.5 years) with a mean body mass index of 18.9+/-3 kg/m(2) underwent
single-incision laparoscopic ileocecectomy for Crohn's disease. Mean operative
time was 86.5+/-25.9 minutes (range, 56-166 minutes). There were no extra ports
placed or conversions to open surgery. Five children (18%) were on parenteral
nutrition at time of surgery, 14 (50%) were on steroids, and 9 (32%) were on
tumor necrotic factor inhibitors. A stapled extracorporeal anastomosis was
performed in all children. Complications included abscess (n=4), small bowel
obstruction (n=3), superficial wound infection (n=3), and small bowel perforation
(n=1). Some patients had more than one complication. Of those with complications,
5 (56%) were on steroids, 5 (56%) were on tumor necrotic factor inhibitors, and 1
patient was on both. The perforation occurred at a point of adhesiolysis also
involved with Crohn's disease. There were no anastomotic leaks. Median follow-up
was 17 months (range, 1-47 months). CONCLUSIONS: Single-incision laparoscopic
ileocecectomy is safe and feasible in pediatric patients with Crohn's disease.
PMID- 24918783
TI - A Pseudomonas aeruginosa EF-hand protein, EfhP (PA4107), modulates stress
responses and virulence at high calcium concentration.
AB - Pseudomonas aeruginosa is a facultative human pathogen, and a major cause of
nosocomial infections and severe chronic infections in endocarditis and in cystic
fibrosis (CF) patients. Calcium (Ca2+) accumulates in pulmonary fluids of CF
patients, and plays a role in the hyperinflammatory response to bacterial
infection. Earlier we showed that P. aeruginosa responds to increased Ca2+
levels, primarily through the increased production of secreted virulence factors.
Here we describe the role of putative Ca2+-binding protein, with an EF-hand
domain, PA4107 (EfhP), in this response. Deletion mutations of efhP were
generated in P. aeruginosa strain PAO1 and CF pulmonary isolate, strain FRD1. The
lack of EfhP abolished the ability of P. aeruginosa PAO1 to maintain
intracellular Ca2+ homeostasis. Quantitative high-resolution 2D-PAGE showed that
the efhP deletion also affected the proteomes of both strains during growth with
added Ca2+. The greatest proteome effects occurred when the pulmonary isolate was
cultured in biofilms. Among the proteins that were significantly less abundant or
absent in the mutant strains were proteins involved in iron acquisition,
biosynthesis of pyocyanin, proteases, and stress response proteins. In support,
the phenotypic responses of FRD1 DeltaefhP showed that the mutant strain lost its
ability to produce pyocyanin, developed less biofilm, and had decreased
resistance to oxidative stress (H2O2) when cultured at high [Ca2+]. Furthermore,
the mutant strain was unable to produce alginate when grown at high [Ca2+] and no
iron. The effect of the DeltaefhP mutations on virulence was determined in a
lettuce model of infection. Growth of wild-type P. aeruginosa strains at high
[Ca2+] causes an increased area of disease. In contrast, the lack of efhP
prevented this Ca2+-induced increase in the diseased zone. The results indicate
that EfhP is important for Ca2+ homeostasis and virulence of P. aeruginosa when
it encounters host environments with high [Ca2+].
PMID- 24918785
TI - Small-area estimation of the probability of toxocariasis in New York City based
on sociodemographic neighborhood composition.
AB - Toxocariasis is increasingly recognized as an important neglected infection of
poverty (NIP) in developed countries, and may constitute the most important NIP
in the United States (US) given its association with chronic sequelae such as
asthma and poor cognitive development. Its potential public health burden
notwithstanding, toxocariasis surveillance is minimal throughout the US and so
the true burden of disease remains uncertain in many areas. The Third National
Health and Nutrition Examination Survey conducted a representative serologic
survey of toxocariasis to estimate the prevalence of infection in diverse US
subpopulations across different regions of the country. Using the NHANES III
surveillance data, the current study applied the predicted probabilities of
toxocariasis to the sociodemographic composition of New York census tracts to
estimate the local probability of infection across the city. The predicted
probability of toxocariasis ranged from 6% among US-born Latino women with a
university education to 57% among immigrant men with less than a high school
education. The predicted probability of toxocariasis exhibited marked spatial
variation across the city, with particularly high infection probabilities in
large sections of Queens, and smaller, more concentrated areas of Brooklyn and
northern Manhattan. This investigation is the first attempt at small-area
estimation of the probability surface of toxocariasis in a major US city. While
this study does not define toxocariasis risk directly, it does provide a much
needed tool to aid the development of toxocariasis surveillance in New York City.
PMID- 24918786
TI - Loss of HMG-CoA reductase in C. elegans causes defects in protein prenylation and
muscle mitochondria.
AB - HMG-CoA reductase is the rate-limiting enzyme in the mevalonate pathway and the
target of cholesterol-lowering statins. We characterized the C. elegans hmgr
1(tm4368) mutant, which lacks HMG-CoA reductase, and show that its phenotypes
recapitulate that of statin treatment, though in a more severe form.
Specifically, the hmgr-1(tm4368) mutant has defects in growth, reproduction and
protein prenylation, is rescued by exogenous mevalonate, exhibits constitutive
activation of the UPRer and requires less mevalonate to be healthy when the UPRmt
is activated by a constitutively active form of ATFS-1. We also show that
different amounts of mevalonate are required for different physiological
processes, with reproduction requiring the highest levels. Finally, we provide
evidence that the mevalonate pathway is required for the activation of the UPRmt.
PMID- 24918787
TI - Determinants within the C-terminal domain of Streptomyces lividans acetyl-CoA
synthetase that block acetylation of its active site lysine in vitro by the
protein acetyltransferase (Pat) enzyme.
AB - Reversible lysine acetylation (RLA) is a widespread regulatory mechanism that
modulates the function of proteins involved in diverse cellular processes. A
strong case has been made for RLA control exerted by homologues of the Salmonella
enterica protein acetyltransferase (SePat) enzyme on the broadly distributed AMP
forming CoA ligase (a.k.a. acyl-CoA synthetases) family of metabolic enzymes,
with acetyl-CoA synthetase (Acs) being the paradigm in the field. Here we
investigate why the Acs homologue in Streptomyces lividans (SlAcs) is poorly
acetylated in vitro by the S. lividans protein acetyltransferase (SlPat) enzyme.
Chimeras of S. enterica Acs (SeAcs) and S. lividans Acs (SlAcs) constructed
during the course of this work were acetylated by SlPatA in vitro, retained most
of their activity, and were under RLA control in a heterologous host. We
identified SeAcs residues N- and C-terminal to the target lysine that when
introduced into SlAcs, rendered the latter under RLA control. These results lend
further support to the idea that Pat enzymes interact with extensive surfaces of
their substrates. Finally, we suggest that acetylation of SlAcs depends on
factors or conditions other than those present in our in vitro system. We also
discuss possible explanations why SlAcs is not controlled by RLA as defined in
other bacterial species.
PMID- 24918788
TI - The impact of weight loss on weight-related quality of life and health
satisfaction: results from a trial comparing canagliflozin with sitagliptin in
triple therapy among people with type 2 diabetes.
AB - Type 2 diabetes mellitus (T2DM) is primarily a self-managed disease in which self
care behaviors play an important role in achieving optimal outcomes. Because self
care does not result in immediate tangible or noticeable benefits, adherence to
such a regimen can be confusing, difficult, and frustrating. People are more
likely to adhere to treatment regimens that offer benefits from the patient
perspective, such as convenience, avoidance of hypoglycemic episodes, and weight
loss, compared with regimens that do not. In this study, we explored the impact
of the average weight loss amount demonstrated with canagliflozin treatment on
improvement in 3 patient-relevant outcomes that have been linked to performance
of healthy behaviors and better outcomes in T2DM: weight-related quality of life,
as measured by the Impact of Weight on Quality of Life-Lite (IWQOL-Lite)
questionnaire, and satisfaction with physical health and emotional health, as
measured by the Current Health Satisfaction Questionnaire (CHES-Q), using data
from a previously reported study. Weight loss of an amount demonstrated in
clinical trials of canagliflozin was associated with improvements in weight
related quality of life and satisfaction with physical and emotional health,
concepts shown to be important to the persistent and consistent performance of
healthy behaviors.
PMID- 24918789
TI - Safety and tolerability of canagliflozin in patients with type 2 diabetes
mellitus: pooled analysis of phase 3 study results.
AB - BACKGROUND: Canagliflozin is a sodium glucose cotransporter 2 inhibitor developed
for treating type 2 diabetes mellitus (T2DM). METHODS: The safety/tolerability
profile of canagliflozin 100 and 300 mg over 26 weeks was assessed using an
integrated analysis of data pooled from 4 placebo-controlled, phase 3 studies
representing a broad range of patients with T2DM (N = 2313; mean age, 56.0 years;
glycated hemoglobin [HbA1c], 8.0%; body mass index, 32.1 kg/m2; estimated
glomerular filtration rate, 88.1 mL/min/1.73 m2) on various prespecified
background diabetes mellitus treatments. Safety/tolerability evaluations included
adverse event (AE) reporting, with additional data collection prespecified for
selected AEs, and assessments of renal-related, lipid, and other safety
laboratory parameters. TRIAL REGISTRATION: ClinicalTrials.gov, NCT01081834;
NCT01106625; NCT01106677; NCT01106690. RESULTS: The overall incidence of AEs was
similar with canagliflozin 100 and 300 mg and placebo; incidences of serious AEs
and AEs leading to study discontinuation were low across groups. Canagliflozin
was associated with higher incidences than placebo of genital mycotic infections
and osmotic diuresis-related AEs; these were generally considered by the
investigator to be mild to moderate in intensity and infrequently led to
discontinuation. Canagliflozin was associated with transient reductions in
estimated glomerular filtration rate that trended toward baseline over the
assessment period; incidences of renal-related AEs were low across groups. Dose
related increases in the incidence of hypoglycemia episodes were seen with
canagliflozin versus placebo in patients on background sulfonylurea; incidences
of severe hypoglycemia were low across groups. Hypoglycemia incidence was low
overall in patients not on background sulfonylurea, but slightly higher with
canagliflozin versus placebo. Relative to placebo, favorable changes in high
density lipoprotein cholesterol and triglycerides were seen with canagliflozin;
increases in low-density lipoprotein cholesterol were also seen. Canagliflozin
was associated with small changes in other safety laboratory parameters that were
not clinically meaningful. CONCLUSIONS: Canagliflozin as monotherapy and as
combination therapy was generally well tolerated in patients with T2DM
inadequately controlled on their current diabetes mellitus treatment.
PMID- 24918790
TI - Effect of renal impairment on the pharmacokinetics, efficacy, and safety of
albiglutide.
AB - BACKGROUND: Chronic kidney disease is frequently present in patients with type 2
diabetes mellitus (T2DM). New therapeutic options in this patient subpopulation
are needed. OBJECTIVES: Assess the effect of renal impairment on the
pharmacokinetics (PK), efficacy, and safety of albiglutide in single- and
multiple-dose studies. METHODS: Pharmacokinetics, safety, and efficacy of once
weekly albiglutide in patients with T2DM was assessed from a single-dose (30 mg),
nonrandomized, open-label study (N = 41) including subjects with normal and
varying degrees of renal impairment, including hemodialysis, and a pooled
analysis of 4 phase 3, randomized, double-blind (1 open-label), active or placebo
controlled multiple-dose studies. The pooled analysis of the latter 4 studies (N
= 1113) was part of the population PK analysis, which included subjects with
normal and varying degrees of renal impairment (mild, moderate, severe) treated
with albiglutide (30 to 50 mg) to primary end points of 26 to 52 weeks. RESULTS:
Single-dose PK showed area-under-the-curve ratios (and 90% CIs) of 1.32 (0.96
1.80), 1.39 (1.03-1.89), and 0.99 (0.63-1.57) for the moderate, severe, and
hemodialysis groups, respectively, relative to the normal group. Results indicate
that modest increases in plasma concentration of albiglutide were observed with
the severity of renal impairment. There was a trend for more glycemic lowering as
the estimated glomerular filtration rate decreased. The severe group had a higher
frequency of gastrointestinal (eg, diarrhea, constipation, nausea, and vomiting)
and hypoglycemic (with background sulfonylurea use) events compared with patients
with mild or moderate renal impairment. CONCLUSION: The PK, efficacy, and safety
data indicate that albiglutide has a favorable benefit/risk ratio in patients
with T2DM and varying degrees of renal impairment, and the need for a dose
adjustment is not suggested. Experience in patients with more severe renal
impairment is very limited, so the recommendation is to use albiglutide carefully
in this population. CLINICAL TRIAL REGISTRATION:
(ClinicalTrials.gov):NCT00938158, NCT00849017, NCT00838916, NCT00839527,
NCT0198539.
PMID- 24918791
TI - Management of patients using combination therapy with pioglitazone and a
dipeptidyl peptidase-4 inhibitor: an analysis of initial versus sequential
combination therapy.
AB - Current type 2 diabetes mellitus (T2DM) treatment involves progressive
interventions from lifestyle changes to pharmacological therapies. Previous
studies found that combination therapy with a dipeptidyl peptidase-4 inhibitor
(DPP-4i) and pioglitazone (PIO) is more effective than monotherapies in treating
poorly controlled T2DM, but there is no consensus on whether these drugs should
be initiated at the same time (initial combination therapy) or sequentially. We
aimed to assess glycemic control with initial versus sequential combination
therapy with PIO and a DPP-4i in patients with glycosylated hemoglobin (HbA1c)
levels >= 7%. A retrospective chart review was conducted on T2DM patients from
diverse geographic sites in the United States initiating therapies from March 2,
2010 to February 28, 2011. Patients were selected for initial combination
therapy, if starting PIO and a DPP-4i within 30 days of each other, or sequential
combination therapy, if first taking PIO alone for >= 60 days before adding a DPP
4i within 1 year of PIO initiation. The HbA1c level reduction from baseline was
compared between cohorts using linear regression models adjusting for
demographics, baseline HbA1c, T2DM duration, comorbidities, and various
medications. There were 250 patients in the initial and 211 in the sequential
combination therapy cohorts; 57.3% were male, 65.3% were Caucasian, and the mean
age was 54.3 years. Patients receiving initial combination therapy had a
significantly higher mean baseline HbA1c level (8.6% vs 8.0%, P < 0.0001), a
higher prevalence of coronary artery disease (11.6% vs 6.2%, P = 0.0430), and a
lower prevalence of hyperlipidemia (56.4% vs 67.8%, P = 0.0120) and of
hypertension (62.4% vs 72.0%, P = 0.0290), compared with the sequential therapy
cohort. In adjusted analyses, initial combination therapy was associated with a
significantly greater reduction in HbA1c levels than sequential combination
therapy at months 12, 16, and 20 (-0.977 vs -0.819, P = 0.034; -1.453 vs -1.242,
P = 0.048; and -1.182 vs -0.810, P = 0.013, respectively). Our findings suggest
initial combination therapy may be the preferred option in choosing combination
therapies.
PMID- 24918792
TI - Potential impact of dipeptidyl peptidase-4 inhibitors on cardiovascular
pathophysiology in type 2 diabetes mellitus.
AB - Cardiovascular (CV) disease remains the major cause of mortality and morbidity in
patients with type 2 diabetes mellitus (T2DM). The pathogenesis of CV disease in
T2DM is complex and multifactorial, and includes abnormalities in endothelial
cells, vascular smooth muscle cells, myocardium, platelets, and the coagulation
cascade. Dipeptidyl peptidase-4 (DPP-4) inhibitors are a newer class of agents
that act by potentiating the action of glucagon-like peptide-1 (GLP-1) and
glucose-dependent insulinotropic polypeptide. This review summarizes CV disease
pathophysiology in T2DM and the potential effect of DPP-4 inhibitors on CV risk
in patients with T2DM. Preclinical and small observational studies and post hoc
analyses of clinical trial data suggest that DPP-4 inhibitors may have beneficial
CV effects. Some effects of DPP-4 inhibitors are GLP-1 dependent, whereas others
may be due to GLP-1-independent actions of DPP-4 inhibitors. Analyses of major
adverse CV events occurring during clinical development of DPP-4 inhibitors found
no increased risk of CV events or mortality and even a potential reduction in CV
events. Two large CV outcome trials have been completed and report that
saxagliptin and alogliptin did not increase or decrease adverse CV outcomes in
patients with T2DM and CV disease or at high risk for adverse CV events. More
patients in the saxagliptin group than in the placebo group were hospitalized for
heart failure, and there was a similar numerically increased risk of
hospitalization for heart failure with alogliptin; however, the risk was not
significantly greater compared with placebo. Dipeptidyl peptidase-4 inhibitors
may affect some of the pathologic processes involved in the increased CV risk
inherent in T2DM.
PMID- 24918793
TI - Evidence-based practice use of incretin-based therapy in the natural history of
diabetes.
AB - The incretin class of anti-hyperglycemic agents, including glucagon-like peptide
1 receptor agonists and dipeptidyl peptidase-inhibitors, is an important addition
to the therapeutic armamentarium for the management of appropriate patients with
type 2 diabetes mellitus as an adjunct to diet and exercise and/or with the
agents metformin, sulfonylureas, thiazolidinediones, or any combination thereof.
More recently, US Food and Drug Administration (FDA)-approved indications for
incretins were expanded to include use with basal insulin. This review article
takes an evidence-based practice approach in discussing the importance of
aggressive treatment for diabetes, the principles of incretin physiology and
pathophysiology, use of glucagon-like peptide-1 receptor agonists and dipeptidyl
peptidase-4 inhibitors, and patient types and contexts where incretin therapy has
been found beneficial, from metabolic syndrome to overt diabetes.
PMID- 24918794
TI - Combining clinical judgment with guidelines for the management of type 2
diabetes: overall standards of comprehensive care.
AB - The rising toll of type 2 diabetes mellitus (T2DM) on patients and society has
resulted in a wide variety of guidelines and therapies to address the need to
combat this trend. Given the heterogeneity of T2DM and the different responses
patients have to therapies, as well as the continued need for patients to
institute lifestyle changes, guidelines published by the American Diabetes
Association/European Association for the Study of Diabetes and the American
Association of Clinical Endocrinologists/American College of Endocrinology have
in recent years increased the focus on personalized and patient-centered care.
How to best assimilate the overall standards of care for T2DM into clinical
practice remains a challenge. The 4 pillars of effective diabetes management are
a unifying framework and approach to clinical practice that can be integrated
with the latest diabetes guidelines. These 4 pillars are lifestyle modifications
involving (1) diet, (2) exercise, (3) a system to monitor preprandial and
postprandial blood glucose and glycated hemoglobin levels, and (4) pharmacologic
intervention when required. This article reviews the overall standards of care
for T2DM, focusing on the first 3 nonpharmacologic pillars, and provides
suggestions for integrating this approach with the current American Diabetes
Association and American Association of Clinical Endocrinologists/American
College of Endocrinology guidelines. Barriers to effective implementation of
exercise programs, diets, and monitoring of blood glucose levels are discussed
along with clinical strategies to overcome these barriers and achieve effective
glycemic control and lifestyle changes for patients with T2DM. Personalized
approaches to the management of T2DM are also reviewed.
PMID- 24918795
TI - Application of clinical judgment and guidelines to achieving glycemic goals in
type 2 diabetes: focus on pharmacologic therapy.
AB - Successful management of patients with type 2 diabetes mellitus requires
attention to 4 pillars of care: diet, exercise, blood glucose monitoring, and
pharmacologic therapy. For pharmacologic therapy, the availability of multiple
drugs in different classes can make choices regarding initiation and
intensification of treatment challenging. This article, focusing on clinical
practice, reviews and provides guidance on assessing recommendations made by the
latest diabetes guidelines for pharmacotherapy published by the American Diabetes
Association and the American Academy of Clinical Endocrinologists. The article
discusses how diabetes guidelines evolved, their move toward personalization of
therapy, and their effective use in clinical practice. An appraisal of various
pharmacologic strategies is integrated with the author's approach to achieving
glycemic goals with a minimum of weight gain or hypoglycemic episodes. Using
patients' baseline glycated hemoglobin levels and the degree to which their
fasting and postprandial plasma levels contribute to their hyperglycemia is
explained as a strategy by which drugs can be chosen that act on these
parameters. Lifestyle interventions such as diet and exercise should continue to
form the foundation of the therapeutic alliance between the clinician and patient
as pharmacologic therapy is initiated or intensified.
PMID- 24918796
TI - Biosimilar insulins are coming: the top 10 things you should know.
AB - Biologic drugs, such as currently prescribed insulins, are large, complex, 3
dimensional molecules manufactured in biological systems. The complexity of the
structure of the biologic drug and its manufacturing process means that it is
challenging to create a biologic drug that is identical to the original branded
drug. With the potential availability on the horizon of follow-on insulin
products (also known as biosimilar insulins) in the United States and other
countries where they are not currently in use, physicians (and other prescribers)
need to be aware of the potential benefits and concerns regarding biosimilar
insulins in order to facilitate informed decision making and to provide the best
possible advice and guidance to their patients with diabetes. This article offers
a brief, practical overview regarding biosimilar insulins by answering 10 key
questions about the topic.
PMID- 24918797
TI - Influence of baseline glycemia on outcomes with insulin glargine use in patients
uncontrolled on oral agents.
AB - PURPOSE: Optimizing glycemic control in patients with type 2 diabetes mellitus
(T2DM) not controlled with >= 1 oral antidiabetes drugs (OADs) is challenging.
Many therapeutic options exist; however, data comparing the effectiveness of
different strategies are lacking for the management of patients with T2DM. Our
study aim was to provide comparative data on efficacy and hypoglycemia when
initiating insulin glargine (glargine) versus alternative treatment options (not
including the newest antidiabetes agents, glucagon-like peptide [GLP]-1 receptor
agonists, dipeptidyl peptidase [DPP]-4 inhibitors or sodium-glucose linked
transporter [SGLT]-2 inhibitors) in insulin-naive patients with T2DM who remained
uncontrolled with OADs. METHODS: Patient-level data were pooled from 9 randomized
controlled trials of >= 24 weeks duration with comparable patient populations.
The effect of adding glargine was compared with intensification of lifestyle
interventions or OADs, addition of neutral protamine Hagedorn (NPH) insulin,
insulin lispro, premixed insulin, or all comparators pooled, on patient glycated
hemoglobin (HbA1c) level, fasting plasma glucose level, weight, and hypoglycemia.
RESULTS: A greater proportion of patients achieved a target HbA1c level <= 7.0%
with glargine treatment than with pooled comparators, intensification of OADs, or
lifestyle interventions; there was no difference when compared with NPH,
premixed, or insulin lispro use. The rate for reported hypoglycemic events was
lower for glargine use than for pooled comparators or other insulins, but higher
compared with intensification of lifestyle interventions or OADs. When stratified
by baseline HbA1c level, efficacy/target attainment with glargine use was better
than for pooled comparators across all HbA1c strata; OAD intensification, when
baseline HbA1c level was >= 8.0%; and premixed insulin if baseline HbA1c level
was < 8.0%; but similar to other insulins for all other categories. The incidence
of reported hypoglycemia was less frequent with glargine use than other insulins,
but more frequent than intensification of lifestyle interventions or OADs.
CONCLUSION: When adequate glycemic control is not achieved using OADs in patients
with T2DM, initiating insulin glargine is generally less likely to elicit
hypoglycemia than initiating NPH, premixed, or prandial insulins, and the benefit
risk balance supports initiating insulin rather than intensification of OAD
therapy when baseline HbA1c level is >= 8.0%.
PMID- 24918798
TI - Expanded colesevelam administration options with oral suspension formulation for
patients with diabetes and hypercholesterolemia.
AB - INTRODUCTION: Colesevelam HCl (colesevelam) is a bile acid sequestrant initially
approved by the US Food and Drug Administration (FDA) in 2000 as an adjunct to
diet and exercise to lower elevated low-density lipoprotein cholesterol (LDL-C)
levels in adults with primary lipidemia, as monotherapy, or in combination with a
statin. More recently, the drug was approved for use in adults with type 2
diabetes mellitus (T2DM) to improve glycemic control. Thus, colesevelam is
currently the only single-agent monotherapy approved by the FDA to lower both LDL
C and glycated hemoglobin (A1c) levels in adults with T2DM and elevated LDL-C.
Moreover, the formulation options for colesevelam have also expanded since its
original approval. MATERIALS AND METHODS: A Medline search was conducted to
provide evidence to support the efficacy and safety for the use of colesevelam
tablets or oral suspension preparations when treating patients with lipidemia,
T2DM, or both. No limitations were placed on publication date or any other
parameter. RESULTS: Clinical studies have shown that colesevelam is efficacious
in lowering LDL-C levels, improving the lipid profile, and improving glycemic
control by reducing both A1c and fasting plasma glucose levels in T2DM.
Equilibrium and kinetics data show that colesevelam is equivalent in its tablet
and oral suspension formulation. CONCLUSION: Having 2 effective oral routes
enhances convenience and improves compliance, both of which contribute to maximal
therapeutic outcomes. These compliance benefits are due to the ease and
flexibility of preparing the powder in various beverages and the pleasant taste
from the inclusion of a low-calorie citrus flavoring.
PMID- 24918799
TI - A short-acting GLP-1 analog or prandial insulin to supplement basal insulin?-
Moving toward personalized management of type 2 diabetes mellitus.
AB - New models of health care delivery that emphasize patient-centered care affirm
the need for alternatives to add-on prandial insulin therapy when optimized basal
insulin fails to maintain glycemic control in patients with type 2 diabetes
mellitus. Regimens that are easy to teach, convenient, and flexible generally
improve the outlook for long-term success. Our review reconsiders traditional
barriers to insulin intensification in primary care and provides an illustration
of how the benefits and drawbacks of > 1 choice of action--specifically, adding
rapid-acting insulin or a short-acting glucagon-like peptide-1 analog--can be
weighed by the patient and provider together to determine the best next treatment
step that balances efficacy, safety, and adherence to therapy. Technological,
organizational, and interpersonal strategies for applying personalized management
at this often challenging crossroads of diabetes management are also described.
PMID- 24918800
TI - Health care provider management of patients with type 2 diabetes mellitus:
analysis of trends in attitudes and practices.
AB - OBJECTIVE: To identify attitudes and practices of endocrinologists (ENDOs),
family practitioners (FPs), internists (IMs), primary care nurse practitioners
(NPs), physician assistants (PAs), certified diabetes educators (CDEs), retail
pharmacists (R-PHs), and hospital pharmacists (H-PHs) with respect to type 2
diabetes mellitus (T2DM) management; to compare current study data with results
from a similar 2011 study. METHODS: A nominal group technique focus group
identified barriers to optimal management of patients with T2DM. Five case
vignette surveys were created, 1 for each group of health care professionals
(HCPs): ENDOs; FPs and IMs; NPs and PAs; CDEs; and R-PHs and H-PHs. Surveys were
tailored to each group. Versions were as similar as possible to each other and to
the 2011 surveys to facilitate comparisons. Questions assessed guideline
familiarity; knowledge of insulin formulations, glucagon-like peptide-1 (GLP-1)
receptor agonists, dipeptidyl peptidase-4 (DPP-4) inhibitors; patterns of
referral to ENDOs and CDEs; as well as cultural barriers and communication
barriers. Surveys were distributed by e-mail/fax to a nationally representative,
random sample of US HCPs during January and February 2013. RESULTS AND
CONCLUSIONS: Notable shifts from 2011 included NPs' increased familiarity with
American Diabetes Association (ADA) guidelines; FPs, IMs, NPs, and PAs continued
comfort with prescribing long-acting basal insulin but less with basal-bolus,
Neutral Protamine Hagedorn insulin alone, or human premixed insulin; increased
pharmacists' comfort in discussing long-acting basal insulin; increased
likelihood that FPs will refer patients with recurrent hypoglycemia unable to
achieve target glycated hemoglobin level to an ENDO; and continued incorporation
of insulin and incretins into treatment regimens. The trends suggest gaps in
perception, knowledge, and management practices to be addressed by education.
Most HCPs lack confidence in using insulin regimens more complex than long-acting
insulin alone. All providers need education on T2DM management guidelines,
differences between GLP-1 agonists and DPP-4 inhibitors, and how to intensify
therapy for patients not reaching goal blood glycemic level with use of multiple
agents. Pharmacists might benefit from education on glycemic treatment goals.
PMID- 24918801
TI - Anti-diabetes therapy: safety considerations for patients with impaired kidney
function.
AB - The presence of type 2 diabetes mellitus (T2DM) is a common risk factor for the
development of chronic kidney disease, but appropriate glycemic control can slow
the progression of kidney dysfunction in patients with T2DM. The kidney plays a
role in insulin resistance and gluconeogenesis, therefore, impaired kidney
function alters glucose dynamics compared with normal kidney function, thus
affecting antihyperglycemic treatment strategies. Glycemic management is further
complicated by reduced drug clearance and a greater risk of hypoglycemia with use
of certain medications, notably the sulfonylureas. Of the classes of
antihyperglycemic drugs discussed in this review, caution is advised when using
some classes in patients with T2DM and kidney disease.
PMID- 24918802
TI - Efficacy and risk of hypoglycemia with use of insulin glargine or comparators in
patients with cardiovascular risk factors.
AB - BACKGROUND: Cardiovascular risk factors (CVRFs) may complicate optimization of
therapy in patients with type 2 diabetes mellitus (T2DM) inadequately controlled
with oral antidiabetes drugs (OADs). We assessed the influence of patient
baseline CVRFs on efficacy and rate of hypoglycemia with use of insulin glargine
(glargine) added to ongoing OAD treatment compared with alternative therapeutic
options; namely, intensification of lifestyle interventions or adding OADs,
neutral protamine Hagedorn (NPH), lispro, or premixed insulin in patients failing
OADs. METHODS: Patient-level data were pooled from 9 randomized controlled trials
of glargine and comparators for 24 weeks in insulin-naive patients with T2DM
inadequately controlled on OADs. Efficacy (goal attainment-glycated hemoglobin
(HbA1c) level <= 7.0% or decrease >= 1.0% change from baseline) and hypoglycemia
rates (symptomatic, confirmed, nocturnal, or severe) were compared for patients
treated with glargine (n = 1462) and pooled (n = 1476) and individual
comparators, overall; and in patients with hypertension (~69%), dyslipidemia
(~58%), history of cardiovascular disease (~25%), or any CVRF (~83%) at baseline.
RESULTS: The patient groups were well-balanced at baseline (HbA1c level 8.7%;
diabetes duration, 8.6 years). Use of glargine was associated with greater
patient goal attainment (57.7% vs 51.4% for HbA1c level <= 7.0%; P < 0.001),
modestly larger reductions in HbA1c level (-1.68% vs -1.51%; P < 0.001), and less
symptomatic hypoglycemia than occurred with pooled comparators, regardless of
patient CVRFs (5.04 vs 7.01 events/patient-year of exposure, respectively; P <
0.001). Reductions in HbA1c level and hypoglycemia rates were significantly
greater with glargine use than with intensification of OADs or lifestyle
modifications, overall, and in patients with any CVRF. Reductions in HbA1c level
were greater and hypoglycemia rates lower with use of glargine compared with
premixed insulin, overall, and in patients with any CVRF. Reductions in HbA1c
level were similar and hypoglycemia rates lower with use of glargine, NPH, and
lispro insulin, regardless of patient CVRFs. CONCLUSION: The glycemic benefits of
glargine use compared with alternative therapeutic options are maintained without
excess hypoglycemia in patients with CVRFs.
PMID- 24918803
TI - Understanding the type 2 diabetes mellitus and cardiovascular disease risk
paradox.
AB - Patients with diabetes have approximately a 2-fold increase in the risk for
coronary heart disease, stroke, and death from vascular causes compared with
patients who do not have diabetes. Interventions targeted at modifiable risk
factors, such as smoking cessation and management of hypertension and
dyslipidemia, reduce the risk of cardiovascular disease (CVD) in patients with
type 2 diabetes mellitus (T2DM). Paradoxically, large randomized studies have
failed to conclusively show that intensively lowering glucose reduces CVD event
rates in patients with T2DM, despite pathophysiologic and epidemiologic evidence
suggesting that hyperglycemia contributes to CVD. Although initiation of
intensive glycemic control early in the disease course may be associated with a
reduction in the long-term risk of cardiovascular (CV) events, this approach in
those with long-standing or complicated T2DM is not of clear benefit and may even
be harmful in some. Failure to mitigate risk with antihyperglycemic therapy and
the potential for some treatments to increase CVD risk underlies a treatment
paradox. New glucose-lowering therapies are now subject to close scrutiny for CV
safety before and after drug approval. Results from the first trials designed to
meet the recent CV regulatory requirements have shown no increased risk of major
adverse CV events but also no CV benefit from dipeptidyl peptidase-4 inhibitor
therapy, as well as a potentially increased risk of hospitalization for heart
failure. Conclusive evidence of CV risk reduction with glucose-lowering therapy
is still lacking and scrutiny of additional agents is necessary. Type 2 diabetes
mellitus is a heterogeneous disease, for which patient-centered, individualized
care, and goal-setting is appropriate. Interventions that focus on the management
of CV risk factors and glucose lowering with medications that are not cardiotoxic
represent an optimal and attainable treatment approach.
PMID- 24918804
TI - Real-world effectiveness of sitagliptin as add-on therapy in patients with type 2
diabetes mellitus.
AB - AIMS: To assess the effectiveness of sitagliptin in glycemic control in a
clinical practice. METHODS: This was a retrospective medical chart review study
conducted in a single hospital. A total of 3081 patients with diabetes mellitus
who were prescribed sitagliptin were selected, including 2729 continuous
sitagliptin users (defined as continuous usage >= 24 weeks) and 352 subjects who
used sitagliptin for < 24 weeks. An additional 12-month observation period was
monitored after initiating sitagliptin to extract clinical information at 3, 6,
9, and 12 months through patient medical chart review. RESULTS: In the 2729
continuous users, a baseline glycated hemoglobin (HbA1c) level of 9.0% was
reduced to 8.1%, 8.1%, 8.1%, and 8.2% at 3, 6, 9, and 12 months, respectively,
representing a 0.9% HbA1c level reduction at 3, 6, and 9 months and a 0.8% HbA1c
level reduction at 12 months. With a goal of HbA1c value of < 7.0%, 9.1% of the
patients were under control at baseline; after initiating sitagliptin, 22.7% of
the patients achieved the HbA1c level goal at 3 months, 23.6% at 6 months, 20.8%
at 9 months, and 20.4% at 12 months. The proportion of patients attaining the
goal of HbA1c level < 7.0% at least doubled at different time points after
treatment with sitagliptin. CONCLUSIONS: In this single-hospital retrospective
chart analysis, a meaningful clinical improvement in glycemic control before and
after sitagliptin treatment commenced, including HbA1c level reduction and the
HbA1c goal attainment rate, was observed. The results reflect the actual clinical
practice in a large hospital in Taiwan, and supplement data from randomized
controlled trials.
PMID- 24918805
TI - Patients' journeys to a narcolepsy diagnosis: a physician survey and
retrospective chart review.
AB - Narcolepsy is a lifelong disorder with potentially debilitating symptoms.
Obtaining an accurate diagnosis often requires multiple tests and physician
visits. This report describes results from an online, quantitative, company
sponsored survey in which physicians provided information from the charts of
their patients with narcolepsy. Neurologists, pulmonologists, psychiatrists, and
other specialists who were board certified in sleep medicine; had 2 to 30 years
of clinical experience; and treated >= 5 narcolepsy patients per month were
invited to complete <= 6 surveys using charts of patients who were treated for
narcolepsy in the last 6 months. Data from 252 patients were collected from 77
physicians. Patients were predominantly male (55%), white (67%), and had a median
age of 38 years (range: 12-83 years). Referral to the respondent physician was
common, mainly from primary care physicians. The most common initial symptoms
were excessive daytime sleepiness (91%), trouble staying awake during the day
(44%), and trouble concentrating/functioning during the day (43%). Overall,
initial symptoms were of at least moderate severity in 85% of patients. Most
patients completed overnight polysomnography (83%), a Multiple Sleep Latency Test
(76%), and/or the Epworth Sleepiness Scale (62%). The median time from patient
reported symptom onset to diagnosis was 22 months (range: 0-126 months); at least
half saw >= 2 providers before being diagnosed; and 60% of patients had
previously been misdiagnosed with other disorders, including depression (31%),
insomnia (18%), and/or obstructive sleep apnea (13%). In this study, the journey
to a narcolepsy diagnosis required evaluation by multiple physicians and took
nearly 2 years in 50% of patients, and > 5 years in 18%. These data highlight the
need for increased awareness of the signs and symptoms of narcolepsy.
PMID- 24918806
TI - Comparison of midazolam and propofol for sedation in pediatric diagnostic imaging
studies.
AB - OBJECTIVE: This study aims to compare the efficacy of propofol and midazolam in
terms of adverse effect potentials and to determine the appropriate strategy for
pediatric procedural sedation. METHODS: A total of 200 pediatric patients (aged <
14 years) undergoing diagnostic procedures were recruited for this nonrandomized
prospective controlled cohort study. The patients were assigned to 2 treatment
arms: either propofol (Group 1: IV bolus dose of 2 mg/kg during a 2-minute
period, IV maintenance dose of 100 mcg/kg/min) or midazolam (Group 2: IV bolus
dose of 0.15 mg/kg during a period of 2 to 3 minutes) to achieve sedation.
Demographic data, body weight, and clinical status of the patients were evaluated
and recorded. The vital signs and sedation levels (ie, Ramsay sedation scale
scores) were evaluated and recorded, as well as the complications detected and
medications administered in 10-minute intervals throughout the sedation
procedure. Findings between the study arms were compared. RESULTS: Arterial blood
pressures decreased significantly in both groups (P = 0.001). The patients in
Group 1 experienced a greater difference in diastolic blood pressure (P = 0.001)
than those in Group 2. Sedation scores in Group 1 were more favorable (P = 0.014)
and reached the appropriate sedation level in a shorter time than those in Group
2 (P = 0.010). Likewise, recovery time of patients was shorter in Group 1 than in
Group 2 (P = 0.010). Hypoxia was found to be more common in the propofol group,
but the difference was not significant (P = 0.333). CONCLUSION: Propofol seems to
be more effective, achieve the appropriate sedation level more quickly, and
provide a faster onset of sedation than midazolam in pediatric procedural
sedation and analgesia. Propofol is preferred for imaging studies (computed
tomography and magnetic resonance imaging) to reduce the occurrence of undesired
motion artefacts. Although both drugs are safe to use for sedation before
pediatric imaging procedures, propofol is preferred with appropriate preparation.
PMID- 24918807
TI - Influence of HMG-CoA reductase inhibitors on leptin-induced endothelial cell
proliferation, migration, and capillary-like tube formation.
AB - OBJECTIVE: This study investigated the impact of the hepatic
hydroxymethylglutaryl coenzyme A (HMG-CoA) reductase inhibitors (statins) on the
leptin-induced human umbilical vein endothelial (HUVE) cell proliferation,
migration, and capillary-like tube formation. METHODS: The HUVE cells were
isolated and cultured, and stimulated with leptin, statins (cerivastatin,
fluvastatin, simvastatin), mevalonate, farnesyl pyrophosphate, geranylgeranyl
pyrophosphate, or methyl-beta-cyclodextrin. The endothelial cell proliferation
was assessed using the Neubauer counting chamber. The migration of HUVE cells was
examined with the planar migration assay. In vitro capillary sprouting was
quantified by measuring the sprout length, number, and cumulative sprout length.
RESULTS: The HMG-CoA reductase inhibitors significantly reduced leptin-induced
proliferation and migration, which was reversed by mevalonate. Further, the
inhibitory effect of the statins on leptin-induced migration was shown to be
modulated by the prenylation of farnesyl pyrophosphate and geranylgeranyl
pyrophosphate. Although stimulation with a leptin showed no significant effect, a
marked increase in capillary-like tube formation was observed with a joint
stimulation with HMG-CoA reductase inhibitors. Although statins caused inhibition
of proliferation and migration, the same dose of the agents amplified the
selective growth of capillary-like tube formation. Membranous cholesterol
depletion by methyl-beta-cyclodextrin showed a weaker effect compared with
statins. CONCLUSION: Through modulation of prenylation, leptin-induced pro
atherosclerotic events including proliferation and migration were inhibited by
HMG-CoA reductase inhibitors.
PMID- 24918808
TI - Proton-pump inhibitors in patients requiring antiplatelet therapy: new FDA
labeling.
AB - Proton-pump inhibitors (PPIs) are recommended for patients who require
antiplatelet therapy and have a history of upper gastrointestinal bleeding.
Proton-pump inhibitors should also be considered for patients receiving
antiplatelet therapy who have other risk factors for gastrointestinal bleeding,
including use of aspirin. Thus, evidence of pharmacokinetic and pharmacodynamic
interactions between PPIs and consequent impaired effectiveness of the
antiplatelet agent clopidogrel has caused concern. Here, we discuss comparative
studies suggesting that the extent to which a PPI reduces exposure to the active
metabolite of clopidogrel and attenuates its antithrombotic effect differs among
PPIs. Although a clinically meaningful effect of the interaction between PPIs and
clopidogrel on cardiovascular outcomes has not been established, these studies
provided the basis for recent changes in US Food and Drug Administration (FDA)
labeling for several PPIs and clopidogrel. New labeling suggests that PPI use
among patients taking clopidogrel be limited to pantoprazole, rabeprazole,
lansoprazole, or dexlansoprazole. Because comparative studies indicate that
omeprazole and esomeprazole have a greater effect on the CYP2C19-mediated
conversion of clopidogrel to its active metabolite and, consequently,
clopidogrel's effect on platelet reactivity, FDA labeling recommends avoiding
omeprazole and esomeprazole in patients taking clopidogrel. Even a 12-hour
separation of dosing does not appear to prevent drug interactions between
omeprazole and clopidogrel.
PMID- 24918809
TI - Fesoterodine prescription fill patterns and evaluation of the YourWay patient
support plan for patients with overactive bladder symptoms and physicians.
AB - BACKGROUND: Adherence with oral medication for overactive bladder syndrome is
suboptimal. To improve adherence, the YourWay plan was developed to assist
patients and health care providers in defining treatment expectations and
facilitating communication. OBJECTIVE: To evaluate medication adherence among
patients with overactive bladder syndrome enrolled in the YourWay patient support
plan, patient adoption of behavioral interventions, patient satisfaction with the
plan, and physician experience with the plan. METHODS: In this 13-week, single
arm, open-label, multicenter, noninterventional study, fesoterodine-naive
patients received a prescription for fesoterodine 4 or 8 mg and a packet
including a 14-day fesoterodine sample, educational materials, and progress
tracker. Patients registered for the YourWay plan, which included an educational
resource kit, interactive voice-response calls, and optional online and mail
support. The primary end point was the proportion of patients who filled a
prescription for a >= 90-day supply of fesoterodine within 90 days of enrollment.
Secondary end points were the proportion of patients who filled >= 1 prescription
and >= 2 prescriptions (post hoc), patient evaluation of their experience and
satisfaction with the YourWay plan, and differences between prescription fillers
and nonfillers in plan adoption and assessment (post hoc). We surveyed an
independent sample of physicians to assess their experience with YourWay.
RESULTS: Of 500 study completers, 10.4% filled a prescription for a >= 90-day
supply of fesoterodine. Of those filling a prescription, 26.2% filled >= 1
prescription and among those, 61.0% refilled their prescription at least once.
Many behavioral recommendations were adopted by 82% to 94% of patients. Fillers
were more likely to take fesoterodine as directed, whereas adoption of behavioral
recommendations or plan satisfaction did not differ between fillers and
nonfillers. Most patients reported that the plan was informative and feasible to
implement, and that they were satisfied with various aspects of the plan.
Physicians also reported positive experiences. CONCLUSION: Most patients adopted
YourWay components and viewed the plan positively, although adherence remained a
challenge.
PMID- 24918810
TI - Physician adherence to ACR gout treatment guidelines: perception versus practice.
AB - BACKGROUND: In October 2012, the American College of Rheumatology (ACR) published
recommendations for chronic gout treatment goals and pharmacotherapy. OBJECTIVES:
Identify potential gaps between real-world chronic gout treatment, ACR guideline
recommendations, and physicians' perceived guideline adherence by evaluating
records of patients classified as having "higher" and "lower" guideline adherence
as defined by the investigators. METHODS: A comprehensive quantitative survey was
administered between February 11 and February 22, 2013, to physicians treating
patients with gout; the survey included a patient record chart review informed by
prior qualitative interviews. Eight criteria from the ACR gout management
guidelines were used to compose the survey. To assess ACR guideline adherence,
information from records of patients with chronic gout treated by primary care
physicians (PCPs) and rheumatologists was scored from 0 (no adherence) to 8
(total adherence), in accordance with ACR guideline recommendations. Physicians
also indicated how closely they believed patient treatment followed current
guidelines on a 10-point scale. RESULTS: Of the 350 records of patients with
chronic gout, all but 3 PCP patients were adherent on >= 1 guideline
recommendation, but nearly all patients could be considered nonadherent,
considering all potential recommendations. Patients with chronic gout treated by
rheumatologists tended to be managed more closely to ACR guidelines than patients
treated by PCPs (mean scores: rheumatologists 5.8/8 +/- 1.7 vs 4.3/8 +/- 1.7 for
PCPs). Among patients classified as having "higher adherence" based on adherence
scores, there was low adherence on first-line urate lowering therapy dose, acute
prophylaxis dosing, and length of prophylaxis treatment. Among PCPs and
rheumatologists, there was a disparity between how closely physicians believed
patient treatment followed guidelines and actual adherence with ACR guidelines
based on adherence scores. For 16.4% of patients treated by PCPs and 18.4% of
patients seen by rheumatologists, physicians believed they closely followed ACR
guidelines (score of 8-10/10) for each patient; but in actuality, adherence was
lower. CONCLUSION: Although adherence with ACR guidelines is higher among
rheumatologists than PCPs in treating patients with gout, overall adherence could
be improved by both specialties.
PMID- 24918811
TI - Assessment of aerobic and respiratory growth in the Lactobacillus casei group.
AB - One hundred eighty four strains belonging to the species Lactobacillus casei, L.
paracasei and L. rhamnosus were screened for their ability to grow under aerobic
conditions, in media containing heme and menaquinone and/or compounds generating
reactive oxygen species (ROS), in order to identify respiratory and oxygen
tolerant phenotypes. Most strains were able to cope with aerobic conditions and
for many strains aerobic growth and heme or heme/menaquinone supplementation
increased biomass production compared to anaerobic cultivation. Only four L.
casei strains showed a catalase-like activity under anaerobic, aerobic and
respiratory conditions and were able to survive in presence of H2O2 (1 mM).
Almost all L. casei and L. paracasei strains tolerated menadione (0.2 mM) and
most tolerated pyrogallol (50 mM), while L. rhamnosus was usually resistant only
to the latter compound. This is the first study in which an extensive screening
of oxygen and oxidative stress tolerance of members of the L. casei group has
been carried out. Results allowed the selection of strains showing the typical
traits of aerobic and respiratory metabolism (increased pH and biomass under
aerobic or respiratory conditions) and unique oxidative stress response
properties. Aerobic growth and respiration may confer technological and
physiological advantages in the L. casei group and oxygen-tolerant phenotypes
could be exploited in several food industry applications.
PMID- 24918812
TI - Phylogenetic stochastic mapping without matrix exponentiation.
AB - Phylogenetic stochastic mapping is a method for reconstructing the history of
trait changes on a phylogenetic tree relating species/organism carrying the
trait. State-of-the-art methods assume that the trait evolves according to a
continuous-time Markov chain (CTMC) and works well for small state spaces. The
computations slow down considerably for larger state spaces (e.g., space of
codons), because current methodology relies on exponentiating CTMC infinitesimal
rate matrices-an operation whose computational complexity grows as the size of
the CTMC state space cubed. In this work, we introduce a new approach, based on a
CTMC technique called uniformization, which does not use matrix exponentiation
for phylogenetic stochastic mapping. Our method is based on a new Markov chain
Monte Carlo (MCMC) algorithm that targets the distribution of trait histories
conditional on the trait data observed at the tips of the tree. The computational
complexity of our MCMC method grows as the size of the CTMC state space squared.
Moreover, in contrast to competing matrix exponentiation methods, if the rate
matrix is sparse, we can leverage this sparsity and increase the computational
efficiency of our algorithm further. Using simulated data, we illustrate
advantages of our MCMC algorithm and investigate how large the state space needs
to be for our method to outperform matrix exponentiation approaches. We show that
even on the moderately large state space of codons our MCMC method can be
significantly faster than currently used matrix exponentiation methods.
PMID- 24918814
TI - Glyoxalase I inhibition induces apoptosis in irradiated MCF-7 cells via a novel
mechanism involving Hsp27, p53 and NF-kappaB.
AB - BACKGROUND: Glyoxalase I (GI) is a cellular defence enzyme involved in the
detoxification of methylglyoxal (MG), a cytotoxic byproduct of glycolysis, and MG
derived advanced glycation end products (AGEs). Argpyrimidine (AP), one of the
major AGEs coming from MG modifications of proteins arginines, is a pro-apoptotic
agent. Radiotherapy is an important modality widely used in cancer treatment.
Exposure of cells to ionising radiation (IR) results in a number of complex
biological responses, including apoptosis. The present study was aimed at
investigating whether, and through which mechanism, GI was involved in IR-induced
apoptosis. METHODS: Apoptosis, by TUNEL assay, transcript and protein levels or
enzymatic activity, by RT-PCR, western blot and spectrophotometric methods,
respectively, were evaluated in irradiated MCF-7 breast cancer cells, also in
experiments with appropriate inhibitors or using small interfering RNA. RESULTS:
Ionising radiation induced a dramatic reactive oxygen species (ROS)-mediated
inhibition of GI, leading to AP-modified Hsp27 protein accumulation that, in a
mechanism involving p53 and NF-kappaB, triggered an apoptotic mitochondrial
pathway. Inhibition of GI occurred at both functional and transcriptional levels,
the latter occurring via ERK1/2 MAPK and ERalpha modulation. CONCLUSIONS:
Glyoxalase I is involved in the IR-induced MCF-7 cell mitochondrial apoptotic
pathway via a novel mechanism involving Hsp27, p53 and NF-kappaB.
PMID- 24918813
TI - Characterisation of familial colorectal cancer Type X, Lynch syndrome, and non
familial colorectal cancer.
AB - BACKGROUND: Familial Colorectal Cancer Type X (FCCTX) is defined as individuals
with colorectal cancer (CRC) who families meet Amsterdam Criteria-1 (AC1), but
whose tumours are DNA-mismatch-repair-proficient, unlike Lynch syndrome (LS).
FCCTX does not have an increased risk of extra-colonic cancers. This analysis
compares epidemiologic and clinicopathologic features among FCCTX, LS, and 'non
familial' (non-AC1) CRC cases. METHODS: From the Colon Cancer Family Registry,
FCCTX (n=173), LS (n=303), and non-AC1 (n=9603) CRC cases were identified.
Questionnaire-based epidemiologic information and CRC pathologic features were
compared across case groups using polytomous logistic regression. RESULTS:
Compared with LS, FCCTX cases were less likely to be current (vs never) smokers;
have a proximal subsite (vs rectal) tumour; or have mucinous histology, poor
differentiation, or tumour-infiltrating lymphocytes. There were no observed
differences in co-morbidities or medication usage. CONCLUSIONS: FCCTX were less
likely to be current tobacco users; other exposures were similar between these
groups. Histopathologic differences highly suggestive of LS CRCs do not appear to
be shared by FCCTX.
PMID- 24918815
TI - The role of patient, tumour and system factors in socioeconomic inequalities in
lung cancer treatment: population-based study.
AB - BACKGROUND: Reducing socioeconomic inequalities in lung cancer treatment may
reduce survival inequalities. However, the reasons for treatment variation are
unclear. METHODS: Northern and Yorkshire cancer registry, Hospital Episode
Statistics and lung cancer audit data sets were linked. Logistic regression was
used to explore the role of stage, histology, performance status and comorbidity
in socioeconomic inequalities in lung cancer treatment, for 28,733 lung cancer
patients diagnosed in 2006-2010, and in a subgroup with stage recorded (n=7769,
27%). RESULTS: Likelihood of receiving surgery was significantly lower in the
most deprived group (odds ratio (OR)=0.75, 95% confidence interval (CI) 0.65
0.86); however, the OR was attenuated when including histological subtype
(OR=0.82, 95% CI 0.71-0.96). Patients in the most deprived group were
significantly less likely to receive chemotherapy in the fully adjusted full
cohort model including performance status (OR=0.64, 95% CI 0.58-0.72) but not in
the staged subgroup model when performance status was included (OR=0.88, 95% CI
0.72-1.08). Socioeconomic inequalities in radiotherapy were not found.
INTERPRETATION: Socioeconomic inequalities in performance status statistically
explained socioeconomic inequalities in receipt of chemotherapy in the selective
staged subgroup, but not in the full cohort. Socioeconomic variation in
histological subtype may account for some of the socioeconomic inequalities in
surgery.
PMID- 24918816
TI - Prognostic factors in elderly patients with malignant pleural mesothelioma:
results of a multicenter survey.
AB - BACKGROUND: The incidence of malignant pleural mesothelioma (MPM) in elderly
patients is increasing. There are no specific guidelines for their management.
METHODS: The clinical records of elderly patients (?70 years old) with MPM
referred from January 2005 to November 2011 to six Italian Centres were reviewed.
Age, gender, histology, International Mesothelioma Interest Group (IMIG) stage,
Eastern Cooperative Oncology Group Performance Status (ECOG-PS), Charlson
Comorbidity Index (CCI) and treatment modalities were analysed and correlated to
overall survival (OS). RESULTS: In total, 241 patients were identified. Charlson
Comorbidity Index was ?1 in 92 patients (38%). Treatment was multimodality
therapy including surgery in 18, chemotherapy alone in 180 (75%) and best
supportive care in 43 cases (18%). Chemotherapy was mainly pemetrexed based.
Median OS was 11.4 months. Non-epithelioid histology (HR 2.32; 95% CI 1.66-3.23,
P<0.001), age ?75 years (HR 1.44; 95% CI 1.08-1.93, P=0.014), advanced (III-IV)
stage (HR 1.47; 95% CI 1.09-1.98, P=0.011) and CCI?1 (HR 1.38; 95% CI 1.02-1.85,
P=0.034) were associated to a shorter OS. Treatment with pemetrexed was
associated with improved OS (HR 0.40; 95% CI 0.28-0.56, P<0.001). CONCLUSIONS:
Non-epithelioid histology, age ?75 years, advanced IMIG stage and presence of
comorbidities according to CCI were significant prognostic factors in elderly
patients with MPM. Treatment with pemetrexed-based chemotherapy was feasible in
this setting. Prospective dedicated trials in MPM elderly patients selected
according to prognostic factors including comorbidity scales are warranted.
PMID- 24918818
TI - Vitamin D supplements and cancer incidence and mortality: a meta-analysis.
AB - BACKGROUND: Observational studies suggest that effects of vitamin D may be
stronger for cancer mortality than for incidence. Yet, existing randomised
controlled trials (RCTs) of vitamin D supplementation have limited power to
examine the relationships as their primary end points are not cancer incidence or
mortality. METHODS: Meta-analyses of RCTs of vitamin D supplementation and total
cancer incidence and mortality were conducted. RESULTS: Over 2-7 years of
duration, vitamin D supplementations had little effect on total cancer incidence
(400-1100 IU per day, summary relative risk (RR)=1.00, 95% confidence interval
(CI)=0.94-1.06, I(2)=0%; four RCTs with combined 4333 cases), but significantly
reduced total cancer mortality (400-833 IU per day, summary RR=0.88, 95% CI=0.78
0.98, I(2)=0%, three RCTs with combined 1190 deaths). CONCLUSIONS: Over 2-7 years
of duration, the benefit of vitamin D supplementation may be limited to cancer
mortality.
PMID- 24918819
TI - Pre-treatment neutrophil-to-lymphocyte ratio as predictor of adverse outcomes in
patients undergoing radical cystectomy for urothelial carcinoma of the bladder.
AB - BACKGROUND: An elevated neutrophil-to-lymphocyte ratio (NLR) is associated with
poor outcome in various tumours. Its prognostic utility in patients with
urothelial carcinoma of the bladder (UCB) undergoing radical cystectomy (RC) is
yet to be fully elucidated. METHODS: A cohort of patients undergoing RC for UCB
in a tertiary referral centre between 1992 and 2012 was analysed. Neutrophil-to
lymphocyte ratio was computed using complete blood counts performed pre-RC, or
before neo-adjuvant chemotherapy where applicable. Time-dependent receiver
operating characteristic curves were used to determine the optimal cutoff point
for predicting recurrence-free survival (RFS), cancer-specific survival (CSS) and
overall survival (OS). The predictive ability of NLR was assessed using Kaplan
Meier analyses and multivariable Cox proportional hazards models. The likelihood
ratio test was used to determine whether multivariable models were improved by
including NLR. RESULTS: The cohort included 424 patients followed for a median of
58.4 months. An NLR of 3 was determined as the optimal cutoff value. Patients
with an NLR?3.0 had significantly worse survival outcomes (5y-RFS: 53% vs 64%,
log-rank P=0.013; 5y-CSS: 57% vs 75%, log-rank P<0.001; 5y-OS: 43% vs 64%, log
rank P<0.001). After adjusting for disease-specific predictors, an NLR ?3.0 was
significantly associated with worse RFS (HR=1.49; 95% CI=1.12-2.0, P=0.007), CSS
(HR=1.88; 95% CI=1.39-2.54, P<0.001) and OS (average HR=1.67; 95% CI=1.17-2.39,
P=0.005). The likelihood-ratio test confirmed that prognostic models were
improved by including NLR. CONCLUSIONS: Neutrophil-to-lymphocyte ratio is an
inexpensive prognostic biomarker for patients undergoing RC for UCB. It offers
pre-treatment prognostic value in addition to established prognosticators and may
be helpful in guiding treatment decisions.
PMID- 24918817
TI - No socioeconomic inequalities in ovarian cancer survival within two randomised
clinical trials.
AB - BACKGROUND: Ovarian cancer is the leading cause of death among cancers of the
female genital tract, with poor outcomes despite chemotherapy. There was a
persistent socioeconomic gradient in 1-year survival in England and Wales for
more than 3 decades (1971-2001). Inequalities in 5-year survival persisted for
more than 20 years but have been smaller for women diagnosed around 2000. We
explored one possible explanation. METHODS: We analysed data on 1406 women
diagnosed with ovarian cancer during 1991-1998 and recruited to one of two
randomised clinical trials. In the second International Collaborative Ovarian
Neoplasm (ICON2) trial, women diagnosed between 1991 and 1996 were randomised to
receive either the three-drug combination cyclophosphamide, doxorubicin and
cisplatin (CAP) or single-agent carboplatin given at optimal dose. In the ICON3
trial, women diagnosed during 1995-1998 were randomised to receive either the
same treatments as ICON2, or paclitaxel plus carboplatin.Relative survival at 1,
5 and 10 years was estimated for women in five categories of socioeconomic
deprivation. The excess hazard of death over and above background mortality was
estimated by fitting multivariable regression models with Poisson error structure
and a dedicated link function in a generalised linear model framework, adjusting
for the duration of follow-up and the confounding effects of age, Federation of
Gynecology and Obstetrics (FIGO) stage and calendar period. RESULTS: Unlike women
with ovarian cancer in the general population, no statistically significant
socioeconomic gradient was seen for women with ovarian cancer treated in the two
randomised controlled trials. The deprivation gap in 1-year relative survival in
the general population was statistically significant at -6.7% (95% CI (-8.1,
5.3)), compared with -3.6% (95% CI (-10.4, +3.2)) in the trial population.
CONCLUSIONS: Although ovarian cancer survival is significantly lower among poor
women than rich women in England and Wales, there was no evidence of an
association between socioeconomic deprivation and survival among women with
ovarian cancer who were treated and followed up consistently in two well
conducted randomised controlled trials. We conclude that the persistent
socioeconomic gradient in survival among women with ovarian cancer, at least for
1-year survival, may be due to differences in access to treatment and standards
of care.
PMID- 24918820
TI - Survival and contralateral breast cancer in CHEK2 1100delC breast cancer
patients: impact of adjuvant chemotherapy.
AB - BACKGROUND: We assessed the sensitivity to adjuvant chemotherapy in cell cycle
checkpoint kinase 2 (CHEK2) vs non-CHEK2 breast cancer patients by comparing the
contralateral breast cancer incidence and distant disease-free and breast cancer
specific survival between both groups, stratified for adjuvant chemotherapy.
METHODS: One Dutch hereditary non-BRCA1/2 breast cancer patient cohort (n=1220)
and two Dutch cohorts unselected for family history (n=1014 and n=2488,
respectively) were genotyped for CHEK2 1100delC. Hazard ratios for contralateral
breast cancer, distant disease-free and breast cancer-specific death for mutation
carriers vs noncarriers were calculated using the Cox proportional hazard method,
stratified for adjuvant chemotherapy. RESULTS: The CHEK2 mutation carriers
(n=193) had an increased incidence of contralateral breast cancer (multivariate
hazard ratio 3.97, 95% confidence interval 2.59-6.07). Distant disease-free and
breast cancer-specific survival were similar in the first 6 years in mutation
carriers compared with noncarriers, but diverted as of 6 years after breast
cancer diagnosis (multivariate hazard ratios and 95% confidence intervals 2.65
(1.79-3.93) and 2.05 (1.41-2.99), respectively). No significant interaction
between CHEK2 and adjuvant chemotherapy was observed. CONCLUSIONS: The CHEK2
1100delC-associated breast cancer is associated with a higher contralateral
breast cancer rate as well as worse survival measures beyond 6 years after
diagnosis. No differential sensitivity to adjuvant chemotherapy was observed in
CHEK2 patients.
PMID- 24918821
TI - Metadherin regulates proliferation and metastasis via actin cytoskeletal
remodelling in non-small cell lung cancer.
AB - BACKGROUND: Metaderin (MTDH) protein is a novel component part of tight junction
complex. The aim of this study was to investigate the correlation between MTDH
and prognosis of patients and to explore the role of MTDH on NSCLC development
and metastasis. METHODS: Relative mRNA expression was evaluated by quantitative
real-time PCR, and protein expression was detected using immunohistochemistry
staining. The role of MTDH in cancer cell proliferation, migration and invasion
was studied by modulation of MTDH expression in NSCLC cell lines. These functions
of MTDH were further confirmed in vivo. RESULTS: In NSCLC, low MTDH protein
expression was correlated with lymph node metastasis, TNM stage and decreased OS
(P=0.001, 0.011 and 0.013, respectively). Overexpression of MTDH reduced
anchorage-independent and -dependent growth through arresting cell cycle,
inhibited migration and invasion in vitro and further suppressed tumorigenesis,
tumour growth and metastasis in vivo. Knockdown of MTDH expression increased cell
invasiveness. MTDH overexpression reversed pro-metastatic actin cytoskeleton
remodelling and inhibited EMT, supporting that MTDH has a key role on cancer
proliferation and metastasis. CONCLUSIONS: MTDH has an important role in NSCLC
proliferation and metastasis and provides potential in predicting metastasis and
prognosis for patients with NSCLC.
PMID- 24918825
TI - The Reno Orthopaedic Clinic trauma business curriculum. Introduction.
PMID- 24918823
TI - Correlation of BRAF and NRAS mutation status with outcome, site of distant
metastasis and response to chemotherapy in metastatic melanoma.
AB - BACKGROUND: The prognostic significance of BRAF and NRAS mutations in metastatic
melanoma patients remains uncertain, with several studies reporting conflicting
results, often biased by the inclusion of patients treated with BRAF and MEK
(MAPK) inhibitors. We therefore interrogated a historical cohort of patients free
of the confounding influence of MAPK inhibitor therapy. METHODS: Patients with
available archival tissue first diagnosed with metastatic melanoma between 2002
and 2006 were analysed. Mutational analysis was performed using the OncoCarta
Panel. Patient characteristics, treatment outcome and survival were correlated
with BRAF/NRAS mutation status. RESULTS: In 193 patients, 92 (48%) melanomas were
BRAF-mutant, 39 (20%) were NRAS-mutant and 62 (32%) were wild-type for BRAF/NRAS
mutations (wt). There was no difference in response to chemotherapy based on
mutation status (35-37%). The distant disease-free interval (DDFI) was
significantly shorter in patients with wt melanoma (27.9 months vs 35.1 for BRAF
and 49.1 for NRAS) although this was not significant in multivariate analysis.
Survival from stage IV melanoma diagnosis was not significantly different based
on mutation status. The DDFI was significantly shorter in patients with
BRAF(V600K/R) versus BRAF(V600E) melanoma in univariate and multivariate
analyses. CONCLUSIONS: BRAF and NRAS mutation status does not influence survival
in metastatic melanoma.
PMID- 24918824
TI - Risk factors for delay in symptomatic presentation: a survey of cancer patients.
AB - BACKGROUND: Delay in symptomatic presentation leading to advanced stage at
diagnosis may contribute to poor cancer survival. To inform public health
approaches to promoting early symptomatic presentation, we aimed to identify risk
factors for delay in presentation across several cancers. METHODS: We surveyed
2371 patients with 15 cancers about nature and duration of symptoms using a
postal questionnaire. We calculated relative risks for delay in presentation
(time from symptom onset to first presentation >3 months) by cancer, symptoms
leading to diagnosis and reasons for putting off going to the doctor, controlling
for age, sex and deprivation group. RESULTS: Among 1999 cancer patients reporting
symptoms, 21% delayed presentation for >3 months. Delay was associated with
greater socioeconomic deprivation but not age or sex. Patients with prostate
(44%) and rectal cancer (37%) were most likely to delay and patients with breast
cancer least likely to delay (8%). Urinary difficulties, change of bowel habit,
systemic symptoms (fatigue, weight loss and loss of appetite) and skin symptoms
were all common and associated with delay. Overall, patients with bleeding
symptoms were no more likely to delay presentation than patients who did not have
bleeding symptoms. However, within the group of patients with bleeding symptoms,
there were significant differences in risk of delay by source of bleeding: 35% of
patients with rectal bleeding delayed presentation, but only 9% of patients with
urinary bleeding. A lump was a common symptom but not associated with delay in
presentation. Twenty-eight percent had not recognised their symptoms as serious
and this was associated with a doubling in risk of delay. Embarrassment, worry
about what the doctor might find, being too busy to go to the doctor and worry
about wasting the doctor's time were also strong risk factors for delay, but were
much less commonly reported (<6%). INTERPRETATION: Approaches to promote early
presentation should aim to increase awareness of the significance of cancer
symptoms and should be designed to work for people of the lowest socioeconomic
status. In particular, awareness that rectal bleeding is a possible symptom of
cancer should be raised.
PMID- 24918822
TI - Identification of tumour suppressive microRNA-451a in hypopharyngeal squamous
cell carcinoma based on microRNA expression signature.
AB - BACKGROUND: Hypopharyngeal squamous cell carcinoma (HSCC) has a very poor
prognosis because of its high rates of regional and distant metastasis.
Identification of differentially expressed miRNAs and their regulated molecular
targets in tumour cells might enhance our understanding of the molecular
mechanisms of metastasis in human cancers. METHODS: A HSCC miRNA signature was
constructed by array-based methods. Functional studies of microRNA-451a (miR
451a) and target genes were performed to investigate cell proliferation,
migration and invasion by cancer cell lines. To identify miR-451a-regulated
molecular targets, we adopted gene expression analysis and in silico database
analysis. RESULTS: Our miRNA signature revealed that miR-451a was significantly
downregulated in HSCC. Restoration of miR-451a in cancer cell lines revealed that
this miRNA significantly inhibited cancer cell migration and invasion. Our data
demonstrated that the gene coding for endothelial and smooth muscle cell-derived
neuropilin-like molecule (ESDN/DCBLD2) was a direct target of miR-451a
regulation. Silencing of ESDN inhibited cell migration and invasion by cancer
cells. CONCLUSIONS: Loss of tumour suppressive miR-451a enhanced cancer cell
migration and invasion in HSCC through direct regulation of ESDN. Our miRNA
signature and functional analysis of targets regulated by tumour suppressive miR
451a provide new insights into the potential mechanisms of HSCC oncogenesis and
metastasis.
PMID- 24918826
TI - Practice management.
AB - The practicing orthopaedic traumatologist must have a sound knowledge of business
fundamentals to be successful in the changing healthcare environment. Practice
management encompasses multiple topics including governance, the financial
aspects of billing and coding, physician extender management, ancillary service
development, information technology, transcription utilization, and marketing.
Some of these are universal, but several of these areas may be most applicable to
the private practice of medicine. Attention to each component is vital to develop
an understanding of the intricacies of practice management.
PMID- 24918828
TI - Healthcare fundamentals.
AB - In order for a trauma surgeon to have an intelligent discussion with hospital
administrators, healthcare plans, policymakers, or any other physicians, a basic
understanding of the fundamentals of healthcare is paramount. It is truly
shocking how many surgeons are unable to describe the difference between Medicare
and Medicaid or describe how hospitals and physicians get paid. These topics may
seem burdensome but they are vital to all business decision making in the
healthcare field. The following chapter provides further insight about what we
call "the basics" of providing medical care today. Most of the topics presented
can be applied to all specialties of medicine. It is broken down into 5 sections.
The first section is a brief overview of government programs, their influence on
care delivery and reimbursement, and past and future legislation. Section 2
focuses on the compliance, care provision, and privacy statutes that regulate
physicians who care for Medicare/Medicaid patient populations. With a better
understanding of these obligations, section 3 discusses avenues by which
physicians can stay informed of current and pending health policy and provides
ways that they can become involved in shaping future legislation. The fourth
section changes gears slightly by explaining how the concepts of trade restraint,
libel, antitrust legislation, and indemnity relate to physician practice. The
fifth, and final, section ties all of components together by describing how
physician-hospital alignment can be mutually beneficial in providing patient care
under current healthcare policy legislation.
PMID- 24918827
TI - Hospital fundamentals.
AB - Under the current system, orthopaedic trauma surgeons must work in some form of
hospital setting as our primary service involves treatment of the trauma patient.
We must not forget that just as a trauma center cannot exist without our
services, we cannot function without their support. As a result, a clear
understanding of the balance between physicians and hospitals is paramount.
Historical perspective enables physicians and hospital personnel alike to
understand the evolution of hospital-physician relationship. This process should
be understood upon completion of this chapter. The relationship between
physicians and hospitals is becoming increasingly complex and multiple forms of
integration exist such as joint ventures, gain sharing, and co-management
agreements. For the surgeon to negotiate well, an understanding of hospital
governance and the role of the orthopaedic traumatologist is vital to success. An
understanding of the value provided by the traumatologist includes all aspects of
care including efficiency, availability, cost effectiveness, and research
activities. To create effective and sustainable healthcare institutions,
physicians and hospitals must be aligned over a sustained period of time.
Unfortunately, external forces have eroded the historical basis for the working
relationship between physicians and hospitals. Increased competition and
reimbursement cuts, coupled with the increasing demands for quality, efficiency,
and coordination and the payment changes outlined in healthcare reform, have left
many organizations wondering how to best rebuild the relationship. The principal
goal for the physician when partnering with a hospital or healthcare entity is to
establish a sustainable model of service line management that protects or
advances the physician's ability to make impactful improvements in quality of
patient care, decreases in healthcare costs, and improvements in process
efficiency through evidence-based practices and protocols.
PMID- 24918829
TI - Reno Orthopaedic Trauma Fellowship business curriculum.
AB - The Reno Orthopaedic Center (ROC) Trauma Fellowship business curriculum is
designed to provide the fellow with a graduate level business practicum and
research experience. The time commitments in a typical 12-month trauma fellowship
are significant, rendering a traditional didactic master's in business
administration difficult to complete during this short time. An organized,
structured, practical business education can provide the trauma leaders of
tomorrow with the knowledge and experience required to effectively navigate the
convoluted and constantly changing healthcare system. The underlying principle
throughout the curriculum is to provide the fellow with the practical knowledge
to participate in cost-efficient improvements in healthcare delivery. Through the
ROC Trauma Fellowship business curriculum, the fellow will learn that delivering
healthcare in a manner that provides better outcomes for equal or lower costs is
not only possible but a professional and ethical responsibility. However,
instilling these values without providing actionable knowledge and programs would
be insufficient and ineffective. For this reason, the core of the curriculum is
based on individual teaching sessions with a wide array of hospital and private
practice administrators. In addition, each section is equipped with a suggested
reading list to maximize the learning experience. Upon completion of the
curriculum, the fellow should be able to: (1) Participate in strategic planning
at both the hospital and practice level based on analysis of financial and
clinical data, (2) Understand the function of healthcare systems at both a macro
and micro level, (3) Possess the knowledge and skills to be strong leaders and
effective communicators in the business lexicon of healthcare, (4) Be a partner
and innovator in the improvement of the delivery of orthopaedic services, (5)
Combine scientific and strategic viewpoints to provide an evidence-based strategy
for improving quality of care in a cost-efficient manner, (6) Understand the
political, economic, and strategic basics of private practice orthopaedics.
PMID- 24918830
TI - Trauma program development.
AB - The development of a strong trauma program is clearly one of the most important
facets of successful business development. Several recent publications have
demonstrated that well run trauma services can generate significant profits for
both the hospital and the surgeons involved. There are many aspects to this task
that require constant attention and insight. Top notch patient care, efficiency,
and cost-effective resource utilization are all important components that must be
addressed while providing adequate physician compensation within the bounds of
hospital financial constraints and the encompassing legal issues. Each situation
is different but many of the components are universal. This chapter addresses all
aspects of trauma program development to provide the graduating fellow with the
tools to create a new trauma program or improve an existing program in order to
provide the best patient care while optimizing financial reward and improving
care efficiency.
PMID- 24918831
TI - Hospital support.
AB - Setting up a successful trauma system requires a significant amount of hospital
support. This includes personnel and programs to assist with quality assurance
programs, clinical compliance, and rural support and development. It is
imperative that orthopaedic trauma surgeons are well versed in the types of
hospital support available and the costs associated with each support measure.
With this understanding, a strong, sustainable physician-hospital relationship
can be created.
PMID- 24918832
TI - Personal finances for the physician: a primer on maintaining and protecting your
earnings.
AB - Personal finance is a key component to your success as a physician. Your clinical
practice does not exist in a vacuum unaffected by circumstances and decisions in
your personal life. Though some events in your personal life that can negatively
affect your practice are random and unavoidable, consistently making sound
decisions regarding your personal life and finances will allow you to continue
practicing at a high level. Most core principles of personal finance are common
sense and do not involve high level math. Although the concepts are
straightforward, people, including physicians, routinely fail to make good
decisions at the most elementary level. The core common sense principles for
financial success are: do not get divorced, manage your own money, live in a
state without state income tax, and drive an old car. Follow these tenants and
the path to successful and satisfactory retirement will be smooth.
PMID- 24918833
TI - Questionnaires for outcome expectancy, self-regulation, and behavioral
expectation for resistance training among young-old adults: development and
preliminary validity.
AB - The purpose of the present research was to develop questionnaires to assess
outcome expectancy for resistance training (RT), behavioral expectation in the
context of perceived barriers to RT, and self-regulation strategies for RT among
young-old adults (50-69 years). Measurement development included (a) item
generation through elicitation interviews (N = 14) and open-ended questionnaires
(N = 56), (b) expert feedback on a preliminary draft of the questionnaires (N =
4), and (c) a quantitative longitudinal study for item-reduction and psychometric
analyses (N = 94). Elicitation procedures, expert feedback, and item reduction
yielded four questionnaires with a total of 33 items. Positive outcome expectancy
(alpha = .809), negative outcome expectancy (alpha = .729), behavioral
expectation (alpha = .925), and self-regulation (alpha = .761) had-with one
exception-moderate bivariate associations with two different indicators of self
reported RT behavior at one-month follow-up (r = .298 to .506). The present
research provides preliminary support for newly developed questionnaires to
facilitate understanding of the psychosocial determinants of RT among young-old
adults.
PMID- 24918834
TI - Belinostat for the treatment of peripheral T-cell lymphomas.
AB - Belinostat is a novel histone deacetylase (HDAC) inhibitor that is being
developed in various solid tumors and hematologic malignancies. HDACs have been
found to be important in the epigenetic regulation of cancer progression and
inhibition of these molecules in preclinical studies induces cancer cell
apoptosis and prevents tumor growth. Several HDAC molecules have been found to be
overexpressed in peripheral T-cell lymphoma (PTCL) and therefore HDAC inhibition
has been an important new target in treating these malignancies which have
traditionally had poor outcomes and limited treatment response. Phase I studies
were tested across a broad range of hematologic and solid tumors and showed
stability of disease in various tumor types with low rates of adverse events.
This made it acceptable to proceed with further testing in specific tumor types
to further determine efficacy. Two phase II studies have been completed with
belinostat given intravenously in the relapsed/refractory PTCL setting with at
least 25% overall response and minimal toxicities. These findings have led to a
request for accelerated approval to the U.S. Food and Drug Administration for
belinostat in this setting. This review will discuss the preclinical
pharmacology, pharmacokinetics and clinical efficacy to date of belinostat in the
treatment of PTCL.
PMID- 24918835
TI - Nalmefene and its use in alcohol dependence.
AB - Nalmefene is the first available drug approved in the E.U. to reduce alcohol use
in alcohol-dependent patients. Reduction in alcohol use in heavy drinkers
diminishes mortality risk and socio-economic burden. Nalmefene has shown efficacy
at 6 months in alcohol-dependent patients with high or very high drinking risk
levels in reducing total alcohol consumption (-7.6 g/day [95% confidence interval
(CI): -11.6 to -3.5]; P = 0.0003), heavy drinking days (-2.00 days/month [95% CI:
-3.00 to -1.00]; P ? 0.00001) and other secondary outcome measures such as gamma
glutamyl transferase, alanine aminotransferase, drinking risk level and Clinical
Global Impression. It is generally well tolerated and has limited
contraindications and interactions. As-needed dosage is a novel concept in the
addictions field, which may overcome limitations of traditional regimens. In the
pivotal trials, nalmefene was taken 52% of the days and compliance with the as
needed treatment regimen was good (above 80% of the days) in 68% of the nalmefene
treated patients. A new pharmacological approach combined with a brief
psychosocial intervention for alcoholism is available and appears to be feasible,
safe and efficacious.
PMID- 24918836
TI - Ospemifene for the treatment of dyspareunia in postmenopausal women.
AB - Ospemifene is a third-generation selective estrogen receptor modulator (SERM),
structurally closely related to toremifene. Clinical studies in postmenopausal
women with vulvovaginal atrophy demonstrated that it produced significant
improvements in the structure of the vagina and its pH, and significantly reduced
dyspareunia, the main complaint of the women treated. Preclinical studies
demonstrated that ospemifene, unlike tamoxifen, did not produce DNA adducts,
suggesting that it has less carcinogenic potential than tamoxifen. Preclinical
and clinical studies showed that ospemifene has an agonist action on bone and
reduced the growth of all breast cancer models in animal studies, providing they
expressed estrogen receptor-alpha. Ospemifene had minimal effects on the
endometrium of postmenopausal women. Ospemifene 60 mg once a day was approved by
the U.S. Food and Drug Administration in February 2013 for women with moderate to
severe dyspareunia.
PMID- 24918837
TI - Contemporary treatment options for relapsing-remitting multiple sclerosis.
AB - Multiple sclerosis (MS) is an autoimmune disease of the central nervous system,
encompassing both neuroinflammatory as well as prominent neurodegenerative
aspects. A significant proportion of MS patients will develop neurological
disability over time and up until recently licensed drugs could not
satisfactorily halt this process. However, in the last years MS treatment has
raised a stage of rapid progress. Several new drugs with significantly improved
efficacy have entered the therapeutic field and several others are currently
undergoing phase III clinical trials. In this review, we will summarize efficacy
data as well as safety and tolerability issues of currently licensed drugs for
relapsing-remitting MS and will give a short update on new drugs currently
undergoing late-stage clinical trials.
PMID- 24918838
TI - A report from the 29th Annual Congress of the European Association of Urology
(April 11-15 - Stockholm, Sweden).
AB - The annual congress of the European Association of Urology in Stockholm was
packed with mixed poster/oral sessions wherein innovative clinical and
preclinical research aimed at improving the care of patients with urinary tract
diseases was reported and open for discussion. Not everything that was reported
during the meeting can be captured in a single report, but the following text
will guide readers through the most significant new findings directly related
with pharmacotherapy for overactive bladder, urinary tract cancer and other
common medical conditions that endanger the quality of life and life expectancy
of many patients worldwide.
PMID- 24918839
TI - Verbal learning in marijuana users seeking treatment: a comparison between
depressed and non-depressed samples.
AB - BACKGROUND: Both individuals with marijuana use and depressive disorders exhibit
verbal learning and memory decrements. OBJECTIVES: This study investigated the
interaction between marijuana dependence and depression on learning and memory
performance. METHODS: The California Verbal Learning Test-Second Edition (CVLT
II) was administered to depressed (n = 71) and non-depressed (n = 131) near-daily
marijuana users. The severity of depressive symptoms was measured by the self
rated Beck Depression Inventory (BDI-II) and the clinician-rated Hamilton
Depression Rating Scale (HAM-D). Multivariate analyses of covariance statistics
(MANCOVA) were employed to analyze group differences in cognitive performance.
Pearson's correlation coefficients were calculated to examine the relative
associations between marijuana use, depression and CVLT-II performance. Findings
from each group were compared to published normative data. RESULTS: Although both
groups exhibited decreased CVLT-II performance relative to the test's normative
sample (p < 0.05), marijuana-dependent subjects with a depressive disorder did
not perform differently than marijuana-dependent subjects without a depressive
disorder (p > 0.05). Further, poorer CVLT-II performance was modestly associated
with increased self-reported daily amount of marijuana use (corrected p < 0.002),
but was not significantly associated with increased scores on measures of
depressive symptoms (corrected p > 0.002). CONCLUSION: These findings suggest an
inverse association between marijuana use and verbal learning function, but not
between depression and verbal learning function in regular marijuana users.
PMID- 24918840
TI - Differential Associations of Communication and Love in Heterosexual, Lesbian, and
Bisexual Women's Perceptions and Experiences of Chronic Vulvar and Pelvic Pain.
AB - The literature on genital and pelvic pain has largely focused on heterosexual
women. An online study examined characteristics of vulvar pain in 839 lesbian,
bisexual, and heterosexual women 18-45 years of age and investigated associations
between relationship qualities such as love and communication with participants'
perceptions of pain's influence on relationships. Characteristics of vulvar pain
were similar across groups. Groups differed in how they perceived pain to impact
their relationships, such that better communication for same-sex couples and more
love for mixed-sex couples was associated with the perception of their pain as
having less of an effect on their relationship functioning.
PMID- 24918841
TI - Nonlinear optical imaging of defects in cubic silicon carbide epilayers.
AB - Silicon carbide is one of the most promising materials for power electronic
devices capable of operating at extreme conditions. The widespread application of
silicon carbide power devices is however limited by the presence of structural
defects in silicon carbide epilayers. Our experiment demonstrates that optical
second harmonic generation imaging represents a viable solution for
characterizing structural defects such as stacking faults, dislocations and
double positioning boundaries in cubic silicon carbide layers. X-ray diffraction
and optical second harmonic rotational anisotropy were used to confirm the growth
of the cubic polytype, atomic force microscopy was used to support the
identification of silicon carbide defects based on their distinct shape, while
second harmonic generation microscopy revealed the detailed structure of the
defects. Our results show that this fast and noninvasive investigation method can
identify defects which appear during the crystal growth and can be used to
certify areas within the silicon carbide epilayer that have optimal quality.
PMID- 24918842
TI - Beyond the evidence: the values for the patient.
PMID- 24918843
TI - A little more on the appearance of doctors.
PMID- 24918844
TI - Update on chronic nonspecific lower back pain: rehabilitation.
PMID- 24918845
TI - Vaccination in the prevention of infectious respiratory diseases in adults.
PMID- 24918846
TI - Warfarin-induced gastric intramural hematoma.
PMID- 24918847
TI - Breastfeeding exclusively and iron deficiency anemia during the first 6 months of
age.
AB - OBJECTIVE: The objective was to determine the prevalence of iron deficiency and
iron deficiency anemia among exclusively breastfed infants from one to six months
of life and to identify associated risk factors. METHODS: This is a cohort study
of the hemoglobin and serum ferritin levels of 102 healthy full-term infants,
weighing more than 2500 grams (5.5 pounds) at birth, evaluated for growth
development and supported to promote exclusive breastfeeding. Hemoglobin and
ferritin levels were measured in the first, fourth, and sixth months of life. The
hemoglobin and ferritin levels of the mothers were also measured in the first
month postpartum. RESULTS: At four months, 5.7% presented iron deficiency and
3.4% had iron deficiency anemia. At six months, the percentage of children with
iron deficiency increased more than four times, reaching 26.1%, while iron
deficiency anemia was present in 23.9% of the infants studied. Iron deficiency at
six months of age was significantly correlated to growth velocity. CONCLUSION:
According to the results of this study, exclusive breastfeeding protects infants
from iron deficiency and iron deficiency anemia for the first four months of
life. After this age, in accordance with the literature, the findings of this
study demonstrated an increase in anemia and iron deficiency rates, adding to
evidence that supports the monitoring of iron levels in exclusively breastfed
children presenting higher weight gains beginning at four months of age.
PMID- 24918848
TI - Caffeine and physical training: effects on cardiac morphology and cardiovascular
response.
AB - OBJECTIVE: to analyze the morphological structure of cardiac, blood pressure
(BP), heart rate (HR) and heart rate variability (HRV) of rats subjected to
physical training with supplementation of caffeine. METHODS: 60 rats were divided
into 4 groups: control (CO), control with caffeine (CAF), trained control (TRE)
and trained with caffeine (TCAF). All trained groups underwent 4 weeks of
swimming, and all caffeine groups were supplemented by voluntary ingestion of
caffeine diluted in drinking water. RESULTS: there were no changes to BP and HR
between groups. Regarding HRV, there was a decrease in LFnorm (low frequency) and
LF/HF ratio (low and high frequency) in TCAF and CAF compared to group (p<0.02
and p<0.03, respectively). An increase occurred in CAF compared to the CO in the
component LFnorm (p<0.05). The results also showed an increase in the relative
weight of heart in the TRE (p<0.04) and TCAF (p<0.03) compared to CO. CONCLUSION:
caffeine did not modify the hemodynamic responses. However, physical training
resulted in a decrease in sympathetic response and an increase in relative heart
weight.
PMID- 24918849
TI - Factors associated with treatment interruption for Hepatitis C.
AB - OBJECTIVE: To evaluate risk factors related to Hepatitis C treatment
interruption. METHODS: Retrospective cohort of patients seen at the Hepatology
outpatient service at Hospital dos Servidores do Estado do Rio de Janeiro, from
2001 to 2009. The factors investigated were: age, gender, genotype, degree of
liver fibrosis, type of treatment, treatment time in weeks, diabetes mellitus,
and systemic hypertension. Survival curves and bivariate and multivariate Cox
regression models were used in the analyses. RESULTS: The risk of treatment
interruption is six times greater in patients with more advanced degrees of liver
fibrosis (F4) compared to those with less advanced degree (F2) in the period from
0 to 24 weeks of treatment. Genotype was found to be an important factor to
explain therapy cessation after 24 weeks of treatment - the risk of stopping
treatment was 2.5 times higher in patients with genotype 3 than in those with
genotype 1. CONCLUSION: Degree of liver fibrosis and genotype proved to be the
main risk factors associated to treatment interruption.
PMID- 24918850
TI - Interferon-induced depression in patients with hepatitis C: an epidemiologic
study.
AB - OBJECTIVE: To assess the incidence rate and severity of depressive symptoms in
different time points (12, 24 and 48 weeks) in Brazilian patients with HCV
treated with PEG IFN plus ribavirin. METHODS: We conducted an observational
prospective study using the Beck Depression Inventory (BDI) and the Center for
Epidemiologic Studies Depression Scale (CES-D). RESULTS: Fifty patients were
included. The assessments with either scale showed the highest score of
depressive symptoms in the 24(th) week of treatment; the mean BDI score before
treatment was 6.5 +/- 5.3 and the mean CES-D was 10.9 +/- 7.8. After 24 weeks,
the mean BDI was 16.1 +/- 10.2 and mean CES-D was 18.6 +/- 13.0; 46% were
diagnosed with depression according to combined BDI and CES-D scores. The
somatic/psychomotor subscales were highly correlated with overall scale scores .
Subjects with history of substance and alcohol abuse had higher risk for IFN
induced depression. CONCLUSION: Treatment with PEG IFN was associated with a high
incidence rate of depressive symptoms in this sample of Brazilian patients, as
measured by CES-D and BDI. Alcohol and substance abuse increase the risk of PEG
IFN-induced depression.
PMID- 24918851
TI - Is the anesthesiologist actually prepared for loss of airway or respiratory
function? A cross-sectional study conducted in a tertiary hospital.
AB - OBJECTIVE: To identify compliance with the procedures for securing the airway of
patients submitted to anesthesia, defined as highly recommended in the World
Health Organization Surgical Safety Checklist. METHODS: A prospective, cross
sectional, observational study was conducted with 87 patients aged 18 to 60
years, classified as ASA grade 1 or 2 according to the American Society of
Anesthesiologists' Physical Status Classification. The study variables consisted
of: whether the Mallampati test had been performed, whether equipment was readily
available for orotracheal intubation, whether the correct placement of the
endotracheal tube was verified, whether patient ventilation was monitored and
whether fasting was confirmed. Prevalence ratios and their respective 95%
confidence intervals were calculated as measures of relative risk. Statistical
significance was defined at 5%. RESULTS: It was found that in 87.4% of patients,
the airway was not evaluated using the Mallampati classification and in 51.7% of
cases, preoperative fasting was not confirmed. In 29.9% of cases, the ready
availability of equipment for orotracheal intubation was not verified. In all of
the cases in which the availability of this equipment was not checked, the
patient was submitted to regional anesthesia, with a statistically significant
difference compared to the cases in which the patient was submitted to general
anesthesia. CONCLUSION: Measures considered essential for the safety of the
patient during surgery are still being ignored.
PMID- 24918852
TI - Physical activity and sexual function in middle-aged women.
AB - OBJECTIVE: To investigate the relationship between physical activity level and
sexual function in middle-aged women. METHODS: A cross-sectional study with a
sample of 370 middle-aged women (40-65 years old), treated at public health care
facilities in a Brazilian city. A questionnaire was used containing enquiries on
sociodemographic, clinical and behavioral characteristics: the International
Physical Activity Questionnaire (IPAQ), short form, and the Female Sexual
Function Index (FSFI). RESULTS: The average age of the women studied was 49.8
years (+/- 8.1), 67% of whom exhibited sexual dysfunction (FSFI <= 26.55).
Sedentary women had a higher prevalence (78.9%) of sexual dysfunction when
compared to active (57.6%) and moderately active (66.7%) females (p = 0.002).
Physically active women obtained higher score in all FSFI domains (desire,
arousal, lubrication, orgasm, satisfaction and pain) and total FSFI score (20.9),
indicating better sexual function than their moderately active (18.8) and
sedentary (15.6) counterparts (p <0.05). CONCLUSION: Physical activity appears to
influence sexual function positively in middle-aged women.
PMID- 24918853
TI - Prevalence of low bone mineral density in adolescents and adults with cystic
fibrosis.
AB - OBJECTIVE: The aim of this cross-sectional study was to evaluate the prevalence
of low bone mass density in cystic fibrosis patients as well as to evaluate the
factors associated with bone mass in such patients. METHODS: Bone mass density
was measured by dual-photon X-ray absorptiometry of lumbar spine (L1-L4), in
patients <=19 years old, or lumbar spine and femur (total and neck) in patients
>=20 years old. Evaluations of nutritional status, biochemical parameters, and
lung function were performed. Medication data were obtained from medical records.
RESULTS: Fifty-eight patients were included in the study (25 males/ 33 females),
mean age 23.9 years (16-53 years). The prevalence of bone mass below the expected
range for age at any site was 20.7%. None of the subjects had history of
fracture. Lumbar spine Z-score in cystic fibrosis patients correlated positively
with body mass index (r= 0.3, p=0.001), and forced expiratory volume in the first
second (% predicted) (r=0.415, p=0.022). Mean lumbar spine Z-score was higher in
women (p=0.001), in patients with no pancreatic insufficiency (p=0.032), and in
patients with no hospitalization in the last 3 months (p=0.02). After
multivariate analysis, body mass index (p= 0.001) and sex (p=0.001) were
independently associated with Z-score in lumbar spine. CONCLUSION: Low bone mass
is a frequent problem in patients with CF, being independently associated with
body mass index, and male sex.
PMID- 24918854
TI - Psychiatric comorbidity in diabetes type 1: a cross-sectional observational
study.
AB - OBJECTIVE: This study aims to investigate the prevalence of psychiatric
disorders, i.e., the presence of signs and symptoms of anxiety and depression in
type 1 diabetic patients, as well as to investigate the prevalence of psychiatric
disorders in insulin dependent patients. METHODS: A cross-sectional observational
study of 110 diabetic outpatients (mean = 58.3, SD = 14.5; 50 male and 60 female)
was conducted in a public health clinic with patients diagnosed with diabetes
mellitus who were under the medical supervision of an endocrinologist. The
patients were evaluated through the Mini International Neuropsychiatric Interview
and the Hospital Anxiety and Depression Scale(HADS). RESULTS: With respect to
anxiety symptoms, we found a prevalence of 60% (n = 66) among patients, while in
depression symptoms we found a prevalence of 53.6% (n = 59) concerning the 110
patients evaluated. More specifically, we found 28.2% (n = 31) of patients
without depression or anxiety, 13.6% (n = 15) of patients with depression, 16.4%
(n = 18) of patients with anxiety and 41.8% (n = 46) of patients with depression
combined with anxiety. The most remarkable data were generalized anxiety disorder
(22.7%), dysthymia (18.2%), panic disorder (8.2%) and social phobia (5.5%).
CONCLUSION: The need for accurate assessments about the presence of symptoms
related to psychopathology in patients with type 1 diabetes is evident.
PMID- 24918855
TI - Pre-hospital delay in acute myocardial infarction: judgement of symptoms and
resistance to pain.
AB - OBJECTIVE: To estimate the time of decision (TD) to look for medical care and the
time of arrival (TA) at the health service for men (M) and women (W) suffering
from acute myocardial infarction and to analyze the influence of the
interpretation of pain and pain resistance behaviors during these times. METHODS:
This is an exploratory research, performed at the university hospital in
Salvador/Bahia. 43 W and 54 M were interviewed. To study the dependence among
sociodemographic and gender variables, the Fisher Exact Test was used. To analyze
times, a geometric mean (GM) was used. In order to verify the association between
the GM of TD and TA and the judgment of pain, and between the GM of TD and TA and
the behavior of resistance to pain, as well as to test the time of interaction
between the gender variable and other variables of interest, the robust
regression model was used. The statistical significance adopted was 5%. RESULTS:
The GM of the TD for M was 1.13 h; for W, 0.74 h. The GM of the TA was 1.74 h for
M and 1.47 h for W. Those who did not recognize the symptoms of AMI and presented
behavior of resistance to pain had higher TD and TA, being the associations
significant. Gender did not change the associations of interest. CONCLUSION: The
findings demonstrate the importance of health education aiming at the benefits of
early treatment.
PMID- 24918856
TI - Sexual violence against children and vulnerability.
AB - OBJECTIVE: To analyze the demographic and epidemiological profile of children and
adolescents victims of sexual violence treated in a Unit of Forensic Medicine and
the relationship between victims and perpetrators. METHODS: A descriptive study,
with data collection from information gathered from sex abuse reports performed
in 2009 on victims of sexual violence aged less than 18 years. The data
collection tool was a form filled out with demographic information about the
victim - gender and age - and information regarding the sexual violence -,
location of the occurrence, time elapsed between abuse and expert report,
complaints reported, sexological examination findings, description of lesions
outside the genital region, and aggressor's relationship to victim. RESULTS: In
2009, 421 individuals victim of sexual violence were assisted. Of those, 379
(90%) were younger than 18 years, and 66 cases were excluded from these reports.
Most were female (81.2%). The most affected age group was 10 to 13 years old
(36.7%), followed by 5 to 9 year-olds (30.7%). In most cases (86.3%), there were
family or friendship ties between victims and perpetrators, being most frequently
accused an acquaintance or friend of the family (42.3%), followed by the
stepfather (16.6%) and the father (10.9%). CONCLUSION: The results are similar to
other studies conducted in the country. This work aims at filling a gap caused by
the lack of research on this topic in the State, hoping to collaborate to improve
public policies against child sexual abuse.
PMID- 24918857
TI - Association between oxidative stress and nutritional status in the elderly.
AB - Ageing is a dynamic and progressive process that is characterized by the
occurrence of morphological, biochemical, functional and psychological changes in
the organism. The aim of the present article is to provide updated concepts on
oxidative stress, covering its importance in aging, as well as nutritional status
and supplementation with antioxidants (substances that prevent or attenuate
oxidation of oxidizable substrates, such as lipids, proteins, carbohydrates and
deoxyribonucleic acid) in the geriatric population. Evidence suggests that there
is an inverse relationship between oxidative stress and nutritional status in
elderly individuals. Although an increase in oxidative stress in chronic diseases
associated with aging has been proven, such as Parkinson's disease and
Alzheimer's disease, up to now there has been no consistent clinical evidence
proving the efficiency of supplementation with antioxidants against oxidative
stress. In this context, supplementation is not recommended. On the other hand,
the elderly should be encouraged to eat antioxidant foods, such as fruits and
vegetables. Maintaining a normal weight (body mass index between 23 and 28
Kg/m(2)) should also be stimulated.
PMID- 24918858
TI - Cortisol: the villain in metabolic syndrome?
AB - OBJECTIVE: This article reviews the state of the art regarding the association
between glucocorticoid actions and both obesity and insulin resistance, two main
features of the metabolic syndrome. METHODS: A methodological assessment of the
literature on PubMed and SciELO databases was conducted by using the following
terms: stress, metabolic syndrome, glucocorticoids, obesity, insulin resistance,
hypothalamic-pituitary-adrenal-axis and 11beta-hydroxysteroid dehydrogenase.
RESULTS: Chronic stress, mainly through hypothalamic-pituitary-adrenal axis
dysregulation, promotes the accumulation of visceral fat. Reciprocally, obesity
promotes a systemic low-grade inflammation state, mediated by increased adipokine
secretion, which can chronically stimulate and disturb stress system. This
vicious cycle, probably initiated by visceral adipose tissue dysfunction, might
be the trigger for the development of metabolic syndrome. CONCLUSION: Given the
strong evidences linking glucocorticoid release, obesity and type 2 diabetes,
better understanding of the mechanisms underlying this connection might be useful
for prevention and treatment of the metabolic syndrome.
PMID- 24918859
TI - What explains usage of mobile physician-rating apps? Results from a web-based
questionnaire.
AB - BACKGROUND: Consumers are increasingly accessing health-related information via
mobile devices. Recently, several apps to rate and locate physicians have been
released in the United States and Germany. However, knowledge about what kinds of
variables explain usage of mobile physician-rating apps is still lacking.
OBJECTIVE: This study analyzes factors influencing the adoption of and
willingness to pay for mobile physician-rating apps. A structural equation model
was developed based on the Technology Acceptance Model and the literature on
health-related information searches and usage of mobile apps. Relationships in
the model were analyzed for moderating effects of physician-rating website (PRW)
usage. METHODS: A total of 1006 randomly selected German patients who had visited
a general practitioner at least once in the 3 months before the beginning of the
survey were randomly selected and surveyed. A total of 958 usable questionnaires
were analyzed by partial least squares path modeling and moderator analyses.
RESULTS: The suggested model yielded a high model fit. We found that perceived
ease of use (PEOU) of the Internet to gain health-related information, the
sociodemographic variables age and gender, and the psychographic variables
digital literacy, feelings about the Internet and other Web-based applications in
general, patients' value of health-related knowledgeability, as well as the
information-seeking behavior variables regarding the amount of daily private
Internet use for health-related information, frequency of using apps for health
related information in the past, and attitude toward PRWs significantly affected
the adoption of mobile physician-rating apps. The sociodemographic variable age,
but not gender, and the psychographic variables feelings about the Internet and
other Web-based applications in general and patients' value of health-related
knowledgeability, but not digital literacy, were significant predictors of
willingness to pay. Frequency of using apps for health-related information in the
past and attitude toward PRWs, but not the amount of daily Internet use for
health-related information, were significant predictors of willingness to pay.
The perceived usefulness of the Internet to gain health-related information and
the amount of daily Internet use in general did not have any significant effect
on both of the endogenous variables. The moderation analysis with the group
comparisons for users and nonusers of PRWs revealed that the attitude toward PRWs
had significantly more impact on the adoption and willingness to pay for mobile
physician-rating apps in the nonuser group. CONCLUSIONS: Important variables that
contribute to the adoption of a mobile physician-rating app and the willingness
to pay for it were identified. The results of this study are important for
researchers because they can provide important insights about the variables that
influence the acceptance of apps that allow for ratings of physicians. They are
also useful for creators of mobile physician-rating apps because they can help
tailor mobile physician-rating apps to the consumers' characteristics and needs.
PMID- 24918862
TI - Octahedral to trigonal prismatic distortion driven by subjacent orbital pi
antibonding interactions and modulated by ligand redox noninnocence.
AB - Ru(ONO)2 and Os(ONO)2 distort from octahedral towards trigonal prismatic geometry
in order to relieve pi antibonding due to donation from the second-highest ligand
orbital to the metal. Increasing oxidation of the ONO ligand suppresses
distortion by increasing sigma* interactions in the trigonal prism.
PMID- 24918863
TI - Optimization of subcritical water extraction of flavanols from green tea leaves.
AB - The subcritical-water extraction (SWE) of six kinds of flavanols from green tea
leaves and the effect of extraction conditions were investigated by varying the
temperature and time. The maximum yield of total flavanols, 71.36 +/- 4.23 mg/g
green tea leaves (mean +/- SD), was obtained under extraction temperature/time
conditions of 150 degrees C/5 min. The efficiency of SWE for total flavanols was
slightly higher than that of the conventional extraction solvents such as
methanol and ethanol. The extraction of flavanols via SWE was specifically
adequate for epimer structures such as catechin, catechin gallate, and
gallocatechin gallate due to the epimerization of epicatechins. The extraction
efficiency of epimers was increased at temperatures up to 170 degrees C, whereas
that of epicatechins was decreased. Thus, most epicatechins were converted to
epimers during SWE, leading to some flavanol destruction at high temperatures,
except when a short extraction time of 5 min was used.
PMID- 24918864
TI - Highly regio- and stereoselective synthesis of alkylidenecyclopropanes via Ru(II)
Pheox catalyzed asymmetric inter- and intramolecular cyclopropanation of allenes.
AB - An efficient protocol for the synthesis of optically active
alkylidenecyclopropanes (ACPs) via the Ru(II)-Pheox catalyzed asymmetric
cyclopropanation of allenes has been established. This catalytic system proceeded
with high regioselectivity to give the ACP products in high yield with high
diastereoselectivity (up to 99/1) and enantioselectivity (up to 99% ee).
PMID- 24918865
TI - Ultrafast and wide range analysis of DNA molecules using rigid network structure
of solid nanowires.
AB - Analyzing sizes of DNA via electrophoresis using a gel has played an important
role in the recent, rapid progress of biology and biotechnology. Although
analyzing DNA over a wide range of sizes in a short time is desired, no existing
electrophoresis methods have been able to fully satisfy these two requirements.
Here we propose a novel method using a rigid 3D network structure composed of
solid nanowires within a microchannel. This rigid network structure enables
analysis of DNA under applied DC electric fields for a large DNA size range (100
bp-166 kbp) within 13 s, which are much wider and faster conditions than those of
any existing methods. The network density is readily varied for the targeted DNA
size range by tailoring the number of cycles of the nanowire growth only at the
desired spatial position within the microchannel. The rigid dense 3D network
structure with spatial density control plays an important role in determining the
capability for analyzing DNA. Since the present method allows the spatial
location and density of the nanostructure within the microchannels to be defined,
this unique controllability offers a new strategy to develop an analytical method
not only for DNA but also for other biological molecules.
PMID- 24918866
TI - The efficacy of neoadjuvant chemotherapy in different histological types of
cervical cancer.
AB - OBJECTIVE: To determine whether the efficacy of neoadjuvant chemotherapy (NACT)
is different among cervical cancer types, squamous cell carcinoma (SCC) and non
SCC, including adenocarcinoma of the cervix (ACC) and adenosquamous carcinoma
(ASC). METHODS: We searched PubMed, MEDLINE, ScienceDirect, Springerlink and CNKI
for studies published between Jan 1987 and Sep 2012 and evaluated the studies
published in English and Chinese on NACT and cervical carcinoma based on specific
inclusion and exclusion criteria. Because there was a relative lack of relevant
randomized controlled trials (RCTs), we included 2 RCTs and 9 observational
studies in our analysis. Meta-analysis was applied to calculate the efficacy of
NACT in different histological types of cervical cancer with 95% confidence
intervals. The risk of bias was assessed by Begg's adjusted rank correlation test
and Egger's regression asymmetry tests. RESULTS: As many as 11 articles, 2 RCTs
and 9 observational studies, were selected according to the eligibility criteria
for a total of 1559 participants. For the short-term efficacy of NACT, either in
terms of CR+PR or CR only, there was no difference between SCC and non-SCC when
the data were pooled (P>0.05) in stratified studies based on the International
Federation of Gynecology and Obstetrics (FIGO) stage (P>0.05) or histological
type (P>0.05) or in observational studies (P>0.05). Nevertheless, SCC was
associated with a higher short-term response rate than non-SCC in RCTs [6.57
(95%CI 1.72-25.12) in CR+PR]. For the long-term outcome of NACT, patients with
SCC experienced a significant 5-year overall survival (OS) and progress-free
survival (PFS) when compared to patients with non-SCC in pooled [1.47 (95%CI 1.06
2.06)] and observational studies [1.96 (95%CI 1.61-2.38)] other than RCTs
(P>0.05). Moreover, this difference was especially obvious when the subgroup
analysis was restricted to patients in stages above IIB [2.06 (95%CI 1.79-2.36)]
rather than in stages IB-IIB [1.33 (95%CI 0.99-1.79)]. CONCLUSION: Although no
significant differences exist in the short-term efficacy of NACT, the
histological type may be used to predict the long-term efficacy of NACT in
patients with cervical cancer, especially those with FIGO stages above IIB.
PMID- 24918868
TI - [Development of a claim form for the initiation of post-treatment rehabilitation
for nationwide use by all reimbursement agencies: a report and plea for reducing
administrative barriers].
AB - We describe the results of a survey of claim forms that are used when starting
rehabilitation following inpatient treatment and of an evaluation of a claim form
developed on the basis of the results. The survey of different existing forms
shows a high overlapping in content, suggesting the possibility of unification to
one claim form that can be accepted by all insurers. In analogy to the Delphi
method criteria for evaluation were consented and applied by the author group to
assess the relevance of the claim forms content items for the process of
initiating rehabilitation. A group of further experts added their evaluations. We
prioritised the results and extracted the essential contents to conceive a
unified claim form eligible for all types of rehabilitation. The claim form was
discussed in 3 focus groups, revised accordingly and tested in the Hannover
Medical School. Test results show that all relevant information is asked for and
that the form is well manageable. The users' request for an IT-based solution and
further ideas for improvement were integrated into the revised and validated
version of the claim form. It is now available for all stake holders, in
particular for insurers, as a means to improve quality of care and efficiency by
standardisation of rehabilitation claim forms.
PMID- 24918869
TI - [Health inequalities and cost-effectiveness: what do important health policy
actors say about this potential conflict situation?].
AB - The German statutory health-care system is based on the principle of solidarity
and thus it is committed to the objective of 'equal chances'. From an economic
perspective it is also important to emphasise that scarcity of resources
continuously pushes the services towards cost control and towards increasing cost
effect-iveness. There could be conflicts between the 2 objectives 'equal chances'
and 'cost-effectiveness', of course, for example if measures for increasing cost
effectiveness lead to increased financial burdens of the insured. To date it has
not been studied if and how this potential conflict is discussed in Germany.In a
first step we searched for German publications discussing this potential conflict
focusing on 3 major public health journals (Das Gesundheitswesen,
Bundesgesundheitsblatt, Ethik in der Medizin) and on the internet portal
"gerechte-gesundheit.de". For the main part of the paper, we looked for
publications from 4 major health policy actors (Bundesarztekammer, Zentrale
Ethikkommission bei der Bundesarztekammer, Deutscher Ethikrat,
Sachverstandigenrat zur Begutachtung der Entwicklung im Gesundheitswesen). All
papers published since the year 2000 were included in the system-atic qualitative
analysis.The analyses show that the potential conflict between 'equal chances'
and 'cost-effectiveness' is rarely discussed in any detail, at most in an
implicit way. It would be important, though, to have an explicit discussion,
supported by scientifically based analyses and recommendations. One step towards
this objective could be, for example, a closer cooperation between social
epidemiologists and health--economists.
PMID- 24918867
TI - Antimicrobial peptides in reptiles.
AB - Reptiles are among the oldest known amniotes and are highly diverse in their
morphology and ecological niches. These animals have an evolutionarily ancient
innate-immune system that is of great interest to scientists trying to identify
new and useful antimicrobial peptides. Significant work in the last decade in the
fields of biochemistry, proteomics and genomics has begun to reveal the
complexity of reptilian antimicrobial peptides. Here, the current knowledge about
antimicrobial peptides in reptiles is reviewed, with specific examples in each of
the four orders: Testudines (turtles and tortosises), Sphenodontia (tuataras),
Squamata (snakes and lizards), and Crocodilia (crocodilans). Examples are
presented of the major classes of antimicrobial peptides expressed by reptiles
including defensins, cathelicidins, liver-expressed peptides (hepcidin and LEAP
2), lysozyme, crotamine, and others. Some of these peptides have been identified
and tested for their antibacterial or antiviral activity; others are only
predicted as possible genes from genomic sequencing. Bioinformatic analysis of
the reptile genomes is presented, revealing many predicted candidate
antimicrobial peptides genes across this diverse class. The study of how these
ancient creatures use antimicrobial peptides within their innate immune systems
may reveal new understandings of our mammalian innate immune system and may also
provide new and powerful antimicrobial peptides as scaffolds for potential
therapeutic development.
PMID- 24918870
TI - Property- and structure-guided discovery of a tetrahydroindazole series of
interleukin-2 inducible T-cell kinase inhibitors.
AB - Interleukin-2 inducible T-cell kinase (ITK), a member of the Tec family of
tyrosine kinases, plays a major role in T-cell signaling downstream of the T-cell
receptor (TCR), and considerable efforts have been directed toward discovery of
ITK-selective inhibitors as potential treatments of inflammatory disorders such
as asthma. Using a previously disclosed indazole series of inhibitors as a
starting point, and using X-ray crystallography and solubility forecast index
(SFI) as guides, we evolved a series of tetrahydroindazole inhibitors with
improved potency, selectivity, and pharmaceutical properties. Highlights include
identification of a selectivity pocket above the ligand plane, and identification
of appropriate lipophilic substituents to occupy this space. This effort
culminated in identification of a potent and selective ITK inhibitor (GNE-9822)
with good ADME properties in preclinical species.
PMID- 24918872
TI - Highly exothermic and superhydrophobic Mg/fluorocarbon core/shell nanoenergetic
arrays.
AB - Mg/fluorocarbon core/shell nanoenergetic arrays are prepared onto silicon
substrate, with Mg nanorods as the core and fluorocarbon as the shell. Mg
nanorods are deposited by the glancing angle deposition technique, and the
fluorocarbon layer is then prepared as a shell to encase the Mg nanorods by the
magnetron sputtering deposition process. Scanning electron microscopy and
transmission electron microscopy show the core/shell structure of the
Mg/fluorocarbon arrays. X-ray energy-dispersive spectroscopy, X-ray diffraction,
and Fourier transform infrared spectroscopy are used to characterize the
structural composition of the Mg/fluorocarbon. It is found that the as-prepared
fluorocarbon layer consists of shorter molecular chains compared to that of bulk
polytetrafluoroethylene, which is proven beneficial to the low onset reaction
temperature of Mg/fluorocarbon. Water contact angle test demonstrates the
superhydrophobicity of the Mg/fluorocarbon arrays, and a static contact angle as
high as 162 degrees is achieved. Thermal analysis shows that the Mg/fluorocarbon
material exhibits a very low onset reaction temperature of about 270 degrees C
as well as an ultrahigh heat of reaction approaching 9 kJ/g. A preliminary
combustion test reveals rapid combustion wave propagation, and a convective
mechanism is adopted to explain the combustion behaviors.
PMID- 24918871
TI - Ultrasound-accelerated, catheter-directed thrombolysis for inferior vena cava
thrombosis after an orthotopic liver transplant.
AB - Inferior vena cava thrombosis is a rare occurrence after an orthotopic liver
transplant that is associated with a high rate of retransplant and mortality.
There is no consensus regarding the optimal therapeutic strategy. Surgical
management, including thrombectomy with revision of the cavocaval anastomosis,
has been described. With the use of endovascular therapies, several minimally
invasive approaches are available that are effective and avoid the high morbidity
associated with reoperative surgery. We describe our successful experience using
an approach after a liver transplant in which the inferior vena cava thrombosis
in a patient presenting with acute renal failure, anorexia, weight loss, and
fatigue using an ultrasound-accelerated, catheter-directed thrombolysis platform
in conjunction with systemic anticoagulation.
PMID- 24918873
TI - Chemical disinfection of combined sewer overflow waters using performic acid or
peracetic acids.
AB - We investigated the possibility of applying performic acid (PFA) and peracetic
acid (PAA) for disinfection of combined sewer overflow (CSO) in existing CSO
management infrastructures. The disinfection power of PFA and PAA towards
Escherichia coli (E. coli) and Enterococcus was studied in batch-scale and pre
field experiments. In the batch-scale experiment, 2.5 mg L(-1) PAA removed
approximately 4 log unit of E. coli and Enterococcus from CSO with a 360 min
contact time. The removal of E. coli and Enterococcus from CSO was always around
or above 3 log units using 2-4 mg L(-1) PFA; with a 20 min contact time in both
batch-scale and pre-field experiments. There was no toxicological effect measured
by Vibrio fischeri when CSO was disinfected with PFA; a slight toxic effect was
observed on CSO disinfected with PAA. When the design for PFA based disinfection
was applied to CSO collected from an authentic event, the disinfection
efficiencies were confirmed and degradation rates were slightly higher than
predicted in simulated CSO.
PMID- 24918874
TI - Likelihood-Based Random-Effect Meta-Analysis of Binary Events.
AB - Meta-analysis has been used extensively for evaluation of efficacy and safety of
medical interventions. Its advantages and utilities are well known. However,
recent studies have raised questions about the accuracy of the commonly used
moment-based meta-analytic methods in general and for rare binary outcomes in
particular. The issue is further complicated for studies with heterogeneous
effect sizes. Likelihood-based mixed-effects modeling provides an alternative to
moment-based methods such as inverse-variance weighted fixed- and random-effects
estimators. In this article, we compare and contrast different mixed-effect
modeling strategies in the context of meta-analysis. Their performance in
estimation and testing of overall effect and heterogeneity are evaluated when
combining results from studies with a binary outcome. Models that allow
heterogeneity in both baseline rate and treatment effect across studies have low
type I and type II error rates, and their estimates are the least biased among
the models considered.
PMID- 24918875
TI - Modified Multivisceral Transplant After Acute Abdominal Trauma.
AB - A 50-year-old man sustained blunt abdominal trauma in a motor vehicle accident.
He underwent exploratory laparotomy on the day of trauma, and severe bleeding
from the base of the small bowel mesentery was controlled by mass ligation and
through-and-through suturing. After transfer to our center, repeat exploratory
laparotomy showed ischemic small intestine, ischemic right colon, and severe
pancreatic trauma. The severely injured organs were excised including the entire
small bowel, pancreas, spleen, stomach, and right hemicolon. The next day, a
modified multivisceral transplant was performed including stomach,
pancreaticoduodenal complex, and small bowel transplant. Postoperative
complications included an intra-abdominal collection that was drained
percutaneously with ultrasonographic guidance and severe rejection that was
treated with anti-thymocyte globulin. In summary, for select patients who have
severe abdominal trauma may be treated with acute multivisceral transplant.
PMID- 24918876
TI - Innovation and responsibility in education and research in Latin America.
PMID- 24918877
TI - [Intimate partner violence against pregnant women: study about the repercussions
on the obstetric and neonatal results].
AB - This observational, descriptive and analytic study aimed to identify the
prevalence of IPV cases among pregnant women and classify them according to the
type and frequency; identify the obstetric and neonatal results and their
associations with the intimate partner violence (IPV) occurrence in the current
pregnancy. It was developed with 232 pregnant women who had prenatal care at a
public maternity hospital. Data were collected via structured interview and in
the patients' charts and analyzed through the statistic software SAS(r) 9.0.
Among the participants, 15.5% suffered IPV during pregnancy, among that 14.7%
suffered psychological violence, 5.2% physical violence and 0.4% sexual violence.
Women who did not desire the pregnancy had more chances of suffering IPV (p<0.00;
OR=4.32 and 95% CI [1.77 - 10.54]). With regards to the obstetric and neonatal
repercussions, there was no statistical association between the variables
investigated. Thus, for the study participants there were no negative obstetric
and neonatal repercussions related to IPV during pregnancy.
PMID- 24918878
TI - [Social representations of violence against rural women: unveiling senses in
multiple views].
AB - We analyze the social representations of violence against women from the
perspective of city managers, professionals and health workers in rural settings
of the southern half of Rio Grande do Sul. The study has a qualitative approach
and adds a theoretical/methodological perspective of social representations. The
data were generated by means of the associative method, question-stimulus of
words and expressions emergence. The analysis of word association was performed
with EVOC software, considering frequency and order of association with inducing
terms. Participants recognize violence against women as gender destination that
induces consent, resignation, guilt and fear, and results in naturalization and
trivialization of this social phenomenon. We highlight the need to produce
ruptures in established and traditional forms of health care, in the conservative
and stereotypical views of violence, favoring access to friendly service and
avoiding the reproduction of gender inequalities.
PMID- 24918879
TI - [Ankle-brachial index: nurses strategy to cardiovascular disease risk factors
identification].
AB - Elevated risk of fatal and non-fatal cardiovascular events is associated with
high prevalence of peripheral arterial disease, with assessment through the ankle
brachial index (ABI). This study aimed to demonstrate that the ABI and the
Edinburgh Claudication Questionnaire are tools to be used by nurses in prevention
and/or treatment of CVD (cardiovascular disease). A cross-sectional study was
carried out with patients from a cardiovascular clinic. The Edinburgh
Claudication Questionnaire was applied and the ABI was measured with the formula
(ABI= Blood Pressure Ankle/Blood Pressure Brachial). A total of 115 patients were
included, most were females (57.4%), aged 60.6 +/- 12.5 years. The most prevalent
risk factors were hypertension (64.3%), physical inactivity (48.7%) and family
history (58.3%). The study showed that abnormal ABI was frequently found and
42.6% of the patients with abnormal ABI showed intermittent claudication. The
method to evaluate the ABI associated to the Edinburg Claudication Questionnaire,
can be easily used by nurses in the clinical evaluation of asymptomatic and
symptomatic CVD patients.
PMID- 24918880
TI - [Hypothermia prevention during surgery: comparison between thermal mattress and
thermal blanket].
AB - This study aimed to compare the efficiency of the thermal blanket and thermal
mattress in the prevention of hypothermia during surgery. Thirty-eight randomized
patients were divided into two groups (G1 - thermal blanket and G2 - thermal
mattress). The variables studied were: length of surgery, length of stay in the
post-anesthetic care unit, period without using the device after thermal
induction, transport time from the operating room to post-anesthetic care unit,
intraoperative fluid infusion, surgery size, anesthetic technique, age, body mass
index, esophageal, axillary and operating room temperature. In G2, length of
surgery and starch infusion longer was higher (both p=0.03), but no hypothermia
occurred. During the surgical anesthetic procedure, the axillary temperature was
higher at 120 minutes (p=0.04), and esophageal temperature was higher at 120
(p=0.002) and 180 minutes (p=0.03) and at the end of the procedure (p=0.002). The
thermal mattress was more effective in preventing hypothermia during surgery.
PMID- 24918881
TI - [Overweight and obesity repercussion in the postoperative of myocardial
revascularization surgery].
AB - This is a descriptive, retrospective study with cross-sectional quantitative
approach, which aimed to relate the body mass index with events in the
postoperative period of the myocardial revascularization surgery with use of
extracorporeal circulation. The data collection period was between April and
June/2012. Patients were divided according to the body mass index and classified
as without excess of weight, overweight or obese. The data analysis was based on
the descriptive statistics. The patients without excess of weight had more
complications, especially those related to the lungs. Among overweight and obese
individuals, the cardiovascular complications stood out. The obese subjects had
the worse prognostic. Obesity and overweight did not have statistically
significant association with a higher frequency of postoperative complications,
in spite of the occurrence of cardiovascular complications in this group. The
patients without excess of weight had higher risks of developing neurological
events.
PMID- 24918883
TI - [Cross mapping of nursing diagnoses in infant health using the international
classification of nursing practice].
AB - This was a descriptive, retrospective study, with a quantitative method, with the
aim of analyzing the nursing diagnoses contained in the records of children of 0
to 36 months of age who attended infant health nursing consults. A documentary
analysis and the cross-mapping technique were used. One hundred eighty-eight
different nursing diagnoses were encountered, of which 33 (58.9%) corresponded to
diagnoses contained in the Nomenclature of Nursing Diagnoses and Interventions
and 23 (41.1%) were derived from ICNP(r) Version 1.0. Of the 56 nursing
diagnoses, 43 (76.8%) were considered to be deviations from normalcy. It was
concluded that the infant health nursing consults enabled the identification of
situations of normalcy and abnormality, with an emphasis on the diagnoses of
deviations from normalcy. Standardized language favors nursing documentation,
contributing to the care of the patient and facilitating communication between
nurses and other health professionals.
PMID- 24918882
TI - [Insomnia symptoms, daytime naps and physical leisure activities in the elderly:
FIBRA Study Campinas].
AB - The practice of physical activities contributes to reducing the risk of chronic
diseases and improves sleep patterns in the elderly. This research aimed to
investigate the association between insomnia symptoms and daytime nap and the
participation in physical leisure activities in elderly community residents. Data
from the Studies Network of the Fragility in Brazilian Elderly (Campinas site),
were used. Information from 689 elderly was analyzed, regarding sociodemographic
characterization, physical leisure activity, occurrence of daytime napping and
its duration, symptoms of insomnia and use of sleep medication. A significant
association was found between the practice of walking and the daytime nap of
short duration. Studies indicate that a short nap can benefit the quality of
sleep and health of the elderly. Therefore, promoting the practice of walking can
be a nursing intervention that favors the sleep patterns of the elderly.
PMID- 24918884
TI - [Adherence to diabetes mellitus treatments in family health strategy units].
AB - This cross-sectional study aimed to analyze the adherence to drug and non-drug
treatments in 17 Family Health Strategy units. A total of 423 patients with type
2 diabetes mellitus were selected through stratified random sampling in Family
Health Strategy units of a city in the state of Minas Gerais, Brazil, in 2010.
The results showed that the prevalence rate of adherence to drug therapy was
higher than 60% in the 17 units investigated; in relation to physical activity,
adherence was higher than 60% in 58.8% units; and for the diet plan, there was no
adherence in 52.9% units. Therefore, we concluded that adherence to drug therapy
in most units was high and the practice of physical activity was heterogeneous,
and in relation to diet adherence, it was low in all units. We recommend
strengthening of institutional guidelines and educational strategies, in line
with SUS guidelines, so that, professionals may face the challenges imposed by
the lack of adherence.
PMID- 24918885
TI - [Pressure ulcers in palliative home care patients: prevalence and
characteristics].
AB - Persons in palliative care develop pressure ulcers (PU) as death approaches, but
the extent of the problem is still unknown. The objectives were to identify the
prevalence of pressure ulcers in people with cancer in palliative home care,
compare the socio-demographic and clinical profile of patients with and without
pressure ulcers, and analyze the characteristics of the ulcers. This descriptive,
cross-sectional study included 64 people with advanced cancer in palliative home
care. Twelve of them (18.8%) had PU, of whom 75.0% were men. The participants had
one to three PU, amounting to 19 lesions, 89.4% of those developed at home and
47.4% at stage 3. The presence of PU was higher among those who had a history of
previous wound. PU consisted of a significant event occurring in the studied
population, indicating that preventive measures should be included in the home
palliative care health team.
PMID- 24918886
TI - [Nephrotoxicity of polymyxin B: experimental study in cells and implications for
nursing practice].
AB - The aim of the study was to characterize the cell damage mechanisms involved in
the pathophysiology of cytotoxicity of polymyxin B in proximal tubular cells (LLC
- PK1) and discuss about the nurses interventions to identify at risk patients
and consider prevention or treatment of nephrotoxicity acute kidney injury. This
is a quantitative experimental in vitro study, in which the cells were exposed to
375MUM polymyxin B sulfate concentration. Cell viability was determined by
exclusion of fluorescent dyes and morphological method with visualization of
apoptotic bodies for fluorescence microscopy. Cells exposed to polymyxin B showed
reduced viability, increased number of apoptotic cells and a higher concentration
of the enzyme lactate dehydrogenase. The administration of polymyxin B in vitro
showed the need for actions to minimize adverse effects such as nephrotoxicity.
PMID- 24918887
TI - Cancer patients caregivers comfort.
AB - Cross-sectional study, carried out at the outpatient clinic of an oncology
hospital. Data were collected from 88 caregivers of cancer patients using the
Caregiver General Comfort Questionnaire (GCQ) to assess the caregivers' comfort.
The caregivers' GCQ score mean was 203.9; better comfort scores was associated
with age, care time and current occupation; positive aspects of comfort were
related to the fact that caregivers felt loved, to patients' physical and
environmental comfort and to caregivers' spirituality. 203.9; better comfort
scores were associated with age of the caregiver and current occupation; positive
aspects of comfort were related to the fact that caregivers felt loved, to
patients' physical and environmental comfort and to caregivers' spirituality.
Caregivers, who didn't have a paid job or leisure's activities showed a worse
GCQ. The GCQ scale can help to identify factors that interfere in caregivers'
comfort, as well as needs that can be modified through health professionals'
interventions.
PMID- 24918888
TI - [Virtual guide on ocular self-examination to support the self-care practice for
people with HIV/AIDS].
AB - The objective was to describe the process of development of a virtual guide on
ocular self-examination for people with HIV/aids. The methodological proposal
followed the five steps recommended by Falkembach: analysis and planning,
modeling, implementation, evaluation and distribution. The adequacy of the
printed version to the virtual required the construction of a tutorial video,
adding illustrative photos for viewing possible ocular changes and interactive
tool demonstrating the examination result to the user. In the first material
assessment, we diagnosed failures in the layout, thus commands were replaced,
unified, rearranged in an easy viewing and adequacy of language. It is possible
to promote the approximation of users with prevention methods in the ocular
health area through a virtual guide, contributing to develop skills and
disseminate self-examination.
PMID- 24918889
TI - [Communication strategies of the nursing team in the aphasia after
cerebrovascular accident].
AB - This is an exploratory, cross-sectional study of quantitative design that aimed
to identify the communication strategies used and reported by the nursing staff
in the care of aphasic patients after a stroke. The techniques used were the
participant observation and interviews with 27 subjects of the nursing staff of
neurological units in a general hospital. The most frequently mentioned
strategies were gestures (100%), verbal communication (33.3%), written
communication (29.6%) and the touch (18.5 %). Among the observed strategies, the
gestures reached 40.7% and the touch was present in all situations, given its
instrumental character essential to care. The findings show lack of knowledge of
nonverbal, proxemics , kinesics and tacesics communication. No significant
differences were observed among the professional categories depending on the
length of experience with respect to the strategies reported by members of the
nursing staff in the care for aphasic patients.
PMID- 24918890
TI - Addressing practical issues related to nursing care for international visitors to
Hiroshima.
AB - When nine million foreigners visited Japan in 2013, the federal government set a
goal to attract an additional two and a half million visitors including medical
tourists by 2020. This research investigates the attitudes and concerns of
Japanese nurses when they are in a situation dealing with foreign patients. The
data were collected from March through September 2010, from 114 nurses at three
hospitals, in close proximity to popular tourist destinations in Hiroshima. A
questionnaire was developed for this research, named Mari Meter, which included a
section to write answers to an open question for the nurses to express their
opinions. These responses were examined statistically and by word analysis using
Text Mining Studio. Japanese nurses expressed greatest concern about payment
options, foreign language skills, and issues of informed consent, when dealing
with foreigners. The results confirm that, in order to provide a high quality of
patient care, extra preparation and a greater knowledge of international workers
and visitors are required by nursing professionals in Japan.
PMID- 24918891
TI - [The organizational culture of a Brazilian public hospital].
AB - The objective of this research was to analyze the organizational culture of a
Brazilian public hospital. It is a descriptive study with quantitative approach
of data, developed in a public hospital of Sao Paulo State, Brazil. The sample
was composed by 52 nurses and 146 nursing technicians and auxiliaries. Data were
collected from January to June 2011 using the Brazilian Instrument for Assessing
Organizational Culture - IBACO. The analysis of the organizational values showed
the existence of hierarchical rigidity and centralization of power within the
institution, as well as individualism and competition, which hinders teamwork.
The values concerning workers' well-being, satisfaction and motivation were not
highly valued. In regard to organizational practices, the promotion of
interpersonal relationship, continuous education, and rewarding practices were
not valued either. It becomes apparent that traditional models of work
organization support work practices and determine the organizational culture of
the hospital.
PMID- 24918892
TI - [Improvement courses about violence prevention: the impact on health sector
professionals].
AB - Uncontrolled intervention study that compared the effectiveness of two elective
courses on Prevention and Assistance to violence victims for students and
professionals of the Health Sector. The participants answered multiple-choice
questions on the topic before and after the course. Statistical analyzes were
performed by comparison of two proportions on STATA/IC. Regarding the overall
index of correct answers,before and after, it was 54.8 and 58.4% in the 10h
Course and the 69.6 and 79.2% in the 30h Course. The most effective course was
the 30h Course, with strategies of case discussions and visits to assistance
services to violence victims. There is a great necessity to include the
discipline in the curriculum of healthcare courses permanently.
PMID- 24918893
TI - [Patterns of alcohol use and related issues: analysis of nurses' knowledge].
AB - Descriptive study that aimed to identify and compare the nurses' knowledge
addressed to patterns of alcohol use and related issues. The study included 185
nurses of which 84 had attended a training course on the subject. Data were
collected through a questionnaire of knowledge showing that while the trained
group obtained the highest average on correct answers, there was a lack of
knowledge in both groups, especially with regard to the identification of
complications from alcohol use. Important definitions to nursing practice in the
area of addictions are presented, suggesting that future training may consider
the various dimensions involved in caring for people with problems related to
alcohol.
PMID- 24918894
TI - [The construction of ethical competence in the perception of primary care
nurses].
AB - The study intended to understand the perception of nurses of Primary Care
Services about the construction of ethical competence on their formation and
practices. This is a qualitative study, with an interpretative phenomenological
approach and interviews with ten nurses of the community health services of Porto
Alegre, RS. The results showed that the interviewed professionals had already
experienced situations with ethical conflicts and knew what ethical competence
means. The central themes point out three fundamental issues in the construction
of the ethical competence: personal values, education and practice. Taking into
account that ethical competence is in permanent construction, the study shows the
importance to promote organizational and educational activities in a transversal
manner, as a tool to cope the moral stress and contribute in improving the
quality of care in the primary health attention.
PMID- 24918895
TI - [Integrative review: concepts and methods used in nursing].
AB - Integrative review (IR) has an international reputation in nursing research and
evidence-based practice. This IR aimed at identifying and analyzing the concepts
and methods recommended to undertaking IR in nursing. Nine information
resources,including electronic databases and grey literature were searched.
Seventeen studies were included. The results indicate that: primary studies were
mostly from USA; it is possible to have several research questions or hypotheses
and include primary studies in the review from different theoretical and
methodological approaches; it is a type of review that can go beyond the analysis
and synthesis of findings from primary studies allowing exploiting other research
dimensions, and that presents potentialities for the development of new theories
and new problems for research. CONCLUSION: IR is understood as a very complex
type of review and it is expected to be developed using standardized and
systematic methods to ensure the required rigor of scientific research and
therefore the legitimacy of the established evidence.
PMID- 24918896
TI - [Injuries resulted from breastfeeding: a new approach to a known problem].
AB - This study aimed at analyzing nipple trauma resulted from breastfeeding based on
dermatological approach. Two integrative reviews of literature were conducted,
the first related to definitions, classification and evaluation methods of nipple
trauma and another about validation studies related to this theme. In the first
part were included 20 studies and only one third defined nipple trauma, more than
half did not defined the nipple's injuries reported, and each author showed a
particular way to assess the injuries, without consensus. In the second
integrative review, no validation study or algorithm related to nipple trauma
resulted from breastfeeding was found. This fact demonstrated that the nipple's
injuries mentioned in the first review did not go through validation studies,
justifying the lack of consensus identified as far as definition, classification
and assessment methods of nipple trauma.
PMID- 24918897
TI - [Health-related quality of life among patients with advanced cancer: an
integrative review].
AB - This integrative literature review aimed to characterize scientific articles on
health-related quality of life - HRQoL - among patients with advanced cancer from
national and international literature, and summarize those factors evidenced in
the literature that contributed to the improvement or worsening of HRQoL among
patients with advanced cancer. The search for materials was conducted in the
following databases: CINAHL, EMBASE, PubMed, SciELO and LILACS. Among the 21
articles in the sample, 13 showed an improvement of HRQoL among patients with
advanced cancer related to the development of physical, emotional and spiritual
interventions. In eight studies, we identified predictive symptoms of low HRQoL,
such as pain, fatigue, sleep disorders, depression, nutritional changes, and
others. The results showed that clinical manifestations, which many times were
inherent in cancer, such as factors that can lower patients' HRQoL, while
physical, psychological and spiritual benefits resulting from therapeutic
interventions may promote its improvement.
PMID- 24918898
TI - [Measuring instruments in cardiology adapted into Portuguese language of Brazil:
a systematic review].
AB - This was a systematic review aimed at identifying and characterizing measuring
instruments, developed in the context of cardiology, which were adapted into
Portuguese language of Brazil. Systematic searches were performed in six
databases. Information extracted included cultural adaptation process and
measurement properties. To assess the methodological quality of studies, criteria
based on international guidelines for cultural adaptation of instruments were
used. Among the 114 articles found, 14 were eligible for review. Of these, most
evaluated quality of life (35.7%) and health knowledge/learning (28.6%). Most
studies followed all stages of the adaptation process recommended in the
literature. With respect to measurement properties, internal consistency,
verified by Cronbach's alpha, was the property reported in the majority of the
studies, as well as construct and criterion validity. This study is expected to
provide to the scientific community a critical evaluation of adapted
questionnaires available in the context of cardiology.
PMID- 24918899
TI - Transversus abdominis plane block in children: a multicenter safety analysis of
1994 cases from the PRAN (Pediatric Regional Anesthesia Network) database.
AB - BACKGROUND: Currently, there is not enough evidence to support the safety of the
transversus abdominis plane (TAP) block when used to ameliorate postoperative
pain in children. Safety concerns have been repeatedly mentioned as a major
barrier to performing large randomized trials in children. The main objective of
the current investigation was to determine the incidence of overall and specific
complications resulting from the performance of the TAP block in children. In
addition, we evaluated patterns of local anesthetic dosage selection in the same
population. METHODS: This was an observational study using the Pediatric Regional
Anesthesia Network database. A complication from the TAP block was defined by the
presence of at least one of the following intraoperative and/or postoperative
factors: puncture of the peritoneum or organs, vascular puncture, cardiovascular,
pulmonary and/or neurological symptoms/signs, hematoma, and infection. Additional
analyses were performed to identify patterns of local anesthetic dosage. RESULTS:
One thousand nine hundred ninety-four children receiving a TAP block were
included in the analysis. Only 2 complications were reported: a vascular
aspiration of blood before local anesthetic injection and a peritoneal puncture
resulting in an overall incidence of complications (95% CI) of 0.1% (0.02%-0.3%)
and a specific incidence of complications (vascular aspiration or peritoneal
puncture) of 0.05% (0.0054%-0.2000%). Neither of these complications resulted in
additional interventions or sequelae. The median (95% range) for the local
anesthetic dose per weight for bilateral TAP blocks was 1.0 (0.47-2.29) mg of
bupivacaine equivalents per kilogram; however, subjects' weights were not
sufficient to explain much of the variability in dose. One hundred thirty-five of
1944 (6.9%; 95% CI, 5.8%-8.1%) subjects received doses that could be potentially
toxic. Subjects who received potentially toxic doses were younger than subjects
who did not receive potentially toxic doses, 64 (19-100) months and 108 (45-158)
months, respectively (P < 0.001). CONCLUSIONS: The upper incidence of overall
complications associated with the TAP block in children was 0.3%. More important,
complications were very minor and did not require any additional interventions.
In contrast, the large variability of local anesthetic dosage used can not only
minimize potential analgesic benefits of the TAP block but also result in local
anesthetic toxicity. Safety concerns should not be a major barrier to performing
randomized trials to test the efficacy of the TAP block in children as long as
appropriate local anesthetic dose regimens are selected.
PMID- 24918900
TI - Critical appraisal of inflammatory markers in cardiovascular risk stratification.
AB - Despite great progress in prevention strategies, pharmacotherapy and
interventional treatment of coronary artery disease (CAD), cardiovascular events
still constitute the leading cause of mortality and morbidity in the modern
world. Traditional risk factors, including hypertension, diabetes mellitus,
smoking, obesity, dyslipidemia, and positive family history account for the
occurrence of the majority of these events, but not all of them. Adequate risk
assessment remains the most challenging in individuals classified into low or
intermediate risk categories. Inflammation plays a key role in the initiation and
promotion of atherosclerosis and may lead to acute coronary syndrome (ACS) by the
induction of plaque instability. For this reason, numerous inflammatory markers
have been extensively investigated as potential candidates for the enhancement of
cardiovascular risk assessment. This review aims to critically assess the
clinical utility of well-established (C-reactive protein [CRP] and fibrinogen),
newer (lipoprotein-associated phospholipase A2 [Lp-PLA2] and myeloperoxidase
[MPO]) and novel (growth differentiation factor-15 [GDF-15]) inflammatory markers
which, reflect different pathophysiological pathways underlying CAD. Although
according to the traditional approach all discussed inflammatory markers were
shown to be associated with the risk of future cardiovascular events in
individuals with and without CAD, their clear clinical utility remains not fully
elucidated. Current recommendations of numerous scientific societies
predominantly advocate routine assessment of CRP in healthy people with
intermediate cardiovascular risk. However, these recommendations substantially
vary in their strength among particular societies. These discrepancies have a
multifactorial background, including: (i) the strong prognostic value of CRP
supported by solid scientific evidence and proven to be comparable in magnitude
with that of total and high-density lipoprotein cholesterol, or hypertension,
(ii) favourable analytical characteristics of commercially available CRP assays,
(iii) lack of CRP specificity and causal relationship between CRP concentration
and cardiovascular risk, and (iv) CRP dependence on other classical risk factors.
Of major importance, CRP measurement in healthy men >=50 years of age or healthy
women >=60 years of age with low-density lipoprotein cholesterol <130 mg/dL may
be helpful in the selection of patients for statin therapy. Additionally,
evaluation of CRP and fibrinogen or Lp-PLA2 may be considered to facilitate risk
stratification in ACS patients and in healthy individuals with intermediate
cardiovascular risk, respectively. Nevertheless, the clinical utility of CRP
requires further investigation in a broad spectrum of CAD patients, while other
promising inflammatory markers, particularly GDF-15 and Lp-PLA2, should be tested
in individuals both with and without established CAD. Further studies should also
focus on novel performance metrics such as measures of discrimination,
calibration and reclassification, in order to better address the clinical utility
of investigated biomarkers and to avoid misleadingly optimistic results. It also
has to be emphasized that, due to the multifactorial pathogenesis of CAD,
detailed risk stratification remains a complex process also involving, beyond
assessment of inflammatory biomarkers, the patient's clinical characteristics,
results of imaging examinations, electrocardiographic findings and other
laboratory parameters (e.g. lipid profile, indices of renal function, markers of
left ventricular overload and fibrosis, and biomarkers of myocardial necrosis,
preferably cardiac troponins).
PMID- 24918901
TI - Topological quantum phase transitions and edge states in spin-orbital coupled
Fermi gases.
AB - We study superconducting states in the presence of spin-orbital coupling and
Zeeman field. It is found that a phase transition from a Fulde-Ferrell-Larkin
Ovchinnikov state to the topological superconducting state occurs upon increasing
the spin-orbital coupling. The nature of this topological phase transition and
its critical property are investigated numerically. Physical properties of the
topological superconducting phase are also explored. Moreover, the local density
of states is calculated, through which the topological feature may be tested
experimentally.
PMID- 24918902
TI - Magnetic quantum coherence effect in Ni4 molecular transistors.
AB - We present a theoretical study of electron transport in Ni4 molecular transistors
in the presence of Zeeman spin splitting and magnetic quantum coherence (MQC).
The Zeeman interaction is extended along the leads which produces gaps in the
energy spectrum which allow electron transport with spin polarized along a
certain direction. We show that the coherent states in resonance with the spin up
or down states in the leads induces an effective coupling between localized spin
states and continuum spin states in the single molecule magnet and leads,
respectively. We investigate the conductance at zero temperature as a function of
the applied bias and magnetic field by means of the Landauer formula, and show
that the MQC is responsible for the appearence of resonances. Accordingly, we
name them MQC resonances.
PMID- 24918903
TI - Transoral incisionless fundoplication for the treatment of gastroesophageal
reflux disease.
AB - Gastroesophageal reflux disease (GERD) is a common and costly chronic medical
condition affecting millions of patients. It is associated with substantial
morbidity and negatively impacts quality of life. Reflux of gastric contents into
the esophagus damages the esophageal mucosa and is associated with conditions
including esophagitis and esophageal stricture. While GERD is most commonly seen
in Western populations, changes in dietary patterns and the global increase in
obesity have led to a pronounced increase in its prevalence worldwide. Medical
and surgical GERD therapies are costly and pose considerable side effects,
leading many to pursue effective endoscopic treatment options. Transoral
incisionless fundoplication is an endoluminal procedure that offers patients a
minimally invasive treatment option with the potential to eliminate the need for
medical acid suppression with a low risk of side effects.
PMID- 24918904
TI - Hemodynamic impact of cerebral aneurysm endovascular treatment devices: coils and
flow diverters.
AB - Coils and flow diverters or stents are devices successfully used to treat
cerebral aneurysms. Treatment aims to reduce intra-aneurysmal flow, thereby
separating the aneurysmal sac from the blood circulation. The focus and this
manuscript combining literature review and our original research is an analysis
of changes in aneurysmal hemodynamics caused by endovascular treatment devices.
Knowledge of post-treatment hemodynamics is a path to successful long-term
treatment. Summarizing findings on hemodynamic impact of treatment devices, we
conclude: coiling and stenting do not affect post-treatment intra-aneurysmal
pressure, but significantly alter aneurysmal hemodynamics through flow reduction
and a change in flow structure. The impact of treatment devices on aneurysmal
flow depends, however, on a set of parameters including device geometry, course
of placement, parent vessel and aneurysm geometry.
PMID- 24918905
TI - Exposure controls for nanomaterials at three manufacturing sites.
AB - Because nanomaterials are thought to be more biologically active than their
larger parent compounds, careful control of exposures to nanomaterials is
recommended. Field studies were conducted at three sites to develop information
about the effectiveness of control measures including process changes, a downflow
room, a ventilated enclosure, and an enclosed reactor. Aerosol mass and number
concentrations were measured during specific operations with a photometer and an
electrical mobility particle sizer to provide concentration measurements across a
broad range of sizes (from 5.6 nm to 30 MUm). At site A, the dust exposure and
during product harvesting was eliminated by implementing a wait time of 30 -min
following process completion. And, the dust exposure attributed to process tank
cleaning was reduced from 0.7 to 0.2 mg/m3 by operating the available process
ventilation during this task. At site B, a ventilated enclosure was used to
control dust generated by the manual weigh-out and manipulation of powdered
nanomaterials inside of a downflow room. Dust exposures were at room background
(under 0.04 mg/m3 and 500 particles/cm3) during these tasks however,
manipulations conducted outside of the enclosure were correlated with a transient
increase in concentration measured at the source. At site C, a digitally
controlled reactor was used to produce aligned carbon nanotubes. This reactor was
a closed system and the ventilation functioned as a redundant control measure.
Process emissions were well controlled by this system with the exception of
increased concentrations measured during the unloading of the product. However,
this emission source could be easily controlled through increasing cabinet
ventilation. The identification and adoption of effective control technologies is
an important first step in reducing the risk associated with worker exposure to
engineered nanoparticles. Properly designing and evaluating the effectiveness of
these controls is a key component in a comprehensive health and safety program.
PMID- 24918906
TI - Ocean net heat flux influences seasonal to interannual patterns of plankton
abundance.
AB - Changes in the net heat flux (NHF) into the ocean have profound impacts on global
climate. We analyse a long-term plankton time-series and show that the NHF is a
critical indicator of ecosystem dynamics. We show that phytoplankton abundance
and diversity patterns are tightly bounded by the switches between negative and
positive NHF over an annual cycle. Zooplankton increase before the transition to
positive NHF in the spring but are constrained by the negative NHF switch in
autumn. By contrast bacterial diversity is decoupled from either NHF switch, but
is inversely correlated (r = -0.920) with the magnitude of the NHF. We show that
the NHF is a robust mechanistic tool for predicting climate change indicators
such as spring phytoplankton bloom timing and length of the growing season.
PMID- 24918907
TI - Binding modes of three inhibitors 8CA, F8A and I4A to A-FABP studied based on
molecular dynamics simulation.
AB - Adipocyte fatty-acid binding protein (A-FABP) is an important target of drug
designs treating some diseases related to lipid-mediated biology. Molecular
dynamics (MD) simulations coupled with solvated interaction energy method (SIE)
were carried out to study the binding modes of three inhibitors 8CA, F8A and I4A
to A-FABP. The rank of our predicted binding affinities is in accordance with
experimental data. The results show that the substitution in the position 5 of N
benzyl and the seven-membered ring of N-benzyl-indole carboxylic acids strengthen
the I4A binding, while the substitution in the position 2 of N-benzyl weakens the
F8A binding. Computational alanine scanning and dynamics analyses were performed
and the results suggest that the polar interactions of the positively charged
residue R126 with the three inhibitors provide a significant contribution to
inhibitor bindings. This polar interaction induces the disappearance of the
correlated motion of the C terminus of A-FABP relative to the N terminus and
favors the stability of the binding complex. This study is helpful for the
rational design of potent inhibitors within the fields of metabolic disease,
inflammation and atherosclerosis.
PMID- 24918908
TI - Genomic and metabolomic profile associated to microalbuminuria.
AB - To identify factors related with the risk to develop microalbuminuria using
combined genomic and metabolomic values from a general population study. One
thousand five hundred and two subjects, Caucasian, more than 18 years,
representative of the general population, were included. Blood pressure
measurement and albumin/creatinine ratio were measured in a urine sample. Using
SNPlex, 1251 SNPs potentially associated to urinary albumin excretion (UAE) were
analyzed. Serum metabolomic profile was assessed by 1H NMR spectra using a
Brucker Advance DRX 600 spectrometer. From the total population, 1217 (mean age
54 +/- 19, 50.6% men, ACR>30 mg/g in 81 subjects) with high genotyping call rate
were analysed. A characteristic metabolomic profile, which included products from
mitochondrial and extra mitochondrial metabolism as well as branched amino acids
and their derivative signals, were observed in microalbuminuric as compare to
normoalbuminuric subjects. The comparison of the metabolomic profile between
subjects with different UAE status for each of the genotypes associated to
microalbuminuria revealed two SNPs, the rs10492025_TT of RPH3A gene and the
rs4359_CC of ACE gene, with minimal or no statistically significant differences.
Subjects with and without microalbuminuria, who shared the same genotype and
metabolomic profile, differed in age. Microalbuminurics with the CC genotype of
the rs4359 polymorphism and with the TT genotype of the rs10492025 polymorphism
were seven years older and seventeen years younger, respectively as compared to
the whole microalbuminuric subjects. With the same metabolomic environment,
characteristic of subjects with microalbuminuria, the TT genotype of the
rs10492025 polymorphism seems to increase and the CC genotype of the rs4359
polymorphism seems to reduce risk to develop microalbuminuria.
PMID- 24918909
TI - Trajectories of cardiovascular health: life course epidemiology in Brazil.
PMID- 24918910
TI - I cardiovascular prevention guideline of the Brazilian Society of Cardiology -
executive summary.
PMID- 24918911
TI - Effects of skeletonized versus pedicled radial artery on postoperative graft
patency and flow.
AB - BACKGROUND: Radial artery (RA) was the second arterial graft introduced in
clinical practice for myocardial revascularization. The skeletonization technique
of the left internal thoracic artery (LITA) may actually change the graft's flow
capacity with potential advantages. This leads to the assumption that the
behavior of the RA, as a coronary graft, is similar to that of the LITA, when
skeletonized. OBJECTIVE: This study evaluated 'free' aortic-coronary radial
artery (RA) grafts, whether skeletonized or with adjacent tissues. METHODS: A
prospective randomized study comparing 40 patients distributed into two groups
was conducted. In group I, we used skeletonized radial arteries (20 patients),
and in group II, we used radial arteries with adjacent tissues (20 patients).
After the surgical procedure, patients underwent flow velocity measurements.
RESULTS: The main surgical variables were: RA internal diameter, RA length, and
free blood flow in the radial artery. The mean RA graft diameters as calculated
using quantitative angiography in the immediate postoperative period were
similar, as well as the flow velocity measurement variables. On the other hand,
coronary cineangiography showed the presence of occlusion in one RA graft and
stenosis in five RA grafts in GII, while GI presented stenosis in only one RA
graft (p = 0.045). CONCLUSION: These results show that the morphological and
pathological features, as well as the hemodynamic performance of the free radial
artery grafts, whether prepared in a skeletonized manner or with adjacent
tissues, are similar. However, a larger number of non-obstructive lesions may be
observed when RA is prepared with adjacent tissues.
PMID- 24918912
TI - Relationship between fibrosis and ventricular arrhythmias in Chagas heart disease
without ventricular dysfunction.
AB - BACKGROUND: Patients with Chagas disease and segmental wall motion abnormality
(SWMA) have worse prognosis independent of left ventricular ejection fraction
(LVEF). Cardiac magnetic resonance (CMR) is currently the best method to detect
SWMA and to assess fibrosis. OBJECTIVE: To quantify fibrosis by using late
gadolinium enhancement CMR in patients with Chagas disease and preserved or
minimally impaired ventricular function (> 45%), and to detect patterns of
dependence between fibrosis, SWMA and LVEF in the presence of ventricular
arrhythmia. METHODS: Electrocardiogram, treadmill exercise test, Holter and CMR
were carried out in 61 patients, who were divided into three groups as follows:
(1) normal electrocardiogram and CMR without SWMA; (2) abnormal electrocardiogram
and CMR without SWMA; (3) CMR with SWMA independently of electrocardiogram.
RESULTS: The number of patients with ventricular arrhythmia in relation to the
total of patients, the percentage of fibrosis, and the LVEF were, respectively:
Group 1, 4/26, 0.74% and 74.34%; Group 2, 4/16, 3.96% and 68.5%; and Group 3,
11/19, 14.07% and 55.59%. Ventricular arrhythmia was found in 31.1% of the
patients. Those with and without ventricular arrhythmia had mean LVEF of 59.87%
and 70.18%, respectively, and fibrosis percentage of 11.03% and 3.01%,
respectively. Of the variables SWMA, groups, age, LVEF and fibrosis, only the
latter was significant for the presence of ventricular arrhythmia, with a cutoff
point of 11.78% for fibrosis mass (p < 0.001). CONCLUSION: Even in patients with
Chagas disease and preserved or minimally impaired ventricular function,
electrical instability can be present. Regarding the presence of ventricular
arrhythmia, fibrosis is the most important variable, its amount being
proportional to the complexity of the groups.
PMID- 24918913
TI - LINE-1 hypomethylation is associated with the risk of coronary heart disease in
Chinese population.
AB - BACKGROUND: Global methylation level in blood leukocyte DNA has been associated
with the risk of coronary heart disease (CHD), with inconsistent results in
various populations. Similar data are lacking in Chinese population where
different genetic, lifestyle and environmental factors may affect DNA methylation
and its risk relationship with CHD. OBJECTIVES: To examine whether global
methylation is associated with the risk of CHD in Chinese population. METHODS: A
total of 334 cases with CHD and 788 healthy controls were included. Global
methylation in blood leukocyte DNA was estimated by analyzing LINE-1 repeats
using bisulfite pyrosequencing. RESULTS: In an initial analysis restricted to
control subjects, LINE-1 level reduced significantly with aging, elevated total
cholesterol, and diagnosis of diabetes. In the case-control analysis, reduced
LINE-1 methylation was associated with increased risk of CHD; analysis by
quartile revealed odds ratios (95%CI) of 0.9 (0.6-1.4), 1.9 (1.3-2.9) and 2.3
(1.6-3.5) for the third, second and first (lowest) quartile (Ptrend < 0.001),
respectively, compared to the fourth (highest) quartile. Lower (0.05). In 30 patients with
RA (15 with the ag allele) undergoing measurement of FMD, no differences in FMD
and plasma levels of IL-6, IL-8, VCAM, and ICAM were found between the aa and the
ag phenotype (P>0.05 for all). CONCLUSIONS: TLR4 signaling in endothelial cells
may be triggered by LPS and oxidized phospholipids, leading to endothelial
activation and inflammation, which are inhibited by eritoran. Our in vivo
investigation, however, does not support an association between the Asp299Gly
TLR4 polymorphism and improved endothelium-dependent vasodilator function in
patients with RA. Further study is needed to better understand the potential role
of TLR4 on endothelial dysfunction in this and other patient populations.
PMID- 24918925
TI - Nontuberculous mycobacterial infection is associated with increased respiratory
failure: a nationwide cohort study.
AB - BACKGROUND AND PURPOSE: Population study on relationship between nontuberculous
mycobacterial (NTM) infection and respiratory failure (RF) is limited. This study
evaluated the RF risk, including acute respiratory failure (ARF), chronic
respiratory failure (CRF) and ARF on CRF, in patients with NTM infection in
Taiwan. METHODS: We used the National Health Insurance Research Database of
Taiwan to identify 3864 newly diagnosed NTM patients (NTM cohort) from 1999 to
2009, and 15456 non-NTM patients (non-NTM cohort), frequency matched by
demographic status for comparison. Incidence and hazard of developing RF were
measured by the end of 2010. RESULTS: The incidence rate of RF was 4.31-fold
higher in the NTM cohort than in the non-NTM cohort (44.0 vs.10.2 per 1000 person
years), with an adjusted hazard ratio (HR) of 3.11 (95% CI: 2.73-3.54). The
cumulative proportional incidence of RF was 10% higher in the NTM cohort than in
the non-NTM cohort (P<0.0001). The RF risk was much greater within 6 months after
the diagnosis of NTM infection with a HR of 7.45 (95% CI = 5.50-10.09). Age
specific comparison showed that the younger NTM patients had a higher HR of RF
than the elderly NTM patients (HR: 4.42, 95% CI: 3.28-5.96 vs. HR: 2.52, 95% CI:
2.17-2.92). Comorbidity increased the risk of RF in both cohorts, particularly in
those with chronic obstructive pulmonary disease. CONCLUSION: Our study suggests
patients with NTM infection are at a high risk of RF. The risk appears much
greater soon after patients diagnosed with NTM infection.
PMID- 24918926
TI - Adaptive evolution of mitochondrial energy metabolism genes associated with
increased energy demand in flying insects.
AB - Insects are unique among invertebrates for their ability to fly, which raises
intriguing questions about how energy metabolism in insects evolved and changed
along with flight. Although physiological studies indicated that energy
consumption differs between flying and non-flying insects, the evolution of
molecular energy metabolism mechanisms in insects remains largely unexplored.
Considering that about 95% of adenosine triphosphate (ATP) is supplied by
mitochondria via oxidative phosphorylation, we examined 13 mitochondrial protein
encoding genes to test whether adaptive evolution of energy metabolism-related
genes occurred in insects. The analyses demonstrated that mitochondrial DNA
protein-encoding genes are subject to positive selection from the last common
ancestor of Pterygota, which evolved primitive flight ability. Positive selection
was also found in insects with flight ability, whereas no significant sign of
selection was found in flightless insects where the wings had degenerated. In
addition, significant positive selection was also identified in the last common
ancestor of Neoptera, which changed its flight mode from direct to indirect.
Interestingly, detection of more positively selected genes in indirect flight
rather than direct flight insects suggested a stronger selective pressure in
insects having higher energy consumption. In conclusion, mitochondrial protein
encoding genes involved in energy metabolism were targets of adaptive evolution
in response to increased energy demands that arose during the evolution of flight
ability in insects.
PMID- 24918927
TI - RIG-I enhanced interferon independent apoptosis upon Junin virus infection.
AB - Junin virus (JUNV) is the etiological agent of Argentine hemorrhagic fever (AHF),
a human disease with a high case-fatality rate. It is widely accepted that
arenaviral infections, including JUNV infections, are generally non-cytopathic.
In contrast, here we demonstrated apoptosis induction in human lung epithelial
carcinoma (A549), human hepatocarcinoma and Vero cells upon infection with the
attenuated Candid#1 strain of, JUNV as determined by phosphatidylserine (PS)
translocation, Caspase 3 (CASP3) activation, Poly (ADP-ribose) polymerase (PARP)
cleavage and/or chromosomal DNA fragmentation. Moreover, as determined by DNA
fragmentation, we found that the pathogenic Romero strain of JUNV was less
cytopathic than Candid#1 in human hepatocarcinoma and Vero, but more apoptotic in
A549 and Vero E6 cells. Additionally, we found that JUNV-induced apoptosis was
enhanced by RIG-I signaling. Consistent with the previously reported role of RIG
I like helicase (RLH) signaling in initiating programmed cell death, we showed
that cell death or DNA fragmentation of Candid#1-infected A549 cells was
decreased upon siRNA or shRNA silencing of components of RIG-I pathway in spite
of increased virus production. Similarly, we observed decreased DNA fragmentation
in JUNV-infected human hepatocarcinoma cells deficient for RIG-I when compared
with that of RIG-I-competent cells. In addition, DNA fragmentation detected upon
Candid#1 infection of type I interferon (IFN)-deficient Vero cells suggested a
type I IFN-independent mechanism of apoptosis induction in response to JUNV. Our
work demonstrated for the first time apoptosis induction in various cells of
mammalian origin in response to JUNV infection and partial mechanism of this cell
death.
PMID- 24918928
TI - Expression and prognostic value of aquaporin 1, 3 in cervical carcinoma in women
of Uygur ethnicity from Xinjiang, China.
AB - BACKGROUND: Overexpression of several aquaporins has been reported in different
types of human cancer but the role of aquaporins in carcinogenesis has not yet
been clearly defined. There is few report concerning role of aquaporins in human
cervical carcinogenesis so far. Here, we determined the expression and prognostic
value of aquaporin 1, 3 in cervical carcinoma in Chinese women of Uygur
ethnicity. METHODS AND RESULTS: Real-time PCR analyses demonstrated aquaporin 1,
3 mRNA were differentially expressed in cervical carcinoma, CIN 2-3 and mild
cervicitis. Immunofluorescent and immunohistochemical analyses demonstrated
aquaporin 1 was predominantly localized to stromal endothelial cells in cervical
lesions. Aquaporin 3 was localized to the membrane of normal squamous epithelium,
CIN and carcinoma cells. Aquaporin 1 and 3 were upregulated in cervical cancer
compared to mild cervicitis and CIN2-3 (P<0.05); Tumor expression of aquaporin 1,
3 significantly increased in advanced stage disease, and patients with deeper
tumor infiltration, lymph node metastases or larger tumor volume (P<0.05).
Multivariate analysis demonstrated that aquaporin 1, 3 were not independent
prognostic factors in cervical carcinoma. CONCLUSION: Aquaporins may participate
in the initiation and progression of cervical carcinoma by promoting tumor
growth, invasion or lymph node metastasis. Further study is required to determine
whether aquaporins have potential as prognostic factors in cervical cancer.
PMID- 24918929
TI - Paramyxovirus infection regulates T cell responses by BDCA-1+ and BDCA-3+ myeloid
dendritic cells.
AB - Respiratory syncytial virus (RSV) and human Metapneumovirus (hMPV), viruses
belonging to the family Paramyxoviridae, are the most important causes of lower
respiratory tract infection in young children. Infections with RSV and hMPV are
clinically indistinguishable, and both RSV and hMPV infection have been
associated with aberrant adaptive immune responses. Myeloid Dendritic cells
(mDCs) play a pivotal role in shaping adaptive immune responses during infection;
however, few studies have examined how interactions of RSV and hMPV with
individual mDC subsets (BDCA-1(+) and BDCA-3(+) mDCs) affect the outcome of anti
viral responses. To determine whether RSV and hMPV induce virus-specific
responses from each subset, we examined co-stimulatory molecules and cytokines
expressed by BDCA-1(+) and BDCA-3(+) mDCs isolated from peripheral blood after
infection with hMPV and RSV, and examined their ability to stimulate T cell
proliferation and differentiation. Our data show that RSV and hMPV induce virus
specific and subset-specific patterns of co-stimulatory molecule and cytokine
expression. RSV, but not hMPV, impaired the capacity of infected mDCs to
stimulate T cell proliferation. Whereas hMPV-infected BDCA-1(+) and BDCA-3(+)
mDCs induced expansion of Th17 cells, in response to RSV, BDCA-1(+) mDCs induced
expansion of Th1 cells and BDCA-3(+) mDCs induced expansion of Th2 cells and
Tregs. These results demonstrate a virus-specific and subset-specific effect of
RSV and hMPV infection on mDC function, suggesting that these viruses may induce
different adaptive immune responses.
PMID- 24918930
TI - Spatiotemporal dynamics of the HIV-1 subtype G epidemic in West and Central
Africa.
AB - The human immunodeficiency virus type 1 (HIV-1) subtype G is the second most
prevalent HIV-1 clade in West Africa, accounting for nearly 30% of infections in
the region. There is no information about the spatiotemporal dynamics of
dissemination of this HIV-1 clade in Africa. To this end, we analyzed a total of
305 HIV-1 subtype G pol sequences isolated from 11 different countries from West
and Central Africa over a period of 20 years (1992 to 2011). Evolutionary,
phylogeographic and demographic parameters were jointly estimated from sequence
data using a Bayesian coalescent-based method. Our analyses indicate that subtype
G most probably emerged in Central Africa in 1968 (1956-1976). From Central
Africa, the virus was disseminated to West and West Central Africa at multiple
times from the middle 1970s onwards. Two subtype G strains probably introduced
into Nigeria and Togo between the middle and the late 1970s were disseminated
locally and to neighboring countries, leading to the origin of two major western
African clades (G WA-I and G WA-II). Subtype G clades circulating in western and
central African regions displayed an initial phase of exponential growth followed
by a decline in growth rate since the early/middle 1990 s; but the mean epidemic
growth rate of G WA-I (0.75 year-1) and G WA-II (0.95 year-1) clades was about
two times higher than that estimated for central African lineages (0.47 year-1).
Notably, the overall evolutionary and demographic history of G WA-I and G WA-II
clades was very similar to that estimated for the CRF06_cpx clade circulating in
the same region. These results support the notion that the spatiotemporal
dissemination dynamics of major HIV-1 clades circulating in western Africa have
probably been shaped by the same ecological factors.
PMID- 24918931
TI - DP-b99 modulates matrix metalloproteinase activity and neuronal plasticity.
AB - DP-b99 is a membrane-activated chelator of zinc and calcium ions, recently
proposed as a therapeutic agent. Matrix metalloproteinases (MMPs) are zinc
dependent extracellularly operating proteases that might contribute to synaptic
plasticity, learning and memory under physiological conditions. In excessive
amounts these enzymes contribute to a number of neuronal pathologies ranging from
the stroke to neurodegeneration and epileptogenesis. In the present study, we
report that DP-b99 delays onset and severity of PTZ-induced seizures in mice, as
well as displays neuroprotective effect on kainate excitotoxicity in hippocampal
organotypic slices and furthermore blocks morphological reorganization of the
dendritic spines evoked by a major neuronal MMP, MMP-9. Taken together, our
findings suggest that DP-b99 may inhibit neuronal plasticity driven by MMPs, in
particular MMP-9, and thus may be considered as a therapeutic agent under
conditions of aberrant plasticity, such as those subserving epileptogenesis.
PMID- 24918932
TI - Prostaglandin E2 promotes features of replicative senescence in chronically
activated human CD8+ T cells.
AB - Prostaglandin E2 (PGE2), a pleiotropic immunomodulatory molecule, and its free
radical catalyzed isoform, iso-PGE2, are frequently elevated in the context of
cancer and chronic infection. Previous studies have documented the effects of
PGE2 on the various CD4+ T cell functions, but little is known about its impact
on cytotoxic CD8+ T lymphocytes, the immune cells responsible for eliminating
virally infected and tumor cells. Here we provide the first demonstration of the
dramatic effects of PGE2 on the progression of human CD8+ T cells toward
replicative senescence, a terminal dysfunctional state associated multiple
pathologies during aging and chronic HIV-1 infection. Our data show that exposure
of chronically activated CD8+ T cells to physiological levels of PGE2 and iso
PGE2 promotes accelerated acquisition of markers of senescence, including loss of
CD28 expression, increased expression of p16 cell cycle inhibitor, reduced
telomerase activity, telomere shortening and diminished production of key
cytotoxic and survival cytokines. Moreover, the CD8+ T cells also produced higher
levels of reactive oxygen species, suggesting that the resultant oxidative stress
may have further enhanced telomere loss. Interestingly, we observed that even
chronic activation per se resulted in increased CD8+ T cell production of PGE2,
mediated by higher COX-2 activity, thus inducing a negative feedback loop that
further inhibits effector function. Collectively, our data suggest that the
elevated levels of PGE2 and iso-PGE2, seen in various cancers and HIV-1
infection, may accelerate progression of CD8+ T cells towards replicative
senescence in vivo. Inhibition of COX-2 activity may, therefore, provide a
strategy to counteract this effect.
PMID- 24918933
TI - Screening for acute HIV infections and estimating HIV incidence among female sex
workers from low-grade venues in Guangxi, China.
AB - BACKGROUND: Guangxi has become one of the provinces with the most severe HIV-1
epidemic in China, where heterosexual contact is the dominant transmission route.
However, data of acute HIV infections and HIV incidence among female sex workers
(FSWs) from low-grade venues are scant. METHODS: A cross-sectional survey was
performed among FSWs from low-grade venues in Guangxi. HIV antibody screening was
performed by rapid testing (RT). HIV antibody-negative specimens were screened by
pooled nucleic acid amplification testing (NAAT) for acute HIV infections. HIV
antibody-positive specimens were further analyzed by Western blot (WB), followed
by an HIV-1 BED capture enzyme immunoassay (BED-CEIA) to identify the recent
infections. HIV-1 incidence was estimated by the data of pooled NAAT and BED
CEIA, respectively. RESULTS: A total of 7936 FSWs were recruited and answered the
questionnaires. We successfully collected the blood samples from 6469 (81.5%)
participants, of which 139 (2.1%) were HIV antibody-positive and 6330 (97.9%)
were HIV antibody-negative by RT. With pooled NAAT, 7 cases were found to be HIV
RNA positive, representing an additional 5.0% of HIV-infected persons and an
estimated HIV incidence of 1.45 (95% CI: 1.17-1.76) per 100 person years. There
were 137 positive and 2 indeterminate by WB, of which 124 (90.5%) positive
specimens were subjected to BED-CEIA testing identifying 28 recent infections.
The HIV incidence determined by BED-CEIA testing was 1.04 (95% CI: 0.65-1.43) per
100 person years. The overall prevalence of HIV among FSWs from low-grade venues
in Guangxi was 2.2% (95% CI: 1.9-2.6). CONCLUSIONS: We found that the addition of
HIV RNA screening to routine HIV antibody testing significantly improved the
detection of HIV infection among FSWs from low-grade venues in Guangxi. Our
findings also provided the useful baseline data of HIV incidence among this
population for targeting local HIV prevention, intervention, monitoring and
treatment.
PMID- 24918934
TI - Secrets of solid state and aqueous solution structures of [Ni(tmdta)](2-).
AB - The molecular structures of Li2[Ni(tmdta)].5H2O (1a, tmdta =
trimethylenediaminetetraacetate), {C(NH2)3}2[Ni(tmdta)].6H2O (1b), and
{Ni(H2O)6}[Ni(tmdta)].2H2O (2a) have been determined. The central
trimethylenediamine chelate ring shows half-chair (hc) geometries in 1a and 1b,
while a twist-boat (tb) conformation is encountered in 2a. The coexistence of tb
and hc forms in the solid state prompted us to elucidate the existence of a tb ?
hc equilibrium in aqueous solution. Evaluation of the data from solid state
vibrational spectra (Raman and IR) for the hc and tb forms showed excellent
agreement with simulated spectra obtained with DFT computations (TPSSh/TZVP).
This outstanding matching between theory and experiment enabled us to build
composite spectra with varying hc:tb ratios. Comparison of these results with
Raman and IR spectra recorded for [Ni(tmdta)](2-) in aqueous solution revealed
that simulated Raman and IR spectra with a hc:tb ratio = 2:3 match the solution
spectra in an accurate way. This equilibrium ratio enabled us to compute (13)C
NMR sifts for the paramagnetic solution spectrum of [Ni(tmdta)](2-) based on the
relative contributions by hc and tb fractions. This leads to computed shifts that
agree closely with the experimental ones. Also, the kinetics of the skeleton
dynamics could be estimated quantitatively by temperature-dependent (13)C NMR
spectroscopic measurements. An interesting effect encountered for the very first
time here concerns a drastic intensity difference of the 10Dq band ((3)A2g ->
(3)T2g(F) transition) in solid state electronic spectra of tb vs hc isomers,
where the intensity of this band in the case of the hc form is much lower than
that of the tb conformer and thus more similar to the case of the usual Ni(II)
chromophore in octahedral environment. The equilibrium constants for complex
formation and protonation of Ni(II)-tmdta at low pH have been estimated by pH
dependent UV-vis titration experiments. Correlation of these data with those of
Ni(II)-edta and related 3d M(II) edta and tmdta complexes allow important
conclusions on the consequences resulting from extending the central diamine ring
in the ligand by one methylene group in terms of both complex and protolytic
stability for edta vs tmdta complexes.
PMID- 24918935
TI - Heading for the hills: risk avoidance drives den site selection in African wild
dogs.
AB - Compared to their main competitors, African wild dogs (Lycaon pictus) have
inferior competitive abilities and interspecific competition is a serious fitness
limiting factor. Lions (Panthera leo) are the dominant large carnivore in African
savannah ecosystems and wild dogs avoid them both spatially and temporally. Wild
dog young are particularly vulnerable and suffer high rates of mortality from
lions. Since lions do not utilize all parts of the landscape with an equal
intensity, spatial variation in lion densities can be exploited by wild dogs both
during their general ranging behaviour, but more specifically when they are
confined to a den with vulnerable young. Since patches of rugged terrain are
associated with lower lion densities, we hypothesized that these comparatively
safe habitats should be selected by wild dogs for denning. We investigated the
relationship between the distribution of 100 wild dog den sites and the
occurrence of rugged terrain in four wild dog populations located in Tanzania,
Zimbabwe and South Africa. A terrain ruggedness index was derived from a 90 m
digital elevation model and used to map terrain ruggedness at each site. We
compared characteristics of actual and potential (random) den sites to determine
how wild dogs select den sites. The distributions of wild dog dens were strongly
associated with rugged terrain and wild dogs actively selected terrain that was
more rugged than that available on average. The likelihood of encountering lions
is reduced in these habitats, minimizing the risk to both adults and pups. Our
findings have important implications for the conservation management of the
species, especially when assessing habitat suitability for potential
reintroductions. The simple technique used to assess terrain ruggedness may be
useful to investigate habitat suitability, and even predict highly suitable
denning areas, across large landscapes.
PMID- 24918936
TI - Detection of polynucleotide kinase activity by using a gold electrode modified
with magnetic microspheres coated with titanium dioxide nanoparticles and a DNA
dendrimer.
AB - In this paper, we have designed a signal amplified method for the electrochemical
determination of polynucleotide kinase activity. It is based on (a) the
peroxidase-like activity of magnetite microspheres (MNPs), (b) the specific
recognition capabilities of titanium dioxide (TiO2) with the phosphate groups of
the capture probe and (c) the DNA dendrimer structure for signal amplification.
MNPs coated with TiO2 (TMNPs) were prepared and characterized by scanning
electron microscopy (SEM) and Fourier transform infrared (FTIR) spectroscopy.
TMNP-DNA dendrimers were formed by the hybridization of captured nucleic acids
with a link probe. Cyclic voltammetry (CV) and electrochemical impedance
spectroscopy (EIS) were carried out to study the electrocatalytic process. The
formation of the TMNP-DNA dendrimer structures was related to the phosphorylated
capture probe and further to the activity of polynucleotide kinase, which was the
base of the polynucleotide kinase detection. The TMNP-DNA dendrimer based
biosensor showed sensitive detection of polynucleotide kinase with a satisfying
result; a low detection of 0.003 U mL(-1) and wide linear range of 0.01 to 30 U
mL(-1) were achieved. Additionally, the present TMNP-DNA dendrimer based
biosensor also demonstrated excellent selectivity, stability and reproducibility.
PMID- 24918937
TI - Caffeine-induced Ca2+ oscillations in type I horizontal cells of the carp retina
and the contribution of the store-operated Ca2+ entry pathway.
AB - The mechanisms of release, depletion, and refilling of endoplasmic reticulum (ER)
Ca2+ were investigated in type I horizontal cells of the carp retina using a fluo
3-based Ca2+ imaging technique. Exogenous application of caffeine, a ryanodine
receptor agonist, induced oscillatory intracellular free Ca2+ concentration
([Ca2+]i) responses in a duration- and concentration-dependent manner. In Ca2+
free Ringer's solution, [Ca2+]i transients could also be induced by a brief
caffeine application, whereas subsequent caffeine application induced no [Ca2+]i
increase, which implied that extracellular Ca2+ was required for ER refilling,
confirming the necessity of a Ca2+ influx pathway for ER refilling. Depletion of
ER Ca2+ by thapsigargin triggered a Ca2+ influx which could be blocked by the
store-operated channel inhibitor 2-APB, which proved the existence of the store
operated Ca2+ entry pathway. Taken together, these results suggested that after
being depleted by caffeine, the ER was replenished by Ca2+ influx via store
operated channels. These results reveal the fine modulation of ER Ca2+ signaling,
and the activation of the store-operated Ca2+ entry pathway guarantees the
replenishment of the ER so that the cell can be ready for response to the
subsequent stimulus.
PMID- 24918938
TI - Chloride secretion induced by rotavirus is oxidative stress-dependent and
inhibited by Saccharomyces boulardii in human enterocytes.
AB - Rotavirus (RV) infection causes watery diarrhea via multiple mechanisms,
primarily chloride secretion in intestinal epithelial cell. The chloride
secretion largely depends on non-structural protein 4 (NSP4) enterotoxic activity
in human enterocytes through mechanisms that have not been defined. Redox
imbalance is a common event in cells infected by viruses, but the role of
oxidative stress in RV infection is unknown. RV SA11 induced chloride secretion
in association with an increase in reactive oxygen species (ROS) in Caco-2 cells.
The ratio between reduced (GSH) and oxidized (GSSG) glutathione was decreased by
RV. The same effects were observed when purified NSP4 was added to Caco-2 cells.
N-acetylcysteine (NAC), a potent antioxidant, strongly inhibited the increase in
ROS and GSH imbalance. These results suggest a link between oxidative stress and
RV-induced diarrhea. Because Saccharomyces boulardii (Sb) has been effectively
used to treat RV diarrhea, we tested its effects on RV-infected cells. Sb
supernatant prevented RV-induced oxidative stress and strongly inhibited chloride
secretion in Caco-2 cells. These results were confirmed in an organ culture model
using human intestinal biopsies, demonstrating that chloride secretion induced by
RV-NSP4 is oxidative stress-dependent and is inhibited by Sb, which produces
soluble metabolites that prevent oxidative stress. The results of this study
provide novel insights into RV-induced diarrhea and the efficacy of probiotics.
PMID- 24918939
TI - The perception and mimicry of facial movements predict judgments of smile
authenticity.
AB - The mechanisms through which people perceive different types of smiles and judge
their authenticity remain unclear. Here, 19 different types of smiles were
created based on the Facial Action Coding System (FACS), using highly controlled,
dynamic avatar faces. Participants observed short videos of smiles while their
facial mimicry was measured with electromyography (EMG) over four facial muscles.
Smile authenticity was judged after each trial. Avatar attractiveness was judged
once in response to each avatar's neutral face. Results suggest that, in contrast
to most earlier work using static pictures as stimuli, participants relied less
on the Duchenne marker (the presence of crow's feet wrinkles around the eyes) in
their judgments of authenticity. Furthermore, mimicry of smiles occurred in the
Zygomaticus Major, Orbicularis Oculi, and Corrugator muscles. Consistent with
theories of embodied cognition, activity in these muscles predicted authenticity
judgments, suggesting that facial mimicry influences the perception of smiles.
However, no significant mediation effect of facial mimicry was found. Avatar
attractiveness did not predict authenticity judgments or mimicry patterns.
PMID- 24918940
TI - Laparoscopic management of nonmidline ventral hernia.
AB - INTRODUCTION: Ventral hernias may be primary or incisional and classified as
midline ventral hernias (MVHs) or non-MVHs (NMVHs). NMVHs are rarer, and their
laparoscopic management is technically challenging because of varied anatomic
locations, differences in patient positioning at time of surgery, and lack of
adequate lateral space for mesh fixation, compounded by the proximity of major
organs and bony landmarks. A retrospective review of all the NMVHs operated on in
a clinical unit is presented. SUBJECTS AND METHODS: One hundred eighty-three
cases met the criteria of ventral hernia, with 25 cases (13.66%) as NMVH. These
NMVHs included lumbar (n=5), suprapubic (n=7), iliac (n=10), and subcostal (n=3).
Univariate and multivariate analyses were done using SPSS version 19 software
(IBM, Armonk, NY). Continuous data were analyzed using the Mann-Whitney U test/t
test, and categorical data were analyzed using the chi-squared test. A P value of
<=.05 was considered significant. RESULTS: Demographic profile and presentation
were similar in all groups. One case each had seromuscular intestinal injury in
the iliac group (P=.668), splenic injury in the lumbar group, and liver injury in
the subcostal group (P=.167). In the iliac group there was 1 patient with
hematoma (P=.668), whereas seroma was seen in 1 lumbar group patient and 2 iliac
group patients (P=.518). Persistent cough impulse was seen in 1 case each in the
iliac and lumbar groups (P=.593). One case in the iliac group recurred after
primary surgery (P=.668). CONCLUSIONS: NMVHs have a similar spectrum of
difficulty and complication profile as those of laparoscopic MVH repairs.
Laparoscopic repair of a non-midline hernia is technically challenging but
definitely feasible. The incidence of complications and recurrence rate might be
more than those for MVHs, but its actual validation needs a much larger
comparative study having a longer follow-up.
PMID- 24918941
TI - A comparison of neutral and immune genetic variation in Atlantic salmon, Salmo
salar L. in Chilean aquaculture facilities.
AB - Genetic diversity was assessed in samples of cultured Atlantic salmon, Salmo
salar L., obtained from facilities in Chile between 2005 and 2010, a period of
time during which the infectious pathogens Infectious Salmon Anemia (ISA) virus,
Caligus rogercresseyi (sea lice), and Piscirickettsia salmonis (salmon
rickettsial syndrome) were common. Two panels of microsatellite markers were
utilized: one with microsatellites with no known gene associations (neutral) and
one featuring microsatellites linked to putative immune-related genes (immune
related). Allelic richness and gene diversity across samples were significantly
greater in neutral loci as compared to immune-related loci. Both diversity
measures were homogeneous among samples for immune-related loci and heterogeneous
among samples for neutral loci. Immune-related loci were identified as F(ST)
outliers in pairwise comparisons of samples at a 10-fold higher frequency than
neutral loci. These results indicate that neutral and immune-related portions of
the Atlantic salmon genome may have differed in response to the gauntlet of
pathogens and that monitoring of specific, well characterized immune-related loci
as well as neutral loci in cultured species could be useful when disease control
and prevention is a goal.
PMID- 24918942
TI - Deagrarianisation and forest revegetation in a biodiversity hotspot on the Wild
Coast, South Africa.
AB - Deagraianisation is a worldwide phenomenon with widespread social, ecological and
economic effects yet with little consensus on the local or higher level causes.
There have been contested views on the causes and consequences of
deagrarianisation on South Africa's Wild Coast, which is an international
biodiversity hotspot. Using GIS, household interviews and ecological sampling, we
compared the perspectives of current and former cultivators as to why some have
abandoned farming, whilst also tracking the uses and woody plant cover and
composition of fields abandoned at different periods. The GIS analysis showed
that field abandonment had been ongoing over several decades, with a decline from
12.5 % field cover in 1961 to 2.7 % in 2009. The area of forests and woodlands
almost doubled in the corresponding period. There was a distinct peak in field
abandonment during the time of political transition at the national level in the
early 1990 s. This political change led to a decrease in government support for
livestock farming, which in turn resulted in reduced animal draught power at the
household and community level, and hence reduced cropping. The study showed it is
largely the wealthier households that have remained in arable agriculture and
that the poorer households have abandoned farming. The abandoned fields show a
distinct trend of increasing woody biomass and species richness with length of
time since abandonment, with approximately three woody plant species added per
decade. Most local respondents dislike the increases in forest and woodland
extent and density because of anxiety about wild animals causing harm to crops
and even humans, and the loss of an agricultural identity to livelihoods and the
landscape.
PMID- 24918943
TI - How do earthworms, soil texture and plant composition affect infiltration along
an experimental plant diversity gradient in grassland?
AB - BACKGROUND: Infiltration is a key process in determining the water balance, but
so far effects of earthworms, soil texture, plant species diversity and their
interaction on infiltration capacity have not been studied. METHODOLOGY/PRINCIPAL
FINDINGS: We measured infiltration capacity in subplots with ambient and reduced
earthworm density nested in plots of different plant species (1, 4, and 16
species) and plant functional group richness and composition (1 to 4 groups;
legumes, grasses, small herbs, tall herbs). In summer, earthworm presence
significantly increased infiltration, whereas in fall effects of grasses and
legumes on infiltration were due to plant-mediated changes in earthworm biomass.
Effects of grasses and legumes on infiltration even reversed effects of texture.
We propose two pathways: (i) direct, probably by modifying the pore spectrum and
(ii) indirect, by enhancing or suppressing earthworm biomass, which in turn
influenced infiltration capacity due to change in burrowing activity of
earthworms. CONCLUSIONS/SIGNIFICANCE: Overall, the results suggest that spatial
and temporal variations in soil hydraulic properties can be explained by biotic
processes, especially the presence of certain plant functional groups affecting
earthworm biomass, while soil texture had no significant effect. Therefore biotic
parameters should be taken into account in hydrological applications.
PMID- 24918944
TI - PIK3CA and TP53 gene mutations in human breast cancer tumors frequently detected
by ion torrent DNA sequencing.
AB - Breast cancer is the most common malignancy and the leading cause of cancer
deaths in women worldwide. While specific genetic mutations have been linked to 5
10% of breast cancer cases, other environmental and epigenetic factors influence
the development and progression of the cancer. Since unique mutations patterns
have been observed in individual cancer samples, identification and
characterization of the distinctive breast cancer molecular profile is needed to
develop more effective target therapies. Until recently, identifying genetic
cancer mutations via personalized DNA sequencing was impractical and expensive.
The recent technological advancements in next-generation DNA sequencing, such as
the semiconductor-based Ion Torrent sequencing platform, has made DNA sequencing
cost and time effective with more reliable results. Using the Ion Torrent
Ampliseq Cancer Panel, we sequenced 737 loci from 45 cancer-related genes to
identify genetic mutations in 105 human breast cancer samples. The sequencing
analysis revealed missense mutations in PIK3CA, and TP53 genes in the breast
cancer samples of various histologic types. Thus, this study demonstrates the
necessity of sequencing individual human cancers in order to develop personalized
drugs or combination therapies to effectively target individual, breast cancer
specific mutations.
PMID- 24918946
TI - National trends in burn and inhalation injury in burn patients: results of
analysis of the nationwide inpatient sample database.
AB - The aim of this study was describe national trends in prevalence, demographics,
hospital length of stay (LOS), hospital charges, and mortality for burn patients
with and without inhalational injury and to compare to the National Burn
Repository. Burns and inhalation injury cause considerable mortality and
morbidity in the United States. There remains insufficient reporting of the
demographics and outcomes surrounding such injuries. The National Inpatient
Sample database, the nation's largest all-payer inpatient care data repository,
was utilized to select 506,628 admissions for burns from 1988 to 2008 based on
ICD-9-CM recording. The data were stratified based on the extent of injury
(%TBSA) and presence or absence of inhalational injury. Inhalation injury was
observed in only 2.2% of burns with <20% TBSA but 14% of burns with 80 to 99%
TBSA. Burn patients with inhalation injury were more likely to expire in-hospital
compared to those without (odds ratio, 3.6; 95% confidence interval, 2.7-5.0; P <
.001). Other factors associated with higher mortality were African-American race,
female sex, and urban practice setting. Patients treated at rural facilities and
patients with hyperglycemia had lower mortality rates. Each increase in percent
of TBSA of burns increased LOS by 2.5%. Patients with burns covering 50 to 59% of
TBSA had the longest hospital stay at a median of 24 days (range, 17-55). The
median in-hospital charge for a burn patient with inhalation injury was
US$32,070, compared to US$17,600 for those without. Overall, patients who expired
from burn injury accrued higher in-hospital charges (median, US$50,690 vs
US$17,510). Geographically, California and New Jersey were the states with the
highest charges, whereas Vermont and Maryland were states with the lowest
charges. The study analysis provides a broad sampling of nationwide demographics,
LOS, and in-hospital charges for patients with burns and inhalation injury.
PMID- 24918945
TI - Predictors of insulin resistance in pediatric burn injury survivors 24 to 36
months postburn.
AB - Burn injury is a dramatic event with acute and chronic consequences including
insulin resistance. However, factors associated with insulin resistance have not
been previously investigated. The purpose of this study was to identify factors
associated with long-term insulin resistance in pediatric burn injury survivors.
The study sample consisted of 61 pediatric burn injury survivors 24 to 36 months
after the burn injury, who underwent an oral glucose tolerance test. To assess
insulin resistance, the authors calculated the area under the curve for glucose
and insulin. The diagnostic criteria of the American Diabetes Association were
used to define individuals with impaired glucose metabolism. Additional data
collected include body composition, anthropometric measurements, burn
characteristics, and demographic information. The data were analyzed using
multivariate linear regression analysis. Approximately 12% of the patients met
the criteria for impaired glucose metabolism. After adjusting for possible
confounders, burn size, age, and body fat percentage were associated with the
area under the curve for glucose (P < .05 for all). Time postburn and lean mass
were inversely associated with the area under the curve for glucose (P < .05 for
both). Similarly, older age predicted higher insulin area under the curve. The
results indicate that a significant proportion of pediatric injury survivors
suffer from glucose abnormalities 24 to 36 months postburn. Burn size, time
postburn, age, lean mass, and adiposity are significant predictors of insulin
resistance in pediatric burn injury survivors. Clinical evaluation and screening
for abnormal glucose metabolism should be emphasized in patients with large
burns, older age, and survivors with high body fat.
PMID- 24918947
TI - A mobile app for measuring the surface area of a burn in three dimensions:
comparison to the Lund and Browder assessment.
AB - The aim of this study was to compare the ease and accuracy of measuring the
surface area of a severe burn through the use of a mobile software application
(BurnMed) to the traditional method of assessment, the Lund and Browder chart.
BurnMed calculates the surface area of a burn by enabling the user to first
manipulate a three-dimensional model on a mobile device and then by touching the
model at the locations representing the patient's injury. The surface area of the
burn is calculated in real time. Using a cohort of 18 first-year medical students
with no experience in burn care, the surface area of a simulated burn on a
mannequin was made using BurnMed and compared to estimates derived from the Lund
and Browder chart. At the completion of this study, students were asked to
complete a questionnaire designed to assess the ease of use of BurnMed. Users
were able to easily and accurately measure the surface area of a simulated burn
using the BurnMed application. In addition, there was less variability in surface
area measurements with the application compared to the results obtained using the
Lund and Browder chart. Users also reported that BurnMed was easier to use than
the Lund and Browder chart. A software application, BurnMed, has been developed
for a mobile device that easily and accurately determines the surface area of a
burn. This system uses a three-dimensional model that can be rotated, enlarged,
and transposed by the health care provider to easily determine the extent of a
burn. Results show that the variability of measurements using BurnMed is lower
than the measurements obtained using the Lund and Browder chart. BurnMed is
available at no charge in the AppleTM Store.
PMID- 24918948
TI - Clinical outcomes from a foam wedge splinting program for axillary contracture
prevention in the intensive care unit.
AB - Preventing scar contracture after burn injury is a critical goal during recovery.
Although the need for intervention is well-understood, data on specific
techniques are limited. The study's objective is to provide data for the use of a
foam abduction wedge in terms of safety, effectiveness, and patient and caregiver
satisfaction through a prospective, single-arm trial. All patients presenting
with a burn injury that required grafting in the axillary region and placed them
at risk for shoulder joint contracture were offered inclusion. Patient outcomes
were recorded for the duration of their burn intensive care unit admission. Ten
subjects completed the protocol with a mean duration of wedge use of 41.5 +/-
32.5 days. At discharge, the mean shoulder abduction was 132 degrees +/- 38
degrees on the left and 118 degrees +/- 22 degrees on the right. The mean
shoulder flexion was 132 degrees +/- 31 degrees on the left and 123 degrees +/
29 degrees on the right. As much as 90% of the subjects had greater than 90
degrees of shoulder abduction and flexion at discharge. There were no
observations of worsening burn injury wounds, graft failure, or new pressure
related wounds. One patient was found to have an upper-extremity peripheral nerve
injury that was not clearly associated with the splint. Patient and nursing
surveys indicated areas of satisfaction as well as areas for potential
improvement. This study illustrates the anticipated clinical outcomes and care
issues associated with the use of a specific contracture prevention method used
in the burn intensive care unit setting as well as identifying areas for future
research.
PMID- 24918950
TI - Dental age assessment for different climatic regions.
AB - OBJECTIVE: The aim of this study was to evaluate the impact of several aspects of
climate, such as temperature and altitude, on dental maturation. MATERIALS AND
METHODS: The total sample consisted of 944 panoramic radiographs (473 Turkish
children from Central Anatolia [228 girls and 245 boys] and 471 Turkish children
from Eastern Anatolia [222 girls and 249 boys]). The children aged between 7.0
and 14.9 years. The radiographs were randomly selected from 2 cities in Turkey,
Kayseri and Erzurum. Independent t tests and paired t tests were performed to
compare sex, location, and age to determine the relationships between tooth
developments. RESULTS: The Central Anatolian children were approximately 0.2 to
3.0 years more advanced in dental maturity than the Eastern Anatolian subjects.
CONCLUSIONS: The development standards proposed by Demirjian are affected by
climatic factors. However, further research and detailed information to gather
data are needed.
PMID- 24918949
TI - The role of seizure disorders in burn injury and outcome in Sub-Saharan Africa.
AB - Patients with epilepsy have higher incidence and severity of burn injury. Few
studies describe the association between epilepsy and burns in low-income
settings, where epilepsy burden is highest. The authors compared patients with
and without seizure disorder in a burn unit in Lilongwe, Malawi. The authors
conducted a retrospective study of patients admitted to the Kamuzu Central
Hospital burn ward from July 2011 to December 2012. Descriptive analysis of
patient characteristics and unadjusted and adjusted analyses of risk factors for
mortality were conducted for patients with and without seizure disorder.
Prevalence of seizure disorder was 10.7% in the study population. Adults with
burns were more likely to have seizure disorder than children. Flame injury was
most common in patients with seizure disorder, whereas scalds predominated among
patients without seizure disorder. Whereas mortality did not differ between the
groups, mean length of stay was longer for patients with seizure disorder, 42.1
days vs 21.6 days. Seizure disorder continues to be a significant risk factor for
burn injury in adults in Malawi. Efforts to mitigate epilepsy will likely lead to
significant decreases in burns among adults in Sub-Saharan Africa and must be
included in an overall burn prevention strategy in our environment.
PMID- 24918951
TI - Genetic identification by using short tandem repeats analysis in a case of
suicide by self-incineration: a case report.
AB - Suicide by self-incineration is an uncommon method of suicide in the western
world in contrast with Asian countries, where this type of suicide is more
common. If there is a lack of witnesses, genetic analysis for identification is
mandatory, especially when anthropologic or dental identification is barely
significant.The authors report a case of self-incineration of a 55-year-old white
man, which occurred near Siena, Tuscany, Italy.The recovered bones were
classified according to the Crow-Glassman scale and assigned to category 5 (the
highest extent of combustion according to this scale). Therefore, because of the
extent of the bone damage, analyzing the residual soft tissue around the pelvic
bones was the only way to reach a genetic identification.The authors report this
case to emphasize that even if the highest level of burn injury to human body is
reached, an accurate analysis of the findings may lead to a genetic
identification. In these cases, an efficient cooperation among police, fire
experts, and forensics is necessary, especially because it is the only way to
determine if the modality of death was accidental, suicidal, or homicidal.
PMID- 24918952
TI - Acute aortic dissection with carotid and coronary malperfusion: from imaging to
pathology.
AB - Postmortem imaging, including postmortem computed tomography angiography, has
become an integral tool in forensic investigation in recent years. A relatively
new technique, multiphase postmortem computed tomography angiography, allows
detailed visualization of the vascular system and makes it possible to evaluate
the dynamic perfusion of aortic branches, including the coronary arteries. Here,
we report a case of aortic dissection involving the ascending aorta (type A) with
coronary and carotid malperfusion. This case illustrates the complementary use of
many of the diagnostic tools that are now available in forensic practice, from
imaging to conventional autopsy to pathologic techniques such as
immunohistochemistry.
PMID- 24918953
TI - Bone marrow embolism to the lung in electrocution: two case reports.
AB - Bone marrow embolism in electrocution was first described in the literature by
Rappaport et al (Am J Pathol. 1951;27(3):407-433) in 1951. Two case studies
demonstrating this phenomenon are reported here, one involving high-voltage
exposure with associated skeletal injuries and the other involving domestic
current and without evidence of skeletal injury. Both cases demonstrated bone
marrow embolism on histologic examination of the lungs. The purposes of this
article are to reiterate the existence of this unusual phenomenon and to consider
possible pathogenetic mechanisms.
PMID- 24918954
TI - Allergy in extremis: a case of sudden unexpected death due to an allergy
associated disease.
AB - Allergy-associated diseases have a multitude of confirmed or suspected etiologies
and associations affecting organs and organ systems. The hyper-reactivity of the
immune system in which the eosinophils are prominent plays a central role in
organ-specific and systemic effects in these diseases. Patients may be plagued
with nonspecific, episodic, or progressive signs and symptoms. Patients may also
exhibit signs and symptoms that mimic more common conditions. Recognition of
certain patterns of clinical signs and symptoms may lead to definitive clinical
diagnosis and effective treatment, particularly of less common but potentially
lethal disease entities. The lack of clinical recognition of these patterns,
whether due to "red herring" historical information, lack of sign/symptom
specificity, clinical presentation at early stage, or unfamiliarity with the
constellation of disease presentation, may delay or preclude diagnosis and
treatment. The forensic pathologist must also have an awareness of varied
clinical presentations of diseases because these can provide us with direction
toward the ultimate determination of cause and manner of death.The importance and
contribution of the practice of forensic pathology are highlighted in the
instance of sudden and unexpected death, whereby the previously unrecognized or
unconfirmed disease entity that leads to the death is revealed via analysis of
scene information, autopsy performance, and review of medical history and history
of the most recent illness. Through this comprehensive approach, a greater
understanding of the extent and potential lethality of disease with reiteration
of the importance of early clinical diagnosis and treatment is reinforced. This
benefits the medical community involved in diagnosis and treatment, clinicians
and pathologists alike, with the ultimate goal of reduction of morbidity and
mortality.Reported is a case of sudden unexpected death with historical, gross,
and microscopic findings consistent with a multisystem, inflammatory, allergic
disease entity.
PMID- 24918955
TI - Big marijuana--lessons from big tobacco.
PMID- 24918957
TI - Metal-induced conformational changes of human metallothionein-2A: a combined
theoretical and experimental study of metal-free and partially metalated
intermediates.
AB - Electrospray ionization ion mobility mass spectrometry (ESI IM-MS) and molecular
dynamics (MD) simulations reveal new insights into metal-induced conformational
changes and the mechanism for metalation of human metallothionein-2A (MT), an
intrinsically disordered protein. ESI of solutions containing apoMT yields
multiple charge states of apoMT; following addition of Cd(2+) to the solution,
ESI yields a range of CdiMT (i = 1-7) product ions (see Chen et al. Anal. Chem.
2013, 85, 7826-33). Ion mobility arrival-time distributions (ATDs) for the CdiMT
(i = 0-7) ions reveal a diverse population of ion conformations. The ion mobility
data clearly show that the conformational diversity for apoMT and partially
metalated ions converges toward ordered, compact conformations as the number of
bound Cd(2+) ions increase. MD simulations provide additional information on
conformation candidates of CdiMT (i = 0-7) that supports the convergence of
distinct conformational populations upon metal binding. Integrating the IM-MS and
MD data provides a global view that shows stepwise conformational transition of
an ensemble as a function of metal ion bound. ApoMT is comprised of a wide range
of conformational states that populate between globular-like compact and coil
rich extended conformations. During the initial stepwise metal addition (number
of metal ions bound i = 1-3), the metal ions bind to different sites to yield
distinct conformations, whereas for i > 4, the conformational changes appear to
be domain-specific, attributed to different degrees of disorder of the beta
domain; the beta domain becomes more ordered as additional metal ions are added,
promoting convergences to the dumbbell-shaped conformation.
PMID- 24918958
TI - Black-blood multicontrast imaging of carotid arteries with DANTE-prepared 2D and
3D MR imaging.
AB - PURPOSE: To prospectively compare the black-blood ( BB black blood ) imaging
efficiency of a delay alternating with nutation for tailored excitation ( DANTE
delay alternating with nutation for tailored excitation ) preparation module with
conventional double inversion-recovery ( DIR double inversion recovery ) and
motion-sensitive driven equilibrium ( MSDE motion-sensitive driven equilibrium )
preparation modules and to introduce a new three-dimensional ( 3D three
dimensional ) T1-weighted magnetic resonance (MR) imaging sequence. MATERIALS AND
METHODS: Carotid artery wall imaging was performed in 10 healthy volunteers and
15 patients in accordance with an institutional review board-approved protocol.
Two-dimensional ( 2D two-dimensional ) turbo spin-echo ( TSE turbo spin echo )
and 3D three-dimensional fast low-angle shot ( FLASH fast low-angle shot )
sequences served as readout modules. DANTE delay alternating with nutation for
tailored excitation -prepared T1-, T2-, and proton density-weighted 2D two
dimensional TSE turbo spin echo images, as well as T1-weighted 3D three
dimensional DANTE delay alternating with nutation for tailored excitation
prepared FLASH fast low-angle shot (hereafter, 3D three-dimensional DASH DANTE
prepared FLASH ) images, were acquired in the region of the carotid artery
bifurcation. For comparison, 2D two-dimensional DIR double inversion recovery
prepared, 2D two-dimensional MSDE motion-sensitive driven equilibrium -prepared
multicontrast TSE turbo spin echo , and 3D three-dimensional MSDE motion
sensitive driven equilibrium -prepared FLASH fast low-angle shot (hereafter, 3D
three-dimensional MERGE MSDE-prepared FLASH ) MR images were also acquired. The
effective contrast-to-noise ratio ( CNReff effective contrast-to-noise ratio )
per unit time was calculated for all sequences. Paired t tests were performed to
test within-group differences in vessel wall CNReff effective contrast-to-noise
ratio . RESULTS: The CNReff effective contrast-to-noise ratio of DANTE delay
alternating with nutation for tailored excitation -prepared T1-, T2-, and proton
density-weighted sequences was 27.3, 14.7, and 25.7 mm(-1)min(-1/2),
respectively; this represented an improvement of approximately 25%-100% (P < .05)
when compared with the CNReff effective contrast-to-noise ratio attained with
existing methods. The 3D three-dimensional DASH DANTE-prepared FLASH technique
proved to be a fast (<2 seconds per section) and high-spatial-resolution (0.6 mm
isotropic) BB black blood technique with higher (75%-100% improvement, P < .001)
signal-to-noise ratio efficiency than the 3D three-dimensional MERGE MSDE
prepared FLASH technique. CONCLUSION: The DANTE delay alternating with nutation
for tailored excitation -prepared multicontrast 2D two-dimensional BB black blood
technique is a promising new tool for MR imaging of carotid artery walls.
Additionally, the 3D three-dimensional DASH DANTE-prepared FLASH sequence enables
3D three-dimensional high-spatial-resolution fast T1-weighted imaging of carotid
artery walls. (c)RSNA, 2014 Online supplemental material is available for this
article .
PMID- 24918959
TI - Adenomatous neoplasia: postsurgical incidence after normal preoperative CT
colonography findings in the colon proximal to an occlusive cancer.
AB - PURPOSE: To determine the postoperative incidence of adenomatous neoplasia in the
colon proximal to an occlusive colorectal cancer where preoperative computed
tomographic (CT) colonography findings were normal. MATERIALS AND METHODS:
Institutional review board approval, with a waiver of informed consent, was
obtained. This observational study included patients with occlusive colorectal
cancer who underwent preoperative CT colonography between April 2007 and March
2010 that revealed normal findings (ie, no lesions >= 6 mm) in the proximal colon
and who underwent postoperative colonoscopy. The primary outcome was
postoperative colonoscopic discovery of clinically relevant lesions (ie,
nondiminutive [>= 6 mm] adenomas, advanced adenomas, or cancers) in the proximal
colon. The cumulative incidence of clinically relevant lesions in preoperatively
normal proximal colon over the postsurgical follow-up time was analyzed by using
the Kaplan-Meier method. RESULTS: The final cohort included 204 patients (102 men
and 102 women; mean age, 57.3 years +/- 11.3 [standard deviation]). At a total of
435 postoperative colonoscopies performed over a median follow-up of 29 months
(range, 1-74 months), clinically relevant lesions were detected in the proximal
colon in 30 patients: Nonadvanced adenomas were detected in 23 patients, and
advanced adenomas were detected in seven patients. The cumulative incidence of
clinically relevant adenomatous lesions in the preoperatively normal proximal
colon 12 and 18 months after preoperative CT colonography was 8.1% (95%
confidence interval [CI]: 3.9%, 12.2%) and 9.6% (95% CI: 5%, 14%), respectively.
Clinically relevant adenomatous lesions found in the proximal colon within 18
months of preoperative CT colonography were nonadvanced adenomas in 10 of 15
patients. CONCLUSION: When the portion of the colon proximal to an occlusive
cancer is devoid of nondiminutive lesions at preoperative CT colonography,
colonoscopy of the proximal colon following cancer resection rarely finds
clinically relevant lesions and is unlikely to reveal any lesions requiring
immediate removal until routine 1-year postsurgical follow-up. Online
supplemental material is available for this article .
PMID- 24918960
TI - Delayed ischemic stroke after stent-assisted coil placement in cerebral aneurysm:
characteristics and optimal duration of preventative dual antiplatelet therapy.
AB - PURPOSE: To evaluate characteristics of delayed ischemic stroke after stent
assisted coil placement in cerebral aneurysms and to determine the optimal
duration of dual antiplatelet therapy for its prevention. MATERIALS AND METHODS:
This retrospective study was approved by the institutional review board, and the
requirement to obtain written informed consent was waived. Of 1579 patients with
1661 aneurysms, 395 patients (25.0%) with 403 aneurysms (24.3%) treated with
stent-assisted coil placement were included and assigned to groups stratified as
early (126 patients [31.9%]; 3 months of coil placement), midterm (160 patients
[40.5%]; 6 months), or late (109 patients [27.6%]; >= 9 months), according to the
time points of switching dual antiplatelet therapy to monotherapy from coil
placement. Cumulative rates of delayed ischemic stroke in each group were
calculated by using Kaplan-Meier estimates that were compared with log-rank
tests. Risk factors of delayed ischemic stroke were identified by using Cox
proportional hazard analysis. RESULTS: Delayed ischemic stroke occurred in 3.5%
of all cases (embolism, 3.0%; thrombotic occlusion, 0.5%) within 2 months
following the switch. Late switch yielded no delayed ischemic stroke, unlike
early (seven of 126 patients [5.6%]; P = .013) or midterm (seven of 160 patients
[4.4%]; P = .028) switch. Incomplete occlusion (hazard ratio, 6.68 [95%
confidence interval: 1.490, 29.900]) was identified as a risk factor. CONCLUSION:
Delayed ischemic stroke after stent-assisted coil placement is caused by embolism
from or thrombotic occlusion of stent-containing vessels after switching from
dual antiplatelet therapy to monotherapy. The stent-containing vessel with
incomplete aneurysm occlusion presents as a long-term thromboembolic source.
Therefore, dual antiplatelet therapy for more than 9 months and late switch to
monotherapy are recommended for its prevention.
PMID- 24918961
TI - Experimental estimation of the efficacy of the FLOTAC basic technique.
AB - The FLOTAC technique is a quantitative coproscopic method for the diagnosis of
parasitic infection that is based on the centrifugation of a fecal sample to
levitate helminth eggs with a flotation solution in a proprietary apparatus.
Determination of the efficacy of the FLOTAC method and multiplication factors for
calculation of the number of Toxocara, Trichuris, and Ascaris eggs in 1 g of
feces on the basis of the number of detected eggs is presented. An investigation
was conducted using feces samples enriched with a known number of parasite eggs:
3, 15, 50, or 100 parasite eggs of 3 nematode genera (Toxocara, Trichuris, and
Ascaris) per 1 g (EPG) of feces. In addition, 80 samples of dog feces were
prepared consisting of 20 repetitions for each level of contamination. The
samples were analyzed using the FLOTAC basic technique. The limit of detection
was calculated as the lowest level of egg content at which at least 50% of
repetitions were positive. Multiplication factors for estimating the true number
of parasite eggs in the samples were derived from regression coefficients that
illustrated the linear relationship between the number of detected eggs and the
number of eggs added to the sample. The percentages of recovered eggs for 1
chamber and for the whole apparatus ranged from 11.67 to 21.90% and from 21.33 to
40.10%, respectively, depending on dose enrichment and genus of parasite. The
limit of detection calculated for the whole FLOTAC device was 3 EPG and was 15
EPG for 1 chamber for each of the 3 parasite genera. The limit of quantification
calculated for whole FLOTAC was 15 EPG for each of 3 kinds of eggs. For 1
chamber, the limit of quantification was 15 EPG for Ascaris and Toxocara eggs and
50 EPG for Trichuris eggs. Multiplication factors for calculation of the number
of eggs in 1 g of feces calculated for whole FLOTAC were 3 (for Toxocara and
Ascaris eggs) and 4 (for Trichuris eggs). Experimentally calculated parameters of
the method differ significantly from the theoretical assumptions of the authors
of the FLOTAC technique and can significantly affect the reliability of the
results. This does not alter the fact that the FLOTAC technique is the most
effective parasitological quantitative method, which can be used to detect
parasitic forms in feces. However, the results of our study emphasized the need
for validation of the method before using it in the laboratory.
PMID- 24918962
TI - Does the use of preoperative antiglaucoma medications influence trabeculectomy
success?
AB - PURPOSE: To investigate the influence of preoperative antiglaucoma medications on
trabeculectomy outcome. METHODS: Two hundred fifteen eyes, which underwent
primary trabeculectomy, were retrospectively analyzed. The average follow-up was
39.8+/-30.3 months. The only cases of primary open-angle glaucoma, with or
without pseudoexfoliation (PXF), were included. "Complete success" was defined as
intraocular pressure (IOP) <18 mmHg without glaucoma medications, whereas
relative success was defined as the same IOP target with medications. The
influence of the preoperatively used glaucoma medications on surgical success was
analyzed by univariate Pearson correlation and multivariate (ordinal) regression
analysis. RESULTS: There were 118 male (54.9%) and 97 female (45.1%) patients
with a mean age of 66.9+/-9.3 years. PXF glaucoma (PXFG) was present in 93 eyes
(43.3%). In 33 patients (15.3%), diabetes mellitus (DM) was present. Complete
success was achieved in 116 eyes (54%), relative success in 81 eyes (37.6%), and
failure in 18 eyes (8.4%). Neither the total number nor the duration of glaucoma
medications used before trabeculectomy was found to have any statistically
significant influence on surgical success. In statistical analysis, a combination
of topical beta-blocker and carbonic anhydrase inhibitor (BB+CAI) used before
surgery was found to be associated with statistically better outcome, whereas the
preoperative use of topical beta-blockers alone could have a negative influence
on success. PXF was shown to be independently associated with trabeculectomy
outcome on multivariate regression analysis. CONCLUSION: The glaucoma medications
used preoperatively were not found to have any statistically significant negative
influence on the trabeculectomy outcome and use of the combined BB+CAI
preparation could have a positive influence, whereas the use of topical beta
blockers alone could have a negative influence on success, although not
statistically significant. The presence of PXF was independently associated with
a better surgical outcome.
PMID- 24918963
TI - Perceptions of benzodiazepine dependence among women age 65 and older.
AB - A phenomenological study explored whether older women who are chronic
benzodiazepine users identified themselves as dependent, how dependence was
perceived, and how meanings and understandings shaped experiences of
benzodiazepine use. Self-reported benzodiazepine dependence was associated with
being unable to reduce use or a desire to discontinue use and reliance on
benzodiazepines to remain comfortable and able to handle daily life. Themes
included: (a) benzodiazepine dependence is similar to dependence to diabetes or
blood pressure medications; (b) dependence is distinctive from addiction/abuse;
(c) addiction/abuse is perceived as worse than dependence; and (d) concerns of
addiction/abuse result in low-dose benzodiazepine use.
PMID- 24918965
TI - Outcome predictors of Internet-based brief sex therapy for sexual dysfunctions in
heterosexual men.
AB - The authors investigated whether baseline and therapy process characteristics of
82 heterosexual men participating in an Internet-based sex therapy study predict
posttreatment sexual functioning. Problem severity, baseline sexual desire and
baseline sexual satisfaction, but also partner problems and quality of the
therapeutic relationship are predictive for sexual functioning and sexual
satisfaction after finishing Internet-based sex therapy. The obtained outcome
predictors could benefit men with sexual dysfunctions by tailoring online therapy
programs to their individual characteristics. In addition, therapists should
realize that clients suffering from erectile dysfunction or premature ejaculation
in online sex therapy attach great importance to the therapeutic relationship.
PMID- 24918964
TI - Interleukin-1 signaling pathway as a therapeutic target in transthyretin
amyloidosis.
AB - INTRODUCTION: Inflammation is a key pathological hallmark of several
neurodegenerative disorders including Alzheimer's disease, Parkinson's disease
and familial amyloidotic polyneuropathy (FAP). Among all inflammatory cytokines
associated with FAP, IL-1beta, in particular, has been implicated in playing a
key pathogenic role. In the present study, we sought to investigate whether
blocking IL-1beta signaling provides disease-modifying benefits in an FAP mouse
model. METHODS: We assessed the effect of chronic administration of Anakinra, an
IL-1 antagonist, on FAP pathogenesis in vivo, using real-time polymerase chain
reaction (qPCR), semi-quantitative immunohistochemistry (SQ-IHC), western blot
and nerve morphometric analyses. RESULTS: We found that treatment with Anakinra
prevents transthyretin (TTR) extracellular deposition in sciatic nerve,
protecting unmyelinated nerve fibers from aggregate-induced degeneration.
Moreover, Anakinra administration significantly suppressed IL-1 signaling pathway
and inhibited apoptosis and nitrative stress. CONCLUSIONS: The present work
highlights the relevance of the IL-1 signaling pathway in the pathophysiology of
FAP. Our results bring to light the importance of non-amyloid targets in the
therapeutic strategies for this disorder. Thus, we propose the use of Anakinra as
a potential therapeutic agent for TTR-related amyloidosis.
PMID- 24918966
TI - Significance of population centers as sources of gaseous and dissolved PAHs in
the lower Great Lakes.
AB - Polyethylene passive samplers (PEs) were used to measure concentrations of
gaseous and dissolved polycyclic aromatic hydrocarbons (PAHs) in the air and
water throughout the lower Great Lakes during summer and fall of 2011.
Atmospheric Sigma15PAH concentrations ranged from 2.1 ng/m3 in Cape Vincent (NY)
to 76.4 ng/m3 in downtown Cleveland (OH). Aqueous Sigma18PAH concentrations
ranged from 2.4 ng/L at an offshore Lake Erie site to 30.4 ng/L in Sheffield Lake
(OH). Gaseous PAH concentrations correlated strongly with population within 3-40
km of the sampling site depending on the compound considered, suggesting that
urban centers are a primary source of gaseous PAHs (except retene) in the lower
Great Lakes region. The significance of distant population (within 20 km) versus
local population (within 3 km) increased with subcooled liquid vapor pressure.
Most dissolved aqueous PAHs did not correlate significantly with population, nor
were they consistently related to river discharge, wastewater effluents, or
precipitation. Air-water exchange calculations implied that diffusive exchange
was a source of phenanthrene to surface waters, while acenaphthylene volatilized
out of the lakes. Comparison of air-water fluxes with temperature suggested that
the significance of urban centers as sources of dissolved PAHs via diffusive
exchange may decrease in warmer months.
PMID- 24918967
TI - Distinct differences in gene expression patterns in pulmonary arteries of
patients with chronic obstructive pulmonary disease and idiopathic pulmonary
fibrosis with pulmonary hypertension.
AB - RATIONALE: The development of pulmonary hypertension (PH) in patients with
idiopathic pulmonary fibrosis (IPF) or chronic obstructive pulmonary disease
(COPD) is associated with increased morbidity. OBJECTIVES: To elucidate whether
vascular remodeling in a well-characterized PH-COPD and PH-IPF patient cohort
results from similar or divergent molecular changes. METHODS: Vascular remodeling
of donor, PH-COPD, and PH-IPF pulmonary arteries was assessed. Laser capture
microdissected pulmonary artery profiles in combination with whole genome
microarrays were performed. MEASUREMENTS AND MAIN RESULTS: Pulmonary arteries
from patients with COPD and IPF with PH exhibited remodeling of vascular layers
and reduction of lumen area. Pathway analyses comparing normalized gene
expression profiles obtained from patients with PH-IPF or PH-COPD revealed the
retinol and extracellular matrix (ECM) receptor interaction to be the most
perturbed processes. Within the ECM-receptor pathway, differential regulation of
5 out of the top 10 results (collagen, type III, alpha-1; tenascin C; collagen,
type VI, alpha-3; thrombospondin 2; and von Willebrand factor) were verified by
real-time polymerase chain reaction and immunohistochemical staining.
CONCLUSIONS: Despite clinical and histologic vascular remodeling in all patients
with PH-COPD and PH-IPF, differential gene expression pattern was present in
pulmonary artery profiles. Several genes involved in retinol metabolism and ECM
receptor interaction enable discrimination of vascular remodeling in PH-IPF or PH
COPD. This suggests that pulmonary arterial remodeling in PH-COPD and PH-IPF is
caused by different molecular mechanisms and may require specific therapeutic
options.
PMID- 24918968
TI - Antiferromagnetic Slater insulator phase of Na2IrO3.
AB - Using a hybrid density-functional theory (DFT) calculation including spin-orbit
coupling (SOC), we predict that the zigzag antiferromagnetic (AFM) ground state
of the honeycomb layered compound Na2IrO3 opens the observed insulating gap
through a long-range magnetic order. We show that the effect of SOC and the
correction of self-interaction error inherent in previous local or semilocal DFT
calculations play crucial roles in predicting the band gap formation in Na2IrO3.
It is revealed that the itinerant AFM order with a strong suppression of the Ir
magnetic moment is attributed to a considerable hybridization of the Ir 5d
orbitals with the O 2p orbitals. Thus, our results suggest that the insulating
phase of Na2IrO3 can be represented as a Slater insulator driven by itinerant
magnetism.
PMID- 24918969
TI - Association between plasminogen activator inhibitor-1 4G/5G gene polymorphism and
immunoglobulin A nephropathy susceptibility.
AB - The association between plasminogen activator inhibitor-1 (PAI-1) 4 G/5 G gene
polymorphism and immunoglobulin A nephropathy (IgAN) risk is still controversial.
A meta-analysis was performed to evaluate the association between PAI-1 4 G/5 G
gene polymorphism and IgAN susceptibility. A predefined literature search and
selection of eligible relevant studies were performed to collect data from
electronic database. Four articles were identified for the analysis of
association between PAI-1 4 G/5 G gene polymorphism and IgAN risk. 4 G allele was
not associated with IgAN susceptibility in overall populations and in Asians.
Furthermore, 4 G/4 G and 5 G/5 G genotype were not associated with IgAN for
overall populations, Asians. In conclusion, PAI-1 4 G/5 G gene polymorphism was
not associated with IgAN risk in overall populations and in Asians. However, more
studies should be performed in the future.
PMID- 24918970
TI - Association of vitamin D receptor BsmI (rs1544410) gene polymorphism with the
chronic kidney disease susceptibility.
AB - Association of vitamin D receptor (VDR) BsmI (rs1544410) gene polymorphism with
the chronic kidney disease (CKD) susceptibility from the published reports are
still conflicting. This meta-analysis was performed to evaluate the relationship
between VDR BsmI (rs1544410) gene polymorphism and the risk of CKD. The
association studies were identified from PubMed, Cochrane Library and China
Biological Medicine Database on 1 March 2014, and eligible investigations were
included and synthesized using meta-analysis method. Nine reports were recruited
into this meta-analysis for the association of VDR BsmI gene polymorphism with
CKD susceptibility. In this meta-analysis for overall populations, the BsmI B
allele BB genotype and bb genotype were not associated with the risk of CKD (B
allele: OR = 1.12, 95% CI: 0.88-1.44, p = 0.36; BB genotype: OR = 1.15, 95% CI:
0.81-1.62, p = 0.43; bb genotype: OR = 0.86, 95% CI: 0.61-1.20, p = 0.36).
Furthermore, VDR BsmI gene polymorphism was not associated with CKD
susceptibility in Asians and in Caucasians. In conclusion, the BsmI gene
polymorphism was not associated with CKD susceptibility in overall populations,
in Asians and in Caucasians. However, more studies should be conducted to confirm
it.
PMID- 24918971
TI - Dynamics and rigidity in an intrinsically disordered protein, beta-casein.
AB - The emergence of intrinsically disordered proteins (IDPs) as a recognized
structural class has forced the community to confront a new paradigm of
structure, dynamics, and mechanical properties for proteins. We present novel
data on the similarities and differences in the dynamics and nanomechanical
properties of IDPs and other biomacromolecules on the picosecond time scale. An
IDP, beta-casein (CAS), has been studied in a calcium bound and unbound state
using neutron and light scattering techniques. We show that CAS partially folds
and stiffens upon calcium binding, but in the unfolded state, it is softer than
folded proteins such as green fluorescence protein (GFP). We also see that some
localized diffusive motions in CAS have a larger amplitude than in GFP at this
time scale but are still smaller than those observed in tRNA. In spite of these
differences, CAS dynamics are consistent with the classes of motions seen in
folded protein on this time scale.
PMID- 24918972
TI - Modified brain death model for rats.
AB - OBJECTIVES: Experimental animal models of brain death that mimic human conditions
may be useful for investigating novel strategies that increase quality and
quantity of organs for transplant. MATERIALS AND METHODS: Brain death was induced
by increasing intracranial pressure by inflating an intracranial placed balloon
catheter. Brain death was confirmed by flatline electroencephalogram, physical
signs of apnea, and absence of brain stem reflexes. Donor management was done
after brain death. Intracranial pressure and physiologic variables were
continually monitored during 9 hours' follow-up. RESULTS: Ninety percent of brain
dead animals showed typical signs of brain death such as diabetes insipidus,
hypertensive, and hypotensive periods. Donor care was performed for 9 hours after
brain death, and the mean arterial pressure was maintained above 60 mm Hg.
CONCLUSIONS: We conclude that the rat model of brain death can be performed in a
standardized, reproducible, and successful way.
PMID- 24918973
TI - Branched Au nanostructures enriched with a uniform facet: facile synthesis and
catalytic performances.
AB - Well-defined noble metal nanocrystals (NMNCs) of a unique morphology yet a
uniform facet have attracted broad interests. In this regard, those with a highly
branched architecture have gained particular attention. Most of the currently
existing branched NMNCs, however, are enclosed by mixed facets. We now report
that branched Au nanoarchitectures could be facilely fabricated by mixing an
aqueous solution of KAuCl4, an aqueous dispersion of graphene oxide, and ethanol
under ambient conditions. Interestingly, unilike the conventional branched NMNCs,
our unique Au nanostructures are predominately enriched with a uniform facet of
{111}. Compared to the spherical Au nanostructures exposed with mixed facets, our
branched nanospecies of a uniform facet display superior catalytic performances
both for the catalytic reduction of 4-nitrophenol and the electrocatalytic
oxidation of methanol. Our investigation represents the first example that Au
nanostructures simultaneously featured with a highly branched architecture and a
uniform crystal facet could be formulated. Our unique Au nanostructures provide a
fundamental yet new scientific forum to disclose the correlation between the
surface atomic arrangement and the catalytic performances of branched NMNCs.
PMID- 24918974
TI - anti-Diols from alpha-oxyaldehydes: synthesis and stereochemical assignment of
oxylipins from Dracontium loretense.
AB - Differentially protected 1,2-diols were synthesized by enantioselective aldehyde
alpha-oxygenation followed by organomagnesium or -lithium addition. Contrary to a
previous report, the resultant diols possess an anti configuration. Good
selectivity was achieved regardless of the hybridization state of the nucleophile
or the presence or absence of branching. This method was applied to short
syntheses of all possible stereoisomers of two oxylipins from Dracontium
loretense with incomplete stereochemical assignments. Spectroscopic comparisons
between the synthetic and natural oxylipins led to unambiguous assignments.
PMID- 24918975
TI - Demonstration of heterogeneous parahydrogen induced polarization using
hyperpolarized agent migration from dissolved Rh(I) complex to gas phase.
AB - Parahydrogen-induced polarization (PHIP) was used to demonstrate the concept that
highly polarized, catalyst-free fluids can be obtained in a catalysis-free regime
using a chemical reaction with molecular addition of parahydrogen to a water
soluble Rh(I) complex carrying a payload of compound with unsaturated (C?C)
bonds. Hydrogenation of norbornadiene leads to formation of norbornene, which is
eliminated from the Rh(I) complex and, therefore, leaves the aqueous phase and
becomes a gaseous hyperpolarized molecule. The Rh(I) metal complex resides in the
original liquid phase, while the product of hydrogen addition is found
exclusively in the gaseous phase based on the affinity. Hyperpolarized norbornene
(1)H NMR signals observed in situ were enhanced by a factor of approximately
10,000 at a static field of 47.5 mT. High-resolution (1)H NMR at a field of 9.4 T
was used for ex situ detection of hyperpolarized norbornene in the gaseous phase,
where a signal enhancement factor of approximately 160 was observed. This concept
of stoichiometric as opposed to purely catalytic use of PHIP-available complexes
with an unsaturated payload precursor molecule can be extended to other contrast
agents for both homogeneous and heterogeneous PHIP. The Rh(I) complex was
employed in aqueous medium suitable for production of hyperpolarized contrast
agents for biomedical use. Detection of PHIP hyperpolarized gas by low-field NMR
is demonstrated here for the first time.
PMID- 24918977
TI - Authors' response.
PMID- 24918978
TI - Thiopurine metabolite ratios for monitoring therapy in pediatric Crohn disease.
AB - OBJECTIVES: Thiopurines (azathioprine, 6-mercaptopurine) are a mainstay of
treatment in Crohn disease (CD). Monitoring intracellular metabolite (6
thioguanine nucleotides [6-TGN] and 6-methylmercaptopurine [6-MMP]) levels can
help optimize therapeutic efficacy and minimize potential toxicity. Determination
of 6-MMP/6-TGN ratios may provide additional useful information, such as the
identification of individuals with excessive thiopurine methyltransferase
activity and disadvantageous 6-MMP overproduction. These patients are at
increased risk of therapeutic failure and hepatotoxicity. The aim of the study
was to evaluate the correlation of 6-MMP/6-TGN ratios with therapeutic efficacy
and risk of hepatotoxicity in CD. METHODS: The present study was a single-center
cross-sectional study including pediatric patients with CD studied prospectively
with clinical and laboratory assessments along with serial measurements of 6-MMP
and 6-TGN. Clinical response was determined using established clinical indices.
RESULTS: The study included 238 pediatric patients with CD with a total of 1648
evaluation points. The patients were in steroid-free remission at 59.1% of the
evaluation points. 6-MMP/6-TGN ratios of 4 to 24 were protective against relapse
(odds ratio [OR] 0.52, 95% confidence interval [CI] -0.39 to 0.69, P = 0.001).
Hepatotoxicity was associated with high 6-MMP levels (>3919 pmol/8 * 10 red
blood cell count: OR 7.65, 95% CI 3.7-15.9, P = 0.001) and high 6-MMP/6-TGN
ratios (>24: OR 5.35, 95% CI -3.43 to 8.43, P = 0.001). CONCLUSIONS: We observed
significant associations between 6-MMP/6-TGN ratios and clinical response, and
risk of hepatotoxicity. Our results suggest that determination of thiopurine
metabolite ratios is a valuable tool for identification of patients at increased
risk of therapeutic failure and hepatotoxicity.
PMID- 24918976
TI - Systems analysis of drug-induced receptor tyrosine kinase reprogramming following
targeted mono- and combination anti-cancer therapy.
AB - The receptor tyrosine kinases (RTKs) are key drivers of cancer progression and
targets for drug therapy. A major challenge in anti-RTK treatment is the
dependence of drug effectiveness on co-expression of multiple RTKs which defines
resistance to single drug therapy. Reprogramming of the RTK network leading to
alteration in RTK co-expression in response to drug intervention is a dynamic
mechanism of acquired resistance to single drug therapy in many cancers. One
route to overcome this resistance is combination therapy. We describe the results
of a joint in silico, in vitro, and in vivo investigations on the efficacy of
trastuzumab, pertuzumab and their combination to target the HER2 receptors.
Computational modelling revealed that these two drugs alone and in combination
differentially suppressed RTK network activation depending on RTK co-expression.
Analyses of mRNA expression in SKOV3 ovarian tumour xenograft showed up
regulation of HER3 following treatment. Considering this in a computational model
revealed that HER3 up-regulation reprograms RTK kinetics from HER2
homodimerisation to HER3/HER2 heterodimerisation. The results showed synergy of
the trastuzumab and pertuzumab combination treatment of the HER2 overexpressing
tumour can be due to an independence of the combination effect on HER3/HER2
composition when it changes due to drug-induced RTK reprogramming.
PMID- 24918979
TI - Functional constipation and cow's-milk allergy.
PMID- 24918980
TI - Appropriateness of emergency department use in pediatric inflammatory bowel
disease: a quality improvement opportunity.
AB - OBJECTIVES: We sought to characterize emergency department (ED) encounters for
pediatric inflammatory bowel disease (IBD) to identify areas for prevention.
METHODS: Retrospective chart review of 5 consecutive ED encounters at 7 centers
was performed. RESULTS: Of 35 unique encounters by 32 patients, 3 main factors
contributed to ED utilization: disease severity or course, day or time of care,
and physician instruction. Of the ED encounters, approximately one-fifth were
judged medically unnecessary, and one-half avoidable in a more optimal health
care system. CONCLUSIONS: ED visits by pediatric patients with IBD may be reduced
in a more optimal health care system.
PMID- 24918981
TI - Giant juvenile polyp at the hepatic flexure in a child: is there a need for
repeat colonoscopy?
PMID- 24918982
TI - Growth and nutrition in children with trichothiodystrophy.
AB - OBJECTIVES: Trichothiodystrophy (TTD) is a rare autosomal recessive disorder of
DNA repair and transcription. Patients have multisystem abnormalities, including
alterations in growth and development. This report characterizes the growth and
nutritional status of a cohort of children with TTD. METHODS: Twenty-five
patients with TTD were evaluated through a natural history study of patients with
DNA repair diseases at the National Institutes of Health. Mean length of follow
up was 2.7 years. Retrospective and prospective data on nutritional status and
height/weight were collected. RESULTS: In general, patients with TTD had
considerable abnormalities in growth, with a mean height-for-age z score of -2.75
and a mean weight-for-age z score of -2.60 at baseline clinical evaluation. The
median weight-for-length at baseline was, however, 50th percentile and indicators
of adequate nutrition such as serum albumin, hemoglobin, and vitamins D and B12
were largely within normal limits. Changes in growth parameters as children aged
were characterized by further separation from standard growth curves (change
height-for-age z score/year [-0.18 +/- 0.42] and weight-for-age z score/year [
0.36 +/- 0.51]). Patients who died during follow-up (n = 5) had significantly
lower standardized height (P = 0.03) and weight (P = 0.006), weight-for-length
(<0.0001), and higher heart rates (P = 0.02) compared with the remainder of the
cohort. CONCLUSIONS: Children with TTD have markedly diminished weight-for-age
and height-for-age relative to reference populations. The cause for this stunted
growth remains unclear but does not appear to be related to poor nutrient
absorption or malnutrition.
PMID- 24918983
TI - Predictors of low weight and tube feedings in children with congenital
diaphragmatic hernia at 1 year of age.
AB - OBJECTIVES: Congenital diaphragmatic hernia (CDH) survivors often have
gastrointestinal disorders, and limited outcome data exist. This study reviewed
risk factors for low weight and use of feeding tubes after CDH repair at 1 year
of age. The hypothesis was that patients who required extracorporeal membrane
oxygenation (ECMO) or with significant pulmonary arterial hypertension (PAH)
would have lower weight percentiles and more often require tube feedings.
METHODS: A retrospective chart review of 89 infants with CDH diagnosed in the
neonatal period was conducted. Statistical analysis was performed using chi test,
Fisher exact test, and logistic regression. RESULTS: Seventy-two patients (81%)
survived to 1 year of age. Of these patients, 35% were <5th percentile for weight
and 18% were receiving tube feedings. Both ECMO and PAH were associated with an
increased risk for requiring tube feedings at 1 year of age, with respective odds
ratios of 6.00 (P = 0.01) and 15.75 (P = 0.02); however, neither ECMO nor PAH was
associated with low weight at 1 year of age. No statistical correlation was found
between an abnormal pH probe and tube feedings at 1 year of age. CONCLUSIONS:
Patients with CDH are at increased risk for having a weight <5th percentile at 1
year of age. A history of ECMO and PAH are independently associated with an
increased requirement for tube feedings at 1 year of age. Close nutritional
monitoring and counseling should be considered in all of the patients with CDH,
particularly those with a history of ECMO or PAH.
PMID- 24918985
TI - The photochemistry in Photosystem II at 5 K is different in visible and far-red
light.
AB - We have earlier shown that all electron transfer reactions in Photosystem II are
operational up to 800 nm at room temperature [Thapper, A., et al. (2009) Plant
Cell 21, 2391-2401]. This led us to suggest an alternative charge separation
pathway for far-red excitation. Here we extend these studies to a very low
temperature (5 K). Illumination of Photosystem II (PS II) with visible light at 5
K is known to result in oxidation of almost similar amounts of YZ and the Cyt
b559/ChlZ/CarD2 pathway. This is reproduced here using laser flashes at 532 nm,
and we find the partition ratio between the two pathways to be 1:0.8 at 5 K [the
partition ratio is here defined as (yield of YZ/CaMn4 oxidation):(yield of Cyt
b559/ChlZ/CarD2 oxidation)]. The result using far-red laser flashes is very
different. We find partition ratios of 1.8 at 730 nm, 2.7 at 740 nm, and >2.7 at
750 nm. No photochemistry involving these pathways is observed above 750 nm at
this temperature. Thus, far-red illumination preferentially oxidizes YZ, while
the Cyt b559/ChlZ/CarD2 pathway is hardly touched. We propose that the difference
in the partition ratio between visible and far-red light at 5 K reflects the
formation of a different first stable charge pair. In visible light, the first
stable charge pair is considered to be PD1+QA-. In contrast, we propose that the
electron hole is residing on the ChlD1 molecule after illumination by far-red
light at 5 K, resulting in the first stable charge pair being ChlD1+QA-. ChlD1 is
much closer to YZ (11.3 A) than to any component in the Cyt b559/ChlZ/CarD2
pathway (shortest ChlD1-CarD2 distance of 28.8 A). This would then explain that
far-red illumination preferentially drives efficient electron transfer from YZ.
We also discuss mechanisms for accounting for the absorption of the far-red light
and the existence of hitherto unobserved charge transfer states. The involvement
of two or more of the porphyrin molecules in the core of the Photosystem II
reaction center is proposed.
PMID- 24918986
TI - Fmoc-based synthesis of disulfide-rich cyclic peptides.
AB - Disulfide-rich cyclic peptides have exciting potential as leads or frameworks in
drug discovery; however, their use is faced with some synthetic challenges,
mainly associated with construction of the circular backbone and formation of the
correct disulfides. Here we describe a simple and efficient Fmoc solid-phase
peptide synthesis (SPPS)-based method for synthesizing disulfide-rich cyclic
peptides. This approach involves SPPS on 2-chlorotrityl resin, cyclization of the
partially protected peptide in solution, cleavage of the side-chain protecting
groups, and oxidization of cysteines to yield the desired product. We illustrate
this method with the synthesis of peptides from three different classes of cyclic
cystine knot motif-containing cyclotides: Mobius (M), trypsin inhibitor (T), and
bracelet (B). We show that the method is broadly applicable to peptide
engineering, illustrated by the synthesis of two mutants and three grafted
analogues of kalata B1. The method reduces the use of highly caustic and toxic
reagents and is better suited for high-throughput synthesis than previously
reported methods for producing disulfide-rich cyclic peptides, thus offering
great potential to facilitate pharmaceutical optimization of these scaffolds.
PMID- 24918984
TI - Race affects outcome among infants with intestinal failure.
AB - OBJECTIVE: Intestinal failure (IF) is a rare, devastating condition associated
with significant morbidity and mortality. We sought to determine whether ethnic
and racial differences were associated with patient survival and likelihood of
receiving an intestinal transplant in a contemporary cohort of children with IF.
METHODS: This was an analysis of a multicenter cohort study with data collected
from chart review conducted by the Pediatric Intestinal Failure Consortium. Entry
criteria included infants <= 12 months receiving parenteral nutrition (PN) for >=
60 continuous days and studied for at least 2 years. Outcomes included death and
intestinal transplantation (ITx). Race and ethnicity were recorded as they were
in the medical record. For purposes of statistical comparisons and regression
modeling, categories of race were consolidated into "white" and "nonwhite"
children. RESULTS: Of 272 subjects enrolled, 204 white and 46 nonwhite children
were available for analysis. The 48-month cumulative incidence probability of
death without ITx was 0.40 for nonwhite and 0.16 for white children (P < 0.001);
the cumulative incidence probability of ITx was 0.07 for nonwhite versus 0.31 for
white children (P = 0.003). The associations between race and outcomes remained
after accounting for low birth weight, diagnosis, and being seen at a transplant
center. CONCLUSIONS: Race is associated with death and receiving an ITx in a
large cohort of children with IF. This study highlights the need to investigate
reasons for this apparent racial disparity in outcome among children with IF.
PMID- 24918987
TI - Analysis of species and intra-species associations between the Mycobacterium
abscessus complex strains using pulsed-field gel electrophoresis (PFGE) and multi
locus sequence typing (MLST).
AB - PFGE and MLST showed that the strains of M. massiliense hsp65 II-1 were clearly
separated from the strains of M. massiliense hsp65 I or II-2 as well as the
strains of M. abscessus or M. bolletii; thus, M. massiliense hsp6 5II-1 might
represent an additional subspecies of M. massiliense.
PMID- 24918988
TI - An optimized HPLC method for soil fungal biomass determination and its
application to a detritus manipulation study.
AB - The goal of this research was to develop modified analytical method for the
quantitative analysis of ergosterol, which is highly effective marker for fungal
biomass. We suggest that our optimized method for the determination of ergosterol
is an effective way to monitor changes in fungal biomass under different
environmental conditions.
PMID- 24918989
TI - Development, optimization and validation of a rapid colorimetric microplate
bioassay for neomycin sulfate in pharmaceutical drug products.
AB - Microbiological assays have been used to evaluate antimicrobial activity since
the discovery of the first antibiotics. Despite their limitations,
microbiological assays are widely employed to determine antibiotic potency of
pharmaceutical dosage forms, since they provide a measure of biological activity.
The aim of this work is to develop, optimize and validate a rapid colorimetric
microplate bioassay for the potency of neomycin in pharmaceutical drug products.
Factorial and response surface methodologies were used in the development and
optimization of the choice of microorganism, culture medium composition, amount
of inoculum, triphenyltetrazolium chloride (TTC) concentration and neomycin
concentration. The optimized bioassay method was validated by the assessment of
linearity (range 3.0 to 5.0MUg/mL, r=0.998 and 0.994 for standard and sample
curves, respectively), precision (relative standard deviation (RSD) of 2.8% and
4.0 for repeatability and intermediate precision, respectively), accuracy (mean
recovery=100.2%) and robustness. Statistical analysis showed equivalency between
agar diffusion microbiological assay and rapid colorimetric microplate bioassay.
In addition, microplate bioassay had advantages concerning the sensitivity of
response, time of incubation, and amount of culture medium and solutions
required.
PMID- 24918990
TI - Building a molecular Listeria monocytogenes database to centralize and share PFGE
typing data from food, environmental and animal strains throughout Europe.
AB - The European Union Reference Laboratory (EURL) for Listeria monocytogenes (Lm)
collaborates with a network of 35 National Reference Laboratories (NRLs)
throughout Europe. Most of these NRLs are in charge of detecting and typing Lm
strains from food, environment and animals, which are isolated nationally. The
past few years EURL activities have enabled NRLs to reinforce typing capabilities
according to standardised protocols. Consequently the need to exchange typing
data within the NRL network has emerged. That is why the EURL has recently set up
a EURL Lm Database (EURL Lm DB). Each NRL contributes data, which is then shared
within the network. Data include strain-typing-results (PFGE and serotyping) and
epidemiological information on the strains. This article describes (1) the EURL
typing activities that led to the creation of the EURL Lm DB, (2) the different
steps involved in developing the EURL Lm DB, and (3) the usefulness of this
database for public health. The combined use of this database, with databases on
human strains, is being integrated into the European surveillance system of Lm
strains circulating throughout Europe. It should improve the detection of this
pathogen and provide support for outbreak investigations.
PMID- 24918992
TI - Call for awareness of the updated diagnostic criteria and clinical management for
patients with tuberous sclerosis complex.
PMID- 24918994
TI - Hereditary polycystic kidney disease: genetic diagnosis and counseling.
PMID- 24918995
TI - Mueller Weiss syndrome--case report.
PMID- 24918997
TI - Correlation between body mass index and overactive bladder symptoms in pre
menopausal women.
AB - OBJECTIVE: The aim of the study was to establish a correlation between Overactive
Bladder (OAB) symptoms and Body Mass Index (BMI) in women aged 20-45. METHODS: We
interviewed 1.050 women aged 20-45 in the area of Campinas, Brazil, to
investigate the prevalence of overactive bladder symptoms. In this study, we used
the ICIQ-OAB questionnaire (ICS standard), in its validated portuguese version
and a specific questionnaire for the demographics, which includes information
about BMI. RESULTS: Overall, women with BMI >=30 presented a significantly higher
score than women with a lower BMI (18.5 - 24.9) (p=0.0066). In the analysis of
individual symptoms, no significant differences were found regarding urinary
frequency (p=0.5469). Women with BMI >=30 presented more nocturia than women with
BMI ranging between 18.5 and 24.9 (p=0.0154). Women in the group of BMI 25 - 29.9
presented more urgency than women with BMI 18.5 - 24.9 (p=0.0278). Significant
difference was also found regarding urge-incontinence; women with BMI 25 - 29.9
presented a higher score than women in the group 18.5 - 24.9 (p= 0.0017).
Analysis was also performed on the visual analogue scale regarding how much each
symptom bothers the women (quality of life). There were no significant
differences regarding frequency, nocturia or urgency but urgency incontinence
bother was significant. Women with BMI 25 - 29.9 were more bothered by
incontinence than women with BMI 18.5 - 24.9 (p=0.002). CONCLUSION: In
conclusion, this study reinforces the correlation between BMI and OAB symptoms.
Obese women present more OAB symptoms than non-obese women.
PMID- 24918996
TI - Hypertension, pregnancy and weather: is seasonality involved?
AB - OBJECTIVE: The present study aimed at assessing the association between
environmental temperature and the relative humidity of the air with frequency of
hypertensive disorders of pregnancy. METHODS: A prospective and retrospective,
descriptive, ecological study was held at a teaching maternity in Recife, Brazil.
Data from all 26.125 pregnant women admitted between 2000 and 2006 were analysed
and 5.051 had the diagnosis of hypertensive disorder of pregnancy. The incidence
percentages were calculated monthly per deliveries. Data on mean monthly
temperature and relative humidity of the air were collected and monthly
comparisons were conducted. February was chosen as the reference month due to its
lowest incidence of the disease. The relative chance of hypertensive disorders of
pregnancy for each other month was estimated by odds ratio and Pearson's
correlation coefficient was used to calculate the relation between the incidence
of hypertensive disorders of pregnancy and the mean monthly temperature and
relative air humidity. RESULTS: February presented the lowest mean monthly
incidence (9.95%) and August the highest (21.54%). Pearson correlation
coefficient revealed a higher incidence of hypertensive disorders of pregnancy in
the cooler months (r= -0.26; p=0.046) and no significant effect of relative air
humidity (r=0.20; p=0.128). CONCLUSION: The incidence of hypertensive disorders
of pregnancy may be affected by variations in temperature, increasing during
cooler periods.
PMID- 24918998
TI - Diabetes mellitus and impaired glucose tolerance in urban adult population.
AB - OBJECTIVE: Estimating the prevalence of diabetes mellitus (DM) and impaired
glucose tolerance (IGT) in the urban population aged between 30 and 69 years in
the municipality of Campo Grande, state of Mato Grosso do Sul, Brazil. METHODS:
Population-based cross-sectional study conducted between October/2009 and
February/2011. The investigation included the determination of fasting glucose
and participants with blood glucose >= 200 mg/dL were considered diabetic.
Nondiabetic patients, which showed blood glucose >= 100 mg/dL and < 200 mg/dL,
underwent an oral glucose tolerance test (OGTT) to investigate whether they had
DM or IGT. RESULTS: 1.429 individuals participated in this investigation. The
general prevalence, adjusted for sex and age, were: 12.3% for DM (95%CI: 10.5 to
13.9%) and 7.1% for IGT (95%CI: 5.7 to 8.4%). There was a higher prevalence of DM
with increasing age in people with low educational level, family history of
diabetes, overweight, obesity and central obesity. Among diabetic patients (n =
195), 25% were unaware they had the disease and were diagnosed through
investigation. Among patients who already knew they had DM (n = 146), 37% were
unaware of the potential chronic complications. CONCLUSION: This study confirms
the increased prevalence of DM in Brazil and emphasizes the need for early
diagnosis, as well as the importance of strict adherence to medical treatment in
order to prevent its much feared complications.
PMID- 24918999
TI - Should fetal growth be a matter of concern in isolated single umbilical artery?
AB - OBJECTIVE: To examine birth weight in pregnancies with isolated single umbilical
artery (ISUA). METHODS: Case control study with retrospective review of 131
singleton pregnancies with isolated single umbilical artery diagnosed before
birth. Control group consisted of 730 singleton pregnancies recruited
prospectively, that had histological confirmation of a 3 vessels cord.
Pregnancies were classified as uncomplicated or high-risk according to the
presence of diseases that increase the risk of placental insufficiency during
pregnancy. Mean birth weight and frequency of low birth weight (< 2.500 g), very
low birth weight (< 1.500 g) and fetal growth restriction below the 5th and 10th
centiles were compared between groups. RESULTS: Mean birth weight difference
between ISUA (n=131, 2840+/-701g) and control (n=730, 2.983 +/- 671g) pregnancies
was 143g (95% CI= 17-269; p=0.04) and birth weight below the 5thcentile was
significantly more common in ISUA group [28/131 (21.4%) versus 99/730 (13.6%),
p=0.02]. When only uncomplicated pregnancies were considered in both groups, no
birth weight differences were observed. Amongst high-risk subgroups, birth weight
below the 5th centile remained significantly more common in ISUA compared to
control pregnancies [10/35 (28.6%) versus 53/377 (14.1%), p=0.04]. CONCLUSION:
Isolated single umbilical artery does not increase the risk of fetal growth
restriction in uncomplicated singleton pregnancies.
PMID- 24919000
TI - Oral acetylsalicylic acid and prevalence of actinic keratosis.
AB - OBJECTIVE: To investigate the influence of a regular oral use of acetylsalicylic
acid in the prevalence of actinic keratosis. METHODS: A case-control study with
dermatologic outpatients above 50 years of age assessed between 2009 and 2011.
Cases were defined as those who had been under regular use of oral
acetylsalicylic acid for more than six consecutive months. The assessment focused
on: age, sex, skin-type, tobacco smoking, use of medication, occurrence of
individual or family skin cancer, and sunscreen and sun exposure habits. Actinic
keratoses were counted in the medial region of the face and upper limbs. Counts
were adjusted by co-variables based on a generalized linear model. RESULTS: A
total of 74 cases and 216 controls were assessed. The median time of
acetylsalicylic acid use was 36 months. Cases differed from controls as to the
highest age, highest prevalence of use of angiotensin-converting enzyme
inhibitors and fewer keratosis on the face and on the upper limbs (p<0.05). The
multivariate model showed that the use of acetylsalicylic acid was associated to
lower counts of face actinic keratosis and upper-limb erythematous actinic
keratosis (p<0.05), regardless of other risk factors. CONCLUSION: The regular use
of oral acetylsalicylic acid for more than six months was associated to a lower
prevalence of actinic keratosis, especially facial and erythematous ones.
PMID- 24919001
TI - Body composition of preschool children and relation to birth weight.
AB - OBJECTIVE: to evaluate the relationship between body composition of preschool
children suffering from excess weight and birth weight (BW). METHODS:
probabilistic sample, by conglomerates, with 17 daycare centers (of a total of
59) composing a final sample of 479 children. We used Z-score of Body Mass Index
(zBMI) >= +1 and >= +2, respectively, to identify preschool children with risk of
overweight and excess weight (overweight or obesity). The arm muscle area (AMA)
and the arm fat area (AFA) were estimated from measurements of arm circumference,
triceps skin fold thickness. RESULTS: the prevalence of risk of overweight was
22.9% (n=110) and excess weight was 9.3% (n=44). The risk of overweight and
excess weight in children did not show correlation between BW and AFA, but it did
with adjusted arm muscle area (AMAa) (rp= 0.21; p= 0.0107). The analysis of the
group with excess weight alone also showed a positive correlation between BW and
AMAa (rp= 0.42; p= 0.0047). CONCLUSION: among overweight children, lower BW is
associated with a lower arm muscle area in early preschool age, regardless of the
fat arm area presented by them.
PMID- 24919002
TI - Academic autopsies in Brazil--a national survey.
AB - OBJECTIVE: To investigate the number and rate of academic autopsies, general
organization, educational and research in Brazilian academic services. METHODS:
Standardized questionnaires were sent to Brazilian medical schools (n=177) and
active pathology residency programs (n=53) from March to June 2009. Data were
collected for years 2003 to 2008. RESULTS: Thirty-two academic services in 11
Brazilian states answered the survey. Twenty-one (65.6%) perform less than a
hundred autopsies for natural causes and less than fifty pediatric or fetal
autopsies/year. Twenty-four (75%) perform less than a hundred adult
autopsies/year. Many institutions (46.9%) reported a drop in the number of
autopsies in a six-year period. The total autopsy count and autopsy rate in 2008
ranged 1-632 (median = 80), and 0-66% (mean = 10.6%), respectively. A steady
decrease in the total count of autopsies in a pool of 19 institutions was
observed (p<0.01). Median autopsy rates have fallen from 19.3%, in 2003, to
10.6%, in 2008 (p=0.07). Significant discrepancies at autopsies led to changes in
institutional healthcare practice in 37.5% of the services. The low number of
autopsies was a limiting factor in undergraduate education for 25% of
respondents. A minimum number of autopsies is required to complete the pathology
residency program in 34.6% of the services. CONCLUSION: The total number and the
rate of academic autopsies have decreased in Brazil between 2003 and 2008. The
number of autopsies and the general organization of academic services must be
enhanced to improve medical education, research, and the quality control of
patient care.
PMID- 24919003
TI - Dynamic compared to rigid fixation in lumbar spine: a systematic review.
AB - OBJECTIVE: The objective of this review is to reveal the quality of published
data and the effect size of DPFs compared to rigid fixation in lumbar spine.
SUMMARY OF BACKGROUND DATA: since 2002, several dynamic pedicle fixation (DPF)
systems have been developed with the aim to stabilize the spine without the
undesirable effects of rigid lumbar spine fixation. Nearly ten years later, there
are several studies on these dynamic systems. METHODS: A systematic review was
done in MEDLINE/PubMED, Embase, Cochrane Central Register of Randomized Trials
and Google Scholar to assess the quality of published literature and the
available studied outcomes in randomized controlled trials of DPF. RESULTS: Only
three papers described randomized trials studying DPF. One of them focused on
protection of adjacent level disease provided by DPF. CONCLUSION: It was not
possible to reveal any evidence for benefits using DPF compared to rigid fixation
in surgery for lumbar spine.
PMID- 24919004
TI - Communication between health professionals and patients: review of studies using
the RIAS (Roter Interaction Analysis System) method.
AB - OBJECTIVE: Systematic review of studies that investigate the communication
between patients and health professionals with the application of the RIAS
methodology. METHODS: Keyword Roter Interaction Analysis System was searched in
the following bibliographic resources: Academic Search Complete, Current
Contents, ISI Proceedings, PubMed, Elsevier, SpringerLink, Web of Science, RCAAP,
Solo and the official RIAS site. Selection period: 2006 to 2011. Studies were
selected using multicriteria dichotomous analysis and organized according to
PRISMA. RESULTS: Identification of 1,262 articles (455 unrepeated). 34 articles
were selected for analysis, distributed by the following health professions:
family medicine and general practitioners (14), pediatricians (5), nurses (4),
geneticists (3), carers of patients with AIDS (2), oncologists (2), surgeons (2),
anesthetists (1) and family planning specialists (1). The RIAS is scarcely used
and publicized within the scope of healthcare in Portuguese speaking countries.
DISCUSSION: Main themes studied include the influence of tiredness, anxiety and
professional burnout on communication and the impact of specific training actions
on professional activities. The review enabled the identification of the main
strengths and weaknesses of synchronous and dyadic verbal communication within
the provision of healthcare. CONCLUSION: Scientific investigation of the
communication between health professionals and patients using RIAS has produced
concrete results. An improvement is expected in health outcomes through the
application of the RIAS.
PMID- 24919005
TI - Alzheimer's disease and periodontitis--an elusive link.
AB - Alzheimer's disease is the preeminent cause and commonest form of dementia. It is
clinically characterized by a progressive descent in the cognitive function,
which commences with deterioration in memory. The exact etiology and
pathophysiologic mechanism of Alzheimer's disease is still not fully understood.
However it is hypothesized that, neuroinflammation plays a critical role in the
pathogenesis of Alzheimer's disease. Alzheimer's disease is marked by salient
inflammatory features, characterized by microglial activation and escalation in
the levels of pro-inflammatory cytokines in the affected regions. Studies have
suggested a probable role of systemic infection conducing to inflammatory status
of the central nervous system. Periodontitis is common oral infection affiliated
with gram negative, anaerobic bacteria, capable of orchestrating localized and
systemic infections in the subject. Periodontitis is known to elicit a "low grade
systemic inflammation" by release of pro-inflammatory cytokines into systemic
circulation. This review elucidates the possible role of periodontitis in
exacerbating Alzheimer's disease. Periodontitis may bear the potential to affect
the onset and progression of Alzheimer's disease. Periodontitis shares the two
important features of Alzheimer's disease namely oxidative damage and
inflammation, which are exhibited in the brain pathology of Alzheimer's disease.
Periodontitis can be treated and hence it is a modifiable risk factor for
Alzheimer's disease.
PMID- 24919006
TI - Antiphospholipid syndrome: a clinical and laboratorial challenge.
AB - Antiphospholipid syndrome (APS) is an acquired autoimmune thrombophilia
characterized by the presence of a heterogeneous family of antibodies that bind
to plasma proteins with affinity for phospholipid surfaces. The two major protein
targets of antiphospholipid antibodies are prothrombin and beta2-glycoprotein I
(beta2GPI). APS leads to aprothrombotic state, and it is characterized by the
occurrence of arterial, venous or microvascular thrombosis or recurrent fetal
loss. The diagnosis of APS is based on a set of clinical criteria and the
detection of lupus anticoagulant (LA), anticardiolipin antibodies (ACA) or anti
beta2GPI in plasma. Although laboratory tests are essential for APS diagnosis,
these tests have limitations associated with the robustness, reproducibility and
standardization. The standardization of diagnostic tests for detection of APLAs
has been a challenge and a variety of results have been obtained using different
commercial kits and in-house techniques. An increased sensitivity of the ELISA
kits for detection of ACA effectively has contributed to APS diagnosis. However,
the lack of specificity associated with a high number of false-positive results
is a clinical and laboratorial challenge, since such results may lead to mistaken
clinical decisions, such as prescription of oral anticoagulant, leading to the
risk of hemorrhaging. Furthermore, clinicians are often unfamiliar with these
tests and have difficulty interpreting them, requiring interaction between
clinical and laboratory professionals in order to ensure their correct
interpretation.
PMID- 24919007
TI - Off-premise alcohol outlet characteristics and violence.
AB - BACKGROUND: There is considerable evidence of an association between alcohol
outlet density and violence. Although prior research reveals the importance of
specific characteristics of bars on this association and that the relationship
between bar density and violence may be moderated by these characteristics, there
are few similar studies of the characteristics of off-premise outlets (e.g.,
liquor and convenience stores). OBJECTIVES: We examined whether immediate
environment, business practice, staff, and patron characteristics of off-premise
alcohol outlets are associated with simple and aggravated assault density.
METHODS: Cross-sectional design using aggregate data from 65 census block groups
in a non-metropolitan college town, systematic social observation, and spatial
modeling techniques. RESULTS: We found limited effects of immediate environment,
business practice, staff, and patron characteristics on simple assault density
and no effect on aggravated assault density. Only two out of 17 characteristics
were associated with simple assault density (i.e., nearby library and male
patrons). CONCLUSION: This is the first study to examine the association between
several off-premise alcohol outlet characteristics and assault. Our findings
suggest that where the off-premise outlets are located, how well the immediate
environment is maintained, what types of beverages the outlets sell, who visits
them, and who works there matter little in their association with violence. This
suggests the importance of outlet density itself as a primary driver of any
association with violence. Public policies aimed at reducing alcohol outlet
density or clustering may be useful for reducing violence.
PMID- 24919008
TI - Is experimental data quality the limiting factor in predicting the aqueous
solubility of druglike molecules?
AB - We report the results of testing quantitative structure-property relationships
(QSPR) that were trained upon the same druglike molecules but two different sets
of solubility data: (i) data extracted from several different sources from the
published literature, for which the experimental uncertainty is estimated to be
0.6-0.7 log S units (referred to mol/L); (ii) data measured by a single accurate
experimental method (CheqSol), for which experimental uncertainty is typically
<0.05 log S units. Contrary to what might be expected, the models derived from
the CheqSol experimental data are not more accurate than those derived from the
"noisy" literature data. The results suggest that, at the present time, it is the
deficiency of QSPR methods (algorithms and/or descriptor sets), and not, as is
commonly quoted, the uncertainty in the experimental measurements, which is the
limiting factor in accurately predicting aqueous solubility for pharmaceutical
molecules.
PMID- 24919009
TI - Ditopic boronic acid and imine-based naphthalimide fluorescence sensor for
copper(II).
AB - Copper ions are essential for many biological processes. However, high
concentrations of copper can be detrimental to the cell or organism. A novel
naphthalimide derivative bearing a monoboronic acid group (BNP) was investigated
as a Cu(2+) selective fluorescent sensor in living cells. This derivative is one
of the rare examples of reversible fluorescent chemosensors for Cu(2+) which uses
a boronic acid group for a binding site. Moreover, the adduct BNP-Cu(2+) displays
a fluorescence enhancement with fructose. The uptake of this novel compound in
HeLa cancer cells was imaged using confocal fluorescence microscopy techniques
including two-photon fluorescence lifetime imaging microscopy.
PMID- 24919010
TI - Rational construction of strongly coupled metal-metal oxide-graphene
nanostructure with excellent electrocatalytic activity and durability.
AB - The interaction within heterogeneous nanostructures can provide a great
opportunity to radically enhance their electrocatalytic properties and increase
their activity and durability. Here a rational, simple, and integrated strategy
is reported to construct uniform and strongly coupled metal-metal oxide-graphene
nanostructure as an electrocatalyst with high performance. We first simply
synthesized the interacted SnO2-prGO (protected and reduced graphene oxide)
hybrid with SnO2 nanoparticles (~4 nm) selectively anchored on the oxygenated
defects of rGO using an in situ redox and hydrolysis reaction. After the
deposition of Pt, uniform Pt NPs are found to contact intimately and exclusively
with the SnO2 phase in the SnO2-prGO hybrid. This constructed nanostructure (Pt
SnO2-prGO) exhibits significantly improved electrocatalytic activity (2.19-fold)
and durability (2.08-fold) toward methanol oxidation over that of the state-of
the-art Pt/C catalyst. The detailed explanation of the strong coupling between
SnO2 and graphene as well as between Pt and SnO2 is discussed, revealing that
such a process can be used to immobilize various metal catalysts on metal-oxide
decorated catalysts for realizing advanced catalytic systems with enhanced
performance.
PMID- 24919011
TI - Characteristics verification of an independently controllable electromagnetic
spherical motor.
AB - We have been developing electromagnetic spherical actuators capable of three
degree-of-freedom rotation. However, these actuators require complex control to
realize simultaneous triaxial drive, because rotation around one axis interferes
with rotation around another. In this paper, we propose a new three-degree-of
freedom actuator where 3-axes rotation can be controlled easily. The basic
structure and the operating principle of the actuator are described. Then the
torque characteristics and the dynamic characteristics are computed by employing
3D-FEM and the effectiveness of this actuator is clarified. Finally, the
experimental results using the prototype of the actuator are shown to verify the
dynamic performance.
PMID- 24919012
TI - Security enhanced user authentication protocol for wireless sensor networks using
elliptic curves cryptography.
AB - Wireless sensor networks (WSNs) consist of sensors, gateways and users. Sensors
are widely distributed to monitor various conditions, such as temperature, sound,
speed and pressure but they have limited computational ability and energy. To
reduce the resource use of sensors and enhance the security of WSNs, various user
authentication protocols have been proposed. In 2011, Yeh et al. first proposed a
user authentication protocol based on elliptic curve cryptography (ECC) for WSNs.
However, it turned out that Yeh et al.'s protocol does not provide mutual
authentication, perfect forward secrecy, and key agreement between the user and
sensor. Later in 2013, Shi et al. proposed a new user authentication protocol
that improves both security and efficiency of Yeh et al.'s protocol. However, Shi
et al.'s improvement introduces other security weaknesses. In this paper, we show
that Shi et al.'s improved protocol is vulnerable to session key attack, stolen
smart card attack, and sensor energy exhausting attack. In addition, we propose a
new, security-enhanced user authentication protocol using ECC for WSNs.
PMID- 24919013
TI - Affine transform to reform pixel coordinates of EOG signals for controlling robot
manipulators using gaze motions.
AB - Biosignals will play an important role in building communication between machines
and humans. One of the types of biosignals that is widely used in neuroscience
are electrooculography (EOG) signals. An EOG has a linear relationship with eye
movement displacement. Experiments were performed to construct a gaze motion
tracking method indicated by robot manipulator movements. Three operators looked
at 24 target points displayed on a monitor that was 40 cm in front of them. Two
channels (Ch1 and Ch2) produced EOG signals for every single eye movement. These
signals were converted to pixel units by using the linear relationship between
EOG signals and gaze motion distances. The conversion outcomes were actual pixel
locations. An affine transform method is proposed to determine the shift of
actual pixels to target pixels. This method consisted of sequences of five
geometry processes, which are translation-1, rotation, translation-2, shear and
dilatation. The accuracy was approximately 0.86 degrees +/- 0.67 degrees in the
horizontal direction and 0.54 degrees +/- 0.34 degrees in the vertical. This
system successfully tracked the gaze motions not only in direction, but also in
distance. Using this system, three operators could operate a robot manipulator to
point at some targets. This result shows that the method is reliable in building
communication between humans and machines using EOGs.
PMID- 24919014
TI - Joint target tracking, recognition and segmentation for infrared imagery using a
shape manifold-based level set.
AB - We propose a new integrated target tracking, recognition and segmentation
algorithm, called ATR-Seg, for infrared imagery. ATR-Seg is formulated in a
probabilistic shape-aware level set framework that incorporates a joint view
identity manifold (JVIM) for target shape modeling. As a shape generative model,
JVIM features a unified manifold structure in the latent space that is embedded
with one view-independent identity manifold and infinite identity-dependent view
manifolds. In the ATR-Seg algorithm, the ATR problem formulated as a sequential
level-set optimization process over the latent space of JVIM, so that tracking
and recognition can be jointly optimized via implicit shape matching where target
segmentation is achieved as a by-product without any pre-processing or feature
extraction. Experimental results on the recently released SENSIAC ATR database
demonstrate the advantages and effectiveness of ATR-Seg over two recent ATR
algorithms that involve explicit shape matching.
PMID- 24919015
TI - Fusion of smartphone motion sensors for physical activity recognition.
AB - For physical activity recognition, smartphone sensors, such as an accelerometer
and a gyroscope, are being utilized in many research studies. So far,
particularly, the accelerometer has been extensively studied. In a few recent
studies, a combination of a gyroscope, a magnetometer (in a supporting role) and
an accelerometer (in a lead role) has been used with the aim to improve the
recognition performance. How and when are various motion sensors, which are
available on a smartphone, best used for better recognition performance, either
individually or in combination? This is yet to be explored. In order to
investigate this question, in this paper, we explore how these various motion
sensors behave in different situations in the activity recognition process. For
this purpose, we designed a data collection experiment where ten participants
performed seven different activities carrying smart phones at different
positions. Based on the analysis of this data set, we show that these sensors,
except the magnetometer, are each capable of taking the lead roles individually,
depending on the type of activity being recognized, the body position, the used
data features and the classification method employed (personalized or
generalized). We also show that their combination only improves the overall
recognition performance when their individual performances are not very high, so
that there is room for performance improvement. We have made our data set and our
data collection application publicly available, thereby making our experiments
reproducible.
PMID- 24919017
TI - Thermal physical property-based fusion of geostationary meteorological satellite
visible and infrared channel images.
AB - Geostationary meteorological satellite infrared (IR) channel data contain
important spectral information for meteorological research and applications, but
their spatial resolution is relatively low. The objective of this study is to
obtain higher-resolution IR images. One common method of increasing resolution
fuses the IR data with high-resolution visible (VIS) channel data. However, most
existing image fusion methods focus only on visual performance, and often fail to
take into account the thermal physical properties of the IR images. As a result,
spectral distortion occurs frequently. To tackle this problem, we propose a
thermal physical properties-based correction method for fusing geostationary
meteorological satellite IR and VIS images. In our two-step process, the high
resolution structural features of the VIS image are first extracted and
incorporated into the IR image using regular multi-resolution fusion approach,
such as the multiwavelet analysis. This step significantly increases the visual
details in the IR image, but fake thermal information may be included. Next, the
Stefan-Boltzmann Law is applied to correct the distortion, to retain or recover
the thermal infrared nature of the fused image. The results of both the
qualitative and quantitative evaluation demonstrate that the proposed physical
correction method both improves the spatial resolution and preserves the infrared
thermal properties.
PMID- 24919016
TI - Quorum sensing activity in Pandoraea pnomenusa RB38.
AB - Strain RB38 was recovered from a former dumping area in Malaysia. MALDI-TOF mass
spectrometry and genomic analysis identified strain RB-38 as Pandoraea pnomenusa.
Various biosensors confirmed its quorum sensing properties. High resolution
triple quadrupole liquid chromatography-mass spectrometry analysis was
subsequently used to characterize the N-acyl homoserine lactone production
profile of P. pnomenusa strain RB38, which validated that this isolate produced N
octanoyl homoserine lactone as a quorum sensing molecule. This is the first
report of the production of N-octanoyl homoserine lactone by P. pnomenusa strain
RB38.
PMID- 24919018
TI - Application of an electrochemical immunosensor with a MWCNT/PDAA modified
electrode for detection of serum trypsin.
AB - OBJECTIVE: To establish an electrochemical immunosensor for the determination of
serum trypsin levels using a multiwall carbon nanotubes (MWCNTs)-composite
modified electrode. METHOD: A MWCNT composite coated on the surface of bare gold
electrodes was used for fixation of an anti-trypsin antibody. The assembly
process and the performance indicators, including sensitivity, linear range of
detection, anti-jamming performance, and stability, of the electrochemical
immunosensor were examined by cyclic voltammetry (CV) and electrochemical
impedance spectroscopy (EIS). RESULTS: With optimized experimental conditions,
the difference of the current value measured by differential pulse voltammetry
(DPV) showed a linear relationship with the concentration of serum trypsin within
0.10-100 ng/mL. The detection limit for trypsin using this sensor was 0.002
ng/mL. CONCLUSIONS: The electrochemical immunosensor built using the MWCNT
composite-modified electrode is simple to operate and has a fast response time,
along with a wide linear range, high sensitivity, and accuracy, making it
suitable for serum trypsin detection.
PMID- 24919019
TI - Clinical significance of p95HER2 overexpression, PTEN loss and PI3K expression in
p185HER2-positive metastatic breast cancer patients treated with trastuzumab
based therapies.
AB - Retraction to: British Journal of Cancer (2014) 110, 1968-1976;
doi:10.1038/bjc.2014.72. It has been brought to our attention that, as a result
of a miscommunication, the antibody used in this study in order to determine the
expression of p95 HER2 in metastatic breast cancer patients is in fact directed
against p95 NBS1, a component of the MRN complex, and is completely unrelated to
p95 HER2. Therefore, a relationship between p95 HER2 overexpression and outcome
cannot be established based on the results described and we wish to retract our
paper. The authors, the editors of British Journal of Cancer, and the referees of
this paper are grateful to colleagues in the field who have brought this problem
to our attention and we apologise for any confusion that has, inadvertently, been
caused.
PMID- 24919021
TI - Orhan Bukulmez, MD.
PMID- 24919022
TI - Common practices in reproductive endocrinology and infertility supported by weak
or no evidence.
PMID- 24919023
TI - Food and drug supplements to improve fertility outcomes.
AB - Food and drug supplements (FDS) are extensively used by infertile couples either
to supplement medical infertility treatment and assisted conception or as a means
to independently improve conception rates. The majority of FDS are composed of
antioxidants that are used for male and female infertility. Their use, despite
being biologically plausible, lacks scientific support due to limitations
stemming from poorly designed small studies. FDS and for that matter
complementary and alternative medicine (CAM) is plagued by fallacies that confuse
or mislead the public and thus may prevent many patients from making the right
therapeutic decisions regarding their infertility problem. We do concur that the
absence of evidence is not the evidence of absence; however, one should be wary
of the apparent benign effects of FDS and CAM. The current literature seems to
suggest a beneficial effect of antioxidants on male infertility. There is,
however, no FDS that has been proven beyond doubt to increase conception rates in
female infertility. The additive value of CAM and FDS on the success of assisted
conception is also not known. Well-designed randomized studies are urgently
needed given the popularity and ever increasing consumption of these supplements
by the infertile couples.
PMID- 24919025
TI - Best protocol for controlled ovarian hyperstimulation in assisted reproductive
technologies: fact or opinion?
AB - From the early ages of assisted reproductive technologies (ARTs), different
protocols have been developed with different gonadotropin preparations at
different dosages with or without gonadotropin releasing hormone agonist or
antagonist cotreatment. Various adjuvants have also been incorporated in
controlled ovarian hyperstimulation (COH) protocols in an attempt to increase the
efficacy and safety. The "best" protocol for COH should minimize stimulation
burden while maintain the highest healthy, singleton, term live birth rates.
Understandably, the one that meets all these expectations may not exist and COH
should be individualized. Currently, there are worldwide differences in COH
protocols and gonadotropin dose algorithms used depending on the country,
demographics, funding stream, and existing guidelines/legislations. In 2014,
despite efforts to individualize COH, currently, many of the protocols employ
lack of high-quality evidence-based data. The aim of this review is to overview
the efficacy and safety of available COH protocols, in normal responders, poor
responders, and hyperresponders from evidence-based medicine perspective.
PMID- 24919024
TI - Surgical treatment before assisted reproductive technologies.
AB - Advances in technology have transformed surgery from a major approach into an art
of science capable of treating many diseases and conditions in a less risky way.
This advance let physicians perform surgery commonly in their practice. Today,
surgery in reproductive medicine has become so customary that evidence has not
been questioned commonly. Therefore, this review will help reproductive
endocrinologists to read the most recent evidence for surgery to improve in vitro
fertilization outcome. This will also help them to inform their patients with the
most recent evidence.
PMID- 24919026
TI - Laboratory procedures for human in vitro fertilization.
AB - Successful and consistent outcomes in human in vitro fertilization (IVF) can be
readily achieved by all IVF clinics through consideration and optimization of
each procedure associated with the collection and processing of gametes,
culminating in the resultant culture and transfer of healthy embryos.
Furthermore, understanding the interactions between the individual components of
the IVF cycle will assist when trouble-shooting possible problems in a laboratory
which could have an adverse effect on cycle outcome. This article will review
handling of oocytes and embryo culture, preparation of gametes for insemination
and microinjection, selection of the most viable gametes and embryos,
cryopreservation, and successful embryo transfer from the laboratory perspective.
PMID- 24919027
TI - Intracytoplasmic sperm injection indications: how rigorous?
AB - Up to 15% of all couples of reproductive age are diagnosed with subfertility and
about one-third of those will have male factor infertility as a contributing
factor. Intracytoplasmic sperm injection (ICSI) has proven to be invaluable for
couples with severely compromised semen parameters. Since its introduction into
the clinical practice in 1992, the indications for ICSI were dramatically
expanded to include various patient populations with normal or mildly abnormal
semen parameters. Moreover, some fertility programs choose to perform ICSI for
all of their patients needing assisted reproductive technologies. By all means,
the male factor indications for ICSI are not well defined, apart from its
absolute utility with surgically obtained spermatozoa in the presence of low
motility, or in cases of severe defects with sperm concentration and motility.
Based on current evidence, ICSI is not indicated for routine use. Its adoption
for previous history of total fertilization failure, in vitro oocyte maturation,
cryopreserved oocytes, polyploidy prevention, poor-quality oocytes, diminished
ovarian reserve, and advanced reproductive age are not supported by current
evidence, albeit further research with well-designed studies is warranted.
Finally, from a biological standpoint ICSI is considered to be more invasive,
more energy consuming for the oocyte itself and its adverse genetic and
epigenetic effects cannot be ruled out. Although more studies are needed to
clarify definitive indications for ICSI, many of its current applications can be
deemed empiric at this time.
PMID- 24919028
TI - Practice of embryo transfer: recommendations during and after.
AB - Many patient and embryo factors influence the outcome of assisted reproductive
technology (ART) treatment. The predictors for a successful ART cycle include
female age, ovarian reserve, embryo quality, endometrial receptivity, and embryo
transfer (ET) technique. ET, the final step of ART, has recently been noted as a
crucial step affecting ART success. Variables affecting pregnancy rates following
ET include ultrasound guidance, ease of ET transfer, catheter type, transfer and
catheter-loading technique, blood or mucus effects, retained embryos, trial
transfer, the physician's experience, and catheter tip placement. Despite the
lack of consensus regarding the optimal ET technique, it is generally recommended
that during ET, the disruption of the endometrium and the induction of uterine
contractions should be avoided. The exposure of embryos to the ambient conditions
should be minimized, and the embryo(s) should be placed at an optimal position
within the fundal region of the uterine cavity.
PMID- 24919029
TI - Remedies for recurrent implantation failure.
AB - In vitro fertilization (IVF) is expensive, time consuming, and the most
successful treatment of fertility; however, in general the cumulative chance of
having a live birth with the treatment is still around 40%. Many couples still
remain unsuccessful after several IVF attempts, causing deep impact on quality of
life, and each failed cycle causing a financial burden. Several adjuvant
therapies have been used along with IVF to increase the pregnancy rates for women
with repeated implantation failure. Testing of adjuvant therapies in properly
conducted randomized controlled trials is rarely done so that potential benefits
and risks are unlikely to be clearly presented to patients and clinicians. In
this review, we assessed the effects of adjuvants, such as growth hormone,
androgens, and glucocorticoids to enhance oocyte number and quality; sildenafil,
low-dose aspirin, heparin, corticosteroids, granulocyte colony-stimulating
factor, endometrial injury, intrauterine injection of human chorionic
gonadotropin, and intrauterine administration of autologous peripheral blood
mononuclear cells to improve poor endometrial response; antioxidants,
complementary and alternative medicine modalities, such as Chinese herbal
medicine and acupuncture; and assisted hatching and preimplantation genetic
screening to correct embryonic factors.
PMID- 24919030
TI - Indications of intrauterine insemination for male and non-male factor
infertility.
AB - Intrauterine insemination (IUI) is a widely used fertility treatment for couples
with male and/or non-male factor infertility and is a relatively simple and
inexpensive procedure. When used for unexplained infertility, IUI combined with
ovarian stimulation increases the pregnancy rates, but evidence does not support
this to be true when applied for male factor infertility. Recently, the revised
World Health Organization laboratory manual for semen criteria provided a new
insight for practical guidelines. Further randomized, controlled studies are
necessary to develop standardized IUI strategies. The authors reviewed, via
literature search, on the indications, prognostic factors, ovarian stimulation
protocols, and methods that have been employed for IUI treatment.
PMID- 24919031
TI - Medical management of male infertility in the absence of a specific etiology.
AB - Idiopathic male infertility can be diagnosis in approximately one-third of
infertile males. The empirical medical treatment with or without assisted
reproductive techniques appears common in male infertility practice. This type of
management can be classified as hormonal treatment including gonadotropins,
antiestrogens, and aromatase inhibitors and support with antioxidant supplements
such as carnitine, lycopene, glutathione, and vitamin E. This review investigates
the evidence of commonly used empirical medical management of male infertility
when there is no demonstrable diagnosis.
PMID- 24919032
TI - Treatment modalities in recurrent miscarriages without diagnosis.
AB - Recurrent miscarriage is defined as the loss of three consecutive pregnancies
before 20 weeks' gestational age. Patients are referred to subspecialists such as
reproductive endocrinology or maternal fetal medicine to exclude the most common
causes of recurrent miscarriage including autoimmune disorders, structural
uterine pathology, metabolic derangements, hematologic conditions, and
chromosomal abnormalities. Unfortunately, this extensive list of conditions
accounts for less than 50% of patients affected by recurrent miscarriage, leaving
the remaining 50% without answers. Multiple treatment modalities, including
supplementation with progesterone, human chorionic gonadotropin, aspirin with and
without heparin, and immune modulators have been tested for this large percentage
of patients with very few answers. In fact, the only successful intervention
addressed in the literature consists of supportive care at a dedicated recurrent
miscarriage clinic. Without large randomized clinical trials, there is no
evidence to support the use of supplemental medications in this patient
population.
PMID- 24919033
TI - Metformin and other insulin sensitizers in polycystic ovary syndrome.
AB - Polycystic ovary syndrome (PCOS) is a complex disorder affecting a substantial
group of women from adolescent to menopausal age groups. A substantial subgroup
of these women exhibits increased insulin resistance. Insulin resistance is
difficult to establish in clinical practice and many surrogate tests are
available, although their value in the clinical setting is uncertain. The QUICKI
method is an inexpensive and easy test to administer and is probably the best
test to be used in PCOS patients, preferably in a clinical trial context. There
is considerable evidence that insulin-sensitizing agents are not contributory to
infertility management in the majority of women with PCOS. Currently, there is no
evidence that cardiovascular morbidity is decreased by long-term use of insulin
sensitizers in PCOS women.
PMID- 24919034
TI - Response-Adaptive Allocation for Circular Data.
AB - Response-adaptive designs are used in phase III clinical trials to allocate a
larger proportion of patients to the better treatment. Circular data is a natural
outcome in many clinical trial setup, e.g., some measurements in opthalmologic
studies, degrees of rotation of hand or waist, etc. There is no available work on
response-adaptive designs for circular data. With reference to a dataset on
cataract surgery we provide some response-adaptive designs where the responses
are of circular nature and propose some test statistics for treatment comparison
under adaptive data allocation procedure. Detailed simulation study and the
analysis of the dataset, including redesigning the cataract surgery data, are
carried out.
PMID- 24919035
TI - Parathyroid hormone and parathyroid hormone type-1 receptor accelerate myocyte
differentiation.
AB - The ZHTc6-MyoD embryonic stem cell line expresses the myogenic transcriptional
factor MyoD under the control of a tetracycline-inducible promoter. Following
induction, most of the ZHTc6-MyoD cells differentiate to myotubes. However, a
small fraction does not differentiate, instead forming colonies that retain the
potential for myocyte differentiation. In our current study, we found that
parathyroid hormone type 1 receptor (PTH1R) expression in colony-forming cells at
13 days after differentiation was higher than that in the undifferentiated ZHTc6
MyoD cells. We also found that PTH1R expression was required for myocyte
differentiation, and that parathyroid hormone accelerated the differentiation.
Our analysis of human and mouse skeletal muscle tissues showed that most cells
expressing PTH1R also expressed Pax7 and CD34, which are biomarkers of satellite
cells. Furthermore, we found that parathyroid hormone treatment significantly
improved muscle weakness in dystrophin-deficient mdx mice. This is the first
report indicating that PTH1R and PTH accelerate myocyte differentiation.
PMID- 24919036
TI - Laparoscopic partial splenectomy performed by monopolar saline-cooled
radiofrequency coagulation.
AB - BACKGROUND: Partial splenectomy is preferred to total splenectomy when possible
to reduce the risk of life-threatening infection. Several techniques have been
described, each with its merits. Laparoscopic transection with monopolar saline
cooled radiofrequency coagulation has not been previously described. PATIENTS AND
METHODS: Two patients with enlarging cystic splenic lesions consented to
laparoscopic partial splenectomy. In 1 case, high-power saline-cooled monopolar
radiofrequency transection was performed with a laparoscopic sealing hook; the
procedure was performed with a rigid resectoscope and ball diathermy in the
other. RESULTS: Both cases were performed without complications. Transection with
the resectoscope and ball diathermy was combined with selective clamping of the
splenic hilar vessels and was performed in 100 minutes with estimated blood loss
of 250 mL. Transection with the sealing hook was performed in 80 minutes without
hilar vessel clamping, with an estimated blood loss of 100 mL. No additional
hemostatic agents were required for either case. CONCLUSIONS: Laparoscopic
partial splenectomy can be performed with monopolar saline-cooled radiofrequency
for parenchymal transection and hemostasis in a simple and effective manner.
PMID- 24919037
TI - Genotoxic evaluation, secondary metabolites and antioxidant capacity of leaves
and roots of Urera baccifera Gaudich (Urticaceae).
AB - In addition to phenolics, flavonoids, flavonols, alkaloids and condensed tannins,
our tests identified the antioxidant and genotoxic properties in the crude
extract (CE) and fractions of Urera baccifera (Urticaceae) roots and leaves.
Oxalic acid (OA) content was determined by HPLC-DAD, which presented high values
in the roots (1.82 +/- 0.21, 1.79 +/- 0.22 and 1.38 +/- 0.15 mg/g in butanolic,
CE and ethyl acetate fraction, respectively). OA caused a 30.7% reduction in the
leucocyte proliferation, followed by butanolic fractions of roots (24.15%) and
leaves (23.28%). The mitotic index was lower in butanolic fractions of leaves
(8.7%) and roots (8.3%), similar to the OA index, which was 6.0%. The DNA damage
index in cultured leukocytes was observed for OA (19.33) and butanol fraction
treatments (22.67 and 16, respectively, for leaves and roots). Antioxidant
capacity (DPPH and TBARS) was moderated, which was confirmed by the low phenolic,
flavonol and flavonoid contents in both parts of the plant.
PMID- 24919038
TI - Predicting failure: acoustic emission of berlinite under compression.
AB - Acoustic emission has been measured and statistical characteristics analyzed
during the stress-induced collapse of porous berlinite, AlPO4, containing up to
50 vol% porosity. Stress collapse occurs in a series of individual events
(avalanches), and each avalanche leads to a jerk in sample compression with
corresponding acoustic emission (AE) signals. The distribution of AE avalanche
energies can be approximately described by a power law p(E)dE = E(-epsilon)dE
(epsilon ~ 1.8) over a large stress interval. We observed several collapse
mechanisms whereby less porous minerals show the superposition of independent
jerks, which were not related to the major collapse at the failure stress. In
highly porous berlinite (40% and 50%) an increase of energy emission occurred
near the failure point. In contrast, the less porous samples did not show such an
increase in energy emission. Instead, in the near vicinity of the main failure
point they showed a reduction in the energy exponent to ~ 1.4, which is
consistent with the value reported for compressed porous systems displaying
critical behavior. This suggests that a critical avalanche regime with a lack of
precursor events occurs. In this case, all preceding large events were 'false
alarms' and unrelated to the main failure event. Our results identify a method to
use pico-seismicity detection of foreshocks to warn of mine collapse before the
main failure (the collapse) occurs, which can be applied to highly porous
materials only.
PMID- 24919039
TI - Ag-ZnO nanoreactor grown on FTO substrate exhibiting high heterogeneous
photocatalytic efficiency.
AB - This Research Article reports an unusually high efficiency heterogeneous
photodegradation of methyl orange (MO) in the presence of Ag nanoparticle-loaded
ZnO quasi-nanotube or nanoreactor (A-ZNRs) nanocatalyst grown on FTO substrate.
In typical process, photodegradation efficiency of as high as 21.6% per MUg per
Watts of used catalyst and UV power can be normally obtained within only a 60-min
reaction time from this system, which is 10(3) order higher than the reported
results. This is equivalent to the turnover frequency of 360 mol mol(-1) h(-1).
High-density hexagonal A-ZNRs catalysts were grown directly on FTO substrate via
a seed-mediated microwave-assisted hydrolysis growth process utilizing Ag
nanoparticle of approximately 3 nm in size as nanoseed and mixture aqueous
solution of Zn(NO3).6H2O, hexamethylenetetramine (HMT), and AgNO3 as the growth
solution. A-ZNRs adopts hexagonal cross-section morphology with the inner surface
of the reactor characterized by a rough and rugged structure. Transmission
electron microscopy imaging shows the Ag nanoparticle grows interstitially in the
ZnO nanoreactor structure. The high photocatalytic property of the A-ZNRs is
associated with the highly active of inner side's surface of A-ZNRs and the
oxidizing effect of Ag nanoparticle. The growth mechanism as well as the
mechanism of the enhanced-photocatalytic performance of the A-ZNRs will be
discussed.
PMID- 24919040
TI - Calcification of Cryopreserved Arterial Graft Causing Delayed Obstruction of
Portal Vein Flow After Liver Transplant.
AB - In patients with biliary atresia, portal vein problems may cause challenges for
liver transplant. Interposition grafts have been used for vascular anastomoses in
transplant recipients with varied success. A cryopreserved iliac artery graft was
used for the reconstruction of the portal vein in a 29-month-old infant with
biliary atresia. At 17 months after transplant, she developed upper
gastrointestinal bleeding that was caused by portal vein occlusion because of
vascular calcifications in the graft. Upper gastrointestinal endoscopy showed
esophageal varices with fresh bleeding, and the varices were band ligated. At 3
months after the bleeding episode, the patient was asymptomatic and biochemical
tests were normal. In summary, liver transplant with cryopreserved iliac artery
graft may be complicated by calcifications and portal vein occlusion, and caution
is advised in using this graft material for portal vein anastomoses.
PMID- 24919041
TI - Three-dimensional spatiotemporal features for fast content-based retrieval of
focal liver lesions.
AB - Content-based image retrieval systems for 3-D medical datasets still largely rely
on 2-D image-based features extracted from a few representative slices of the
image stack. Most 2 -D features that are currently used in the literature not
only model a 3-D tumor incompletely but are also highly expensive in terms of
computation time, especially for high-resolution datasets. Radiologist-specified
semantic labels are sometimes used along with image-based 2-D features to improve
the retrieval performance. Since radiological labels show large interuser
variability, are often unstructured, and require user interaction, their use as
lesion characterizing features is highly subjective, tedious, and slow. In this
paper, we propose a 3-D image-based spatiotemporal feature extraction framework
for fast content-based retrieval of focal liver lesions. All the features are
computer generated and are extracted from four-phase abdominal CT images.
Retrieval performance and query processing times for the proposed framework is
evaluated on a database of 44 hepatic lesions comprising of five pathological
types. Bull's eye percentage score above 85% is achieved for three out of the
five lesion pathologies and for 98% of query lesions, at least one same type of
lesion is ranked among the top two retrieved results. Experiments show that the
proposed system's query processing is more than 20 times faster than other
already published systems that use 2-D features. With fast computation time and
high retrieval accuracy, the proposed system has the potential to be used as an
assistant to radiologists for routine hepatic tumor diagnosis.
PMID- 24919042
TI - Morphological changes in the liver and kidneys of rats subjected to terminal
ileum exclusion during obstructive cholestasis.
AB - PURPOSE: To investigate the effects of ileal exclusion on hepatic and renal
morphology in extra-hepatic cholestasis. METHODS: Twenty four rats were
distributed into three groups. Group 1 (control) underwent laparotomy and
laparorrhaphy. The animals in groups 2 and 3 underwent hepatic duct ligature and
kept in cholestasis for four weeks. After this period, the rats in groups 2 and 3
underwent internal biliary derivation. In Group 3, the last ten centimeters of
the terminal ileum were by passed and excluded. Four weeks later, histological
and biochemical analysis were performed in all animals of the three groups.
RESULTS: In Group 1, no abnormalities regarding hepatic morphology were observed.
All animals from groups 2 and 3 presented hepatic fibrosis. No difference was
observed between the two groups. No morphological differences in renal histology
could be identified among the three groups. There were differences in AST
(p<0.05), ALT (p<0.05), direct bilirubin (p<0.05), GammaGT (p<0.05), urea
(p<0.05) and creatinine (p<0.05) in Group 3 compared to control. CONCLUSION: The
distal ileum exclusion had no influence upon the hepatic and renal morphological
alterations, and biochemical liver and kidney tests have worsened.
PMID- 24919043
TI - Mesenteric lymph reperfusion after superior mesenteric artery occlusion shock
exacerbates endotoxin translocation in brain.
AB - PURPOSE: To determine the role of mesenteric lymph reperfusion (MLR) on endotoxin
translocation in brain to discuss the mechanism of brain injury subjected to
superior mesenteric artery occlusion (SMAO) shock. METHODS: Twenty-four rats were
randomly assigned to MLR, SMAO, MLR+SMAO and sham groups. MLR was performed by
clamping the mesenteric lymph duct (MLD) for 1 h and then allowing reperfusion
for 2 h in the MLR group; SMAO involved clamping the superior mesenteric artery
(SMA) for 1 h, followed by reperfusion for 2 h in the SMAO group; occlusion of
both the SMA and MLD for 1 h was followed by reperfusion for 2 h in the MLR+SMAO
group rats. RESULTS: SMAO shock induced severe increased levels of the endotoxin,
lipopolysaccharide receptor, lipopolysaccharide-binding protein, intercellular
adhesion molecule-1 and tumor necrosis factor-alpha. Concurrently, MLR after SMAO
shock further aggravates these deleterious effects. CONCLUSION: Mesenteric lymph
reperfusion exacerbated the endotoxin translocation in brain; thereby increased
inflammatory response occurred, suggesting that the intestinal lymph pathway
plays an important role in the brain injury after superior mesenteric artery
occlusion shock.
PMID- 24919044
TI - Glutamine and ornithine alpha-ketoglutarate supplementation on malate
dehydrogenases expression in hepatectomized rats.
AB - PURPOSE: To evaluate the relative gene expression (RGE) of cytosolic (MDH1) and
mitochondrial (MDH2) malate dehydrogenases enzymes in partially hepatectomized
rats after glutamine (GLN) or ornithine alpha-ketoglutarate (OKG) suplementation.
METHODS: One-hundred and eight male Wistar rats were randomly distributed into
six groups (n=18): CCaL, GLNL and OKGL and fed calcium caseinate (CCa), GLN and
OKG, 0.5 g/Kg by gavage, 30 minutes before laparotomy. CCaH, GLNH and OKGH groups
were likewise fed 30 minutes before 70% partial hepatectomy. Blood and liver
samples were collected three, seven and 14 days after laparotomy/hepatectomy for
quantification of MDH1/MDH2 enzymes using the real-time polymerase chain reaction
(PCR) methodology. Relative enzymes expression was calculated by the 2
(DeltaDeltaC)T method using the threshold cycle (CT) value for normalization.
RESULTS: MDH1/MDH2 RGE was not different in hepatectomized rats treated with OKG
compared to rats treated with CCa. However, MDH1/MDH2 RGE was greater on days 3
(321:1/26.48:1) and 7 (2.12:1/2.48:1) while MDH2 RGE was greater on day 14
(7.79:1) in hepatectomized rats treated with GLN compared to control animals.
CONCLUSION: Glutamine has beneficial effects in liver regeneration in rats by
promoting an up-regulation of the MDH1 and MDH2 relative gene expression.
PMID- 24919045
TI - Antiperoxidative properties of oil mixes of high ratio Omega-9:Omega-6 and low
ratio Omega-6:Omega-3 after molar extraction in rats.
AB - PURPOSE: To evaluate the antioxidant and antiperoxidative effects of oil mixes of
high ratio Omega-9:Omega-6 and low ratio Omega-6:Omega-3 in the third day after
tooth extraction in rats. METHODS: Thirty-two male Wistar rats (270-310 g) were
randomly distributed in two groups: Control (n=24) and Test (n=8). Control group
was divided into three subgroups (n=8): G1: Sham-Saline; G2: Saline; G3:
Isolipid. G1 and G2 animals received NaCl 0.9% while G3 rats were treated with an
isolipid mixture (alpha-linolenic acid - ALA) containing -6/-3 oils (8:1 ratio)
and-9/-6 (0.4:1 ratio). Test group animals (G4) received oily mixtures (alpha
linolenic acid - ALA, docosahexaenoic acid - DHA, eicosapentaenoic acid - EPA) of
-6/-3 (1.4:1 ratio) and -9/-6 (3.4:1 ratio). Saline and oils were administered by
gavage during four days before and three days after first mandibular molar
extraction. Following, samples (arterial blood and alveolar mucosa) were
collected for glutathione (GSH) and thiobarbituric acid reactive substances
(TBARS) assays. RESULTS: Oil mixes induced a significant decrease in GSH and
TBARS tissue and plasma concentrations in the third day post-surgery. CONCLUSION:
Gavage administration of oil mixes of high ratio Omega-9:Omega-6 and low ratio
Omega-6:Omega-3 after molar extraction in rats induces a significant decrease in
lipid peroxidation.
PMID- 24919046
TI - In-vivo bone response to titanium screw implants anodized in sodium sulfate.
AB - PURPOSE: To evaluate the early bone response to a nanotextured dental implant
treated with sodium sulfate (Na2SO4), using a rabbit model. METHODS: Twelve
animals were randomly divided into group 1 (Control) - machined implants and
group 2 (Test) - nanotextured implants. Extra-oral incision was performed to
provide access to intended surgical site where the dental implant was inserted
immediately after the extraction of the mandibular first premolar. Implant
surface characterization was performed by scanning electron microscopy attached
to energy dispersive spectroscopy and interferometry. Three weeks after surgery,
the animals were induced to death and undecalcified sections of the samples were
prepared for histological and histomorphometrical analysis. RESULTS: Surface
characterization of the implant demonstrated enhanced surface area of anodized
group compared to Control group with 19.2% +/- 6.2 versus 1.6 +/- 0.7,
respectively. Histological evaluation demonstrated new bone formation starting
from the buccal and lingual cortical walls on both groups. After three weeks,
significant higher bone contact of 27% (p<0.05) was observed to nanotextured
compared to machined implants (Control group). CONCLUSION: The anodization with
sodium sulfate nanostructures to the implant surface that resulted in faster
osseointegration.
PMID- 24919047
TI - A cadaveric study to determine the minimum volume of methylene blue to completely
color the nerves of brachial plexus in cats. An update in forelimb and shoulder
surgeries.
AB - PURPOSE: To determine the minimum volume of methylene blue (MB) to completely
color the brachial plexus (BP) nerves, simulating an effective anesthetic block
in cats. METHODS: Fifteen adult male cat cadavers were injected through
subscapular approach with volumes of 2, 3, 4, 5 and 6 ml in both forelimbs, for a
total of 30 brachial plexus blocks (BPB). After infusions, the specimens were
carefully dissected preserving each nervous branch. The measurement of the
effective area was indicated by the impregnation of MB. Nerves were divided into
four segments from the origin at the spinal level until the insertion into the
thoracic limb muscles. The blocks were considered effective only when all the
nerves were strongly or totally colored. RESULTS: Volumes of 2, 3 and 4 ml were
considered insufficient suggesting a failed block, however, volumes of 5 and 6 ml
were associated with a successful block. CONCLUSIONS: The injection of methylene
blue, in a volume of 6 ml, completely colored the brachial plexus. At volumes of
5 and 6 ml the brachial plexus blocks were considered a successful regional
block, however, volumes of 2, 3 and 4 ml were considered a failed regional block.
PMID- 24919048
TI - Epidemiological features of esophageal cancer. Squamous cell carcinoma versus
adenocarcinoma.
AB - PURPOSE: To analyze the epidemiological features of patients with esophageal
cancer according to the histopathological types: squamous cell carcinoma or
adenocarcinoma. METHODS: A total of 100 patients with esophageal cancer, being 50
squamous cell carcinomas and 50 adenocarcinomas were analyzed for demographics,
nutritional factors, lifestyle habits, benign pathological conditions associated,
like Barrett's esophagus and megaesophagus, tumor stage and survival rates. The
nutritional factors evaluated included body mass index, percent weight loss,
hemoglobin and albumin serum levels. RESULTS: Esophageal cancer occurred more
often in men over 50 years-old in both histological groups. No significant
differences on age and gender were found between the histological groups.
Squamous cell carcinoma was significantly more frequent in blacks than
adenocarcinoma. Alcohol consumption and smoking were significantly associated
with squamous cell carcinoma. Higher values of body mass index were seen in
patients with adenocarcinoma. Barrett's esophagus was found in nine patients
(18%) with adenocarcinoma, and megaesophagus in two patients (4%) with squamous
cell carcinoma. The majority of patients were on stages III and IV in both
histological groups. The mean survival rates were 7.7 +/- 9.5 months for patients
with squamous cell carcinoma and 8.0 +/- 10.9 months for patients with
adenocarcinoma. No significant differences on tumor stage and survival rates were
detected between the histological groups. CONCLUSION: Epidemiological features
are distinct for the histopathological types of esophageal cancer. Squamous cell
carcinoma is associated with black race, alcohol and smoking, while
adenocarcinoma is related to higher body mass index, white race and Barrett's
esophagus.
PMID- 24919049
TI - Cardiorespiratory evaluation in pre and post operative moments of laparoscopic
cholecystectomy.
AB - PURPOSE: To analyze the changes in both respiratory function and cardiopulmonary
exercise tests results in patients subjected to laparoscopic cholecystectomy.
METHODS: Fifty patients were evaluated (76% women) and the average age was 47.8
+/- 14.2 years. All individuals underwent the measurement of spirometry,
manovacuometry, 6-minute walk test (6MWT) and stair-climbing test (SCT). All
tests were performed at the first (PO1), fifth (PO5) and thirtieth (PO30)
postoperative days. RESULTS: BMI average was 28.8 +/- 4.8 kg/m(2). Sample
comprised 68% non-smokers, 20% current smokers, and 12% former smokers. There was
no incidence of postoperative complication whatsoever. There was a significant
decrease in spirometric values at PO1, but values were similar to the ones of PRE
at PO30. Manovacuometry showed alterations at PO1 displaying values that were
similar to the ones of PRE at PO30. 6MWT was significantly shorter at until PO5,
but at PO30 values were similar to ones of PRE. As for SCT, values were
significantly compromised at PO5 and PO30 since they were similar to the ones of
PRE. CONCLUSION: Patients submitted to laparoscopic cholecystectomy present a
decrease in cardiorespiratory function on the first postoperative moments but
there is a rapid return to preoperative conditions.
PMID- 24919050
TI - Influence of clinical therapy and nutritional counseling on the recurrence of
urolithiasis.
AB - PURPOSE: To evaluate the influence of combined clinical therapy and nutritional
guidance on the recurrence of urolithiasis. METHODS: From our registry of
patients with recurrent urolithiasis we selected 57 who had at least 5-years of
follow-up. We collected 24h urine samples in order to analyze Ca, Na, uric acid,
citrate, oxalate, and Mg concentrations and to assess urine volume. Patients
filled out a clinical questionnaire before treatment, and abdominal radiographs
and/or ultrasound were performed both before treatment and during the follow-up
period. During follow-up, specific and individualized dietary advice was given
based on the individual's metabolic disorders. Patients also received specific
pharmacological treatment for their metabolic alterations. Outcome measures were
metabolites in urine and the urolith recurrence rate. Pre- and post- intervention
values were compared using tests as appropriate. RESULTS: Fifty six of the
patients were male and the majority of patients were overweight. The mean BMI was
27 kg/m(2). Urinary excretion of calcium, uric acid and sodium decreased
significantly over the five year follow-up period. The number of uroliths that
formed during the 5-year follow-up also decreased significantly compared to pre
treatment values. CONCLUSION: Individualized dietary advice combined with
pharmacological treatment significantly reduces long-term urolithiasis
recurrence.
PMID- 24919051
TI - Microsurgical training model with nonliving swine head. Alternative for
neurosurgical education.
AB - PURPOSE: This paper proposes a practical model of microneurosurgical training
using a nonliving swine head. METHODS: Fresh porcine heads were obtained from
butchery and dissected at our Laboratory of Microsurgery. Brain and skull base
surgery were trained under microscopic magnification. RESULTS: Several
neurosurgical procedures could be simulated in the nonliving pig model, including
transcallosal approach to the lateral ventricle, lateral sulcus and middle fossa
dissection, and posterior fossa surgery. CONCLUSION: The swine model perfectly
simulates standard microneurosurgical procedures, and is a useful tool for
developing and refining surgical skills.
PMID- 24919053
TI - Anthropogenic disturbance and biodiversity of marine benthic communities in
Antarctica: a regional comparison.
AB - The impacts of two Antarctic stations in different regions, on marine sediment
macrofaunal communities were compared: McMurdo, a very large station in the Ross
Sea; and Casey, a more typical small station in East Antarctica. Community
structure and diversity were compared along a gradient of anthropogenic
disturbance from heavily contaminated to uncontaminated locations. We examined
some of the inherent problems in comparing data from unrelated studies, such as
different sampling methods, spatial and temporal scales of sampling and taxonomic
uncertainty. These issues generated specific biases which were taken into account
when interpreting patterns. Control sites in the two regions had very different
communities but both were dominated by crustaceans. Community responses to
anthropogenic disturbance (sediment contamination by metals, oils and sewage)
were also different. At McMurdo the proportion of crustaceans decreased in
disturbed areas and polychaetes became dominant, whereas at Casey, crustaceans
increased in response to disturbance, largely through an increase in amphipods.
Despite differing overall community responses there were some common elements.
Ostracods, cumaceans and echinoderms were sensitive to disturbance in both
regions. Capitellid, dorvelleid and orbiniid polychaetes were indicative of
disturbed sites. Amphipods, isopods and tanaids had different responses at each
station. Biodiversity and taxonomic distinctness were significantly lower at
disturbed locations in both regions. The size of the impact, however, was not
related to the level of contamination, with a larger reduction in biodiversity at
Casey, the smaller, less polluted station. The impacts of small stations, with
low to moderate levels of contamination, can thus be as great as those of large
or heavily contaminated stations. Regional broad scale environmental influences
may be important in determining the composition of communities and thus their
response to disturbance, but there are some generalizations regarding responses
which will aid future management of stations.
PMID- 24919052
TI - Comparative effectiveness of long term drug treatment strategies to prevent
asthma exacerbations: network meta-analysis.
AB - OBJECTIVE: To determine the comparative effectiveness and safety of current
maintenance strategies in preventing exacerbations of asthma. DESIGN: Systematic
review and network meta-analysis using Bayesian statistics. DATA SOURCES:
Cochrane systematic reviews on chronic asthma, complemented by an updated search
when appropriate. ELIGIBILITY CRITERIA TRIALS OF Adults with asthma randomised to
maintenance treatments of at least 24 weeks duration and that reported on asthma
exacerbations in full text. Low dose inhaled corticosteroid treatment was the
comparator strategy. The primary effectiveness outcome was the rate of severe
exacerbations. The secondary outcome was the composite of moderate or severe
exacerbations. The rate of withdrawal was analysed as a safety outcome. RESULTS:
64 trials with 59,622 patient years of follow-up comparing 15 strategies and
placebo were included. For prevention of severe exacerbations, combined inhaled
corticosteroids and long acting beta agonists as maintenance and reliever
treatment and combined inhaled corticosteroids and long acting beta agonists in a
fixed daily dose performed equally well and were ranked first for effectiveness.
The rate ratios compared with low dose inhaled corticosteroids were 0.44 (95%
credible interval 0.29 to 0.66) and 0.51 (0.35 to 0.77), respectively. Other
combined strategies were not superior to inhaled corticosteroids and all single
drug treatments were inferior to single low dose inhaled corticosteroids. Safety
was best for conventional best (guideline based) practice and combined
maintenance and reliever therapy. CONCLUSIONS: Strategies with combined inhaled
corticosteroids and long acting beta agonists are most effective and safe in
preventing severe exacerbations of asthma, although some heterogeneity was
observed in this network meta-analysis of full text reports.
PMID- 24919055
TI - Relationship between apparent diffusion coefficient and tumour cellularity in
lung cancer.
AB - BACKGROUND AND OBJECTIVE: To prospectively investigate the relationship between
the apparent diffusion coefficient (ADC) and cellularity in lung cancer. METHODS:
Sixty patients histopathologically confirmed with lung cancer (41 men, 19 women)
underwent diffusion-weighted magnetic resonance imaging of the chest (with b
values of 50 and 1000 s/mm2). The median mean ADC (ADC mean) value and median
minimum ADC (ADC min) value within each primary tumour were calculated and
compared with the median nucleo-cytoplasmic ratio (NCR), which was selected to
represent the cellularity. The correlation between the NCR and ADC mean/ADC min
was calculated with SPSS 18.0 software. RESULTS: The mean ADC mean values, ADC
min values and median NCR were (1.07 +/- 0.12) * 10(-3) mm2/s, (0.86 +/- 0.14) *
10(-3) mm2/s, and (14.9 +/- 2.6) %, respectively, in adenocarcinoma; (0.88 +/-
0.10) * 10(-3) mm2/s, (0.73 +/- 0.12) * 10(-3)) mm2/s, and (20.6 +/- 4.4) %,
respectively, in squamous cell carcinoma; and (0.89 +/- 0.13) * 10(-3) mm2/s,
(0.67 +/- 0.13) * 10(-3) mm2/s, and (18.3 +/- 3.5) %, respectively in small cell
lung cancer. The NCR of squamous cell carcinoma and small cell lung cancer is
greater than that of adenocarcinoma (P < 0.01 and P = 0.002, respectively). There
was an inverse relationship between ADC mean/NCR and ADC min/NCR (r = -0.60, P =
0.001 and r = -0.47, P < 0.001, respectively). CONCLUSION: There is a significant
inverse relationship between tumour cellularity and ADC in lung cancer. However,
tumour cellularity most likely is not the sole determinant of the ADC.
PMID- 24919054
TI - Individual differences in ethanol locomotor sensitization are associated with
dopamine D1 receptor intra-cellular signaling of DARPP-32 in the nucleus
accumbens.
AB - In mice there are clear individual differences in the development of behavioral
sensitization to ethanol, a progressive potentiation of its psychomotor stimulant
effect. Variability in the behavioral responses to ethanol has been associated
with alcohol preference. Here we investigated if the functional
hyperresponsiveness of D1 receptors observed in ethanol sensitized mice leads to
an increased activation of DARPP-32, a central regulatory protein in medium spiny
neurons, in the nucleus accumbens - a brain region known to play a role in drug
reinforcement. Swiss Webster mice received ethanol (2.2 g/kg/day) or saline i.p.
administrations for 21 days and were weekly evaluated regarding their locomotor
activity. From those treated with ethanol, the 33% with the highest levels of
locomotor activity were classified as "sensitized" and the 33% with the lowest
levels as "non-sensitized". The latter presented similar locomotor levels to
those of saline-treated mice. Different subgroups of mice received intra
accumbens administrations of saline and, 48 h later, SKF-38393, D1 receptor
agonist 0.1 or 1 ug/side. Indeed, sensitized mice presented functional
hyperresponsiveness of D1 receptors in the accumbens. Two weeks following the
ethanol treatment, other subgroups received systemic saline or SKF 10 mg/kg, 20
min before the euthanasia. The nucleus accumbens were dissected for the Western
Blot analyses of total DARPP-32 and phospho-Thr34-DARPP-32 expression. D1
receptor activation induced higher phospho-Thr34-DARPP-32 expression in
sensitized mice than in non-sensitized or saline. The functionally
hyperresponsiveness of D1 receptors in the nucleus accumbens is associated with
an increased phospho-Thr34-DARPP-32 expression after D1 receptor activation.
These data suggest that an enduring increase in the sensitivity of the dopamine
D1 receptor intracellular pathway sensitivity represents a neurobiological
correlate associated with the development of locomotor sensitization to ethanol.
PMID- 24919056
TI - Atropisomerism about aryl-Csp(3) bonds: the electronic and steric influence of
ortho-substituents on conformational exchange in cannabidiol and linderatin
derivatives.
AB - Terpenylation reactions of substituted phenols were used to prepare cannabidiol
and linderatin derivatives, and their structure and conformational behavior in
solution were investigated by NMR and, for some representative examples, by DFT.
VT-NMR spectra and DFT calculations were used to determine the activation
energies of the conformational change arising from restricted rotation about the
aryl-Csp(3) bond that lead to two unequally populated rotameric epimers. The NBO
calculation was applied to explain the electronic stabilization of one conformer
over another by donor-acceptor charge transfer interactions. Conformational
control arises from a combination of stereoelectronic and steric effects between
substituents in close contact with each other on the two rings of the endocyclic
epoxide atropisomers. This study represents the first exploration of the
stereoelectronic origins of atropisomerism around C(sp(2))-C(sp(3)) single bonds
through theoretical calculations.
PMID- 24919057
TI - Effects of crowding on the stability of a surface-tethered biopolymer: an
experimental study of folding in a highly crowded regime.
AB - The high packing densities and fixed geometries with which biomolecules can be
attached to macroscopic surfaces suggest that crowding effects may be
particularly significant under these often densely packed conditions. Exploring
this question experimentally, we report here the effects of crowding on the
stability of a simple, surface-attached DNA stem-loop. We find that crowding by
densely packed, folded biomolecules destabilizes our test-bed biomolecule by ~2
kJ/mol relative to the dilute (noninteracting) regime, an effect that presumably
occurs due to steric and electrostatic repulsion arising from compact neighbors.
Crowding by a dense brush of unfolded biomolecules, in contrast, enhances its
stability by ~6 kJ/mol, presumably due to excluded volume and electrostatic
effects that reduce the entropy of the unfolded state. Finally, crowding by like
copies of the same biomolecule produces a significantly broader unfolding
transition, likely because, under these circumstances, the stabilizing effects of
crowding by unfolded molecules increase (and the destabilizing effects of
neighboring folded molecules decrease) as more and more neighbors unfold. The
crowding of surface-attached biomolecules may thus be a richer, more complex
phenomenon than that seen in homogeneous solution.
PMID- 24919058
TI - Bioremediation of a complex industrial effluent by biosorbents derived from
freshwater macroalgae.
AB - Biosorption with macroalgae is a promising technology for the bioremediation of
industrial effluents. However, the vast majority of research has been conducted
on simple mock effluents with little data available on the performance of
biosorbents in complex effluents. Here we evaluate the efficacy of dried biomass,
biochar, and Fe-treated biomass and biochar to remediate 21 elements from a real
world industrial effluent from a coal-fired power station. The biosorbents were
produced from the freshwater macroalga Oedogonium sp. (Chlorophyta) that is
native to the industrial site from which the effluent was sourced, and which has
been intensively cultivated to provide a feed stock for biosorbents. The effect
of pH and exposure time on sorption was also assessed. These biosorbents showed
specificity for different suites of elements, primarily differentiated by ionic
charge. Overall, biochar and Fe-biochar were more successful biosorbents than
their biomass counterparts. Fe-biochar adsorbed metalloids (As, Mo, and Se) at
rates independent of effluent pH, while untreated biochar removed metals (Al, Cd,
Ni and Zn) at rates dependent on pH. This study demonstrates that the biomass of
Oedogonium is an effective substrate for the production of biosorbents to
remediate both metals and metalloids from a complex industrial effluent.
PMID- 24919059
TI - Prolonged low intensity EPOCH-rituximab has improved toxicity in Burkitt lymphoma
compared with standard short, high intensity therapy.
AB - Burkitt lymphoma is an aggressive form of non-Hodgkin lymphoma that has a short
doubling time, thus intense short-cycle chemotherapy has been thought to be
essential. A recent NCI-sponsored clinical trial investigated DA-EPOCH-R given to
19 HIV-negative patients and a short course regimen (SC-EPOCH-RR) given to 11 HIV
positive patients in hopes of maintaining the efficacy of the regimen while
decreasing the typical side effects from the intensive short-cycle chemotherapy.
Low intensity EPOCH-R based therapy achieved excellent rates of efficacy despite
a significant difference in the median cumulative dose between the DA-EPOCH-R and
SC-EPOCH-RR cohorts. Furthermore, both cohorts experienced mainly grade 1 and
grade 2 toxicities, with SC-EPOCH-RR cohort patients experiencing less adverse
events than DA-EPOCH-R cohort patients. This recent clinical investigation
suggests the most important therapeutic principle is not the intensity but rather
the length of exposure time above an effective threshold concentration. Since
short, intense bolus doses are the standard therapy for Burkitt lymphoma, these
findings are clinically relevant and significant.
PMID- 24919060
TI - Charge state-dependent catalytic activity of [Au(25)(SC(12)H(25))18] nanoclusters
for the two-electron reduction of dioxygen to hydrogen peroxide.
AB - The electrochemical production of H2O2 from O2 catalyzed by [Au25(SC12H25)18] was
studied as a function of the charge state (-1, 0 and +1). Maximum H2O2 production
(~90%) was obtained from the negatively charged clusters (Au25(-)) due to the
efficient electron transfer from the anionic Au25(-) cluster into the LUMO (pi*)
of O2.
PMID- 24919061
TI - Long-term MRI cell tracking after intraventricular delivery in a patient with
global cerebral ischemia and prospects for magnetic navigation of stem cells
within the CSF.
AB - BACKGROUND: The purpose of the study was to evaluate the long-term clinical
tracking of magnetically labeled stem cells after intracerebroventricular
transplantation as well as to investigate in vitro feasibility for magnetic
guidance of cell therapy within large fluid compartments. METHOD: After approval
by our Institutional Review Board, an 18-month-old patient, diagnosed as being in
a vegetative state due to global cerebral ischemia, underwent cell
transplantation to the frontal horn of the lateral ventricle, with umbilical cord
blood-derived stem cells labeled with superparamagnetic iron oxide (SPIO)
contrast agent. The patient was followed over 33 months with clinical
examinations and MRI. To evaluate the forces governing the distribution of cells
within the fluid compartment of the ventricular system in vivo, a gravity-driven
sedimentation assay and a magnetic field-driven cell attraction assay were
developed in vitro. RESULTS: Twenty-four hours post-transplantation, MR imaging
(MRI) was able to detect hypointense cells in the occipital horn of the lateral
ventricle. The signal gradually decreased over 4 months and became undetectable
at 33 months. In vitro, no significant difference in cell sedimentation between
SPIO-labeled and unlabeled cells was observed (p = NS). An external magnet was
effective in attracting cells over distances comparable to the size of human
lateral ventricles. CONCLUSIONS: MR imaging of SPIO-labeled cells allows
monitoring of cells within lateral ventricles. While the initial biodistribution
is governed by gravity-driven sedimentation, an external magnetic field may
possibly be applied to further direct the distribution of labeled cells within
large fluid compartments such as the ventricular system.
PMID- 24919062
TI - Improving the accuracy and efficiency of respiratory rate measurements in
children using mobile devices.
AB - The recommended method for measuring respiratory rate (RR) is counting breaths
for 60 s using a timer. This method is not efficient in a busy clinical setting.
There is an urgent need for a robust, low-cost method that can help front-line
health care workers to measure RR quickly and accurately. Our aim was to develop
a more efficient RR assessment method. RR was estimated by measuring the median
time interval between breaths obtained from tapping on the touch screen of a
mobile device. The estimation was continuously validated by measuring consistency
(% deviation from the median) of each interval. Data from 30 subjects estimating
RR from 10 standard videos with a mobile phone application were collected. A
sensitivity analysis and an optimization experiment were performed to verify that
a RR could be obtained in less than 60 s; that the accuracy improves when more
taps are included into the calculation; and that accuracy improves when
inconsistent taps are excluded. The sensitivity analysis showed that excluding
inconsistent tapping and increasing the number of tap intervals improved the RR
estimation. Efficiency (time to complete measurement) was significantly improved
compared to traditional methods that require counting for 60 s. There was a trade
off between accuracy and efficiency. The most balanced optimization result
provided a mean efficiency of 9.9 s and a normalized root mean square error of
5.6%, corresponding to 2.2 breaths/min at a respiratory rate of 40 breaths/min.
The obtained 6-fold increase in mean efficiency combined with a clinically
acceptable error makes this approach a viable solution for further clinical
testing. The sensitivity analysis illustrating the trade-off between accuracy and
efficiency will be a useful tool to define a target product profile for any novel
RR estimation device.
PMID- 24919063
TI - Changes in the distribution of red foxes (Vulpes vulpes) in urban areas in Great
Britain: findings and limitations of a media-driven nationwide survey.
AB - Urbanization is one of the major forms of habitat alteration occurring at the
present time. Although this is typically deleterious to biodiversity, some
species flourish within these human-modified landscapes, potentially leading to
negative and/or positive interactions between people and wildlife. Hence, up-to
date assessment of urban wildlife populations is important for developing
appropriate management strategies. Surveying urban wildlife is limited by land
partition and private ownership, rendering many common survey techniques
difficult. Garnering public involvement is one solution, but this method is
constrained by the inherent biases of non-standardised survey effort associated
with voluntary participation. We used a television-led media approach to solicit
national participation in an online sightings survey to investigate changes in
the distribution of urban foxes in Great Britain and to explore relationships
between urban features and fox occurrence and sightings density. Our results show
that media-based approaches can generate a large national database on the current
distribution of a recognisable species. Fox distribution in England and Wales has
changed markedly within the last 25 years, with sightings submitted from 91% of
urban areas previously predicted to support few or no foxes. Data were highly
skewed with 90% of urban areas having <30 fox sightings per 1000 people km(-2).
The extent of total urban area was the only variable with a significant impact on
both fox occurrence and sightings density in urban areas; longitude and
percentage of public green urban space were respectively, significantly
positively and negatively associated with sightings density only. Latitude, and
distance to nearest neighbouring conurbation had no impact on either occurrence
or sightings density. Given the limitations associated with this method, further
investigations are needed to determine the association between sightings density
and actual fox density, and variability of fox density within and between urban
areas in Britain.
PMID- 24919064
TI - Assessing knowledge and attitudes of diabetes in Zuni Indians using a culture
centered approach.
AB - INTRODUCTION: The Zuni Pueblo, in collaboration with the University of New
Mexico, have formed the Zuni Health Initiative (ZHI) engaged in community-based
participatory research to plan and implement educational interventions to reduce
health disparities. We conducted the first phase of ZHI study and identified
barriers to healthcare. We concluded that the burden presented by these barriers
ultimately translates into a lack of patient activation and engagement in their
health care including for diabetes, effectively hindering adoption of healthy
behaviors. METHODS: Community health representatives (CHRs) led 10 one-hour focus
group sessions to elicit information on diabetes knowledge and self-management
strategies at which a total of 84 people participated. Audiotapes were translated
and transcribed by bilingual ZHI staff. We reduced the text to thematic
categories, constructed a coding dictionary and inserted the text into NVivo 9
program. RESULTS: The focus groups revealed that despite extensive personal or
family experiences with diabetes or complications, participants identified
knowledge gaps in the disease progression and disease management. However, we
gained insight into how many Zunis conceptualize the etiology of diabetes, risk
factors associated with diabetes, sources of knowledge and self-management
practices. CONCLUSION: We concluded that many of the Zuni diabetics experience
significant impacts on their life when they were diagnosed with diabetes and
suffered the plight of stigmatization. We further concluded that developing Zuni
culture specific diabetes care should focus on family involvement with continued
education.
PMID- 24919065
TI - Experimental and numerical investigation of the effect of different shapes of
collars on the reduction of scour around a single bridge pier.
AB - The scour phenomenon around bridge piers causes great quantities of damages
annually all over the world. Collars are considered as one of the substantial
methods for reducing the depth and volume of scour around bridge piers. In this
study, the experimental and numerical methods are used to investigate two
different shapes of collars, i.e, rectangular and circular, in terms of reducing
scour around a single bridge pier. The experiments were conducted in hydraulic
laboratory at university of Malaya. The scour around the bridge pier and collars
was simulated numerically using a three-dimensional, CFD model namely SSIIM 2.0,
to verify the application of the model. The results indicated that although, both
types of collars provides a considerable decrease in the depth of the scour, the
rectangular collar, decreases scour depth around the pier by 79 percent, and has
better performance compared to the circular collar. Furthermore, it was observed
that using collars under the stream's bed, resulted in the most reduction in the
scour depth around the pier. The results also show the SSIIM 2.0 model could
simulate the scour phenomenon around a single bridge pier and collars with
sufficient accuracy. Using the experimental and numerical results, two new
equations were developed to predict the scour depth around a bridge pier exposed
to circular and rectangular collars.
PMID- 24919066
TI - Meiotic recombination analyses of individual chromosomes in male domestic pigs
(Sus scrofa domestica).
AB - For the first time in the domestic pig, meiotic recombination along the 18
porcine autosomes was directly studied by immunolocalization of MLH1 protein. In
total, 7,848 synaptonemal complexes from 436 spermatocytes were analyzed, and
13,969 recombination sites were mapped. Individual chromosomes for 113 of the 436
cells (representing 2,034 synaptonemal complexes) were identified by
immunostaining and fluorescence in situ hybridization (FISH). The average total
length of autosomal synaptonemal complexes per cell was 190.3 um, with 32.0
recombination sites (crossovers), on average, per cell. The number of crossovers
and the lengths of the autosomal synaptonemal complexes showed significant intra-
(i.e. between cells) and inter-individual variations. The distributions of
recombination sites within each chromosomal category were similar: crossovers in
metacentric and submetacentric chromosomes were concentrated in the telomeric
regions of the p- and q-arms, whereas two hotspots were located near the
centromere and in the telomeric region of acrocentrics. Lack of MLH1 foci was
mainly observed in the smaller chromosomes, particularly chromosome 18 (SSC18)
and the sex chromosomes. All autosomes displayed positive interference, with a
large variability between the chromosomes.
PMID- 24919067
TI - Pharmacological investigations of N-substituent variation in morphine and
oxymorphone: opioid receptor binding, signaling and antinociceptive activity.
AB - Morphine and structurally related derivatives are highly effective analgesics,
and the mainstay in the medical management of moderate to severe pain.
Pharmacological actions of opioid analgesics are primarily mediated through
agonism at the u opioid peptide (MOP) receptor, a G protein-coupled receptor.
Position 17 in morphine has been one of the most manipulated sites on the
scaffold and intensive research has focused on replacements of the 17-methyl
group with other substituents. Structural variations at the N-17 of the morphinan
skeleton led to a diversity of molecules appraised as valuable and potential
therapeutics and important research probes. Discovery of therapeutically useful
morphine-like drugs has also targeted the C-6 hydroxyl group, with oxymorphone as
one of the clinically relevant opioid analgesics, where a carbonyl instead of a
hydroxyl group is present at position 6. Herein, we describe the effect of N
substituent variation in morphine and oxymorphone on in vitro and in vivo
biological properties and the emerging structure-activity relationships. We show
that the presence of a N-phenethyl group in position 17 is highly favorable in
terms of improved affinity and selectivity at the MOP receptor, potent agonism
and antinociceptive efficacy. The N-phenethyl derivatives of morphine and
oxymorphone were very potent in stimulating G protein coupling and intracellular
calcium release through the MOP receptor. In vivo, they were highly effective
against acute thermal nociception in mice with marked increased antinociceptive
potency compared to the lead molecules. It was also demonstrated that a carbonyl
group at position 6 is preferable to a hydroxyl function in these N-phenethyl
derivatives, enhancing MOP receptor affinity and agonist potency in vitro and in
vivo. These results expand the understanding of the impact of different moieties
at the morphinan nitrogen on ligand-receptor interaction, molecular mode of
action and signaling, and may be instrumental to the development of new opioid
therapeutics.
PMID- 24919068
TI - Can quality of life assessments differentiate heterogeneous cancer patients?
AB - PURPOSE: This research conducted a face validation study of patient responses to
the application of an HRQOL assessment research tool in a comprehensive community
cancer program setting across a heterogeneous cohort of cancer patients
throughout the natural history of diagnosed malignant disease, many of whom would
not be considered candidates for clinical research trial participation. METHODS:
Cancer registries at two regional cancer treatment centers identified 11072
cancer patients over a period of nine years. The EORTC QLQ-C30 was administered
to patients at the time of their initial clinical presentation to these centers.
To determine the significance of differences between patient subgroups, two
analytic criteria were used. The Mann-Whitney test was used to determine
statistical significance; clinical relevance defined a range of point differences
that could be perceived by patients with different health states. RESULTS:
Univariate analyses were conducted across stratification variables for
population, disease severity and demographic characteristics. The largest
differences were associated with cancer diagnosis and recurrence of disease.
Large differences were also found for site of origin, mortality and stage;
minimal differences were observed for gender and age. Consistently sensitive QoL
scales were appetite loss, fatigue and pain symptoms, and role (work-related),
social and physical functions. CONCLUSIONS: 1) The EORTC QLQ-C30 collected
meaningful patient health assessments in the context of non-research based
clinical care, 2) patient assessment differences are manifested disparately
across 15 QoL domains, and 3) in addition to indicating how a patient may feel at
a point in time, QoL indicators may also reveal information about underlying
biological responses to disease progression, treatments, and prospective
survival.
PMID- 24919070
TI - Dose finding with the sequential parallel comparison design.
AB - The sequential parallel comparison design (SPCD) is a two-stage design
recommended for trials with possibly high placebo response. A drug-placebo
comparison in the first stage is followed in the second stage by placebo
nonresponders being re-randomized between drug and placebo. We describe how SPCD
can be used in trials where multiple doses of a drug or multiple treatments are
compared with placebo and present two adaptive approaches. We detail how to
analyze data in such trials and give recommendations about the allocation
proportion to placebo in the two stages of SPCD.
PMID- 24919069
TI - Conventional housing conditions attenuate the development of experimental
autoimmune encephalomyelitis.
AB - BACKGROUND: The etiology of multiple sclerosis (MS) has remained unclear, but a
causative contribution of factors outside the central nervous system (CNS) is
conceivable. It was recently suggested that gut bacteria trigger the activation
of CNS-reactive T cells and the development of demyelinative disease. METHODS:
C57BL/6 (B6) mice were kept either under specific pathogen free or conventional
housing conditions, immunized with the myelin basic protein (MBP)-proteolipid
protein (PLP) fusion protein MP4 and the development of EAE was clinically
monitored. The germinal center size of the Peyer's patches was determined by
immunohistochemistry in addition to the level of total IgG secretion which was
assessed by ELISPOT. ELISPOT assays were also used to measure MP4-specific T cell
and B cell responses in the Peyer's patches and the spleen. Ear swelling assays
were performed to determine the extent of delayed-type hypersensitivity reactions
in specific pathogen free and conventionally housed mice. RESULTS: In B6 mice
that were actively immunized with MP4 and kept under conventional housing
conditions clinical disease was significantly attenuated compared to specific
pathogen free mice. Conventionally housed mice displayed increased levels of IgG
secretion in the Peyer's patches, while the germinal center formation in the gut
and the MP4-specific TH17 response in the spleen were diminished after
immunization. Accordingly, these mice displayed an attenuated delayed type
hypersensitivity (DTH) reaction in ear swelling assays. CONCLUSIONS: The data
corroborate the notion that housing conditions play a substantial role in the
induction of murine EAE and suggest that the presence of gut bacteria might be
associated with a decreased immune response to antigens of lower affinity. This
concept could be of importance for MS and calls for caution when considering the
therapeutic approach to treat patients with antibiotics.
PMID- 24919071
TI - Leveraging position bias to improve peer recommendation.
AB - With the advent of social media and peer production, the amount of new online
content has grown dramatically. To identify interesting items in the vast stream
of new content, providers must rely on peer recommendation to aggregate opinions
of their many users. Due to human cognitive biases, the presentation order
strongly affects how people allocate attention to the available content.
Moreover, we can manipulate attention through the presentation order of items to
change the way peer recommendation works. We experimentally evaluate this effect
using Amazon Mechanical Turk. We find that different policies for ordering
content can steer user attention so as to improve the outcomes of peer
recommendation.
PMID- 24919072
TI - Characterization of the xiamenmycin biosynthesis gene cluster in Streptomyces
xiamenensis 318.
AB - Xiamenmycin (1) is a prenylated benzopyran derivative with anti-fibrotic
activity. To investigate the genetic basis of xiamenmycin biosynthesis, we
performed genome mining in the xiamenmycin-producing Streptomyces xiamenensis
wild-type strain 318 to identify a candidate gene cluster. The complete gene
cluster, consisting of five genes, was confirmed by a series of gene
inactivations and heterologous expression. Based on bioinformatics analyses of
each gene and feeding experiments, we found that the structure of an intermediate
xiamenmycin B (3) accumulated in a ximA inactivation mutant, allowing us to
propose a biosynthetic pathway. All five of the genes in the pathway were
genetically and biochemically characterized. XimA was biochemically characterized
as an ATP-dependent amide synthetase, catalyzing an amide bond formation in the
presence of ATP as the final step in Xiamenmycin biosynthesis. The Km value of
XimA was determined to be 474.38 uM for the substrate xiamenmycin B. These
studies provide opportunities to use genetic and chemo-enzymatic methods to
create new benzopyran derivatives as potential therapeutic agents.
PMID- 24919073
TI - Mobility, continence, and life expectancy in persons with Asia Impairment Scale
Grade D spinal cord injuries.
AB - OBJECTIVE: Previous research on the life expectancy of persons with American
Spinal Injury Association (ASIA) Impairment Scale Grade D spinal cord injury has
considered them as a large homogenous group, making no functional or medical
distinctions. This study sought to (1) determine how survival in this group
depends on ambulatory function and the extent of bowel or bladder dysfunction,
(2) compute life expectancies for various subgroups, and (3) examine whether
survival has improved over time. DESIGN: Data were from 8,206 adults with ASIA
Impairment Scale Grade D spinal cord injury in the Spinal Cord Injury Model
Systems database who were not ventilator dependent and who survived more than 1
yr after injury. There were a total of 114,739 person-years of follow-up and
1,730 deaths during the 1970-2011 study period. Empirical age- and sex-specific
mortality rates were computed. Regression analysis of survival data with time
dependent covariates was used to determine the effect of risk factors, to test
for a time trend, and to estimate mortality rates for subgroups. Life
expectancies were obtained from life tables constructed for each subgroup.
RESULTS: The ability to walk, whether independently or with an assistive device,
was associated with longer survival than wheelchair dependence. The need for an
indwelling catheter, and to a lesser extent intermittent catheterization, was
associated with increased mortality risk. Persons who walked unaided and who did
not require catheterization had life expectancies roughly 90% of normal. Those
who required a wheelchair for locomotion had life expectancies comparable with
that in paraplegia, less than 75% of normal. No time trend in survival was found.
CONCLUSIONS: Life expectancy of persons with ASIA Impairment Scale D spinal cord
injury depends strongly on the ability to walk and the need for catheterization.
PMID- 24919074
TI - RE: Effectiveness of extracorporeal shock wave therapy in chronic plantar
fasciitis.
PMID- 24919075
TI - Energy cost of obstacle crossing in stroke patients.
AB - OBJECTIVE: The purpose of this study was to analyze the effects of clearing and
skirting obstacles during the gait on the energetic cost of walking (ECW) of
patients with chronic hemiplegia. The hypothesis was that hemiplegia would have a
greatest increase in the ECW than in the healthy group. DESIGN: Fifteen healthy
subjects and 17 patients with chronic hemiplegia completed two 6-min walking
sessions: one with obstacles and the other without obstacles. During both
sessions, the patients were equipped with a portable gas analyzer to measure
oxygen uptake (Vo2). Gait velocity and ECW were calculated. RESULTS: In both
groups, gait velocity was lower in the with-obstacles condition and the ECW was
significantly higher. Vo2 was greater in the with-obstacles condition for the
healthy group, whereas it remained unchanged for the group with hemiplegia.
CONCLUSIONS: Results demonstrated that the addition of obstacles during gait
increased the ECW and decreased mean walking speed in both the healthy subjects
and the patients with hemiplegia. More interestingly, the authors found
differences in adaptation strategies between the healthy subjects and the
patients with hemiplegia. During the with-obstacles condition, the oxygen uptakes
of the healthy subjects increased and mean walking speed decreased, whereas, in
the subjects with hemiplegia, only mean walking speed decreased.
PMID- 24919076
TI - Recovery of injured oculomotor nerve in a patient with intracerebral hemorrhage.
PMID- 24919077
TI - Transcranial direct current stimulation to primary motor area improves hand
dexterity and selective attention in chronic stroke.
AB - OBJECTIVE: The aim of this study was to determine whether transcranial direct
current stimulation (tDCS) applied to the primary motor hand area modulates hand
dexterity and selective attention after stroke. DESIGN: This study was a double
blind, placebo-controlled, randomized crossover trial involving subjects with
chronic stroke. Ten stroke survivors with some pinch strength in the paretic hand
received three different tDCS interventions assigned in random order in separate
sessions-anodal tDCS targeting the primary motor area of the lesioned hemisphere
(M1lesioned), cathodal tDCS applied to the contralateral hemisphere
(M1nonlesioned), and sham tDCS-each for 20 mins. The primary outcome measures
were Purdue pegboard test scores for hand dexterity and response time in the
color-word Stroop test for selective attention. Pinch strength of the paretic
hand was the secondary outcome. RESULTS: Cathodal tDCS to M1nonlesioned
significantly improved affected hand dexterity (by 1.1 points on the Purdue
pegboard unimanual test, P = 0.014) and selective attention (0.6 secs faster
response time on the level 3 Stroop interference test for response inhibition, P
= 0.017), but not pinch strength. The outcomes were not improved with anodal tDCS
to M1lesioned or sham tDCS. CONCLUSIONS: Twenty minutes of cathodal tDCS to
M1nonlesioned can promote both paretic hand dexterity and selective attention in
people with chronic stroke.
PMID- 24919078
TI - Can American Board of Physical Medicine and Rehabilitation Part 2 board
examination scores be predicted from rotation evaluations or mock oral
examinations?
AB - OBJECTIVE: The aim of this study was to determine whether either Physical
Medicine and Rehabilitation residency performance on core competency evaluations
or on practice mock oral examinations is correlated to performance on future
American Board of Physical Medicine and Rehabilitation Part 2 board-certifying
examination. DESIGN: This is a retrospective cohort study of residents who took
part 2 of the American Board of Physical Medicine and Rehabilitation
certification examination between 1995 and 2011 (N = 31 or 38 or 67). RESULTS:
The postgraduate year 4 mock oral examination average achieved significance in
correlation analysis (Spearman rho, 0.0391; P = 0.030). Patient care and a
composite average of the other core competencies evaluations were also
significantly correlated with performance on part 2 of the board-certifying
examination (Spearman rho, 0.329; P = 0.044). The only independent variable that
was uniquely predictive was postgraduate year 4 mock oral examinations (chi =
7.09; P = 0.029). More specifically, when controlling for rotation performances,
residents with higher mock oral examination scores were 9.6 times (Exp B = 9.6;
95% confidence interval, 1.2-80; P = 0.036) more likely than those one grade
lower to achieve the upper half on oral board examinations vs. either of the
lower 2 quartiles. CONCLUSIONS: The postgraduate year 4 mock oral examinations
and the core competency evaluations composite are each predictive of performance
on American Board of Physical Medicine and Rehabilitation part 2 examination.
Further research into this area, with a larger sample size and with multiple
institutions, would be helpful to allow for a better measurement of these
evaluation tools' effectiveness.
PMID- 24919079
TI - Interface stress in socket/residual limb with transtibial prosthetic suspension
systems during locomotion on slopes and stairs.
AB - OBJECTIVE: This study aimed to compare the effects of different suspension
methods on the interface stress inside the prosthetic sockets of transtibial
amputees when negotiating ramps and stairs. DESIGN: Three transtibial prostheses,
with a pin/lock system, a Seal-In system, and a magnetic suspension system, were
created for the participants in a prospective study. Interface stress was
measured as the peak pressure by using the F-socket transducers during stairs and
ramp negotiation. RESULTS: Twelve individuals with transtibial amputation managed
to complete the experiments. During the stair ascent and descent, the greatest
peak pressure was observed in the prosthesis with the Seal-In system. The
magnetic prosthetic suspension system caused significantly different peak
pressure at the anterior proximal region compared with the pin/lock (P = 0.022)
and Seal-In (P = 0.001) during the stair ascent. It was also observed during the
stair descent and ramp negotiation. CONCLUSIONS: The prostheses exhibited varying
pressure profiles during the stair and ramp ascent. The prostheses with the
pin/lock and magnetic suspension systems exhibited lower peak pressures compared
with the Seal-In system. The intrasystem pressure distribution at the anterior
and posterior regions of the residual limb was fairly homogenous during the stair
and ramp ascent and descent. Nevertheless, the intrasystem pressure mapping
revealed a significant difference among the suspension types, particularly at the
anterior and posterior sensor sites.
PMID- 24919080
TI - Ankle muscular proprioceptive signals' relevance for balance control on various
support surfaces: an exploratory study.
AB - OBJECTIVE: The purpose of this study was to test the effect of various unstable
support surfaces on the relevance of muscular proprioceptive signals originating
from the ankle joint. DESIGN: Ten healthy subjects were instructed to stand as
still as possible on a force plate during 40 secs on three different surfaces:
(1) stable, (2) unstable-unspecific (foam), and (3) unstable-specific (inspired
from rearfoot anatomy). Muscular vibration was applied on the paraspinals and
fibularis muscles. The effects of vibrations on postural stability as well as
fibularis longus and tibialis anterior electrical activities for each support
condition were investigated. RESULTS: The unstable-specific support surface was
associated with higher fibularis muscular activity and greater postural
perturbations when fibularis muscles were vibrated than the unspecific-unstable
surface. CONCLUSION: Balance control on unstable-specific support surface
maintains the relevance on muscular proprioceptive signals originating from ankle
and increases ankle evertor muscle activity.
PMID- 24919081
TI - Ganglion cyst at the fibular head causing common peroneal neuropathy diagnosed
with ultrasound and electrodiagnostic examination: a case report.
AB - The common peroneal nerve is a major source of innervation to the lower limb, but
it is sometimes compressed or entrapped at the fibular head. The authors present
what they believe is the first reported case where peroneal nerve impingement
caused by ganglion cyst compression of the nerve at the fibular head was
diagnosed using a combination of ultrasound imaging and electrodiagnostic
studies. The authors described the history, physical examination,
electrodiagnostic findings, and musculoskeletal ultrasound findings of a patient
with a left foot drop caused by a ganglion cyst compressing the common peroneal
nerve at the fibular head. The increasing role of ultrasound imaging to evaluate
musculoskeletal pathology is discussed.
PMID- 24919082
TI - A descriptive comparison of sprint cycling performance and neuromuscular
characteristics in able-bodied athletes and paralympic athletes with cerebral
palsy.
AB - OBJECTIVE: This study investigated the sprint cycling performance and
neuromuscular characteristics of Paralympic athletes with cerebral palsy (CP)
during a fatiguing maximal cycling trial compared with those of able-bodied (AB)
athletes. DESIGN: Five elite athletes with CP and 16 AB age- and performance
matched controls performed a 30-sec Wingate cycle test. Power output (W/kg) and
fatigue index (%) were calculated. Electromyography was measured in five
bilateral muscles and expressed in mean amplitude (mV) and median frequency (Hz).
RESULTS: Power output was significantly higher in the AB group (10.4 [0.5] W/kg)
than in the CP group (9.8 [0.5] W/kg) (P < 0.05). Fatigue index was statistically
similar between the AB (27% [0.1%]) and CP (25% [0.1%]) groups. Electromyographic
mean amplitude and frequency changed similarly in all muscle groups tested, in
both affected and nonaffected sides, in the CP and AB groups (P < 0.05).
Neuromuscular irregularities were identified in the CP group. CONCLUSIONS: The
similarity in fatigue between the CP and AB groups indicates that elite athletes
with CP may have a different exercise response to others with CP. The authors
propose that this may result from high-level training over many years. This has
rehabilitative implications, as it indicates near-maximal adaptation of the CP
body toward normal levels.
PMID- 24919083
TI - Urogenital pruritus in association with lumbar spinal stenosis.
PMID- 24919084
TI - Ischiofemoral impingement revisited: what physiatrists need to know in short.
PMID- 24919085
TI - Ultrasound imaging of the accessory nerve injury in a patient with thrombotic
thrombocytopenic purpura and polyneuropathy.
PMID- 24919086
TI - Ultrastable PbSe nanocrystal quantum dots via in situ formation of atomically
thin halide adlayers on PbSe(100).
AB - The fast degradation of lead selenide (PbSe) nanocrystal quantum dots (NQDs) in
ambient conditions impedes widespread deployment of the highly excitonic, thus
versatile, colloidal NQDs. Here we report a simple in situ post-synthetic halide
salt treatment that results in size-independent air stability of PbSe NQDs
without significantly altering their optoelectronic characteristics. From TEM,
NMR, and XPS results and DFT calculations, we propose that the unprecedented size
independent air stability of the PbSe NQDs can be attributed to the successful
passivation of under-coordinated PbSe(100) facets with atomically thin PbX2 (X =
Cl, Br, I) adlayers. Conductive films made of halide-treated ultrastable PbSe
NQDs exhibit markedly improved air stability and behave as an n-type channel in a
field-effect transistor. Our simple in situ wet-chemical passivation scheme will
enable broader utilization of PbSe NQDs in ambient conditions in many
optoelectronic applications.
PMID- 24919087
TI - The prevalence and risk factors for depression symptoms in a rural Chinese sample
population.
AB - BACKGROUND: It is essential to understand how we can prevent and treat the
epidemic of depression. Several studies have reported the prevalence of
depressive symptoms in the urban population in China, but there is a lack of
information regarding the prevalence of depression in rural populations.
OBJECTIVE: To understand the prevalence of depression in a rural Chinese
population and to analyze the risk factors for depression. METHODS: This study
used a cross-sectional approach. A total of 11,473 subjects were surveyed and
completed the Patient Health Questionnaire-9 (PHQ-9) and the World Health
Organization Quality of Life Brief scales. Living conditions, per capita income,
marital status, and information about dietary health and chronic disease status
were assessed. RESULTS: The prevalence of depressive symptoms in the population
was 5.9%. The prevalence in women (8.1%) was higher compared with men (3.5%) and
also increased with age. The per capita income level, amount of sleep obtained
per day, education level, weekly consumption of meat and beans or bean products,
salt intake, and chronic disease status were associated with depressive symptoms.
The quality of life of individuals with a score less than 10 points on the PHQ-9
was significantly better compared with individuals with a score greater than 10.
CONCLUSION: The prevalence of depressive symptoms among rural population is
higher than some southern cities in China. Dietary patterns may be an important
risk factor linked to this disorder in the Chinese rural population.
PMID- 24919088
TI - Hospital based emergency department visits attributed to child physical abuse in
United States: predictors of in-hospital mortality.
AB - OBJECTIVES: To describe nationally representative outcomes of physical abuse
injuries in children necessitating Emergency Department (ED) visits in United
States. The impact of various injuries on mortality is examined. We hypothesize
that physical abuse resulting in intracranial injuries are associated with worse
outcome. MATERIALS AND METHODS: We performed a retrospective analysis of the
Nationwide Emergency Department Sample (NEDS), the largest all payer hospital
based ED database, for the years 2008-2010. All ED visits and subsequent
hospitalizations with a diagnosis of "Child physical abuse" (Battered baby or
child syndrome) due to various injuries were identified using ICD-9-CM
(International Classification of Diseases, 9th Revision, Clinical Modification)
codes. In addition, we also examined the prevalence of sexual abuse in this
cohort. A multivariable logistic regression model was used to examine the
association between mortality and types of injuries after adjusting for a
multitude of patient and hospital level factors. RESULTS: Of the 16897 ED visits
that were attributed to child physical abuse, 5182 (30.7%) required
hospitalization. Hospitalized children were younger than those released treated
and released from the ED (1.9 years vs. 6.4 years). Male or female partner of the
child's parent/guardian accounted for >45% of perpetrators. Common injuries in
hospitalized children include- any fractures (63.5%), intracranial injuries
(32.3%) and crushing/internal injuries (9.1%). Death occurred in 246 patients (13
in ED and 233 following hospitalization). Amongst the 16897 ED visits, 1.3% also
had sexual abuse. Multivariable analyses revealed each 1 year increase in age was
associated with a lower odds of mortality (OR = 0.88, 95% CI = 0.81-0.96, p <
0.0001). Females (OR = 2.39, 1.07-5.34, p = 0.03), those with intracranial
injuries (OR = 65.24, 27.57-154.41, p<0.0001), or crushing/internal injury (OR =
4.98, 2.24-11.07, p<0.0001) had higher odds of mortality compared to their male
counterparts. CONCLUSIONS: In this large cohort of physically abused children,
younger age, females and intracranial or crushing/internal injuries were
independent predictors of mortality. Identification of high risk cohorts in the
ED may enable strengthening of existing screening programs and optimization of
outcomes.
PMID- 24919089
TI - Macuahuitloides inexpectans n. gen., n. sp. (Molineidae: Anoplostrongylinae) from
Mormoops megalophylla (Chiroptera: Mormoopidae).
AB - Macuahuitloides inexpectans n. gen., n. sp. (Molineidae: Anoplostrongylinae) is
herein described. The description is based on specimens removed from the small
intestine of ghost-faced bats, Mormoops megalophylla, from central Mexico. The
monotypic genus is unique in featuring sexual dimorphism on the cuticular
ornamentation, which consists of the presence of spines on the anterior quarter
of females, and of the presence of rugosities on the surface of the cephalic
vesicle of males. The cuticular spines are arranged in spiral rings on the
anterior quarter of the body, and there is no trace of said structures on the
cuticle of males. The synlophe of the males possess 12 ridges, whereas there is
no synlophe in females. Finally, females show a prominent caudal terminus (spine)
and 3 subterminal tubercles, whereas males show bursal rays in a 3-2 arrangement,
with a relatively prominent dorsal ray.
PMID- 24919090
TI - What's your hunch about improving health care?
PMID- 24919091
TI - Innovating Mickey Mouse.
PMID- 24919092
TI - Spiritual uncertainty: exemplars of 2 hospice patients.
AB - Spirituality is important to persons approaching the end of life. The ambiguous
nature of dying and spirituality creates many opportunities for uncertainty. This
article presents 2 exemplars from hospice patients about the different ways that
spiritual uncertainty affected their dying experience.
PMID- 24919093
TI - Paired practica as a pedagogical process in holistic nursing curriculum
development.
AB - Nurses have long advocated for significant transformations in the way that care
is offered. Among advanced holistic nursing programs, there are no particular
models for developing curricula and practica. This article describes a
pedagogical process of a holistic health assessment as a context for paired
practica of graduate and undergraduate nursing students to simultaneously engage
in knowledge discovery.
PMID- 24919094
TI - The effect of royal jelly on oral mucositis in patients undergoing radiotherapy
and chemotherapy.
AB - This study was conducted to evaluate the effect of royal jelly on oral mucositis
in patients undergoing radiotherapy and chemotherapy. The study population
consisted of 103 patients undergoing radiotherapy and chemotherapy. Oral
mucositis was graded according to the World Health Organization criteria, and
patients were divided into 2 groups. All patients received mouthwash therapy with
benzydamine hydrochloride and nystatin rinses. In addition, patients in the
experimental group received royal jelly. The mean resolution time of oral
mucositis in the royal jelly group was significantly shorter than that of the
control group. As a result, the study results demonstrate that royal jelly
administrated by a certain procedure improved the signs and symptoms of oral
mucositis and markedly shortened its healing time.
PMID- 24919095
TI - The effect of Sit 'n' Fit Chair Yoga among community-dwelling older adults with
osteoarthritis.
AB - The study measured effects of Sit 'N' Fit Chair Yoga on pain and physical and
psychological functioning. A quasi-experimental research design included a yoga
intervention group and an attention control group. There was greater improvement
in depression and life satisfaction in the yoga group than in the control group.
PMID- 24919096
TI - Quality of life in women with breast cancer and the use of complementary and
alternative medicine.
AB - The aim of this study was to evaluate quality of life and complementary and
alternative medicine use among patients with breast cancer in Turkey. The sample
consists of women who had modified undergone radical mastectomy or breast
conserving surgery. Data were collected using a questionnaire addressing
personal/medical characteristics use of complementary and alternative medicine
and the 36-Item Short Form Health Survey (SF-36) to measure quality of life. A
majority of subjects underwent modified radical mastectomy procedures. The social
and physical mean scores were the highest and role physical and role emotional
mean score were the lowest. The most common complementary and alternative methods
were praying, exercise, and herbs use. In conclusion, women with breast cancer
have a moderate level of quality of life, and that they use complementary and
alternative methods widely.
PMID- 24919097
TI - The effect of relaxation exercises on quality of sleep among the elderly:
holistic nursing practice review copy.
AB - The aim of this study was to determine the effect of relaxation exercises on
quality of sleep of the elderly people staying in a nursing home. Control group
had 44 elderly persons and the intervention group had 20 elderly persons in a
nursing home. Quality of sleep in the intervention group increased statistically
(P = .000). The elderly people should be encouraged to perform relaxation
exercises.
PMID- 24919098
TI - Psychophytomedicine: an overview of clinical efficacy and phytopharmacology for
treatment of depression, anxiety and insomnia.
PMID- 24919099
TI - Microdosimetric analysis confirms similar biological effectiveness of external
exposure to gamma-rays and internal exposure to 137Cs, 134Cs, and 131I.
AB - The risk of internal exposure to 137Cs, 134Cs, and 131I is of great public
concern after the accident at the Fukushima-Daiichi nuclear power plant. The
relative biological effectiveness (RBE, defined herein as effectiveness of
internal exposure relative to the external exposure to gamma-rays) is
occasionally believed to be much greater than unity due to insufficient
discussions on the difference of their microdosimetric profiles. We therefore
performed a Monte Carlo particle transport simulation in ideally aligned cell
systems to calculate the probability densities of absorbed doses in subcellular
and intranuclear scales for internal exposures to electrons emitted from 137Cs,
134Cs, and 131I, as well as the external exposure to 662 keV photons. The RBE due
to the inhomogeneous radioactive isotope (RI) distribution in subcellular
structures and the high ionization density around the particle trajectories was
then derived from the calculated microdosimetric probability density. The RBE for
the bystander effect was also estimated from the probability density, considering
its non-linear dose response. The RBE due to the high ionization density and that
for the bystander effect were very close to 1, because the microdosimetric
probability densities were nearly identical between the internal exposures and
the external exposure from the 662 keV photons. On the other hand, the RBE due to
the RI inhomogeneity largely depended on the intranuclear RI concentration and
cell size, but their maximum possible RBE was only 1.04 even under conservative
assumptions. Thus, it can be concluded from the microdosimetric viewpoint that
the risk from internal exposures to 137Cs, 134Cs, and 131I should be nearly
equivalent to that of external exposure to gamma-rays at the same absorbed dose
level, as suggested in the current recommendations of the International
Commission on Radiological Protection.
PMID- 24919100
TI - Safety and efficacy of intrastromal injection of 5% natamycin in experimental
fusarium keratitis.
AB - PURPOSE: To compare the efficacy of combined intrastromal injection and topical
natamycin 5% to standard topical therapy alone in an experimental rabbit model of
Fusarium keratitis. METHODS: Fungal keratitis was induced in the right eyes of 12
New Zealand rabbits by stromal injection of Fusarium solani spore suspension into
the cornea. Four days after inoculation, animals were randomly assigned to 2
different treatment groups (n=6 in each group). The study group received
intrastromal injections of natamycin 5% on treatment day 1 and 4, combined with
topical natamycin 5% eye drops given hourly between 8:00 and 20:00 for the first
2 days, followed by 4 times daily on days 3-11. The control group received only
topical natamycin 5% at identical intervals. Eyes were examined clinically on
days 1, 4, 7, and 11 for status of corneal healing, corneal vascularization, and
hypopyon. Animals were sacrificed on day 11, and corneas were subjected to
histopathological examination. RESULTS: Both groups showed significant
improvement in terms of conjunctival hyperemia, size and density of corneal
infiltrate, corneal edema, and total clinical score. In the study group, there
was a significant improvement in the height of hypopyon in the anterior chamber,
while there was also an increased amount of vascularization. CONCLUSIONS: This
study showed that intrastromal injection of natamycin 5% combined with topical
treatment has little beneficial effect over topical therapy in a Fusarium
keratitis rabbit model. The addition of intrastromal injection should be reserved
to the most severe or recalcitrant cases.
PMID- 24919101
TI - From the editor.
PMID- 24919102
TI - Balance training in elderly women using public parks.
AB - This study evaluates the effects of a balance training program developed in
public parks on functionality and general state of health in elderly women. It
was a randomized controlled trial. Women older than 65 years (n = 28; 68.5 +/-
2.9) participated in a balance training program that lasted 6 weeks, with
sessions taking place twice a week (12 exercises/session, 50 min). Balance was
analyzed by the Berg Balance Scale and Timed Up & Go Test. The generic health
status was measured by the SF-12 Health Survey. These tests showed statistically
significant differences in the experimental group (p < .05). Public parks are
adequate installations for developing balance.
PMID- 24919103
TI - The relationships between activity and quality of life for older men and women at
different ages in Taiwan.
AB - This study investigated the relationships between types of activity and quality
of life (QOL) for older men and women at different ages. Based on cross-sectional
data that included 220 community-dwelling elderly persons aged 65 and older in
southern Taiwan, the results showed that the participation rates in many
activities declined with age for both genders. Social activity and solitary
leisure activity were significantly associated with QOL for old-old men, but not
for young-old men. Only social activity was significantly associated with QOL for
young-old women, but there was no significant association between any activity
and QOL for old-old women.
PMID- 24919104
TI - Religion in the lives of older women serving life in prison.
AB - This exploratory qualitative study examined the roles of religiousness in the
lives of 21 older women (mean age = 63) serving life sentences in one southern
state. Based on survey and focus group methodology, several themes emerged as
important focal points, including early religious experiences prior to
incarceration, imprisonment and religious doubt, participation in formal and
informal religious activities, and use of religion in coping with stressors such
as interpersonal relationships, trauma, and health statuses. Irrespective of
their religious upbringing, all participants agreed that religiosity/spirituality
played a key role in their ability to cope with their prison experience as well
as for maintaining hope and the opportunity for release.
PMID- 24919105
TI - Seeking and processing information for health decisions among elderly Chinese
Singaporean women.
AB - Information behavior includes activities of active information seeking, passive
acquisition of information, and information use. Guided by the Elaboration
Likelihood Model, this study explored elderly Singaporean women's health
information behavior to understand how they sought, evaluated, and used health
information in everyday lives. Twenty-two in-depth interviews were conducted with
elderly Chinese women aged 61 to 79. Qualitative analysis of the interview data
yielded three meta-themes: information-seeking patterns, trustworthiness of
health information, and peripheral route of decision making. Results revealed
that elderly women took both systematic and heuristic approaches to processing
information but relied on interpersonal networks to negotiate health choices.
PMID- 24919106
TI - State of inertia: psychological preparation of single Australian and UK baby
boomer women for retirement housing change.
AB - The transition into retirement is an important life phase that presents
significant challenges in respect to well-being, lifestyle, and consumption
choices. This article examines the consumption context of housing after
retirement, in particular for the low-resourced cohort of single baby boomer
women. Utilizing an extended Theory of Planned Behavior model, we examine the
relationship between intention and actual behavior, in this case financial advice
seeking, as an important component of the psychological preparedness of single
female baby boomer women. Our analysis showed both Australian and UK single baby
boomer women display different behaviors in terms of seeking advice and their
mental preparedness to adjust to a change in their living arrangements. The
findings are discussed in terms of their implications for policy and further
research.
PMID- 24919109
TI - Use of amyloid PET across the spectrum of Alzheimer's disease: clinical utility
and associated ethical issues.
AB - Abstract Recent advances have made possible the in vivo detection of beta-amyloid
(Abeta) pathology using positron emission tomography. While the gold standard for
amyloid imaging, carbon-11 labeled Pittsburgh compound B is increasingly being
replaced by fluorine-18 labeled radiopharmaceuticals, with three already approved
for clinical use by US and European regulatory bodies. Appropriate use criteria
proposed by an amyloid imaging taskforce convened by the Alzheimer's Association
and the Society of Nuclear Medicine and Molecular Imaging recommend restricting
use of this technology to the evaluation of patients with mild cognitive
impairment or atypical dementia syndromes. While use among asymptomatic
individuals is currently viewed as inappropriate due prognostic uncertainty,
elevated levels of brain Abeta among asymptomatic individuals may represent
preclinical Alzheimer's disease. Amyloid imaging is likewise expected to play a
role in the design of clinical trials. Though preliminary results suggest amyloid
imaging to possess clinical utility and cost-effectiveness, both domains have yet
to be assessed systematically. As the field moves toward adoption of a pro
disclosure stance for amyloid imaging findings, it is imperative that a broad
range of stakeholders be involved to ensure the appropriateness of emerging
policies and protocols.
PMID- 24919110
TI - A survey of innovation through duplication in the reduced genomes of twelve
parasites.
AB - We characterize the prevalence, distribution, divergence, and putative functions
of detectable two-copy paralogs and segmental duplications in the Apicomplexa, a
phylum of parasitic protists. Apicomplexans are mostly obligate intracellular
parasites responsible for human and animal diseases (e.g. malaria and
toxoplasmosis). Gene loss is a major force in the phylum. Genomes are small and
protein-encoding gene repertoires are reduced. Despite this genomic streamlining,
duplications and gene family amplifications are present. The potential for
innovation introduced by duplications is of particular interest. We compared
genomes of twelve apicomplexans across four lineages and used orthology and
genome cartography to map distributions of duplications against genome
architectures. Segmental duplications appear limited to five species. Where
present, they correspond to regions enriched for multi-copy and species-specific
genes, pointing toward roles in adaptation and innovation. We found a phylum-wide
association of duplications with dynamic chromosome regions and syntenic
breakpoints. Trends in the distribution of duplicated genes indicate that recent,
species-specific duplicates are often tandem while most others have been
dispersed by genome rearrangements. These trends show a relationship between
genome architecture and gene duplication. Functional analysis reveals: proteases,
which are vital to a parasitic lifecycle, to be prominent in putative recent
duplications; a pair of paralogous genes in Toxoplasma gondii previously shown to
produce the rate-limiting step in dopamine synthesis in mammalian cells, a
possible link to the modification of host behavior; and phylum-wide differences
in expression and subcellular localization, indicative of modes of divergence. We
have uncovered trends in multiple modes of duplicate divergence including
sequence, intron content, expression, subcellular localization, and functions of
putative recent duplicates that highlight the role of duplications in the
continuum of forces that have shaped these genomes.
PMID- 24919111
TI - Oxygenation response to positive end-expiratory pressure predicts mortality in
acute respiratory distress syndrome. A secondary analysis of the LOVS and ExPress
trials.
AB - RATIONALE: Previous trials of higher positive end-expiratory pressure (PEEP) for
acute respiratory distress syndrome (ARDS) failed to demonstrate mortality
benefit, possibly because of differences in lung recruitability among patients
with ARDS. OBJECTIVES: To determine whether the physiological response to
increased PEEP is associated with mortality. METHODS: In a secondary analysis of
the Lung Open Ventilation Study (LOVS, n = 983), we examined the relationship
between the initial response to changes in PEEP after randomization and
mortality. We sought to corroborate our findings using data from a different
trial of higher PEEP (ExPress, n = 749). MEASUREMENTS AND MAIN RESULTS: The
oxygenation response (change in ratio of arterial partial pressure of oxygen to
fraction of inspired oxygen: P/F) after the initial change in PEEP after
randomization varied widely (median, 9.5 mm Hg; interquartile range, -16 to 47)
and was only weakly related to baseline P/F or the magnitude of PEEP change.
Among patients in whom PEEP was increased after randomization, an increase in P/F
was associated with reduced mortality (multivariable logistic regression;
adjusted odds ratio, 0.80 [95% confidence interval, 0.72-0.89] per 25-mm Hg
increase in P/F), particularly in patients with severe disease (baseline P/F
[less-than-or-equal-to] 150 mm Hg). Changes in compliance and dead space were not
associated with mortality. These findings were confirmed by a similar analysis of
data from the ExPress trial. CONCLUSIONS: Patients with ARDS who respond to
increased PEEP by improved oxygenation have a lower risk of death. The
oxygenation response to PEEP might be used to predict whether patients will
benefit from higher versus lower PEEP.
PMID- 24919112
TI - A comparison of nifedipine and tamsulosin as medical expulsive therapy for the
management of lower ureteral stones without ESWL.
AB - Administration of nifedipine or tamsulosin has been suggested to augment stone
expulsion rates. We aimed to compare the stone expulsion rates and adverse
effects associated with the use of nifedipine or tamsulosin as medical expulsive
therapy (MET) for the management of lower ureteral stones (LUS) without
extracorporeal shock wave lithotripsy (ESWL) via a literature review and meta
analysis. Relevant randomized controlled trials (RCTs) were identified from the
Medline, EMBASE, Cochrane CENTRAL, and Google Scholar databases. Finally, a total
of 7 RCTs with 3897 patients were included. Our meta-analysis showed that
tamsulosin could significantly increase the stone expulsion rate relative to
nifedipine in patients with LUS (random-effects model; risk ratio [RR] = 0.81;
95% confidence interval [CI] = 0.75-0.88; P < 0.00001). The subgroup analysis
indicated no statistically significant difference between the drugs with regard
to minor or major adverse effects (fixed-effect model; RR = 1.19, 95% CI = 0.91
1.54, P = 0.20; and RR = 1.63, 95% CI = 0.22-11.82, P = 0.63, respectively). This
meta-analysis demonstrated that tamsulosin was more effective than nifedipine in
patients with LUS, as evidenced by the higher stone expulsion rate. Tamsulosin
treatment should therefore be considered for patients with LUS.
PMID- 24919113
TI - Dissolved organic matter quality and bioavailability changes across an
urbanization gradient in headwater streams.
AB - Landscape urbanization broadly alters watersheds and stream ecosystems, yet the
impact of nonpoint source urban inputs on the quantity, quality, and ultimate
fate of dissolved organic matter (DOM) is poorly understood. We assessed DOM
quality and microbial bioavailability in eight first-order Coastal Plain
headwater streams along a gradient of urbanization (i.e., percent watershed
impervious cover); none of the streams had point source discharges. DOM quality
was measured using fluorescence excitation-emission matrices (EEMs) coupled with
parallel factor analysis (PARAFAC). Bioavailability was assessed using
biodegradable dissolved organic carbon (BDOC) incubations. Results showed that
watershed impervious cover was significantly related to stream DOM composition:
increasing impervious cover was associated with decreased amounts of natural
humic-like DOM and enriched amounts of anthropogenic fulvic acid-like and protein
like DOM. Microbial bioavailability of DOM was greater in urbanized streams
during spring and summer, and was related to decreasing proportions of humic-like
DOM and increasing proportions of protein-like DOM. Increased bioavailability was
associated with elevated extracellular enzyme activity of the initial microbial
community supplied to samples during BDOC incubations. These findings indicate
that changes in stream DOM quality due to watershed urbanization may impact
stream ecosystem metabolism and ultimately the fate of organic carbon transported
through fluvial systems.
PMID- 24919114
TI - Tissue engineering of a vascularized bone graft of critical size with an
osteogenic and angiogenic factor-based in vivo bioreactor.
AB - Engineering a large vascularized bone graft is a much greater challenge than
engineering small bone tissues. Although this is essentially feasible through an
osteogenic factor-based in vivo bioreactor technique, the ossification needs
improving. This study was aimed to investigate the possibility and efficacy of
ectopic cultivation of sizeable bone grafts with large angiogenic and osteogenic
factor-loaded natural bovine bone mineral (NBBM) scaffolds. For this purpose, six
groups of sizeable composite scaffolds were constructed, consisting of a titanium
mesh cage of NBBM or a mixture of NBBM/autogenous bone particles (AB), which were
preloaded with 660 MUg recombinant human bone morphogenetic protein-7 (rhBMP-7)
and/or 4 MUg recombinant human vascular endothelial growth factor165 (rhVEGF165).
The scaffolds were implanted in bilateral latissimus dorsi muscles in eight pigs
to construct in vivo bioreactors. Sequential fluorescence labeling was then
applied to trace bone formation at the early stage. The implants were retrieved
12 weeks later. The undecalcified sections were observed in turn under the
fluorescence microscope and light microscope to investigate early stage
osteogenesis and histology. Moreover, new bone density (BD) was measured with
histomorphometry. Compared with rhBMP-7-delivered NBBM scaffolds, rhVEGF165/rhBMP
7-delivered NBBM scaffolds were with more intense intra-scaffold osteogenesis at
the early stage and the ultimate sizeable bone grafts of microstructurally more
lamellae and trabeculae, and quantitatively higher BD (31.93% vs. 22.37%,
p<0.01). This study demonstrated that as for the endocultivation of a large bone
graft with bioactive factor-based in vivo bioreactor technique, dual delivery of
rhVEGF165/rhBMP-7 has synergic effects on improving early stage bone formation
and subsequently bone quality and quantity of the bone grafts.
PMID- 24919116
TI - Groundnut bud necrosis virus encoded NSm associates with membranes via its C
terminal domain.
AB - Groundnut Bud Necrosis Virus (GBNV) is a tripartite ambisense RNA plant virus
that belongs to serogroup IV of Tospovirus genus. Non-Structural protein-m (NSm),
which functions as movement protein in tospoviruses, is encoded by the M RNA. In
this communication, we demonstrate that despite the absence of any putative
transmembrane domain, GBNV NSm associates with membranes when expressed in E.
coli as well as in N. benthamiana. Incubation of refolded NSm with liposomes
ranging in size from 200-250 nm resulted in changes in the secondary and tertiary
structure of NSm. A similar behaviour was observed in the presence of anionic and
zwitterionic detergents. Furthermore, the morphology of the liposomes was found
to be modified in the presence of NSm. Deletion of coiled coil domain resulted in
the inability of in planta expressed NSm to interact with membranes. Further,
when the C-terminal coiled coil domain alone was expressed, it was found to be
associated with membrane. These results demonstrate that NSm associates with
membranes via the C-terminal coiled coil domain and such an association may be
important for movement of viral RNA from cell to cell.
PMID- 24919118
TI - Solvatomagnetic Comparison Method: A Proper Quantification of Solvent Hydrogen
Bond Basicity.
AB - The hydrogen-bond-acceptor basicity of an important class of solvents, the
amphiprotic solvents (water, alcohols, primary and secondary amides, and
carboxylic acids), has not yet been properly parametrized. In this work, the
first scale of solvent hydrogen-bond basicity applicable to amphiprotic solvents
is established by means of a new method that compares the 19F NMR chemical shifts
of 4-fluorophenol and 4-fluoroanisole in hydrogen-bond-acceptor solvents. This so
called solvatomagnetic comparison method is free of the shortcomings of the
solvatochromic comparison method used so far and is easier to carry out than the
pure base calorimetric method. The validity of the new scale is assessed by good
linear correlations with spectroscopic, thermodynamic, and kinetic solute
properties depending on the solvent hydrogen-bond basicity. In such correlation
analysis of solvent effects on physicochemical properties, solvent and solute
hydrogen-bond basicity scales must not be mixed, since it is shown here that
solute and solvent scales are not equivalent. A comprehensive collection of
parameters quantifying the hydrogen-bond basicity is presented for 168 solvents.
PMID- 24919117
TI - Human retinal transmitochondrial cybrids with J or H mtDNA haplogroups respond
differently to ultraviolet radiation: implications for retinal diseases.
AB - BACKGROUND: It has been recognized that cells do not respond equally to
ultraviolet (UV) radiation but it is not clear whether this is due to genetic,
biochemical or structural differences of the cells. We have a novel cybrid
(cytoplasmic hybrids) model that allows us to analyze the contribution of
mitochondrial DNA (mtDNA) to cellular response after exposure to sub-lethal dose
of UV. mtDNA can be classified into haplogroups as defined by accumulations of
specific single nucleotide polymorphisms (SNPs). Recent studies have shown that J
haplogroup is high risk for age-related macular degeneration while the H
haplogroup is protective. This study investigates gene expression responses in J
cybrids versus H cybrids after exposure to sub-lethal doses of UV-radiation.
METHODOLOGY/PRINCIPAL FINDINGS: Cybrids were created by fusing platelets isolated
from subjects with either H (n = 3) or J (n = 3) haplogroups with mitochondria
free (Rho0) ARPE-19 cells. The H and J cybrids were cultured for 24 hours,
treated with 10 mJ of UV-radiation and cultured for an additional 120 hours.
Untreated and treated cybrids were analyzed for growth rates and gene expression
profiles. The UV-treated and untreated J cybrids had higher growth rates compared
to H cybrids. Before treatment, J cybrids showed lower expression levels for CFH,
CD55, IL-33, TGF-A, EFEMP-1, RARA, BCL2L13 and BBC3. At 120 hours after UV
treatment, the J cybrids had decreased CFH, RARA and BBC3 levels but increased
CD55, IL-33 and EFEMP-1 compared to UV-treated H cybrids.
CONCLUSION/SIGNIFICANCE: In cells with identical nuclei, the cellular response to
sub-lethal UV-radiation is mediated in part by the mtDNA haplogroup. This
supports the hypothesis that differences in growth rates and expression levels of
complement, inflammation and apoptosis genes may result from population-specific,
hereditary SNP variations in mtDNA. Therefore, when analyzing UV-induced damage
in tissues, the mtDNA haplogroup background may be important to consider.
PMID- 24919119
TI - Sling exercise for chronic low back pain: a systematic review and meta-analysis.
AB - BACKGROUND: Trials on sling exercise (SE), commonly performed to manage chronic
low back pain (LBP), yield conflicting results. This study aimed to review the
effects of SE on chronic LBP. METHODS: The randomized controlled trials comparing
SE with other treatments or no treatment, published up to August 2013, were
identified by electronic searches. Primary outcomes were pain, function, and
return to work. The weighted mean difference (WMD) and 95% confidence interval
(CI) were calculated, using a random-effects model. RESULTS: Risk of bias was
rated as high in 9 included trials, where some important quality components such
as blinding were absent and sample sizes were generally small. We found no
clinically relevant differences in pain or function between SE and other forms of
exercise, traditional Chinese medical therapy, or in addition to acupuncture.
Based on two trials, SE was more effective than thermomagnetic therapy at
reducing pain (short-term: WMD -13.90, 95% CI -22.19 to -5.62; long-term: WMD
26.20, 95% CI -31.32 to -21.08) and improving function (short-term: WMD -10.54,
95% CI -14.32 to -6.75; long-term: WMD -25.75, 95% CI -30.79 to -20.71). In one
trial we found statistically significant differences between SE and physical
agents combined with drug therapy (meloxicam combined with eperisone
hydrochloride) but of borderline clinical relevance for pain (short-term: WMD
15.00, 95% CI -19.64 to -10.36) and function (short-term: WMD -10.00; 95% CI
13.70 to -6.30). There was substantial heterogeneity among the two trials
comparing SE and thermomagnetic therapy; both these trials and the trial
comparing SE with physical agents combined with drug therapy had serious
methodological limitations. INTERPRETATION: Based on limited evidence from 2
trials, SE was more effective for LBP than thermomagnetic therapy. Clinically
relevant differences in effects between SE and other forms of exercise, physical
agents combined with drug therapy, traditional Chinese medical therapy, or in
addition to acupuncture could not be found. More high-quality randomized trials
on the topic are warranted.
PMID- 24919120
TI - Identification of temozolomide resistance factors in glioblastoma via integrative
miRNA/mRNA regulatory network analysis.
AB - Drug resistance is a major issue in the treatment of glioblastoma. Almost all
glioblastomas are intrinsically resistant to chemotherapeutic temozolomide (TMZ)
or develop resistance during treatment. The interaction networks of microRNAs
(miRNAs) and mRNAs likely regulate most biological processes and can be employed
to better understand complex processes including drug resistance in cancer. In
this study, we examined if integrative miRNA/mRNA network analysis using the web
service tool mirConnX could be used to identify drug resistance factors in
glioblastoma. We used TMZ-resistant glioblastoma cells and their integrated
miRNA/mRNA networks to identify TMZ-sensitizing factors. TMZ resistance was
previously induced in glioblastoma cell lines U87, Hs683, and LNZ308. miRNA/mRNA
expression profiling of these cells and integration of the profiles using
mirConnX resulted in the identification of plant homeodomain (PHD)-like finger 6
(PHF6) as a potential TMZ-sensitizing factor in resistant glioblastoma cells.
Analysis of PHF6 expression showed significant upregulation in glioblastoma as
compared to normal tissue. Interference with PHF6 expression in three TMZ
resistant subclones significantly enhanced TMZ-induced cell kill in two of these
cell lines. Altogether, these results demonstrate that mirConnX is a feasible and
useful tool to investigate miRNA/mRNA interactions in TMZ-resistant cells and has
potential to identify drug resistance factors in glioblastoma.
PMID- 24919122
TI - Craniofrontonasal Syndrome: Atrial Septal Defect With a Novel EFNB1 Gene
Mutation.
AB - Craniofrontonasal syndrome (CFNS; OMIM # 304110) is a rare X-linked disorder with
greater severity in heterozygous females than in hemizygous males. CFNS is
characterized by coronal craniosynostosis, frontal bossing, severe hypertelorism,
craniofacial asymmetry, downslant palpebral fissure, broad nasal root, bifid
nasal tip, grooved fingernails, curly wiry hair, and abnormalities of the
thoracic skeleton. There are very few cases describing association of CFNS with
heart defects. We discuss a very rare feature: atrial septal defect in a
molecularly confirmed case of CFNS.
PMID- 24919121
TI - Kindler syndrome in mice and men.
AB - Kindler syndrome (KS) in humans is a severe skin blistering disease associated
with inflammation and increased risk of epidermal squamous cell carcinoma (SCC).
This disease is known to be caused by loss-of-function mutations in Kindlin-1, a
focal adhesion beta-integrin binding protein. Thus far, it has been unclear what
specific signaling events occur in KS keratinocytes to promote tumorigenesis,
especially since loss of beta-integrins and focal adhesion complexes has been
previously shown to prevent or delay tumor formation. In the April issue of
Nature Medicine, Rognoni and colleagues generate a transgenic mouse lacking
Kindlin-1 in the epidermis to model the key features of KS, and show that Kindlin
1 regulates Wnt and TGFbeta signaling independent of beta-integrins. These beta1
integrin-independent functions of Kindlin-1 may contribute to the increased SCC
risk in KS patients.
PMID- 24919123
TI - Micro-structured Beta-Tricalcium Phosphate for Repair of the Alveolar Cleft in
Cleft Lip and Palate Patients: A Pilot Study.
AB - OBJECTIVES: Can a synthetic bone substitute be used to repair the alveolar cleft
to bypass donor site morbidity as well as to shorten the operating time? In
earlier experimental studies, micro-structured beta-tricalcium phosphate (beta
TCP) provided similar bone healing when compared with grafting with iliac crest
bone. This justifies the clinical evaluation of this bone substitute in the human
alveolar cleft situation. DESIGN: Prospective clinical study. SETTING: University
clinic. PARTICIPANTS: Seven patients, all with unilateral alveolar cleft, were
randomly included for alveolar cleft repair with beta-TCP in 2010 and 2011. MAIN
OUTCOME MEASURES: In all patients, the alveolar cleft was repaired by micro
structured beta-TCP grafting. Our assessments were distilled from cone beam
computed tomography scans taken preoperatively, 1 week postoperatively, and 6
months postoperatively. A volumetric outcome could be realized. RESULTS: Six
months after the operative grafting of micro-structured beta-TCP into the
alveolar cleft, the bone volume thus acquired was satisfactory. We found an
average bone volume percentage of 73% +/- 6% compared with the original cleft
volume. CONCLUSIONS: Previous experimental and clinical studies and the initial
findings of this pilot study now elucidate a path toward the clinical use of
micro-structured beta-TCP bone substitute for repair of the alveolar cleft.
PMID- 24919124
TI - Evaluation of Stress Distribution of Mini Dental Implant-Supported Overdentures
in Complete Cleft Palate Models: A Three-Dimensional Finite Element Analysis
Study.
AB - OBJECTIVE: Mini dental implants could be an alternative treatment method for
prosthetic treatment of edentulous cleft palate. The aim of this study was to
analyze stress distribution around the cortical bone and different plans using a
varied number of mini dental implants in edentulous unilateral complete cleft
palates. MATERIALS AND METHODS: Three edentulous maxillary models were modified
to create unilateral complete cleft palates. Mini dental implants (2.4 * 15 mm)
were located as two mini implants at the premolar region, four mini implants at
the premolar and molar region, and six mini implants at the first premolar,
second premolar, and first molar regions in the models, respectively. Mucosa, o
ring/ball attachments, and overdentures were simulated. Vertical and horizontal
loads of 100 N were applied on both the right and left molar teeth of the
overdenture for each model. Maximum and minimum principal stress values and the
distribution at cortical bone around the implants and cleft palates were
evaluated by finite element analysis. RESULTS: Stress values under vertical loads
were lower than values under horizontal loadings for all models. Stress values
were found to be lower in the first model than in the second and third models.
The highest stress values were found around implants in the second model. The
unilateral feature of a complete cleft pattern affected the stress distribution.
CONCLUSION: Stresses occured mostly around implants when the overdenture was
supported by six implants; however, the stress distribution around implants was
low with two implants because of tissue support.
PMID- 24919125
TI - Evaluation of Transverse Maxillary Expansion After a Segmental Posterior
Subapical Maxillary Osteotomy in Cleft Lip and Palate Patients With Severe
Collapse of the Lateral Maxillary Segments.
AB - Objective : The purpose of this longitudinal retrospective study was to evaluate
transverse maxillary expansion after a Schuchardt or segmental posterior
subapical maxillary osteotomy (SPSMO) in patients with cleft lip and palate
(CLP). A second aim was to compare these data with data for adult patients
without CLP who were receiving a surgical assisted rapid palatal expansion
(SARPE). Method : The study group comprised 19 patients with CLP and a severe
transversally collapsed maxilla who were treated with SPSMO followed by hyrax
expansion at the University Hospitals Leuven. Dental casts of the 19 patients
were analyzed before treatment, at maximum expansion, during orthodontic
treatment, at the completion of orthodontic treatment. and 2 years after
orthodontic treatment and were measured at the canine, premolar, and molar
levels. Adult patients without CLP who were enrolled in a prospective study
served as the control group. Results : Maxillary expansion within the study
group was significantly greater (P < .05) at all measured levels compared with
the maxillary arch before treatment. No significant relapse was measured in the
study group 2 years after orthodontic treatment. When comparing the study and
control groups, the only statistical difference was that canine expansion was
significantly greater in the study group. Conclusion : SPSMO followed by
maxillary expansion and orthodontic treatment is an appropriate treatment option
to correct a severe transversally collapsed maxilla in patients with CLP. The
overall treatment effect of SPSMO expansion is comparable with the effects of
SARPE, although canine expansion was greater in the SPSMO group.
PMID- 24919126
TI - Functional and aesthetic rehabilitation of a geriatric patient with cleft palate:
a case report.
AB - Cleft palate defect with complete edentulism in elderly patients presents a
prosthodontic challenge for complete denture fabrication. Such large defects are
very difficult to restore by surgical intervention and have direct consequence on
such functions as mastication and speech, on aesthetics, and on the patient's
mental attitude. This article describes a case report in which a 76-year-old
female patient underwent restoration with a closed hollow bulb obturator for a
large cleft palate defect.
PMID- 24919127
TI - A clinical multicenter study of orofacial features in 26 brazilian patients with
different types of mucopolysaccharidosis.
AB - PURPOSE: This study aimed to describe the orofacial features of 26 unrelated
Brazilian patients with mucopolysaccharidosis and to verify any possible
associations between these findings and specific types of mucopolysaccharidosis.
METHODS: Patients were diagnosed with mucopolysaccharidosis and clinically
evaluated. Following consent, a clinical assessment form was completed. Facial
and intraoral examination was performed by evaluating facial pattern,
malocclusions, dental caries, and tooth identification. RESULTS: Midface
deficiency, increased lower facial third, anterior open bite, convex profile,
macroglossia, gingival enlargement, and spaced arches were the most frequently
observed features. These findings did not allow a differential diagnosis among
the different types of mucopolysaccharidosis, except for pitting enamel, which
significantly associated with mucopolysaccharidosis IVA (P < .001). Open bite was
associated with mucopolysaccharidosis types I, II, III, and VI; however, only one
patient with mucopolysaccharidosis IVA expressed this feature (P = .043).
CONCLUSIONS: Our results suggest that pitted enamel in patients with
mucopolysaccharidosis is most likely a feature of mucopolysaccharidosis type IVA;
whereas, open bite is rarely observed in these patients. Orofacial features in
mucopolysaccharidosis may help pediatric dentists recognize this disorder and
minimize the delay between the initial signs/symptoms and diagnosis of the
disease. Future studies should focus on the longitudinal manifestations,
expression, and severity of mucopolysaccharidosis-associated orofacial anomalies.
PMID- 24919128
TI - Nutcrackerlike Phenomenon Is An Unusual Cause for Gross Hematuria After a Kidney
Graft.
AB - Nutcracker phenomenon is the condition that occurs most commonly at the
morphologic type by compression of the left renal vein between the aorta and
superior mesenteric artery. The diagnosis is often delayed because of the
variability in manifestations and absence of consensus on diagnostic criteria. We
report a 30-year-old woman who presented gross hematuria several days after a
kidney transplant. Nutcracker syndrome was established intraoperatively during
open surgical approach for bladder clot evacuation. Renal repositioning was done
with relief in the degree of hematuria intraoperatively. No episode of gross
hematuria was observed on follow-up after 8 months.
PMID- 24919129
TI - Identification and assessment of potential water quality impact factors for
drinking-water reservoirs.
AB - Various reservoirs have been serving as the most important drinking water sources
in Zhejiang Province, China, due to the uneven distribution of precipitation and
severe river pollution. Unfortunately, rapid urbanization and industrialization
have been continuously challenging the water quality of the drinking-water
reservoirs. The identification and assessment of potential impacts is
indispensable in water resource management and protection. This study
investigates the drinking water reservoirs in Zhejiang Province to better
understand the potential impact on water quality. Altogether seventy-three
typical drinking reservoirs in Zhejiang Province encompassing various water
storage levels were selected and evaluated. Using fifty-two reservoirs as
training samples, the classification and regression tree (CART) method and
sixteen comprehensive variables, including six sub-sets (land use, population,
socio-economy, geographical features, inherent characteristics, and climate),
were adopted to establish a decision-making model for identifying and assessing
their potential impacts on drinking-water quality. The water quality class of the
remaining twenty-one reservoirs was then predicted and tested based on the
decision-making model, resulting in a water quality class attribution accuracy of
81.0%. Based on the decision rules and quantitative importance of the independent
variables, industrial emissions was identified as the most important factor
influencing the water quality of reservoirs; land use and human habitation also
had a substantial impact on water quality. The results of this study provide
insights into the factors impacting the water quality of reservoirs as well as
basic information for protecting reservoir water resources.
PMID- 24919130
TI - Effort-reward imbalance at school and depressive symptoms in Chinese adolescents:
the role of family socioeconomic status.
AB - Depression is a major mental health problem during adolescence. This study, using
a sample of Chinese adolescents, examined the separate and combined effects of
perceived school-related stress and of family socioeconomic status (SES) on the
prevalence of depressive symptoms. A total of 1774 Chinese students from Grades 7
12 were recruited into our questionnaire survey. School-related stress was
measured by the Effort-Reward Imbalance Questionnaire-School Version, family SES
was assessed by a standardized question, and depressive symptoms were evaluated
by the Center for Epidemiological Studies Depression Scale for Children.
Multivariate logistic regression was applied, adjusting for age, gender, grade,
smoking, alcohol drinking and physical activity. It was found that high school
related stress and low family SES were associated with elevated odds of
depressive symptoms, respectively. The effect of school-related stress was
particularly strong in low SES group. In adolescents with both high stress at
school and low SES, the odds ratio was 9.18 (95% confidence interval = 6.53
12.89) compared to the reference group (low stress at school and high SES). A
significant synergistic interaction effect was observed (synergy index = 2.28,
95% confidence interval = 1.56-3.32). The findings indicated that perceived
school-related stress, in terms of effort-reward imbalance, was related to
depressive symptoms in this sample of Chinese adolescents. The strong interaction
with family SES suggests that health promoting efforts in school settings should
be targeted specifically at these socially deprived groups.
PMID- 24919132
TI - Water quality of a reservoir and its major tributary located in east-central
Mexico.
AB - A reservoir with ecological and economic importance and its major tributary,
localized in east-central Mexico, were studied. The aim of this work was to know
the physicochemical water characteristics of both water bodies and to contrast
these by their different uses, and also estimate overall water quality using a
Water Quality Index (WQI). Water samples from the reservoir and the tributary
were obtained in different climatic seasons. In the tributary, anoxic and hypoxic
conditions and high levels of organic matter, orthophosphate, and ammonium showed
that this is strongly impacted by wastewater discharges and that the water is not
suitable for different uses; independently of the season, the WQI showed "poor"
quality (34.4-47.2). In contrast, in the reservoir a better water quality was
determined; the WQI in the sampling months ranged from 72.1-76.6 ("good"
quality), and spatially, this was from 66.5-79.5 ("fair" and "good" quality).
PMID- 24919131
TI - Biosorption of strontium from simulated nuclear wastewater by Scenedesmus
spinosus under culture conditions: adsorption and bioaccumulation processes and
models.
AB - Algae biosorption is an ideal wastewater treatment method when coupled with algae
growth and biosorption. The adsorption and bioaccumulation of strontium from
simulated nuclear wastewater by Scenedesmus spinosus were investigated in this
research. One hundred mL of cultured S. spinosus cells with a dry weight of 1.0
mg in simulated nuclear wastewater were used to analyze the effects on S.
spinosus cell growth as well as the adsorption and bioaccumulation characters
under conditions of 25 +/- 1 degrees C with approximately 3,000 lux
illumination. The results showed that S. spinosus had a highly selective
biosorption capacity for strontium, with a maximum bioremoval ratio of 76%. The
adsorbed strontium ion on cell walls was approximately 90% of the total adsorbed
amount; the bioaccumulation in the cytoplasm varied by approximately 10%. The
adsorption quantity could be described with an equilibrium isotherm. The pseudo
second-order kinetic model suggested that adsorption was the rate-limiting step
of the biosorption process. A new bioaccumulation model with three parameters was
proposed and could give a good fit with the experiment data. The results
suggested that S. spinosus may be a potential biosorbent for the treatment of
nuclear wastewater in culture conditions.
PMID- 24919133
TI - Functional and radiographic outcomes of unstable juvenile osteochondritis
dissecans of the knee treated with lesion fixation using bioabsorbable pins.
AB - BACKGROUND: The purpose of this study was to evaluate the functional and
radiographic outcome of fixation of unstable juvenile osteochondritis dissecans
lesions of the knee after a minimum of 2 years of follow-up. METHODS: A total of
33 unstable juvenile osteochondritis dissecans lesions in 30 patients underwent
fixation using bioabsorbable pins through arthrotomy or under arthroscopy. The
patients consisted of 23 males and 7 females, and the average age at the time of
operation was 14.4 years (range, 11 to 17 y). The functional outcomes were
evaluated using the Lysholm score and Hughston's criteria at a mean follow-up of
3.3 years (range, 2.1 to 6.3 y). Healing of the osteochondritis dissecans lesions
were confirmed by plain radiographs and magnetic resonance imaging. RESULTS: The
Lysholm score improved significantly at 3 months after the surgery, and was
maintained until the final follow-up. Radiographically, 32 of 33 lesions healed
after fixation of the lesion (healing rate was 97.0%). Healing was achieved at an
average of 2.4 months on plain radiographs and 4.2 months on magnetic resonance
imaging. According to Hughston's criteria, 25 patients were graded as excellent,
4 as good, and 1 as poor at the final follow-up. CONCLUSIONS: The fixation of the
unstable juvenile osteochondritis dissecans lesions with bioabsorbable pins
demonstrated improved clinical outcomes and radiographic high healing rates at a
mean of 3.3 years of follow-up. We advocate this procedure for patients with
unstable juvenile osteochondritis dissecans lesions of sufficient quality to
enable fixation which will preserve the normal contour of the distal femur. LEVEL
OF EVIDENCE: Level IV-retrospective case series.
PMID- 24919134
TI - Classifying cerebral palsy: are we nearly there?
AB - BACKGROUND: Cerebral palsy (CP) is the most common cause of physical disability
in childhood in developed countries and encompasses a wide range of clinical
phenotypes. Classification of CP according to movement disorder or topographical
distribution is widely used. However, these classifications are not reliable nor
do they accurately predict musculoskeletal pathology. More recently, the Gross
Motor Function Classification System (GMFCS) has been introduced and its
validity, reliability, and clinical utility have been confirmed. In 2005 it was
suggested that children should be described and classified according to the GMFCS
in all outcome studies involving children with CP, in the Journal of Pediatric
Orthopaedics (JPO). This study aimed to describe utilization of the GMFCS in 3
journals: Journal of Bone and Joint Surgery (JBJS Am), JPO, and Developmental
Medicine and Child Neurology (DMCN), over a 7-year period (2005 to 2011), and any
relationship to the journal's impact factor. A secondary aim was to establish if
differences in methodological quality existed between those studies utilizing
GMFCS and those that did not. METHODS: A targeted literature search of the 3
selected journals using the term "cerebral palsy" was conducted using the Medline
database. Utilization of the GMFCS was assessed using report of these data in the
methods or results section of the retrieved papers. The Methodological Index for
Non-Randomized Studies (MINORS) was employed to evaluate the quality of papers
published in JPO. RESULTS: One hundred and fifty-four studies met the inclusion
criteria and in 85 (68%) the GMFCS was used. Of these, 112 were published in JPO,
of which 51 (46%) utilized the GMFCS, compared with 72% for JBJS Am, and 88% for
DMCN. In the JPO, utilization of the GMFCS improved from 13% to 80%, over the 7
year study period. CONCLUSIONS: Utilization of the GMFCS has increased rapidly
over the past 7 years in the JPO but there is room for further improvement. LEVEL
OF EVIDENCE: Not applicable.
PMID- 24919135
TI - Neurological and vascular injury associated with supracondylar humerus fractures
and ipsilateral forearm fractures in children.
AB - BACKGROUND: Approximately 5% of supracondylar humerus fractures in children are
associated with an ipsilateral forearm fracture, often referred to as a floating
elbow when both injuries are displaced. Historically, these patients have higher
complication rates than patients with an isolated supracondylar humerus fracture.
The purpose of this study was to review the acute neurologic and vascular
injuries in patients with ipsilateral, operative supracondylar humerus and
forearm fractures and compare the findings with a cohort of isolated, operative
supracondylar humerus fractures. METHODS: We performed an IRB-approved,
retrospective review of all pediatric patients with ipsilateral, operative
supracondylar humerus and forearm fractures from a single institution and
compared our findings to a cohort of isolated, operative supracondylar humerus
fractures. RESULTS: A total of 150 patients with operative supracondylar humerus
and ipsilateral forearm fractures were compared with 1228 patients with isolated,
operative supracondylar humerus fractures. Twenty-two of the 150 (14.7%) floating
elbow patients had documented pretreatment nerve palsies compared with 96/1228
(7.8%) of isolated injury patients (P=0.006). Eighteen of 22 nerve palsies were
in patients with forearm fractures that required reduction. The overall incidence
of nerve palsy was 18.9% (18/95) when a forearm fracture required reduction
compared with only 7.3% (4/55) in a forearm fracture that was not reduced
(P=0.05). We did not find a significant difference in the rate of pulseless
extremities when comparing the ipsilateral (6/150 4%) and isolated (50/1228 4.1%)
injury patients. No compartment syndromes were identified in any patient with an
ipsilateral injury. CONCLUSIONS: The rate of acute neurologic injury in
ipsilateral supracondylar humerus and forearm fractures is almost twice than that
found in patients with isolated supracondylar humerus fractures. This rate
increases further when the forearm fracture requires a manipulative reduction.
The likelihood of a pulseless extremity was not dependent upon the presence of a
forearm injury in our study. The presence of an ipsilateral forearm fracture
should alert the surgeon to carefully assess the preoperative neurovascular
status of patients with supracondylar humerus injuries. LEVEL OF EVIDENCE: Level
III.
PMID- 24919136
TI - Proximal migration of femoral telescopic rod in children with osteogenesis
imperfecta.
AB - BACKGROUND: Intramedullary telescopic rod fixation has been used for
stabilization of the long bones in growing children who have osteogenesis
imperfecta. Proximal migration of the rod is the most common complication of
telescopic rodding in the femur. The purposes of this study were to evaluate
incidence and temporal pattern of proximal migration of the femoral rod, and to
investigate factors related to it. METHODS: A total of 50 patients with
osteogenesis imperfecta, who had femur stabilized by telescopic rod with T-piece,
were the subjects of this study. In patients having both the femora stabilized,
only 1 femur was randomly selected for analysis. Hence, in 50 femora, migration
free survivorship was analyzed using the Kaplan-Meier method, and association
with possible risk factors was analyzed by Cox regression analysis using the
proportional hazards model. Factors investigated in the analysis include age at
the time of surgery, sex, purpose of the index surgery, residual or developing
angular deformity of the femur, rod position at the distal physis, persistent
cortical gap at fracture/osteotomy site, Sillence classification, and type of
telescopic rod. RESULTS: Proximal migration was observed in 7 of 50 femora.
Cumulative survival without proximal migration was 0.94 (95% CI, 0.87-1.01) in 1
year, and 0.85 (95% CI, 0.75-0.95) in 6 years. Factors significantly associated
with proximal rod migration in Kaplan-Meier survivorship analysis and univariate
Cox regression analysis were angular deformity, eccentric rod position at the
distal physis, and persistent cortical gap. When these factors were analyzed by
multivariate analysis, eccentric rod position at the distal physis was the only
significant factor with a hazard ratio of 11.74. CONCLUSIONS: The risk of
proximal rod migration can be reduced by complete correction of angular deformity
and optimal placement of the rod at the distal physis. Our data also suggest that
developing angular deformity or persistent osteotomy/fracture gap requires
special attention at the possibility of proximal rod migration during follow-up.
LEVEL OF EVIDENCE: Level III, prognostic study.
PMID- 24919137
TI - The cross-table lateral radiograph results in a significantly increased effective
radiation dose compared with the Dunn and single frog lateral radiographs.
AB - BACKGROUND: A lateral radiograph of the proximal femur is critical in the
evaluation of patients with suspected femoroacetabular impingement. Positioning
patients for a cross-table lateral (XTL) image is difficult, which may result in
repeat exposures and increased cumulative radiation. Alternatively, the 45-degree
Dunn (Dunn) and single frog lateral (SFL) views have been shown to accurately
reveal proximal femoral abnormalities in femoroacetabular impingement. The
purpose of this study was to compare the effective radiation doses (ERD) for 3
lateral hip projections that provide similar diagnostic information. METHODS:
Patients presenting to the adolescent hip clinic with indicated examinations were
evaluated with a standard anteroposterior (AP) pelvic radiograph and one of 3
lateral hip radiographs: XTL (n=16), Dunn (n=17), or SFL (n=27). Technical
exposure parameters and published reference data for an AP pelvic radiograph were
used to extrapolate the ERD. A simple Pearson r correlation test determined the
relationships between body mass index, age, and ERD. The rate of repeat exposures
per study was calculated. RESULTS: Body mass index positively correlated (r=0.34)
and age and negatively correlated (r=-0.27) with ERD. ERD was increased for the
XTL (0.83+/-0.98 mSv) over the Dunn (0.37+/-0.15 mSv) and SFL (0.22+/-0.11 mSv;
P<0.05). Repeat exposures were performed in 10.4%, 4%, and 6% of XTL, Dunn, and
SFL studies, respectively. CONCLUSIONS: The ERD for a single projection is
highest for the XTL, and the examination is more likely to be repeated. The XTL
radiograph should be avoided whenever possible and substituted with alternative
images providing similar diagnostic information, such as the Dunn or SFL, to
minimize lifetime cumulative radiation exposure to the patient. LEVEL OF
EVIDENCE: Level II.
PMID- 24919138
TI - Long-finger pollicization for macrodactyly of the thumb and index finger.
AB - Pollicization of the long finger is rarely performed, and previously described
for treating traumatic thumb and index finger loss. Because the long finger lacks
the independence of motion and muscular attachments of the index finger,
pollicization of the long finger requires modifications of the technique. We
present the case of a 3-year-old girl with progressive macrodactyly of the thumb
and index finger associated with a lipofibromatous hamartoma of the median nerve.
The involved digits were severely enlarged, stiff, and nonfunctional. The child
was treated with first and second ray resection followed by long-finger
pollicization. Surgical pearls and pitfalls are discussed.
PMID- 24919139
TI - Stability properties of an ancient plant peroxidase.
AB - Plant (Class III) peroxidases have numerous applications throughout biotechnology
but their thermal and oxidative stabilities may limit their usefulness.
Horseradish peroxidase isoenzyme C (HRPC) has good catalytic turnover and is
moderately resistant to heat and to excess (oxidizing) concentrations of hydrogen
peroxide. In contrast, HRP isoenzyme A2 (HRP A2) has better oxidative but poorer
thermal stability, while soybean peroxidase (SBP) displays enhanced thermal
stability. Intrigued by these variations amongst closely related enzymes, we
previously used maximum likelihood methods (with application of Bayesian
statistics) to infer an amino acid sequence consistent with their most recent
common ancestor, the 'Grandparent' (GP). Here, we report the cloning and
expression of active recombinant GP protein in Escherichia coli. GP's half
inactivation temperature was 45 degrees C, notably less than HRP C's, but its
resistance to excess H2O2 was 2-fold greater. This resurrected GP protein enables
a greater understanding of plant peroxidase evolution and serves as a test-bed to
explore their ancestral properties.
PMID- 24919140
TI - Rational design, preparation, and characterization of a therapeutic enzyme mutant
with improved stability and function for cocaine detoxification.
AB - Cocaine esterase (CocE) is known as the most efficient natural enzyme for cocaine
hydrolysis. The major obstacle to the clinical application of wild-type CocE is
the thermoinstability with a half-life of only ~12 min at 37 degrees C. The
previously designed T172R/G173Q mutant (denoted as enzyme E172-173) with an
improved in vitro half-life of ~6 h at 37 degrees C is currently in clinical
trial Phase II for cocaine overdose treatment. Through molecular modeling and
dynamics simulation, we designed and characterized a promising new mutant of E172
173 with extra L196C/I301C mutations (denoted as enzyme E196-301) to produce
cross-subunit disulfide bonds that stabilize the dimer structure. The cross
subunit disulfide bonds were confirmed by X-ray diffraction. The designed
L196C/I301C mutations have not only considerably extended the in vitro half-life
at 37 degrees C to >100 days, but also significantly improved the catalytic
efficiency against cocaine by ~150%. In addition, the thermostable E196-301 can
be PEGylated to significantly prolong the residence time in mice. The PEGylated
E196-301 can fully protect mice from a lethal dose of cocaine (180 mg/kg, LD100)
for at least 3 days, with an average protection time of ~94h. This is the longest
in vivo protection of mice from the lethal dose of cocaine demonstrated within
all studies using an exogenous enzyme reported so far. Hence, E196-301 may be
developed to become a more valuable therapeutic enzyme for cocaine abuse
treatment, and it demonstrates that a general design strategy and protocol to
simultaneously improve both the stability and function are feasible for rational
protein drug design.
PMID- 24919142
TI - Tunable temperature-responsive supramolecular hydrogels formed by prodrugs as a
codelivery system.
AB - Taking advantage of the strong hydrophobicity of the anticancer drug camptothecin
(CPT), the CPT molecule was conjugated to a class of low-molecular-weight (MW)
poly(ethylene glycol) (PEG) chains (MW = 500, 1000, and 2000), forming an
amphiphilic prodrug. The CPT-PEG prodrug formed stable hydrogels based on a
combination of the partial inclusion complexation between one end of the PEG
blocks and alpha-CD and the hydrophobic aggregation of CPT groups. Meanwhile, the
formed hydrogels could be loaded with water-soluble drug 5-fluorouracil (5-FU),
which is always combined with CPT drugs to enhance their anticancer activity.
Moreover, the hydrogel systems demonstrate unique structure-related reversible
gel-sol transition properties at a certain temperature due to the reversible
supramolecular assembly, and the gel-sol transition temperature could be
modulated by varying the length of the PEG chain and the concentrations of alpha
CD, demonstrating the possibility of achieving on-demand gel-sol transitions. The
structure-related reversible gel-sol transition properties were proved by
rheological property, XRD, DSC, and SEM measurements. The different controlled
release profiles of two different anticancer drugs showed significant temperature
dependent properties. This easily prepared supramolecular hydrogel with excellent
biocompatibility and tunable temperature responsiveness has significant potential
for controlled drug release applications.
PMID- 24919141
TI - High-spin ferric ions in Saccharomyces cerevisiae vacuoles are reduced to the
ferrous state during adenine-precursor detoxification.
AB - The majority of Fe in Fe-replete yeast cells is located in vacuoles. These acidic
organelles store Fe for use under Fe-deficient conditions and they sequester it
from other parts of the cell to avoid Fe-associated toxicity. Vacuolar Fe is
predominantly in the form of one or more magnetically isolated nonheme high-spin
(NHHS) Fe(III) complexes with polyphosphate-related ligands. Some Fe(III)
oxyhydroxide nanoparticles may also be present in these organelles, perhaps in
equilibrium with the NHHS Fe(III). Little is known regarding the chemical
properties of vacuolar Fe. When grown on adenine-deficient medium (A?), ADE2Delta
strains of yeast such as W303 produce a toxic intermediate in the adenine
biosynthetic pathway. This intermediate is conjugated with glutathione and
shuttled into the vacuole for detoxification. The iron content of A? W303 cells
was determined by Mossbauer and EPR spectroscopies. As they transitioned from
exponential growth to stationary state, A? cells (supplemented with 40 MUM
Fe(III) citrate) accumulated two major NHHS Fe(II) species as the vacuolar NHHS
Fe(III) species declined. This is evidence that vacuoles in A? cells are more
reducing than those in adenine-sufficient cells. A? cells suffered less oxidative
stress despite the abundance of NHHS Fe(II) complexes; such species typically
promote Fenton chemistry. Most Fe in cells grown for 5 days with extra yeast
nitrogen-base, amino acids and bases in minimal medium was HS Fe(III) with
insignificant amounts of nanoparticles. The vacuoles of these cells might be more
acidic than normal and can accommodate high concentrations of HS Fe(III) species.
Glucose levels and rapamycin (affecting the TOR system) affected cellular Fe
content. This study illustrates the sensitivity of cellular Fe to changes in
metabolism, redox state and pH. Such effects broaden our understanding of how Fe
and overall cellular metabolism are integrated.
PMID- 24919143
TI - AgSbF6-controlled diastereodivergence in alkyne hydroarylation: facile access to
Z- and E-alkenyl arenes.
AB - AgSbF6-controlled diastereodivergent hydroarylation reactions were developed.
Unprecedented and remarkable switching of the E/Z-stereoselectivity could be
obtained by adjusting the AgSbF6 loading.
PMID- 24919144
TI - Combined administration of antibiotics and direct oral anticoagulants: a renewed
indication for laboratory monitoring?
AB - The recent development and marketing of novel direct oral anticoagulants (DOACs)
represents a paradigm shift in the management of patients requiring long-term
anticoagulation. The advantages of these compounds over traditional therapy with
vitamin K antagonists include a reportedly lower risk of severe hemorrhages and
the limited need for laboratory measurements. However, there are several
scenarios in which testing should be applied. The potential for drug-to-drug
interaction is one plausible but currently underrecognized indication for
laboratory assessment of the anticoagulant effect of DOACs. In particular,
substantial concern has been raised during Phase I studies regarding the
potential interaction of these drugs with some antibiotics, especially those that
interplay with permeability glycoprotein (P-gp) and cytochrome 3A4 (CYP3A4). A
specific electronic search on clinical trials published so far confirms that
clarithromycin and rifampicin significantly impair the bioavailability of
dabigatran, whereas clarithromycin, erythromycin, fluconazole, and ketoconazole
alter the metabolism of rivaroxaban in vivo. Because of their more recent
development, no published data were found for apixaban and edoxaban, or for
potential interactions of DOACs with other and widely used antibiotics. It is
noteworthy, however, that an online resource based on Food and Drug
Administration and social media information, reports several hemorrhagic and
thrombotic events in patients simultaneously taking dabigatran and some commonly
used antibiotics such as amoxicillin, cephalosporin, and metronidazole. According
to these reports, the administration of antibiotics in patients undergoing
therapy with DOACs would seem to require accurate evaluation as to whether dose
adjustments (personalized or antibiotic class driven) of the anticoagulant drug
may be advisable. This might be facilitated by direct laboratory assessments of
their anticoagulant effect ex vivo.
PMID- 24919145
TI - Structural biology: wobble puts RNA on target.
PMID- 24919146
TI - A primitive fish from the Cambrian of North America.
AB - Knowledge of the early evolution of fish largely depends on soft-bodied material
from the Lower (Series 2) Cambrian period of South China. Owing to the rarity of
some of these forms and a general lack of comparative material from other
deposits, interpretations of various features remain controversial, as do their
wider relationships amongst post-Cambrian early un-skeletonized jawless
vertebrates. Here we redescribe Metaspriggina on the basis of new material from
the Burgess Shale and exceptionally preserved material collected near Marble
Canyon, British Columbia, and three other Cambrian Burgess Shale-type deposits
from Laurentia. This primitive fish displays unambiguous vertebrate features: a
notochord, a pair of prominent camera-type eyes, paired nasal sacs, possible
cranium and arcualia, W-shaped myomeres, and a post-anal tail. A striking feature
is the branchial area with an array of bipartite bars. Apart from the anterior
most bar, which appears to be slightly thicker, each is associated with
externally located gills, possibly housed in pouches. Phylogenetic analysis
places Metaspriggina as a basal vertebrate, apparently close to the Chengjiang
taxa Haikouichthys and Myllokunmingia, demonstrating also that this primitive
group of fish was cosmopolitan during Lower-Middle Cambrian times (Series 2-3).
However, the arrangement of the branchial region in Metaspriggina has wider
implications for reconstructing the morphology of the primitive vertebrate. Each
bipartite bar is identified as being respectively equivalent to an epibranchial
and ceratobranchial. This configuration suggests that a bipartite arrangement is
primitive and reinforces the view that the branchial basket of lampreys is
probably derived. Other features of Metaspriggina, including the external
position of the gills and possible absence of a gill opposite the more robust
anterior-most bar, are characteristic of gnathostomes and so may be primitive
within vertebrates.
PMID- 24919147
TI - The genome of Eucalyptus grandis.
AB - Eucalypts are the world's most widely planted hardwood trees. Their outstanding
diversity, adaptability and growth have made them a global renewable resource of
fibre and energy. We sequenced and assembled >94% of the 640-megabase genome of
Eucalyptus grandis. Of 36,376 predicted protein-coding genes, 34% occur in tandem
duplications, the largest proportion thus far in plant genomes. Eucalyptus also
shows the highest diversity of genes for specialized metabolites such as terpenes
that act as chemical defence and provide unique pharmaceutical oils. Genome
sequencing of the E. grandis sister species E. globulus and a set of inbred E.
grandis tree genomes reveals dynamic genome evolution and hotspots of inbreeding
depression. The E. grandis genome is the first reference for the eudicot order
Myrtales and is placed here sister to the eurosids. This resource expands our
understanding of the unique biology of large woody perennials and provides a
powerful tool to accelerate comparative biology, breeding and biotechnology.
PMID- 24919148
TI - The selective tRNA aminoacylation mechanism based on a single G*U pair.
AB - Ligation of tRNAs with their cognate amino acids, by aminoacyl-tRNA synthetases,
establishes the genetic code. Throughout evolution, tRNA(Ala) selection by alanyl
tRNA synthetase (AlaRS) has depended predominantly on a single wobble base pair
in the acceptor stem, G3*U70, mainly on the kcat level. Here we report the
crystal structures of an archaeal AlaRS in complex with tRNA(Ala) with G3*U70 and
its A3*U70 variant. AlaRS interacts with both the minor- and the major-groove
sides of G3*U70, widening the major groove. The geometry difference between
G3*U70 and A3*U70 is transmitted along the acceptor stem to the 3'-CCA region.
Thus, the 3'-CCA region of tRNA(Ala) with G3*U70 is oriented to the reactive
route that reaches the active site, whereas that of the A3*U70 variant is folded
back into the non-reactive route. This novel mechanism enables the single wobble
pair to dominantly determine the specificity of tRNA selection, by an approximate
100-fold difference in kcat.
PMID- 24919150
TI - Cancer: natural-born killers unleashed.
PMID- 24919149
TI - Innate immune sensing of bacterial modifications of Rho GTPases by the Pyrin
inflammasome.
AB - Cytosolic inflammasome complexes mediated by a pattern recognition receptor (PRR)
defend against pathogen infection by activating caspase 1. Pyrin, a candidate
PRR, can bind to the inflammasome adaptor ASC to form a caspase 1-activating
complex. Mutations in the Pyrin-encoding gene, MEFV, cause a human
autoinflammatory disease known as familial Mediterranean fever. Despite important
roles in immunity and disease, the physiological function of Pyrin remains
unknown. Here we show that Pyrin mediates caspase 1 inflammasome activation in
response to Rho-glucosylation activity of cytotoxin TcdB, a major virulence
factor of Clostridium difficile, which causes most cases of nosocomial diarrhoea.
The glucosyltransferase-inactive TcdB mutant loses the inflammasome-stimulating
activity. Other Rho-inactivating toxins, including FIC-domain
adenylyltransferases (Vibrio parahaemolyticus VopS and Histophilus somni IbpA)
and Clostridium botulinum ADP-ribosylating C3 toxin, can also biochemically
activate the Pyrin inflammasome in their enzymatic activity-dependent manner.
These toxins all target the Rho subfamily and modify a switch-I residue. We
further demonstrate that Burkholderia cenocepacia inactivates RHOA by deamidating
Asn 41, also in the switch-I region, and thereby triggers Pyrin inflammasome
activation, both of which require the bacterial type VI secretion system (T6SS).
Loss of the Pyrin inflammasome causes elevated intra-macrophage growth of B.
cenocepacia and diminished lung inflammation in mice. Thus, Pyrin functions to
sense pathogen modification and inactivation of Rho GTPases, representing a new
paradigm in mammalian innate immunity.
PMID- 24919151
TI - Quantum computing: powered by magic.
PMID- 24919152
TI - Contextuality supplies the 'magic' for quantum computation.
AB - Quantum computers promise dramatic advantages over their classical counterparts,
but the source of the power in quantum computing has remained elusive. Here we
prove a remarkable equivalence between the onset of contextuality and the
possibility of universal quantum computation via 'magic state' distillation,
which is the leading model for experimentally realizing a fault-tolerant quantum
computer. This is a conceptually satisfying link, because contextuality, which
precludes a simple 'hidden variable' model of quantum mechanics, provides one of
the fundamental characterizations of uniquely quantum phenomena. Furthermore,
this connection suggests a unifying paradigm for the resources of quantum
information: the non-locality of quantum theory is a particular kind of
contextuality, and non-locality is already known to be a critical resource for
achieving advantages with quantum communication. In addition to clarifying these
fundamental issues, this work advances the resource framework for quantum
computation, which has a number of practical applications, such as characterizing
the efficiency and trade-offs between distinct theoretical and experimental
schemes for achieving robust quantum computation, and putting bounds on the
overhead cost for the classical simulation of quantum algorithms.
PMID- 24919153
TI - Single-cell RNA-seq reveals dynamic paracrine control of cellular variation.
AB - High-throughput single-cell transcriptomics offers an unbiased approach for
understanding the extent, basis and function of gene expression variation between
seemingly identical cells. Here we sequence single-cell RNA-seq libraries
prepared from over 1,700 primary mouse bone-marrow-derived dendritic cells
spanning several experimental conditions. We find substantial variation between
identically stimulated dendritic cells, in both the fraction of cells detectably
expressing a given messenger RNA and the transcript's level within expressing
cells. Distinct gene modules are characterized by different temporal
heterogeneity profiles. In particular, a 'core' module of antiviral genes is
expressed very early by a few 'precocious' cells in response to uniform
stimulation with a pathogenic component, but is later activated in all cells. By
stimulating cells individually in sealed microfluidic chambers, analysing
dendritic cells from knockout mice, and modulating secretion and extracellular
signalling, we show that this response is coordinated by interferon-mediated
paracrine signalling from these precocious cells. Notably, preventing cell-to
cell communication also substantially reduces variability between cells in the
expression of an early-induced 'peaked' inflammatory module, suggesting that
paracrine signalling additionally represses part of the inflammatory program. Our
study highlights the importance of cell-to-cell communication in controlling
cellular heterogeneity and reveals general strategies that multicellular
populations can use to establish complex dynamic responses.
PMID- 24919154
TI - Inactivation of PI(3)K p110delta breaks regulatory T-cell-mediated immune
tolerance to cancer.
AB - Inhibitors against the p110delta isoform of phosphoinositide-3-OH kinase (PI(3)K)
have shown remarkable therapeutic efficacy in some human leukaemias. As p110delta
is primarily expressed in leukocytes, drugs against p110delta have not been
considered for the treatment of solid tumours. Here we report that p110delta
inactivation in mice protects against a broad range of cancers, including non
haematological solid tumours. We demonstrate that p110delta inactivation in
regulatory T cells unleashes CD8(+) cytotoxic T cells and induces tumour
regression. Thus, p110delta inhibitors can break tumour-induced immune tolerance
and should be considered for wider use in oncology.
PMID- 24919157
TI - Test Statistics and Confidence Intervals to Establish Noninferiority between
Treatments with Ordinal Categorical Data.
AB - The problem for establishing noninferiority is discussed between a new treatment
and a standard (control) treatment with ordinal categorical data. A measure of
treatment effect is used and a method of specifying noninferiority margin for the
measure is provided. Two Z-type test statistics are proposed where the estimation
of variance is constructed under the shifted null hypothesis using U-statistics.
Furthermore, the confidence interval and the sample size formula are given based
on the proposed test statistics. The proposed procedure is applied to a dataset
from a clinical trial. A simulation study is conducted to compare the performance
of the proposed test statistics with that of the existing ones, and the results
show that the proposed test statistics are better in terms of the deviation from
nominal level and the power.
PMID- 24919156
TI - Dynamic pathways of -1 translational frameshifting.
AB - Spontaneous changes in the reading frame of translation are rare (frequency of
10(-3) to 10(-4) per codon), but can be induced by specific features in the
messenger RNA (mRNA). In the presence of mRNA secondary structures, a
heptanucleotide 'slippery sequence' usually defined by the motif X XXY YYZ, and
(in some prokaryotic cases) mRNA sequences that base pair with the 3' end of the
16S ribosomal rRNA (internal Shine-Dalgarno sequences), there is an increased
probability that a specific programmed change of frame occurs, wherein the
ribosome shifts one nucleotide backwards into an overlapping reading frame (-1
frame) and continues by translating a new sequence of amino acids. Despite
extensive biochemical and genetic studies, there is no clear mechanistic
description for frameshifting. Here we apply single-molecule fluorescence to
track the compositional and conformational dynamics of individual ribosomes at
each codon during translation of a frameshift-inducing mRNA from the dnaX gene in
Escherichia coli. Ribosomes that frameshift into the -1 frame are characterized
by a tenfold longer pause in elongation compared to non-frameshifted ribosomes,
which translate through unperturbed. During the pause, interactions of the
ribosome with the mRNA stimulatory elements uncouple EF-G catalysed translocation
from normal ribosomal subunit reverse-rotation, leaving the ribosome in a non
canonical intersubunit rotated state with an exposed codon in the aminoacyl-tRNA
site (A site). tRNA(Lys) sampling and accommodation to the empty A site and EF-G
action either leads to the slippage of the tRNAs into the -1 frame or maintains
the ribosome into the 0 frame. Our results provide a general mechanistic and
conformational framework for -1 frameshifting, highlighting multiple kinetic
branchpoints during elongation.
PMID- 24919158
TI - Automatic segmentation of breast MR images through a Markov random field
statistical model.
AB - An algorithm dedicated to automatic segmentation of breast magnetic resonance
images is presented in this paper. Our approach is based on a pipeline that
includes a denoising step and statistical segmentation. The noise removal
preprocessing relies on an anisotropic diffusion scheme, whereas the statistical
segmentation is conducted through a Markov random field model. The continuous
updating of all parameters governing the diffusion process enables automatic
denoising, and the partial volume effect is also addressed during the labeling
step. To assess the relevance, the Jaccard similarity coefficient was computed.
Experiments were conducted on synthetic data and breast magnetic resonance images
extracted from a high-risk population. The relevance of the approach for the
dataset is highlighted, and we demonstrate accuracy superior to that of
traditional clustering algorithms. The results emphasize the benefits of both
denoising guided by input data and the inclusion of spatial dependency through a
Markov random field. For example, the Jaccard coefficient for the clinical data
was increased by 114%, 109%, and 140% with respect to a K-means algorithm and,
respectively, for the adipose, glandular and muscle and skin components.
Moreover, the agreement between the manual segmentations provided by an
experienced radiologist and the automatic segmentations performed with this
algorithm was good, with Jaccard coefficients equal to 0.769, 0.756, and 0.694
for the above-mentioned classes.
PMID- 24919155
TI - Ultraviolet radiation accelerates BRAF-driven melanomagenesis by targeting TP53.
AB - Cutaneous melanoma is epidemiologically linked to ultraviolet radiation (UVR),
but the molecular mechanisms by which UVR drives melanomagenesis remain unclear.
The most common somatic mutation in melanoma is a V600E substitution in BRAF,
which is an early event. To investigate how UVR accelerates oncogenic BRAF-driven
melanomagenesis, we used a BRAF(V600E) mouse model. In mice expressing
BRAF(V600E) in their melanocytes, a single dose of UVR that mimicked mild sunburn
in humans induced clonal expansion of the melanocytes, and repeated doses of UVR
increased melanoma burden. Here we show that sunscreen (UVA superior, UVB sun
protection factor (SPF) 50) delayed the onset of UVR-driven melanoma, but only
provided partial protection. The UVR-exposed tumours showed increased numbers of
single nucleotide variants and we observed mutations (H39Y, S124F, R245C, R270C,
C272G) in the Trp53 tumour suppressor in approximately 40% of cases. TP53 is an
accepted UVR target in human non-melanoma skin cancer, but is not thought to have
a major role in melanoma. However, we show that, in mice, mutant Trp53
accelerated BRAF(V600E)-driven melanomagenesis, and that TP53 mutations are
linked to evidence of UVR-induced DNA damage in human melanoma. Thus, we provide
mechanistic insight into epidemiological data linking UVR to acquired naevi in
humans. Furthermore, we identify TP53/Trp53 as a UVR-target gene that cooperates
with BRAF(V600E) to induce melanoma, providing molecular insight into how UVR
accelerates melanomagenesis. Our study validates public health campaigns that
promote sunscreen protection for individuals at risk of melanoma.
PMID- 24919159
TI - Serious adverse events associated with vasopressin and norepinephrine infusion in
septic shock.
AB - OBJECTIVE: The frequency, risk factors, and mortality rates of serious adverse
events associated with the use of vasopressin and norepinephrine are not clear.
The objectives of this study were to determine frequency, risk factors (including
candidate gene polymorphisms), and outcomes of serious adverse events in septic
shock patients. DESIGN: Retrospective cohort study using multicenter discovery
and single-center validation cohorts. SETTING: ICUs at academic teaching centers.
PATIENTS: Five hundred ninety-seven patients with septic shock in discovery
(Vasopressin and Septic Shock trial) and 533 patients in validation (St. Paul's
Hospital) cohorts. INTERVENTION: Vasopressin and norepinephrine for septic shock.
MEASUREMENTS AND MAIN RESULTS: The primary outcome variable was 90-day mortality
rates of patients with and without serious adverse events. Secondary outcome
variables were the association between vasopressor genotype pathway
polymorphisms, plasma vasopressin levels, and serious adverse events. Plasma
vasopressin concentrations were measured at baseline, 6 hours, 24 hours, 72
hours, and 7 days after vasopressor infusion. Patients with septic shock were
genotyped for 268 vasopressor pathway tag single-nucleotide polymorphisms.
Serious adverse events occurred in 10.5% and 9.7% of patients in Vasopressin and
Septic Shock trial and St. Paul's Hospital cohorts, respectively. Patients who
had serious adverse events had higher mortality (p < 0.01) than patients without
serious adverse events (adjusted for age, serum lactate, Acute Physiology and
Chronic Health Evaluation II, and maximum dose of norepinephrine day 1) (hazard
ratio, 2.97; 95% CI, 2.20-4.00; p < 0.001 and hazard ratio, 1.89; 95% CI, 1.26
2.85; p = 0.002 in Vasopressin and Septic Shock trial and St. Paul's Hospital,
respectively). There was no difference in the area under the plasma vasopressin
concentration curve between patients with and without serious adverse events (p =
0.1). The AA genotype of rs28418396 single-nucleotide polymorphism (near the
arginine vasopressin receptor 1b gene) was significantly associated with serious
adverse events in discovery and validation cohorts (p = 0.001 and p = 0.04,
respectively). CONCLUSION: Serious adverse events associated with vasopressin and
norepinephrine in patients who have septic shock are associated with increased
mortality and morbidity. AA genotype of rs28418396 single-nucleotide polymorphism
near the arginine vasopressin receptor 1b gene is associated with serious adverse
events. The mechanism of this association requires investigation.
PMID- 24919160
TI - Sepsis severity score: an internationally derived scoring system from the
surviving sepsis campaign database*.
AB - OBJECTIVE: As the Surviving Sepsis Campaign was assessing patient-level data over
multiple countries, we sought to evaluate the use of a pragmatic and parsimonious
severity-of-illness scoring system for patients with sepsis in an attempt to
provide appropriate comparisons with practical application. DESIGN: Prospective,
observational evaluation. PATIENTS: Data from 23,438 patients with suspected or
confirmed sepsis from 218 hospitals in 18 countries were evaluated. SETTING: This
analysis was conducted on prospective data submitted to a database from January
2005 through March 2010. INTERVENTIONS: None. MEASUREMENTS AND MAIN RESULTS:
Maximum likelihood logistic regression was used to estimate model coefficients,
and these were then used to develop a Sepsis Severity Score. The probability of
hospital mortality was estimated using the Sepsis Severity Score as the sole
variable in a logistic regression model. Univariable logistic regression
determined which variables were included in the multivariable predictor model.
The scale of continuous variables was assessed using fractional polynomials. Two
way interactions between variables were considered for model inclusion if the
interaction p value is less than 0.05. The prediction model was developed based
on randomly selecting 90% of available patients and was validated on the
remaining 10%, as well as by using a bootstrapping technique. The p values for
the Hosmer-Lemeshow goodnessof-fit statistic in the developmental and validation
datasets were considerably greater than 0.05, suggesting good calibration.
Development and validation areas under the receiver operator curve curves were
0.736 and 0.748, respectively. Observed and estimated probabilities of hospital
mortality for the total population were both 0.334. The validation and the
developmental datasets were gradually compared over deciles of predicted
mortality and found to be very similar. CONCLUSION: The Sepsis Severity Score
accurately estimated the probability of hospital mortality in severe sepsis and
septic shock patients. It performed well with respect to calibration and
discrimination, which remained consistent over deciles. It functioned well over
international geographic regions. This robust, population-specific evaluation of
international severe sepsis patients provides an effective and accurate mortality
estimate allowing for appropriate quality comparisons with practical clinical and
research application.
PMID- 24919161
TI - Assessing work ability--a cross-sectional study of interrater agreement between
disability claimants, treating physicians, and medical experts.
AB - OBJECTIVES: It is unclear to what extent assessments of work ability differ
between disability claimants, their treating physicians, and multidisciplinary
medical expert teams. METHODS: We compared assessments of work ability for
consecutive disability claimants referred to a multidisciplinary assessment
center in Switzerland over a 4-year period. Assessments were made for the last
job (LJ) prior to claiming a disability benefit and an alternative job (AJ)
thought to suit the claimant's physical and mental abilities. Mean differences
(MD) in percentage work ability between assessments from claimants, physicians,
and experts were then estimated in a linear regression model. RESULTS: The 3562
claims made during the study period were mostly due to musculoskeletal and
depressive disorders. Assessments differed little between claimants and
physicians [LJ MD 1.3% (95% confidence interval [95% CI] 0.5-2.2%); AJ MD 11%
(95% CI 10-12%)]. Experts on average assessed a claimant's work ability higher
than either the claimant or physician, particularly in the AJ [MD between expert
and claimant 57% (95% CI 56-58%) and between expert and physician 46% (95% CI 45
48%)]. CONCLUSIONS: Assessments of work ability differed substantially between
experts in multidisciplinary medical teams and both claimants and their treating
physicians. A careful evaluation of the disability assessment process is needed
in an effort to reduce disagreement between expert teams and treating physicians
and so improve acceptance of the process.
PMID- 24919162
TI - Tunable chiral spin texture in magnetic domain-walls.
AB - Magnetic domain-walls (DWs) with a preferred chirality exhibit very efficient
current-driven motion. Since structural inversion asymmetry (SIA) is required for
their stability, the observation of chiral domain walls in highly symmetric
Pt/Co/Pt is intriguing. Here, we tune the layer asymmetry in this system and
observe, by current-assisted DW depinning experiments, a small chiral field which
sensitively changes. Moreover, we convincingly link the observed efficiency of DW
motion to the DW texture, using DW resistance as a direct probe for the internal
orientation of the DW under the influence of in-plane fields. The very delicate
effect of capping layer thickness on the chiral field allows for its accurate
control, which is important in designing novel materials for optimal spin-orbit
torque-driven DW motion.
PMID- 24919163
TI - Short- and mid-term outcomes after early surgical training in laparoscopic
colorectal cancer surgery: trainees' performance has no negative impact.
AB - BACKGROUND: This study aimed to evaluate the safety and quality of laparoscopic
colorectal cancer surgery undertaken by trainees. PATIENTS AND METHODS: From a
prospectively maintained database, we identified 456 consecutive patients who
underwent laparoscopic resection for colorectal cancer between 2006 and 2010.
Short-term operative outcomes, relapse-free survival (RFS), and overall survival
(OS) were compared between operations undertaken by the experts (E group) and
trainees (T group). Multivariate analyses were performed for RFS and OS in stage
II/III disease. RESULTS: Trainees performed 313 surgeries (68.6%) and completed
the procedure by themselves in 297 cases (94.9%). Short-term outcomes, including
operative time, blood loss, conversion, complication, mortality, and retrieval of
less than 12 lymph nodes, were comparable between the E group and the T group.
After a median follow-up period of 35 months, RFS and OS were similar between the
two groups, with the exception of OS for stage II (3-year OS for E group versus T
group, 96.9% versus 87.0%; P=.029); however, this difference disappeared after
multivariate analyses. Multivariate analyses showed that positive resection
margin and higher log carcinoembryonic antigen (CEA) levels were associated with
lower RFS. Furthermore, increasing age, positive resection margin, higher log CEA
levels, intraoperative surgeon exchange, rectal cancer, postoperative
complications, absence of postoperative chemotherapy, and shorter operative time
were associated with poor OS. CONCLUSIONS: Laparoscopic operations undertaken by
trainees did not negatively affect short-term outcomes and were not associated
with impaired mid-term oncologic outcomes. Our findings support early initiation
of training in laparoscopic surgery for colorectal cancer treatment.
PMID- 24919164
TI - Schizophrenia: from genetics to biology to predictive medicine.
AB - Identifying genes for psychiatric disorders using traditional genetic approaches
has thus far proven quite difficult. Reasons for this include the complexity of
these disorders and the poor definition of the clinical phenotype. However,
recent studies have demonstrated the power of an approach called convergent
functional genomics (CFG). CFG is a methodology that integrates different types
of data to increase the ability to identify genes involved in various psychiatric
and nonpsychiatric disorders. The work exemplified in this article integrated
human brain and blood gene expression data, relevant animal model brain and blood
gene expression data, and human genetic data to identify candidate genes and
blood biomarkers for schizophrenia.
PMID- 24919165
TI - The longitudinal course of cognitive impairment in schizophrenia: an examination
of data from premorbid through posttreatment phases of illness.
AB - Cognitive impairment is a core feature of schizophrenia that is present across
the course of the illness. However, due to complexities of studying cognitive
decline in patients prior to the onset of illness, the longitudinal course is not
fully understood. The cognitive effects in patients with schizophrenia are
robust, with a 1.5 to 2.5 standard deviation gap between patients and healthy
controls on composite scores. People with schizophrenia manifest a prior history
of cognitive impairment in the premorbid phases of the illness. Examination of
school records suggests that children who will eventually develop schizophrenia
begin school at a level of functioning that is a full grade behind their peers,
with the gap increasing by the time they finish high school. Epidemiologic work
suggests that there are both static cognitive impairments and developmental lags
in these patients during childhood, well before the illness is fully manifest.
Although there was initial promise of improved cognitive function with second
generation antipsychotic treatment, more recent studies have suggested no
differences among antipsychotics, with the initial appearance of improvement very
likely attributable to practice effects, inappropriate medication dosing, and
poor study design. Two large, prominent studies evaluating first- and second
generation antipsychotics suggested that, although there was slight to modest
improvement in cognitive function for all treatments, there were no differences
among medications, regardless of the generation of the agents. In summary,
patients who develop schizophrenia, on average, demonstrate cognitive impairment
beginning as early as the first grade, with deterioration seen across school
years. Further, these patients had substantial cognitive deficits after the
initiation of psychosis. Finally, while antipsychotic treatment improves
symptoms, antipsychotics have little impact on cognition, and there appear to be
no differences in the degree of cognitive improvement between first- and second
generation agents.
PMID- 24919166
TI - Social cognition in schizophrenia.
AB - The topic of social cognition has attracted considerable interest in
schizophrenia over the last several years. This construct generally refers to the
detection, processing, and utilization of social information and, within the
field of schizophrenia, includes several skills such as recognizing emotion,
understanding the thoughts and intentions of others, and interpreting social
cues. Individuals with schizophrenia show significant impairments in social
cognition, and these impairments are strongly related to functional outcome.
Treating social cognition yields significant improvements in real-world outcomes,
including social functioning and social skill. Importantly, social cognitive
abilities are linked to specific neural circuits that have been shown to be
abnormal in individuals with schizophrenia. Investigations of these neural
networks in patients have also demonstrated that brain activation is
significantly correlated with social functioning, which suggests that abnormal
activation in social cognitive networks may serve as a mechanism for social
dysfunction in schizophrenia. Among the many challenges in this area is the issue
of measurement. There is disagreement about which tasks best measure social
cognition and many existing measures show poor psychometric properties. A recent
project, called the Social Cognition Psychometric Evaluation (SCOPE) study, aims
to address these problems by providing the field with a well-validated battery of
social cognitive tasks that can be used in treatment outcome trials. Research is
honing in on the potential mechanisms of social cognitive impairment in patients,
and with improved measurement, there is promise for optimizing behavioral and
pharmacologic interventions and remediation strategies.
PMID- 24919167
TI - Why are the outcomes in patients with schizophrenia so poor?
AB - Despite many advances in the treatment of schizophrenia over the past 50 years,
the outcomes for many patients with schizophrenia remain poor. While the majority
of patients with a first episode of schizophrenia may be able to achieve and
maintain a remission of symptoms, only 1 in 7 patients are likely to meet
criteria for recovery. These findings could be easily reconciled if schizophrenia
could be established to be a progressive brain disease. Results from longitudinal
studies of brain structure, cognitive functioning, and clinical outcomes,
however, do not support this view. The poor outcomes so commonly observed are
likely best explained by poor access to treatment, poor engagement in ongoing
care, poor treatment response, and poor adherence together with the cumulative
negative impact of substance abuse, comorbid psychiatric disorders, cognitive
deficits, and multiple social determinants of health.
PMID- 24919168
TI - The early longitudinal course of cognitive deficits in schizophrenia.
AB - Cognitive impairment is a core feature of schizophrenia. However, the
longitudinal course and pattern of this impairment, and its relationship to
functional outcome, are not fully understood. Among the likely factors in the
persistence of cognitive deficits in schizophrenia are brain tissue changes over
time, which in turn appear to be related to antipsychotic medication adherence.
Cognitive deficits are viewed as a core feature of schizophrenia primarily
because cognitive deficits clearly exist before the onset of psychosis and can
predict illness onset among those at high risk of developing the illness.
Additionally, these deficits often persist during symptomatic remissions in
patients and are relatively stable across time both in patients and in
individuals at risk for schizophrenia. Despite clear evidence that cognitive
impairment can predict functional outcome in chronic schizophrenia, results of
studies examining this relationship in the early phase of psychosis have been
mixed. Recent data, however, strongly suggest that interventions targeting early
cognitive deficits may be crucial to the prevention of chronic disability and
thus should be a prominent target for therapy. Finally, it is vital to keep
schizophrenia patients consistently on their antipsychotic medications. A novel
method of examining intracortical myelin volume indicated that the choice of
antipsychotic treatment had a differential impact on frontal myelination. These
data suggest that long-acting injectable antipsychotic medication may prevent
patients from declining further through a combination of better adherence and
pharmacokinetics.
PMID- 24919169
TI - Patient outcomes within schizophrenia treatment: a look at the role of long
acting injectable antipsychotics.
AB - Compliance is a critical issue across all chronic conditions, including
schizophrenia. Compliance is not an all-or-nothing phenomenon, with a continuum
from taking all medications as prescribed to partial compliance to complete
noncompliance. Partial compliance is a serious problem that may result in abrupt
dose changes leading to unanticipated adverse effects and can demoralize the
patient. Further, there is a nearly 5-fold increase in the risk of relapse in
first-episode patients when antipsychotic drug treatment is discontinued. Taken
together, these data indicate that it is critical to ensure continuous delivery
of antipsychotic treatment. Atypical antipsychotic medications were expected to
result in better adherence, primarily because of the anticipated improved
efficacy and safety profile. However, atypical agents have poor adherence,
irrespective of the type of atypical medication, making it difficult to predict
which patients are taking their oral medications. Long-acting injectable (LAI)
agents may minimize the fluctuations in peak and overall plasma levels compared
with oral agents, indicating they may allow more consistent and predictable
administration. Based on clinical experience in my practice, several important
observations regarding LAI use in patients with schizophrenia have been
identified. First, there are potential advantages to using LAIs, including
assistance in understanding reasons for poor response, the possibility of
eliminating daily pill ingestion, and the elimination of the abrupt loss of
medication coverage. There are also several potential obstacles to the use of
LAIs, including a lack of infrastructure for the delivery and disposal of
syringes and the ease of use with the oral agents. Several strategies can be used
to increase patient willingness to initiate and continue LAI therapy. Strategies
to improve acceptance involve presenting the option with enthusiasm, ensuring
proper goal setting, educating the patient that this treatment is not equivalent
to emergency injections, and repeatedly recommending LAI therapy. Adherence can
be improved by ensuring samples are available in the clinical setting at all
times.
PMID- 24919170
TI - What is the evidence for changes in cognition and functioning over the lifespan
in patients with schizophrenia?
AB - Cognitive deficits in schizophrenia are important predictors of impairment in
most functional domains and are a critical therapeutic target. These deficits
appear at or before the onset of illness, are stable across time in most
patients, and can be improved by cognitive remediation treatments. Recent
evidence, however, suggests that cognitive function does not necessarily follow a
pattern of age-related decline. Several studies evaluated this pattern of decline
in schizophrenia patients compared to both natural aging and degenerative
conditions such as Alzheimer's disease. Age-related differences were not
comparable to either normal aging or Alzheimer's disease. Older patients with an
extensive history of illness and protracted institutionalization have shown a
greater progressive decline. These deficits were also observed in older patients
who were no longer institutionalized, with these patients demonstrating decline
in functional capacity across time compared to healthy controls and patients with
no lengthy institutional stay. There were 2 clear conclusions from this body of
data. First, there appear to be 2 separate periods of deterioration in
schizophrenia patients. These patients appear to decline the most at 2 key time
points; the first occurs some time prior to the first psychotic episode and the
second begins at approximately 65 years of age. The second important conclusion
is that these 2 important periods of time may be the time point at which an
aggressive intervention may have the greatest impact.
PMID- 24919171
TI - Expression, production, and renaturation of a functional single-chain variable
antibody fragment (scFv) against human ICAM-1.
AB - Intercellular adhesion molecule-1 (ICAM-1) is an important factor in the
progression of inflammatory responses in vivo. To develop a new anti-inflammatory
drug to block the biological activity of ICAM-1, we produced a monoclonal
antibody (Ka=4.19 * 10(-8) M) against human ICAM-1. The anti-ICAM-1 single-chain
variable antibody fragment (scFv) was expressed at a high level as inclusion
bodies in Escherichia coli. We refolded the scFv (Ka=2.35 * 10(-7) M) by ion
exchange chromatography, dialysis, and dilution. The results showed that column
chromatography refolding by high-performance Q Sepharose had remarkable
advantages over conventional dilution and dialysis methods. Furthermore, the anti
ICAM-1 scFv yield of about 60 mg/L was higher with this method. The purity of the
final product was greater than 90%, as shown by denaturing gel electrophoresis.
Enzyme-linked immunosorbent assay, cell culture, and animal experiments were used
to assess the immunological properties and biological activities of the renatured
scFv.
PMID- 24919172
TI - Modeling pulmonary fibrosis by abnormal expression of
telomerase/apoptosis/collagen V in experimental usual interstitial pneumonia.
AB - Limitations on tissue proliferation capacity determined by telomerase/apoptosis
balance have been implicated in pathogenesis of idiopathic pulmonary fibrosis. In
addition, collagen V shows promise as an inductor of apoptosis. We evaluated the
quantitative relationship between the telomerase/apoptosis index, collagen V
synthesis, and epithelial/fibroblast replication in mice exposed to butylated
hydroxytoluene (BHT) at high oxygen concentration. Two groups of mice were
analyzed: 20 mice received BHT, and 10 control mice received corn oil. Telomerase
expression, apoptosis, collagen I, III, and V fibers, and hydroxyproline were
evaluated by immunohistochemistry, in situ detection of apoptosis, electron
microscopy, immunofluorescence, and histomorphometry. Electron microscopy
confirmed the presence of increased alveolar epithelial cells type 1 (AEC1) in
apoptosis. Immunostaining showed increased nuclear expression of telomerase in
AEC type 2 (AEC2) between normal and chronic scarring areas of usual interstitial
pneumonia (UIP). Control lungs and normal areas from UIP lungs showed weak green
birefringence of type I and III collagens in the alveolar wall and type V
collagen in the basement membrane of alveolar capillaries. The increase in
collagen V was greater than collagens I and III in scarring areas of UIP. A
significant direct association was found between collagen V and AEC2 apoptosis.
We concluded that telomerase, collagen V fiber density, and apoptosis evaluation
in experimental UIP offers the potential to control reepithelization of alveolar
septa and fibroblast proliferation. Strategies aimed at preventing high rates of
collagen V synthesis, or local responses to high rates of cell apoptosis, may
have a significant impact in pulmonary fibrosis.
PMID- 24919173
TI - Cyst infection in hospital-admitted autosomal dominant polycystic kidney disease
patients is predominantly multifocal and associated with kidney and liver volume.
AB - Positron-emission tomography/computed tomography (PET/CT) has improved cyst
infection (CI) management in autosomal dominant polycystic kidney disease
(ADPKD). The determinants of kidney and/or liver involvement, however, remain
uncertain. In this study, we evaluated clinical and imaging factors associated
with CI in kidney (KCI) and liver (LCI) in ADPKD. A retrospective cohort study
was performed in hospital-admitted ADPKD patients with suspected CI. Clinical,
imaging and surgical data were analyzed. Features of infected cysts were
evaluated by PET/CT. Total kidney (TKV) and liver (TLV) volumes were measured by
CT-derived multiplanar reconstruction. CI was detected in 18 patients who
experienced 24 episodes during an interval of 30 months (LCI in 12, KCI in 10 and
concomitant infection in 2). Sensitivities of CT, magnetic resonance imaging and
PET/CT were 25.0, 71.4, and 95.0%. Dysuria (P<0.05), positive urine culture
(P<0.01), and previous hematuria (P<0.05) were associated with KCI. Weight loss
(P<0.01) and increased C-reactive protein levels (P<0.05) were associated with
LCI. PET/CT revealed that three or more infected cysts were present in 70% of the
episodes. TKV was higher in kidney-affected than in LCI patients (AUC=0.91,
P<0.05), with a cut-off of 2502 mL (72.7% sensitivity, 100.0% specificity). TLV
was higher in liver-affected than in KCI patients (AUC=0.89, P<0.01) with a cut
off of 2815 mL (80.0% sensitivity, 87.5% specificity). A greater need for
invasive procedures was observed in LCI (P<0.01), and the overall mortality was
20.8%. This study supports PET/CT as the most sensitive imaging method for
diagnosis of cyst infection, confirms the multifocal nature of most hospital
admitted episodes, and reveals an association of kidney and liver volumes with
this complication.
PMID- 24919174
TI - Mortality rate after open Roux-in-Y gastric bypass: a 10-year follow-up.
AB - The prevalence of obesity has increased to epidemic status worldwide. Thousands
of morbidly obese individuals undergo bariatric surgery for sustained weight
loss; however, mid- and long-term outcomes of this surgery are still uncertain.
Our objective was to estimate the 10-year mortality rate, and determine risk
factors associated with death in young morbidly obese adults who underwent
bariatric surgery. All patients who underwent open Roux-in-Y gastric bypass
surgery between 2001 and 2010, covered by an insurance company, were analyzed to
determine possible associations between risk factors present at the time of
surgery and deaths related and unrelated to the surgery. Among the 4344 patients
included in the study, 79% were female with a median age of 34.9 years and median
body mass index (BMI) of 42 kg/m(2). The 30-day and 10-year mortality rates were
0.55 and 3.34%, respectively, and 53.7% of deaths were related to early or late
complications following bariatric surgery. Among these, 42.7% of the deaths were
due to sepsis and 24.3% to cardiovascular complications. Male gender, age >=50
years, BMI >=50 kg/m(2), and hypertension significantly increased the hazard for
all deaths (P<0.001). Age >=50 years, BMI >=50 kg/m(2), and surgeon inexperience
elevated the hazard of death from causes related to surgery. Male gender and age
>=50 years were the factors associated with increased mortality from death not
related to surgery. The overall risk of death after bariatric surgery was quite
low, and half of the deaths were related to the surgery. Older patients and
superobese patients were at greater risk of surgery-related deaths, as were
patients operated on by less experienced surgeons.
PMID- 24919175
TI - Meta-analysis on the efficacy and tolerability of the augmentation of
antidepressants with atypical antipsychotics in patients with major depressive
disorder.
AB - We assessed the efficacy and tolerability of the augmentation of antidepressants
(ATDs) with atypical antipsychotics (AAPs) to treat patients with major
depressive disorder. A retrograde study to identify relevant patient data
included databases of PubMed, EMBASE, Cochrane Central Register of Controlled
Trials, and Database of Abstracts of Reviews of Effects. Data from 17 trials,
involving 3807 participants, were identified. The remission rate (RR) and overall
response rate (ORR) of adjunctive treatment with AAPs were significantly higher
than placebo treatment: RR=1.90 (95%CI=1.61-2.23, z=7.74, P<0.00001) and ORR=1.68
(95%CI=1.45-1.94, z=7.07, P<0.00001). We found that the short-term (4 weeks)
treatment [ORR=1.70 (95%CI=0.98-2.95, Z=1.89, P=0.06)] was significantly
different from the long-term (6-12 weeks) treatment [ORR=1.68 (95%CI=1.45-1.94,
z=7.07, P<0.00001)]. No significant difference in ORR was observed between groups
with or without sedative drugs. The discontinuation rate due to adverse effects
was higher for adjunctive treatment with AAPs: ORR=3.32 (95%CI=2.35-4.70, z=6.78,
P<0.00001). These results demonstrate that the augmentation of ATDs with AAPs
(olanzapine, quetiapine, aripiprazole, and risperidone) was more effective than a
placebo in improving response and remission rates, although associated with a
higher discontinuation rate due to adverse effects.
PMID- 24919176
TI - The psychosexual profile of sexual assistants: an internet-based explorative
study.
AB - INTRODUCTION: Sexual assistance may have some aspects that resemble prostitution
and others that might lead one to think of sexual assistants as similar to a
group of subjects whose sexual object is disability (devotees). In this study, we
investigate whether a rigorous selection and training process on the part of
specialised organisations may reduce the risk of training subjects with an
atypical sexual interest and behaviours resembling prostitution. MATERIALS AND
METHODS: The study population consisted of 152 subjects defining themselves as
sexual assistants. Subjects were initially contacted on websites specifically
dedicated to sexual assistants and prostitutes. One hundred and twenty subjects
were selected, by propensity score analysis, and studied by means of a modified
version of a semi-structured questionnaire previously developed to investigate a
population of subjects attracted by disability. RESULTS: The study group was
composed of 80 trained and 40 untrained sexual assistants, with mean ages of 41.5
(SD +/-12.58) and 44.5 (SD +/-11.62), respectively. A significant number of
untrained subjects affirmed that their motivation in carrying out sexual
assistance was of a remunerative nature, while this number was lower among the
trained assistants (p = 0.001). Nearly all untrained subjects claimed to do one
or more of the following activities during sexual assistance: sexual intercourse,
oral sex, and masturbation. Among the trained subjects, however, only 47.5%
claimed to do one or more of these activities, which means that there is a
significant gap between trained and untrained assistants (p<0.0001). The
existence of an atypical sexual interest was more evident between untrained
rather than between trained subjects (p<0.0001). CONCLUSIONS: Sexual assistance
represents a way through which people affected by disabilities may attain the
right to explore their sexuality in a safe setting. This can be guaranteed only
if sexual assistants are trained and carefully selected by specialised
organisations.
PMID- 24919178
TI - Long-lasting, kin-directed female interactions in a spatially structured wild
boar social network.
AB - Individuals can increase inclusive fitness benefits through a complex network of
social interactions directed towards kin. Preferential relationships with
relatives lead to the emergence of kin structures in the social system. Cohesive
social groups of related individuals and female philopatry of wild boar create
conditions for cooperation through kin selection and make the species a good
biological model for studying kin structures. Yet, the role of kinship in shaping
the social structure of wild boar populations is still poorly understood. In the
present study, we investigated spatio-temporal patterns of associations and the
social network structure of the wild boar Sus scrofa population in Bialowieza
National Park, Poland, which offered a unique opportunity to understand wild boar
social interactions away from anthropogenic factors. We used a combination of
telemetry data and genetic information to examine the impact of kinship on
network cohesion and the strength of social bonds. Relatedness and spatial
proximity between individuals were positively related to the strength of social
bond. Consequently, the social network was spatially and genetically structured
with well-defined and cohesive social units. However, spatial proximity between
individuals could not entirely explain the association patterns and network
structure. Genuine, kin-targeted, and temporarily stable relationships of females
extended beyond spatial proximity between individuals while males interactions
were short-lived and not shaped by relatedness. The findings of this study
confirm the matrilineal nature of wild boar social structure and show how social
preferences of individuals translate into an emergent socio-genetic population
structure.
PMID- 24919177
TI - Reactivation of multiple viruses in patients with sepsis.
AB - A current controversy is whether patients with sepsis progress to an
immunosuppressed state. We hypothesized that reactivation of latent viruses
occurred with prolonged sepsis thereby providing evidence of clinically-relevant
immunosuppression and potentially providing a means to serially-monitor patients'
immune status. Secondly, if viral loads are markedly elevated, they may
contribute to morbidity and mortality. This study determined if reactivation of
herpesviruses, polyomaviruses, and the anellovirus TTV occurred in sepsis and
correlated with severity. Serial whole blood and plasma samples from 560
critically-ill septic, 161 critically-ill non-septic, and 164 healthy age-matched
patients were analyzed by quantitative-polymerase-chain-reaction for
cytomegalovirus (CMV), Epstein-Barr (EBV), herpes-simplex (HSV), human herpes
virus-6 (HHV-6), and TTV. Polyomaviruses BK and JC were quantitated in urine.
Detectable virus was analyzed with respect to secondary fungal and opportunistic
bacterial infections, ICU duration, severity of illness, and survival. Patients
with protracted sepsis had markedly increased frequency of detectable virus.
Cumulative viral DNA detection rates in blood were: CMV (24.2%), EBV (53.2%), HSV
(14.1%), HHV-6 (10.4%), and TTV (77.5%). 42.7% of septic patients had presence of
two or more viruses. The 50% detection rate for herpesviruses was 5-8 days after
sepsis onset. A small subgroup of septic patients had markedly elevated viral
loads (>104-106 DNA copies/ml blood) for CMV, EBV, and HSV. Excluding TTV,
DNAemia was uncommon in critically-ill non-septic patients and in age-matched
healthy controls. Compared to septic patients without DNAemia, septic patients
with viremia had increased fungal and opportunistic bacterial infections.
Patients with detectable CMV in plasma had higher 90-day mortality compared to
CMV-negative patients; p<0.05. Reactivation of latent viruses is common with
prolonged sepsis, with frequencies similar to those occurring in transplant
patients on immunosuppressive therapy and consistent with development of an
immunosuppressive state. Whether reactivated latent viruses contribute to
morbidity and mortality in sepsis remains unknown.
PMID- 24919179
TI - The interplay between histone deacetylases and c-Myc in the transcriptional
suppression of HPP1 in colon cancer.
AB - HPP1 (hyperplastic polyposis protein 1), a tumor suppressor gene, is
downregulated by promoter hypermethylation in a number of tumor types including
colon cancer. c-Myc is also known to play a role in the suppression of HPP1
expression via binding to a promoter region cognate E-box site. The contribution
of histone deacetylation as an additional epigenetic mechanism and its potential
interplay with c-Myc in the transcriptional regulation of HPP1 are unknown. We
have shown that the treatment of the HPP1-non-expressing colon cancer cell lines,
HCT116 and DLD-1 with HDAC inhibitors results in re-expression of HPP1. RNAi
mediated knockdown of c-Myc as well as of HDAC2 and HDAC3 in HCT116 and of HDAC1
and HDAC3 in DLD-1 also resulted in significant re-expression of HPP1. Co
immunoprecipitation (IP), chromatin IP (ChIP), and sequential ChIP experiments
demonstrated binding of c-Myc to the HPP1 promoter with recruitment of and direct
interaction with HDAC3. In summary, we have demonstrated that c-Myc contributes
to the epigenetic regulation of HPP1 via the dominant recruitment of HDAC3. Our
findings may lead to a greater biologic understanding for the application of
targeted use of HDAC inhibitors for anti-cancer therapy.
PMID- 24919180
TI - Intra-myocardial injection of both growth factors and heart derived Sca-1+/CD31-
cells attenuates post-MI LV remodeling more than does cell transplantation alone:
neither intervention enhances functionally significant cardiomyocyte
regeneration.
AB - Insulin-like growth factor 1 (IGF-1) and hepatocyte growth factor (HGF) are two
potent cell survival and regenerative factors in response to myocardial injury
(MI). We hypothesized that simultaneous delivery of IGF+HGF combined with Sca
1+/CD31- cells would improve the outcome of transplantation therapy in response
to the altered hostile microenvironment post MI. One million adenovirus nuclear
LacZ-labeled Sca-1+/CD31- cells were injected into the peri-infarction area after
left anterior descending coronary artery (LAD) ligation in mice. Recombinant
mouse IGF-1+HGF was added to the cell suspension prior to the injection. The left
ventricular (LV) function was assessed by echocardiography 4 weeks after the
transplantation. The cell engraftment, differentiation and cardiomyocyte
regeneration were evaluated by histological analysis. Sca-1+/CD31- cells formed
viable grafts and improved LV ejection fraction (EF) (Control, 54.5+/-2.4; MI,
17.6+/-3.1; Cell, 28.2+/-4.2, n = 9, P<0.01). IGF+HGF significantly enhanced the
benefits of cell transplantation as evidenced by increased EF (38.8+/-2.2; n = 9,
P<0.01) and attenuated adverse structural remodeling. Furthermore, IGF+HGF
supplementation increased the cell engraftment rate, promoted the transplanted
cell survival, enhanced angiogenesis, and minimally stimulated endogenous
cardiomyocyte regeneration in vivo. The in vitro experiments showed that IGF+HGF
treatment stimulated Sca-1+/CD31- cell proliferation and inhibited serum free
medium induced apoptosis. Supperarray profiling of Sca-1+/CD31- cells revealed
that Sca-1+/CD31- cells highly expressed various trophic factor mRNAs and IGF+HGF
treatment altered the mRNAs expression patterns of these cells. These data
indicate that IGF-1+HGF could serve as an adjuvant to cell transplantation for
myocardial repair by stimulating donor cell and endogenous cardiac stem cell
survival, regeneration and promoting angiogenesis.
PMID- 24919181
TI - Mapping large-area landscape suitability for honey bees to assess the influence
of land-use change on sustainability of national pollination services.
AB - Pollination is a critical ecosystem service affected by various drivers of land
use change, such as policies and programs aimed at land resources, market values
for crop commodities, local land-management decisions, and shifts in climate. The
United States is the world's most active market for pollination services by honey
bees, and the Northern Great Plains provide the majority of bee colonies used to
meet the Nation's annual pollination needs. Legislation requiring increased
production of biofuel crops, increasing commodity prices for crops of little
nutritional value for bees in the Northern Great Plains, and reductions in
government programs aimed at promoting land conservation are converging to alter
the regional landscape in ways that challenge beekeepers to provide adequate
numbers of hives for national pollination services. We developed a spatially
explicit model that identifies sites with the potential to support large apiaries
based on local-scale land-cover requirements for honey bees. We produced maps of
potential apiary locations for North Dakota, a leading producer of honey, based
on land-cover maps representing (1) an annual time series compiled from existing
operational products and (2) a realistic scenario of land change. We found that
existing land-cover products lack sufficient local accuracy to monitor actual
changes in landscape suitability for honey bees, but our model proved informative
for evaluating effects on suitability under scenarios of land change. The
scenario we implemented was aligned with current drivers of land-use change in
the Northern Great Plains and highlighted the importance of conservation lands in
landscapes intensively and extensively managed for crops.
PMID- 24919182
TI - Hemoglobin oxygen affinity in patients with cystic fibrosis.
AB - In patients with cystic fibrosis lung damages cause arterial hypoxia. As a
typical compensatory reaction one might expect changes in oxygen affinity of
hemoglobin. Therefore position (standard half saturation pressure P50st) and
slope (Hill's n) of the O2 dissociation curve as well as the Bohr coefficients
(BC) for CO2 and lactic acid were determined in blood of 14 adult patients (8
males, 6 females) and 14 healthy controls (6 males, 8 females). While Hill's n
amounted to approximately 2.6 in all subjects, P50st was slightly increased by 1
mmHg in both patient groups (controls male 26.7 +/- 0.2, controls female 27.0 +/-
0.1, patients male 27.7 +/- 0.5, patients female 28.0 +/- 0.3 mmHg; mean and
standard error, overall p<0.01). Main cause was a rise of 1-2 umol/g hemoglobin
in erythrocytic 2,3-biphosphoglycerate concentration. One patient only, clearly
identified as an outlier and with the mutation G551D, showed a reduction of both
P50st (24.5 mmHg) and [2,3-biphosphoglycerate] (9.8 umol/g hemoglobin). There
were no differences in BCCO2, but small sex differences in the BC for lactic acid
in the controls which were not detectable in the patients. Causes for the right
shift of the O2 dissociation curve might be hypoxic stimulation of erythrocytic
glycolysis and an increased red cell turnover both causing increased [2,3
biphosphoglycerate]. However, for situations with additional hypercapnia as
observed in exercising patients a left shift seems to be a more favourable
adaptation in cystic fibrosis. Additionally when in vivo PO2 values were
corrected to the standard conditions they mostly lay left of the in vitro O2
dissociation curve in both patients and controls. This hints to unknown fugitive
factors influencing oxygen affinity.
PMID- 24919183
TI - The morphologic and immunohistochemical spectrum of papillary renal cell
carcinoma: study including 132 cases with pure type 1 and type 2 morphology as
well as tumors with overlapping features.
AB - Papillary renal cell carcinomas (pRCC) are classically divided into type 1 and 2
tumors. However, many cases do not fulfill all the criteria for either type. We
describe the clinical, morphologic, and immunohistochemical (IHC) features of 132
pRCCs to better characterize the frequency and nature of tumors with overlapping
features. Cases were reviewed and classified; IHC evaluation of CK7, EMA,
TopoIIalpha, napsin A, and AMACR was performed on 95 cases. The frequencies of
type 1, type 2, and "overlapping" pRCC were 25%, 28%, and 47%, respectively. The
2 categories of "overlapping" tumors were: (1) cases with bland cuboidal cells
but no basophilic cytoplasm (type A); and (2) cases with predominantly type 1
histology admixed with areas showing prominent nucleoli (type B). The pathologic
stage of "overlapping" cases showed concordance with type 1 tumors. Using the 2
discriminatory markers (CK7, EMA), "type A" cases were similar to type 1.
Although the high-nuclear grade areas of "type B" tumors showed some staining
differences from their low-nuclear grade counterpart, their IHC profile was
closer to type 1. Single nucleotide polymorphism array results, although
preliminary and restricted to only 9 cases (3 with overlapping features), also
seemed to confirm those findings. In conclusion, we demonstrate that variations
in cytoplasmic quality and/or presence of high-grade nuclei in tumors otherwise
displaying features of type 1 pRCCs are similar in stage and IHC profile those
with classic type 1 histology, suggesting that their spectrum might be wider than
originally described.
PMID- 24919184
TI - External validation of a prognostic model for seizure recurrence following a
first unprovoked seizure and implications for driving.
AB - OBJECTIVE: In the United Kingdom and other European Union countries guidelines
for driving following a first unprovoked seizure require the risk of another
seizure in the next year to be less than 20%. Using data from one clinical trial,
we previously developed a prognostic model to inform driving guidelines. The
objective of this work is to externally validate our published model and
demonstrate its generalisability. METHODS: A cohort of 620 people with a first
unprovoked seizure was used to develop the original model which included
variables for aetiology, first degree relative with epilepsy, seizures only while
asleep, electroencephalogram, computed tomography or magnetic resonance scan
result, and treatment policy. The validation cohorts consisted of 274 (United
Kingdom), 305 (Italy), and 847 (Australia) people. The model was evaluated using
discrimination and calibration methods. A covariate, missing from the Italian
dataset, was handled via five imputation methods. Following external validation,
the model was fitted to a pooled population comprising all validation datasets
and the development dataset. The model was stratified by dataset. RESULTS: The
model generalised relatively well. All methods of imputation performed fairly
similarly. At six months, the risk of a seizure recurrence following a first ever
seizure, based on the pooled datasets, is 15% (95% CI: (12% to 18%)) for patients
who are treated immediately and 18% (95% CI: (15 to 21%)) otherwise. Individuals
can be reliably stratified into risk groups according to the clinical factors
included in the model. SIGNIFICANCE: Our prognostic model, used to inform driving
regulations, has been validated and consequently has been proven as a valuable
tool for predicting risk of seizure recurrence following a first seizure in
people with various combinations of risk factors. Additionally, there is evidence
to support one worldwide overall prognostic model for risk of second seizure
following a first.
PMID- 24919186
TI - Divergence in dialogue.
AB - One of the best known claims about human communication is that people's behaviour
and language use converge during conversation. It has been proposed that these
patterns can be explained by automatic, cross-person priming. A key test case is
structural priming: does exposure to one syntactic structure, in production or
comprehension, make reuse of that structure (by the same or another speaker) more
likely? It has been claimed that syntactic repetition caused by structural
priming is ubiquitous in conversation. However, previous work has not tested for
general syntactic repetition effects in ordinary conversation independently of
lexical repetition. Here we analyse patterns of syntactic repetition in two large
corpora of unscripted everyday conversations. Our results show that when lexical
repetition is taken into account there is no general tendency for people to
repeat their own syntactic constructions. More importantly, people repeat each
other's syntactic constructions less than would be expected by chance; i.e.,
people systematically diverge from one another in their use of syntactic
constructions. We conclude that in ordinary conversation the structural priming
effects described in the literature are overwhelmed by the need to actively
engage with our conversational partners and respond productively to what they
say.
PMID- 24919185
TI - Individual characteristics associated with mismatches between self-reported and
accelerometer-measured physical activity.
AB - BACKGROUND: Accurate assessment tools are required for the surveillance of
physical activity (PA) levels and the assessment of the effect of interventions.
In addition, increasing awareness of PA is often used as the first step in
pragmatic behavioural interventions, as discrepancies between the amount of
activity an individual perceives they do and the amount actually undertaken may
act as a barrier to change. Previous research has demonstrated differences in the
amount of activity individuals report doing, compared to their level of physical
activity when measured with an accelerometer. Understanding the characteristics
of those whose PA level is ranked differently when measured with either self
report or accelerometry is important as it may inform the choice of instrument
for future research. The aim of this project was to determine which individual
characteristics are associated with differences between self-reported and
accelerometer measured physical activity. METHODS: Participant data from the 2009
wave of the Commuting and Health in Cambridge study were used. Quartiles of self
reported and accelerometer-measured PA were derived by ranking each measure from
lowest to highest. These quartiles were compared to determine whether
individuals' physical activity was ranked higher by either method. Multinomial
logistic regression models were used to investigate the individual
characteristics associated with different categories of mismatch. RESULTS: Data
from 486 participants (70% female) were included in the analysis. In adjusted
analyses, the physical activity of overweight or obese individuals was
significantly more likely to be ranked higher by self-report than by
accelerometer than that of normal-weight individuals (OR = 2.07, 95%CI = 1.28
3.34), particularly among women (OR = 3.97, 95%CI = 2.11-7.47). CONCLUSIONS:
There was a greater likelihood of mismatch between self-reported and
accelerometer measured physical activity levels in overweight or obese adults.
Future studies in overweight or obese adults should consider employing both
methods of measurement.
PMID- 24919187
TI - Multiple measures of adiposity are associated with mean leukocyte telomere length
in the northern Finland birth cohort 1966.
AB - Studies of leukocyte telomere length (LTL) and adiposity have produced
conflicting results, and the relationship between body mass index (BMI) and
telomere length throughout life remains unclear. We therefore tested association
of adult LTL measured in 5,598 participants with: i) childhood growth measures
(BMI and age at adiposity rebound (AR)); ii) change in BMI from childhood to
adulthood and iii) adult BMI, waist-to-hip ratio (WHR), body adiposity index
(BAI). Childhood BMI at AR was positively associated with LTL at 31 years in
women (P = 0.041). Adult BMI and WHR in both men (P = 0.025 and P = 0.049,
respectively) and women (P = 0.029 and P = 0.008, respectively), and BAI in women
(P = 0.021) were inversely associated with LTL at 31 years. An increase in
standardised BMI between early childhood and adulthood was associated with
shorter adult LTL in women (P = 0.008). We show that LTL is inversely associated
with multiple measures of adiposity in both men and women. Additionally, BMI
increase in women from childhood to adulthood is associated with shorter
telomeres at age 31, potentially indicating accelerated biological ageing.
PMID- 24919188
TI - Responses of a triple mutant defective in three iron deficiency-induced Basic
Helix-Loop-Helix genes of the subgroup Ib(2) to iron deficiency and salicylic
acid.
AB - Plants are sessile organisms that adapt to external stress by inducing molecular
and physiological responses that serve to better cope with the adverse growth
condition. Upon low supply of the micronutrient iron, plants actively increase
the acquisition of soil iron into the root and its mobilization from internal
stores. The subgroup Ib(2) BHLH genes function as regulators in this response,
however their concrete functions are not fully understood. Here, we analyzed a
triple loss of function mutant of BHLH39, BHLH100 and BHLH101 (3xbhlh mutant). We
found that this mutant did not have any iron uptake phenotype if iron was
provided. However, under iron deficiency the mutant displayed a more severe leaf
chlorosis than the wild type. Microarray-based transcriptome analysis revealed
that this mutant phenotype resulted in the mis-regulation of 198 genes, out of
which only 15% were associated with iron deficiency regulation itself. A detailed
analysis revealed potential targets of the bHLH transcription factors as well as
genes reflecting an exaggerated iron deficiency response phenotype. Since the
BHLH genes of this subgroup have been brought into the context of the plant
hormone salicylic acid, we investigated whether the 3xbhlh mutant might have been
affected by this plant signaling molecule. Although a very high number of genes
responded to SA, also in a differential manner between mutant and wild type, we
did not find any indication for an association of the BHLH gene functions in SA
responses upon iron deficiency. In summary, our study indicates that the bHLH
subgroup Ib(2) transcription factors do not only act in iron acquisition into
roots but in other aspects of the adaptation to iron deficiency in roots and
leaves.
PMID- 24919189
TI - MicroRNA-328 inhibits renal tubular cell epithelial-to-mesenchymal transition by
targeting the CD44 in pressure-induced renal fibrosis.
AB - Epithelial-mesenchymal transition (EMT) occurs in stressed tubular epithelial
cells, contributing to renal fibrosis. Initial mechanisms promoting EMT are
unknown. Pressure force is an important mechanism contributing to the induction
and progression of renal fibrogenesis in ureteric obstruction. In our study of
cultured rat renal tubular cells (NRK-52E) under 60 mmHg of pressure, we found
that the epithelial marker E-cadherin decreased and mesenchymal markers, e.g.,
alpha-smooth muscle actin, fibronectin and Snail, increased. Pressure also
induced the expression of connective tissue growth factor and transforming growth
factor-beta. MicroRNA array assays showed that pressure reduced miR-328 at the
initial stage of pressurization. We identified a potential target sequence of miR
328 in rat CD44 3'-untranslated regions. In contrast with the miR-328 expression,
CD44 expression was up-regulated at the initial pressurization stage. We also
found that miR-328 expression decreased and CD44 increased in ureteric
obstruction kidneys in the animal study. CD44 siRNA transfection significantly
increased E-cadherin expression and inhibited pressure-induced EMT. Both
hyaluronan binding peptide pep-1 and osteopontin neutralizing antibody inhibited
pressure-induced EMT. Our results suggest that miR-328-mediated CD44 transient
upregulation is an important trigger of the pressure-induced EMT in renal
fibrosis.
PMID- 24919190
TI - Epigenetic regulations of immediate early genes expression involved in memory
formation by the amyloid precursor protein of Alzheimer disease.
AB - We previously demonstrated that APP epigenetically regulates Egr1 expression both
in cultured neurons and in vivo. Since Egr1 is an immediate early gene involved
in memory formation, we wondered whether other early genes involved in memory
were regulated by APP and we studied molecular mechanisms involved. By comparing
prefrontal (PF) cortex from wild type (APP+/+) and APP knockout mice (APP-/-), we
observed that APP down regulates expression of four immediate early genes, Egr1,
c-Fos, Bdnf and Arc. Down regulation of Egr1, c-Fos and Bdnf transcription
resulted from a decreased enrichment of acetylated histone H4 on the
corresponding gene promoter. Further characterization of H4 acetylation at Egr1
and c-Fos promoters revealed increased acetylation of H4K5 and H4K12 residues in
APP-/- mice. Whereas APP affected Egr1 promoter activity by reducing access of
the CREB transcription factor, its effect on c-Fos appeared to depend on
increased recruitment of HDAC2 histone deacetylase to the gene promoter. The
physiological relevance of the epigenetic regulation of Egr1 and c-Fos gene
transcription by APP was further analyzed following exposure of mice to novelty.
Although transcription of Egr1 and c-Fos was increased following exposure of
APP+/+ mice to novelty, such an induction was not possible in APP-/- mice with a
high basal level of expression of these immediate early genes. Altogether, these
results demonstrate that APP-mediated regulation of c-Fos and Egr1 by different
epigenetic mechanisms is needed for their induction during exposure to novelty.
PMID- 24919191
TI - Secreted beta3-integrin enhances natural killer cell activity against acute
myeloid leukemia cells.
AB - Integrins are a large family of heterodimeric proteins that are involved in cell
adhesion, migration, and proliferation. Integrin diversity and function is
regulated by alternative splicing. Membrane-bound and truncated beta3-integrins
were shown to be key players in cancer metastasis. However, the immunomodulatory
functions of the soluble (s) beta3-integrin have not been investigated yet. In
this study, we described a novel form of sbeta3-integrin in acute myeloid
leukaemia (AML) patients. Furthermore, we assessed the role of the sbeta3
integrin in the modulation of natural killer (NK)-cell activity. Levels of sbeta3
integrin were analysed in plasma samples of 23 AML patients and 26 healthy donors
by ELISA. The capacity of sbeta3-integrin to regulate NK cell activity was
investigated using proliferation, cytokine secretion, and cytotoxicity assays.
Circulating sbeta3-integrin was detected in the plasma of 8 AML patients. NK
cells showed significantly higher proliferation rates after stimulation with
sbeta3-integrin and IL-2, IL-15 (73%). Significant increases in the NK cells'
secreted levels of TNF-alpha, IFN-gamma were measured in presence of sbeta3
integrin. In addition, sbeta3-integrin caused the upregulation of Granzyme B
transcripts levels as well as FasL expression levels in NK cells. Most
importantly, significantly higher K562 or AML blast target cell lysis rates were
observed when NK cells were exposed to sbeta3-integrin. This study reports the
identification of a novel sbeta3-integrin in AML patients and provides novel
insights into its role in the immunomodulation of NK cell activity.
PMID- 24919192
TI - Development of a novel multiplex PCR assay to detect functional subtypes of
KIR3DL1 alleles.
AB - Among NK cell receptor-ligand partnerships, KIR3DL1 and HLA-Bw4 demonstrate the
greatest diversity; permutations of their allelic combinations titrate NK
reactivity. Balancing selection has maintained distinct subtypes of KIR3DL1
alleles in global populations, implying that each may provide unique fitness
advantages and variably influence disease processes. Though approaches exist for
determining HLA-B allotypes, practical methods for identifying KIR3DL1 alleles
are lacking. We have developed a PCR-based approach that identifies functional
subtypes of KIR3DL1 alleles; it is suitable for research and may have clinical
application. Six allele subsets were identified based on expression
characteristics of the eleven most common KIR3DL1 alleles represented in reported
populations. The remaining 62 low-frequency alleles were distributed into these
groups based on sequence homology to coding regions. Subtype-specific SNPs were
found in exons 3, 4, and 7, and used as priming sites for five multiplex PCR
reactions. Genomic DNA derived from 175 unrelated donors and 52 related
individuals from 6 families demonstrated >99.5% concordance between sequence
based typing and our novel approach. Finally, PCR-based typing accurately
predicted NK phenotypes obtained by flow cytometry after staining with DX9 and
Z27 monoclonal antibodies. This novel approach facilitates high-throughput
analysis of KIR3DL1 allotypes to enable a broader understanding of KIR3DL1 and
HLA-Bw4 interaction in health and disease.
PMID- 24919194
TI - The added value of water footprint assessment for national water policy: a case
study for Morocco.
AB - A Water Footprint Assessment is carried out for Morocco, mapping the water
footprint of different activities at river basin and monthly scale,
distinguishing between surface- and groundwater. The paper aims to demonstrate
the added value of detailed analysis of the human water footprint within a
country and thorough assessment of the virtual water flows leaving and entering a
country for formulating national water policy. Green, blue and grey water
footprint estimates and virtual water flows are mainly derived from a previous
grid-based (5 * 5 arc minute) global study for the period 1996-2005. These
estimates are placed in the context of monthly natural runoff and waste
assimilation capacity per river basin derived from Moroccan data sources. The
study finds that: (i) evaporation from storage reservoirs is the second largest
form of blue water consumption in Morocco, after irrigated crop production; (ii)
Morocco's water and land resources are mainly used to produce relatively low
value (in US$/m3 and US$/ha) crops such as cereals, olives and almonds; (iii)
most of the virtual water export from Morocco relates to the export of products
with a relatively low economic water productivity (in US$/m3); (iv) blue water
scarcity on a monthly scale is severe in all river basins and pressure on
groundwater resources by abstractions and nitrate pollution is considerable in
most basins; (v) the estimated potential water savings by partial relocation of
crops to basins where they consume less water and by reducing water footprints of
crops down to benchmark levels are significant compared to demand reducing and
supply increasing measures considered in Morocco's national water strategy.
PMID- 24919195
TI - Low-dose high-pitch CT angiography of the supraaortic arteries using sinogram
affirmed iterative reconstruction.
AB - OBJECTIVE: To prospectively evaluate image quality and radiation dose using a low
dose computed tomography angiography protocol and iterative image reconstruction
for high-pitch dual-source CT-angiography (DSCTA) of the supraaortic arteries.
MATERIAL AND METHODS: DSCTA was performed in 42 patients, using either 120 kVp
tube voltage, 120 mAS tube current, 2.4 pitch and filtered back projection, or
100 kVp tube voltage, 100 mAs tube current, 3.2 pitch, and sinogram affirmed
iterative reconstruction. Measurements of vessel attenuation, of the contrast-to
noise ratio (CNR) and the signal-to-noise ratio (SNR) were performed to
objectively evaluate image quality. Two readers evaluated subjective image
quality and image noise, using a four-point scale. Effective dose was used to
compare the differences in radiation dose. RESULTS: Low-dose protocol application
showed significantly higher vessel opacification (p = 0.013), and non
significantly higher CNR and SNR values. There was no difference in the
subjective image quality and image noise reading between the protocols. Effective
dose was significantly lower using the low-dose protocol (1.29 +/- 0.21 mSv vs.
2.92 +/- 0.72 mSv; p < 0.001). CONCLUSION: The combined use of reduced tube
voltage, reduced tube current, and iterative reconstruction reduces radiation
dose by 55.4% in high-pitch DSCTA of the supraaortic arteries without impairment
of image quality.
PMID- 24919196
TI - A TRIP230-retinoblastoma protein complex regulates hypoxia-inducible factor
1alpha-mediated transcription and cancer cell invasion.
AB - Localized hypoxia in solid tumors activates transcriptional programs that promote
the metastatic transformation of cells. Like hypoxia-inducible hyper
vascularization, loss of the retinoblastoma protein (Rb) is a trait common to
advanced stages of tumor progression in many metastatic cancers. However, no link
between the role of Rb and hypoxia-driven metastatic processes has been
established. We demonstrated that Rb is a key mediator of the hypoxic response
mediated by HIF1alpha/beta, the master regulator of the hypoxia response, and its
essential co-activator, the thyroid hormone receptor/retinoblastoma-interacting
protein (TRIP230). Furthermore, loss of Rb unmasks the full co-activation
potential of TRIP230. Using small inhibitory RNA approaches in vivo, we
established that Rb attenuates the normal physiological response to hypoxia by
HIF1alpha. Notably, loss of Rb results in hypoxia-dependent biochemical changes
that promote acquisition of an invasive phenotype in MCF7 breast cancer cells. In
addition, Rb is present in HIF1alpha-ARNT/HIF1beta transcriptional complexes
associated with TRIP230 as determined by co-immuno-precipitation, GST-pull-down
and ChIP assays. These results demonstrate that Rb is a negative modulator of
hypoxia-regulated transcription by virtue of its direct effects on the HIF1
complex. This work represents the first link between the functional ablation of
Rb in tumor cells and HIF1alpha-dependent transcriptional activation and
invasion.
PMID- 24919197
TI - RhoA/ROCK signaling and pleiotropic alpha1A-adrenergic receptor regulation of
cardiac contractility.
AB - AIMS: To determine the mechanisms by which the alpha1A-adrenergic receptor (AR)
regulates cardiac contractility. BACKGROUND: We reported previously that
transgenic mice with cardiac-restricted alpha1A-AR overexpression (alpha1A-TG)
exhibit enhanced contractility but not hypertrophy, despite evidence implicating
this Galphaq/11-coupled receptor in hypertrophy. METHODS: Contractility, calcium
(Ca(2+)) kinetics and sensitivity, and contractile proteins were examined in
cardiomyocytes, isolated hearts and skinned fibers from alpha1A-TG mice (170-fold
overexpression) and their non-TG littermates (NTL) before and after alpha1A-AR
agonist stimulation and blockade, angiotensin II (AngII), and Rho kinase (ROCK)
inhibition. RESULTS: Hypercontractility without hypertrophy with alpha1A-AR
overexpression is shown to result from increased intracellular Ca(2+) release in
response to agonist, augmenting the systolic amplitude of the intracellular
Ca(2+) concentration [Ca(2+)]i transient without changing resting [Ca(2+)]i. In
the absence of agonist, however, alpha1A-AR overexpression reduced contractility
despite unchanged [Ca(2+)]i. This hypocontractility is not due to heterologous
desensitization: the contractile response to AngII, acting via its Galphaq/11
coupled receptor, was unaltered. Rather, the hypocontractility is a pleiotropic
signaling effect of the alpha1A-AR in the absence of agonist, inhibiting
RhoA/ROCK activity, resulting in hypophosphorylation of both myosin phosphatase
targeting subunit 1 (MYPT1) and cardiac myosin light chain 2 (cMLC2), reducing
the Ca(2+) sensitivity of the contractile machinery: all these effects were
rapidly reversed by selective alpha1A-AR blockade. Critically, ROCK inhibition in
normal hearts of NTLs without alpha1A-AR overexpression caused
hypophosphorylation of both MYPT1 and cMLC2, and rapidly reduced basal
contractility. CONCLUSIONS: We report for the first time pleiotropic alpha1A-AR
signaling and the physiological role of RhoA/ROCK signaling in maintaining
contractility in the normal heart.
PMID- 24919198
TI - BRCA1 silencing is associated with failure of DNA repairing in retinal
neurocytes.
AB - Retinal post-mitotic neurocytes display genomic instability after damage induced
by physiological or pathological factors. The involvement of BRCA1, an important
factor in development and DNA repair in mature retinal neurocytes remains
unclear. Thus, we investigated the developmental expression profile of BRCA1 in
the retina and defined the role of BRCA1 in DNA repair in retinal neurocytes. Our
data show the expression of BRCA1 is developmentally down-regulated in the
retinas of mice after birth. Similarly, BRCA1 is down-regulated after
differentiation induced by TSA in retinal precursor cells. An end-joining
activity assay and DNA fragmentation analysis indicated that the DNA repair
capacity is significantly reduced. Moreover, DNA damage in differentiated cells
or cells in which BRCA1 is silenced by siRNA interference is more extensive than
that in precursor cells subjected to ionizing radiation. To further investigate
non-homologous end joining (NHEJ), the major repair pathway in non-divided
neurons, we utilized an NHEJ substrate (pEPI-NHEJ) in which double strand breaks
are generated by I-SceI. Our data showed that differentiation and the down
regulation of BRCA1 respectively result in a 2.39-fold and 1.68-fold reduction in
the total NHEJ frequency compared with that in cells with normal BRCA1.
Furthermore, the analysis of NHEJ repair junctions of the plasmid substrate
indicated that BRCA1 is involved in the fidelity of NHEJ. In addition, as
expected, the down-regulation of BRCA1 significantly inhibits the viability of
retina precursor cells. Therefore, our data suggest that BRCA1 plays a critical
role in retinal development and repairs DNA damage of mature retina neurocytes.
PMID- 24919200
TI - L(p) -norm IDF for scalable image retrieval.
AB - The inverse document frequency (IDF) is prevalently utilized in the bag-of-words
based image retrieval application. The basic idea is to assign less weight to
terms with high frequency, and vice versa. However, in the conventional IDF
routine, the estimation of visual word frequency is coarse and heuristic.
Therefore, its effectiveness is largely compromised and far from optimal. To
address this problem, this paper introduces a novel IDF family by the use of Lp
norm pooling technique. Carefully designed, the proposed IDF considers the term
frequency, document frequency, the complexity of images, as well as the codebook
information. We further propose a parameter tuning strategy, which helps to
produce optimal balancing between TF and pIDF weights, yielding the so-called Lp
norm IDF (pIDF). We show that the conventional IDF is a special case of our
generalized version, and two novel IDFs, i.e., the average IDF and the max IDF,
can be defined from the concept of pIDF. Further, by counting for the term
frequency in each image, the proposed pIDF helps to alleviate the visual word
burstiness phenomenon. Our method is evaluated through extensive experiments on
four benchmark data sets (Oxford 5K, Paris 6K, Holidays, and Ukbench). We show
that the pIDF works well on large scale databases and when the codebook is
trained on irrelevant data. We report an mean average precision improvement of as
large as +13.0% over the baseline TF-IDF approach on a 1M data set. In addition,
the pIDF has a wide application scope varying from buildings to general objects
and scenes. When combined with postprocessing steps, we achieve competitive
results compared with the state-of-the-art methods. In addition, since the pIDF
is computed offline, no extra computation or memory cost is introduced to the
system at all.
PMID- 24919199
TI - National economic development and disparities in body mass index: a cross
sectional study of data from 38 countries.
AB - BACKGROUND: Increases in body mass index (BMI) and the prevalence of overweight
in low- and middle income countries (LMICs) are often ascribed to changes in
global trade patterns or increases in national income. These changes are likely
to affect populations within LMICs differently based on their place of residence
or socioeconomic status (SES). OBJECTIVE: Using nationally representative survey
data from 38 countries and national economic indicators from the World Bank and
other international organizations, we estimated ecological and multilevel models
to assess the association between national levels of gross domestic product
(GDP), foreign direct investment (FDI), and mean tariffs and BMI. DESIGN: We used
linear regression to estimate the ecological association between average annual
change in economic indicators and BMI, and multilevel linear or ordered
multinomial models to estimate associations between national economic indicators
and individual BMI or over- and underweight. We also included cross-level
interaction terms to highlight differences in the association of BMI with
national economic indicators by type of residence or socioeconomic status (SES).
RESULTS: There was a positive but non-significant association of GDP and mean
BMI. This positive association of GDP and BMI was greater among rural residents
and the poor. There were no significant ecological associations between measures
of trade openness and mean BMI, but FDI was positively associated with BMI among
the poorest respondents and in rural areas and tariff levels were negatively
associated with BMI among poor and rural respondents. CONCLUSION: Measures of
national income and trade openness have different associations with the BMI
across populations within developing countries. These divergent findings
underscore the complexity of the effects of development on health and the
importance of considering how the health effects of "globalizing" economic and
cultural trends are modified by individual-level wealth and residence.
PMID- 24919201
TI - Efficient hybrid tree-based stereo matching with applications to postcapture
image refocusing.
AB - Estimating dense correspondence or depth information from a pair of stereoscopic
images is a fundamental problem in computer vision, which finds a range of
important applications. Despite intensive past research efforts in this topic, it
still remains challenging to recover the depth information both reliably and
efficiently, especially when the input images contain weakly textured regions or
are captured under uncontrolled, real-life conditions. Striking a desired balance
between computational efficiency and estimation quality, a hybrid minimum
spanning tree-based stereo matching method is proposed in this paper. Our method
performs efficient nonlocal cost aggregation at pixel-level and region-level, and
then adaptively fuses the resulting costs together to leverage their respective
strength in handling large textureless regions and fine depth discontinuities.
Experiments on the standard Middlebury stereo benchmark show that the proposed
stereo method outperforms all prior local and nonlocal aggregation-based methods,
achieving particularly noticeable improvements for low texture regions. To
further demonstrate the effectiveness of the proposed stereo method, also
motivated by the increasing desire to generate expressive depth-induced photo
effects, this paper is tasked next to address the emerging application of
interactive depth-of-field rendering given a real-world stereo image pair. To
this end, we propose an accurate thin-lens model for synthetic depth-of-field
rendering, which considers the user-stroke placement and camera-specific
parameters and performs the pixel-adapted Gaussian blurring in a principled way.
Taking ~1.5 s to process a pair of 640*360 images in the off-line step, our
system named Scribble2focus allows users to interactively select in-focus regions
by simple strokes using the touch screen and returns the synthetically refocused
images instantly to the user.
PMID- 24919203
TI - ProMT: effective human promoter prediction using Markov chain model based on DNA
structural properties.
AB - The core promoters play significant and extensive roles for the initiation and
regulation of DNA transcription. The identification of core promoters is one of
the most challenging problems yet. Due to the diverse nature of core promoters,
the results obtained through existing computational approaches are not
satisfactory. None of them considered the potential influence on performance of
predictive approach resulted by the interference between neighboring TSSs in TSS
clusters. In this paper, we sufficiently considered this main factor and proposed
an approach to locate potential TSS clusters according to the correlation of
regional profiles of DNA and TSS clusters. On this basis, we further presented a
novel computational approach (ProMT) for promoter prediction using Markov chain
model and predictive TSS clusters based on structural properties of DNA.
Extensive experiments demonstrated that ProMT can significantly improve the
predictive performance. Therefore, considering interference between neighboring
TSSs is essential for a wider range of promoter prediction.
PMID- 24919202
TI - Human movement training with a cable driven ARm EXoskeleton (CAREX).
AB - In recent years, the authors have proposed lightweight exoskeleton designs for
upper arm rehabilitation using multi-stage cable-driven parallel mechanism.
Previously, the authors have demonstrated via experiments that it is possible to
apply "assist-as-needed" forces in all directions at the end-effector with such
an exoskeleton acting on an anthropomorphic machine arm. A human-exoskeleton
interface was also presented to show the feasibility of CAREX on human subjects.
The goals of this paper are to 1) further address issues when CAREX is mounted on
human subjects, e.g., generation of continuous cable tension trajectories 2)
demonstrate the feasibility and effectiveness of CAREX on movement training of
healthy human subjects and a stroke patient. In this research, CAREX is rigidly
attached to an arm orthosis worn by human subjects. The cable routing points are
optimized to achieve a relatively large "tensioned" static workspace. A new cable
tension planner based on quadratic programming is used to generate continuous
cable tension trajectory for smooth motion. Experiments were carried out on eight
healthy subjects. The experimental results show that CAREX can help the subjects
move closer to a prescribed circular path using the force fields generated by the
exoskeleton. The subjects also adapt to the path shortly after training. CAREX
was also evaluated on a stroke patient to test the feasibility of its use on
patients with neural impairment. The results show that the patient was able to
move closer to a prescribed straight line path with the "assist-as-needed" force
field.
PMID- 24919204
TI - Residual stenosis estimation of arteriovenous grafts using a dual-channel
phonoangiography with fractional-order features.
AB - The residual stenosis estimation of an arteriovenous shunt is a valuable for
evaluating outcomes of percutaneous transluminal angioplasty (PTA) treatment and
surgical revision. This paper proposes a dual-channel phonoangiography (PCG) with
fractional-order features to estimate the residual of stenosis estimation of
arteriovenous shunt. The auscultation technique provides a noninvasive tool to
monitor the degrees of arteriovenous grafts (AVGs). Then, support methods, such
as the Burg autoregressive (AR) method and self-synchronization error formulation
(SSEF), are used to extract fractional-order features between the loop site (L
site) and venous anastomosis site (V-site). Using 2-D patterns (nonlinear
mapping), a generalized regression neural network (GRNN) is designed as a
nonlinear estimate model to indicate the outcome of surgical revision or AVG
stenosis upon routine monthly examinations. For 42 long-term follow-up patients,
the results of examination show the proposed GRNN-based screening model
efficiently estimates residual stenosis.
PMID- 24919205
TI - Improving the recognition of eating gestures using intergesture sequential
dependencies.
AB - This paper considers the problem of recognizing eating gestures by tracking wrist
motion. Eating gestures are activities commonly undertaken during the consumption
of a meal, such as sipping a drink of liquid or using utensils to cut food. Each
of these gestures causes a pattern of wrist motion that can be tracked to
automatically identify the activity. Previous works have studied this problem at
the level of a single gesture. In this paper, we demonstrate that individual
gestures have sequential dependence. To study this, three types of classifiers
were built: 1) a K-nearest neighbor classifier which uses no sequential context,
2) a hidden Markov model (HMM) which captures the sequential context of
subgesture motions, and 3) HMMs that model intergesture sequential dependencies.
We built first-order to sixth-order HMMs to evaluate the usefulness of increasing
amounts of sequential dependence to aid recognition. On a dataset of 25 meals, we
found that the baseline accuracies for the KNN and the subgesture HMM classifiers
were 75.8% and 84.3%, respectively. Using HMMs that model intergesture sequential
dependencies, we were able to increase accuracy to up to 96.5%. These results
demonstrate that sequential dependencies exist between eating gestures and that
they can be exploited to improve recognition accuracy.
PMID- 24919206
TI - Relative saliency model over multiple images with an application to yarn surface
evaluation.
AB - Saliency models have been developed and widely demonstrated to benefit
applications in computer vision and image understanding. In most of existing
models, saliency is evaluated within an individual image. That is, saliency value
of an item (object/region/pixel) represents the conspicuity of it as compared
with the remaining items in the same image. We call this saliency as absolute
saliency, which is uncomparable among images. However, saliency should be
determined in the context of multiple images for some visual inspection tasks.
For example, in yarn surface evaluation, saliency of a yarn image should be
measured with regard to a set of graded standard images. We call this saliency
the relative saliency, which is comparable among images. In this paper, a study
of visual attention model for comparison of multiple images is explored, and a
relative saliency model of multiple images is proposed based on a combination of
bottom-up and top-down mechanisms, to enable relative saliency evaluation for the
cases where other image contents are involved. To fully characterize the
differences among multiple images, a structural feature extraction strategy is
proposed, where two levels of feature (high-level, low-level) and three types of
feature (global, local-local, local-global) are extracted. Mapping functions
between features and saliency values are constructed and their outputs reflect
relative saliency for multiimage contents instead of single image content. The
performance of the proposed relative saliency model is well demonstrated in a
yarn surface evaluation. Furthermore, the eye tracking technique is employed to
verify the proposed concept of relative saliency for multiple images.
PMID- 24919207
TI - Fault detection for T-S fuzzy time-delay systems: delta operator and input-output
methods.
AB - This paper focuses on the problem of fault detection for Takagi-Sugeno fuzzy
systems with time-varying delays via delta operator approach. By designing a
filter to generate a residual signal, the fault detection problem addressed in
this paper can be converted into a filtering problem. The time-varying delay is
approximated by the two-term approximation method. Fuzzy augmented fault
detection system is constructed in delta -domain, and a threshold function is
given. By applying the scaled small gain theorem and choosing a Lyapunov
Krasovskii functional in delta -domain, a sufficient condition of asymptotic
stability with a prescribed Hinfinity disturbance attenuation level is derived
for the proposed fault detection system. Then, a solvability condition for the
designed fault detection filter is established, with which the desired filter can
be obtained by solving a convex optimization problem. Finally, an example is
given to demonstrate the feasibility and effectiveness of the proposed method.
PMID- 24919208
TI - Dynamic adjustment of hidden node parameters for extreme learning machine.
AB - Extreme learning machine (ELM), proposed by Huang et al., was developed for
generalized single hidden layer feedforward networks with a wide variety of
hidden nodes. ELMs have been proved very fast and effective especially for
solving function approximation problems with a predetermined network structure.
However, it may contain insignificant hidden nodes. In this paper, we propose
dynamic adjustment ELM (DA-ELM) that can further tune the input parameters of
insignificant hidden nodes in order to reduce the residual error. It is proved in
this paper that the energy error can be effectively reduced by applying recursive
expectation-minimization theorem. In DA-ELM, the input parameters of
insignificant hidden node are updated in the decreasing direction of the energy
error in each step. The detailed theoretical foundation of DA-ELM is presented in
this paper. Experimental results show that the proposed DA-ELM is more efficient
than the state-of-art algorithms such as Bayesian ELM, optimally-pruned ELM, two
stage ELM, Levenberg-Marquardt, sensitivity-based linear learning method as well
as the preliminary ELM.
PMID- 24919209
TI - [Prevalence of protein-energy undernutrition evaluated by the measurement of
triceps skinfold thickness and mid-arm muscle circumference of 103 adults with
cirrhosis of the liver hospitalized in the department of hepatology and
gastroenterology of the Lome Campus University Hospital (Togo)].
AB - OBJECTIVE: To evaluate by a reliable method the protein-energy nutritional status
of adults hospitalized in the hepatology and gastroenterology department of the
Lome Campus University Hospital. METHODS: This cross-sectional prospective study
conducted from March 1 to September 15, 2012, included 103 inpatients aged at
least 16 years. The variables evaluated were: triceps skinfold thickness (TST),
mid-arm muscle circumference (MAMC), serum albumin, CRP, and orosomucoid. The
Child-Pugh classification was used to evaluate the clinical severity of liver
disease. RESULTS: Within this population of patients with cirrhosis, 40 were
alcohol-dependent (39.0%) and 85 had anorexia (82.5%); 49 were in group B of the
Child-Pugh classification, and 37 in group C. We found a non-significant (p =
0.324) difference in TST measurement between the three Child-Pugh groups: A (8.4
+/- 4.5); B (6.1 +/- 3.7); and C (6.4 +/- 7.2). The prevalence of protein-energy
malnutrition ranged from 52.0% to 82.5%, when evaluated by MAMC or TST. Our
results confirm the need to pay additional attention to the protein-energy
nutritional status of inpatients in this department, by adding reliable tools,
such as the TST and MAMC, to the biochemistry analysis to characterize
undernutrition.
PMID- 24919210
TI - [Etiology of vaginal infections in Ouagadougou (Burkina Faso)].
AB - OBJECTIVE: to determine the specific antimicrobial activity of the drugs proposed
in the national algorithm for treatment of vaginal infections. METHOD: Over a
three-month period, the bacteriological laboratory of the Yalgado-Ouedraogo
University Hospital in Ouagadougou analyzed vaginal swabs from women seen at 5
health-care facilities in that city. RESULT: The study included 404 women with a
mean age of 29 years. The microbes with the highest incidence rates were various
species of Candida (20%), Gardnerella and/or Mobiluncus (18.8%), and
Ureaplasma/Mycoplasma (10.9%). The agents isolated were highly susceptible to
ciprofloxacin, erythromycin, fluconazole, miconazole, and nystatin. CONCLUSION:
This study demonstrated the continuing efficacy of the drugs in the national
algorithm for treatment of vaginal infections, which is associated with
compliance with the recommended diagnostic procedure and therapeutic protocol.
PMID- 24919211
TI - [Exercise-induced left bundle branch block with chest pain related to
antimalarial prophylaxis with chloroquine].
AB - Left bundle branch block (LBBB) during exercise can be associated with chest
pain. Though this association is mostly correlated with an underlying coronary
artery disease, painful LBBB has been described in patients with normal coronary
arteries. We report a case of exercise-induced LBBB with typical angina pectoris
related to antimalarial prophylaxis with chloroquine in a 66-year old woman with
normal coronary arteries, which was reversed after treatment discontinuation. The
effect of chloroquine on the electrophysiological properties of nodal cardiac
tissue is responsible for this rate-dependent LBBB. Precautions for future
antimalarial prophylaxis are also discussed.
PMID- 24919213
TI - In memoriam: Mavis P. Kelsey, Sr. (1912-2013).
PMID- 24919212
TI - [Treatment of uterine synechiae without hysteroscopy in a semiurban setting in
Cameroon].
AB - We evaluated the outcome of treatment of uterine synechiae (or adhesions, also
known as Asherman syndrome) by cervical dilatation and sequential estrogen and
progestogen administration for three months. This retrospective cohort study
examined records of 86 women with infertility or menstrual disorders or both and
treated from October 2004 to November 2011 for synechiae diagnosed by
hysterosalpingography. The data analyzed included age, presenting complaint, and
treatment outcome. During the study period, 86 women were seen for uterine
synechiae but only 81 files could be included. The patients' mean age was 25.52
(range: 19-40 years), with 37% in the age group of 25-29 years. Of these 82
women, 71 reported menstrual disorders and 60 infertility. After treatment, 11/60
(18%) became pregnant and 35/71 (49%) recovered normal menstrual profiles. The
reference treatment for uterine synechiae is hysteroscopy, which yields good
results. In poor settings, however, hysteroscopy remains inaccessible, and the
old method of cervical dilation and sequential estrogen and progestogen therapy
is an acceptable alternative.
PMID- 24919214
TI - Considerations for diagnosis and management of ileostomy-related malignancy: a
report of two cases.
AB - Malignancy associated with a long-standing ileostomy is a rare occurrence
reported as early as 3 years after ileostomy placement. Patients most commonly
present first to their ostomy care nurse with peristomal skin changes
unresponsive to conservative measures. To elucidate presentation and management,
two cases of male patients with ileostomy-related with malignancy (one lymphoma
and one squamous cell carcinoma) are discussed. Both patients had undergone
proctocolectomy with end ileostomy decades prior. Symptoms in the lymphoma
patient included complaints of skin irritation, stoma mucosa changes and
friability, and a persistent rash around the ostomy; he was found to have small
bowel friability and a peristomal mass arising from the terminal ileum that was
resected en bloc with the ileostomy, the surrounding skin, and associated
abdominal wall musculature. The patient with squamous cell carcinoma had
developed a gray-tan skin lesion around his ileostomy site; he underwent
exploratory laparotomy with wide local excision of the abdominal wall including
ileostomy site, distal ileum, and squamous cell carcinoma, and resiting of the
ileostomy to the contralateral abdominal wall. Ostomy care providers should be
aware of the clinical presentation of ostomy-associated malignancy to ensure
thorough evaluation and prompt referral for surgical management are provided.
PMID- 24919215
TI - Differentiating ultrasound technologies. Reply.
PMID- 24919216
TI - Keeping the quest in our questions.
PMID- 24919217
TI - Don't give up on that wound--a palliative care perspective.
PMID- 24919218
TI - [Advancement of researches on association of non-alcoholic fatty liver disease
with type 2 diabetes mellitus].
PMID- 24919219
TI - [The relationship between thyroid dysfunction and liver].
PMID- 24919220
TI - [The effects of liver disease on endocrine hormone].
PMID- 24919222
TI - [Diabetes with liver disease: mechanism and management].
PMID- 24919221
TI - [Effectiveness of genetic nasal spray of fluticasone propionate ester].
PMID- 24919223
TI - [The role of antidiabetic drugs in patients with non-alcoholic fatty liver
disease].
PMID- 24919224
TI - [Progress in the study of nonalcoholic fatty liver disease: insights from
research in 2013].
PMID- 24919225
TI - [Analysis of hepatitis B virus mutations in 20 patients with HBV-related acute-on
chronic liver failure and 19 patients with chronic hepatitis B].
PMID- 24919226
TI - [Analysis of liver specimen quality using 280 specimens obtained by routine
puncture biopsy].
PMID- 24919227
TI - [IIn-line X-ray phase-contrast imaging of human hepatocellular carcinoma
microvasculature ex vivo].
PMID- 24919228
TI - [Report of two cases of hepatitis B virus reactivation in primary liver carcinoma
patients treated with the FOLFOX chemotherapy regimen].
PMID- 24919229
TI - [Caspofungin and voriconazole combination therapy for invasive pulmonary
aspergillosis in patients with liver failure: a report of two cases].
PMID- 24919230
TI - Appropriate use of bibliometric indicators for the assessment of journals,
research proposals, and individuals.
PMID- 24919231
TI - Sensitive ligand-based protein quantification using immuno-PCR: A critical review
of single-probe and proximity ligation assays.
AB - Quantitative PCR (qPCR) of reverse-transcribed mRNA has revolutionized gene
expression analyses. qPCR analysis is based on the prevalent assumption that mRNA
transcript numbers provide an adequate measure of specific biomarker expression.
However, taking the complexity of protein turnover into account, there is a need
to correlate qPCR-derived transcriptional patterns with protein translational
patterns so as to not leave behind important pathobiological details. One
emerging approach in protein analysis is PCR-coupled protein quantification,
often denoted as immuno-PCR (iPCR), which targets soluble proteins. Here we
review recent trends and applications in iPCR assays that may bridge the gap
between classical enzyme-linked immunosorbent assays and mass spectrometry
methodologies in terms of sensitivity and multiplexing.
PMID- 24919232
TI - Case study. A fading decision. Commentary.
PMID- 24919233
TI - Case study. A fading decision. Commentary.
PMID- 24919234
TI - Case study. A fading decision. Commentary.
PMID- 24919235
TI - MOM is coming to Indiana.
PMID- 24919236
TI - The dental heart.
PMID- 24919237
TI - IndianaMOM charitable event has a multiplier effect.
PMID- 24919238
TI - DHATs.
PMID- 24919239
TI - Three ways to better manage overhead.
PMID- 24919240
TI - Implant solutions for the implant patient: diagnosis and treatment planning for
predictable results.
PMID- 24919241
TI - Old wives' tales and the Coriolis Effect.
PMID- 24919242
TI - A few thoughts: get outside, slow down, take a nap, and don't forget to breathe.
PMID- 24919243
TI - Reasons for not seeking care for urinary incontinence in older community-dwelling
women: a contemporary review.
AB - Urinary incontinence (UI) is a reality for many women and can have a significant
impact on quality of life. However, rates of seeking care for UI are low. This
literature review compiles current research examining themes related to care
seeking behavior of older adult community-dwelling women, identifies any gaps in
the recent literature, and provides suggestions for future research directions.
PMID- 24919244
TI - Indwelling urinary catheters: pattern of use in a public tertiary-level
Australian hospital.
AB - An audit of charts from patients identified as having an indwelling urinary
catheter (IDC) was conducted in a 450-bed, tertiary level hospital (Concord
Repatriation General Hospital) in Australia. Documentation of relevant
information regarding IDC in the medical record included indication for
catheterization, insertion and removal dates, use of antibiotics, place of
insertion, designation of inserter, catheter type, availability of IDC kits, and
use of catheter fixation devices.
PMID- 24919245
TI - A case report and review of transitional cell carcinoma in children.
AB - Transitional cell carcinoma (TCC) is a rare cause of hematuria in children. This
type of urothelial bladder tumor is typically low grade and carries a good
prognosis. In this article, a case report is presented along with a review of the
literature on TCC in children.
PMID- 24919246
TI - Cancer patients' perspectives on multidisciplinary team working: an exploratory
focus group study.
AB - This qualitative, focus-group study explores what patients understand about the
multidisciplinary team (MDT) in cancer care. Participants were positive towards
MDT working, and by strengthening the role of nurses in MDT decision-making, the
representation of patients' interests can be improved.
PMID- 24919247
TI - Adding to the evidence base: cancer patients' perspectives on multidisciplinary
team working: an exploratory focus group study.
PMID- 24919248
TI - Initial experience of intravesical gemcitabine for patients with high-risk
superficial transitional cell carcinoma of the bladder following BCG failure.
AB - This study reports the use of intravesical gemcitabine in managing patients with
high-risk bladder cancer, refractory to Bacillus Calmette-Guerin (BCG). Patients
were given gemcitibine; treatment response was evaluated by fluorescence
cystoscopy biopsy and urine cytology. Time to reoccurrence increased with
instillation time.
PMID- 24919249
TI - An interview with Gail Warden.
PMID- 24919250
TI - Reducing avoidable hospital readmissions effectively: a statewide campaign.
AB - BACKGROUND: The Reducing Avoidable Readmissions Effectively (RARE) Campaign was
designed to engage hospitals and care providers in Minnesota across the continuum
of care to prevent avoidable hospital readmissions within 30 days of hospital
discharge. METHODS: Support for hospitals was provided on a one-on-one basis by a
RARE resource consultant, as well as through the campaign website and a monthly
newsletter. Hospitals had the opportunity to participate in any of three learning
collaboratives-Care Transitions Intervention, Project RED (ReEngineered
Discharge), or SAFE Transitions of Care. The operating and supporting partners of
the RARE Campaign offered monthly webinars for sharing of best practices, and
hosted Action Learning Days and celebratory events. Potentially preventable
readmissions (PPRs) were tracked over time, and a ratio of actual-to-expected
PPRs (A/E PPRs) was calculated for each hospital and reported quarterly. RESULTS:
As of December 31, 2013, 82 hospitals were participating, with 58 (71%) taking
part in at least one learning collaborative. More than 7,000 readmissions have
been prevented, and patients have spent more than 28,000 nights of sleep in their
own beds rather than in a hospital. By the end of September 2013, the A/E PPR
ratio was reduced by 12%-from .98 to .86. CONCLUSIONS: The peer-to-peer
networking and collaboration between hospitals facing similar issues, coupled
with statewide resources, collaborating Operating Partners, and support for
system improvements, have led to improved discharge planning, better management
of care transitions and medications, engaged patients and families, and lower
readmission rates.
PMID- 24919251
TI - Patient safety first... a California partnership for health.
AB - BACKGROUND: In California in 2009, Anthem Blue Cross joined forces with three
regional hospital associations (RHAs) and an independent evaluator in an initial
three-year, $6-million effort to address patient safety. METHODS: During Phase 1
of the Patient Safety First... a California Partnership for Health program (2010
2012), more than 180 of the 395 hospitals represented by the RHAs shared and
implementated best practices in learning collaboratives. The three initial areas
of focus were (1) health care-associated infections-ventilator-associated
pneumonia (VAP), central line-associated bloodstream infection (CLABSI), and
catheter-associated urinary tract infection (CAUTI); (2) sepsis mortality; and
(3) perinatal care-reduction of elective deliveries prior to 39 weeks of
gestation. To measure progress, the difference in the average rates from 2009
(baseline) to 2012 was calculated using data from hospitals that reported for
every quarter from 2009 through 2012. RESULTS: The rate decreases-57% for VAP
cases per 1,000 ventilator-days, 43% for CLABSI cases per 1,000 central line
days, 24% reduction for CAUTI cases per 1,000 patient-days, 26% reduction for
sepsis deaths per 100 sepsis cases, and 74% for elective deliveries < 39
gestational weeks-were statistically significant at the .05 level, except for
CAUTI. A cost-avoidance analysis showed that these reductions were associated
with a saving of 3,576 lives and an avoidance of $63.8 million in costs statewide
(not limited to Anthem Blue Cross members). CONCLUSION: The Patient Safety First
program provides a long-term opportunity for collaboration among different health
care sectors to share best practices to improve health care for Californians.
Phase 2 will continue to addresssepsis and elective deliveries and add other
initiatives.
PMID- 24919252
TI - The Vidant Health quality transformation.
AB - BACKGROUND: Vidant Health (VH), a private, not-for-profit health system in
eastern North Carolina, began a systemwide quality transformation in 2006 after a
serious blood event resulted in a patient's death. METHODS: Systemwide patient
safety training served as the foundation of the transformation. Strategic
planning of the quality work outlined a series of approaches that included board
literacy in quality, an aggressive transparency policy, patient-family
partnerships, and leader and physician engagement. RESULTS: The transformation of
the system has resulted in an 85% reduction in serious safety events, a 62%
reduction in health care-associated infections, 98% optimal care in the Centers
for Medicare & Medicaid Services (CMS)/Joint Commission core measures, Hospital
Consumer Assessment of Healthcare Providers and Systems performance in the top
20%, and more than 150 patient advisors partnering with leaders, physicians, and
frontline staff. From the bedside to the boardroom the improvement in quality is
evident across VH's nine hospitals, 70 physician practices, ambulatory surgery,
and home health, hospice, and wellness services. CONCLUSION: Strategic planning
for quality set an ambitious agenda for VH's quality work and continues to drive
action today. Engaging patients in quality work at all levels--as partners on
performance improvement teams, in safety rounds, in quality improvement committee
meetings, and in the boardroom--has been a deliberate strategy and a significant
part of the quality transformation at VH. Additional requirements for public
reporting, CMS's new payment reform, and the challenges inherent in the evolving
health care industry at large make it imperative to maintain a focus on zero
events of harm and exceptional patient experiences.
PMID- 24919253
TI - Development and sustainability of an inpatient-to-outpatient discharge handoff
tool: a quality improvement project.
AB - BACKGROUND: After hospital discharge, patients are at risk for medication errors,
missed test results, adverse events, and readmissions. Handoff communication
between the inpatient and outpatient settings is primarily accomplished with the
discharge summary. However, critical information can often be missing, such as
the date of the first postdischarge follow-up visit, a complete and accurate list
of discharge medications, and follow-up recommendations. There have been no
studies focusing on identifying and implementing a parsimonious, clinically
relevant, inpatient-to-outpatient discharge handoff tool within a fully
integrated electronic medical record (EMR) system. A concise, written, electronic
handoff communication tool was created to address this gap. METHODS: Using
inpatient and outpatient provider stakeholder input, a standard, succinct, and
clinically relevant handoff tool was designed and implemented within the Veterans
Affairs EMR. Retrospective chart review at 3 and 15 months after the handoff tool
rollout in December 2010 was conducted to monitor handoff uptake and outcomes.
RESULTS: At 15 months after implementation, 86% (129/150) of patients had a
completed handoff at the time of discharge. More handoff notes were available in
the EMR within 24 hours of discharge than discharge summaries (100% versus 77%, p
< .0001). There was no difference between those patients with or without a
handoff in the number of emergency department visits or readmissions. DISCUSSION:
A standardized clinically relevant discharge handoff tool had high user uptake
and sustainability and improved timeliness of communication of information
between the hospital and outpatient setting. Even within a fully integrated EMR
system, simple and efficient handoffs between inpatient and outpatient providers
may fulfill a communication gap at the time of discharge.
PMID- 24919254
TI - Using a triggered endocrinology service consultation to improve the evaluation,
management, and follow-up of osteoporosis in hip-fracture patients.
AB - BACKGROUND: Nearly 2 million osteoporosis-related fractures occur yearly in the
United States, with more than 400,000 requiring hospital admissions. Fewer than
30% receive proper evaluation and care for osteoporosis, representing a large
opportunity to enhance secondary prevention of fractures. Methods to improve
identification and triage of hospitalized fragility-fracture patients are
desirable. METHODS: A multidisciplinary team was created, and definitions were
established for an evidence-based best-practice protocol to assess, treat, and
document an osteoporosis diagnosis and triage patients with hip-fragility
fractures on the basis of the best-practice recommendations from The Joint
Commission and the National Osteoporosis Foundation. The team initiated a
preauthorized osteoporosis consultation from the endocrinology service for hip
fracture patients, "triggered" via a brief query in admission orders or by the
orthopedic service nurse practitioner. Osteoporosis consultations used a
consultation template reflecting the protocol. RESULTS: Data were analyzed for 71
baseline patients and 61 intervention patients. The groups possessed similar age,
gender, race, and body mass index characteristics. The baseline (on-demand
consultation) group suffered from poor performance, with only 3%-21% of patients
receiving the desired evaluation, documentation, treatment, or outpatient follow
up. Intervention (triggered-consultation) patients improved markedly
postintervention, With performance increasing by 52%-76% on all parameters except
outpatient follow-up, which changed insignificantly (6%-15%). CONCLUSION:
Although triggered consultation was effective, multimodal layered interventions
may achieve even better results and address several identified barriers.
PMID- 24919255
TI - Surgical programs in the Veterans Health Administration maintain briefing and
debriefing following medical team training.
PMID- 24919256
TI - [Economic and logistical problems of radiation oncology].
AB - An analysis of economic and logistical problems of radiation oncology is
presented based on domestic and foreign literature. Despite the high efficacy of
radiotherapy this branch of oncology is not financed enough in most countries. As
a consequence, it is ubiquitously marked radiotherapy capacity deficit that does
not allow to fully realize its therapeutic potential. Medical electron
accelerators and related equipment have become increasingly complex and expensive
and radiotherapy techniques more consuming. Even in developed countries growing
waiting times for radiotherapy, not using the most modern and efficient
radiotherapy technologies (image guiding, etc.) has become a daily reality. Based
on these data, we assessed the prospects and possibilities of upgrading the
technical base of radiation oncology in Russia including the development of
hadron therapy.
PMID- 24919257
TI - [Light-induced disruption of the circadian clock and risk of malignant tumors in
laboratory animals: state of the problem].
AB - There were obtained sufficient experimental evidence of the stimulating effect on
the development of tumors (transplanted, spontaneous and induced by various
carcinogenic agents), disorders of circadian function of the pineal gland (light
induced desynchronosis) caused by knockout or mutation of clock genes,
pinealectomy, content in conditions of constant light or natural light regime of
the North, as well as jetlag modeling in laboratory rodents. In experiments on
various models of carcinogenesis it was found that sympathectomy (removal of the
superior cervical ganglion), light deprivation, hibernation and application of
melatonin, the natural hormone of the pineal gland, had an inhibitory effect on
the development of transplanted, spontaneous and induced tumors of different
histogenesis.
PMID- 24919258
TI - [Current state of the problem of treatment and prognosis of colorectal cancer].
AB - The article presents an overview of the current state of the problem of
colorectal cancer based on the features of its various clinical forms. It was
shown that despite the fact that the surgical method was the leading cancer
treatment for colon and rectum cancer the preference should be given to the
combined and complex methods and in the treatment of squamous cell carcinoma of
the anal canal, the emphasis was on conservative treatment with chemoradiation,
leading to stable remission that avoided traumatic surgery in most cases. Also
current data are presented on the necessity to identify circulating and
disseminated tumor cells to refine prognosis and further explore ways to improve
long-term results.
PMID- 24919259
TI - [Survival of patients with malignant brain tumors in St. Petersburg and
Arkhangelsk region].
AB - The results of studies are presented of the dynamics on survival of patients with
malignant tumors of the brain and other parts of central nervous system (C70-72)
over a long period at the population level (St. Petersburg and Arkhangelsk
region) by sex, age, histological structure and other parameters.
PMID- 24919260
TI - [Clinical and morphological features of papillary thyroid cancer in children and
adolescents in the Republic of Belarus: analysis of 936 post-Chernobyl
carcinomas].
AB - There is presented clinical and morphological characteristics of post-Chernobyl
papillary thyroid cancer in 936 children and adolescents. In general, carcinoma
of these patients featured by locally advanced growth - 57.4% (387 of 674
patients with this sign could be assessed), metastases in regional lymph nodes -
73,7% (N1b in 40.7%) and internal organs - 11.1%. The mean duration of follow-up
was 12,4 +/- 3,5 years (range 4.3 to 19.6 years) including children 14,6 +/- 2,7
years (range 8.8 to 19.6 years) and adolescents - 10,1 +/- 3,1 years (range 4.3
to 18.8 years). Overall survival for the 20-year period was 96,6% +/- 1,2%. The
causes of death were suicide (7), injuries and accidents (5), secondary
malignancies (1), somatic diseases (2). Only in two patients the death was
related to the main disease - lung metastases. Free-recurrence survival for the
cohort of post-Chernobyl carcinomas was 92,7% +/- 1,0%.
PMID- 24919261
TI - [Immunohistochemical examination of MSH2, PMS2, MLH1, MSH6 compared with the
analysis of microsatellite instability in colon adenocarcinoma].
AB - Adenocarcinoma of the colon in 10-20% is associated with microsatellite
instability, which can occur both in sporadic cancers and in hereditary
nonpolyposis colon cancer. Our analysis of 195 cases of adenocarcinoma of the
colon showed that microsatellite instability (MSI-H) was found only in 1.5% of
patients. Subsequent choice of patients with suspected hereditary Lynch syndrome
led to the identification of additional 17 patients with microsatellite
instability. They passed an analysis of genes of repair system of unpaired
nucleotides of DNA. The study showed that immunohistochemical staining of MSH2,
MSH6, MLH1, PMS2 could effectively conduct a preliminary screening of the Lynch
syndrome but was unable to divide cases of sporadic and hereditary MSI-H colon
cancer.
PMID- 24919262
TI - [The use of SPECT-CT in the diagnosis of cancerous lesions of axillary lymph
nodes in patients with breast cancer].
AB - The purpose of the study was to explore the possibilities of a new hybrid
technology of SPECT-CT in the diagnosis of metastatic regional lymph nodes (LN)
in patients with breast cancer (BC). There were examined 57 primary patients. All
patients underwent axillary lymph node dissection and /or biopsy of sentinel LN
followed by histological examination of the material. Metastases in LN were
verified in 20 (35%) of 57 examined patients. Sensitivity, specificity and
overall accuracy of SPECT-CT in the combined use of anatomical and functional
criteria for assessing the state of LN accounted for 75%, 89% and 84%,
respectively. Sensitivity of SPECT-CT in the diagnosis of massive axillary LN
lesion (more than two) in breast cancer patients was 95%. Thus, the new hybrid
technology of SPECT-CT, combining functional and anatomical techniques for
assessing of pathological changes, is highly informative in the diagnosis of
metastatic lesions of regional LN in patients with breast cancer.
PMID- 24919263
TI - [Prognostic value of the determination of bone marrow lesion in patients with
neuroblastoma based on the gene PHOX2B and TH expression].
AB - Bone marrow (BM) involvement in neuroblastoma patients is commonly detected by
cytomorphology and associated with poor outcome. Molecular techniques, flow
cytometry and immunocytochemistry were offered to detect low number of tumor
cells in BM due to high value of analytical sensitivity, while prognostic
significance of results, obtained with these methods is unclear. PHOX2B and/or TH
genes expression was selected as molecular marker of BM involvement. It was
determined in 411 BM samples obtained from 75 neuroblastoma patients. 263 BM
samples were taken at the time of primary diagnosis, 80 during treatment and 68
before autologous stem cells (ASC) apheresis. Prognostic significance of BM
involvement was defined using 5-year (in some groups 4-year) overall (OS), event
free (EFS) and progression free (PFS) survival. 24 patients (32.0%) were positive
for PHOX2B and/or TH expression in the BM at the time of primary diagnosis. They
had decreased survival rates: EFS achieved 0.49+/-0.12, OS - 0.57+/-0.12, PFS -
0.54+/-0.12, comparing with 0.75+/-0.07, 0.80+/-0.07 and 0.77+/-0.07,
respectively, in patients with negative BM, p=0.014, p=0.029 and p=0.033. The
trend to decreased OS and PFS was detected in case of minimal residual disease
presence at the end of the induction chemotherapy (OS and PFS both are 0.22+/
0.19 vs. 0.70+/-0.18 and 0.43+/-0.22, correspondingly, p=0.121, p=0.130).
Detection of PHOX2B and/or TH genes expression in the BM before ASC harvesting
led to significant decreasing of EFS and OS (0.00 vs. 0.59+/-0.14 and 0.75+/
0.13, respectively, p=0.021 and p=0.016).
PMID- 24919264
TI - [Topoisomerase IIa expression in correlation with clinical and morphological
parameters and proliferation (based on argyrophilic proteins of nucleolar
organizer regions and Ki-67 antigen) in lung adenocarcinoma].
AB - Investigated topoisomerase IIalpha (TopoII alpha), argyrophilic proteins
associated with nucleolar organizer regions (Ag-NOR) and antigen Ki-67 in lung
adenocarcinoma. Defined tumor with low and high TopoII alpha, Ag-NOR and Ki-67.
TopoII alpha had a relationship with clinical and morphological parameters
(greatest dimension, tumor differentiation) and proliferation markers (Ag-NOR, Ki
67) . Survival of patients with lung adenocarcinoma with low content of TopoII
alpha is better as compared with high content of TopoII alpha. Survival of
patients with lung adenocarcinoma depends on the mutual content of TopoII alpha
and clinical and morphological parameters (value T, greatest dimension, value N,
stage, tumor differentiation), proliferation markers (Ag-NOR). TopoII alpha
content in lung adenocarcinoma is an independent prognostic factor. Mutual
research of TopoII alpha with clinical and morphological parameters and Ag-NOR
has prognostic value in lung adenocarcinoma.
PMID- 24919265
TI - [The reaction of the immune system to insulinlike growth factor-1 in
postmenopausal women with breast cancer].
AB - Taking into account the information about the role of insulin-like growth factor
1 (IGF-1) in breast cancer the main aim of the present study was to investigate
the cellular immune response to IGF-1. There were examined 58 patients with
breast cancer (61 +/- 1 years, BMI 31,2 +/- 0,9 kg/m2, all postmenopausal) and 10
with benign tumors of the breast (56 +/- 2 years, BMI 30,8 +/- 0,7 kg/m2 all
menopausal) as well as 17 patients suffering from cancer of different sites.
Sensibilization of lymphocytes to IGF-1 was measured in the reaction of blast
transformation of peripheral blood lymphocytes. The majority of breast cancer
patients (47/58) revealed the sensibilization of peripheral blood lymphocytes to
IGF-1. Thus in a part of patients (29 /47) this reaction was suppressed and
revealed after suppression of cyclooxygenase and/or blocking of the histamine H2
receptors by corresponding pharmaceutics drugs in vitro.
PMID- 24919266
TI - [Antitumor effect of dioxadet in intraperitoneal chemoperfusion treatment for
advanced ovarian cancer in experimental setting].
AB - The study of antitumor efficacy of dioxadet in chemoperfusion treatment of
ascitic ovarian cancer was carried out in 125 Wistar female rats. Ovarian cancer
was inoculated intraperitoneally at a number 1x10(7) tumor cells per rat.
Intraperitoneal administration of dioxadet as well as chemoperfusion was
performed once in 48 hours after the ovarian cancer inoculation. Dioxadet was
used at maximal tolerated doses which were 1.5 mg/kg for intraperitoneal
administration, 30 mg/kg for normothermic intraperitoneal chemoperfusion (IPEC),
and 15 mg/kg for hyperthermic intraperitoneal chemoperfusion (HIPEC). Antitumor
effects of dioxadet were estimated in increase of median survival. In the control
group, where animals didn't receive any treatment, the median survival was 9
days. Increase of the median survival after intraperitoneal administration of
dioxadet, IPEC and HIPEC with dioxadet was 211% (p=0,001), 244% (p=0,001) and
444% (p=0,001), respectively, compared to the control group. Hence,
intraperitoneal chemoperfusion with dioxadet (normo- or hyperthermic) is more
effective compared to standard intraperitoneal administration of the drug. At
HIPEC with dioxadet potentiating antitumor action of hyperthermia and dioxadet on
the ovarian cancer growth was achieved.
PMID- 24919267
TI - [Ultrastructural changes in endothelial cells of blood capillaries of the Walker
256 carcinosarcoma while treated with melatonin].
AB - In Wistar rats with transplanted Walker 256 carcinosarcoma a use of melatonin as
monoagent causes changes in intracellular organization of endothelial cells:
reduced volume density of mitochondria, granular cytoplasmic network,
micropinocytic vesicles, reduced the number density of free and attached
ribosomes, which leads to increased apoptosis of tumor cells of Walker 256
carcinosarcoma.
PMID- 24919268
TI - [Reasons of non-radical surgery for patients with primary skin melanoma].
AB - It was found that up to now a significant number of patients with primary skin
melanoma continued to have non-radical surgery. Based on the analysis of clinical
and morphological data on 288 of these patients it was revealed that most non
radical treatment was performed for patients who had had primary skin melanoma of
linear dimensions of 1 cm and a pink color. It was proved that patients with
tumors of the skin should first be examined by the oncologist. A lack of
knowledge of semiotics of primary skin melanoma was revealed among doctors.
Widely used diagnostic biopsy of the primary tumor with subsequent cytology is
recommended.
PMID- 24919270
TI - [Physician and medical psychologist: complementary approaches in providing
psychological care to cancer patient].
AB - In providing psychological care to an oncological patient a physician and a
medical psychologist come from a variety of professional positions that require
different approaches and methods. It is proposed a three-phase model of the
dynamics of the psychological state of the person in the situation of cancer
reflecting the process of psychological adaptation of a particular patient.
Focusing on this model, the authors conclude that psychological care to cancer
patient, performed by a doctor and a medical psychologist, are different kinds of
psychological care that does not replace but complement each other.
PMID- 24919269
TI - [Analysis of one-year mortality of radically operated patients over 70 years with
nonsmall cell lung cancer].
AB - One-year lethality was studied in 613 patients older than 70 years who underwent
surgery for lung cancer for the period of 1970-2002. During the first year after
surgery 166 patients died (27.1%) and besides from the generalization of the
disease - 92.2%, from other causes - 7.8%. The highest rate of one-year lethality
was observed after pneumonectomy (39.4%), the lowest one - after lung margins
resection (16.5%). It was found that the most important factor in one-year
lethality rate was N2 lymph node status. Risk of dying from the generalization of
the disease during the first year sharply increased in group of patients
underwent extended and combined pneumonectomy (one-year lethality of 52.6% and
57.1%, respectively), pneumonectomy with N2 (56,0%), lobectomy in peripheral
cancer with metastases of N2 level (63,6%), especially when the amount of the
tumor was more than 5 cm (83.3%).
PMID- 24919271
TI - [Institute of Oncology during the war and the siege of Leningrad].
AB - There is described the activities of employees of the NN Petrov Institute of
Oncology during the Great Patriotic War and the siege of Leningrad.
PMID- 24919273
TI - [Slaughter on request: what motivates perpetrators and victims: "Thou shall not
kill" (interview by Dr. Elke Oberhofer)].
PMID- 24919272
TI - [Papular rash under the breasts: is it persistent zoster? Grover disease].
PMID- 24919274
TI - [New KBV executive: family practitioners are not a Gassen fan club].
PMID- 24919275
TI - [Prescribing blood glucose reagent strips: how do I protect my medication
budget?].
PMID- 24919276
TI - [New KBV executive. It must come through Gassen, the eternal peace].
PMID- 24919277
TI - [Which drugs? Which diet? Treating your gout patient properly].
PMID- 24919278
TI - [Suspected rheumatoid arthritis: which patient must be referred to a specialist?
The family physician decides].
PMID- 24919279
TI - [Subcutaneous infusion for dehydration: Is that not off-label use?].
PMID- 24919280
TI - [Answer by Dr. med J. Zeeh, Meiningen].
PMID- 24919281
TI - [Deaths from hives: from faulty injection technique?].
PMID- 24919282
TI - [Answer by Dr. C. Grove, Munich].
PMID- 24919283
TI - [Patient throws money out the window: the unusual diagnoses of the osteopath].
PMID- 24919284
TI - [The cognitively impaired patient suddenly became disoriented: dementia is not
responsible].
PMID- 24919285
TI - [How long is it then actually?].
PMID- 24919286
TI - [The stethoscope as pathogen transmitter].
PMID- 24919287
TI - [Does acupuncture reduce stroke risk after craniocerebral trauma?].
PMID- 24919288
TI - [Lung function in mucoviscidosis: which marker is the most telling?].
PMID- 24919289
TI - [Aggressive pacemaker].
PMID- 24919290
TI - [Is the Frank sign an accurate predictor?].
PMID- 24919291
TI - [Smoking cessation helps body and soul].
PMID- 24919292
TI - [Coronary arteriosclerosis between good and evil].
PMID- 24919293
TI - [Medical therapy of coronary artery disease].
PMID- 24919294
TI - [Advances in the management of patients with acute myocardial infarction].
PMID- 24919295
TI - [Sexuality counselling for adolescents].
PMID- 24919296
TI - [Otorrhea].
PMID- 24919297
TI - [The right to live and the right to die].
PMID- 24919298
TI - [Music therapy against hypertension].
PMID- 24919299
TI - Pay-for-performance: toxic to quality? Insights from behavioral economics.
AB - Pay-for-performance programs aim to upgrade health care quality by tailoring
financial incentives for desirable behaviors. While Medicare and many private
insurers are charging ahead with pay-for-performance, researchers have been
unable to show that it benefits patients. Findings from the new field of
behavioral economics challenge the traditional economic view that monetary reward
either is the only motivator or is simply additive to intrinsic motivators such
as purpose or altruism. Studies have shown that monetary rewards can undermine
motivation and worsen performance on cognitively complex and intrinsically
rewarding work, suggesting that pay-for-performance may backfire.
PMID- 24919300
TI - The widening U.S. health care crisis three years after the passage of
'Obamacare'.
AB - This report presents information on the state of the U.S. health system in 2012
and early 2013, specifically the period prior to the implementation of the
individual mandate and full rollout of the Affordable Care Act's online health
exchanges. The authors include data on the uninsured and underinsured and their
access to health care, on socioeconomic inequality in health care, the rising
costs of the U.S. health system, and the role of corporate money in health care,
with special reference to the pharmaceutical industry. They also provide updates
on Medicare health maintenance organizations, Medicaid, and a prelude to the
complete implementation of the Affordable Care Act. In addition, the authors
include some results from public opinion polls on health systems and
international system comparisons. The article concludes with an assessment of the
rapid consolidation in the delivery of health care being driven by the Affordable
Care Act.
PMID- 24919301
TI - Family policy and inequalities in health in different welfare states.
AB - This article focuses on differences in health and welfare outcomes for families
with children in three European countries, discussed in relation to national
policies for child and family welfare. Data consist of policy documents and cross
national surveys. The document analysis was based on policy documents that
described government policies. The statistical analyses utilize data from the
European Social Survey. For the analyses in this article, a sub-sample of child
families was selected from the countries Slovenia, Sweden, and the United
Kingdom. Data showed that England's policy has mainly addressed socially
disadvantaged groups and areas. Sweden and Slovenia are mainly developing
universal policies. The United Kingdom has high scores for subjective general
health, but a steep income gradient in the population. Parents in England
experience the highest level of at-risk-of-poverty. Sweden generally scores well
on health outcomes and on level of at-risk-of-poverty, and the gradient in self
rated general health is the mildest. Slovenia has the weakest economy, but low
levels of inequality and low child at-risk-for-poverty scores. The Slovenian
example suggests that not only the level of economic wealth, but also its
distribution in the population, has bearings on health and life satisfaction, not
least on the health of children.
PMID- 24919302
TI - Is the swiss health care system a model for the United States?
AB - Both supporters and critics of the Patient Protection and Affordable Care Act
(ACA) have argued that it is similar to Switzerland's Federal Law on Health
Insurance (LAMal), which currently governs Swiss health care, and have either
praised or condemned the ACA on the basis of this alleged similarity. I challenge
these observers on the grounds that they overlook critical problems with the
Swiss model, such as its inequities in access, and critical differences between
it and the ACA, such as the roots in, and continuing commitment to, social
insurance of the Swiss model. Indeed, the daunting challenge of attempting to
impose the tightly regulated model of operation of the Swiss model on mega
corporations like UnitedHealth, WellPoint, or Aetna is likely to trigger no less
ferocious resistance than a fully public, single-payer system would. I also
conclude that the ACA might unravel in ways unintended or even opposed by its
designers and supporters, as employers, confronted with ever-rising costs,
retreat from sponsoring insurance, and workers react in outrage as they confront
the unaffordable underinsurance mandated by the ACA. A new political and
ideological landscape may then ensue that finally ushers in a truly national
health program.
PMID- 24919303
TI - The fallacies in arguing that current high unemployment in Spain (27%) is a
consequence of supposed labor market rigidities.
AB - This article refutes the argument that high unemployment in Spain is due to labor
market rigidities, questioning the premises on which this theory is based. It
then goes on to explain how those advancing this argument are the very same
forces responsible for the macroeconomic decisions that are currently causing
unemployment.
PMID- 24919304
TI - To live and die in America: labor in the time of cholera and cancer.
AB - A popular explanation of the epidemiological transition is that the germs that
caused infectious disease mortality were defeated by the "magic bullets" of
mainstream medicine over the course of the 20th century, permitting the
population to get old enough to get the chronic diseases of heart disease and
cancer. This explanation is false. The most important causes of infectious
disease were the political and economic structures that favored capital at the
expense of labor so blatantly that it left a large portion of the working
population virtually at death's door. This was remedied only when resistance by
labor created a more livable workday, child labor laws, and a higher wage,
resulting in improvements in nutrition and housing. Chronic disease increased as
firms transformed the production process by introducing more mechanized and
chemically intensive production processes. This has transformed our food, water,
air, and work processes in unprecedented ways and created a historically unique
chronic disease pattern.
PMID- 24919305
TI - All part of the job? The contribution of the psychosocial and physical work
environment to health inequalities in Europe and the European health divide.
AB - This study is the first to examine the contribution of both psychosocial and
physical risk factors to occupational inequalities in self-assessed health in
Europe. Data from 27 countries were obtained from the 2010 European Working
Conditions Survey for men and women aged 16 to 60 (n = 21,803). Multilevel
logistic regression analyses (random intercept) were applied, estimating odds
ratios of reporting less than good health. Analyses indicate that physical
working conditions account for a substantial proportion of occupational
inequalities in health in both Central/Eastern and Western Europe. Physical,
rather than psychosocial, working conditions seem to have the largest effect on
self-assessed health in manual classes. For example, controlling for physical
working conditions reduced the inequalities in the prevalence of"less than good
health" between the lowest (semi- and unskilled manual workers) and highest
(higher controllers) occupational groups in Europe by almost 50 percent (Odds
Ratio 1.87, 95% Confidence Interval 1.62-2.16 to 1.42, 1.23-1.65). Physical
working conditions contribute substantially to health inequalities across "post
industrial" Europe, with women in manual occupations being particularly
vulnerable, especially those living in Central/Eastern Europe. An increased
political and academic focus on physical working conditions is needed to explain
and potentially reduce occupational inequalities in health.
PMID- 24919307
TI - The role of international NGOs in health systems strengthening: the case of Timor
Leste.
AB - Achieving the United Nations Millennium Development Goals for health will require
that programs supporting health in developing countries focus on strengthening
national health care systems. However, the dominant neoliberal model of
development mandates reduced public spending on health and other social services,
often resulting in increased funding for nongovernmental organizations (NGOs) at
the expense of support for government systems. East Timor, later Timor-Leste, is
an example of a post-crisis country where international NGO efforts were
initially critical to providing relief efforts to a traumatized population. Those
groups were not prepared to help develop and support a standardized Timorese
national health plan, however, and the cost of their support was unsustainable in
the long term. In response, local authorities designed and implemented a post
crisis NGO phase-over plan that addressed risks to service disruption and
monitored the process. Since then, some NGOs have worked collaboratively with the
Ministry of Health to support specific efforts and initiatives under a framework
provided by the ministry. Timor-Leste has shown that ministries of health can
facilitate an effective transition of NGO support from crisis to development if
they are allowed to plan and manage the process.
PMID- 24919306
TI - Big pharma and the problem of disease inflation.
AB - Over the course of the past decade, critics have increasingly called attention to
the corrosive influence of the pharmaceutical industry on both biomedical
research and the practice of medicine. Critics describe the industry's use of
ghostwriting and other unethical techniques to expand their markets as evidence
that medical science is all-too-frequently subordinated to the goals of corporate
profit. While we do not dispute this perspective, we argue that it is imperative
to also recognize that the goals of medical science and industry profit are now
tightly wed to one another. As a result, medical science now operates to expand
disease definitions, lower diagnostic thresholds, and otherwise advance the goals
of corporate profit through the redefinition and expansion of what it means to be
ill. We suggest that this process has led to a variety of ethical problems that
are not fully captured by current critiques of ghostwriting and other troubling
practices by the pharmaceutical industry. In our conclusion, we call for
physicians, ethicists, and other concerned observers to embrace a more
fundamental critique of the relationship between biomedical science and corporate
profit.
PMID- 24919308
TI - Management commitments and primary care: another lesson from Costa Rica for the
world?
AB - Maintained dedication to primary care has fostered a public health delivery
system with exceptional outcomes in Costa Rica. For more than a decade,
management commitments have been part of Costa Rican health reform. We assessed
the effect of the Costa Rican management commitments on access and quality of
care and on compliance with their intended objectives. We constructed seven
hypotheses on opinions of primary care providers. Through a mixed qualitative and
quantitative approach, we tested these hypotheses and interpreted the research
findings. Management commitments consume an excessive proportion of consultation
time, inflate recordkeeping, reduce comprehensiveness in primary care
consultations, and induce a disproportionate consumption of hospital emergency
services. Their formulation relies on norms in need of optimization, their
control on unreliable sources. They also affect professionalism. In Costa Rica,
management commitments negatively affect access and quality of care and pose a
threat to the public service delivery system. The failures of this pay-for
performance-like initiative in an otherwise well-performing health system cast
doubts on the appropriateness of pay-for-performance for health systems
strengthening in less advanced environments.
PMID- 24919309
TI - Beyond accreditation: a multi-track quality-enhancing strategy for primary health
care in low- and middle-income countries.
AB - Many define an equitable health care system as one that provides logistical and
financial access to "quality" care to the population. Realizing that fact, many
low- and middle-income countries started investing in enhancing the quality of
care in their health care systems, recently in primary health care.
Unfortunately, in many instance, these investments have been exclusively focused
on accreditation due to available guidelines and existing accrediting structures.
A multi-track quality-enhancing strategy (MTQES) is proposed that includes, in
addition to promoting resource-sensitive accreditation, other quality initiatives
such as clinical guidelines, performance indicators, benchmarking activities,
annual quality-enhancing projects, and annual quality summit/meeting. These
complementary approaches are presented to synergistically enhance a continuous
quality improvement culture in the primary health care sector, taking into
consideration limited resources available, especially in low- and middle-income
countries. In addition, an implementation framework depicting MTQES in three
phase interlinked packages is presented; each matches existing resources and
quality infrastructure. Health care policymakers and managers need to think about
accreditation as a beginning rather than an end to their quest for quality.
Improvements in the structure of a health delivery organization or in the
processes of care have little value if they do not translate to reduced
disparities in access to "quality" care, and not merely access to care.
PMID- 24919310
TI - When constitutional justice has the last word on health care: the case of Chile.
AB - The Chilean health care system is in crisis. Since the recent ruling of the
Constitutional Court that declared the risk rating (actuarial insurance) of
private health insurers unconstitutional, all of the social actors related to
health care have tried to agree on a legislative reform that would overcome the
existing highly segmented and inequitable system, which is a legacy of Pinochet's
dictatorship. Here we demonstrate how the social and political demands for
legislative reform in the health care sector have been supported by the decisions
of the courts. To achieve its goals of reducing equity gaps in health and ending
the judicialization of health care (claims for protection represent almost 70% of
total resources of the courts), the National Congress of Chile is trying to
create a new national health insurance system that guarantees the right to a
minimum level of health care. Part of this effort involves obtaining the
constitutional approval of the courts. In Chile, justice has the final word on
health care.
PMID- 24919311
TI - Community-oriented primary care (COPC) in Barcelona, Spain: an urban COPC
experience.
AB - Community-oriented primary care (COPC) integrates comprehensive primary care with
community health. Although it has had limited application in the United States,
this model has been widely promoted among urban family physicians in Barcelona,
Spain. This article describes the current status of COPC in four community
clinics in Barcelona. Data were derived from a site visit that included direct
observation and interviews with professionals involved in community health. The
interviews explored how COPC has been implemented in each of the four primary
care centers. We found that the degree to which COPC is practiced is quite varied
and that it often coexists with other community health programs. A number of
obstacles, including lack of support and funding from the government and lack of
motivation and participation among health professionals, make practicing COPC in
Barcelona a challenge. Despite these obstacles, COPC is flourishing in Barcelona.
This experience may offer guidance for COPC implementation in the United States
and other countries.
PMID- 24919312
TI - The secret to getting your foot in the door: the academic job search portfolio.
PMID- 24919313
TI - President Obama releases FY 2015 budget proposal.
PMID- 24919314
TI - APS comments on travel restrictions for federal scientists.
PMID- 24919315
TI - [Within reason].
PMID- 24919316
TI - [Less medicine, more common sense].
PMID- 24919317
TI - ["Our goal is the awareness of the public"].
PMID- 24919318
TI - [Ask your pharmacist about risks].
PMID- 24919319
TI - [When coffee works wonders in wound management].
PMID- 24919320
TI - [Feeling disgust in nursing care].
PMID- 24919321
TI - [Support for remaining in the home environment].
PMID- 24919322
TI - [4 blind dates of a special kind].
PMID- 24919323
TI - [Launch of the continuing education program].
PMID- 24919324
TI - ["For greater visibility and respect for nursing in Togo" (interview by Francoise
Taillens)].
PMID- 24919325
TI - [Meaningful work promotes good health].
PMID- 24919326
TI - [No chance for misinterpretation].
PMID- 24919327
TI - [Alcohol drinking in the hospital - (not) a problem].
PMID- 24919328
TI - [Mathuselah complex].
PMID- 24919329
TI - ["Reunited by a common passion: our future profession"].
PMID- 24919330
TI - [Early rehabilitation to maximize the chances of recovery].
PMID- 24919331
TI - [Jewish and Arab students under the same roof].
PMID- 24919332
TI - [Smart phones at the bow, health liability].
PMID- 24919333
TI - [Doing more does not mean better].
PMID- 24919334
TI - ["The ultimate goal is to make consumers active participants"].
PMID- 24919335
TI - [110 years--University Obstetrics and Gynecology Hospital "Maichin dom"].
AB - The first specialized Obstetrics and Gynecology Hospital in Bulgaria was founded
based on the idea of Queen Maria Luisa (1883). Construction began in 1896 and the
official opening of the hospital took place on November 19, 1903. What is unique
about the University Obstetrics and Gynecology Hospital "Maichin dom" is above
all the fact that the Bulgarian school of obstetrics and gynecology was founded
within its institution. Currently, the hospital has nearly 400 beds and 600
employees who work at nine clinics and six laboratories, covering the entire
spectrum of obstetric and gynecological activities. Its leading specialists still
continue to embody the highest level of professionalism and dedication. The
future development of the hospital is chiefly associated with the renovation of
facilities, resources and equipment and with the enhancement of the professional
competence of the staff and of the quality of hospital products to improve the
health and satisfaction of the patients.
PMID- 24919336
TI - [The insulin-like growth factor IGFBP-1--specific marker for preterm delivery in
pregnant women with clinical symptoms].
AB - The insulin-like growth factor IGFBP-1 is a binding protein (IBP-1), also known
as placental protein (PP12), is encoded in people as IGFBP-1 gene. This gene
encodes a protein in the domain of IGFBP-1 and domain thyroglobulin. During the
last years highly phos-phorylated versions of IGFBP-1 (IGFBP-1 pM) have been
found in decidual cells--a marker of threat for preterm birth. The quantity
analysis of the insulin-like growth factor in the serum or heparinized plasma is
used to locate diseases related to growth. Its levels in the plasma can scarcely
be determined after birth and steadily rise with age while they reach their
maximum during puberty. These levels rise constantly during pregnancy.
PMID- 24919337
TI - [A weak association of 677 C>T polymorphism in MTHFR with recurrent embryonic
loss].
AB - Early (embryonic) pregnancy loss before 10 week of gestation (wg) could also be
related with endometrial receptivity as well as with gene expression regulation
in developed embryo. Methylation of genome is a key process in the gene
expression. Because the methylenetetrahydrofolate reductase (MTHFR) have had
significant role in methionine metabolism polymorphisms into the gene could be
related with early embryonic development. This study evaluated relationship
between T allele in 677 C>T polymorphism in MTHFR and recurrent embryonic loss
development. One hundred six women with tree or more pregnancy loss before 10 wg
and 165 women without reproductive failure have been evaluated for 677 C>T
carrier status. Sixteen (15.1%) of women with pregnancy loss have had TT genotype
and 54 (50.9%) are heterozygous carriers for T allele. T allele frequency was
higher but not significant differ from carrier status in control group (13.9% for
TT genotype and 43.9% for CT OR and 95% CI respectively 1.1, 0.52-2.3 u 1.34, 0.8
2.26, p > 0.05). T allele (in homozygous and heterozygous carriers) was in higher
but not significant prevalence in patients compared with controls (66% and 57.6%
respectively, OR 1.43, 95% CI 0.84-2.46, p > 0.05), This study found a weak
association between T allele carrier status (both in homozygous and heterozygous
state) and recurrent embryonic loss development. T allele in 677 C>T polymorphism
could be considered like an agent for early pregnancy wastage only in a
constellation with other risk factors influencing embryonic development.
PMID- 24919338
TI - [Pregnancy and delivery in women above the age of 35].
AB - During the past decades there is a tendency among women in developed countries
for postponing their reproductive plans for later age. This results from the
substantial change of women's role in society and the development and
availability of assisted reproduction technologies. The latter made possible
women to become pregnant after the end of their reproductive years. In the
present review, data from the literature, published in the recent 15 years and
related to pregnancy course and outcome in women above the age of 35, are
analyzed. Decreased fertility, higher incidence of early pregnancy complications
including spontaneous abortions and ectopic pregnancy, and of congenital
anomalies (chromosomal, structural, genetic syndromes) are discussed. Advanced
maternal age is characterized with more frequent late pregnancy complication such
as hypertension and diabetes. Problems of placentation, perinatal and maternal
mortality are more frequent in these patients.. Data at present suggest higher
risk for maternal and fetal pregnancy complications as maternal age advances. On
the other hand, some positive aspects of postponing pregnancy have to be
considered--financial, emotional, and the overall low absolute number of
complications. With adequate antenatal care it is possible for women of advanced
maternal age to have successful pregnancies with overall favorable outcome
comparable to that in young women.
PMID- 24919339
TI - [Three- and four-dimensional (3D/4D) ultrasound in obstetric practice: review].
AB - Three-dimensional (3D) ultrasound is following the natural development of the
imaging technology. This review of the technical applications and clinical
aspects of the three-dimensional ultrasound is focused on vizualiztion of the
fetal anatomy and the possibilities of this new technology and to increase
awareness of its present clinical usefulness. Consulting specialists understand
fetal pathology better and can better plan postnatal interventions. 3D ultrasound
is a promising imaging method to image the fetus. Here are presented the methods
for visualization in obstetrics, and the place of the ultrasound imaging in
prenatal diagnosis. The role and value of this method will be in the focus of
further studies.
PMID- 24919340
TI - [Lichen sclerosus--clinical and therapeutic aspects].
AB - Lichen sclerosus (LS) is a lymphocyte-mediated inflammatory dermatosis with a
characteristic location (85-98%) in the anogenital region. The authors point out
the main features in the epidemiology and clinical presentation of the disease
and the possible approach to neoplastic development. Expanded differential
diagnosis of LS sparked not only dermatologists but also gynecologists,
urologists and GPs. Points are the chronic course of the disease and resistance
to therapy. Discuss the results of the treatment of LS with less potent topical
corticosteroids, calcineurin inhibitors, phototherapy and photodynamic therapy,
surgery.
PMID- 24919341
TI - [Sildenafil--for treatment of preeclampsia and intrauterine growth restriction].
AB - Pre-eclampsia and intrauterine growth restriction (IUGR) are responsible for
approximately 15-20% of serious maternal and neonatal diseases. These
complications are related to very early anomalies of the uteroplacental
circulation, accompanied, at least secondarily, by thromboxane-prostacyclin
related imbalances. Studies have failed to show a beneficial effect of
betamimetics and calcium channel blockers for the treatment of IUGR. Sildenafil
citrate, a type 5-specific phosphodiesterase inhibitor, augments the vasodilatory
effects of NO by preventing the degradation of cGMP There is a selective effect
of Sildenafil on the uteroplacental circulation. Sildenafil improves uterine
artery blood flow.
PMID- 24919342
TI - [Public health ethics and reproduction].
AB - Medical progress has enabled achievements that were not even thinkable earlier
but at the same time society and public health have had to face new challenges.
What are we ready to accept in the area of human reproduction? This paper aims at
ethical analysis of Bulgarian laws on reproduction. The abortion debate nowadays
has got new dimiension focusing not that much on its moral acceptability but
rather on the acceptable indications for its performance. Is it ethical to
perform abortion in case of undesired gender of the embryo or genetic
malformations? Lots of moral issues mark the area of assisted reproduction which
is due to the separation of the reproductive functions (ova, sperm and embryo
donation, surrogacy), fragmentation of motherhood and fatherhood, differentiation
of biological and social parenthood. Defining limits of acceptable interference
or non-interference in human reproduction will never be easy, but dynamics of
moral judgment shouldn't bother us. The rigidity of moral norms is what should be
alarming because it threatens procreative autonomy.
PMID- 24919343
TI - [Intrauterine fetal death in furcate insertion of umbilical cord].
AB - Fatal complications associated with abnormal umbilical cord insertions occupy 7.7
11.4% of all stillbirths and only 0.06% of all pregnancies. We report a rare
abnormality of umbilical cord insertions (furcated insertion) associated with
hemodynamic disorders and intrauterine death of the fetus.
PMID- 24919344
TI - [Pregnancy and vaccinoprevention].
AB - Vaccinations protect woman and her fetus against different infectious diseases,
but their application on pregnant should be extremely responsible. In this review
I present information about some infectious diseases and vaccines during
pregnancy. Women, planning to get pregnant should be advised to do serological
tests in order to find out their immune status against some infections, leading
to fetal congenital malformations (rubella, chicken pox, hepatitis B) and if
necessary to get vaccinated at least a month before pregnancy. Despite the lack
of vaccines against Cytomegalovirus (CMV), parvovirus 19 and Toxoplasma gondii it
is good to know woman's immune status against these infections in order to
clarify the clinical approach in case of future contact with sick or carriers.
Parvovirus 19 could cause fetal death, while CMV could be transmitted to the
child. Immune women wouldn't get sick and wouldn't transmit Toxoplasmagondii to
the fetus during pregnancy. Recommended vaccines before pregnancy include
vaccines against flu, human papilloma virus, MMR (morbilli, measles, rubella),
Tdap (tetanus, diphtheria, whooping cough), chicken pox. CDC-Atlanta recommends
during pregnancy two vaccines--against flu, in case it wasn't done before
pregnancy, and Tdap during every pregnancy between 27-th and 36-th gestation
week. Whooping cough is very dangerous for the baby during the first two months
after birth, while it is not yet vaccinated. From this point of view it is of
best interest of the mother to have strong immunity in order to transfer
antibodies during breastfeeding, as well as for the father and the rest who will
take care for the newborn child to be vaccinated against whooping cough. During
pregnancy vaccinations against tuberculosis, morbilli, measles, rubella,
meningococcal disease, typhoid fever and chicken pox are contraindicated. In case
of contact vaccinations against rabies, anthrax, small pox, poliomyelitis and
yellow fever should be taken into consideration. Immediately after birth, if the
vaccination against whooping cough is missed young mother vaccination is
recommended. The vaccination is one of the greatest achievements of the modern
medicine, but it is still an object of vigorous attacks, concerning used products
safety. One of the most spreading fears is about sterility after vaccination.
Over a period of three years (2009-2012) 563 women were vaccinated by SACMEH
against HPV. Forty two of them (13.40%) interrupt vaccination due to pregnancy
(18 of them after the first shot and 24 after the second shot). Our observations
show, that this vaccine is carried out good by the patients, tit is safe and does
not cause sterility.
PMID- 24919345
TI - [Laparoscopic burch colposuspensio--our experience].
AB - Stress incontinence is involuntary loss of urine that occurs during periods of
increased intraabdominal pressure, such as sneezing, coughing or exercise.
Retropubic Burch colposuspension has been considered by many to be the "gold
standard" procedure for treatment of female stress urinary incontinence for
almost 50 years. The firs reported retropubic surgery performed via the
laparoscopic approach was described by Vancaillie and Schuessler in 1991. We
present a clinical case of a female patient with stress incontinence who has been
operated by laparoscopic approach by our team.
PMID- 24919346
TI - Is the mind in the head? A belated response to Koenderink (1999).
PMID- 24919347
TI - Pattern dot quantity affects auditory facilitation effects on visual object
representations.
AB - Auditory stimuli often facilitate visual perception. Audiovisual integration
requires spatial and/or temporal proximity between visual and auditory stimuli;
additionally, sensory processing speed affects the audiovisual integration
process. In the present study we examined the relationship between processing
speed and the auditory facilitation effect on visual representations by
manipulating dot quantity patterns. We hypothesized that the auditory
facilitation effect would be observed in longer interstimulus interval conditions
with more dot quantities. This is because more processing time would be required
to integrate visual and auditory stimuli. During a backward masking paradigm used
in experiment 1, the auditory facilitation effect depended on dot quantity among
patterns and the interval between visual stimuli and masks. Moreover, differences
in processing time required to integrate visual and auditory stimuli between dot
quantities was confirmed from a same-different discrimination task in experiment
2. Therefore, dot quantity affects sensory processing time, and a longer
processing time is required for integrating visual and auditory stimuli when
visual dot quantity is high.
PMID- 24919348
TI - Pointing to azimuths and elevations of targets: blind and blindfolded-sighted.
AB - Three groups of observers pointed to target circles in a path on the ground, in
two parallel rows. Participants in one group viewed the circles and then pointed
blindfolded. Those in a second group were blindfolded and then touched the
circles with a stick while walking past them. Volunteers in the third group were
blind adults, a diverse group, who also used a stick to detect the circles. For
all three groups, as distance to the circles increased, pointing azimuths shrank
and elevations increased. We suggest that directions to targets on major
environmental surfaces may be appreciated similarly by the blind and sighted. We
challenge the assumption that the principle of convergence to the horizon,
available through vision because of the way in which visual angle decreases on
the retina, is not available through touch.
PMID- 24919349
TI - Changes in context and perception of maximum reaching height.
AB - Successfully performing a given behavior requires flexibility in both perception
and behavior. In particular, doing so requires perceiving whether that behavior
is possible across the variety of contexts in which it might be performed. Three
experiments investigated how (changes in) context (ie point of observation and
intended reaching task) influenced perception of maximum reaching height. The
results of experiment 1 showed that perceived maximum reaching height more
closely reflected actual reaching ability when perceivers occupied a point of
observation that was compatible with that required for the reaching task. The
results of experiments 2 and 3 showed that practice perceiving maximum reaching
height from a given point of observation improved perception of maximum reaching
height from a different point of observation, regardless of whether such practice
occurred at a compatible or incompatible point of observation. In general, such
findings show bounded flexibility in perception of affordances and are thus
consistent with a description of perceptual systems as smart perceptual devices.
PMID- 24919350
TI - Action-specific effects in aviation: what determines judged runway size?
AB - Several recent studies have shown that the performance of a skill that involves
acting on a goal object can influence one's judgment of the size of that object.
The present study investigated this effect in an aviation context. Novice pilots
were asked to perform a series of visual approach and landing manoeuvres in a
flight simulator. After each landing, participants next performed a task in which
runway size was judged for different simulated altitudes. Gaze behaviour and
control stick kinematics were also analyzed. There were significant relationships
between judged runway size and multiple action-related variables including
touchdown velocity, time fixating the runway, and the magnitude and frequency of
control inputs. These findings suggest that relationship between the perception
of a target object and action is not solely determined by performance success or
failure but rather involves a relationship between multiple variables that
reflect the actor's ability.
PMID- 24919351
TI - The effect of stimulus size on stereoscopic fusion limits and response criteria.
AB - The stereoscopic fusion limit denotes the largest binocular disparity for which a
single fused image is perceived. Several criteria can be employed when judging
whether or not a stereoscopic display is fused, and this may be a factor
contributing to a discrepancy in the literature. Schor, Wood, and Ogawa (1984
Vision Research, 24, 661-665) reported that fusion limits did not change as a
function of bar width, while Roumes, Plantier, Menu, and Thorpe (1997 Human
Factors, 39, 359-373) reported higher fusion limits for larger stimuli than for
smaller stimuli. Our investigation suggests that differing criteria between the
studies could contribute to this discrepancy. In experiment 1 we measured
horizontal and vertical disparity fusion limits for thin bars and for the edge of
an extended surface, allowing observers to use the criterion of either diplopia
or rivalry when evaluating fusion for all stimuli. Fusion limits were equal for
thin bars and extended surfaces in both horizontal and vertical disparity
conditions. We next measured fusion limits for a range of bar widths and
instructed observers to indicate which criterion they employed on each trial.
Fusion limits were constant across all stimulus widths. However, there was a
sharp change in criterion from diplopia to rivalry when the angular extent of the
bar width exceeded about twice the fusion limit, expressed in angular terms. We
conclude that stereoscopic fusion limits do not depend on stimulus size in this
context, but the criterion for fusion does. Therefore, the criterion for fusion
should be clearly defined in any study measuring stereoscopic fusion limits.
PMID- 24919352
TI - A norming study and library of 203 dance movements.
AB - Dance stimuli have been used in experimental studies of (i) how movement is
processed in the brain; (ii) how affect is perceived from bodily movement; and
(iii) how dance can be a source of aesthetic experience. However, stimulus
materials across--and even within--these three domains of research have varied
considerably. Thus, integrative conclusions remain elusive. Moreover, concerns
have been raised that the movements selected for such stimuli are qualitatively
too different from the actual art form dance, potentially introducing noise in
the data. We propose a library of dance stimuli which responds to the stimuli
requirements and design criteria of these three areas of research, while at the
same time respecting a dance art-historical perspective, offering greater
ecological validity as compared with previous dance stimulus sets. The stimuli
are 5-6 s long video clips, selected from genuine ballet performances. Following
a number of coding experiments, the resulting stimulus library comprises 203
ballet dance stimuli coded in (i) 25 qualitative and quantitative movement
variables; (ii) affective valence and arousal; and (iii) the aesthetic qualities
beauty, liking, and interest. An Excel spreadsheet with these data points
accompanies this manuscript, and the stimuli can be obtained from the authors
upon request.
PMID- 24919353
TI - Reversing the reversed contrast.
AB - Galmonte and Agostini (1998 Investigative Ophthalmology & Visual Science, 39(4),
S158), Agostini and Galmonte (2002 Psychological Science, 13, 89-93), Bressan
(2001 Perception, 30, 1031-1046), and Gilchrist and Annan (2002 Perception, 31,
141-150) reported three different lightness contrast configurations in which
grouping factors make a gray target totally surrounded by black appear darker
than an equal gray target surrounded by white, reversing the classical contrast
effect. In this paper we demonstrate that the three configurations known as
'reversed contrast' are based on different mechanisms. Sixteen participants
judged the lightness of the gray targets of the original and modified versions of
the three configurations. Our results highlight that the Agostini and Galmonte
effect is reversed when the global grouping factors are removed, while in a
number of variations of Bressan's and Gilchrist and Annan's displays the
direction of the effect does not change, even in absence of global grouping
factors. Our results indicate that the factors determining the Agostini and
Galmonte effect are different from those acting on the other two configurations,
in which the lightness change is also due to factors other than belongingness.
PMID- 24919354
TI - Evaluating training methods for facial image comparison: the face shape strategy
does not work.
AB - Human performance on unfamiliar face matching is known to be highly error prone.
However, in organisations where staff are required to perform this task as part
of their daily work, attempts are often made to mitigate risk by providing
training. Importantly, the methods used in these training courses have not been
subjected to empirical validation. In this study we evaluate a common component
of many training programmes which encourages viewers to classify face shape. Our
results show very low agreement in face shape classification, both within and
between participants, and across repeated presentations of a single image to a
single participant. Furthermore, face shape classification training did not
improve face matching accuracy, suggesting that the face shape strategy does not
facilitate identification.
PMID- 24919355
TI - Familiarity, expertise, and change detection: change deafness is worse in your
native language.
AB - We first replicated the language-familiarity effect for voice discrimination and
found better voice discrimination in familiar languages. However, when listeners
were not cued to listen for changes, both English and Spanish speakers exhibited
greater change deafness in their familiar language. Results suggest that
lexical/semantic attention in a familiar language and increased indexical
processing in an unfamiliar language can produce greater change deafness in
familiar languages.
PMID- 24919356
TI - Time-to-contact estimation modulated by implied friction.
AB - The present study demonstrated that friction cues for target motion affect time
to-contact (TTC) estimation. A circular target moved in a linear path with a
constant velocity and was gradually occluded by a static rectangle. The target
moved with forward and backward spins or without spin. Observers were asked to
respond at the time when the moving target appeared to pass the occluder. The
results showed that TTC was significantly longer in the backward spin condition
than in the forward and without-spin conditions. Moreover, similar results were
obtained when a sound was used to imply friction. Our findings indicate that the
observer's experiential knowledge of motion coupled with friction intuitively
modulated their TTC estimation.
PMID- 24919357
TI - [Realities and life projects of homeless people: when the body is the last
resource].
AB - In today's society, the most destitute, and particularly those forced to live
outdoors, are deprived of everything: work, money, accommodation,family, etc.
Their body is the sole and last resource they have, but is subject to over
exploitation in response to the physical and social survival environment in which
they live. Many homeless people have a chaotic life trajectory, often starting in
childhood, leaving them with little hope for improvement. How can we adapt
medical and social care to each individual? All members of society must question
their place and function if precariousness is to bedealt with effectively.
PMID- 24919358
TI - [Homelessness: psychological and behavioral issues].
AB - Risk factors lead to social exclusion and their accumulation can lead to
homelessness. This inevitably contributes to a progressive increase in
psychological distress or aggravates a pre-existing mental illness. Over the
years, homeless people, who are never happy, develop various survival strategies
and mental defenses that can sometimes prove effective. Other individuals who are
less"adapted" to living in the street may suffer from both mental and physical
collapse. Proactive programs designed to facilitate access to healthcare and
welfare have been created in order to offer solutions designed to enable homeless
people to leave the street, through access to medical care, accommodation and
civil rights. The psychiatric sector has been slow to adapt to the needs of this
population, although several teams specializing in mental illness and
precariousness have been created These teams explore every possible avenue to
help homeless people with mental health issues to recover a psychological balance
that allows them to choose a recovery pathway and thus to regain a dignified
lifestyle.
PMID- 24919359
TI - [Health of the homeless].
AB - The homeless population is difficult to define and its number difficult to
evaluate. In France, it is estimated that almost 4 million people living in
substandard accommodation, and 85,000 homeless people. Most homeless people
rarely frequent public spaces. One-third have a job, one-quarter live with
children, and one-third are between 18 and 29 years old. Shared characteristics
include a collapse of social ties and a complete lack of stable accommodation.
There are no illnesses specific to homeless people, but their epidemiology
differs from the general population: the incidence rate of tuberculosis is 30
times higher, for example. Medical care often arrives far too late. As a result,
functional deficits are common, often following serious accidents, and
hospitalization is three times more frequent. A chronic disease is present in 45%
of cases. Average life expectancy is only 47.6 years-between 30 and 35 years
lower than for the general French population. Medical care can only be fully
effective if these patients' social and housing issues are dealt with too.
PMID- 24919360
TI - [Historical cohorts: contribution to epidemiological knowledge].
AB - Several large cohort studies have been performed in France since the 1960s.
Participants were recruited from general or occupational populations. Whatever
their primary objective, these cohort studies provided important data on the
prevalence and risk factors of major public health problems. The scientific value
of these studies, which gave rise to a very large numbers of publications, is
internationally recognized.
PMID- 24919361
TI - [Population-based cohorts. Example of the Gazel and Constances cohorts].
AB - Population-based cohorts focus on the causes of diseases, especially
multifactorial diseases. Some are very large, and prospectively collect personal,
lifestyle, occupational and environmental data over several decades. All include
biobanks. "Generalist" cohorts cover a large field of diseases and risk factors.
Two examples are presented here The Gazel cohort was composed of 20,000 subjects
aged 35-50 at enrolment andfollowed-up for 25 years, resulting in about 200
publications. The Constances cohort, created in 2012, aims to include a
representative sample of 200,000 adults aged 18-69 at enrolment.
PMID- 24919362
TI - [Large health cohorts in France].
AB - A cohort is composed of a group of individuals sharing a number of features and
who are monitored longitudinally, at the individual level, according to a pre
established protocol. Since 2008, funding of health cohorts in France by Tres
Grandes Infrastructures de Recherche (Very Large Research Infrastructures, TGIR)
and associated public stimulus investments has led to the creation of 15 very
large cohorts involving either the general population or patients with particular
diseases. As platforms for research in life sciences and health, these cohorts,
funded for ten years, will develop shareable databases. Many health issues,
especially biological, medical, social and environmental disease determinants and
their interactions, will benefit from innovative approaches. Parallel
constitution of biobanks and the use of new technologies for communication, data
sharing and international cooperation will reinforce this initiative. A new
longitudinal and systematic approach is strengthening the research
infrastructure.
PMID- 24919363
TI - [Registries of birth defects: a tool for monitoring, research, and evaluation of
interventions].
AB - This article examines the role of public health registries, based on the example
of congenital abnormalities. In addition to their main role in epidemiological
surveillance, registries can be useful for conducting research and for evaluating
public health interventions such as primary prevention and prenatal diagnosis.
Congenital abnormalities are relatively frequent, affecting about 3% of births,
but many are due to rare diseases. Known teratogens increase the risk of one or
afew specific, often rare anomalies. Consequently, continuous monitoring of
large, geographically defined populations is needed, particularly to establish
the "baseline "prevalence of birth defects. Networks of registries can make an
important contribution to this goal, as exemplified by the EUROCAT network, which
plays a key role in coordinating surveillance and research on birth defects in
Europe.
PMID- 24919364
TI - [Blood platelets].
AB - Studies of inherited platelet disorders have led to major advances in our
knowledge of platelet physiology, thus permitting the development of antiplatelet
agents that are now widely used to treat vascular diseases. New therapeutic
strategies have also resulted from a better understanding of
megakaryocytopoiesis, notably including the use of thrombopoietin analogs for
immune thrombocytopenias.
PMID- 24919365
TI - [Glycoproteins, inherited diseases of platelets, and the role of platelets in
wound healing].
AB - Recognition that platelets have a glycocalyx rich in membrane glycoproteins
prompted the discovery in France that inherited bleeding syndromes due to defects
of platelet adhesion and aggregation were caused by deficiencies in major
receptors at the platelet surface. Identification of the alpha IIb beta3 integrin
prompted the development of powerful anti-thrombotic drugs that have gained
worldwide use. Since these discoveries, the genetic causes of many other defects
of platelet function and production have been elucidated, with the identification
of an ADP receptor, P2 Y12, another widespread target for anti-thrombotic drugs.
Discovery of the molecular basis of a rare disease of storage of biologically
active proteins in platelet alpha-granules has been accompanied by the
recognition of the roles of platelets in inflammation, the innate immune system
and tissue repair, opening new avenues for therapeutic advances.
PMID- 24919366
TI - [Molecular mechanisms of platelet activation].
AB - The main role of blood platelets is to ensure vascular integrity and hemostasis
in case of vascular damage. The platelet functions involved in these
physiological processes are also at work in arterial thrombosis, which is a
dramatic complication of atherosclerosis that may lead to vascular occlusion.
These functions of platelets include their ability to adhere to the injured
vessel wall, to be activated by contact with various substrates and soluble
activators, and to form aggregates stabilized by a fibrin network. Platelets are
also involved in metastasis, various inflammatory processes, innate and adaptive
immune defenses, and embryonic development. These roles are supported by multiple
molecular mechanisms, some of which are common to several functions while others
are distinct. Defects in one or other of these mechanisms do not necessarily
disrupt all platelet functions.
PMID- 24919367
TI - [Antiplatelet agents].
AB - Atherothrombosis is a major global public health problem. Chronic atherosclerotic
disease is often clinically silent and coexists across multiple vascular beds
but, when complicated by thrombosis, it can result in an acute coronary syndrome,
stroke, transient ischemic attack, and critical limb ischemia. Platelets play a
role in the development of chronic atherosclerotic disease and are a key mediator
of clinical events in atherothrombosis. Numerous clinical trials have tested
antiplatelet agents for primary and secondary prevention, and several new
antiplatelet drugs are under development. There is evidence of clear benefit of
single and, in some cases, dual antiplatelet therapy in the prevention of
recurrent cardiovascular and cerebrovascular complications. Dual antiplatelet
therapy has emerged as the standard of care for acute coronary syndromes, with
aspirin typically being used in combination with clopidogrel or one of the newer
more potent ADP receptor antagonists (ticagrelor or prasugrel). Conversely, in
chronic stable coronary disease, no benefit of dual antiplatelet therapy has yet
been convincingly demonstrated Evidence supporting routine use of aspirin or any
other antiplatelet agent for primary prevention is mixed, and this strategy
should only be considered for individual high-risk patients in whom the
thrombotic risk outweighs the risk of major bleeding complications.
PMID- 24919368
TI - [Platelets, atherothrombosis, antiplatelet drugs and cerebral ischemia].
AB - Platelets play a much more important role in myocardial ischemia than in cerebral
ischemia, because atherothrombosis - the underlying cause of the vast majority of
myocardial infarcts - is responsible for only 25-30% of cerebral infarcts.
Aspirin is the only effective antiplatelet drug for primary prevention of
ischemic events, especially those affecting the heart. For secondary prevention
of cerebral infarction, clopidogrel and the combination of aspirin with extended
release dipyridamole are both marginally better than aspirin alone, but aspirin
remains the gold standard worldwide because of its remarkable
cost/benefit/tolerability ratio. The clopidogrel-aspirin combination is to be
avoided because of the risk of hemorrhage, particularly in the brain and
gastrointestinal tract. Revascularization strategies and the choice of
antiplatelet drugs for the acute phase of myocardial and cerebral ischemia are
very different, consisting of endovascular treatment and aggressive platelet
inhibition for coronary infarcts, versus intravenous thrombolysis and / or
aspirin for cerebral infarcts. None of the new antiplatelet drugs used in acute
coronary syndromes has so far been studied in acute cerebral ischemia.
PMID- 24919369
TI - [Megakaryopoiesis: regulation of platelet production by thrombopoietin].
AB - Each day, 2x10(11) platelets are produced in the human body by a highly regulated
mechanism. The biology of platelet formation is unique, as platelets arise from
cytoplasmic fragmentation of their marrow precursor, the megakaryocyte (MK). MKs
are giant cells that undergo polyploidisation during maturation, through a
process called endomitosis leading to a cell with a 2(x)N DNA content. This huge
size allows each MK to produce several thousand platelets. MK cytoplasmic
fragmentation is a dynamic and organized process beginning with extensions,
called proplatelets, that further fragment to give rise to platelets. This last
process takes place in the bloodstream and is regulated by shear stress.
Thrombopoietin (TPO) is the hormone that, with the exception of platelet
shedding, regulates all the steps of megakaryopoiesis, from the hematopoietic
stem cell to MK maturation. TPO is mostly synthesized by the liver, mainly in
constitutive fashion, and its plasma level is dependent on its clearance by
platelets and MK after binding to its receptor MPL. MPL is a type I homodimeric
cytokine receptor that requires the kinase JAK2 for its signaling activity. MPL
and JAK2 are involved in numerous inherited and malignant disorders leading to
thrombocytopenia and aplastic anemia or to thrombocytosis. They are now being
targeted therapeutically.
PMID- 24919370
TI - [Immune thrombocytopenias: pathophysiology and treatment].
AB - Immune thrombocytopenia (ITP) is characterized by platelet destruction due to the
presence of platelet antibodies. This phenomenon is associated with impaired
platelet production by bone marrow. Short-course corticosteroids and high-dose
intravenous immunoglobulins remain the first-line treatments. Several
international guidelines propose splenectomy as a second-line treatment for
chronic ITP. However, new therapeutic strategies including anti-CD20 monoclonal
antibodies and thrombopoietin receptor agonists, appear to be very effective and
to have good short-term tolerability. Prospective studies that include safety,
efficacy and medico-economic assessments are needed to refine the management of
chronic ITP.
PMID- 24919371
TI - [Blood platelets: conclusion].
PMID- 24919372
TI - [Psychogenic contracture, a trap for the orthopedic surgeon].
AB - Dysfunctional posture is an enigmatic pathological entity now attributed to a
conversion reaction (formerly to hysteria). When localized to the limbs, the main
clinical feature is a contracture of one or several articular segments inflexion
or extension. Most of the time, the contracture is released by anesthesia.
Patients should be managed with a psychopathological approach. However, some
patients continue to be managed in a surgical department because the contracture
became apparent after a trauma or surgical procedure. The orthopedic surgeon must
be aware of this phenomenon in order to avoid unnecessary operations.
PMID- 24919373
TI - [Does early signet ring cell gastric carcinoma carry a worse prognosis?].
AB - INTRODUCTION: The signet ring cell (SRC) histological subtype is a factor of poor
prognosis in advanced gastric adenocarcinomas, but its prognostic value in early
gastric cancer is unclear. The aim of this study was to evaluate the prognostic
impact of SRC in superficial gastric adenocarcinomas, based on a comparison of
patients with SRC and non SRC histologies. PATIENTS AND METHODS: From a large
national cohort of 3,010 patients operated on for gastric adenocarcinoma between
January 1997 and January 2010, we selected patients with pTis or pT1 tumors and
compared those with SRC and non SRC histology on the basis of demographic,
surgical and histologic factors and outcomes. The primary endpoint was the 3-year
survival rate. RESULTS: Among 421 patients with a pTis or pT1 tumor, 104 (24.7%)
had the SRC subtype and 317 (75.3%) a non SRC subtype. Median age was
significantly lower in the SRC group than in the non SRC group (59.6 vs 68.8
years, p<0.001). Other demographic variables were similar in the two groups.
Extensive surgical resection was more frequent in the non SRC group (31.9% vs
12.5%, p<0.001), but R0 resection rates were similar (97.5% vs 98.1%, p=0.900).
The submucosa was more frequently involved in the SRC group (94.2% vs 84.9%,
p=0.043), while lymph node involvement and the number of invaded nodes were
similar in the two groups. Recurrences (5.8% vs 8.8%, p=0.223) and sites of
recurrence (especially peritoneal carcinomatosis, 1.9% vs 1.6% ; p=0.838) were
similar in the two groups. The 3-year survival rate was similar in the SRC and
non SRC groups (94.1% vs 89.9%, p=0. 403), although the median survival time had
not been reached CONCLUSION: SRC is not a prognostic factor in superficial
gastric adenocarcinoma.
PMID- 24919374
TI - [Surgery for anal incontinence: developments in the past two decades and future
directions].
AB - Studies showing the frequency of anal incontinence and its social and economic
impact have driven progress in surgical treatment, from muscle repair by
myorraphy (mainly posterior myorraphy) or sphincteroplasty by direct suture of
the external anal sphincter some 20 years ago, to invasive surgery with
implantation of an artificial anal sphincter in 1993, mini-invasive surgery based
on sacral nerve stimulation in 1998, failure of mini-invasive procedures with
injection of a bulking agent or radiofrequency in 2000-2010, and development in
2012 of cellular therapy based on injection of autologous myoblasts. Progress in
functional gut exploration (anorectal manometry, electrophysiological tests,
endoanal ultrasonography, MRI, colonic transit time) and better knowledge of
colonic and ano-rectal physiology will lead to further surgical advances.
PMID- 24919375
TI - [Controlled drug consumption rooms].
PMID- 24919376
TI - [Free screening and semi-private hearing aid schools: a paramedical market].
PMID- 24919377
TI - [Cardiovascular risk, cholesterol and statins].
PMID- 24919378
TI - [Absinthe rehabilitated].
AB - Absinthe (Artemisia absinthium) has been known for its medicinal properties since
Antiquity. The Egyptians in 1600 BC, then Hippocrates, Galien, The Salerne School
in 1649, and 18th-century physicians all recommended it for a variety of
maladies. In 1780-1790 in Switzerland (Val de Travers), a traditional healer and,
probably, a itinerant physician by the name of Docteur Ordinaire, transformed
this purgative elixir into an aperitif liqueur, a move followed by two centuries
of unexpected success. Absinthe was manufactured in France, at Pontarlier, for
tax reasons. In 1900, the town counted 25 distilleries. Its production then
extended to other French provinces. La fee verte ("green fairy") was particularly
popular with the military, literary and artistic circles, and, finally the
general public. In 1902, following a parliamentary vote, the Minister of the
Interior asked the National Academy of Medicine to issue an opinion on "The
indication of aperitif liqueurs, including absinthe". The Commission on
Alcoholism, chaired by J.V. Laborde, examined the composition of absinthe
liqueurs, the physiological and toxic action of their essences, the risks
associated with "bitter" aperitifs, and the composition of essence-based liqueurs
that were not used as aperitifs. The Commission compiled a list of the most
dangerous aperitifs and liqueurs placing absinthe at the top, and recommended
that the authorities prohibit the manufacture, circulation, advertisement and
sale of all such drinks, including absinthe. However, because these drinks, and
especially absinthe, represented an important source of tax revenue, this
recommendation was only acted upon 13 years later, with a law adopted in March
1915. Despite subsequent prohibition of the production of absinthe liqueur,
manufacturers in the Val de Travers region and a distillery in Pontarlier
continued to work in secret until the prohibition was finally lifted in the year
2000. This decision was based on scientific analyses showing that thuyone was
only toxic when ingested in very large amounts incompatible with normal
consumption. In addition, it was found that the high alcohol content, often
including adulterated alcohol, was particularly detrimental. A handful of
manufacturers are now authorized, with strict limits placed on the alcohol
content and thuyone concentration. Does this mean that the Academy of Medicine
was wrong? While the report can be criticized, the fight against alcoholism was a
worthy and necessary cause at the time, and remains so today.
PMID- 24919379
TI - Cockpit displays of traffic information and pilot bias in time-to-contact
judgments.
AB - INTRODUCTION: Pilots are susceptible to over-reliance on distance when making
relative time-to-contact (TTC) judgments of surrounding intruders, referred to as
"the distance bias." We tested the effect of adding perceptual cues and an
information feature to cockpit displays of traffic information to mitigate this
bias. METHOD: There were 14 general aviation pilots who participated in a
simulated flight scenario and were asked to make relative TTC judgments. Three
levels of perceptual cue (blinking, color-change, and no-cue) were crossed with
two levels of velocity data tag (present and absent) with identification of the
highest risk intruder as a response. RESULTS: Perceptual cues were associated
with more accurate high-risk intruder selection (color = 95.95% correct, blinking
= 95.98%, no-cue = 87.89%), decreased response time (color = 3.68 s, blinking =
3.19 s, no-cue = 6.08 s), reduced visual attention demand (color = 57% of
attention, blinking = 58%, no-cue = 62%), lower workload ratings (color =
28.38/100, blinking = 29.66/100, no-cue = 48.91/100), and higher performance
confidence ratings (color = 83.92/100, blinking = 82.71/100, no-cue = 58.85/100)
than the no-cue displays. There was no difference between blinking and color cue
displays. The data tag was associated with lower response times (present = 4.13
s, absent = 4.50 s) and higher confidence ratings (present = 78.69/100, absent =
71.63/100) than displays without. Displays including the blinking cue, color
change cue, and data tag were preferred over displays that did not include these
features (color = 8 pilots, blinking = 6, no-cue = 0). DISCUSSION: The added
display features were effective in mitigating the effect of the distance bias on
pilot performance measures and received favorable subjective ratings.
PMID- 24919380
TI - Isometric force exaggeration in simulated weightlessness by water immersion: role
of visual feedback.
AB - BACKGROUND: Previous studies reported that humans produce exaggerated isometric
forces (20-50%) in microgravity, hypergravity, and under water. Subjects were not
provided with visual feedback and exaggerations were attributed to proprioceptive
deficits. The few studies that provided visual feedback in micro- and
hypergravity found no deficits. The present work was undertaken to find out
whether visual feedback can reduce or eliminate isometric force exaggerations
during shallow water immersion, a working environment for astronauts and divers.
METHODS: There were 48 subjects who had to produce isometric forces of 15 N with
a joystick; targets were presented via screen. Procedures were similar to earlier
studies, but provided visual feedback. Subjects were tested 16.4 ft (5 m) under
water (WET) and on dry land (DRY). Response accuracy was calculated with
landmarks such as initial and peak force magnitude, and response timing. RESULTS:
Initial force and response timing were equal in WET compared to DRY. A small but
significant force exaggeration (+5%) remained for peak force in WET that was
limited to directions toward the trunk. DISCUSSION: Force exaggeration under
water is largely compensated, but not completely eliminated by visual feedback.
As in earlier studies without visual feedback, force exaggeration manifested
during later but not early response parts, speaking for impaired proprioceptive
feedback rather than for erroneous central motor planning. Since in contrast to
micro/hypergravity, visual feedback did not sufficiently abolish force deficits
under water, proprioceptive information seems to be weighted differently in
micro/hypergravity and shallow water immersion, probably because only the latter
environment produces increased ambient pressure, which is known to induce
neuronal changes.
PMID- 24919381
TI - The metabolic cost of an integrated exercise program performed during 14 days of
bed rest.
AB - BACKGROUND: Exercise countermeasures designed to mitigate muscle atrophy during
long-duration spaceflight may not be as effective if crewmembers are in negative
energy balance (energy output > energy input). This study determined the energy
cost of supine exercise (resistance, interval, aerobic) during the spaceflight
analogue of bed rest. METHODS: Nine subjects (eight men and one woman; 34.5 +/-
8.2 yr) completed 14 d of bed rest and concomitant exercise countermeasures. Body
mass and basal metabolic rate (BMR) were assessed before and during bed rest.
Exercise energy expenditure was measured during and immediately after [excess
post-exercise oxygen consumption (EPOC)] each of five different exercise
protocols (30-s, 2-min, and 4-min intervals, continuous aerobic, and a variety of
resistance exercises) during bed rest. RESULTS: On days when resistance and
continuous aerobic exercise were performed daily, energy expenditure was
significantly greater (2879 +/- 280 kcal) than 2-min (2390 +/- 237 kcal), 30-s
(2501 +/- 264 kcal), or 4-min (2546 +/- 264 kcal) exercise. There were no
significant differences in BMR (pre-bed rest: 1649 +/- 216 kcal; week 1: 1632 +/-
174 kcal; week 2:1657 +/- 176 kcal) or body mass (pre-bed rest: 75.2 +/- 10.1 kg;
post-bed rest: 75.2 +/- 9.6 kg). DISCUSSION: These findings highlight the
importance of energy balance for long-duration crewmembers completing a high
intensity exercise program with multiple exercise sessions daily.
PMID- 24919382
TI - Control pattern injuries in fatal fixed-wing general aviation accidents.
AB - BACKGROUND: In aviation accident investigations, control pattern injuries (CPIs)
have been useful for accident reconstruction and for determining who was in
control of the aircraft at the time of impact. Recently, CPIs have been
considered nonspecific. This study compares CPIs suffered by pilots and
passengers in fatal fixed-wing general aviation (GA) accidents. METHODS: Accident
data were collected from the Federal Aviation Administration's Civil Aerospace
Medical Institute (FAA-CAMI) and National Transportation Safety Board (NTSB)
accident reports for the year 2010. Pilot and passenger data were analyzed by
comparing the number, type, and location of CPIs. RESULTS: Of 22 types of CPIs, 2
were found to be individually statistically significant, but neither was
significant when corrected for multiple comparisons. When comparing solo pilots
to all passengers, fractures of the right metatarsals occurred more frequently in
solo pilots (9.3%) than in all passengers (1.69%) and fractures of the distal
radius and ulna occurred less frequently for solo pilots (11.36%) than in all
passengers (22.41%). CONCLUSION: Proportional differences appear to exist when
comparing specific CPIs between pilots and passengers. Control pattern injuries
are an important part of accident investigation and may be helpful in determining
the pilot in control at the time of impact.
PMID- 24919383
TI - Variability in orthostatic tolerance during heat stress: cerebrovascular
reactivity to arterial carbon dioxide.
AB - INTRODUCTION: A high degree of interindividual variability exists in the
magnitude of heat stress (HS)-induced reductions in orthostatic tolerance
relative to normothermia (NT). This variability may be associated with HS
mediated reductions in cerebral perfusion (indexed as middle cerebral artery
blood velocity; MCAV(mean)) and altered cerebrovascular regulation. METHODS: We
tested the hypothesis that cerebrovascular reactivity to hypocapnia would be
positively correlated with differences in tolerance to lower body negative
pressure (LBNP) [assessed with a cumulative stress index (CSI)] between HS and NT
(CSI(diff)). Subjects (N = 13) underwent LBNP twice (NT and HS) separated by > 72
h to assess CSI. On a third day, cerebrovascular reactivity [changes in cerebral
vascular conductance (CVCi) during hyperventilation-induced hypocapnia (indexed
by end tidal carbon dioxide; P(ET)CO2)] was assessed during NT, HS, and HS+LBNP (
20 mmHg; HS(LBNP)). RESULTS: Tolerance to LBNP was reduced after a 1.5 +/- 0.1
degrees C increase in internal temperature and a high degree of variability was
observed for CSI(diff) (range: 122 to 1826 mmHg x min(-1)). The magnitude of
reduction in CVCi during voluntary hyperventilation-induced hypocapnia (-16 +/- 5
Torr) was attenuated during HS and HS(LBNP) VS. NT (NT: -0.20 +/- 0.09 cm x s(-1)
x mmHg(-1); HS: -0.12 +/- 0.09 cm x s(-1) x mmHg(-1); HS(LBNP): -0.11 +/- 0.11 cm
x s(-1). mmHg(-1)); however, no relationship existed between deltaCVCi/ P(ET)CO2
and CSI(diff) in any condition. CONCLUSIONS: Cerebrovascular reactivity to
hyperventilation-induced hypocapnia is attenuated when internal temperature is
elevated, perhaps as a protective mechanism to protect against further reductions
in the already diminished cerebral perfusion in this thermal state. However,
individual differences in these responses do not appear to predict orthostatic
tolerance during HS.
PMID- 24919384
TI - Fatal accident rates for instrument-rated private pilots.
AB - BACKGROUND: The fatality rate for general aviation, 82 times that of air carriers
and even higher for those involving aeromedical transportation, has not declined
over time. Although noncommercial (private) pilots are increasingly seeking the
instrument rating (IFR), which offers safety advantages, there is a paucity of
research on causes of fatal crashes for this aviator subset. METHODS: The NTSB
accident database was queried for general aviation fatal accidents involving
private pilots. Exact two sample proportions, linear regression model outcomes
(log-odds), and Poisson rate ratio tests were used for statistical analysis.
RESULTS: The fatal accident rate for flights in instrument conditions decreased
55% over 10 yr (2002-2011) although an increased fatality rate was evident for
pilots > 65 yr of age. Instrument approach deficiency (lAD), spatial
disorientation/failure to maintain control (SD/FMC), and failure to maintain
obstacle/terrain clearance (FMOTC) were common causes of fatal accidents in
reduced visibility. However a > 55% decline in fatal accidents due to IAD was
evident over the decade. Under visual conditions, engine/air-frame malfunction,
aerodynamic stall, and FMOTC represented frequent causes. Of these, FMOTC showed
a statistically significant decline over 10 yr. Comparing day and night
operations, fatal crashes attributed to FMOTC and IAD were more frequent at
night. CONCLUSION: For the IFR-rated private pilot, our study argues for an
emphasis on training and currency in SD/FMC and aerodynamic stall recovery.
Likewise training to remedy IAD, the frequent cause of fatal night accidents, and
flying by instrument flight rules to assure obstacle/terrain clearance should be
encouraged for pilots undertaking such operations.
PMID- 24919385
TI - Space motion sickness countermeasures: a pharmacological double-blind, placebo
controlled study.
AB - INTRODUCTION: Space motion sickness (SMS), caused by a canal-otolith conflict, is
currently treated with intramuscular promethazine. However, the drug has an
inconsistent efficacy against SMS. We hypothesize that pharmacological depression
of the semicircular canals (SCC) might relieve SMS. The aim of the present study
was to identify the effects of meclizine (25 mg), dimenhydrinate (40 mg) combined
with cinnarizine (25 mg), and promethazine (25 mg) combined with d-amphetamine
(10 mg) on the SCCs and the otoliths. METHODS: This double-blind, placebo
controlled study was performed on 20 healthy men. Function of the SCC was
evaluated by means of an electronystagmography, whereas utricular function was
assessed by a unilateral centrifugation test. A cervical vestibular evoked
myogenic potentials test evaluated saccular function. RESULTS: Meclizine (0.54 +/
0.05 vs. 0.38 +/- 0.06) and dimenhydrinate with cinnarizine (0.54 +/- 0.05 vs.
0.45 +/- 0.05) decreased the vestibulo-ocular reflex gain. Promethazine with d
amphetamine decreased the latency of the saccadic eye response (right eye: 185 +/
3.8 ms vs. 165 +/- 4.5 ms; left eye: 181 +/- 4.9 ms vs. 165 +/- 4.8 ms) and also
increased the phase of ocular counterrolling measured during unilateral
centrifugation (0.32 +/- 0.35 degrees vs. 1.5 +/- 0.45 degrees). DISCUSSION: It
is hypothesized that meclizine and dimenhydrinate with cinnarizine affect the
medial vestibular nucleus. Promethazine is a vestibular suppressor, but study
results show that d-amphetamine counterbalances this depression and abolishes the
effect of fatigue on the saccadic reaction time The hypothesis that a SCC
suppression alleviates SMS should be further evaluated.
PMID- 24919386
TI - Women's health in spaceflight.
AB - OBJECTIVE: To review the current state of knowledge with regards to clinical
challenges related to women's health during spaceflight. METHODS: Articles were
reviewed relevant to "women", "sex," and "gender" in "microgravity,"
"weightlessness," and "spaceflight" in the English and Russian languages.
RESULTS: There were 50 papers identified. Studies have shown that crewmembers
suffer from space motion sickness, but gender discrepancies have not been
explored. Nearly all women experience orthostatic intolerance in space, which may
be due to differences in female cardiovascular response. Immunosuppression in
spaceflight results in susceptibility to opportunistic infections, but no studies
have investigated gender differences. Finally, radiation exposure and germ cell
viability influence the reproductive health of astronauts. CONCLUSIONS: With
changes in space access offered by commercial space activities, research areas
devoted to women's health in microgravity should become one of the priorities for
safe space exploratory efforts.
PMID- 24919387
TI - Electrocardiogram at an altitude of 3600 m with reference to T wave depression.
AB - INTRODUCTION: Exposure to high altitude decreases arterial oxygen saturation
(Sa(O2)). Previous studies have shown decreased voltage of the T wave of the
electrocardiogram (ECG) at altitudes up to 7000 m (22,966 ft) secondary to
hypoxia. This pilot study explored changes in the ECG at the maximum altitude
pilots can fly without supplemental oxygen. In addition, this is a common
altitude for recreational trekkers. METHODS: There were 13 subjects who rested at
sea level (1ATA) for 30 min and then were taken to an altitude of 3000 m or 3600
m (10,000 or 12,000 ft; at altitude) where they rested for 30 min. ECG was
collected continuously as was Sa(O2) and heart rate (HR). A series of 10 ECG
complexes were analyzed for 7 time periods over the 30-min collection periods.
RESULTS: The P wave, PR, QRS, and QT interval duration did not show a significant
difference between 1 ATA and at altitude for the group of subjects analyzed (N =
11 ). The T wave amplitude showed a significant decrease (delta = -19.3%) for
seven subjects at altitude; however, the other six subjects did not show a
significant change (delta = 1.6%). The T wave amplitude observations described
above were consistent for average HRs and selected HRs that were equal between 1
ATA and at altitude. CONCLUSION: This study confirmed that some subjects showed
decreased T wave amplitude at altitude which was not associated with pulmonary
function, HR, ventilation, end-tidal CO2, or Sa(O2).
PMID- 24919388
TI - Yawning as a behavioral marker of mild motion sickness and sopite syndrome.
AB - INTRODUCTION: Severe motion sickness is easily identifiable with sufferers
showing obvious behavioral signs, including emesis (vomiting). Mild motion
sickness and sopite syndrome lack such clear and objective behavioral markers. We
postulate that yawning may have the potential to be used in operational settings
as such a marker. This study assesses the utility of yawning as a behavioral
marker for the identification of soporific effects by investigating the
association between yawning and mild motion sickness/sopite syndrome in a
controlled environment. METHODS: Using a randomized motion-counterbalanced
design, we collected yawning and motion sickness data from 39 healthy individuals
(34 men and 5 women, ages 27-59 yr) in static and motion conditions. Each
individual participated in two 1-h sessions. Each session consisted of six 10-min
blocks. Subjects performed a multitasking battery on a head mounted display while
seated on the moving platform. The occurrence and severity of symptoms were
assessed with the Motion Sickness Assessment Questionnaire (MSAQ). RESULTS:
Yawning occurred predominantly in the motion condition. All yawners in motion (N
= 5) were symptomatic. Compared to nonyawners (MSAQ indices: Total = 14.0, Sopite
= 15.0), subjects who yawned in motion demonstrated increased severity of motion
sickness and soporific symptoms (MSAQ indices: Total = 17.2, Sopite = 22.4), and
reduced multitasking cognitive performance (Composite score: nonyawners = 1348;
yawners = 1145). DISCUSSION: These results provide evidence that yawning may be a
viable behavioral marker to recognize the onset of soporific effects and their
concomitant reduction in cognitive performance.
PMID- 24919389
TI - Unexplained hypoxia in an in-flight emergency.
AB - BACKGROUND: The use of over-the-counter medications and nutritional supplements
is prohibited while flying without approval from an aeromedical professional.
Despite prohibition, the use of nutritional supplements is common in aircrew due
to the perception that these supplements are harmless; in reality, the use of
nutritional supplements may be more dangerous than the use of traditional
medications. Multiple case reports of adverse neurologic and cardiovascular
events associated with the use of specific supplements led the FDA to ban ephedra
in 2004 and DMAA in 2012, both marketed as "natural stimulants." These incidents
are sobering reminders of the lack of safety data on commonly marketed
nutritional supplements. There are few, if any, case reports or clinical trials
addressing the safety of common nutritional supplements in flight. CASE REPORT:
This is a case of an aircrew member who experienced hypoxia during an in-flight
emergency. He underwent thorough medical evaluation to determine the cause of his
hypoxic event and ultimately admitted to the use of a pre-workout supplement: C4
Extreme. He was exposed to simulated altitude both on and off of the supplement
and was found to have an improved tolerance to a hypoxic environment after
discontinuation. DISCUSSION: While not conclusive, the data suggests that the use
of C4 Extreme may be implicated in this aircrewman's increased susceptibility to
hypoxia. A randomized controlled trial would be required to determine if this is
a patient-specific response or if this is a normal physiologic response to the
use of this and similar supplements.
PMID- 24919390
TI - A new equation for calculating the maximum wait time for pilots who use an
impairing medication.
AB - INTRODUCTION: Pilots who use an impairing medication to treat a medical condition
are required to wait an appropriate amount of time after completing the treatment
before returning to duty. However, toxicology findings from fatal aviation
accidents indicate not all pilots wait a sufficient period of time. Methods used
today do not take into consideration the time required for the drug to reach
subtherapeutic concentrations. METHODS: An equation was developed based on the
therapeutic range and the maximum expected half-life of the medication to
objectively calculate a safe return-to-duty time for pilots. The new equation
assumes the treating physician will not dose the patient beyond the upper
therapeutic range of the medication and the person taking the medication has the
maximum half-life reported in the literature. The equation N
ln(0.5*Cmin/Cmax)/ln(0.5) was developed to determine the number of half-lives (n)
required to reach one-half of Cmin, where Cmin = lower therapeutic concentration
and Cmax = upper therapeutic concentration. Anonymous subjects were recruited
under an approved IRB protocol. Blood and plasma were collected at approximately
Cmax (2-3 h) and again after waiting approximately another 5 h. Toxicological
analysis was performed on the specimens collected. RESULTS: One subject taking a
25-mg dose had a 0.033 ug x ml(-1) blood concentration after waiting 8 h, well
above the 0.025 ug x ml(-1) reported as impairing concentration. The new equation
estimated a mean wait time for the 18 medications composed of 4 half-lives.
DISCUSSION: The new CAMI equation takes into consideration safety without grossly
over estimating pilot wait times.
PMID- 24919391
TI - Sopite syndrome: a revised definition.
AB - In 1976, Graybiel and Knepton proposed the term "sopite syndrome" to describe a
symptom complex centering on drowsiness and lethargy related to motion sickness.
However, existing descriptions and definitions of sopite syndrome have
limitations in fully conveying the appropriate information to the reader. Our
objective is to propose a revised definition providing a more adequate conceptual
framework for research. The proposed definition of sopite syndrome addresses the
nonspecificity of soporific symptoms, the health state of the individuals, and
the existence of a motion stimulus.
PMID- 24919392
TI - You're the flight surgeon: acoustic neuroma.
PMID- 24919393
TI - You're the flight surgeon: the complex patient.
PMID- 24919394
TI - The month in aerospace medicine history.
PMID- 24919395
TI - Make a difference through AsMA.
PMID- 24919396
TI - Is risk analysis scientific?
AB - This article discusses to what extent risk analysis is scientific in view of a
set of commonly used definitions and criteria. We consider scientific knowledge
to be characterized by its subject matter, its success in developing the best
available knowledge in its fields of study, and the epistemic norms and values
that guide scientific investigations. We proceed to assess the field of risk
analysis according to these criteria. For this purpose, we use a model for risk
analysis in which science is used as a base for decision making on risks, which
covers the five elements evidence, knowledge base, broad risk evaluation,
managerial review and judgment, and the decision; and that relates these elements
to the domains experts and decisionmakers, and to the domains fact-based or value
based. We conclude that risk analysis is a scientific field of study, when
understood as consisting primarily of (i) knowledge about risk-related phenomena,
processes, events, etc., and (ii) concepts, theories, frameworks, approaches,
principles, methods and models to understand, assess, characterize, communicate,
and manage risk, in general and for specific applications (the instrumental
part).
PMID- 24919397
TI - Inhibition of tau aggregation by a rosamine derivative that blocks tau
intermolecular disulfide cross-linking.
AB - Abnormal tau aggregates are presumed to be neurotoxic and are an important
therapeutic target for multiple neurodegenerative disorders including Alzheimer's
disease. Growing evidence has shown that tau intermolecular disulfide cross
linking is critical in generating tau oligomers that serve as a building block
for higher-order aggregates. Here we report that a small molecule inhibitor
prevents tau aggregation by blocking the generation of disulfide cross-linked tau
oligomers. Among the compounds tested, a rosamine derivative bearing mild thiol
reactivity selectively labeled tau and effectively inhibited oligomerization and
fibrillization processes in vitro. Our data suggest that controlling tau
oxidation status could be a new therapeutic strategy for prevention of abnormal
tau aggregation.
PMID- 24919398
TI - Breast cancer risk, nightwork, and circadian clock gene polymorphisms.
AB - Night shift work has been associated with an increased risk of breast cancer
pointing to a role of circadian disruption. We investigated the role of circadian
clock gene polymorphisms and their interaction with nightwork in breast cancer
risk in a population-based case-control study in France including 1126 breast
cancer cases and 1174 controls. We estimated breast cancer risk associated with
each of the 577 single nucleotide polymorphisms (SNPs) in 23 circadian clock
genes. We also used a gene- and pathway-based approach to investigate the overall
effect on breast cancer of circadian clock gene variants that might not be
detected in analyses based on individual SNPs. Interactions with nightwork were
tested at the SNP, gene, and pathway levels. We found that two SNPs in RORA
(rs1482057 and rs12914272) were associated with breast cancer in the whole sample
and among postmenopausal women. In this subpopulation, we also reported an
association with rs11932595 in CLOCK, and with CLOCK, RORA, and NPAS2 in the
analyses at the gene level. Breast cancer risk in postmenopausal women was also
associated with overall genetic variation in the circadian gene pathway (P=0.04),
but this association was not detected in premenopausal women. There was some
evidence of an interaction between PER1 and nightwork in breast cancer in the
whole sample (P=0.024), although the effect was not statistically significant
after correcting for multiple testing (P=0.452). Our results support the
hypothesis that circadian clock gene variants modulate breast cancer risk.
PMID- 24919399
TI - Addressing the evidence for FDA nicotine replacement therapy label changes: a
policy statement of the Association for the Treatment of Tobacco use and
Dependence and the Society for Research on Nicotine and Tobacco.
AB - Cigarette smoking creates a substantial public health burden. Identifying new,
effective smoking cessation interventions that optimize existing interventions
and promoting effective use of approved medications is a priority. When used as
directed, nicotine replacement therapy (NRT) aids smoking cessation, but there is
opportunity for improving its effectiveness. Until recently, NRT use guidelines
advised smokers to begin using NRT on their quit date, only to use 1 NRT
formulation at a time, to refrain from using NRT while smoking, and to stop NRT
within 3 months regardless of progress. The Food and Drug Administration (FDA)
issued a recent announcement allowing for NRT labeling changes with applications
from pharmaceutical companies for such changes, and we applaud this decision.
Nevertheless, additional revisions are warranted by current research. There is
robust evidence that combining a longer-acting form (e.g., patch) with a shorter
acting form (e.g., lozenge) is more effective than NRT monotherapy and is safe.
Moreover, extant evidence suggests that NRT use prior to a quit attempt or for
smoking reduction as part of a quit attempt is safe and as effective as starting
NRT on quit date. Specifically, prequit nicotine patch increases quit rates and
may engage additional recalcitrant smokers. Last, NRT use longer than 3 months is
safe and may be beneficial for relapse prevention in some smokers. This report
summarizes the FDA announcement, reviews the evidence for further revisions to
current FDA NRT guidelines, and makes recommendations for over-the-counter (OTC)
NRT labeling to allow for (1) combined use of faster-acting NRT medications with
nicotine patch, (2) nicotine patch use prior to quit date or NRT for smoking
reduction as part of a quit attempt, and (3) prolonged NRT for up to 6 months
without healthcare provider consultation.
PMID- 24919400
TI - A homolog of lariat-debranching enzyme modulates turnover of branched RNA.
AB - Turnover of the branched RNA intermediates and products of pre-mRNA splicing is
mediated by the lariat-debranching enzyme Dbr1. We characterized a homolog of
Dbr1 from Saccharomyces cerevisiae, Drn1/Ygr093w, that has a pseudo
metallophosphodiesterase domain with primary sequence homology to Dbr1 but lacks
essential active site residues found in Dbr1. Whereas loss of Dbr1 results in
lariat-introns failing broadly to turnover, loss of Drn1 causes low levels of
lariat-intron accumulation. Conserved residues in the Drn1 C-terminal CwfJ
domains, which are not present in Dbr1, are required for efficient intron
turnover. Drn1 interacts with Dbr1, components of the Nineteen Complex, U2 snRNA,
branched intermediates, and products of splicing. Drn1 enhances debranching
catalyzed by Dbr1 in vitro, but does so without significantly improving the
affinity of Dbr1 for branched RNA. Splicing carried out in in vitro extracts in
the absence of Drn1 results in an accumulation of branched splicing intermediates
and products released from the spliceosome, likely due to less active
debranching, as well as the promiscuous release of cleaved 5'-exon. Drn1 enhances
Dbr1-mediated turnover of lariat-intermediates and lariat-intron products,
indicating that branched RNA turnover is regulated at multiple steps during
splicing.
PMID- 24919402
TI - Arrested coalescence behaviour of giant Pickering droplets and colloidosomes
stabilised by poly(tert-butylaminoethyl methacrylate) latexes.
AB - The coalescence of two oil droplets grown at pH 10 in the presence of lightly
cross-linked 260 nm diameter charge-stabilised poly(tert-butylamino)ethyl
methacrylate (PTBAEMA) latexes was monitored using a high-speed video camera.
Three model oils (n-dodecane, isopropyl myristate and sunflower oil) were
investigated, each in the absence and presence of an oil-soluble cross-linker
[tolylene 2,4-diisocyanate-terminated poly(propylene glycol), PPG-TDI]. In the
absence of PPG-TDI, rapid coalescence was observed for giant PTBAEMA-stabilised
Pickering oil droplets, which exhibited faster coalescence times compared to bare
oil droplets. However, an increase in the damping coefficients for coalescing
Pickering droplets (compared to those of bare oil droplets) indicated PTBAEMA
latex particle adsorption. Addition of PPG-TDI cross-linker to oil droplets in
the absence of latex particles led to a reduction in the interfacial tension
confirming its surface-active nature. The oil-soluble PPG-TDI reacts with the
secondary amine groups on the PTBAEMA latex, producing giant colloidosomes that
remain stable to coalescence when brought into contact. This stability to
coalescence was not observed for bare oil droplets in the presence of PPG-TDI,
confirming that the cross-linked latex particles at the interface provide the
additional stability. Finally, interactions between asymmetric n-dodecane
droplets were examined. Adding oil-soluble cross-linker to only one droplet
resulted in "arrested coalescence" behaviour in the presence of PTBAEMA latex
particles. In this context, the droplet ageing time was found to be critical and
is attributed to the relatively slow particle adsorption kinetics. Ageing times
of less than 60 s led to catastrophic droplet coalescence, whereas ageing times
longer than 60 s indicated cross-linker diffusion from one droplet to the other,
which produced inter-cross-linked colloidosomes. Arrested coalescence was only
observed for ageing times of approximately 60 s.
PMID- 24919401
TI - Binding of human apoA-I[K107del] variant to TG-rich particles: implications for
mechanisms underlying hypertriglyceridemia.
AB - We found earlier that apoA-I variants that induced hypertriglyceridemia (HTG) in
mice had increased affinity to TG-rich lipoproteins and thereby impaired their
catabolism. Here, we tested whether a naturally occurring human apoA-I mutation,
Lys107del, associated with HTG also promotes apoA-I binding to TG-rich particles.
We expressed apoA-I[Lys107del] variant in Escherichia coli, studied its binding
to TG-rich emulsion particles, and performed a physicochemical characterization
of the protein. Compared with WT apoA-I, apoA-I[Lys107del] showed enhanced
binding to TG-rich particles, lower stability, and greater exposure of
hydrophobic surfaces. The crystal structure of truncated, Delta(185-243), apoA-I
suggests that deletion of Lys107 disrupts helix registration and disturbs a
stabilizing salt bridge network in the N-terminal helical bundle. To elucidate
the structural changes responsible for the altered function of apoA-I[Lys107del],
we studied another mutant, apoA-I [Lys107Ala]. Our findings suggest that the
registry shift and ensuing disruption of the inter-helical salt bridges in apoA
I[Lys107del] result in destabilization of the helical bundle structure and
greater exposure of hydrophobic surfaces. We conclude that the structural changes
in the apoA-I[Lys107del] variant facilitate its binding to TG-rich lipoproteins
and thus, may reduce their lipolysis and contribute to the development of HTG in
carriers of the mutation.
PMID- 24919403
TI - Osteoinduction of biphasic calcium phosphate scaffolds in a nude mouse model.
AB - Bioceramics combined with isolated stem cells, or with total bone marrow,
constitute the main strategies under consideration in the field of bone tissue
engineering. In the present preclinical study, two biphasic calcium phosphate
scaffolds currently on the market, MBCP(r) and MBCP+(r), with different
hydroxyapatite/beta-tricalcium phosphate ratio, were implanted ectopically in a
nude mouse model. These scaffolds were supplemented either with human mesenchymal
stromal cells, or with human total bone marrow, or rat total bone marrow.
Biomaterials alone were found to have potentially low, but non-zero,
osteoinductive properties, while biomaterials associated with total bone marrow
consistently improved osteoinduction in comparison with high concentrations of
isolated human stromal cells.
PMID- 24919404
TI - Molecular taxonomic identification in the absence of a 'barcoding gap': a test
with the endemic flora of the Canarian oceanic hotspot.
AB - We use a comprehensive subset of Canarian angiosperms corresponding to 23
families, 35 genera and 60 Canarian endemic taxa to test whether this flora is
suitable to taxonomic identification with the two proposed plant DNA barcode
sequences and whether these sequences may reveal the existence of cryptic species
overlooked by morphology. The rate of discrimination success between the insular
congeneric samples using the rbcL+matK combination and a 'character-based'
approach (where we use only the combination of nucleotide positions in an
alignment that allows unambiguous species identification) is higher (82.29%) than
that obtained with the 'distance-based' approach (80.20%) used by the CBOL Plant
Working Group in 2009 and also when compared with tests conducted in other
floras. This suggests that the molecular identification of the Canarian endemic
flora can be achieved as successfully as in other floras where the incidence of
radiation is not as relevant. The facts that (i) a distance-based criterion was
unable to discriminate between congeneric and conspecific comparisons and (ii)
only the character-based discrimination criterion resolved cases that the
distance-based criterion did not, further support the use of a character
discrimination approach for a more efficient DNA barcoding of floras from oceanic
islands like the Canaries. Thus, a barcoding gap seems not to be necessary for
the correct molecular characterization of the Canarian flora. DNA barcodes also
suggest the possible existence of cryptic taxa to be further investigated by
morphology and that the current taxonomic status of some of the taxa analysed may
need revision.
PMID- 24919405
TI - Centrifugal pump performance during low-flow extracorporeal CO2 removal; safety
considerations.
AB - AIM: The aim of this study was to examine the hydrodynamic performance and
gaseous microemboli (GME) activity of two centrifugal pumps for possible use in
low-flow extracorporeal CO2 removal. MATERIALS & METHODS: The performance of a
Rotassist 2.8 and a Rotaflow 32 centrifugal pump (Maquet Cardiopulmonary AG,
Hirrlingen, Germany) was evaluated in a water-glycerine mixture-filled in vitro
circuit that enabled measurement of pressures and GME at the pump inlet and pump
outlet. Pressure-flow curves were acquired in a 1,000 to 5,000 rpm range while
increasing drainage resistance in one series and outlet resistance in another.
RESULTS: Respective minimum pump inlet and maximum pump outlet pressures were
539 mmHg and 754 mmHg for the Rotassist 2.8 and -606 mmHg and 806 mmHg for the
Rotaflow 32. Maximum standard deviations on pump pressures and flow amounted to
3.0 mmHg and 0.03 L/min, respectively, regardless of pump type and drainage or
outlet resistance. The GME at the pump outlet were detectable at pump inlet
pressures below -156 mmHg at 0.2 L/min and 2,500 rpm for the Rotassist 2.8 and
below -224 mmHg at 0.9 L/min and 3,000 rpm for the Rotaflow 32. CONCLUSION: Both
the Rotassist 2.8 and Rotaflow 32 centrifugal pumps show a comparably high
hydrodynamic stability, but potential GME formation with decreasing pump inlet
pressures should be taken into account to ensure safe centrifugal pump-based low
flow extracorporeal CO2 removal.
PMID- 24919406
TI - Sun protection behaviors among Puerto Rican adults.
PMID- 24919407
TI - Coarse-grained simulations of an ionic liquid-based capacitor: I. Density, ion
size, and valency effects.
AB - We introduce a hierarchy of generic coarse-grained models of ionic liquids of
increasing complexity. We use them in molecular dynamics simulations to study the
differential capacitance of a capacitor consisting of an ionic liquid between two
planar electrodes. The primary goal is to explain the complex dependence of the
differential capacitance Cd on the electrode potential U in simple terms, e.g. in
terms of the size and valency of the ions. For this purpose we introduce the
symmetric model A, which qualitatively reproduces the Cd(U) dependence predicted
by the mean-field theory but also reveals strong quantitative deviations. We
further introduce size asymmetry in model A by increasing the cation size. In
model B we vary the cation valency, keeping the sizes of both ions constant. We
show that simultaneous increases in size and valency may compensate for each
other, leading to a Cd(U) very similar to that for the symmetric case. We
interpret distinct features in Cd(U) on the basis of the density profiles of the
ions and charge density profiles. We focus on the first two ion layers at the
electrode, and demonstrate that the polarization of the ionic liquid proceeds
through replacement of one ion type by the other, in contrast to the simple
increase in ion concentrations typical for dilute systems. The understanding
gained for the simple models serves as a reference for interpretation of complex
effects of ion size, valency and shape. This is carried through in part II (a
separate article) where we show how the planar shape of ions in model C brings
new features to the Cd(U) curve and also to the polarization mechanism.
PMID- 24919408
TI - Variation in inpatient hospital prices and outpatient service quantities drive
geographic differences in private spending in Texas.
AB - OBJECTIVE: To measure the contribution of market-level prices, utilization, and
health risk to medical spending variation among the Blue Cross Blue Shield of
Texas (BCBSTX) privately insured population and the Texas Medicare population.
DATA SOURCES: Claims data for all BCBSTX members and publicly available CMS data
for Texas in 2011. STUDY DESIGN: We used observational data and decomposed
overall and service-specific spending into health status and health status
adjusted utilization and input prices and input prices adjusted for the BCBSTX
and Medicare populations. PRINCIPAL FINDINGS: Variation in overall BCBSTX
spending across HRRs appeared driven by price variation, whereas utilization
variation factored more prominently in Medicare. The contribution of price to
spending variation differed by service category. Price drove inpatient spending
variation, while utilization drove outpatient and professional spending variation
in BCBSTX. The context in which negotiations occur may help explain the patterns
across services. CONCLUSIONS: The conventional wisdom that Medicare does a better
job of controlling prices and private plans do a better job of controlling volume
is an oversimplification. BCBSTX does a good job of controlling outpatient and
professional prices, but not at controlling inpatient prices. Strategies to
manage the variation in spending may need to differ substantially depending on
the service and payer.
PMID- 24919409
TI - Arginase I gene single-nucleotide polymorphism is associated with decreased risk
of pulmonary hypertension in bronchopulmonary dysplasia.
AB - AIM: To test the hypothesis that there are single-nucleotide polymorphisms (SNPs)
in genes of the l-arginine/nitric oxide pathway associated with pulmonary
hypertension (PH) in neonates with bronchopulmonary dysplasia (BPD). METHODS:
Neonates with BPD were enrolled (n = 140) and clinical characteristics compared
between case (BPD + PH) and control (BPD) groups. DNA was isolated from blood
leucocytes and assayed for 17 SNPs in l-arginine/nitric oxide pathway genes by
Sequenom massarray. Genes included carbamoyl-phosphate synthetase, ornithine
transcarbamylase, argininosuccinate synthase, nitric oxide synthase and arginase.
SNPs were selected from the National Center for Biotechnology Information
database for their putative functionality. Calculated minor allele frequencies
(MAF) of cases and controls were compared using chi2 and logistic regression.
RESULTS: Of the 140 patients with BPD, 26% had echocardiographic evidence of PH.
Ventilation days were longer for cases than controls (mean 31 vs. 15 days, p <
0.05). Of the 17 SNPs, rs2781666 in arginase I gene was less common in cases (MAF
= 0.23) than controls (MAF = 0.37, p = 0.04). The odds of PH decreased by 43% (p
= 0.047) for each copy of the SNP minor allele in arginase I gene in patients
with BPD. CONCLUSION: Arginase I SNP (rs2781666) may be associated with
protection against pulmonary hypertension in preterm neonates with BPD.
PMID- 24919410
TI - 24-hour efficacy of travoprost/timolol BAK-free versus latanoprost/timolol fixed
combinations in patients insufficiently controlled with latanoprost.
AB - INTRODUCTION: To compare the 24-h intraocular pressure (IOP) control and
tolerability of travoprost/timolol benzalkonium chloride (BAK)-free (TTFC) vs.
latanoprost/timolol fixed combination preserved with BAK (LTFC) in open-angle
glaucoma patients insufficiently controlled with latanoprost 0.005% monotherapy
given once in the evening. METHODS: The authors have conducted a prospective,
observer-masked, active-controlled, cross-over, comparison study. Qualified open
angle glaucoma patients who demonstrated a latanoprost-treated morning IOP (10:00
+/- 1 h) greater than 20 mmHg on two separate visits were randomized for 3 months
to receive either TTFC or LTFC. Patients were then crossed over to the opposite
treatment for another 3 months. At the end of the latanoprost run-in and after
each 3-month therapy period patients underwent 24-h IOP monitoring in the
habitual position using Goldmann applanation tonometry in the sitting position
during the day (10:00, 14:00, 18:00 and 22:00) and Perkins tonometry in the
supine position at night (02:00 and 06:00). Selected ocular surface parameters
were evaluated after each therapy period. RESULTS: Forty-two open-angle glaucoma
patients completed the study. The mean 24-h baseline IOP on latanoprost was 21.5
+/- 1.6 mmHg. Both fixed combinations significantly reduced the IOP at each time
point, for the mean, peak and fluctuation of 24-h IOP compared with latanoprost
monotherapy (P < 0.01). When the two fixed combinations were compared directly,
TTFC provided significantly lower mean 24-h IOP (18.9 +/- 2.2 mmHg) vs. LTFC
(19.3 +/- 2.3 mmHg) (P = 0.004) and significantly lower IOP at 18:00 (18.6 +/-
2.5 vs. 19.5 +/- 2.7 mmHg for LTFC) (P < 0.001). Further, TTFC demonstrated
significantly better tear film break-up time (5.15 vs. 4.65 s), corneal stain
(1.5 vs. 1.8) and Schirmer I test (9.9 vs. 9.2 mm) compared with LTFC after 3
months of therapy (P < 0.01 for all comparisons). CONCLUSION: The mean 24-h IOP
lowering of TTFC was statistically more significant compared to LTFC in patients
insufficiently controlled with latanoprost monotherapy. Measurement of ocular
surface health and tear film status favored the BAK-free TTFC compared to LTFC.
PMID- 24919411
TI - Motives for sports participation as predictions of self-reported outcomes after
anterior cruciate ligament injury of the knee.
AB - Aim of the study was to access how individual's motives for participation in
sports impact on self-reported outcomes 2 years after an anterior cruciate
ligament injury. Based on a longitudinal cohort study, this secondary analysis
present data from the Knee Anterior Cruciate Ligament, Nonsurgical versus
Surgical Treatment (KANON) study, a randomized controlled trial. At baseline, 121
patients recorded in an initial questionnaire that their motives for sports
participation fell into four categories: achievement, health, social integration,
or fun and well-being. These four categories were used as variables in the
analyses. All 121 subjects completed the 2-year follow-up. The largest
improvement was seen in the Knee Injury and Osteoarthritis Outcome Score (KOOS)
subscale sports and recreation function, with an effect size of 2.43. KOOS sports
and recreation function was also the subscale score best predicted by the motives
for sports participation. Baseline motives achievement and fun and well-being
predicted worse levels of pain and function 2 years after the injury, even after
adjusting for age, gender, treatment and baseline scores. Psychological aspects,
such as motives for participation in sport, can be factors in predicting of
patient-reported outcomes 2 years after injury. Evaluating motives for sports
participation may help predict the outcome 2 years after ACL injury.
PMID- 24919412
TI - Outer membrane vesicles are vehicles for the delivery of Vibrio tasmaniensis
virulence factors to oyster immune cells.
AB - Vibrio tasmaniensis LGP32, a facultative intracellular pathogen of oyster
haemocytes, was shown here to release outer membrane vesicles (OMVs) both in the
extracellular milieu and inside haemocytes. Intracellular release of OMVs
occurred inside phagosomes of intact haemocytes having phagocytosed few vibrios
as well as in damaged haemocytes containing large vacuoles heavily loaded with
LGP32. The OMV proteome of LGP32 was shown to be rich in hydrolases (25%)
including potential virulence factors such as proteases, lipases, phospholipases,
haemolysins and nucleases. One major caseinase/gelatinase named Vsp for vesicular
serine protease was found to be specifically secreted through OMVs in which it is
enclosed. Vsp was shown to participate in the virulence phenotype of LGP32 in
oyster experimental infections. Finally, OMVs were highly protective against
antimicrobial peptides, increasing the minimal inhibitory concentration of
polymyxin B by 16-fold. Protection was conferred by OMV titration of polymyxin B
but did not depend on the activity of Vsp or another OMV-associated protease.
Altogether, our results show that OMVs contribute to the pathogenesis of LGP32,
being able to deliver virulence factors to host immune cells and conferring
protection against antimicrobial peptides.
PMID- 24919413
TI - Dynamics of quinolone resistance in fecal Escherichia coli of finishing pigs
after ciprofloxacin administration.
AB - Escherichia coli resistance to quinolones has now become a serious issue in large
scale pig farms of China. It is necessary to study the dynamics of quinolone
resistance in fecal Escherichia coli of pigs after antimicrobial administration.
Here, we present the hypothesis that the emergence of resistance in pigs requires
drug accumulation for 7 days or more. To test this hypothesis, 26 pigs (90 days
old, about 30 kg) not fed any antimicrobial after weaning were selected and
divided into 2 equal groups: the experimental (EP) group and control (CP) group.
Pigs in the EP group were orally treated daily with 5 mg ciprofloxacin/kg of body
weight for 30 days, and pigs in the CP group were fed a normal diet. Fresh feces
were collected at 16 time points from day 0 to day 61. At each time point, ten E.
coli clones were tested for susceptibility to quinolones and mutations of gyrA
and parC. The results showed that the minimal inhibitory concentration (MIC) for
ciprofloxacin increased 16-fold compared with the initial MIC (0.5 ug/ml) after
ciprofloxacin administration for 3 days and decreased 256-fold compared with the
initial MIC (0.5 ug/ml) after ciprofloxacin withdrawal for 26 days. GyrA (S83L,
D87N/ D87Y) and parC (S80I) substitutions were observed in all quinolone
resistant E. coli (QREC) clones with an MIC >=8 ug/ml. This study provides
scientific theoretical guidance for the rational use of antimicrobials and the
control of bacterial resistance.
PMID- 24919414
TI - Modulating effects of pycnogenol(r) on oxidative stress and DNA damage induced by
sepsis in rats.
AB - The aim of this study was to evaluate the protective effects of Pycnogenol(r)
(Pyc), a complex plant extract from the bark of French maritime pine, on
oxidative stress parameters (superoxide dismutase (SOD), and glutathione
peroxidase (GPx) activities and total glutathione (GSH) and malondialdehyde (MDA)
levels), an inflammatory cytokine (tumor necrosis factor alpha (TNF-alpha) level)
and also DNA damage in Wistar albino rats. Rats were treated with 100 mg/kg
intraperitonally Pyc following the induction of sepsis by cecal ligation and
puncture. The decreases in MDA levels and increases in GSH levels, and SOD and
GPx activities were observed in the livers and kidneys of Pyc-treated septic
rats. Plasma TNF-alpha level was found to be decreased in the Pyc-treated septic
rats. In the lymphocytes, kidney, and liver tissue cells of the sepsis-induced
rats, Pyc treatment significantly decreased the DNA damage and oxidative base
damage using standard alkaline assay and formamidopyrimidine DNA glycosylase
modified comet assay, respectively. In conclusion, Pyc treatment might have a
role in the prevention of sepsis-induced oxidative damage not only by decreasing
DNA damage but also increasing the antioxidant status and DNA repair capacity in
rats.
PMID- 24919417
TI - Effect of thickness ratio on load-bearing capacity of bilayered dental ceramics.
AB - PURPOSE: The aims of this study were to reveal the mechanism of failure of
bilayered beams and to assess the thickness ratio effect on the load-bearing
capacity of the bilayered beams. MATERIALS AND METHODS: Both analytical and
finite element analysis methods were used to analyze the stress distributions of
bilayered beams subjected to three-point bending test and the residual thermal
stresses due to coefficient of thermal expansion mismatch. Then, the ideal load
bearing capacity of the beams as a function of core thickness was evaluated based
on the mechanical models. Finally, three-point bending tests were performed on
Cercon Zirconia /IPS e.max Ceram beams to verify the mechanical models. RESULTS:
The failure load as a function of core thickness was obtained. For the materials
employed in this study, the thickness ratio did not significantly affect the load
bearing capacity of bilayered beams when the thickness ratio changed from 1:2 to
2:1. CONCLUSIONS: The residual thermal stresses in the core layer have slightly
beneficial effects on the strength of the beams. The first strength theory can be
used to explain the mechanism of failure, which can be described as the failure
is interpreted by tensile stress and ultimate strength of the material. Based on
the relationship between the thickness ratio and load-bearing capacity, the
core/veneer thickness ratio of the connector of a fixed partial denture could be
relatively small to about 1:2 to obtain a good appearance.
PMID- 24919416
TI - What contributes to a good quality of life in early dementia? Awareness and the
QoL-AD: a cross-sectional study.
AB - BACKGROUND: Self-report quality of life (QoL) measures for people with dementia
are widely used as outcome measures in trials of dementia care interventions.
Depressed mood, relationship quality and neuropsychiatric symptoms predict scores
on these measures, whereas cognitive impairment and functional abilities
typically do not. This study examines whether these self-reports are influenced
by personality and by the person's awareness of his/her impairments. A strong
negative association between QoL and awareness of deficits would have
implications for the validity of self-report in this context and for therapeutic
interventions aiming to increase adjustment and coping. METHODS: Participants
were 101 individuals with early-stage dementia and their family carers
participating in the Memory Impairment and Dementia Awareness (MIDAS) Study. QoL
was assessed using the QoL-AD scale, and awareness was assessed in relation to
memory, activities of daily living and social functioning. Self-concept,
conscientiousness, quality of relationship and mood were assessed and a brief
neuropsychological battery administered. Carers rated their own stress and well
being and reported on neuropsychiatric symptoms. A series of regression analyses
predicting QoL-AD were carried out, identifying key variables in each domain of
assessment to take forward to an overall model. RESULTS: Cognitive impairment was
not related to QoL. The final model accounted for 57% of the variance in QoL-AD
scores, with significant contributions from depressed mood, severity of
irritability shown by the person with dementia, self-concept, quality of
relationship (rated by the person with dementia) and male gender. The bivariate
relationships of QoL-AD with awareness of memory function, awareness of
functional abilities and conscientiousness were mediated by both depressed mood
and self-concept. CONCLUSIONS: This study reports the most comprehensive approach
to evaluation of awareness to date. Most of the indices of awareness used are not
related to self-reported QoL. Discrepancies in evaluative judgements of memory
function and functional abilities between people with dementia and carers are
related to QoL, but this relationship is mediated by both depressed mood and self
concept, which have a much stronger relationship with QoL. The validity of self
report measures of QoL in people with early stage dementia is supported by these
results.
PMID- 24919418
TI - Effects of upregulated indoleamine 2, 3-dioxygenase 1 by interferon gamma gene
transfer on interferon gamma-mediated antitumor activity.
AB - Interferon gamma (IFN-gamma), an anticancer agent, is a strong inducer of
indoleamine 2,3-dioxygenase 1 (IDO1), which is a tryptophan-metabolizing enzyme
involved in the induction of tumor immune tolerance. In this study, we
investigated the IDO1 expression in organs after IFN-gamma gene transfer to mice.
IFN-gamma gene transfer greatly increased the mRNA expression of IDO1 in many
tissues with the highest in the liver. This upregulation was associated with
reduced L-tryptophan levels and increased L-kynurenine levels in serum,
indicating that IFN-gamma gene transfer increased the IDO activity. Then, Lewis
lung carcinoma (LLC) tumor-bearing wild-type and IDO1-knockout (IDO1 KO) mice
were used to investigate the effects of IDO1 on the antitumor activity of IFN
gamma. IFN-gamma gene transfer significantly retarded the tumor growth in both
strains without any significant difference in tumor size between the two groups.
By contrast, the IDO1 activity was increased only in the wild-type mice by IFN
gamma gene transfer, suggesting that cells other than LLC cells, such as tumor
stromal cells, are the major contributors of IDO1 expression in LLC tumor. Taken
together, these results imply that IFN-gamma gene transfer mediated IDO1
upregulation in cells other than LLC cells has hardly any effect on the antitumor
activity of IFN-gamma.
PMID- 24919419
TI - Lentiviral protein delivery of meganucleases in human cells mediates gene
targeting and alleviates toxicity.
AB - Site-specific endonucleases can be engineered for custom recognition of any
genetic locus and used for gene targeting. Yet, the prolonged expression and
accumulation of these nucleases in cells lead to toxic effect. Here we describe
an efficient and quantitative method for introducing nucleases into cells as
proteins packaged within lentiviral vector particles. I-CreI-derived
meganucleases, which can be engineered as single-chain proteins, were
incorporated into lentiviral vector particles either without modification or as
fusions with cyclophilin A. The small amount of nuclease delivered by the viral
particles is sufficient to induce efficient targeted mutagenesis in human HEK293H
and primary T cells. When a repair template sequence was packaged in the
lentiviral vector, high levels of homologous gene targeting were obtained and
toxicity was markedly reduced.
PMID- 24919420
TI - Asymmetric organocatalytic epoxidations: reactions, scope, mechanisms, and
applications.
AB - Chiral epoxides serve as versatile building blocks in the synthesis of complex
organic frameworks. The high strain imposed by the three-membered ring system
makes epoxides prone to a variety of nucleophilic ring-opening reactions. Since
the development of the Sharpless epoxidation, there have been many important
contributions and advances in this area. With the rapid development of the field
of asymmetric organocatalysis, a wide range of organocatalysts is now able to
catalyze the epoxidation of broad class of unsaturated carbonyl compounds. In
this Minireview, recent progress in the development of organocatalytic asymmetric
epoxidation methods, the proposed mechanisms of these reactions and their
applications as intermediates is reported.
PMID- 24919421
TI - Synthesis of migrastatin and its macroketone analogue and in vivo FRAP analysis
of the macroketone on E-cadherin dynamics.
AB - An efficient and scalable synthesis of a key acyclic intermediate used for the
preparation of migrastatin and its macroketone analogue is described; Brown
alkoxyallylation is the key step for this synthesis. The macroketone was prepared
on 100 mg scale by this route. Treatment of invasive pancreatic cancer cells
grown on a cell-derived matrix or as subcutaneous tumours in nude mice with the
macroketone inhibited E-cadherin dynamics in a manner consistent with increased
cell adhesion and reduced invasive potential.
PMID- 24919422
TI - Adsorption of small mono- and poly-alcohols on rutile TiO2: a density functional
theory study.
AB - We have studied by means of density functional theory including dispersion
contributions, the interaction of small chain alcohols with up to four carbons
and three hydroxyl groups on the TiO2(110) rutile surface with different
reduction degrees. Adsorption takes place through an acid-base interaction that
can lead to both molecular and dissociated species. The latter are energetically
preferred. Bulk reduction does not apport significant change neither in the
structure nor in the adsorption energies, because the electrons are delocalized
to a great extent. If vacancies are present at the surface these are the best
adsorption sites for primary and secondary monoalcohols. Tertiary or poly
alcohols prefer the Ticus channels, but the reasons for the site preference are
different. In the case of bulky alcohols, steric hindrance is the main adsorption
controlling factor, while templating effects of the basic (oxygen) sites on the
surface are the key parameters to understand the adsorption of poly-alcohols.
Vicinal polyalcohols behave even in a more complex way, for that they prefer the
vacancy position only when dissociated, otherwise they stay in the Ticus channel.
Our results warn about the use of small surrogates to investigate the chemistry
of large alcohols as the adsorption patterns are not only quantitatively but also
qualitatively wrong.
PMID- 24919423
TI - Bent waveguides for matter-waves: supersymmetric potentials and reflectionless
geometries.
AB - Non-zero curvature in a waveguide leads to the appearance of an attractive
quantum potential which crucially affects the dynamics in matter-wave circuits.
Using methods of supersymmetric quantum mechanics, pairs of bent waveguides are
found whose geometry-induced potentials share the same scattering properties. As
a result, reflectionless waveguides, dual to the straight waveguide, are
identified. Strictly isospectral waveguides are also found by modulating the
depth of the trapping potential. Numerical simulations are used to demonstrate
the efficiency of these approaches in tailoring and controlling curvature-induced
quantum-mechanical effects.
PMID- 24919424
TI - A high-capacity, low-cost layered sodium manganese oxide material as cathode for
sodium-ion batteries.
AB - A layered sodium manganese oxide material (NaMn3 O5 ) is introduced as a novel
cathode materials for sodium-ion batteries. Structural characterizations reveal a
typical Birnessite structure with lamellar stacking of the synthetic nanosheets.
Electrochemical tests reveal a particularly large discharge capacity of 219 mAh
g(-1) in the voltage rang of 1.5-4.7 V vs. Na/Na(+) . With an average potential
of 2.75 V versus sodium metal, layered NaMn3 O5 exhibits a high energy density of
602 Wh kg(-1) , and also presents good rate capability. Furthermore, the
diffusion coefficient of sodium ions in the layered NaMn3 O5 electrode is
investigated by using the galvanostatic intermittent titration technique. The
results greatly contribute to the development of room-temperature sodium-ion
batteries based on earth-abundant elements.
PMID- 24919426
TI - The European Association of Young Neurologists and Trainees in 2014: the dream of
a united European neurology enters the limelight.
PMID- 24919425
TI - Developing an agenda for research about policies to improve access to healthy
foods in rural communities: a concept mapping study.
AB - BACKGROUND: Policies that improve access to healthy, affordable foods may improve
population health and reduce health disparities. In the United States most food
access policy research focuses on urban communities even though residents of
rural communities face disproportionately higher risk for nutrition-related
chronic diseases compared to residents of urban communities. The purpose of this
study was to (1) identify the factors associated with access to healthy,
affordable food in rural communities in the United States; and (2) prioritize a
meaningful and feasible rural food policy research agenda. METHODS: This study
was conducted by the Rural Food Access Workgroup (RFAWG), a workgroup facilitated
by the Nutrition and Obesity Policy Research and Evaluation Network. A national
sample of academic and non-academic researchers, public health and cooperative
extension practitioners, and other experts who focus on rural food access and
economic development was invited to complete a concept mapping process that
included brainstorming the factors that are associated with rural food access,
sorting and organizing the factors into similar domains, and rating the
importance of policies and research to address these factors. As a last step,
RFAWG members convened to interpret the data and establish research
recommendations. RESULTS: Seventy-five participants in the brainstorming exercise
represented the following sectors: non-extension research (n = 27), non-extension
program administration (n = 18), "other" (n = 14), policy advocacy (n = 10), and
cooperative extension service (n = 6). The brainstorming exercise generated 90
distinct statements about factors associated with rural food access in the United
States; these were sorted into 5 clusters. Go Zones were established for the
factors that were rated highly as both a priority policy target and a priority
for research. The highest ranked policy and research priorities include
strategies designed to build economic viability in rural communities, improve
access to federal food and nutrition assistance programs, improve food retail
systems, and increase the personal food production capacity of rural residents.
Respondents also prioritized the development of valid and reliable research
methodologies to measure variables associated with rural food access.
CONCLUSIONS: This collaborative, trans-disciplinary, participatory process,
created a map to guide and prioritize research about polices to improve healthy,
affordable food access in rural communities.
PMID- 24919427
TI - In vivo decreased dopamine transporter uptake in corticobasal degeneration
presenting with primary progressive aphasia without parkinsonism.
PMID- 24919429
TI - Retraction: The effect of anticoagulant choice on collection of cord blood.
AB - "The effect of anticoagulant choice on collection of cord blood" by M. Badowski,
C. Shultz and D.T. Harris. Transfusion. First published online: June 12, 2014.
The above article, published online on June 12, 2014 on Wiley Online Library
(wileyonlinelibrary.com), has been withdrawn for legal reasons by agreement
between the authors, the journal Editor in Chief, Paul M. Ness, MD, the AABB, and
Wiley Periodicals, Inc. The withdrawal has been agreed due to violation of a
research agreement between the Cord Blood Registry, which commissioned the
article, and the authors. REFERENCE: Badowski M, Shultz C and Harris DT. The
effect of anticoagulant choice on collection of cord blood. Transfusion. 2014.
doi: 10.1111/trf.12744. First published online: 12 JUN 2014.
PMID- 24919430
TI - Improving access to preparatory information for children undergoing general
anaesthesia for tooth extraction and their families: study protocol for a Phase
III randomized controlled trial.
AB - BACKGROUND: Children can find anaesthesia induction especially distressing and
postoperative psychological and physical morbidity are common. Preparation
programmes for general anaesthesia (GA) are highly effective in reducing this
distress. A Phase II study has already verified the effectiveness of a prototype
preoperative GA-coping computer game to help children cope with induction in a
dental GA setting. The biggest patient users of pediatric GA services in the UK
are children who need to have teeth removed (estimated to be 100,000 yearly).
Tooth decay is the most common disease in children worldwide. This study is a
Phase III randomized controlled trial (RCT) and will evaluate the effectiveness
of the new internet version of this game. METHODS/DESIGN: The Phase III RCT will
use a double-blind three-armed design. The clinical trial will recruit up to 210
children and will compare the web-based game against standard care and another
non-medical game. At least 53 patients in each group will be required for 90%
statistical power. Distress will be assessed through an evaluation of the child's
behaviour during the visit and later parental reports of physical and
psychological morbidity. The satisfaction of parents and children will be
measured; the mode of usage of the web-based game will be automatically recorded
and the impact on the service (for example, recovery time and throughput) will be
reported.The Phase III study primary outcome will measure: (1) patient
experience: acceptance of anaesthetic induction, child cooperation and distress,
reduction of peri- and postoperative morbidity, child and family satisfaction,
and (2) service improvement: anaesthetic time and improvement in throughput.
Measures will be administered at baseline, at the time of the GA treatment visit,
and at 48 hours and one week postoperatively. DISCUSSION: This study aims to
determine the effectiveness of an online GA-coping game for children and families
undergoing tooth extraction under GA. TRIAL REGISTRATION: ISRCTN18265148
(registered 24 November 2013).
PMID- 24919432
TI - Acne subject preference for pump over tube for dispensing fixed-dose combination
adapalene 0.1%-benzoyl peroxide 2.5% gel.
AB - INTRODUCTION: Acne is a chronic inflammatory disease. Key to a patient's success
on fixed-dose adapalene-benzoyl peroxide (BPO) gel is ensuring adherence. Use of
a pump system to deliver a pre-measured amount of gel with each pressure enables
application of a more consistent dose vs. the tube, which should improve
adherence. In the present study, we evaluate patient preference for two different
containers for dispensing adapalene-BPO gel. METHODS: In this 15-day, open-label
study, 300 subjects were asked to treat their acne using fixed-dose adapalene
0.1%-BPO 2.5% gel dispensed in either a tube or a pump once-daily for up to 7
days. At week 1, subjects switched to the alternative packaging design for the
same timeframe. At the end of the treatment period, subjects were asked to
complete a subject preference survey. RESULTS: Among subjects completing the
survey (n = 291), 79.0% (n = 230) preferred the pump for dispensing adapalene-BPO
gel and 21.0% (n = 61) preferred the tube (p < 0.001). The top three
characteristics of the pump were that it was easy to use (89.0%; n = 259/291),
clean (73.2%; n = 213/291) and convenient (69.4%; n = 202/291). When asked to
rate their experience with using the pump, 91.8% (n = 267/291; p < 0.001) of
subjects reported being satisfied on a self-assessment scale. The majority of
subjects stated they would tell their doctor about their preference for the pump
next time adapalene-BPO gel was prescribed (76.6%; n = 223/291; p < 0.001) and
would prefer the pump if both containers cost the same amount (80.1%; n =
233/291; p < 0.001). CONCLUSION: Patients prefer using a pump instead of a tube
to dispense adapalene-BPO gel. This delivery mechanism helps to ensure consistent
application and thus may improve patient adherence to the prescribed acne
treatment regimen.
PMID- 24919433
TI - Cost-effectiveness of elective laparoscopic cholecystectomy versus observation in
older patients presenting with mild biliary disease.
AB - Our objective was to determine the probability threshold for recurrent symptoms
at which elective cholecystectomy compared to observation in older patients with
symptomatic cholelithiasis is the more effective and cost-effective option. We
built a decision model of elective cholecystectomy versus observation in patients
>65 presenting with initial episodes of symptomatic cholelithiasis that did not
require initial hospitalization or cholecystectomy. Probabilities for subsequent
hospitalization, emergency cholecystectomy, and perioperative complications were
based on previously published probabilities from a 5 % national sample of
Medicare patients. Costs were estimated from Medicare reimbursements and from the
Healthcare Cost and Utilization Project. Utilities (quality-adjusted life years,
QALYs) were obtained from established literature estimates. Elective
cholecystectomy compared to observation in all patients was associated with lower
effectiveness (-0.10 QALYs) and had an increased cost of $3,422.83 per patient at
2-year follow-up. Elective cholecystectomy became the more effective option when
the likelihood for continued symptoms exceeded 45.3 %. Elective cholecystectomy
was both more effective and less costly when the probability for continued
symptoms exceeded 82.7 %. An individualized shared decision-making strategy based
on these data can increase elective cholecystectomy rates in patients at high
risk for recurrent symptoms and minimize unnecessary cholecystectomy for patients
unlikely to benefit.
PMID- 24919434
TI - Obstructive uropathy and vesicovaginal fistula secondary to a retained sex toy in
the vagina.
AB - INTRODUCTION: Vaginal foreign bodies (FBs) are a rare cause of vesicovaginal,
rectovaginal, or urethrovaginal fistulae. AIM: The aim of this study was to
describe a rare case of vesicovaginal fistula (VVF) and obstructive uropathy and
to review the literature. METHODS: A case is presented. A comprehensive review of
the literature was performed (1948-2013). RESULTS: A 38-year-old woman presenting
with sepsis, obstructive uropathy, and severe emaciation was found to have a sex
toy retained in her vagina for 10 years. This had caused a VVF and bilateral
hydroureteronephrosis. Bilateral nephrostomies were inserted and she underwent
cystoscopy and examination under anesthesia (EUA) with retrieval of FB. A left
ureteric stricture was demonstrated. Transabdominal VVF repair with omental flap
and left ureteric re-implantation was performed. The VVF recurred, which was
successfully re-repaired transvaginally. Seventy-six full text articles were
reviewed. There were no previously published cases of VVF following vaginal sex
toy insertion. There are four cases of obstructive uropathy secondary to a
vaginal FB in the literature: three pessaries and one plastic cap. There are 44
cases of VVF secondary to FB: 22 plastic caps (typically from aerosol bottles,
inserted for masturbation or contraception) and 5 pessaries. At least nine were
in girls aged <=18 years. Average presentation is 15 months (range 2 months to 35
years) after FB insertion. Most cases were managed with surgical repair;
predominantly transvaginal. CONCLUSIONS: This case describes an extremely rare
but potentially life-threatening case of obstructive uropathy caused by a
chronically retained sex toy, and adds to the list of potentially rare causes of
a VVF and obstructive uropathy. We advocate urinary diversion, staged removal of
FB, upper urinary tract imaging, and EUA with VVF repair and/or ureteric
reimplantation if required. Transvaginal is the preferred access for FB
associated VVF repair without concomitant ureteric reimplantation.
PMID- 24919435
TI - Modulation of microRNAs by ionizing radiation in human gastric cancer.
AB - Gastric cancer is one of the most common cancers in China. Although surgery is
the primary therapeutic method, radiotherapy has become an integral part,
particularly in the early and intermediate stages of gastric cancer. microRNAs
(miRNAs) are involved in the regulation of diverse cellular processes in response
to intrinsic and extrinsic stress. A change in miRNA expression profile has been
identified in various types of tumor cells in response to radiation; however,
there is no relevant information concerning gastric cancer. In the present study,
we investigated the miRNA profiles of two clinical gastric cancer samples exposed
to X-rays using miRNA microarray. We found that 16 miRNAs were downregulated and
2 miRNAs were upregulated significantly in both irradiated samples when compared
with the unirradiated samples. Decreases in the levels of miR-300 and miR-642
expression were confirmed by qRT-PCR in more clinical samples and in cultured
cell lines. We predicted the targets of the two miRNAs with TargetScan and
classified all the candidate targets with Gene Ontology, which indicated that
both miR-300 and miR-642 potentially regulate cellular radiation response by
modulating apoptosis, cell cycle regulation and DNA damage and repair pathway
related genes. Cell cycle assay and immunofluorescence assay demonstrated that
miR-300 regulates radiation-induced G2 cell cycle arrest and DNA damage repair.
In conclusion, our findings indicate that ionizing radiation modulates the miRNA
expression profile, and the changes in several specific miRNAs such as miR-300
have the potential to be used in the treatment, diagnosis and prognosis of
gastric cancer.
PMID- 24919436
TI - The association between ethnic identity and condom use among young men in the
Eastern Cape Province, South Africa.
AB - This article reports on the association between ethnic identity and condom use
among Black African men in the rural areas of the Eastern Cape Province, South
Africa. Individual face-to-face structured interviews were conducted by trained
community research assistants among 1,656 men who had undergone traditional
initiation and male circumcision. Logistic regression analyses were used to
explore the association between two components of ethnic identity (cultural
affiliation and cultural alienation) and condom use. Overall, 49.2 % of the
participants reported using condoms consistently and, of these users, 66.4 % used
them correctly. Logistic regression adjusting for age, employment status,
education level, and nation of origin showed that participants who expressed high
as opposed to low cultural affiliation were significantly more likely to use
condoms consistently and correctly when having sex, especially if they reported
to have more than one sexual partner. Cultural alienation was negatively related
with consistent condom use, whereas its association with correct use was unclear.
The findings of this study suggest that positively emphasizing the ethnic
identity of African black men may promote condom use.
PMID- 24919439
TI - 1,10-Phenanthroline and non-symmetrical 1,3,5-triazine dipicolinamide-based
ligands for group actinide extraction.
AB - The synthesis and evaluation of new extractants for spent nuclear fuel
reprocessing are described. New bitopic ligands constituted of phenanthroline and
1,3,5-triazine cores functionalized by picolinamide groups were designed.
Synthetic routes were investigated and optimized to obtain twelve new polyaza
heterocyclic ligands. In particular, an efficient and versatile methodology was
developed to access non-symmetric 2-substituted-4,6-di(6-picolin-2-yl)-1,3,5
triazines from the 1,3,5-triazapentadiene precursor in the presence of anhydride
reagents. Extraction studies showed the ability of both ligand series to extract
and separate actinides selectively at different oxidation states (U(VI),
Np(V,VI), Am(III), Cm(III), and Pu(IV)) from an acidic solution (3 M HNO3).
Phenanthroline-based ligands show the most promising efficiency for use in the
group actinide extraction (GANEX) process due to a higher number of donor
nitrogen atoms and a suitable pre-organization of the dipicolinamide-1,10
phenanthroline architecture.
PMID- 24919440
TI - Integrative metabolome and transcriptome profiling reveals discordant glycolysis
process between osteosarcoma and normal osteoblastic cells.
AB - BACKGROUND: Osteosarcoma (OS) is the most common primary malignant tumor of bone
in children and adolescents. However, few biomarkers of diagnostic significance
have been established. In recent years, high-throughput transcriptomic and
metabolomic approaches make it possible for studying the levels of thousands of
biomarkers simultaneously. METHODS: In this study, we integrated two disparate
transcriptomic and metabolomic datasets to find meaningful biomarkers and then
used an independent dataset to test the sensibility and specificity of these
biomarkers. RESULTS: By using integrated two datasets, we discovered that the
biomarkers involved in the glycolysis pathway are highly enriched, including 4
genes (ENO1, TPI1, PKG1 and LDHC) and 2 metabolites (lactate and pyruvate). The 4
genes were significantly down-regulated in OS samples as well as the 2
metabolites. The mixed metabolites + genes signature also outperformed
metabolites or genes alone, with recall being 0.813 and F-measure being 0.812.
And the AUC value of metabolites + genes classifier was 0.825 (compared to 0.58
for metabolites and 0.821 for genes alone). CONCLUSION: Our findings establish
that integrated transcriptomic and metabolomic signature can be used to
distinguish OS malignant with good diagnostic accuracy superior to other methods.
PMID- 24919441
TI - Polymorphisms of NRF2 and NRF2 target genes in urinary bladder cancer patients.
AB - PURPOSE: NRF2 transcription factor is involved in modulation of various
antioxidant and metabolic genes and, therefore, may modulate anti-carcinogenic
potential. Association between polymorphisms of NRF2 and five NRF2-regulated
genes and urinary bladder cancer (BC) risk was analyzed. METHODS: The study group
included 244 BC patients, while the control group comprised 365 individuals with
no evidence of malignancy. Genotyping of GSTM1 (deletion), GSTT1 (deletion),
GSTA1 -69C/T (rs3957357), GSTP1 Ile105Val (rs1695), SOD2 Ala16Val (rs4880) and
NRF2 -617C/A (rs6721961) in blood genomic DNA was performed by means of real-time
PCR assays. The associations between gene polymorphism and BC risk were computed
by logistic regression. RESULTS: The frequency of GSTA1, GSTP1, SOD2 and NRF2
genotypes did not differ in both groups. A significantly higher BC risk was
associated with GSTM1 null genotype after adjusting to age, sex and smoking habit
(OR 1.85, 95 % CI 1.30-2.62; P = 0.001). GSTT1 null (OR 0.50, 95 % CI 0.31-0.81;
P = 0.005) and GSTP1 Val105Val (OR 0.52, 95 % CI 0.27-0.98; P = 0.04) genotypes
were associated with reduced BC risk separately or in combination (OR 0.24, 95 %
CI 0.11-0.51; P < 0.0001) (P heterogeneity = 0.01). Combined GSTT1 null and SOD2
with at least one 16Val allele among never smokers encompass reduced BC risk (OR
0.14, 95 % CI 0.03-0.63; P = 0.01) (P heterogeneity = 0.04). CONCLUSIONS: This
study supports hypothesis that GSTM1 null genotype may be a moderate BC risk
factor. The gene-gene and gene-environment interactions associated with combined
GSTP1/GSTT1 and combined GSTT1/SOD2 genetic polymorphisms along with cigarette
smoking habit may play a significant role in BC risk modulation.
PMID- 24919442
TI - Quantitative ultrasound texture analysis of fetal lungs to predict neonatal
respiratory morbidity.
AB - OBJECTIVE: To develop and evaluate the performance of a novel method for
predicting neonatal respiratory morbidity based on quantitative analysis of the
fetal lung by ultrasound. METHODS: More than 13,000 non-clinical images and 900
fetal lung images were used to develop a computerized method based on texture
analysis and machine learning algorithms, trained to predict neonatal respiratory
morbidity risk on fetal lung ultrasound images. The method, termed 'quantitative
ultrasound fetal lung maturity analysis' (quantusFLMTM), was then validated
blindly in 144 neonates, delivered at 28 + 0 to 39 + 0 weeks' gestation. Lung
ultrasound images in DICOM format were obtained within 48 h of delivery and the
ability of the software to predict neonatal respiratory morbidity, defined as
either respiratory distress syndrome or transient tachypnea of the newborn, was
determined. RESULTS: Mean (SD) gestational age at delivery was 36 + 1 (3 + 3)
weeks. Among the 144 neonates, there were 29 (20.1%) cases of neonatal
respiratory morbidity. Quantitative texture analysis predicted neonatal
respiratory morbidity with a sensitivity, specificity, positive predictive value
and negative predictive value of 86.2%, 87.0%, 62.5% and 96.2%, respectively.
CONCLUSIONS: Quantitative ultrasound fetal lung maturity analysis predicted
neonatal respiratory morbidity with an accuracy comparable to that of current
tests using amniotic fluid.
PMID- 24919443
TI - Vision and photoentrainment in fishes: the effects of natural and anthropogenic
perturbation.
AB - Vision and photoentrainment in fishes are vital for feeding, avoiding predation,
spatial orientation, navigation, social communication and the synchronization of
many homeostatic functions such as activity patterns and sleep. The camera-like
(image-forming) eyes of fishes are optimized to provide a clear view of their
preferred ecological niche, while non-visual photoreceptors provide irradiance
detection that mediates circadian photoentrainment, an endogenous time-keeping
mechanism (biological clock) to respond to predictable changes in environmental
conditions. Fish and fisheries are under pressure from both natural and
anthropogenic perturbation, which in many cases alters the intensity and spectral
composition of the light environment on which they depend for their survival.
This review examines the effects of a changing light environment and turbidity on
the health of fishes within a developmental and ecological context. Understanding
the sensory environment of fishes is vital to predicting their responses and,
ultimately, their resilience to environmental change and the potential for
maintaining sustainable levels of biodiversity.
PMID- 24919444
TI - Isolated left ventricular diastolic collapse in pericardial tamponade: an
uncommon echocardiographic finding.
AB - A case of circumferential moderate pericardial effusion causing isolated collapse
of left ventricular cavity on two-dimensional echocardiography is reported.
Pericardial effusion, mostly of infective etiology, is relatively common in this
part of the world. When large enough to cause tamponade, collapse of right
atrium, right ventricle, and uncommonly left atrium can be seen. Left ventricular
collapse is rare, both due to the larger muscle mass and higher chamber pressure.
PMID- 24919445
TI - The influence of deprivation on suicide mortality in urban and rural Queensland:
an ecological analysis.
AB - PURPOSE: A trend of higher suicide rates in rural and remote areas as well as
areas with low socioeconomic status has been shown in previous research. Little
is known whether the influence of social deprivation on suicide differs between
urban and rural areas. This investigation aims to examine how social deprivation
influences suicide mortality and to identify which related factors of deprivation
have a higher potential to reduce suicide risk in urban and rural Queensland,
Australia. METHODS: Suicide data from 2004 to 2008 were obtained from the
Queensland Suicide Register. Age-standardized suicide rates (15+ years) and rate
ratios, with a 95% confidence interval, for 38 Statistical Subdivisions (SSDs) in
Queensland were calculated. The influence of deprivation-related variables on
suicide and their rural-urban difference were modelled by log-linear regression
analyses through backward elimination. RESULTS: Among the 38 SSDs in Queensland,
eight had a higher suicide risk while eleven had a lower rate. Working-age males
(15-59 years) had the most pronounced geographic variation in suicide rate. In
urban areas, suicide rates were positively associated with tenant households in
public housing, Aboriginal and Torres Strait Islander people, the unemployment
rate and median individual income, but inversely correlated with younger age and
households with no internet access. In rural areas, only tenant households in
public housing and households with no internet access heightened the risk of
suicide, while a negative association was found for younger and older persons,
low-skilled workers or labourers, and families with low income and no cars.
CONCLUSIONS: The extent to which social deprivation contributes to suicide
mortality varies considerably between rural and urban areas.
PMID- 24919447
TI - Relationship between the v2PO4/amide III ratio assessed by Raman spectroscopy and
the calcium content measured by quantitative backscattered electron microscopy in
healthy human osteonal bone.
AB - Raman microspectroscopy and quantitative backscattered electron imaging (qBEI) of
bone are powerful tools to investigate bone material properties. Both methods
provide information on the degree of bone matrix mineralization. However, a head
to-head comparison of these outcomes from identical bone areas has not been
performed to date. In femoral midshaft cross sections of three women, 99 regions
(20*20 MUm2) were selected inside osteons and interstitial bone covering a wide
range of matrix mineralization. As the focus of this study was only on regions
undergoing secondary mineralization, zones exhibiting a distinct gradient in
mineral content close to the mineralization front were excluded. The same regions
were measured by both methods. We found a linear correlation (R2=0.75) between
mineral/matrix as measured by Raman spectroscopy and the wt. %Mineral/(100-wt.
%Mineral) as obtained by qBEI, in good agreement with theoretical estimations.
The observed deviations of single values from the linear regression line were
determined to reflect biological heterogeneities. The data of this study
demonstrate the good correspondence between Raman and qBEI outcomes in describing
tissue mineralization. The obtained correlation is likely sensitive to changes in
bone tissue composition, providing an approach to detect potential deviations
from normal bone.
PMID- 24919446
TI - From adversity to psychosis: pathways and mechanisms from specific adversities to
specific symptoms.
AB - PURPOSE: Although there is considerable evidence that adversities in childhood
such as social deprivation, sexual abuse, separation from parents, neglect and
exposure to deviant parental communication are associated with psychosis in later
life, most studies have considered broad diagnoses as outcomes. In this review we
consider evidence for pathways between specific types of adversity and specific
symptoms of psychosis. METHODS: We present theoretical arguments for expecting
some degree of specificity (although by no means perfect specificity) between
different kinds of adversity and different symptoms of psychosis. We review
studies that have investigated social-environmental risk factors for thought
disorder, auditory-verbal hallucinations and paranoid delusions, and consider how
these risk factors may impact on specific psychological and biological
mechanisms. RESULTS: Communication deviance in parents has been implicated in the
development of thought disorder in offspring, childhood sexual abuse has been
particularly implicated in auditory-verbal hallucinations, and attachment
disrupting events (e.g. neglect, being brought up in an institution) may have
particular potency for the development of paranoid symptoms. Current research on
psychological mechanisms underlying these symptoms suggests a number of symptom
specific mechanisms that may explain these associations. CONCLUSIONS: Few studies
have considered symptoms, underlying mechanisms and different kinds of adversity
at the same time. Future research along these lines will have the potential to
elucidate the mechanisms that lead to severe mental illness, and may have
considerable clinical implications.
PMID- 24919448
TI - Spiking cortical model-based nonlocal means method for speckle reduction in
optical coherence tomography images.
AB - Optical coherence tomography (OCT) images are usually degraded by significant
speckle noise, which will strongly hamper their quantitative analysis. However,
speckle noise reduction in OCT images is particularly challenging because of the
difficulty in differentiating between noise and the information components of the
speckle pattern. To address this problem, the spiking cortical model (SCM)-based
nonlocal means method is presented. The proposed method explores self
similarities of OCT images based on rotation-invariant features of image patches
extracted by SCM and then restores the speckled images by averaging the similar
patches. This method can provide sufficient speckle reduction while preserving
image details very well due to its effectiveness in finding reliable similar
patches under high speckle noise contamination. When applied to the retinal OCT
image, this method provides signal-to-noise ratio improvements of >16 dB with a
small 5.4% loss of similarity.
PMID- 24919450
TI - On-chip lithium cells for electrical and structural characterization of single
nanowire electrodes.
AB - We present a transmission electron microscopy (TEM)-compatible, hybrid
nanomachined, on-chip construct for probing the structural and electrical changes
in individual nanowire electrodes during lithium insertion. We have assembled
arrays of individual beta-phase manganese dioxide (beta-MnO2) nanowires (NWs),
which are employed as a model material system, into functional electrochemical
cells through a combination of bottom-up (dielectrophoresis) and top-down
(silicon nanomachining) unit processes. The on-chip NWs are electrochemically
lithiated inside a helium-filled glovebox and their electrical conductivity is
studied as a function of incremental lithium loading during initial lithiation.
We observe a dramatic reduction in NW conductivity (on the order of two to three
orders in magnitude), which is not reversed when the lithium is extracted from
the nanoelectrode. This conductivity change is attributed to an increase in
lattice disorder within the material, which is observed from TEM images of the
lithiated NWs. Furthermore, electron energy loss spectroscopy (EELS) was employed
to confirm the reduction in valence state of manganese, which occurs due to the
transformation of MnO2 to LixMnO2.
PMID- 24919449
TI - Microscale receiver operating characteristic analysis of micrometastasis
recognition using activatable fluorescent probes indicates leukocyte imaging as a
critical factor to enhance accuracy.
AB - Molecular-targeted probes are emerging with applications for optical biopsy of
cancer. An underexplored potential clinical use of these probes is to monitor
residual cancer micrometastases that escape cytoreductive surgery and
chemotherapy. Here, we show that leukocytes, or white blood cells, residing in
nontumor tissues--as well as those infiltrating micrometastatic lesions--uptake
cancer cell-targeted, activatable immunoconjugates nonspecifically, which limits
the accuracy and resolution of micrometastasis recognition using these probes.
Receiver operating characteristic analysis of freshly excised tissues from a
mouse model of peritoneal carcinomatosis suggests that dual-color imaging, adding
an immunostain for leukocytes, offers promise for enabling accurate recognition
of single cancer cells. Our results indicate that leukocyte identification
improves micrometastasis recognition sensitivity and specificity from 92 to 93%-
for multicellular metastases >20 to 30 MUm in size--to 98 to 99.9% for resolving
metastases as small as a single cell.
PMID- 24919451
TI - Speeding up hepatocyte proliferation: how triiodothyronine and beta-catenin join
forces.
PMID- 24919452
TI - Higher viral load, higher hepatitis B surface antigen clearance?
PMID- 24919453
TI - Patterns of physical co-/multi-morbidity among patients with serious mental
illness: a London borough-based cross-sectional study.
AB - BACKGROUND: Serious mental illness (SMI) is associated with elevated mortality
compared to the general population; the majority of this excess is attributable
to co-occurring common physical health conditions. There may be variation within
the SMI group in the distribution of physical co/multi-morbidity. This study aims
to a) compare the pattern of physical co- and multi-morbidity between patients
with and without SMI within a South London primary care population; and, b) to
explore socio-demographic and health risk factors associated with excess physical
morbidity among the SMI group. METHODS: Data were obtained from Lambeth DataNet,
a database of electronic patient records derived from general practices in the
London borough of Lambeth. The pattern of 12 co-morbid common physical conditions
was compared by SMI status. Multivariate ordinal and logistic regression analyses
were conducted to assess the strength of association between each condition and
SMI status; adjustments were made for potentially confounding socio-demographic
characteristics and for potentially mediating health risk factors. RESULTS: While
SMI patients were more frequently recorded with all 12 physical conditions than
non-SMI patients, the pattern of co-/multi-morbidity was similar between the two
groups. Adjustment for socio-demographic characteristics - in particular age and,
to a lesser extent ethnicity, considerably reduced effect sizes and accounted for
some of the associations, though several conditions remained strongly associated
with SMI status. Evidence for mediation by health risk factors, in particular
BMI, was supported. CONCLUSIONS: SMI patients are at an elevated risk of a range
of physical health conditions than non-SMI patients but they do not appear to
experience a different pattern of co-/multimorbidity among those conditions
considered. Socio-demographic differences between the two groups account for some
of the excess in morbidity and known health risk factors are likely to mediate
the association. Further work to examine a wider range of conditions and health
risk factors would help determine the extent of excess mortality attributable to
these factors.
PMID- 24919454
TI - The development and validation of the Comprehensive Inventory of Thriving (CIT)
and the Brief Inventory of Thriving (BIT).
AB - In this article we present the development and validation of two new measures of
psychological well-being: the Comprehensive Inventory of Thriving (CIT) and the
Brief Inventory of Thriving (BIT). These measures were developed with two
specific goals in mind: (1) to measure a broad range of psychological well-being
constructs and represent a holistic view of positive functioning; and (2) to
predict important health outcomes that are useful for researchers and health
practitioners. The CIT includes 18 subscales with 54 items in total, covering a
broad range of well-being components. The BIT has 10 items in total and can serve
as an indicator of psychological well-being and a brief screening tool of mental
health. The new measures were evaluated in five samples of a total of 3,191 US
participants with diverse demographics. The CIT and BIT had excellent
psychometric properties and exhibited convergent validity with existing measures
of psychological well-being and discriminant validity with measures of ill-being.
Both measures contributed over and above existing measures of psychology well
being in predicting a variety of health outcomes, including self-reported and
objective health status, physical functioning, and health behaviors. In addition,
we showed the relative importance of thriving compared to ill-being for health
outcomes and the benefits of assessing individuals' positive functioning beyond
ill-being. Potential uses of the new measures are discussed.
PMID- 24919455
TI - Are testicular mast cells involved in the regulation of germ cells in man?
AB - Protease activated receptor-2 (PAR-2) is the receptor for the prototype mast cell
product tryptase. PAR-2 expression by cells of the human germinal epithelium was
reported, but the exact cellular sites of testicular expression remained unknown.
That became of interest, because mast cells, expressing tryptase, were found in
the walls of seminiferous tubules of patients suffering from sub- and
infertility. This location suggested that mast cells via tryptase might be able
to influence PAR-2-expressing cells in the germinal epithelium. To explore these
points, we used testicular paraffin-embedded sections for immunohistochemistry.
PAR-2-positive cells were mostly basally located cells of the seminiferous
epithelium, namely spermatogonia. Some stained for the receptor for GDNF
(GFRalpha-1), and possibly represent spermatogonial stem cells (SSCs). As true
human SSCs could not be examined, we turned to TCam-2 seminoma cells, expressing
PAR-2 and stem cell markers, including GFRalpha-1. TCam-2 cells robustly
responded to stimulation with a specific PAR-2 agonist (SLIGKV) by increased
intracellular Ca(2+) levels. Recombinant tryptase and trypsin, but not a control
peptide (VKGILS) evoked this response, implying functional PAR-2. Video imaging
and caspase 3/7 assays showed that SLIGKV and tryptase prevented spontaneous
apoptosis and increased proliferation of TCam-2 cells. The expression of the
marker of pluripotency OCT3/4 was unchanged upon activation of PAR-2, suggesting
that the stem cell-like character is not changed. Furthermore, human germ cell
cancers were examined. A subset of seminoma and carcinoma in situ samples
expressed PAR-2, indicating that yet unknown subgroups exist. Collectively, the
descriptive data obtained in human testicular sections, in germ cell cancers and
the functional results in TCam-2 cells imply a trophic role of mast cell-derived
tryptase for human germ cells. This may be relevant for subtypes of human germ
cell cancers, and possibly SSCs. It also raises the possibility that PAR-2
agonists might be useful for the in vitro propagation of human SSCs.
PMID- 24919456
TI - The application of multiple biophysical cues to engineer functional neocartilage
for treatment of osteoarthritis. Part I: cellular response.
AB - Osteoarthritis (OA) is a complex disease of the joint for which current
treatments are unsatisfactory, thus motivating development of tissue engineering
(TE)-based therapies. To date, TE strategies have had some success, developing
replacement tissue constructs with biochemical properties approaching that of
native cartilage. However, poor biomechanical properties and limited
postimplantation integration with surrounding tissue are major shortcomings that
need to be addressed. Functional tissue engineering strategies that apply
physiologically relevant biophysical cues provide a platform to improve TE
constructs before implantation. In the previous decade, new experimental and
theoretical findings in cartilage biomechanics and electromechanics have emerged,
resulting in an increased understanding of the complex interplay of multiple
biophysical cues in the extracellular matrix of the tissue. The effect of
biophysical stimulation on cartilage, and the resulting chondrocyte-mediated
biosynthesis, remodeling, degradation, and repair, has, therefore, been
extensively explored by the TE community. This article compares and contrasts the
cellular response of chondrocytes to multiple biophysical stimuli, and may be
read in conjunction with its companion paper that compares and contrasts the
subsequent intracellular signal transduction cascades. Mechanical, magnetic, and
electrical stimuli promote proliferation, differentiation, and maturation of
chondrocytes within established dose parameters or "biological windows." This
knowledge will provide a framework for ongoing studies incorporating multiple
biophysical cues in TE functional neocartilage for treatment of OA.
PMID- 24919457
TI - Palladium-catalyzed thiocarbonylation of aryl, vinyl, and benzyl bromides.
AB - A catalytic protocol for synthesis of thioesters from aryl, vinyl, and benzyl
bromides as well as benzyl chlorides was developed using only stoichiometric
amounts of carbon monoxide, produced from a solid CO precursor inside a two
chamber system. As a catalytic system, the combination of bis(benzonitrile)
palladium(II) chloride and Xantphos furnished the highest yields of the desired
compounds, along with the weak base, NaOAc, in anisole at 120 degrees C. The
choice of catalytic system as well as solvent turned out to be important in order
to ensure a high chemoselectivity in the reaction. Both electron-rich and
electron-deficient aryl bromides worked well in this reaction. Addition of 1
equiv of sodium iodide to the reaction improved the chemoselectivity with the
electron-deficient aryl bromides. The thiol scope included both aryl and alkyl
thiols, including 2-mercaptobenzophenones, whereby a thiocarbonylation followed
by a subsequent McMurry coupling yielded differently substituted benzothiophenes.
It was demonstrated that the methodology could be applied for (13)C introduction
into the thiophene ring.
PMID- 24919458
TI - 6-Plex microsphere immunoassay with imaging planar array detection for mycotoxins
in barley.
AB - Mycotoxins are produced by fungi as secondary metabolites. They often multi
contaminate food and feed commodities posing a health risk to humans and animals.
A fast and easy to apply multiplex screening of these commodities could be useful
to detect multi-contamination. For this, we developed a semi-quantitative 6-plex
immunoassay using a suspension array of paramagnetic colour-coded microspheres
combined with imaging planar array detection for the mycotoxins aflatoxin B1,
ochratoxin A, zearalenone, deoxynivalenol, T2-toxin, HT-2 toxin and fumonisin B1.
Mycotoxin specific monoclonal antibodies were coupled to different sets of
microspheres and mycotoxins conjugated to the fluorescent protein R-phycoerythrin
served as reporter molecules. Competition between free mycotoxins in the sample
and mixed reporter molecules for antibody binding sites on mixed microspheres
created a multiplex direct inhibition immunoassay. The reagents were selected for
no or low cross-interactions between the assays and cross-reactions with
metabolites and possible masked forms were determined. A within-laboratory
validation was carried out using blank and spiked barley samples. Furthermore,
the 6-plex was used to screen available barley, and malted barley, reference
materials. The validation showed very high inter and intra-day precision for all
samples with a maximum relative standard deviation value of 10%. The screening
assay allows easy and rapid multiplex detection of the target mycotoxins in
barley according to EU legislation. With a cut off factor of 50%, based on the EU
maximum levels, we were able to screen at 2 MUg kg(-1) for aflatoxin B1, 2.5 MUg
kg(-1) for ochratoxin A, 625 MUg kg(-1) for deoxynivalenol, 50 MUg kg(-1) for
zearalenone, 1000 MUg kg(-1) for fumonisin B1 and 25 MUg kg(-1) for T-2 toxin.
Thanks to the transportable planar array system, the developed 6-plex has
potential for future on-site testing. Future implementation of this method as a
pre-screening tool, prior to instrumental analysis, is highly attractive since
costly LC-MS/MS analysis of samples below the maximum levels can be avoided.
PMID- 24919461
TI - Andy Haines: Conspicuous consumption is a pet hate.
PMID- 24919460
TI - Trastuzumab-containing regimens for metastatic breast cancer.
AB - BACKGROUND: Patients with breast cancer are classified as having cells that over
express the human epidermal growth factor receptor 2 (known as HER2-positive) or
not (HER2-negative). Typically, patients with HER2-positive disease have a worse
prognosis. Trastuzumab is a selective treatment that targets the HER2 pathway.
The available evidence supporting trastuzumab regimens mostly relies upon
surrogate endpoints and, although the efficacy results seem to support its use,
other uncertainties have been raised about its net benefit in relation to
transient cardiac toxicity and a long-term increased risk of metastasis to the
central nervous system. OBJECTIVES: To assess the evidence on the efficacy and
safety of therapy with trastuzumab (overall) and in relation to the type of co
administered regimen and the line of treatment, i.e. first-line or beyond
progression, in women with HER2-positive metastatic breast cancer. SEARCH
METHODS: We searched the Cochrane Breast Cancer Group's (CBCG) Specialised
Register and used the search strategy developed by the CBCG to search for
randomised controlled trials (RCTs) in CENTRAL (2013, Issue 1), MEDLINE, EMBASE,
BIOSIS, the WHO International Clinical Trials Registry Platform (ICTRP) search
portal and ClinicalTrials.gov (up to 17 January 2013). SELECTION CRITERIA: RCTs
comparing the efficacy and safety of trastuzumab alone or in combination with
chemotherapy, hormonal therapy or targeted agents in women with HER2-positive
metastatic breast cancer. DATA COLLECTION AND ANALYSIS: We collected data from
published trials. We used hazard ratios (HRs) for time-to-event outcomes and risk
ratio (RRs) for binary outcomes. Subgroup analyses included type of regimen
(taxane-containing, anthracycline-containing, aromatase inhibitor-containing or
other) and treatment line (first-line, beyond progression). MAIN RESULTS: The
review found seven trials, involving 1497 patients, which met the criteria to be
included. The trials were generally of moderate methodological quality; two
studies have not published their results on overall survival so the presence of
selective outcome reporting bias cannot be ruled out. None of the studies used
blinding to treatment allocation, though this is unlikely to have biased the
results for overall survival. Studies varied in terms of co-administered regimen
and in terms of treatment line. In four studies, trastuzumab was administered
with a chemotherapy, such as a taxane-containing, anthracycline-containing or
capecitabine-containing regimen. Two studies considered postmenopausal women and
administered trastuzumab with hormone-blocking medications, such as an aromatase
inhibitor. One study administered trastuzumab in addition to lapatinib. Five
studies out of seven included women treated with trastuzumab administered until
progression as first-line treatment and two studies considered trastuzumab beyond
progression. The combined HRs for overall survival and progression-free survival
favoured the trastuzumab-containing regimens (HR 0.82, 95% confidence interval
(CI) 0.71 to 0.94, P = 0.004; and HR 0.61, 95% CI 0.54 to 0.70, P < 0.00001,
respectively; moderate-quality evidence). Trastuzumab increased the risk of
congestive heart failure (RR 3.49, 90% CI 1.88 to 6.47, P = 0.0009; moderate
quality evidence) and left ventricular ejection fraction (LVEF) decline (RR 2.65,
90% CI 1.48 to 4.74, P = 0.006). For haematological toxicities, such as
neutropenic fever and anaemia, there was no clear evidence that risks differed
between groups, while trastuzumab seemed to raise the risk of neutropenia. The
overall survival improvement was maintained when considering patients treated as
first-line or patients receiving taxane-based regimens. The progression-free
survival improvement was maintained when considering patients receiving taxane
based regimens, and patients treated as first-line or subsequent lines. Few data
were collected on central nervous system progression. Similarly, few studies
reported on quality of life and treatment-related deaths. AUTHORS' CONCLUSIONS:
Trastuzumab improved overall survival and progression-free survival in HER2
positive women with metastatic breast cancer, but it also increased the risk of
cardiac toxicities, such as congestive heart failure and LVEF decline. The
available subgroup analyses are limited by the small number of studies. Studies
that administered trastuzumab as first-line treatment, or along with a taxane
based regimen, improved mortality outcomes. The evidence to support the use of
trastuzumab beyond progression is limited. The recruitment in three out of seven
studies was stopped early and in three trials more than 50% of patients in the
control groups were permitted to switch to the trastuzumab arms at progression,
making it more difficult to understand the real net benefit of
trastuzumab.Trastuzumab is generally used for women with HER2-positive early
breast cancer in clinical practice, while women enrolled in most of the trials in
the metastatic setting were naive to trastuzumab. The effectiveness of
trastuzumab for women relapsing after adjuvant trastuzumab is therefore still an
open issue, although it is likely that the majority are being offered it again.
PMID- 24919462
TI - A phase II study of dacetuzumab (SGN-40) in patients with relapsed diffuse large
B-cell lymphoma (DLBCL) and correlative analyses of patient-specific factors.
AB - BACKGROUND: Patients with DLBCL who are ineligible for or have relapsed after
aggressive salvage chemotherapy have a poor prognosis. CD40 is expressed on
multiple B-cell neoplasms including DLBCL and is a potential target for
immunotherapy. Dacetuzumab (SGN-40), a non-blocking, partial agonist, humanized
IgG1, anti-CD40 monoclonal antibody, has previously demonstrated anti-lymphoma
activity in a phase I study. METHODS: A phase II study was undertaken to evaluate
the rate and duration of objective responses and safety of single-agent
dacetuzumab in relapsed DLBCL. Forty-six adult patients with relapsed/refractory
DLBCL received up to 12 cycles of intravenous dacetuzumab using intrapatient dose
escalation to a target dose of 8 mg/kg/week in an initial 5-week cycle, followed
by 4-week cycles of 8 mg/kg/week. Study endpoints included rate and duration of
objective responses, safety, survival, pharmacokinetics, immunogenicity, and
exploratory correlative studies. RESULTS: Overall response rate was 9% and
disease control rate (complete remission + partial remission + stable disease)
was 37%. Common non-hematologic adverse events (AEs) included fatigue, headache,
chills, fever, and nausea. The most frequent Grade 3-4 non-hematologic AE was
deep venous thrombosis (3 patients). Grade 3-4 lymphopenia (41%), neutropenia
(13%), or thrombocytopenia (19%) occurred without associated infection or
bleeding. Reversible ocular events, including conjunctivitis and ocular
hyperemia, occurred in 8 patients (17%). Patient-specific factors, including Fc
gamma-RIIIa polymorphism, did not appear to correlate with antitumor activity.
CONCLUSIONS: Single-agent dacetuzumab has modest activity and manageable toxicity
in unselected patients with relapsed DLBCL. Combination regimens and robust
methods of patient selection may be necessary for further development. TRIAL
REGISTRATION: ClinicalTrials.gov identifier NCT00435916.
PMID- 24919463
TI - Implicit treatment of solvent dispersion forces in protein simulations.
AB - A model is proposed for the evaluation of dispersive forces in a continuum
solvent representation for use in large-scale computer simulations. The model
captures the short- and long-range effects of water-exclusion in conditions of
partial and anisotropic hydration. The model introduces three parameters, one of
which represents the degree of hydration (water occupancy) at any point in the
system, which depends on the solute conformation, and two that represent the
strength of water-water and water-solute dispersive interactions. The model is
optimized for proteins, using hydration data of a suboptimally hydrated binding
site and results from dynamics simulations in explicit water. The model is
applied to a series of aliphatic-alcohol/protein complexes and a set of binary
and ternary complexes of various sizes. Implications for weak and ultra-weak
protein-protein association and for simulation in crowded media are discussed.
PMID- 24919464
TI - Role of downregulation of galectin-9 in the tumorigenesis of gastric cancer.
AB - Galectin-9 (Gal-9), a member of the beta-galactoside-binding galectin family,
plays a role in immune response, apoptosis, cell proliferation and cell death.
Recent studies have shown that abnormal expression of Gal-9 is involved in
certain primary cancers. The present study is the first investigation of the role
of Gal-9 gene expression in clinically diagnosed primary gastric cancer tissues.
Gal-9 mRNA expression was assessed in 44 clinically diagnosed frozen primary
cancer tissue samples using quantitative PCR (qPCR). Analysis of the qPCR data
revealed a significant reduction (>2-fold decreased) of Gal-9 gene expression in
gastric cancer tissues in 77% (34/44) of patients. In patients with gastric
cancer, although no statistically significant difference was found between
adjacent (<2 cm away from the cancer tissue) and normal tissues (>5 cm away from
the cancer tissue), a >2-fold reduction in Gal-9 expression was observed in the
adjacent tissues of 34% of the patients. Compared to matched normal or adjacent
tissues, the gene expression of Gal-9 was significantly decreased in tumor
tissues (p<0.001). The correlation of Gal-9 expression and clinicopathological
features in gastric cancer was analyzed according to the TNM classification
system using AJCC stage grouping. In patients with gastric cancer, clinical
staging, tumor pathological stage (pT stage), tumor cell differentiation, lymph
node metastasis and survival rate were found to be associated with Gal-9
expression. However, no significant association was found between Gal-9
expression and distant metastasis (p>0.05). No significant difference was found
between patients of different genders, levels of cell differentiation, distant
metastasis status or different survival time of patients. Compared to normal
tissues, >2-fold reduction of Tim-3 expression in gastric cancer tissues occurred
in 59% of patients, but no correlation was found between Gal-9 and Tim-3 in
gastric cancer. These results strongly suggest that Gal-9 is involved in
tumorigenesis of gastric cancer.
PMID- 24919465
TI - Subchondral insufficiency fractures of the knee: review of imaging findings.
AB - Subchondral insufficiency fracture of the knee (SIFK) is a potentially
devastating disorder that may progress rapidly to osteoarthritis with articular
surface collapse. It should be suspected in the appropriate clinical setting, as
in early stages it is usually indistinct on initial plain radiographs and
magnetic resonance imaging is required for a definitive diagnosis. Outcome of
SIFK depends on several factors, including the initial subchondral fracture size,
patient BMI, degree of osteopenia, as well as early diagnosis and initial
treatment. The purpose of this paper is to review the clinical presentation,
pathophysiology, image findings, complications and outcome of SIFK.
PMID- 24919466
TI - Image quality and radiation dose of lower extremity CT angiography at 70 kVp on
an integrated circuit detector dual-source computed tomography.
AB - BACKGROUND: Despite the well-established requirement for radiation dose reduction
there are few studies examining the potential for lower extremity CT angiography
(CTA) at 70 kVp. PURPOSE: To compare the image quality and radiation dose of
lower extremity CTA at 70 kVp using a dual-source CT system with an integrated
circuit detector to similar studies at 120 kVp. MATERIAL AND METHODS: A total of
62 patients underwent lower extremity CTA. Thirty-one patients were examined at
70 kVp using a second generation dual-source CT with an integrated circuit
detector (70 kVp group) and 31 patients were evaluated at 120 kVp using a first
generation dual-source CT (120 kVp group). The attenuation and image noise were
measured and signal-to-noise ratio (SNR) and contrast-to-noise ratio (CNR) were
calculated. Two radiologists assessed image quality. Radiation dose was compared.
RESULTS: The mean attenuation of the 70 kVp group was higher than the 120 kVp
group (575 +/- 149 Hounsfield units [HU] vs. 258 +/- 38 HU, respectively, P <
0.001) as was SNR (44.0 +/- 22.0 vs 32.7 +/- 13.3, respectively, P = 0.017), CNR
(39.7 +/- 20.6 vs 26.6 +/- 11.7, respectively, P = 0.003) and the mean image
quality score (3.7 +/- 0.1 vs. 3.2 +/- 0.3, respectively, P < 0.001). The inter
observer agreement was good for the 70 kVp group and moderate for the 120 kVp
group. The dose-length product was lower in the 70 kVp group (264.5 +/- 63.1 mGy
* cm vs. 412.4 +/- 81.5 mGy * cm, P < 0.001). CONCLUSION: Lower extremity CTA at
70 kVp allows for lower radiation dose with higher SNR, CNR, and image quality
when compared with standard 120 kVp.
PMID- 24919467
TI - Dipeptidyl peptidase-4 inhibitors in type 2 diabetes may reduce the risk of
autoimmune diseases: a population-based cohort study.
AB - OBJECTIVE: Dipeptidyl peptidase-4 (DPP4), also known as CD26, is a transmembrane
glycoprotein that has a costimulatory function in the immune response. DPP4
inhibitors (DPP4i) are oral glucose-lowering drugs for type 2 diabetes mellitus
(T2DM). This study evaluated the risk of incident rheumatoid arthritis (RA) and
other autoimmune diseases (AD) such as systemic lupus erythematosus, psoriasis,
multiple sclerosis and inflammatory bowel disease, associated with DPP4i in
patients with T2DM. METHODS: Using US insurance claims data (2005-2012), we
conducted a population-based cohort study that included initiators of combination
therapy with DPP4i (DPP4i plus metformin) and non-DPP4i (non-DPP4i plus
metformin). RA and other AD were identified with >=2 diagnoses and >=1 dispensing
for AD-specific immunomodulating drugs or steroids. Composite AD includes RA or
other AD. Propensity score (PS)-stratified Cox proportional hazards models
compared the risk of AD in DPP4i initiators versus non-DPP4i, controlling for
potential confounders. RESULTS: After asymmetric trimming on the PS, 73 928
patients with T2DM starting DPP4i combination therapy and 163 062 starting non
DPP4i combination therapy were selected. Risks of incident RA and composite AD
were lower in the DPP4i group versus non-DPP4i with the PS-stratified HR of 0.66
(95% CI 0.44 to 0.99) for RA, 0.73 (0.51 to 1.03) for other AD and 0.68 (95% CI
0.52 to 0.89) for composite AD. CONCLUSIONS: In this large cohort of diabetic
patients, those initiating DPP4i combination therapy appear to have a decreased
risk of incident AD including RA compared with those initiating non-DPP4i
combination therapy. These results may suggest possible pharmacological pathways
for prevention or treatment of AD.
PMID- 24919468
TI - Influence of the IL17A locus in giant cell arteritis susceptibility.
AB - OBJECTIVE: Different lines of evidence have highlighted the role of IL-17A in the
inflammatory process occurring in giant cell arteritis (GCA). The aim of the
present study was to assess whether the IL17A locus influences GCA susceptibility
and its clinical subphenotypes. METHODS: We carried out a large meta-analysis
including a total of 1266 biopsy-proven GCA patients and 3779 healthy controls
from four European populations (Spain, Italy, Germany and Norway). Five IL17A
polymorphisms (rs4711998, rs8193036, rs3819024, rs2275913 and rs7747909) were
selected by tagging and genotyped using TaqMan assays. Allelic combination and
dependency tests were also performed. RESULTS: In the pooled analysis, two of the
five analysed polymorphisms showed evidence of association with GCA (rs2275913:
PMH=1.85E-03, OR=1.17 (1.06-1.29); rs7747909: PMH=8.49E-03, OR=1.15 (1.04-1.27)).
A clear trend of association was also found for the rs4711998 variant (PMH=0.059,
OR=1.11 (1.00-1.23)). An independent effect of rs2275913 and rs4711998 was
evident by conditional regression analysis. In addition, the haplotype harbouring
the risk alleles better explained the observed association than the polymorphisms
independently (likelihood p value <10(-05)). CONCLUSIONS: Polymorphisms within
the IL17A locus show a novel association with GCA. This finding supports the
relevant role of the Th17 cells in this vasculitis pathophysiology.
PMID- 24919469
TI - Transgenerational inheritance of ovarian development deficiency induced by
maternal diethylhexyl phthalate exposure.
AB - Diethylhexyl phthalate (DEHP) is a widely used industrial additive for increasing
plastic flexibility. It disrupts the physiological functions of endogenous
hormones and induces abnormal development of mammals. The objectives of the
present study were to evaluate the effects of DEHP exposure on ovarian
development of pregnant mice and whether the effects are inheritable. We found
that the synthesis of oestradiol in pregnant mice after DEHP exposure was
significantly decreased, and that the first meiotic progression of female fetal
germ cells was delayed. Furthermore, the DNA methylation level of Stra8 was
increased and the expression levels of Stra8 were significantly decreased. An
accelerated rate of follicle recruitment in F1 mice was responsible for the
depletion of the primordial-follicle pool. Maternal DEHP exposure also
significantly accelerated the recruitment of primordial follicles in F2 mice. In
conclusion, our results indicated that maternal DEHP exposure induced ovarian
development deficiency, which was transgenerational in mice.
PMID- 24919470
TI - Incidence of delayed seizures, delayed cerebral ischemia and poor outcome with
the use of levetiracetam versus phenytoin after aneurysmal subarachnoid
hemorrhage.
AB - Current guidelines recommend against the use of phenytoin following aneurysmal
subarachnoid hemorrhage (aSAH) but consider other anticonvulsants, such as
levetiracetam, acceptable. Our objective was to evaluate the risk of poor
functional outcomes, delayed cerebral ischemia (DCI) and delayed seizures in aSAH
patients treated with levetiracetam versus phenytoin. Medical records of patients
with aSAH admitted between 2005-2012 receiving anticonvulsant prophylaxis with
phenytoin or levetiracetam for >72 hours were reviewed. The primary outcome
measure was poor functional outcome, defined as modified Rankin Scale (mRS) score
>3 at first recorded follow-up. Secondary outcomes measures included DCI and the
incidence of delayed seizures. The association between the use of levetiracetam
and phenytoin and the outcomes of interest was studied using logistic regression.
Medical records of 564 aSAH patients were reviewed and 259 included in the
analysis after application of inclusion/exclusion criteria. Phenytoin was used
exclusively in 43 (17%), levetiracetam exclusively in 132 (51%) while 84 (32%)
patients were switched from phenytoin to levetiracetam. Six (2%) patients had
delayed seizures, 94 (36%) developed DCI and 63 (24%) had mRS score >3 at follow
up. On multivariate analysis, only modified Fisher grade and seizure before
anticonvulsant administration were associated with DCI while age, Hunt-Hess grade
and presence of intraparenchymal hematoma were associated with mRS score >3.
Choice of anticonvulsant was not associated with any of the outcomes of interest.
There was no difference in the rate of delayed seizures, DCI or poor functional
outcome in patients receiving phenytoin versus levetiracetam after aSAH. The high
rate of crossover from phenytoin suggests that levetiracetam may be better
tolerated.
PMID- 24919471
TI - Dynamics of electrical double layer formation in room-temperature ionic liquids
under constant-current charging conditions.
AB - We report detailed simulation results on the formation dynamics of an electrical
double layer (EDL) inside an electrochemical cell featuring room-temperature
ionic liquids (RTILs) enclosed between two planar electrodes. Under relatively
small charging currents, the evolution of cell potential from molecular dynamics
(MD) simulations during charging can be suitably predicted by the Landau-Ginzburg
type continuum model proposed recently (Bazant et al 2011 Phys. Rev. Lett. 106
046102). Under very large charging currents, the cell potential from MD
simulations shows pronounced oscillation during the initial stage of charging, a
feature not captured by the continuum model. Such oscillation originates from the
sequential growth of the ionic space charge layers near the electrode surface.
This allows the evolution of EDLs in RTILs with time, an atomistic process
difficult to visualize experimentally, to be studied by analyzing the cell
potential under constant-current charging conditions. While the continuum model
cannot predict the potential oscillation under such far-from-equilibrium charging
conditions, it can nevertheless qualitatively capture the growth of cell
potential during the later stage of charging. Improving the continuum model by
introducing frequency-dependent dielectric constant and density-dependent ion
diffusion coefficients may help to further extend the applicability of the model.
The evolution of ion density profiles is also compared between the MD and the
continuum model, showing good agreement.
PMID- 24919473
TI - Synthesis of novel lipoamino acid conjugates of sapienic acid and evaluation of
their cytotoxicity activities.
AB - Novel lipoamino acids were prepared with the coupling of sapienic acid [(Z)-6
hexadecenoic acid] with alpha - amino group of amino acids and the resulting N
sapienoyl amino acids were tested for their cytotoxicity activities against four
cancer based cell lines. Initially, sapienic acid was synthesized by the Wittig
coupling of triphenylphosphonium bromide salt of 6-bromohexanoic acid and decanal
with a Z specific reagent. The prepared sapienic acid was subsequently converted
to its acid chloride which was further coupled with amino acids by the Schotten
Baumann reaction to form N-sapienoyl amino acid conjugates. Structural
characterization of the prepared N-sapienoyl amino acid derivatives was done by
spectral data (IR, mass spectra and NMR). These lipoamino acid derivatives were
screened for in vitro cytotoxicity evaluation. Cytotoxicity evaluation against
four cancer cell lines showed that N-sapienoyl isoleucine was active against
three cell lines whereas other derivatives either showed activity against only
one or two cell lines with very moderate activity and two derivatives were
observed to be inactive against the tested cell lines.
PMID- 24919472
TI - Is laparoscopic colorectal cancer surgery associated with an increased risk in
obese patients? A retrospective study from China.
AB - BACKGROUND: The impact of obesity on surgical outcomes after laparoscopic
colorectal cancer resection in Chinese patients is still unclear. METHODS: We
retrospectively reviewed the prospectively collected data from 527 consecutive
colorectal cancer patients who under went laparoscopic resection from January
2008 to September 2013. Patients were categorized into three groups: nonobese
(body mass index (BMI) <25.0 kg/m2), obese I (BMI 25.0 = to 29.9 kg/m2) and obese
II (BMI >=30.0 kg/m2). Clinical characteristics, surgical outcomes and
postoperative complications were compared between nonobese, obese I and obese II
patients. RESULTS: From among the 527 patients, there were 371 patients with in
the nonobese group, 142 patients in the obese I group and 14 patients in the
obese II group. The patients were well-matched for age, sex and American Society
of Anesthesiologists class, except for BMI (P = 0.001). The median operative time
correlated highly significantly with increasing weight (median: nonobese = 135
minutes, obese I = 145 minutes, obese II = 162.5 minutes; P = 0.001). There
appeared to be a slight tendency toward grade III complications (rated according
to the Clavien-Dindo Classification of Surgical Complications) in the obese II
group, but this difference was not significant (nonobese = 5.1%, obese I = 3.5%
and obese II = 14.3%; P = 0.178). None of the grade III complications which
occurred in the obese II group were wound dehiscences that required a stitch.
Other aspects, such as estimated blood loss, harvested lymph nodes, operation
type, pathological results, conversion rate and overall postoperative
complications, were not statistically significant. CONCLUSION: With sufficient
experience, laparoscopic colorectal cancer surgery is feasible and safe in obese
Chinese patients.
PMID- 24919474
TI - Fermentative production of nervonic acid by Mortierella capitata RD000969.
AB - A high-nervonic acid (cis-15-tetracosenoic acid, C24:1, n-9)-producing
filamentous fungus of the Mortierella species was discovered among soil
filamentous fungi. The filamentous fungal strain -RD000969- was isolated from
soil collected in Kanagawa Prefecture (Japan) and was found to accumulate
nervonic acid at a rate of 6.94% of the total cellular fatty acids. The base
sequences of 28S rDNA D1/D2 and ITS 5.8S rDNA showed 100% homology with
Mortierella capitata CBS 293.96. In addition to nervonic acid, strain RD000969
produced a large amount of long-chain monounsaturated fatty acids (C20:1, 12.22%;
C22:1, 4.07%; C26:1, 5.91%) and a small amount of ultra-long-chain fatty acids
(C28:1, 0.44%; C30:1, 0.06%; C32:1, trace). In the fungal cells, 98.87% of
nervonic acid was localized at the sn-1,3 position of triacylglycerol. Nervonic
acid production was maximum (186.3 mg.L(-1)) when the fungus was cultured in
potato dextrose (PD) medium containing yeast extract, CaCl2, and MgSO4.7H2O.
PMID- 24919475
TI - Studies of fatty acid composition, physicochemical and thermal properties, and
crystallization behavior of mango kernel fats from various Thai varieties.
AB - Mango kernel fat (MKF) has received attention in recent years due to the
resemblance between its characteristics and those of cocoa butter (CB). In this
work, fatty acid (FA) composition, physicochemical and thermal properties and
crystallization behavior of MKFs obtained from four varieties of Thai mangoes:
Keaw-Morakot (KM), Keaw-Sawoey (KS), Nam-Dokmai (ND) and Aok-Rong (AR), were
characterized. The fat content of the mango kernels was 6.40, 5.78, 5.73 and
7.74% (dry basis) for KM, KS, ND and AR, respectively. The analysis of FA
composition revealed that all four cultivars had oleic and stearic acids as the
main FA components with ND and AR exhibiting highest and lowest stearic acid
content, respectively. ND had the highest slip melting point and solid fat
content (SFC) followed by KS, KM and AR. All fat samples exhibited high SFC at
20C and below. They melted slowly as the temperature increased and became
complete liquids as the temperature approached 35 degrees C. During static
isothermal crystallization at 20 degrees C, ND displayed the highest Avrami rate
constant k followed by KS, KM and AR, indicating that the crystallization was
fastest for ND and slowest for AR. The Avrami exponent n of all samples ranged
from 0.89 to 1.73. The x-ray diffraction analysis showed that all MKFs
crystallized into a mixture of pseudo-beta', beta', sub-beta and beta structures
with beta' being the predominant polymorph. Finally, the crystals of the kernel
fats from all mango varieties exhibited spherulitic morphology.
PMID- 24919476
TI - Characteristic odorants from bailingu oyster mushroom (Pleurotus eryngii var.
tuoliensis) and summer oyster mushroom (Pleurotus cystidiosus).
AB - In this study, the characteristic odorants of the volatile oils from Pleurotus
species (P. eryngii var. tuoliensis and P. cystidiosus) were extracted by
hydrodistillation and analyzed by gas chromatography-mass spectrometry (GC-MS),
gas chromatography-olfactometry (GC-O), and aroma extract dilution analysis
(AEDA). A total of 52 and 54 components (P. eryngii var. tuoliensis and P.
cystidiosus, respectively) were identified, representing about 98.8% and 85.1% of
the volatile oils, respectively. The main components of the P. eryngii var.
tuoliensis oil were palmitic acid (82, 38.0%), oleic acid (86, 25.0%) and
linoleic acid (85, 9.7%). The main components of the P. cystidiosus oil, palmitic
acid (82, 25.8%), indole (54, 9.1%) and myristic acid (77, 5.3%). Regarding the
aroma components, 16 and 13 components were identified in the P. eryngii var.
tuoliensis and P. cystidiosus oils respectively, by the GC-O analyses. The
results of the sniffing test, odor activity value (OAV) and flavor dilution (FD)
factor indicate that methional, 1-octen-3-ol and nonanal are the main aroma
active components of P. eryngii var. tuoliensis oil. On the other hands, dimethyl
trisulfide and 1-octen-3-ol were estimated as the main aroma-active components of
the P. cystidiosus oil.
PMID- 24919477
TI - Analyses of the essential oil from Bunium persicum fruit and its antioxidant
constituents.
AB - This study was aimed to analyze and identify the antioxidant constituents of the
essential oil of Bunium persicum (Apiaceae) fruit. The essential oil was obtained
by hydrodistillation and analyses by GC-FID and GC-MS. The essential oil was
tested for antioxidant capacity in DPPH radical scavenging and linoleic acid/beta
carotene assays. The TLC-bioautography method based on DPPH radical assay and GC
analyses were carried out to characterize the major antioxidant compounds in the
essential oil. GC analyses showed the presence of sixteen compounds with p-cymene
(31.1%), cuminaldehyde (22.2%), and gamma-terpinene (11.4%) as the main
components in the essential oil. The oil exhibited good radical scavenging [IC50
(DPPH.) = 4.47 (3.96 - 5.05) mg/mL] and antilipid peroxidation [IC50 (beta
carotene bleaching) = 0.22 (0.16 - 0.31) mg/mL] activities. The TLC tests
resulted in identification of cuminaldehyde, p-cymene-7-ol, and cuminyl acetate
as the main constituents of the active oil fraction.
PMID- 24919478
TI - Flaxseed hull: Chemical composition and antioxidant activity during development.
AB - Changes in the chemical composition and antioxidant activity of flaxseed hull
during maturation were investigated. P129 hull variety was studied at four
maturation stages (St1, St2, St3, and St4). Significant variation in proximate
composition and flaxseed hull oil characteristics were observed. A significant
increase in the carbohydrates content of the hull was observed during
development. The main methyl esters were linolenic acid (48.95 - 51.52 %), oleic
acid (20.27-23.41%) and linoleic acid (15.62-17.70%). The highest polyunsaturated
fatty acids (PUFA) were found to be 67.14 % at the first stage of maturity (St1).
Flaxseed hull oil was of good quality, containing an abundance of omega-3
essential fatty acids. The iodine value increased, while the saponification value
of oil decreased during seed development. The decrease in ascorbic acid content
was steady. The maximum level of total phenolic acid content (128.3 mg/100 g oil)
was reached at 7 DAF. The antioxidant activity of oilseed was assessed by means
of 2, 2-diphenyl-1-picrylhydrazyl (DPPH) radical-scavenging assay. Radical
scavenging activity for green hull was 52.74% and mature hull was 69.32%.
PMID- 24919479
TI - Triggered J-aggregation in mixed Langmuir-Blodgett films of amphiphilic
spiropyran having a methoxy group at the 5' position and an azobenzene
derivative.
AB - Here, we describe the formation of J-aggregates triggered by isomerization of an
azobenzene derivative, N-[p-[(p-dodecylphenylazo)phenyloxy]dodecylpyridinium
bromide (AzP), in mixed Langmuir-Blodgett (LB) films that contain an amphiphilic
spiropyran with a methoxy group at the 5' position, MeO-SP1822. Pure LB films of
MeO-SP1822 consist of multilayer domains embedded in a monolayer. UV irradiation
of the films causes the isomerization of MeO-SP1822 to its merocyanine form, MeO
MC1822. Pure LB films of AzP comprise finger-like domains and granular domains.
Irradiating mixed films of MeO-SP1822 and AzP with alternating UV and visible
light causes J-aggregation of MeO-MC1822, with the amount of J-aggregates
reaching a maximum at a 1:1 molar ratio. J-aggregation occurs in flat finger-like
structures originating in the AzP-rich granular domains that are located on top
of the MeO-MC1822-rich multilayer domains. J-aggregates are also present under
the AzP-rich granular domains, though these domains do not serve as nucleation
sites for the finger-like structures. We propose that granular domains serving as
nucleation sites are partially buried in the multilayer domains, whereas those
triggering the J-aggregation of MeO-MC1822 under the granular domains are
situated on top of the multilayer domains.
PMID- 24919480
TI - Chemical composition, aroma evaluation, and oxygen radical absorbance capacity of
volatile oil extracted from Brassica rapa cv. "yukina" used in Japanese
traditional food.
AB - The chemical composition of the volatile oil extracted from the aerial parts of
Brassica rapa cv. "yukina" was analyzed using GC-MS, GC-PFPD, and GC-O. A total
of 50 compounds were identified. The most prominent constituents were (E)-1,5
heptadiene (40.27%), 3-methyl-3-butenenitrile (25.97%) and 3-phenylpropanenitrile
(12.41%). With regard to aroma compounds, 12 compounds were identified by GC-O
analysis. The main aroma-active compounds were dimethyl tetrasulfide (sulphury
cabbage, FD = 64), 3-phenylpropanenitrile (nutty, FD = 64), 3-methylindole
(pungent, FD = 64), and methional (potato, FD = 32). The antioxidant activity of
the aroma-active compounds of the oil was determined using an oxygen radical
absorbance capacity (ORAC) assay using fluorescein as the fluorescent probe. The
ORAC values were found to be 785 +/- 67 trolox equivalents (MUmol TE/g) for B.
rapa cv. "yukina" oil. The results obtained showed that the volatile oil
extracted from the aerial parts is a good dietary source of antioxidants.
PMID- 24919481
TI - Risk for nevus transformation and melanoma proliferation and invasion during
natalizumab treatment: four years of dermoscopic follow-up with
immunohistological studies and proliferation and invasion assays.
PMID- 24919482
TI - Carotid-femoral pulse wave velocity is negatively correlated with aortic
diameter.
AB - Cardiovascular events pose significant morbidity and mortality burden to
abdominal aortic aneurysm (AAA) patients. Arterial stiffness as measured by pulse
wave velocity (PWV) is an independent predictor of cardiovascular risk. We
investigated the relationship between aortic diameter and PWV. Consecutive
patients with AAA were invited to participate. Patients completed a health
questionnaire, received aortic ultrasound and carotid-femoral PWV (cfPWV)
recordings with a Vicorder. Thirty patients were used for reproducibility
assessment. A linear regression model was used to identify significant predictors
of cfPWV. Observer variation was assessed using Bland and Altman analysis and the
intraclass correlation coefficient. Three hundred and nine patients were included
148 with AAA and 161 controls. The mean difference for repeated cfPWV between
observers was 0.11 ms(-1). cfPWV was positively correlated with age (r=0.24,
P<0.001) and systolic blood pressure (r=0.29, P<0.001) and negatively correlated
with aortic diameter (r=-0.15, P=0.008). There was no difference in cfPWV between
AAA and control groups (9.75+/-2.3 ms(-1) vs. 9.55+/-2.3 ms(-1), P=0.43). Aortic
diameter (P=0.003) and systolic blood pressure (P<0.001) were significant
predictors of cfPWV independent of age, aspirin usage and a history of myocardial
infarction. Patients with large AAA (>5 cm) had decreased cfPWV compared with
patients with small AAA (P=0.02) or normal diameter aorta (P=0.02). Vicorder
measurements of cfPWV are repeatable. cfPWV is negatively associated with infra
renal aortic diameter and reduced in large AAA. cfPWV is likely invalid for
accurate arterial stiffness assessment in patients with AAA owing to the apparent
confounding effect of aortic size.
PMID- 24919483
TI - Spreading of triboelectrically charged granular matter.
AB - We report on the spreading of triboelectrically charged glass particles on an
oppositely charged surface of a plastic cylindrical container in the presence of
a constant mechanical agitation. The particles spread via sticking, as a
monolayer on the cylinder's surface. Continued agitation initiates a sequence of
instabilities of this monolayer, which first forms periodic wavy-stripe-shaped
transverse density modulation in the monolayer and then ejects narrow and long
particle-jets from the tips of these stripes. These jets finally coalesce
laterally to form a homogeneous spreading front that is layered along the
spreading direction. These remarkable growth patterns are related to a time
evolving frictional drag between the moving charged glass particles and the
countercharges on the plastic container. The results provide insight into the
multiscale time-dependent tribolelectric processes and motivates further
investigation into the microscopic causes of these macroscopic dynamical
instabilities and spatial structures.
PMID- 24919484
TI - Optimizing data collection for public health decisions: a data mining approach.
AB - BACKGROUND: Collecting data can be cumbersome and expensive. Lack of relevant,
accurate and timely data for research to inform policy may negatively impact
public health. The aim of this study was to test if the careful removal of items
from two community nutrition surveys guided by a data mining technique called
feature selection, can (a) identify a reduced dataset, while (b) not damaging the
signal inside that data. METHODS: The Nutrition Environment Measures Surveys for
stores (NEMS-S) and restaurants (NEMS-R) were completed on 885 retail food
outlets in two counties in West Virginia between May and November of 2011. A
reduced dataset was identified for each outlet type using feature selection.
Coefficients from linear regression modeling were used to weight items in the
reduced datasets. Weighted item values were summed with the error term to compute
reduced item survey scores. Scores produced by the full survey were compared to
the reduced item scores using a Wilcoxon rank-sum test. RESULTS: Feature
selection identified 9 store and 16 restaurant survey items as significant
predictors of the score produced from the full survey. The linear regression
models built from the reduced feature sets had R2 values of 92% and 94% for
restaurant and grocery store data, respectively. CONCLUSIONS: While there are
many potentially important variables in any domain, the most useful set may only
be a small subset. The use of feature selection in the initial phase of data
collection to identify the most influential variables may be a useful tool to
greatly reduce the amount of data needed thereby reducing cost.
PMID- 24919485
TI - Cluster randomized trials utilizing primary care electronic health records:
methodological issues in design, conduct, and analysis (eCRT Study).
AB - BACKGROUND: There is growing interest in conducting clinical and cluster
randomized trials through electronic health records. This paper reports on the
methodological issues identified during the implementation of two cluster
randomized trials using the electronic health records of the Clinical Practice
Research Datalink (CPRD). METHODS: Two trials were completed in primary care: one
aimed to reduce inappropriate antibiotic prescribing for acute respiratory
infection; the other aimed to increase physician adherence with secondary
prevention interventions after first stroke. The paper draws on documentary
records and trial datasets to report on the methodological experience with
respect to research ethics and research governance approval, general practice
recruitment and allocation, sample size calculation and power, intervention
implementation, and trial analysis. RESULTS: We obtained research governance
approvals from more than 150 primary care organizations in England, Wales, and
Scotland. There were 104 CPRD general practices recruited to the antibiotic trial
and 106 to the stroke trial, with the target number of practices being recruited
within six months. Interventions were installed into practice information systems
remotely over the internet. The mean number of participants per practice was
5,588 in the antibiotic trial and 110 in the stroke trial, with the coefficient
of variation of practice sizes being 0.53 and 0.56 respectively. Outcome measures
showed substantial correlations between the 12 months before, and after
intervention, with coefficients ranging from 0.42 for diastolic blood pressure to
0.91 for proportion of consultations with antibiotics prescribed, defining
practice and participant eligibility for analysis requires careful consideration.
CONCLUSIONS: Cluster randomized trials may be performed efficiently in large
samples from UK general practices using the electronic health records of a
primary care database. The geographical dispersal of trial sites presents a
difficulty for research governance approval and intervention implementation.
Pretrial data analyses should inform trial design and analysis plans. TRIAL
REGISTRATION: Current Controlled Trials ISRCTN 47558792 and ISRCTN 35701810 (both
registered on 17 March 2010).
PMID- 24919488
TI - Schizophrenia: no health without physical health.
PMID- 24919486
TI - Fully automated high-throughput chromatin immunoprecipitation for ChIP-seq:
identifying ChIP-quality p300 monoclonal antibodies.
AB - Chromatin immunoprecipitation coupled with DNA sequencing (ChIP-seq) is the major
contemporary method for mapping in vivo protein-DNA interactions in the genome.
It identifies sites of transcription factor, cofactor and RNA polymerase
occupancy, as well as the distribution of histone marks. Consortia such as the
ENCyclopedia Of DNA Elements (ENCODE) have produced large datasets using manual
protocols. However, future measurements of hundreds of additional factors in many
cell types and physiological states call for higher throughput and consistency
afforded by automation. Such automation advances, when provided by multiuser
facilities, could also improve the quality and efficiency of individual small
scale projects. The immunoprecipitation process has become rate-limiting, and is
a source of substantial variability when performed manually. Here we report a
fully automated robotic ChIP (R-ChIP) pipeline that allows up to 96 reactions. A
second bottleneck is the dearth of renewable ChIP-validated immune reagents,
which do not yet exist for most mammalian transcription factors. We used R-ChIP
to screen new mouse monoclonal antibodies raised against p300, a histone
acetylase, well-known as a marker of active enhancers, for which ChIP-competent
monoclonal reagents have been lacking. We identified, validated for ChIP-seq, and
made publicly available a monoclonal reagent called ENCITp300-1.
PMID- 24919489
TI - Use of endobronchial ultrasound-guided transbronchial needle aspiration (EBUS
TBNA) in the diagnosis of granulomatous mediastinal lymphadenopathy.
AB - INTRODUCTION: This study assessed the clinical utility of endobronchial
ultrasound-guided transbronchial needle aspiration (EBUS-TBNA) for the diagnosis
of suspected granulomatous mediastinal lymphadenopathy. MATERIALS AND METHODS:
Retrospective chart review of all patients who underwent EBUS-TBNA for suspected
granulomatous mediastinal lymphadenopathy at Singapore General Hospital between
December 2008 and December 2011 inclusive. RESULTS: Over a period of 3 years, a
total of 371 patients underwent EBUS-TBNA of whom 33 (9%) had the procedure
performed for evaluation of suspected granulomatous mediastinal lymphadenopathy -
18 for suspected tuberculosis (TB) and non-tuberculous mycobacterial (NTM)
lymphadenitis, and 15 for suspected sarcoidosis. EBUS-TBNA was diagnostic in 9 of
the 13 patients with a final diagnosis of TB/NTM. EBUS-TBNA cultures were
positive in 6 of them (46%), 1 showed acid-fast bacilli (AFB) although cultures
were negative, and 2 had necrotising granulomatous inflammation from EBUS-TBNA
biopsies and sputum cultures grew TB. EBUS-TBNA was diagnostic in 9 of the 14
patients with a final diagnosis of sarcoidosis through histology showing non
caseating granulomatous inflammation. The sensitivities of EBUS-TBNA for
diagnosis of TB/NTM, sarcoidosis and overall granulomatous mediastinal
lymphadenopathy were 69%, 64%, 64%; the negative predictive values were 56%, 17%,
33%; and accuracies were 78%, 67%, 70%, respectively. CONCLUSION: EBUS-TBNA can
be useful in the diagnosis of suspected granulomatous mediastinal lymphadenopathy
with sensitivities and accuracies of >60%.
PMID- 24919490
TI - Systemic Candidiasis in Extremely Low Birthweight (ELBW) Neonates Despite the
Routine Use of Topical Miconazole Prophylaxis: Trends, Risk Factors and Outcomes
over an 11-Year Period.
AB - INTRODUCTION: This study aims to determine the incidence, trends of systemic
candidiasis and meningitis in extremely low birthweight (ELBW) neonates (<1000
gms) despite the routine use of topical miconazole prophylaxis and to compare the
risk factors, adverse outcomes and comorbidities with controls. MATERIALS AND
METHODS: Retrospective cohort study of ELBW neonates with systemic candidiasis
and meningitis over an 11-year period (1997 to 2007). Matched case control
analyses were performed to determine the risk factors and comorbidities which
were severe intraventricular haemorrhage (IVH), severe retinopathy of prematurity
(ROP), patent ductus arteriosus (PDA) requiring treatment, necrotising
enterocolitis (NEC), chronic lung disease (CLD) and cholestatic jaundice.
Mortality and end organ involvement secondary to systemic candidiasis were
identified as adverse outcomes. RESULTS: Of the 757 ELBW neonates, 51 (6.7%) had
evidence of systemic candidiasis with a significant 3-fold increase in trend
noted in 2007 as compared against 1997 (12.1% vs 3.8%) (RR 1.2, 95% CI, 1.06 to
1.36, P <0.001). This corresponds to a significant increasing trend of preceding
or co-existent bacterial blood stream infections (BSI) in neonates with systemic
candidiasis (0% in 1997 vs 7.1% in 2007, RR 1.40, 95% CI, 1.04 to 1.25, P =
0.005). On logistic regression analysis, decreasing gestational age was an
independent risk factor for systemic candidiasis (OR 2.0, 95% CI, 1.52 to 2.63, P
<0.001). Candida meningitis was detected in 4/38 (10.5%) and end organ
involvement in 17 (33%). The organisms isolated were Candida parapsilosis 31
(61%), Candida albicans 17 (33%) and Candida glabrata 3 (5.8%). Significantly
higher mortality was seen in cases when compared to controls 10/51 (19.6%) vs
76/706 (10.7%) (OR 2.02, 95% CI, 1.02 to 4.40, P <0.001). CONCLUSION: Increasing
trend in the incidence of systemic candidiasis despite routine use of topical
miconazole prophylaxis is of concern and future studies comparing the use of
systemic fl uconazole versus oral nystatin may need to be considered.
PMID- 24919491
TI - The Looi suture technique for anchoring the lateral tarsal strip to the lateral
orbital wall.
AB - INTRODUCTION: The lateral tarsal strip procedure (LTS) was first reported by
Anderson and Gordy in 1979 for the management of paralytic or senile eyelid
laxity. Since its description, the LTS procedure has been subject to several
modifications by various authors. In this study, we described the Looi suture
technique, a small modification in the technique of suturing the LTS to the
lateral orbital wall periosteum. Using this technique, the surgeon achieves a
larger area of contact between the anterior surface of the tarsal strip and the
lateral orbital wall periosteum, promoting a stronger adhesion. With a double
armed suture, the technique also allows for adjustment of the lower lid tension
to avoid over- or under-correction of horizontal lid laxity. This study aimed to
evaluate the technique. MATERIALS AND METHODS: This was a retrospective non
comparative case series of 39 eyelids of 31 patients who underwent LTS with Looi
suturing technique for the correction of involutional lower lid laxity which had
resulted in either entropion or ectropion. In this procedure, a novel technique
utilising a double armed 5/0 Ethibond suture is used to secure the LTS to the
lateral orbital rim, with the aim of increasing appositional contact between the
LTS and periosteum. RESULTS: In 36 eyelids with entropion, the procedure was
combined with lower lid retractor repair, and in 3 eyelids with ectropion, with
medial tarsoconjunctivoplasty. Surgery was successful in 37 of 39 eyelids
(94.87%) after one procedure. The remaining 2 eyelids required repeat procedures
to achieve anatomical success. Both cases had been performed by trainee surgeons
under supervision. Postoperative follow-up period ranged from 1 day (in a
visiting overseas patient) to 2 years. CONCLUSION: This study described the Looi
suturing technique in performing the LTS procedure and we found it a simple and
effective modification when dealing with lower lid laxity.
PMID- 24919492
TI - The golden hours in paediatric septic shock--current updates and recommendations.
AB - INTRODUCTION: Paediatric sepsis is a global health problem. It is the leading
cause of mortality in infants and children worldwide. Appropriate and timely
initial management in the first hours, often termed as the "golden hours", has
great impact on survival. The aim of this paper is to summarise the current
literature and updates on the initial management of paediatric sepsis. MATERIALS
AND METHODS: A comprehensive literature search was performed via PubMed using the
search terms: 'sepsis', 'septic shock', 'paediatric' and 'early goal-directed
therapy'. Original and review articles were identified and selected based on
relevance to this review. RESULTS: Early recognition, prompt fluid resuscitation
and timely administration of antibiotics remain key in the resuscitation of the
septic child. Use of steroids and tight glycaemic control in this setting remain
controversial. CONCLUSION: The use of early goal-directed therapy has had
significant impact on patient outcomes and protocolised resuscitation of children
in septic shock is recommended.
PMID- 24919493
TI - Anticoagulation needs in asians with atrial fibrillation: a mythbuster.
AB - Atrial fibrillation (AF) has long been known to increase the risk of stroke. As a
result, relevant international guidelines recommend that measures to reduce the
risk of thrombus formation should be considered in all patients presenting with
AF. Based on risk assessment scores, patients would then receive either
thrombocyte aggregation inhibitors or oral anticoagulants. Despite this advice,
compliance rates with the recommendations are poor across all countries surveyed.
Evidence from the Global Anticoagulant Registry in the Field (GARFIELD) registry
shows that major deviation from guidelines is due in large part to physicians'
decision-making. In this brief narrative review, we address some of the frequent
reasons cited by physicians why the guidelines are disregarded for Asian
patients.
PMID- 24919494
TI - Combined transcatheter therapy of aortic stenosis and thoracic aortic aneurysm.
PMID- 24919495
TI - Obstructed Hemivagina and Ipsilateral Renal Anomaly--A Reproductive Surgical
Unit's Experience.
PMID- 24919496
TI - Prostate carcinoma presenting with symptoms mimicking rectal cancer.
PMID- 24919497
TI - General Surgery (GS) Residency Selection Process: A Comparison Between Singapore
(Singhealth) and United States.
PMID- 24919498
TI - Re: General Surgery (GS) Residency Selection Process: A Comparison Between
Singapore (Singhealth) and United States.
PMID- 24919500
TI - The challenge of segmental small bowel motility quantitation using MR
enterography.
AB - OBJECTIVE: Analysis of "cine" MRI using segmental regions of interest (ROIs) has
become increasingly popular for investigating bowel motility; however, variation
in motility in healthy subjects both within and between scans remains poorly
described. METHODS: 20 healthy individuals (mean age, 28 years; 14, males)
underwent MR enterography to acquire dynamic motility scans in both breath hold
(BH) and free breathing (FB) on 2 occasions. Motility data were quantitatively
assessed by placing four ROIs per subject in different small bowel segments and
applying two measures: (1) contractions per minute (CPM) and (2) Jacobian
standard deviation (SD) motility score. Within-scan (between segment) variation
was assessed using intraclass correlation (ICC), and repeatability was assessed
using Bland-Altman limits of agreement (BA LoA). RESULTS: Within-scan segmental
variation: BH CPM and Jacobian SD metrics between the four segments demonstrated
ICC R = 0.06, p = 0.100 and R = 0.20, p = 0.027 and in FB, the CPM and Jacobian
SD metrics demonstrated ICC R = -0.26, p = 0.050 and R = 0.19, p = 0.030.
Repeatability: BH CPM for matched segments ranged between 0 and 14 contractions
with BA LoA of +/-8.36 and Jacobian SD ranged between 0.09 and 0.51 with LoA of
+/-0.33. In FB data, CPM ranged between 0 and 10 contractions with BA LoA of +/
7.25 and Jacobian SD ranged between 0.16 and 0.63 with LoA = +/-0.28. CONCLUSION:
The MRI-quantified small bowel motility in normal subjects demonstrates wide
intersegmental variation and relatively poor repeatability over time. ADVANCES IN
KNOWLEDGE: This article presents baseline values for healthy individuals of
within- and between-scan motility that are essential for understanding how this
process changes in disease.
PMID- 24919501
TI - The complete mitochondrial genome of Sitobion avenae (Hemiptera: Aphididae).
AB - In this paper, we sequenced the complete mitogenome of the English grain aphid,
Sitobion avenae. The mitogenome was mainly consisted of 13 protein-coding genes,
22 tRNA genes, 2 rRNA genes, and 2 large noncoding regions. The 15,180-bp
mitogenome with a high A + T content (84.22%) was arranged in the same gene order
as that of the ancestral insect. The repeat region between trnE and trnF included
1.29 copies of a 202 bp repeat unit. Characterization of the S. avenae mitogenome
revealed architecture of one of important worldwide agricultural pests, thus
advancing our understanding of insect mitogenomic diversities and further
utilization in phylogenetic analysis.
PMID- 24919499
TI - Liver in the analysis of body composition by dual-energy X-ray absorptiometry.
AB - OBJECTIVE: To investigate the predictive value for hepatic steatosis of a new
software for the quantification of visceral fat by dual-energy X-ray
absorptiometry (DXA) and to design new regions of interest (ROIs). METHODS: Adult
volunteers were prospectively screened for hepatic steatosis by ultrasonography
to obtain a well-balanced population according to the presence/absence of the
disease. 90 adult patients without steatosis and 90 with steatosis (mild, 53.3%;
moderate, 37.7%; and severe, 10.0%) were recruited. On the same day, all subjects
were submitted to blood testing and to anthropometric and whole-body DXA for body
composition evaluation. A new software for android visceral fat assessment was
employed, and six new "liver-suited" ROIs as well as two modified android ROIs
were designed. Their association with steatosis grade was tested by correlation
analysis. RESULTS: Fat mass (FM) of the new ROIs showed the highest correlation
coefficients with steatosis grade (rho = 0.610-0.619; p < 0.001), which was also
confirmed by multivariate analysis. On the whole population, the new ROIs
maintained the highest predictive role for liver steatosis, with areas under the
receiver operating characteristic curve up to 0.820 +/- 0.032. Inter- and intra
operator agreement for the new ROIs was excellent (k = 0.915-1.000 and k = 0.927
1.000). CONCLUSION: New ROIs could be designed, standardized and implemented in
DXA whole-body scan to provide more specific and predictive values of hepatic
lipid content. ADVANCES IN KNOWLEDGE: This is the first study to investigate the
predictive value for hepatic steatosis of visceral and regional FM assessed on
the hepatic site by DXA in comparison with ultrasonography, anthropometry and
surrogate markers derived by previously validated algorithms (fatty liver index).
PMID- 24919502
TI - Complete mitochondrial genome of Rhipicephalus simus.
AB - In this study, we report the basic characteristics of the Rhipicephalus simus
mitochondrial genome, including structural organization and base composition of
the rRNAs, tRNAs and protein-coding genes. The total length of the mitogenome was
14,929 bp, included 37 genes and with a genome structure similar to other ticks.
PMID- 24919503
TI - Complete mitochondrial DNA sequencing of Vieja synspila, a cichlid fish.
AB - The Redhead cichlid (Vieja synspila) is an important aquarium fish and a useful
phylogenetic organism of the Cichlidae family. In this study, we sequenced the
mitochondrial genome of the Redhead cichlid for the first time. The mitogenome
(16,543 bp) had the typical mitochondrial characteristics of other cichlid fish,
including 13 protein-coding, 22 tRNA, two rRNA genes and one putative control
region. This sequence will be helpful in studying the phylogenetic relationships
between cichlid fish.
PMID- 24919504
TI - Complete mitochondrial genomes of the Northern (Salvelinus malma) and Southern
(Salvelinus curilus) Dolly Varden chars (Salmoniformes, Salmonidae).
AB - The complete mitochondrial genomes were sequenced from the Northern and Southern
Dolly Varden chars, Salvelinus malma and S. curilus. The genome sequences are
16,654 bp in size in both species, and the gene arrangement, composition, and
size are very similar to the salmonid fish genomes published previously. The
level of sequence divergence between S. malma and S. curilus inferred from the
complete mitochondrial genomes is relatively low (1.88%) indicating recent
divergence of the species and/or historical hybridization.
PMID- 24919505
TI - Characterization of the mitochondrial genome of the cabbage webworm, Hellula
undalis (Lepidoptera: Pyralidae).
AB - The sequenced mitochondrial genome of the cabbage webworm Hellula undalis
includes 13 protein-coding genes (PCGs) (nad1-6, cox1-3, atp6, atp8, nad4L and
cob), two ribosomal RNAs (12S and 16S) and 19 transfer RNAs, which is 14,678 bp
in length. Its gene order and orientation are identical to the common types found
in most of the other completely sequenced lepidopteran mitogenomes. Thirteen PCGs
start with a typical ATN codon, while cox1 uses CGA as its start codon. Some PCGs
use the standard TAA as their termination codon, while others use the incomplete
termination codon T (cox1, cox2 and nad4).
PMID- 24919506
TI - The complete mitochondrial genome sequence of Triplophysa anterodorsalis
(Teleostei, Balitoridae, Nemacheilinae).
AB - Triplophysa anterodorsalis is an endemic fish in the upper stream of the Yangtze
River, Jinsha River and its tributaries. However, wild populations of T.
anterodorsalis declined sharply due to cascade hydropower stations constructed
successively in the Jinsha River during the past decades. In the present study,
the complete mitochondrial DNA genome sequence of T. anterodorsalis was first
determined by DNA sequencing based on the PCR fragments. The complete
mitochondrial genome sequence of T. anterodorsalis is a circular molecule of
16,567 bp in size. It consists of 13 protein-coding genes, 22 tRNA genes, 2 rRNA
genes, and a control region (D-loop). The gene nucleotide composition of T.
anterodorsalis is 27.37% A, 25.68% C, 18.37% G, and 28.57% T, with a relatively a
relatively high A + T content (55.94%). The results could provide useful data for
studies on genetic structure and diversity and rational resource conservation in
T. anterodorsalis.
PMID- 24919508
TI - Development and in-vivo evaluation of ondansetron gels for transdermal delivery.
AB - Nausea and vomiting are some of the major side effects caused by certain drug
therapies, e.g. chemotherapy, radiotherapy and general anesthesia. Because of the
nature of the symptoms, oral delivery is inappropriate, while intravenous
administration may be unpractical. The aim of the present study was to develop a
transdermal gel (2% Klucel(r)) for ondansetron, a first line 5-HT3-receptor
antagonist antiemetic. The effects of the penetration enhancer camphor and
isopropyl-myristate (IPM) were first investigated in-vitro using modified Franz
diffusion-cells and then tested in-vivo in a rabbit model by measuring skin and
plasma concentrations. Since a disadvantage of transdermal delivery is a
prolonged lag-time, the effect of skin treatment with a micro-needle roller was
tested. The in-vitro permeation studies through excised porcine ear skin showed
that the presence of 2.5% camphor or IPM increased steady state flux by 1.2- and
2.5-fold, respectively, compared to the control gel. Ondansetron was not
detectable in either skin or plasma following in-vivo application of the base
gel, whereas the camphor gel and IPM gel delivered 20 and 81 ug/cm(2) of
ondansetron, respectively. Microporation led to an increase in plasma Cmax and
AUC by 10.47 +/- 1.68-fold and 9.31 +/- 4.91-fold, respectively, for the camphor
gel, and by 2.31 +/- 0.53-fold and 1.59 +/- 0.38-fold, respectively for the IPM
gel. In conclusion, the 2.5% IPM gel demonstrated optimal in-vivo transdermal
flux. Skin pretreatment with a micro-needle roller slightly improved the delivery
of the IPM gel, whereas dramatically increased the transdermal delivery of the
camphor gel.
PMID- 24919507
TI - Vitamin D analogs combined with 5-fluorouracil in human HT-29 colon cancer
treatment.
AB - In the present study, we evaluated the antitumor effect of two synthetic analogs
of vitamin D, namely PRI-2191 [(24R)-1,24-dihydroxyvitamin D3] and PRI-2205 (5,6
trans calcipotriol), in combined human colon HT-29 cancer treatment with 5
fluorouracil (5-FU). Mice bearing HT-29 tumors transplanted subcutaneously or
orthotopically were injected with vitamin D analogs and 5-FU in various
schedules. A statistically significant inhibition of subcutaneous or orthotopic
tumor growth was observed as a result of combined therapy. In HT-29 tumors and in
cells from in vitro culture, we observed increased vitamin D receptor (VDR)
expression after treatment with either PRI-2205 or 5-FU alone, or in combination.
Moreover, PRI-2205 decreased the percentage of cells from intestinal tumors in
G2/M and S stages and increased sub-G1. Increased VDR expression was also
observed after combined treatment of mice with 5-FU and PRI-2191. Moreover, our
docking studies showed that PRI-2205 has stronger affinity for VDR, DBP and
CAR/RXR ligand binding domains than PRI-2191. PRI-2191 analog, used with 5-FU,
increased the percentage of subcutaneous tumor cells in G0/G1 and decreased the
percentage in G2/M, S and sub-G1 populations as compared to 5-FU alone. In in
vitro studies, we observed increased expression of p21 and p-ERK1/2 diminution
via use of both analogs as compared to use of 5-FU alone. Simultaneously, PRI
2191 antagonizes some pro-apoptotic activities of 5-FU in vitro. However, in
spite of these disadvantageous effects in terms of apoptosis, the therapeutic
effect expressed as tumor growth retardation by PRI-2191 is significant. Our
results suggest that the mechanism of potentiation of 5-FU antitumor action by
both analogs is realized via increased p21 expression and decreased p-ERK1/2
level which may lead to diminution of thymidylate synthase expression. Higher
binding affinity for VDR, DBP, but also for CAR?RXR ligand binding domain of PRI
2205 may, in part, explain its very low toxicity with sustained anticancer
activity.
PMID- 24919509
TI - A genome-wide association study of serum levels of prostate-specific antigen in
the Japanese population.
AB - BACKGROUND: Prostate-specific antigen (PSA) is a useful marker for prostate
cancer (PCa) and is widely used for screening of PCa. Previous studies have shown
that genetic components influence the levels of PSA, and some of these genetic
components would lead to better diagnostic sensitivity and specificity to PCa.
However, genetic studies for PSA from Asian countries are limited. Our aim was to
identify genetic components influencing PSA levels in the Japanese population
using genome-wide association study (GWAS) and to analyse whether genetic
components would lead to better screening abilities of PCa. METHODS: We performed
a GWAS comprising 1086 male subjects using 303 283 single nucleotide proteins,
followed by a replication study of 1302 subjects. PSA levels were quantified by
chemiluminescence immunoassay method. Quantitative linear regression analysis was
performed to assess genetic components of PSA levels. A total of 413 subjects
with prostate biopsies were analysed to examine whether genetic determinants
would improve diagnostic ability. RESULTS: Rs16856139 in SLC45A3, the same region
as the previous Chinese study, showed an overall significant association with PSA
levels (p=2.4*10(-11)) along with rs1058205 in KLK3. In silico analysis revealed
significant association between rs16856139 and expression of SLC45A3. Genetic
scores of PSA showed a dose-dependent decrease of area under curve (AUC) of PCa
and successfully subgrouped the individuals with significantly different AUC
(p<=0.0097). CONCLUSIONS: Rs16856139, associated with the expression of SLC45A3,
is significantly associated with the levels of PSA in the Japanese population.
Classification of subjects based on PSA genetic determinants would improve
screening ability of PSA to detect PCa.
PMID- 24919510
TI - Breastfeeding Knowledge, Confidence, Beliefs, and Attitudes of Canadian
Physicians.
AB - BACKGROUND: Physicians' attitudes and recommendations directly affect
breastfeeding duration. Yet, studies in many nations have shown that physicians
lack the skills to offer proper guidance to breastfeeding mothers. OBJECTIVE:
This study aims to assess breastfeeding knowledge, confidence, beliefs, and
attitudes of Canadian physicians. METHODS: A breastfeeding questionnaire was
developed and piloted prior to study enrollment. These questionnaires were sent
to 1429 pediatricians (PED), 1329 family physicians (FP), and final-year
pediatric and final-year family medicine residents (PR and FMR). RESULTS: The
analysis included 397 PED, 322 FP, 17 PR, and 44 FMR who completed the
questionnaire. Mean overall correct knowledge score was 67.8% for PED, 64.3% for
FP, 72.7% for PR, and 66.8% for FMR. Two hundred eighty-five PED (74.2%), 228 FP
(73.1%), 7 PR (41.2%), and 21 FMR (53.8%) felt confident with their breastfeeding
counseling skills. Less than half (49.6% of PED and 45.4% of FP) believed that
evaluating breastfeeding was a primary care physician's responsibility, and few
PED or FP (5.1% and 11.3%) routinely observed breastfeeding in mother-infant
pairs. CONCLUSION: Several areas of potential deficits were identified in
Canadian physicians' breastfeeding knowledge. Physicians would benefit from
greater education and support, to optimize care of infants and their mothers.
PMID- 24919511
TI - The moral ties that bind . . . Even to out-groups: the interactive effect of
moral identity and the binding moral foundations.
AB - Throughout history, principles such as obedience, loyalty, and purity have been
instrumental in binding people together and helping them thrive as groups,
tribes, and nations. However, these same principles have also led to in-group
favoritism, war, and even genocide. Does adhering to the binding moral
foundations that underlie such principles unavoidably lead to the derogation of
out-group members? We demonstrated that for people with a strong moral identity,
the answer is "no," because they are more likely than those with a weak moral
identity to extend moral concern to people belonging to a perceived out-group.
Across three studies, strongly endorsing the binding moral foundations indeed
predicted support for the torture of out-group members (Studies 1a and 1b) and
withholding of necessary help from out-group members (Study 2), but this
relationship was attenuated among participants who also had a strong moral
identity.
PMID- 24919515
TI - Darwin as a dermatologist.
PMID- 24919516
TI - Dermatologic food for thought: a word search challenge.
PMID- 24919518
TI - Violaceous necrotic plaques on the leg of an immunosuppressed patient.
PMID- 24919519
TI - JAMA Dermatology Patient Page. Pemphigus.
PMID- 24919520
TI - Climate change beliefs and perceptions of weather-related changes in the United
Kingdom.
AB - Public perception research in different countries has suggested that real and
perceived periods of high temperature strengthen people's climate change beliefs.
Such findings raise questions about the climate change beliefs of people in
regions with moderate climates. Relatively little is known about whether public
concerns about climate change may also be associated with perceived changes in
other weather-related events, such as precipitation or flooding. We examine the
relationship between perceived changes in weather-related events and climate
change beliefs among U.K. residents at a time of below-average winter
temperatures and recent flooding. National survey data (n = 1,848) revealed that
heat waves and hot summers were perceived to have become less common during
respondents' lifetimes, while flooding, periods of heavy rainfall, coastal
erosions, and mild winters were perceived to have increased in frequency and cold
winters were perceived to be unchanged. Although perceived changes in hot-weather
related events were positively associated with climate change beliefs, perceived
changes in wet-weather-related events were found to be an even stronger
predictor. Self-reported experience of "flooding in own area" and "heat-wave
discomfort" also significantly contributed to climate change beliefs. These
findings highlight the importance of salient weather-related events and
experiences in the formation of beliefs about climate change. We link our
findings to research in judgment and decision making, and propose that those
wishing to engage with the public on the issue of climate change should not limit
their focus to heat.
PMID- 24919521
TI - The clinical characteristics and new classification of sticky eyelid syndrome in
East Asian patients.
AB - PURPOSE: The aim of this study was to demonstrate the characteristics of sticky
eyelid syndrome (SES) and to suggest a modified definition and new classification
of the disease in relation to the severity of the syndrome in East Asian
patients. METHODS: Forty-four eyes of 31 patients with sticky eyelid syndrome
were included in this study. The medical records of patients who were diagnosed
with sticky eyelid syndrome were retrospectively reviewed. Sticky eyelid syndrome
was defined as an abnormal adhesion between the upper and lower eyelids during
blinking. We divided the subjects into four grades according to the severity of
the disease. RESULTS: Among 31 patients, there were 10 men and 21 women. The mean
age of patients was 62.5 years. A total of 13 patients had SES bilaterally. All
patients had meibomian gland dysfunction (MGD). Thirty-three eyes had
dermatochalasis, and 30 eyes had involutional ptosis. Horizontal lower lid laxity
was observed in 23 eyes, and reverse ptosis found in 15 eyes. Patients were
classified into four groups as follows: G1: 11 (25%), G2: 24 (54.5%), G3: 6
(13.6%) and G4: 3 eyes (6.8%). Patients in Grade 1 tended to improve only with
medical treatment for MGD. However, surgical management was necessary for
patients in Grades 3 and 4. CONCLUSIONS: Meibomian gland dysfunction is a
fundamental risk factor for developing sticky eyelid syndrome. Further, combined
upper lid ptosis or lower lid laxity may be aggravating factors. According to the
grading, medical or surgical management can be chosen.
PMID- 24919522
TI - The effect of ethanol on cell properties and steroid 1-en-dehydrogenation
biotransformation of Arthrobacter simplex.
AB - Resting cells of Arthrobacter simplex with 1-en-dehydrogenation ability were
prepared and treated by ethanol at subinhibitory concentrations (4%-15%, v/v),
then added into the ethanol-free system containing low concentration of cortisone
acetate (1 g L(-1)) to produce prednisone acetate by C1,2 dehydrogenation
reaction. Results showed that, within the range of ethanol concentration, the
initial conversion rate was varied significantly with the concentration of
ethanol and the maximum was obtained at 8% (v/v) ethanol, which was increased by
32.6% compared with the control. A series of cell features closely relevant to
biotransformation efficiency were further analyzed. It indicated that ethanol
acting on cell wall and membrane could be used as a mediator to enhance cell
permeability, which facilitated the penetration of substrate across cell barrier
within a short time, resulting in the elevated initial conversation rate. The
observation of fatty acids composition suggested that the increased unsaturated
fatty acids, especially cis-isomers, in the presence of ethanol led to the
disorganization of the native arrangement of lipids and thus increased cell
permeability. Our findings demonstrated that another facilitation of ethanol was
to promote substrate transport into cells by permeabilization, which would
provide the guidance in the practical application of organic solvents in steroid
biotransformation.
PMID- 24919523
TI - Potentially inappropriate prescribing among older people in the United Kingdom.
AB - BACKGROUND: Potentially inappropriate prescribing (PIP) in older people is
associated with increases in morbidity, hospitalisation and mortality. The
objective of this study was to estimate the prevalence of and factors associated
with PIP, among those aged >=70 years, in the United Kingdom, using a
comprehensive set of prescribing indicators and comparing these to estimates
obtained from a truncated set of the same indicators. METHODS: A retrospective
cross-sectional study was carried out in the UK Clinical Practice Research
Datalink (CPRD), in 2007. Participants included those aged >= 70 years, in CPRD.
Fifty-two PIP indicators from the Screening Tool of Older Persons Potentially
Inappropriate Prescriptions (STOPP) criteria were applied to data on prescribed
drugs and clinical diagnoses. Overall prevalence of PIP and prevalence according
to individual STOPP criteria were estimated. The relationship between PIP and
polypharmacy (>=4 medications), comorbidity, age, and gender was examined. A
truncated, subset of 28 STOPP criteria that were used in two previous studies,
were further applied to the data to facilitate comparison. RESULTS: Using 52
indicators, the overall prevalence of PIP in the study population (n = 1,019,491)
was 29%. The most common examples of PIP were therapeutic duplication (11.9%),
followed by use of aspirin with no indication (11.3%) and inappropriate use of
proton pump inhibitors (PPIs) (3.7%). PIP was strongly associated with
polypharmacy (Odds Ratio 18.2, 95% Confidence Intervals, 18.0-18.4, P < 0.05).
PIP was more common in those aged 70-74 years vs. 85 years or more and in males.
Application of the smaller subset of the STOPP criteria resulted in a lower PIP
prevalence at 14.9% (95% CIs 14.8-14.9%) (n = 151,598). The most common PIP
issues identified with this subset were use of PPIs at maximum dose for > 8
weeks, NSAIDs for > 3 months, and use of long-term neuroleptics. CONCLUSIONS: PIP
was prevalent in the UK and increased with polypharmacy. Application of the
comprehensive set of STOPP criteria allowed more accurate estimation of PIP
compared to the subset of criteria used in previous studies. These findings may
provide a focus for targeted interventions to reduce PIP.
PMID- 24919524
TI - Endogenous interleukin-6 amplifies interleukin-17 production and corticoid
resistance in peripheral T cells from patients with multiple sclerosis.
AB - Interleukin-6 (IL-6) has been implicated in the induction of pathogenic IL-17
producing T cells in autoimmune diseases, and studies evaluating the role of this
cytokine in T-cell function in patients with multiple sclerosis (MS) are lacking.
Our objective was to evaluate the role of IL-6 receptor (IL-6R) signalling on in
vitro functional status of T cells from patients with relapsing-remitting MS
during clinical remission. Our results demonstrated that, even during the
remission phase, activated T cells from patients produce higher levels of IL-17,
and this cytokine was positively correlated with disease severity, as determined
by Expanded Disability Status Scale score. In the MS group, the blockade of IL-6R
signalling by anti-IL-6R monoclonal antibody reduced IL-17 production and
elevated IL-10 release by activated CD4(+) T cells, but it did not alter the
production of these cytokines by activated CD8(+) T cells. Blockade of IL-6R
signalling also reduced the ability of monocytes to up-regulate T helper type 17
phenotype in patients with MS. Finally, both cell proliferation and IL-17 release
by CD4(+) and, mainly, CD8(+) T cells from patients with MS were less sensitive
to hydrocortisone inhibition than control group. Interestingly, IL-6R signalling
blockade restored the ability of hydrocortisone to inhibit both T-cell
proliferation and IL-17 production. Collectively, these results suggest that IL-6
might be involved in MS pathogenesis by enhancing IL-17 production and reducing
corticoid inhibitory effects on activated T cells.
PMID- 24919525
TI - The combinational use of CRISPR/Cas9-based gene editing and targeted toxin
technology enables efficient biallelic knockout of the alpha-1,3
galactosyltransferase gene in porcine embryonic fibroblasts.
AB - BACKGROUND: The recent development of the type II clustered regularly interspaced
short palindromic repeats (CRISPR)/Cas9 system has enabled genome editing of
mammalian genomes including those of mice and human; however, its applicability
and efficiency in the pig have not been studied in depth. Here, using the
CRISPR/Cas9 system, we aimed to destroy the function of the porcine alpha-1,3
galactosyltransferase (alpha-GalT) gene (GGTA1) whose product is responsible for
the synthesis of the alpha-Gal epitope, a causative agent for hyperacute
rejection upon pig-to-human xenotransplantation. METHODS: Porcine embryonic
fibroblasts were transfected with a Cas9 expression vector and guide RNA
specifically designed to target GGTA1. At 4 days after transfection, the cells
were incubated with IB4 conjugated with saporin (IB4SAP), which eliminates alpha
Gal epitope-expressing cells. Therefore, the cells surviving after IB4SAP
treatment would be those negative for alpha-Gal epitope expression, which in turn
indicates the generation of GGTA1 biallelic knockout (KO) cells. RESULTS: Of the
1.0 * 10(6) cells transfected, 10-33 colonies survived after IB4SAP treatment,
and almost all colonies (approximately 90%) were negative for staining with red
fluorescence-labeled IB4. Sequencing of the mutated portion of GGTA1 revealed a
frameshift of the alpha-GalT protein. Porcine blastocysts derived from the
somatic cell nuclear transfer of these alpha-Gal epitope-negative cells also
lacked the alpha-Gal epitope on their surface. CONCLUSIONS: These results
demonstrated that the CRISPR/Cas9 system can efficiently induce the biallelic
conversion of GGTA1 in the resulting somatic cells and is thus a promising tool
for the creation of KO cloned piglets.
PMID- 24919526
TI - Durability of glycaemic efficacy over 2 years with dapagliflozin versus glipizide
as add-on therapies in patients whose type 2 diabetes mellitus is inadequately
controlled with metformin.
AB - AIMS: To assess the long-term glycaemic durability, safety and tolerability of
dapagliflozin versus glipizide as add-on therapies in patients with type 2
diabetes inadequately controlled by metformin alone. METHODS: This was a 52-week,
randomised, double-blind study of dapagliflozin (n = 406) versus glipizide (n =
408), uptitrated over 18 weeks according to tolerability and glycaemic response
to a maximum of 10 and 20 mg/day, respectively, as add-on therapies to metformin
(>= 1500 mg/day) with a 156-week double-blind extension period. Data over 104
weeks are reported here. RESULTS: In total, 53.1% of patients completed 104 weeks
of treatment. After the greater initial decrease (0-18 weeks) in glycated
haemoglobin (HbA1c) with glipizide, the 18-104-week HbA1c coefficient of failure
(CoF) was lower with dapagliflozin (0.13%/year) than with glipizide (0.59%/year),
resulting in significant dapagliflozin versus glipizide differences of
0.46%/year (95% CI -0.60,-0.33; p = 0.0001) for CoF and -0.18%(-2.0 mmol/mol)
[95% CI -0.33(-3.6),-0.03(-0.3); p = 0.021] for 104-week HbA1c. Dapagliflozin
produced sustained reductions in weight and systolic blood pressure, whereas
glipizide increased weight and systolic blood pressure, giving 104-week
dapagliflozin versus glipizide differences of -5.1 kg (95% CI: -5.7,-4.4) and
3.9 mmHg (95% CI: -6.1,-1.7), respectively. Over 104 weeks, the hypoglycaemia
rate was 10-fold lower with dapagliflozin than with glipizide (4.2 vs. 45.8%),
whereas patient proportions with events suggestive of genital infection and of
urinary tract infection (UTI) were greater with dapagliflozin (14.8 and 13.5%,
respectively) than with glipizide (2.9 and 9.1%, respectively). CONCLUSIONS: Over
2 years, compared with glipizide, dapagliflozin demonstrated greater glycaemic
durability, sustained reductions in weight and systolic blood pressure and a low
hypoglycaemia rate; however, genital infections and UTIs occurred more
frequently.
PMID- 24919527
TI - [Consensus statement on the evaluation and therapy of chronic cough in children].
AB - BACKGROUND: Currently no consensus guidelines on the evaluation and therapy of
chronic cough in children have been published in German. Aim of this study was
therefore, after a literature search on all relevant publications and guidelines
concerning chronic cough in children, to publish a Statement of the Austrian
Society of Pediatrics and The Austrian Society of Pneumology on the evaluation
and therapy of chronic childhood cough and to publish a practice based approach.
Aim was also to differentiate cough clearly from obstructive airway diseases and
to summarize all relevant differential diagnosis using relevant patient history
and clinical characteristics and give an overview of therapeutical approaches.
The subjective component of cough and the difficulty to assess cough in an
objective manner is discussed. METHODS: A Cochrane and Medline Search were
performed on all relevant literature until Mai 2013. RESULTS: Chronic cough in
children is defined as daily cough for more than 4 weeks. Duration of 3 to 8
weeks is sometimes called prolonged or sub-acute cough. Chronic cough may have
specific cause and may be a symptom of an underling disease, which needs to be
found and treated adequately. Unspecific cough may only be diagnosed after
exclusion of all other causes. Any therapeutical approach needs to be re-
evaluated and efficacy needs to be reviewed. Parental understanding of the
etiology of cough in general and the influence of environmental exposures is
essential for diagnosis. CONCLUSION: Chronic cough needs a detailed history and
various differential diagnoses need to be taken in consideration. Children with
persistent complaints without a proper diagnosis need to be seen in a center
specialized in Pediatric Respiratory Medicine.
PMID- 24919528
TI - Opportunistic screening for atrial fibrillation during follow-up of patients with
treated hypertension.
PMID- 24919529
TI - Regarding: "Strontium ranelate stimulates the activity of bone-specific alkaline
phosphatase: interaction with Zn2+ and Mg 2+".
PMID- 24919531
TI - Formation of osteoclasts on calcium phosphate bone cements and polystyrene
depends on monocyte isolation conditions.
AB - OBJECTIVES: Peripheral blood mononuclear cells (PBMC) are an attractive source
for the generation of osteoclasts in vitro, which is an important prerequisite
for the examination of resorption and remodeling of biomaterials. In this study,
different preparation methods are used to obtain cell populations with a rising
content of CD14(+) monocytes. We wanted to address the question whether there is
a correlation between content of CD14(+) cells in the preparation and
functionality of formed osteoclasts. MATERIALS AND METHODS: PBMC obtained by
density gradient centrifugation with and without further purification by plastic
adherence or immunomagnetic separation of CD14(+) cells were seeded on both cell
culture polystyrene and a calcium phosphate bone cement (CPC) and cultivated
under stimulation with macrophage colony-stimulating factor (M-CSF) and receptor
activator of nuclear factor-kappa B ligand (RANKL). Cell cultures were
characterized by histological and fluorescent staining of multinucleated cells
that were positive for tartrate-resistant acid phosphatase (TRAP) activity and
the presence of actin rings, respectively. Furthermore, activities of osteoclast
marker enzymes TRAP and carbonic anhydrase II (CA II) were quantified. For
osteoclasts cultured on CPC, resorption pits were visualized using scanning
electron microscopy (SEM). RESULTS: Monocytes of all preparations were
successfully differentiated into multinucleated osteoclasts showing TRAP and CA
II activity on both cell culture plastic and CPC. Preparations involving an
additional plastic adherence step exhibited only a minor increase of TRAP and CA
II activity in the second week of cultivation. Furthermore, the number of
resorption pits on CPC was reduced in these cultures compared with
immunomagnetically enriched monocytes and preparations without additional plastic
adherence steps. Optimal results with regard to yield, number of multinucleated
osteoclasts, activity of TRAP and CA II, and resorption of CPC were obtained by
simple density gradient centrifugation. CONCLUSION: All examined monocyte
preparation protocols were suitable for the generation of osteoclasts on both
polystyrene and CPC. Highly purified monocytes are not mandatory to obtain
functional osteoclasts for investigation of biomaterial resorption.
PMID- 24919530
TI - Oxacillin-susceptible methicillin-resistant Staphylococcus aureus (OS-MRSA), a
hidden resistant mechanism among clinically significant isolates in the Wessex
region/UK.
AB - PURPOSE: Methicillin-resistant Staphylococcus aureus (MRSA) is defined as S.
aureus genetically having the mecA or mecC genes or phenotypically showing
minimum inhibitory concentration (MIC) of oxacillin higher than 2 mg/L. However,
recently, cefoxitin/oxacillin-susceptible mecA-positive S. aureus (OS-MRSA) has
been reported worldwide. Little is known about the prevalence and virulence of
these strains among clinically significant isolates in the UK. The aims were to
(1) investigate the prevalence of OS-MRSA in seven major hospitals in the Wessex
region/UK from a cohort of 500 clinically significant phenotypically identified
MSSA isolates, (2) genetically characterise OS-MRSA strains by pulsed-field gel
electrophoresis (PFGE) and compare these to common UK epidemic strains; and (3)
to determine Panton-Valentine leukocidin (PVL; lukFS) gene carriage rates among
these isolates. RESULTS: OS-MRSA was found in six isolates (1.2 %) of
phenotypically identified and reported MSSA isolates by conventional methods.
PFGE showed OS-MRSA strains to be genetically diverse and distinct from the
common UK epidemic strains EMRSA-15 and EMRSA-16. None of these OS-MRSA stains
carried the genes encoding PVL; however, overall positivity rate for PVL was 4.4
%, much higher than the nationally reported rates of 2 % in the UK. CONCLUSION:
There are still many unknowns regarding phenotypic and/or genetic
characterization of the emerging OS-MRSA isolates in the UK and worldwide. Data
regarding their epidemiology and optimal therapy for infection are limited and
need further investigation not only in the UK, but also worldwide, as it is
likely to have an impact on the empirical treatment of S. aureus infections.
PMID- 24919532
TI - Effect of different cleaning regimens on the adhesion of resin to saliva
contaminated ceramics.
AB - PURPOSE: The aim of this study was to evaluate the influence of different
cleaning regimens on the microshear bond strength (MUSBS) of three different all
ceramic surfaces after saliva contamination. MATERIAL AND METHODS: Cubic ceramic
specimens (3 * 3 * 3 mm(3) ) were prepared from three types of ceramics:
zirconium dioxide (Z), leucite-reinforced glass ceramic (E), lithium disilicate
glass ceramic (EX; n = 12/subgroup). A total of 144 composite resin cylinders
(diameter: 1 mm, height: 3 mm) were prepared. Three human-saliva-contaminated
surfaces of ceramic specimens were cleaned with either water spray (WS), with
0.5% sodium hypochlorite solution (HC), or with a cleaning paste (CP). Control
surface (C) was not contaminated or cleaned. Composite cylinders were bonded to
each surface with a resin luting cement. All specimens were stored at 37 degrees
C in deionized water until fracture testing. MUSBS tests were performed in a
universal testing machine (0.5 mm/min), and the results (MPa +/- SD) were
statistically analyzed (two-way ANOVA, Bonferroni a = 0.05). Fractured surfaces
were analyzed to identify the failure types using an optical microscope at 50*
magnification. Two representative specimens from all groups were examined with
scanning electron microscopy. RESULTS: MUSBS test results were significantly
affected by the saliva cleaning regimens (p = 0.01) and the ceramic types (p =
0.03). The interaction terms between the ceramic type and saliva cleaning regimen
were also significant (p < 0.05). There were no significant differences among the
MUSBS values (MPa +/- SD) for the Z group (C = 17.5 +/- 8.8; WS = 16.0 +/- 4.9;
HC = 17.6 +/- 5.8; CP = 16.6 +/- 7.5; p > 0.05). In the EX group, C resulted in
significantly higher MUSBS values (32.6 +/- 7.4) than CP (17.4 +/- 8.9), WS (15.6
+/- 7.3), and HC (14.3 +/- 4.5) (p < 0.05); however, C (20.4 +/- 7.1) and HC
(19.2 +/- 7.5) showed higher MUSBS values than CP (13.8 +/- 4.8) and WS (10.9 +/-
5.7) in the E group. Some cohesive failures within the luting resin were observed
in the E and EX groups, whereas only adhesive failures were seen in zirconia
groups for all surface treatments. CONCLUSIONS: Different ceramic surface
cleaning regimens after saliva contamination of the zirconium dioxide revealed
MUSBS similar to the control group, whereas all surface cleaning regimens tested
significantly decreased the bond strength values in the lithium disilicate glass
ceramic. The leucite-reinforced glass-ceramic group benefited from 0.5% sodium
hypochlorite solution cleaning with increased bond strengths. CLINICAL
SIGNIFICANCE: Adhesive cementation of zirconia presents a clinically challenging
protocol, and the cementation surface contamination of the zirconia restorations
and the inadequate removal of the contaminants increase the risk of failure, as
for all ceramic types. This study demonstrated that surface cleaning regimens
should be applied according to different ceramic properties.
PMID- 24919533
TI - More than 20-year experience of Bentall operation with mechanical prostheses for
chronic aortic root aneurysm.
AB - OBJECTIVES: Long-term results of Bentall operations with mechanical prostheses
were analyzed and evaluated over 30 years. METHODS: Seventy-one patients aged
50.2 +/- 1.8 years old underwent an elective Bentall operation from 1975 to 2013
for chronic aortic root aneurysm, while 129 patients aged 51.6 +/- 1.3 underwent
isolated AVR with a mechanical valve for pure aortic regurgitation. The follow-up
was completed for a total of 2,336-patient-years in 99.5% of these patients.
RESULTS: No significant differences were observed in the hospital mortality (2.8
and 0.78%), actuarial survival rate (43.3 +/- 9.9 and 50.0 +/- 9.2%), freedom
from valve-related death (84.9 +/- 6.8 and 68.1 +/- 11%), and freedom from valve
related morbidity (43.7 +/- 19 and 40.1 +/- 15%) at 30 years between the two
groups. No significant differences were observed in the valve-related events;
however, a higher incidence of rupture of aortic aneurysm was observed in the
Bentall group (P = 0.0005). CONCLUSIONS: Both our short- and long-term results of
Bentall operation with mechanical prostheses were satisfactory at 30 years after
the surgery and were comparable with those of simple AVR. However, to prevent
rupture of the aortic aneurysm, special care should be taken after the primary
Bentall operations.
PMID- 24919534
TI - Repeated stress exposure causes strain-dependent shifts in the behavioral
economics of cocaine in rats.
AB - Cocaine-experienced Wistar and Wistar Kyoto (WKY) rats received four daily
repeated forced swim stress sessions (R-FSS), each of which preceded 4-hour
cocaine self-administration sessions. Twenty-four hours after the last swim
stress, cocaine valuation was assessed during a single-session threshold
procedure. Prior exposure to R-FSS significantly altered cocaine responding in
Wistar, but not WKY, rats. Behavioral economic analysis of responding revealed
that the Wistar rats that had received R-FSS exhibited an increase in the maximum
price that they were willing to pay for cocaine (Pmax ). Pre-treatment with the
long-lasting kappa opioid receptor (KOR) antagonist norbinaltorphimine prevented
the stress-induced increase in Pmax . Thus, R-FSS exposure had strain-dependent
effects on cocaine responding during the threshold procedure, and the stress
effects on cocaine valuation exhibited by Wistar, but not WKY, required intact
KOR signaling.
PMID- 24919535
TI - Bacteriocin production and gene sequencing analysis from vaginal Lactobacillus
strains.
AB - The human vagina is a complex and dynamic ecosystem containing an abundance of
microorganisms. In women of childbearing age, this system is dominated by
Lactobacillus spp. In the present work, seventeen newly isolated vaginal strains
were identified by 16S rDNA sequencing and were investigated for their
antimicrobial properties. Twelve of the isolated Lactobacillus strains showed
activity against one or more microorganisms. Six and five of them produced
substances that inhibited the growth of two different Klebsiella strains and
Staphylococcus aureus, respectively. Two lactobacilli strains were active against
an Escherichia coli strain, one isolate was active against an Enterococus
faecalis strain and another lactobacilli strain showed antimicrobial activity
against a Candida parapsilosis strain. The nature of the active compounds was
additionally studied, and the presence of bacteriocin-like substances was proved.
The genes related to the bacteriocin production in three of the newly isolated
strains were identified and sequenced. The presence of gassericin A operon in the
genome of the species Lactobacillus crispatus was described for the first time.
The presence of antimicrobial activity contributes to their possible use as
potential probiotic strains after further research.
PMID- 24919536
TI - A comparative study of the effect of probiotics on cariogenic biofilm model for
preventing dental caries.
AB - Dental caries is induced by oral biofilm containing Streptococcus mutans.
Probiotic bacteria were mainly studied for effect on the gastrointestinal tract
and have been known to promote human health. However, the information of
probiotics for oral health has been lack yet. In this study, we investigated
influence of various probiotics on oral bacteria or cariogenic biofilm and
evaluated candidate probiotics for dental caries among them. The antimicrobial
activity of the spent culture medium of probiotics for oral streptococci was
performed. Probiotics were added during the biofilm formation with salivary
bacteria including S. mutans. The oral biofilms were stained with a fluorescent
dye and observed using the confocal laser scanning microscope. To count bacteria
in the biofilm, the bacteria were plated on MSB and BHI agar plates after
disrupting the biofilm and cultivated. Glucosyltransferases (gtfs) expression of
S. mutans and integration of lactobacilli into the biofilm were evaluated by real
time RT-PCR. Among probiotics, Lactobacillus species strongly inhibited growth of
oral streptococci. Moreover, Lactobacillus species strongly inhibited formation
of cariogenic biofilm model. The expression of gtfs was significantly reduced by
Lactobacillus rhamnosus. The integration of L. rhamnosus into the biofilm model
did not exhibit. However, L. acidophilus and L casei integrated into the biofilm
model. These results suggest that L. rhamnosus may inhibit oral biofilm formation
by decreasing glucan production of S. mutans and antibacterial activity and did
not integrate into oral biofilm, which can be a candidate for caries prevention
strategy.
PMID- 24919537
TI - What ever happened to the "cool" kids? Long-term sequelae of early adolescent
pseudomature behavior.
AB - Pseudomature behavior-ranging from minor delinquency to precocious romantic
involvement-is widely viewed as a nearly normative feature of adolescence. When
such behavior occurs early in adolescence, however, it was hypothesized to
reflect a misguided overemphasis upon impressing peers and was considered likely
to predict long-term adjustment problems. In a multimethod, multireporter study
following a community sample of 184 adolescents from ages 13 to 23, early
adolescent pseudomature behavior was linked cross-sectionally to a heightened
desire for peer popularity and to short-term success with peers. Longitudinal
results, however, supported the study's central hypothesis: Early adolescent
pseudomature behavior predicted long-term difficulties in close relationships, as
well as significant problems with alcohol and substance use, and elevated levels
of criminal behavior.
PMID- 24919538
TI - Gender-related differences in outcomes and resource utilization in patients
undergoing radiofrequency ablation of supraventricular tachycardia: results from
Patients' Perspective on Radiofrequency Catheter Ablation of AVRT and AVNRT
Study.
AB - AIMS: The aim of this study was to describe gender-related differences in
clinical presentation, radiofrequency ablation (RFA) outcomes, and healthcare
resource utilization in a group of patients with atrioventricular nodal
reciprocating tachycardia (AVNRT) and atrioventricular reciprocating tachycardia
(AVRT). METHODS AND RESULTS: This was a single-centre, prospective, cohort study
which enroled 82 patients undergoing RFA of AVNRT or AVRT. At baseline, all
patients received a clinical assessment and completed questionnaires concerning:
socioeconomic status, disease-specific symptoms (Patient Perception of Arrhythmia
Questionnaire; PPAQ), health-related quality of life (HRQoL) (EQ-5D-3L), and
healthcare resource utilization. Two months after RFA, the clinical assessment
was repeated and subjects completed PPAQ and EQ-5D-3L. Follow-up was completed by
64 patients, 41 (64%) women. At baseline, there were no significant differences
in baseline characteristics, except AVNRT prevalence, and HRQoL by gender but
women reported higher severity of symptoms on PPAQ than men (2.8 vs. 2.4 points,
P < 0.001). At 2 months after RFA, women still reported higher severity of
symptoms (1.8 vs. 0 points; P = 0.02) on PPAQ and more heart skipping than men
(54 vs. 13%; P = 0.0014); differences in EQ-5D-3L index and EQ-VAS were
insignificant. There was no significant difference in healthcare resource
utilization during the year preceding RFA, but antiarrhythmic drugs were
significantly more often prescribed to women pre-procedure (30 vs. 8%; P =
0.022). CONCLUSION: There is a small but significant gender-related difference in
outcome of RFA in patients with AVNRT or AVRT measured with a disease-specific
instrument. No significant difference in HRQoL or access to healthcare resources
between women and men was found.
PMID- 24919539
TI - A simple infection-control protocol to reduce serious cardiac device infections.
AB - AIM: Device infection is a serious complication and is considered procedure
related if occurring within 12 months of an intervention. We analysed the
effectiveness of a simple infection-control protocol (ICP) at reducing cardiac
device infections (CDIs) in a tertiary referral centre. METHODS AND RESULTS:
Prior to the introduction of a new ICP, we retrospectively analysed all simple
and complex device implants, related procedures, and infections over a 3-year
period. A new protocol was implemented from November 2007, including antibiotic
prophylaxis determined by risk stratification, improved glycaemic control,
specific skin preparation, and closure techniques, as well as different diathermy
settings. Follow-up data for all patients were collected. Risk factors for
infection were compared between pre- and post-intervention groups to ensure that
the populations were comparable. A cost analysis of CDI and a review of the
commonly identified micro-organisms were also undertaken. One thousand seven
hundred and ninety-eight procedures were performed between November 2004 and
November 2007 and 981 procedures between November 2007 and May 2009. There were
no significant differences in the risk factors for infection between the two
groups. Following the introduction of the ICP, there was a 54% reduction in the
incidence of CDI from 1.3 to 0.6% (P < 0.03; CI 0.25, 1.36). Most patients with
CDI had negative blood cultures or grew Staphylococcus sp. The average cost was
L30 958.40 per infection incident and the cost of the new ICP was minimal.
CONCLUSIONS: A significant reduction in CDI can be achieved with the introduction
of a simple ICP with substantial cost savings.
PMID- 24919540
TI - Effects of a multimodality blood conservation schema toward improvement of
intraoperative hemoglobin levels and off-pump transfusions in coronary artery
bypass graft surgery.
AB - BACKGROUND: Cardiothoracic surgery places significant demands on blood bank
resources. Measures aimed at reducing intraoperative hemodilution were initiated
as part of a blood conservation program. STUDY DESIGN AND METHODS: We initiated a
series of measures aimed at reducing hemodilution volume: 1) reduction of
intravenous fluid (IVF) volume, 2) reduction of circuit size, and 3) use of
autologous priming techniques. All sources and volumes of IVF were obtained from
the medical record. Intraoperative hematocrit (Hct) measurements were performed
at the following intervals: first in operating room (OR), lowest on-pump, last on
pump, after protamine reversal, and immediately before discharge from OR. Red
blood cell (RBC) transfusions were recorded. Intraoperative IVF, Hct levels, and
transfusions were analyzed by cardiopulmonary bypass phase (prepump, on-pump, and
off-pump), comparing preimplementation and postimplementation periods. RESULTS:
Total intraoperative IVF volume was reduced by 973.7 mL (95% confidence interval,
671.6-1275.9 mL; p < 0.001) leading to a mean on-pump Hct improvement of more
than 2% (p < 0.004). This contributed to a reduction in off-pump RBC transfusions
by 20.6% (p = 0.014). A significant degree of heterogeneity in transfusion
practice was noted between anesthesiologists. CONCLUSIONS: Blood conservation
efforts in cardiac surgery should include efforts aimed at reducing hemodilution.
Potential improvements are blunted by variation in transfusion practice.
PMID- 24919541
TI - Localization of the androgen-synthesizing enzymes, androgen receptor, and sex
steroids in the vagina: possible implications for the treatment of postmenopausal
sexual dysfunction.
AB - INTRODUCTION: To better understand the mechanisms underlying the beneficial
effects of the intravaginal administration of dehydroepiandrosterone (DHEA)
observed in postmenopausal women on sexual dysfunction. AIMS: To identify the
distribution of the androgen-synthesizing enzymes as well as androgen receptor
(AR) and measure steroid levels in the monkey vagina. METHODS: The cynomolgus
monkey (Macaca fascicularis), the closest model to the human, has been used to
measure the expression levels of steroidogenic enzymes and androgen receptor by
quantitative reverse transcription polymerase chain reaction (n=4), confirmed by
immunohistochemistry, and immunofluorescence (n=3). DHEA and its androgenic
metabolites were quantified by LC-MS/MS (n=4). MAIN OUTCOME MEASURES: The
presence of SRD5A1, SRD5A2, HSD17B3, AR as well as nerve fibers (PGP 9.5) was
investigated, and steroid levels were measured. RESULTS: AR is widely distributed
within the vaginal epithelium and also in the lamina propria with a lower
expression in the muscularis layer and blood vessel walls. Androgen-forming
enzymes, on the other hand, are expressed in the vaginal stratified squamous
epithelium at a relatively high level where they are uniformly distributed from
the basal membrane up to the superficial keratinized cells. The enzymes are at a
lower level in blood vessel walls and zona muscularis where nerve fibers are
localized. DHEA and its androgen metabolites are present at biologically
significant concentrations in the monkey vagina. CONCLUSION: The enzymes
responsible for androgen formation as well as AR are at the highest level in the
superficial layer of the stratified epithelium and muscularis layers of the
vagina. These data provide a potential explanation for the described role of
androgens in regulating vaginal lubrication, smooth muscle activity, blood flow,
and the neuronal activity potentially involved in the correction of sexual
dysfunction.
PMID- 24919542
TI - A novel architecture based upon multi-walled carbon nanotubes and ionic liquid to
improve the electroanalytical detection of ciprofibrate.
AB - Voltammetric studies have been carried out using a glassy carbon electrode (GCE)
modified with multi-walled carbon nanotubes (MWCNTs) and the ionic liquid 1-butyl
3-methylimidazolium chloride (IL). Studies on the electrochemical properties of
GCEs modified with MWCNTs and IL within different polymeric films
(dihexadecylphosphate (DHP), Nafion, and chitosan (CTS)) were performed using a
[Fe(CN)6](4-/3-) electrochemical probe. The modified GCE with different polymeric
films was also tested for ciprofibrate (CPF) sensing in the presence and absence
of IL in the film. The presence of IL and the MWCNTs improved the electrochemical
response for CPF in all cases due to a synergic effect, and the IL-MWCNTs-DHP/GCE
showed a great voltammetric profile for CPF detection. The IL-MWCNTs-DHP/GCE and
differential pulse voltammetry (DPV) were used for the determination of CPF. An
analytical curve was obtained for CPF in the concentration range of 2.50 * 10(-7)
to 7.41 * 10(-6) mol L(-1) with a detection limit of 9.20 * 10(-8) mol L(-1). The
proposed DPV method was successfully applied for CPF determination in
pharmaceutical samples, and the results obtained agree with the results obtained
using a spectrophotometric method at a confidence level of 95%.
PMID- 24919543
TI - Effects of noise on fishes: what we can learn from humans and birds.
AB - In this paper we describe the masking of pure tones in humans and birds by
manmade noises and show that similar ideas can be applied when considering the
potential effects of noise on fishes, as well as other aquatic vertebrates.
Results from many studies on humans and birds, both in the field and in the
laboratory, show that published critical ratios can be used to predict the masked
thresholds for pure tones when maskers consist of complex manmade and natural
noises. We argue from these data that a single, simple measure, the species
critical ratio, can be used to estimate the effect of manmade environmental
noises on the perception of communication and other biologically relevant sounds.
We also reason that if this principle holds for species as diverse as humans and
birds, it probably also applies for all other vertebrates, including fishes.
PMID- 24919544
TI - Butein suppresses breast cancer growth by reducing a production of intracellular
reactive oxygen species.
AB - BACKGROUND: Butein has various functions in human diseases including cancer.
While anti-cancer effects of butein have been revealed, it is urgent to
understand a unique role of butein against cancer. In this study, we demonstrate
that butein inhibition of reactive oxygen species (ROS) production results in
suppression of breast cancer growth. METHODS: Different breast cancer cell lines
were treated with butein and then subjected to cell viability and apoptosis
assays. Butein-sensitive or -resistant breast cancer cells were injected into
mammary fat pads of immunocompromised mice and then butein was injected. Breast
cancer cells were categorized on the basis of butein sensitivity. RESULTS: Butein
reduced viabilities of different breast cancer cells, while not affecting those
of HER2-positive (HER2+) HCC-1419, SKBR-3 and HCC-2218 breast cancer cells.
Butein reduction of ROS levels was correlated with apoptotic cell death.
Furthermore, butein reduction of ROS level led to inhibitions of AKT
phosphorylation. N-acetyl-L-cysteine (NAC), a free radical scavenger, also
reduced ROS production and AKT phosphorylation, resulting in apoptotic cell
death. In contrast, inhibitory effects of both butein and NAC on ROS production
and AKT phosphorylation were not detected in butein-resistant HER2+ HCC-1419,
SKBR-3 and HCC-2218 cells. In the in vivo tumor growth assays, butein inhibited
tumor growth of butein-sensitive HER2+ BT-474 cells, while not affecting that of
butein-resistant HER2+ HCC-1419 cells. Moreover, butein inhibition of ROS
production and AKT phosphorylation was confirmed by in vivo tumor growth assays.
CONCLUSIONS: Our study first reveals that butein causes breast cancer cell death
by the reduction of ROS production. Therefore, our finding provides better
knowledge for butein effect on breast cancer and also suggests its treatment
option.
PMID- 24919545
TI - Survival of norovirus surrogate on various food-contact surfaces.
AB - Norovirus (NoV) is an environmental threat to humans, which spreads easily from
one infected person to another, causing foodborne and waterborne diseases.
Therefore, precautions against NoV infection are important in the preparation of
food. The aim of this study was to investigate the survival of murine norovirus
(MNV), as a NoV surrogate, on six different food-contact surfaces: ceramic, wood,
rubber, glass, stainless steel, and plastic. We inoculated 10(5) PFU of MNV onto
the six different surface coupons that were then kept at room temperature for 28
days. On the food-contact surfaces, the greatest reduction in MNV was 2.28 log10
PFU/coupon, observed on stainless steel, while the lowest MNV reduction was 1.29
log10 PFU/coupon, observed on wood. The rank order of MNV reduction, from highest
to lowest, was stainless steel, plastic, rubber, glass, ceramic, and wood. The
values of d R (time required to reduce the virus by 90%) on survival plots of MNV
determined by a modified Weibull model were 277.60 h (R(2) = 0.99) on ceramic,
492.59 h (R(2) = 0.98) on wood, 173.56 h on rubber (R(2) = 0.98), 97.18 h (R(2) =
0.94) on glass, 91.76 h (R(2) = 0.97) on stainless steel, and 137.74 h (R(2) =
0.97) on plastic. The infectivity of MNV on all food-contact surfaces remained
after 28 days. These results show that MNV persists in an infective state on
various food-contact surfaces for long periods. This study may provide valuable
information for the control of NoV on various food-contact surfaces, in order to
prevent foodborne disease.
PMID- 24919546
TI - Left atrial appendage wall-motion velocity associates with recurrence of
nonparoxysmal atrial fibrillation after catheter ablation.
AB - Catheter ablation (CA) for nonparoxysmal atrial fibrillation (AF) is
controversial due to its high recurrence rate. The aim of this study was to
assess retrospectively the diagnostic value of preprocedural left atrial
appendage (LAA) wall-motion velocity in predicting recurrence of AF within 1 year
after CA. We hypothesized that tissue Doppler-derived measurement of LAA wall
motion velocity associate with recurrence of AF within 1 year after CA. We
retrospectively reviewed 47 consecutive patients with nonparoxysmal AF (defined
as AF lasting for 1 week or longer) who underwent both transthoracic and
transesophageal echocardiography before their first treatment by CA in a single
center. Forty-one patients aged 58 +/- 10 years were included, and variables
predicting the recurrence of AF within 1 year after CA were evaluated. Seventeen
patients (41%) developed recurrence of AF within 1 year after CA. Univariate
analyses showed that preprocedural LAA upward wall-motion velocity at the apex
assessed by transesophageal echocardiography was significantly lower in patients
with recurrence of AF than those without recurrence (OR = 1.45, 95% CI: 1.13
2.01, P = 0.009). Multivariate logistic analyses including other potential
predictors (duration of AF, left ventricular ejection fraction, E-wave
deceleration time, and left atrial wall-motion velocity) identified LAA upward
wall-motion velocity at the apex as an independent predictor of outcome. These
data suggest in patients with nonparoxysmal AF, preprocedural LAA upward wall
motion velocity at the apex, as determined by tissue Doppler imaging during
transesophageal echocardiography, may be a useful indicator for predicting
recurrence of AF within 1 year after CA.
PMID- 24919547
TI - Buccal microRNA dysregulation in lung field carcinogenesis: gender-specific
implications.
AB - MicroRNAs (miRNAs) have been shown to be reliable early biomarkers in a variety
of cancers including that of lung. We ascertained whether the biomarker potential
of miRNAs could be validated in microscopically normal and easily accessible
buccal epithelial brushings from cigarette smokers as a consequence of lung
cancer linked 'field carcinogenesis'. We found that compared to neoplasia-free
subjects, a panel of 68 miRNAs were upregulated and 3 downregulated in the normal
appearing buccal mucosal cells collected from patients harboring lung cancer
(n=76). The performance characteristics of selected miRNAs (with >= 1-fold
change) were excellent with an average under the receiver operator characteristic
curve (AUROC) of >0.80. Several miRNAs also displayed gender specificity between
the groups. These results provide the first proof-of-concept scenario in which
minimally intrusive cheek brushings could provide an initial screening tool in a
large at-risk population.
PMID- 24919549
TI - Force microscopy of layering and friction in an ionic liquid.
AB - The mechanical properties of the ionic liquid 1-butyl-1-methylpyrrolidinium
tris(pentafluoroethyl) trifluorophosphate ([Py1,4][FAP]) in confinement between a
SiOx and a Au(1 1 1) surface are investigated by means of atomic force microscopy
(AFM) under electrochemical control. Up to 12 layers of ion pairs can be detected
through force measurements while approaching the tip of the AFM to the surface.
The particular shape of the force versus distance curve is explained by a model
for the interaction between tip, gold surface and ionic liquid, which assumes an
exponentially decaying oscillatory force originating from bulk liquid density
correlations. Jumps in the tip-sample distance upon approach correspond to jumps
of the compliant force sensor between branches of the oscillatory force curve.
Frictional force between the laterally moving tip and the surface is detected
only after partial penetration of the last double layer between tip and surface.
PMID- 24919550
TI - Female sexual dysfunction across the three pregnancy trimesters: an Egyptian
study.
AB - Background Pregnancy is a special period in the life of women characterised by
physical, hormonal and psychological changes that, in conjugation with social and
cultural influences, could affect women's sexuality as well as couples' sexual
relationships. This cross-sectional study aimed to evaluate female sexual
dysfunction (FSD) among the three pregnancy trimesters. METHODS: A total of 300
healthy heterosexual pregnant Egyptian women with stable marital relationships
were included. The Female Sexual Function Index (FSFI) questionnaire was used as
a standard method for measuring female sexual function in each pregnancy
trimester. RESULTS: There was no significant relationship between FSD and women's
education, work, gravidity and parity. The incidence of FSD demonstrated
significant alterations throughout pregnancy, being 68% in the first trimester,
decreasing in the second trimester to 51% and increasing to 72% in the third
trimester. Sexual desire decreased in the first trimester, was variable in the
second trimester and decreased at the end of the third trimester (3.5+/-1.2,
3.7+/-1.2 and 3.4+/-1.1 respectively). Sexual satisfaction declined significantly
in the first trimester compared with the second and the third trimesters (4.2+/
1.1, 4.8+/-0.8 and 4.6+/-1.0 respectively). Scores for the arousal, lubrication
and orgasm domains were significantly decreased in the third trimester, where
pain was increased in the second trimester compared with the first and third
trimesters. CONCLUSION: Female sexual function is affected during pregnancy, with
a significant change in all Female Sexual Function Index domains, especially in
the first and third trimesters.
PMID- 24919548
TI - Orphan nuclear receptor NR2F6 acts as an essential gatekeeper of Th17 CD4+ T cell
effector functions.
AB - Members of the evolutionarily conserved family of the chicken ovalbumin upstream
promoter transcription factor NR2F/COUP-TF orphan receptors have been implicated
in lymphocyte biology, ranging from activation to differentiation and elicitation
of immune effector functions. In particular, a CD4+ T cell intrinsic and non
redundant function of NR2F6 as a potent and selective repressor of the
transcription of the pro-inflammatory cytokines interleukin (Il) 2, interferon y
(ifng) and consequently of T helper (Th)17 CD4+ T cell-mediated autoimmune
disorders has been discovered. NR2F6 serves as an antigen receptor signaling
threshold-regulated barrier against autoimmunity where NR2F6 is part of a
negative feedback loop that limits inflammatory tissue damage induced by weakly
immunogenic antigens such as self-antigens. Under such low affinity antigen
receptor stimulation, NR2F6 appears as a prototypical repressor that functions to
"lock out" harmful Th17 lineage effector transcription. Mechanistically, only
sustained high affinity antigen receptor-induced protein kinase C (PKC)-mediated
phosphorylation has been shown to inactivate NR2F6, thereby displacing pre-bound
NR2F6 from the DNA and, subsequently, allowing for robust NFAT/AP-1- and
RORgammat-mediated cytokine transcription. The NR2F6 target gene repertoire thus
identifies a general anti-inflammatory gatekeeper role for this orphan receptor.
Investigating these signaling pathway(s) will enable a greater knowledge of the
genetic, immune, and environmental mechanisms that lead to chronic inflammation
and of certain autoimmune disorders in a given individual.
PMID- 24919551
TI - [Effect of ghrelin on colonic motility].
PMID- 24919552
TI - [Emerging role of interleukin-19 as an inflammatory mediator in inflammatory
bowel disease].
PMID- 24919553
TI - [Role of inflammatory cytokine TNF-alpha and microenvironment in mouse gastric
tumorigenesis].
PMID- 24919554
TI - [Cross-talk through ATP in the vascular system].
PMID- 24919555
TI - [Mechanisms for the differentiation of postnatal and adult neural stem cells: new
insights and pathological issues based on the analysis of Girdin].
PMID- 24919556
TI - [Open innovation hub in AIST].
PMID- 24919557
TI - [Pharmacological profile and clinical evidence in patients with primary
osteoporosis treated with intravenous ibandronate].
PMID- 24919558
TI - [Novel feature of the HSP70 family].
PMID- 24919559
TI - [Aiming to overcome ALS - the biomarkers for ALS].
PMID- 24919560
TI - [Are glial cells involved in functional hyperemia in the brain?].
PMID- 24919561
TI - Return to work of workers without a permanent employment contract, sick-listed
due to a common mental disorder: design of a randomised controlled trial.
AB - BACKGROUND: Workers without a permanent employment contract represent a
vulnerable group within the working population. Mental disorders are a major
cause of sickness absence within this group. Common mental disorders are stress
related, depressive and anxiety disorders. To date, little attention has been
paid to effective return to work interventions for this type of sick-listed
workers. Therefore, a participatory supportive return to work program has been
developed. It combines elements of a participatory return to work program,
integrated care and direct placement in a competitive job.The objective of this
paper is to describe the design of a randomised controlled trial to evaluate the
cost-effectiveness of this program compared to care as usual. METHODS/DESIGN: The
cost-effectiveness of the participatory supportive return to work program will be
examined in a randomised controlled trial with a follow-up of twelve months.The
program strongly involves the sick-listed worker in the identification of
obstacles for return to work and possible solutions, resulting in a consensus
based action plan. This plan will be used as a starting point for the search of
suitable competitive employment with support of a rehabilitation agency. During
this process the insurance physician of the sick-listed worker contacts other
caregivers to promote integrated care.Workers eligible to participate in this
study have no permanent employment contract, have applied for a sickness benefit
at the Dutch Social Security Agency and are sick-listed between two and fourteen
weeks due to mental health problems.The primary outcome measure is the duration
until first sustainable return to work in a competitive job. Outcomes are
measured at baseline and after three, six, nine and twelve months. DISCUSSION: If
the participatory supportive return to work program proves to be cost-effective,
the social security system, the sick-listed worker and society as a whole will
benefit. A cost-effective return to work program will lead to a reduction of
costs related to sickness absence. For the sick-listed worker a cost-effective
program results in earlier sustainable return to work, which can be associated
with both social and health benefits. TRIAL REGISTRATION: The trial registration
number and date is NTR3563, August 7, 2012.
PMID- 24919562
TI - Cholesterol embolization syndrome with an atypical proximal presentation
simulating calciphylaxis.
PMID- 24919563
TI - Phosphorescent sensor for phosphorylated peptides based on an iridium complex.
AB - A bis[(4,6-difluorophenyl)pyridinato-N,C(2')]iridium(III) picolinate (FIrpic)
derivative coupled with bis(Zn(2+)-dipicolylamine) (ZnDPA) was developed as a
sensor (1) for phosphorylated peptides, which are related to many cellular
mechanisms. As a control, a fluorescent sensor (2) based on anthracene coupled to
ZnDPA was also prepared. When the total negative charge on the phosphorylated
peptides was changed to -2, -4, and -6, the emission intensity of sensor 1
gradually increased by factors of up to 7, 11, and 16, respectively. In contrast,
there was little change in the emission intensity of sensor 1 upon the addition
of a neutral phosphorylated peptide, non-phosphorylated peptides, or various
anions such as CO3(2-), NO3(-), SO4(2-), phosphate, azide, and pyrophosphate.
Furthermore, sensor 1 could be used to visually discriminate between
phosphorylated peptides and adenosine triphosphate in aqueous solution under a UV
vis lamp, unlike fluorescent sensor 2. This enhanced luminance of phosphorescent
sensor 1 upon binding to a phosphorylated peptide is attributed to a reduction in
the repulsion between the Zn(2+) ions due to the phenoxy anion, its strong metal
to-ligand charge transfer character, and a reduction in self-quenching.
PMID- 24919564
TI - From trial to population: a study of a family-based community intervention for
childhood overweight implemented at scale.
AB - OBJECTIVES: To assess how outcomes associated with participation in a family
based weight management intervention (MEND 7-13, Mind, Exercise, Nutrition..Do
it!) for childhood overweight or obesity implemented at scale in the community
vary by child, family, neighbourhood and MEND programme characteristics.
METHODS/SUBJECTS: Intervention evaluation using prospective service level data.
Families (N=21,132) with overweight children are referred, or self-refer, to
MEND. Families (participating child and one parent/carer) attend two
sessions/week for 10 weeks (N=13,998; N=9563 with complete data from 1788
programmes across England). Sessions address diet and physical activity through
education, skills training and motivational enhancement. MEND was shown to be
effective in obese children in a randomised controlled trial (RCT). Outcomes were
mean change in body mass index (BMI), age- and sex-standardised BMI (zBMI), self
esteem (Rosenberg scale) and psychological distress (Strengths and Difficulties
Questionnaire) after the 10-week programme. Relationships between the outcome and
covariates were tested in multilevel models adjusted for the outcome at baseline.
RESULTS: After adjustment for covariates, BMI reduced by mean 0.76 kg m(-2)
(s.e.=0.021, P<0.0001), zBMI reduced by mean 0.18 (s.e.=0.0038, P<0.0001), self
esteem score increased by 3.53 U (s.e.=0.13, P<0.0001) and psychological
distress score decreased by 2.65 U (s.e.=0.31, P<0.0001). Change in outcomes
varied by participant, family, neighbourhood and programme factors. Generally,
outcomes improved less among children from less advantaged backgrounds and in
Asian compared with white children. BMI reduction under service conditions was
slightly but not statistically significantly less than in the earlier RCT.
CONCLUSIONS: The MEND intervention, when delivered at scale, is associated with
improved BMI and psychosocial outcomes on average, but may work less well for
some groups of children, and so has the potential to widen inequalities in these
outcomes. Such public health interventions should be implemented to achieve
sustained impact for all groups.
PMID- 24919565
TI - Changes in morphology and function of adrenal cortex in mice fed a high-fat diet.
AB - BACKGROUND/OBJECTIVES: Obesity is a major risk factor for the development of type
2 diabetes and other debilitating diseases. Obesity and diabetes are intimately
linked with altered levels of adrenal steroids. Elevated levels of these hormones
induce insulin resistance and cause cardiovascular diseases. The mechanisms
underlying obesity-related alterations in adrenal steroids are still not well
understood. Here, we investigated how diet-induced obesity affects the morphology
and function of the mouse adrenal cortex. METHODS: We fed animals either a high
fat diet (HFD) or a normal diet (60% kcal from fat or 10% kcal from fat,
respectively) for 18 weeks. We then assessed various aspects of adrenal gland
morphology and function, as well as basal plasma concentrations of steroid
hormones and ACTH. RESULTS: We show that adrenal glands of mice fed a HFD release
more corticosterone and aldosterone, resulting in higher plasma levels. This
increase is driven by adrenal cortical hyperplasia, and by increased expression
of multiple genes involved in steroidogenesis. We demonstrate that diet-induced
obesity elevates Sonic hedgehog signaling in Gli1-positive progenitors, which
populate the adrenal capsule and give rise to the steroidogenic cells of the
adrenal cortex. Feeding animals with a HFD depletes Gli1-positive progenitors, as
the adrenal cortex expands. CONCLUSIONS: This work provides insight into how diet
induced obesity changes the biology of the adrenal gland. The association of
these changes with increased Shh signaling suggests possible therapeutic
strategies for obesity-related steroid hormone dysfunction.
PMID- 24919566
TI - SWIFT MRI enhances detection of breast cancer metastasis to the lung.
AB - PURPOSE: To evaluate the capability of longitudinal MR scans using sweep imaging
with Fourier transformation (SWIFT) to detect breast cancer metastasis to the
lung in mice. METHODS: Mice with breast cancer metastatic to the lung were
generated by tail vein injection of MDA-MB-231-LM2 cells. Thereafter, MR imaging
was performed every week using three different pulse sequences: SWIFT [echo time
(TE) ~3 MUs], concurrent dephasing and excitation (CODE; TE ~300 MUs), and three
dimensional (3D) gradient echo (GRE; TE = 2.2 ms). Motion during the long SWIFT
MR scans was compensated for by rigid-body motion correction. Maximum intensity
projection (MIP) images were generated to visualize changes in lung vascular
structures during the development and growth of metastases. RESULTS: SWIFT MRI
was more sensitive to signals from the lung parenchyma than CODE or 3D GRE MRI.
Metastatic tumor growth in the lungs induced a progressive increase in intensity
of parenchymal signals in SWIFT images. MIP images from SWIFT clearly visualized
lung vascular structures and their disruption due to progression of breast cancer
metastases in the lung. CONCLUSION: SWIFT MRI's sensitivity to fast-decaying
signals and tolerance of magnetic susceptibility enhances its effectiveness at
detecting structural changes in lung parenchyma and vasculature due to breast
cancer metastases in the lung.
PMID- 24919567
TI - Catalytic zinc complexes for phosphate diester hydrolysis.
AB - Creating efficient artificial catalysts that can compete with biocatalysis has
been an enduring challenge which has yet to be met. Reported herein is the
synthesis and characterization of a series of zinc complexes designed to catalyze
the hydrolysis of phosphate diesters. By introducing a hydrated aldehyde into the
ligand we achieve turnover for DNA-like substrates which, combined with ligand
methylation, increases reactivity by two orders of magnitude. In contrast to
current orthodoxy and mechanistic explanations, we propose a mechanism where the
nucleophile is not coordinated to the metal ion, but involves a tautomer with a
more effective Lewis acid and more reactive nucleophile. This data suggests a new
strategy for creating more efficient metal ion based catalysts, and highlights a
possible mode of action for metalloenzymes.
PMID- 24919568
TI - Skin barrier dysfunction and low antimicrobial peptide expression in cutaneous T
cell lymphoma.
AB - PURPOSE: Atopic dermatitis is characterized by decreased expression of filaggrin
and loricrin. Patients with atopic dermatitis often suffer from skin infections,
which are also frequently seen in patients with cutaneous T-cell lymphoma (CTCL).
In this study, we aimed to investigate the skin barrier in CTCL. EXPERIMENTAL
DESIGN: We assessed skin moisture and transepidermal water loss (TEWL) in
patients with CTCL. We next examined mRNA expression levels of filaggrin,
loricrin, and antimicrobial peptides (AMP) in skin samples of CTCL, using skin
from healthy volunteers and patients with atopic dermatitis or psoriasis as
controls. Immunostainings for filaggrin, loricrin, and S100 proteins were also
performed. RESULTS: Lower levels of skin moisture accompanied by higher levels of
TEWL were seen in lesional skin of CTCL than in normal skin. CTCL lesional skin
contained lower levels of filaggrin and loricrin mRNA than normal skin, which was
also true with atopic dermatitis and psoriatic skin. mRNA expression levels of
filaggrin in CTCL skin negatively correlated with disease severity markers.
Expression levels of AMPs in lesional skin of CTCL and atopic dermatitis were
significantly lower than in psoriatic skin. Immunohistochemistry confirmed
decreased expression of filaggrin and loricrin in CTCL, atopic dermatitis, and
psoriatic skin and enhanced expression of S100 proteins in psoriatic skin.
CONCLUSIONS: Our results show that there is barrier dysfunction in CTCL skin,
similar to what is seen with atopic dermatitis skin. In addition, low AMP
expression in CTCL skin was documented when compared with psoriatic skin, which
may explain frequent infections that can occur in patients with CTCL.
PMID- 24919571
TI - Hepatic uptake transporters and docetaxel disposition in mice-letter.
PMID- 24919570
TI - To "grow" or "go": TMEM16A expression as a switch between tumor growth and
metastasis in SCCHN.
AB - PURPOSE: Tumor metastasis is the leading cause of death in patients with cancer.
However, the mechanisms that underlie metastatic progression remain unclear. We
examined TMEM16A (ANO1) expression as a key factor shifting tumors between growth
and metastasis. EXPERIMENTAL DESIGN: We evaluated 26 pairs of primary and
metastatic lymph node (LN) tissue from patients with squamous cell carcinoma of
the head and neck (SCCHN) for differential expression of TMEM16A. In addition, we
identified mechanisms by which TMEM16A expression influences tumor cell motility
via proteomic screens of cell lines and in vivo mouse studies of metastasis.
RESULTS: Compared with primary tumors, TMEM16A expression decreases in metastatic
LNs of patients with SCCHN. Stable reduction of TMEM16A expression enhances cell
motility and increases metastases while decreasing tumor proliferation in an
orthotopic mouse model. Evaluation of human tumor tissues suggests an epigenetic
mechanism for decreasing TMEM16A expression through promoter methylation that
correlated with a transition between an epithelial and a mesenchymal phenotype.
These effects of TMEM16A expression on tumor cell size and epithelial-to
mesenchymal transition (EMT) required the amino acid residue serine 970 (S970);
however, mutation of S970 to alanine does not disrupt the proliferative
advantages of TMEM16A overexpression. Furthermore, S970 mediates the association
of TMEM16A with Radixin, an actin-scaffolding protein implicated in EMT.
CONCLUSIONS: Together, our results identify TMEM16A, an eight transmembrane
domain Ca2+-activated Cl- channel, as a primary driver of the "Grow" or "Go"
model for cancer progression, in which TMEM16A expression acts to balance tumor
proliferation and metastasis via its promoter methylation.
PMID- 24919569
TI - Randomized phase Ib/II trial of rilotumumab or ganitumab with panitumumab versus
panitumumab alone in patients with wild-type KRAS metastatic colorectal cancer.
AB - PURPOSE: Panitumumab, a fully human anti-epidermal growth factor receptor
monoclonal antibody (mAb), has demonstrated efficacy in patients with wild-type
KRAS metastatic colorectal cancer (mCRC). Rilotumumab and ganitumab are
investigational, fully human mAbs against hepatocyte growth factor (HGF)/scatter
factor and IGF1R, respectively. Here we evaluate combining rilotumumab or
ganitumab with panitumumab in previously treated patients with wild-type KRAS
mCRC. EXPERIMENTAL DESIGN: Part 1 was a phase Ib dose-finding study of
panitumumab plus rilotumumab. The primary endpoint was the incidence of dose
limiting toxicities (DLT). Part 2 was a randomized phase II trial of panitumumab
in combination with rilotumumab, ganitumab, or placebo. The primary endpoint was
objective response rate (ORR); safety, progression-free survival (PFS), and
overall survival (OS) were secondary endpoints. Archival tissue specimens were
collected for exploratory correlative work. RESULTS: In part 1, no DLTs were
reported. A recommended phase II dose of 10 mg/kg rilotumumab was selected. In
part 2, for the panitumumab plus rilotumumab (n = 48), panitumumab plus ganitumab
(n = 46), and panitumumab plus placebo arms (n = 48), the ORRs were 31%, 22%, and
21%, respectively. The median PFS was 5.2, 5.3, and 3.7 months and median OS
13.8, 10.6, and 11.6 months, respectively. Adverse events were tolerable.
Exploratory biomarker analyses, including MET and IGF-related protein expression,
failed to indicate conclusive predictive evidence on efficacy endpoints.
CONCLUSIONS: Panitumumab plus rilotumumab met the prespecified criterion for
improvement in ORR whereas ganitumab did not. This is the first study to suggest
a benefit for combining an HGF inhibitor (rilotumumab) with panitumumab in
previously treated patients with wild-type KRAS mCRC.
PMID- 24919572
TI - Molecular testing for lymph node metastases as a determinant of colon cancer
recurrence: results from a retrospective multicenter study.
AB - PURPOSE: Recurrence risk assessment to make treatment decisions for early-stage
colon cancer patients is a major unmet medical need. The aim of this
retrospective multicenter study was to evaluate the clinical utility of guanylyl
cyclase C (GCC) mRNA levels in lymph nodes on colon cancer recurrence. METHODS:
The proportion of lymph nodes with GCC-positive mRNA (LNR) was evaluated in 463
untreated T3N0 patients, blinded to clinical outcomes. One site's (n = 97) tissue
grossing method precluded appropriate lymph node assessment resulting in post hoc
exclusion. Cox regression models tested the relationship between GCC and the
primary endpoint of time to recurrence. Assay methods, primary analyses, and cut
points were all prespecified. RESULTS: Final dataset contained 366 patients, 38
(10%) of whom had recurrence. Presence of four or more GCC-positive lymph nodes
was significantly associated with risk of recurrence [hazard ratio (HR) = 2.46,
95% confidence interval (CI), 1.07-5.69, P = 0.035], whereas binary GCC LNR risk
class (HR = 1.87, 95% CI, 0.99-3.54, P = 0.054) and mismatch repair (MMR) status
(HR = 0.77, 95% CI, 0.36-1.62, P = 0.49) were not. In a secondary analysis using
a 3-level GCC LNR risk group classification of high (LNR > 0.20), intermediate
(0.10 < LNR <= 0.20), and low (LNR <= 0.10), high-risk patients had a 2.5 times
higher recurrence risk compared with low-risk patients (HR = 2.53, 95% CI, 1.24
5.17, P = 0.011). CONCLUSIONS: GCC status is a promising prognostic factor
independent of traditional histopathology risk factors in a contemporary
population of patients with stage IIa colon cancer not treated with adjuvant
therapy, but GCC determination must be performed with methodology adapted to the
tissue procurement and fixation technique.
PMID- 24919574
TI - CARTs on the road for myeloma.
AB - Chimeric antigen receptors redirect T cells to surface antigens. Discovery and
validation of appropriate target antigens expands the possible indications for
chimeric-antigen receptor (CAR) T cells. CS1 is expressed at high levels by
multiple myeloma cells, but also to some extent on other lymphocytes. CS1 may be
a viable target for CAR T cells in multiple myeloma.
PMID- 24919573
TI - Multifactorial T-cell hypofunction that is reversible can limit the efficacy of
chimeric antigen receptor-transduced human T cells in solid tumors.
AB - PURPOSE: Immunotherapy using vaccines or adoptively transferred tumor
infiltrating lymphocytes (TIL) is limited by T-cell functional inactivation
within the solid tumor microenvironment. The purpose of this study was to
determine whether a similar tumor-induced inhibition occurred with genetically
modified cytotoxic T cells expressing chimeric antigen receptors (CAR) targeting
tumor-associated antigens. EXPERIMENTAL DESIGN: Human T cells expressing CAR
targeting mesothelin or fibroblast activation protein and containing CD3zeta and
4-1BB cytoplasmic domains were intravenously injected into immunodeficient mice
bearing large, established human mesothelin-expressing flank tumors. CAR TILs
were isolated from tumors at various time points and evaluated for effector
functions and status of inhibitory pathways. RESULTS: CAR T cells were able to
traffic into tumors with varying efficiency and proliferate. They were able to
slow tumor growth, but did not cause regressions or cures. The CAR TILs underwent
rapid loss of functional activity that limited their therapeutic efficacy. This
hypofunction was reversible when the T cells were isolated away from the tumor.
The cause of the hypofunction seemed to be multifactorial and was associated with
upregulation of intrinsic T-cell inhibitory enzymes (diacylglycerol kinase and
SHP-1) and the expression of surface inhibitory receptors (PD1, LAG3, TIM3, and
2B4). CONCLUSIONS: Advanced-generation human CAR T cells are reversibly
inactivated within the solid tumor microenvironment of some tumors by multiple
mechanisms. The model described here will be an important tool for testing T cell
based strategies or systemic approaches to overcome this tumor-induced
inhibition. Our results suggest that PD1 pathway antagonism may augment human CAR
T-cell function.
PMID- 24919576
TI - Coupled near- and far-field scattering in silver nanoparticles for high
efficiency, stable, and thin plasmonic dye-sensitized solar cells.
AB - Here, we report plasmonically enhanced thin dye-sensitized solar cells (DSSCs) in
an imidazolium-dicyanamide based ionic liquid, in which size-controlled metal
(silver) nanoparticles (AgNPs) with passivation layers of a few nanometers are
arranged into the electrolyte and photo-electrodes. It was revealed that the
AgNPs in the electrolyte and the photo-electrode have distinct effects on device
performance via different coupling mechanisms. Strong far-field scattering is
critical in the electrolyte while near-field scattering is efficient in the photo
electrode. Indeed, we find that the power conversion efficiency of the DSSC can
be substantially improved by a synergistic arrangement of the AgNPs in the
electrolyte and the photo-electrode. Furthermore, an imidazolium-dicyanamide
based nonvolatile ionic liquid electrolyte for MNPs is demonstrated to provide
thin plasmonic DSSCs with good stability.
PMID- 24919575
TI - Tyrosine phosphoproteomics identifies both codrivers and cotargeting strategies
for T790M-related EGFR-TKI resistance in non-small cell lung cancer.
AB - PURPOSE: Irreversible EGFR-tyrosine kinase inhibitors (TKI) are thought to be one
strategy to overcome EGFR-TKI resistance induced by T790M gatekeeper mutations in
non-small cell lung cancer (NSCLC), yet they display limited clinical efficacy.
We hypothesized that additional resistance mechanisms that cooperate with T790M
could be identified by profiling tyrosine phosphorylation in NSCLC cells with
acquired resistance to reversible EGFR-TKI and harboring T790M. EXPERIMENTAL
DESIGN: We profiled PC9 cells with TKI-sensitive EGFR mutation and paired EGFR
TKI-resistant PC9GR (gefitinib-resistant) cells with T790M using immunoaffinity
purification of tyrosine-phosphorylated peptides and mass spectrometry-based
identification/quantification. Profiles of erlotinib perturbations were examined.
RESULTS: We observed a large fraction of the tyrosine phosphoproteome was more
abundant in PC9- and PC9GR-erlotinib-treated cells, including phosphopeptides
corresponding to MET, IGF, and AXL signaling. Activation of these receptor
tyrosine kinases by growth factors could protect PC9GR cells against the
irreversible EGFR-TKI afatinib. We identified a Src family kinase (SFK) network
as EGFR-independent and confirmed that neither erlotinib nor afatinib affected
Src phosphorylation at the activation site. The SFK inhibitor dasatinib plus
afatinib abolished Src phosphorylation and completely suppressed downstream
phosphorylated Akt and Erk. Dasatinib further enhanced antitumor activity of
afatinib or T790M-selective EGFR-TKI (WZ4006) in proliferation and apoptosis
assays in multiple NSCLC cell lines with T790M-mediated resistance. This
translated into tumor regression in PC9GR xenograft studies with combined
afatinib and dasatinib. CONCLUSIONS: Our results identified both codrivers of
resistance along with T790M and support further studies of irreversible or T790M
selective EGFR inhibitors combined with dasatinib in patients with NSCLC with
acquired T790M.
PMID- 24919578
TI - Tolerability of ambulatory blood pressure monitoring (ABPM) in cognitively
impaired elderly.
AB - OBJECTIVE: Recent guidelines have widened clinical indications for out-of-office
blood pressure measurement, including home blood pressure monitoring and
ambulatory blood pressure monitoring (ABPM), suggesting the latter as recommended
method in cognitively impaired patients. There is, however, a widespread belief
that ABPM could be poorly tolerated in dementia, often leading to withdraw from
its use in these patients. AIM: To assess the actual tolerability of ABPM in a
group of cognitively impaired elderly, affected by dementia or mild cognitive
impairment (MCI). METHODS: We evaluated 176 patients aged 65 + years, recruited
in two different memory clinics, with a Mini Mental State Examination (MMSE)
between 10 and 27. Behavioral and psychological symptoms were assessed with
Neuropsychiatric Inventory (NPI). A patient was considered tolerant if able to
keep the device on continuously for 24 h. The minimum number of correct
measurements required was 70% of the predicted total number. RESULTS: 16% of
patients wore the device for less than 24 h. Dividing the study population in
tertiles of MMSE performance, 29% failed to tolerate the device in the lowest,
12% in the middle and 7% in the highest tertile (p < 0.01). Dividing the study
population in tertiles of NPI performance, 30% of patients failed in the highest,
19% in the middle and 8% in the lowest tertile (p = 0.02); 31% of patients who
tolerated the device did not achieve the minimum number of measurements required,
with a mean number of 63% of predicted measurements. CONCLUSION: The ABPM proved
a generally well-tolerated technique even in cognitively impaired elderly. Only a
minority of subjects with poorer cognitive performances and greater behavioral
symptoms did not tolerate the monitoring. Among most patients who failed to
achieve the minimum number of measurements needed, the number of valid
measurements was very close to the minimum required.
PMID- 24919577
TI - A review of the pharmacological effects of piceatannol on cardiovascular
diseases.
AB - The incidence of cardiovascular diseases (CVDs) is high in both developed and
developing countries. It has a high global rate of mortality and causes heavy
social burden. Drugs are available for managing or treating CVDs and its
complications. Consumption of dietary supplements or functional foods for
reducing the risk of CVDs has also gained wide recognition by the general public.
Piceatannol, an analog and metabolite of resveratrol, is a natural stilbene
commonly found in the skin of grapes and wine. Piceatannol is believed to be a
potent compound with certain cardiovascular therapeutic effects, such as the
prevention of hypercholesterolemia, arrhythmia, atherosclerosis, and
angiogenesis. It also has vasorelaxation and antioxidant activities. A
comprehensive review of piceatannol concludes that piceatannol has the potential
to be developed into health products for the cardiovascular system to help modern
society reduce the high CVD incidence. However, further investigations are
warranted in order to increase the bioavailability and understand the biological
mechanisms and safety of using piceatannol.
PMID- 24919579
TI - Replacement of highly conserved E222 by the photostable non-photoconvertible
histidine in GFP.
AB - The widely used green fluorescent protein (GFP) decarboxylates upon irradiation;
this involves removal of the acidic function of the glutamic acid at position
222, thereby resulting in the irreversible photoconversion of GFP. To suppress
this phenomenon, the photostable, non-photoconvertible histidine was introduced
at position 222 in GFP. The variant E222H shows negligible photodynamic processes
and high expression yield. In addition, the stable and bright fluorescence over a
wide pH range makes the E222H protein an alternative for GFP in fluorescence
imaging and spectroscopy. Other fluorescent proteins are predicted to benefit
from replacement of the catalytic glutamic acid by histidine.
PMID- 24919580
TI - A pollen-specific calmodulin-binding protein, NPG1, interacts with putative
pectate lyases.
AB - Previous genetic studies have revealed that a pollen-specific calmodulin-binding
protein, No Pollen Germination 1 (NPG1), is required for pollen germination.
However, its mode of action is unknown. Here we report direct interaction of NPG1
with pectate lyase-like proteins (PLLs). A truncated form of AtNPG1 lacking the N
terminal tetratricopeptide repeat 1 (TPR1) failed to interact with PLLs,
suggesting that it is essential for NPG1 interaction with PLLs. Localization
studies with AtNPG1 fused to a fluorescent reporter driven by its native promoter
revealed its presence in the cytosol and cell wall of the pollen grain and the
growing pollen tube of plasmolyzed pollen. Together, our data suggest that the
function of NPG1 in regulating pollen germination is mediated through its
interaction with PLLs, which may modify the pollen cell wall and regulate pollen
tube emergence and growth.
PMID- 24919581
TI - Development of a sutureless dural substitute from Bombyx mori silk fibroin.
AB - Silk solvent casting, electrospinning, and electrogelation techniques were used
to create a biodegradable, biocompatible silk fibroin dural substitute. The all
silk system was designed and produced to improve on currently available
materials, grafts and tissue sealants used for dural closure in neurosurgery. The
silk biomaterial was successfully fabricated as a dual layer adhesive system
designed to seal durotomies while also functioning as a dural regeneration
scaffold. The mechanical characteristics, biocompatibility, biodegradability, and
hydrodynamic sealing capability of the material were evaluated. Results showed
that the biomaterial was biocompatible with neural cells and fibroblasts, had
mechanical properties mimicking the natural dura, was biodegradable with
controllable degradation, and was able to seal against a hydrodynamic pressure of
205 mmHg, which greatly exceeds the maximum cerebrospinal fluid pressure seen in
both cranial and spinal dural closures of 50 mmHg. Based on its design and
experimental results, the adhesive silk dual layer composite biomaterial shows
potential as a sutureless dural repair system that would improve on current dural
closure techniques.
PMID- 24919582
TI - The adsorption and simulated separation of light hydrocarbons in isoreticular
metal-organic frameworks based on dendritic ligands with different aliphatic side
chains.
AB - Three isoreticular metal-organic frameworks, JUC-100, JUC-103 and JUC-106, were
synthesized by connecting six-node dendritic ligands to a [Zn4O(CO2)6] cluster.
JUC-103 and JUC-106 have additional methyl and ethyl groups, respectively, in the
pores with respect to JUC-100. The uptake measurements of the three MOFs for CH4,
C2H4, C2H6 and C3H8 were carried out. At 298 K, 1 atm, JUC-103 has relatively
high CH4 uptake, but JUC-100 is the best at 273 K, 1 atm. JUC-100 and JUC-103
have similar C2H4 absorption ability. In addition, JUC-100 has the best
absorption capacity for C2H6 and C3H8. These results suggest that high surface
area and appropriate pore size are important factors for gas uptake. Furthermore,
ideal adsorbed solution theory (IAST) analyses show that all three MOFs have good
C3H8/CH4 and C2H6/CH4 selectivities for an equimolar quaternary
CH4/C2H4/C2H6/C3H8 gas mixture maintained at isothermal conditions at 298 K, and
JUC-106 has the best C2H6/CH4 selectivity. The breakthrough simulations indicate
that all three MOFs have good capability for separating C2 hydrocarbons from C3
hydrocarbons. The pulse chromatographic simulations also indicate that all three
MOFs are able to separate CH4/C2 H4/C2H6/C3H8 mixture into three different
fractions of C1, C2 and C3 hydrocarbons.
PMID- 24919583
TI - Increased risk of cirrhosis and its decompensation in chronic hepatitis C
patients with new-onset diabetes: a nationwide cohort study.
AB - The effect of diabetes on cirrhosis, its decompensation, and their time
relationship in chronic hepatitis C (CHC) patients remains unclear. We conducted
a nation-wide cohort study by using the Taiwanese National Health Insurance
Research Database, which is comprised of data from >99% of the entire population.
Among having randomly sampled 1 million enrollees, 6,251 adult CHC patients were
identified from 1997 to 2009. Diabetes was defined as new onset in CHC patients
who were given the diagnosis in the years 1999-2003, but not in 1997-1998. The
cohorts of CHC with new-onset diabetes (n=424) and nondiabetes (n=1,708) were
followed up from inception point in diabetes and from year 1999 in the
nondiabetes cohort until development of cirrhosis or its decompensation,
withdrawal from insurance, or December 2009. Kaplan-Meier's survival analysis
showed a significantly higher cumulative incidence of cirrhosis (relative risk
[RR]=1.53; 95% confidence interval [CI]=1.11-2.11; log-rank test; P<0.001) and
decompensated cirrhosis (RR=2.01; 95% CI=1.07-3.79; log-rank test; P<0.001) among
patients with new-onset diabetes, as compared to those without. After adjustment
for age, gender, CHC treatment, diabetes treatment, hepatocellular carcinoma,
comorbidity index, hypertension, hyperlipidemia, and obesity by Cox's
proportional hazard model, diabetes was still an independent predictor for
cirrhosis (hazard ratio [HR]=2.505; 95% CI=1.609-3.897; P<0.001) and its
decompensation (HR=3.560; 95% CI=1.526-8.307; P=0.003). CONCLUSION: CHC patients
who develop diabetes are at an increased risk of liver cirrhosis and its
decompensation over time.
PMID- 24919584
TI - Oral anticoagulation and vitamin K deficiency.
AB - A 61-year old woman with atrial fibrillation developed macrohaematuria during
anticoagulant treatment with a direct oral factor Xa inhibitor for stroke
prevention. Abnormal results of coagulation assays were first interpreted as an
effect of the anticoagulant. However, upon further testing diagnosis of vitamin K
deficiency was established. After vitamin K supplementation, coagulation tests
normalized and macrohaematuria disappeared. Treatment with broad spectrum
antibiotics for urinary tract infection was finally established as a rare cause
for vitamin K deficiency in the patient.
PMID- 24919585
TI - Influence of nanostructure composition on its morphometric characterization by
different techniques.
AB - Morphometric characterization of nanoparticles is crucial to determine their
biological effects and to obtain a formulation pattern. Determining the best
technique requires knowledge of the particles being analyzed, the intended
application of the particles, and the limitations of the techniques being
considered. The aim of this article was to present transmission (TEM) and
scanning (SEM) electron microscopy protocols for the analysis of two different
nanostructures, namely polymeric nanoemulsion and poly(lactic-co-glycolic acid)
(PLGA) nanoparticles, and to compare these results with conventional dynamic
light scattering (DLS) measurements. The mean hydrodynamic diameter, the
polydispersity index, and zeta potential of the nanostructures of polymeric
nanoemulsion were 370.5 +/- 0.8 nm, 0.133 +/- 0.01, and -36.1 +/- 0.15 mV,
respectively, and for PLGA nanoparticles were 246.79 +/- 5.03 nm, 0.096 +/-
0.025, and -4.94 +/- 0.86 mV, respectively. TEM analysis of polymeric
nanoemulsion revealed a mean diameter of 374 +/- 117 nm. SEM analysis showed a
mean diameter of 368 +/- 69 nm prior to gold coating and 448 +/- 70 nm after gold
coating. PLGA nanoparticles had a diameter of 131 +/- 41.18 nm in TEM and 193 +/-
101 nm in SEM. Morphologically, in TEM analysis, the polymeric nanoemulsions were
spherical, with variable electron density, very few showing an electron-dense
core and others an electron-dense surface. PLGA nanoparticles were round, with an
electron-lucent core and electron-dense surface. In SEM, polymeric nanoemulsions
were also spherical with a rough surface, and PLGA nanoparticles were round with
a smooth surface. The results show that the "gold standards" for morphometric
characterization of polymeric nanoemulsion and PLGA nanoparticles were,
respectively, SEM without gold coating and TEM with negative staining.
PMID- 24919586
TI - Fetal cardiac parameters for prediction of twin-to-twin transfusion syndrome.
AB - OBJECTIVES: To assess myocardial performance index measured by conventional
Doppler (MPI) and by tissue Doppler imaging (MPI') at 18 weeks' gestation in
monochorionic diamniotic twins for the prediction of twin-to-twin transfusion
syndrome (TTTS). METHODS: This was a single-center observational study of 100
uncomplicated monochorionic diamniotic twin pregnancies attending the twin
pregnancy clinic at the University Hospital Spedali Civili of Brescia from 2009
to 2012. MPI and MPI' were obtained from the left (LV) and right (RV) ventricles
of each twin at around 18 weeks of gestation (range, 17 + 1 to 19 + 4 weeks) and
fortnightly thereafter. Cases which later developed TTTS formed the study group,
and the remaining controls were subdivided into those continuing as uncomplicated
pregnancies and those which later developed selective intrauterine growth
restriction (sIUGR). Data were analyzed by receiver-operating characteristics
curve analysis and univariate and multivariable logistic regression. RESULTS: Of
the 100 pregnancies, 88 were controls (84 uncomplicated and four developed sIUGR)
and 12 developed TTTS. RV-MPI and LV-MPI, and LV-MPI' were significantly higher
in future TTTS recipients than in controls, while RV-MPI' was significantly lower
in donors. RV-MPI and LV-MPI and LV-MPI' were found to be predictive indicators
in pregnancies that had not yet developed TTTS. Their negative predictive values
were > 90%, and their specificities > 80%. The best performing index was LV-MPI',
with a sensitivity of 91.7% and specificity of 88.6%. CONCLUSIONS: Before
diagnosis of TTTS, the cardiac function (as assessed by MPI and MPI') of the
future donor twin is not grossly abnormal, but that of the recipient is abnormal.
We identified cardiac indices predictive of the subsequent development of TTTS,
and suggest a possible role of these indices in planning the follow-up of
monochorionic diamniotic twin pregnancies.
PMID- 24919587
TI - Effectiveness of phototherapy incorporated into an exercise program for
osteoarthritis of the knee: study protocol for a randomized controlled trial.
AB - BACKGROUND: Osteoarthritis is a chronic disease with a multifactor etiology
involving changes in bone alignment, cartilage, and other structures necessary to
joint stability. There is a need to investigate therapeutic resources that
combine different wavelengths as well as different light sources (low-level laser
therapy and light-emitting diode therapy) in the same apparatus for the treatment
of osteoarthritis. The aim of the proposed study is to analyze the effect of the
incorporation of phototherapy into a therapeutic exercise program for individuals
with osteoarthritis of the knee. METHODS/DESIGN: A double-blind, controlled,
randomized clinical trial will be conducted involving patients with
osteoarthritis of the knee. Evaluations will be performed using functional
questionnaires before and after the treatment protocols, in a reserved room with
only the evaluator and participant present, and no time constraints placed on the
answers or evaluations. The following functional tests will also be performed:
stabilometry (balance assessment), dynamometry (muscle strength of gluteus medius
and quadriceps), algometry (pain threshold), fleximeter (range of motion), timed
up-and-go test (functional mobility), and the functional reach test. The
participants will then be allocated to three groups through a randomization
process using opaque envelopes: exercise program, exercise program +
phototherapy, or exercise program + placebo phototherapy, all of which will last
for eight weeks. DISCUSSION: The purpose of this randomized clinical trial is to
analyze the effect of the incorporation of phototherapy into a therapeutic
exercise program for osteoarthritis of the knee. The study will support the
practice based on evidence to the use of phototherapy in individuals with a
diagnosis of osteoarthritis of the knee. Data will be published after the study
is completed. TRIAL REGISTRATION: The protocol for this study has been submitted
to Clinical Trials, registration number NCT02102347, on 29 March 2014.
PMID- 24919588
TI - Minocycline and cisplatin exert synergistic growth suppression on hepatocellular
carcinoma by inducing S phase arrest and apoptosis.
AB - Minocycline, a semisynthetic tetracycline, is a highly lipophilic molecule
capable of infiltrating tissues and blood. Previous studies have revealed the
functions and mechanisms of minocycline in anti-inflammation, protection of the
nervous system and certain tumors. The role of minocycline has never been
investigated in hepatocellular carcinoma (HCC). The functions of minocycline on
HCC cells were investigated using immunohistochemical staining and western
blotting. Minocycline was applied to L02, HepG2 and Huh7 cells, and the growth
characteristics were studied. Cisplatin was administered in combination with
minocycline in this study. Cell cycle and apoptosis analyses were employed to
investigate the mechanisms underlying the growth regulation associated with
minocycline and(or) cisplatin. Minocycline caused S phase cell cycle arrest and
an increase in the apoptotic rate associated with upregulation of p27, cleaved
caspase8, cleaved-caspase3 and cleaved-PRAP-1. Low dose of cisplatin promoted
cell cycle arrest and apoptosis, whereas minocycline was mainly associated with
upregulation of cleaved-PARP-1. The combination of cisplatin and minocycline
increased the rate and extent of cell cycle arrest and increased the apoptosis
rate caused by minocycline. A novel mechanism was revealed. Minocycline functions
as an antitumor drug in HCC by regulating p27, caspase-3 and PARP-1. Cisplatin
enhanced minocycline's effect on PARP-1.
PMID- 24919589
TI - Testicular histomorphologic and stereological alterations following short-term
treatment with highly active antiretroviral drugs (HAART) in an experimental
animal model.
AB - The increased accessibility of antiretroviral therapy continues to positively
drive the reduction in viral load and survival of patients despite the attendant
reproductive toxicities. We propose that testicular damage caused by highly
active antiretroviral therapy (HAART) can be attenuated by antioxidant treatment
by investigating the testicular histomorphologic and stereological effects of
antiretroviral drugs and its interaction with antioxidants using an experimental
animal model. Sprague-Dawley rats were divided into seven groups of six rats per
group (A, B... G) using simple random sampling and treated orally with 0.9%
normal saline as placebo, a HAART cocktail of stavudine, lamivudine and
nevirapine using the adjusted human therapeutic doses of 200, 600 and 350-400
mg/day, respectively, and antioxidants ascorbic acid (vitamin C) and I.M alpha
tocopherol (vitamin E). Animals were killed after 4 weeks and testicular tissue
harvested and processed for light microscopy and stereological evaluations. The
results were interpreted by a Veterinary pathologist blinded to the study. No
animal died during the experimental period. The histopathological assessment of
the testis of animals treated with placebo, ascorbic acid alone and alpha
tocopherol alone as well as vitamin E + HAART displayed normal testicular
microanatomy. Groups treated with HAART alone, HAART + vitamin C + vitamin E and
vitamins C + HAART showed extensive seminiferous tubular atrophy, necrosis and
hypocellularity in the histoarchitectural patterns. While testicular cross
sectional area of seminiferous tubules remained unaffected by HAART, epithelial
heights significantly decreased (p < 0.05) when compared with controls. There was
marked (p < 0.05) increased in testicular-body weight ratio in HAART group. The
results show that vitamin E could be useful in protecting testicular tissue from
toxicities of HAART regimes as these results mirrors stereological data for the
groups. HAART presents with deleterious histopathological changes in the testes
causing tubular atrophy with altered morphometric indices. Supplementation with
vitamin E appears to be a better adjuvant antioxidant that ameliorates these
deleterious effects.
PMID- 24919591
TI - Positive end-expiratory pressure (PEEP) during anaesthesia for prevention of
mortality and postoperative pulmonary complications.
AB - BACKGROUND: General anaesthesia causes atelectasis, which can lead to impaired
respiratory function. Positive end-expiratory pressure (PEEP) is a mechanical
manoeuvre that increases functional residual capacity (FRC) and prevents collapse
of the airways, thereby reducing atelectasis. It is not known whether
intraoperative PEEP alters the risks of postoperative mortality and pulmonary
complications. This review was originally published in 2010 and was updated in
2013. OBJECTIVES: To assess the benefits and harms of intraoperative PEEP in
terms of postoperative mortality and pulmonary outcomes in all adult surgical
patients. SEARCH METHODS: We searched the Cochrane Central Register of Controlled
Trials (CENTRAL) 2013, Issue 10, part of The Cochrane Library, as well as MEDLINE
(via Ovid) (1966 to October 2013), EMBASE (via Ovid) (1980 to October 2013),
CINAHL (via EBSCOhost) (1982 to October 2013), ISI Web of Science (1945 to
October 2013) and LILACS (via BIREME interface) (1982 to October 2010). The
original search was performed in January 2010. SELECTION CRITERIA: We included
randomized clinical trials assessing the effects of PEEP versus no PEEP during
general anaesthesia on postoperative mortality and postoperative respiratory
complications in adults, 16 years of age and older. DATA COLLECTION AND ANALYSIS:
Two review authors independently selected papers, assessed trial quality and
extracted data. We contacted study authors to ask for additional information,
when necessary. We calculated the number of additional participants needed
(information size) to make reliable conclusions. MAIN RESULTS: This updated
review includes two new randomized trials. In total, 10 randomized trials with
432 participants and four comparisons are included in this review. One trial had
a low risk of bias. No differences were demonstrated in mortality, with risk
ratio (RR) of 0.97 (95% confidence interval (CI) 0.20 to 4.59; P value 0.97; 268
participants, six trials, very low quality of evidence (grading of
recommendations assessment, development and evaluation (GRADE)), and in
pneumonia, with RR of 0.40 (95% CI 0.11 to 1.39; P value 0.15; 120 participants,
three trials, very low quality of evidence (GRADE)). Statistically significant
results included the following: The PEEP group had higher arterial oxygen
pressure (PaO2)/fraction of inspired oxygen (FiO2) on day one postoperatively,
with a mean difference of 22.98 (95% CI 4.40 to 41.55; P value 0.02; 80
participants, two trials, very low quality of evidence (GRADE)), and
postoperative atelectasis (defined as an area of collapsed lung, quantified by
computerized tomography scan) was less in the PEEP group (standard mean
difference -1.2, 95% CI -1.78 to -0.79; P value 0.00001; 88 participants, two
trials, very low quality of evidence (GRADE)). No adverse events were reported in
the three trials that adequately measured these outcomes (barotrauma and cardiac
complications). Using information size calculations, we estimated that a further
21,200 participants would have to be randomly assigned to allow a reliable
conclusion about PEEP and mortality. AUTHORS' CONCLUSIONS: Evidence is currently
insufficient to permit conclusions about whether intraoperative PEEP alters risks
of postoperative mortality and respiratory complications among undifferentiated
surgical patients.
PMID- 24919592
TI - (13)C NMR investigations and the molecular order of 4-(trans-4'-hexylcyclohexyl)
isothiocyanatobenzene (6CHBT).
AB - The static 1D (13)C and 2D Proton Encoded Local Field (PELF) NMR experiments are
carried out in the nematic phase of a less viscous liquid crystal 4-(trans-4'
hexylcyclohexyl)-isothiocyanatobenzene (6CHBT) with a view to find orientational
order. The PELF spectra provide better resolution which facilitates the
assignment of cyclohexyl and phenyl ring carbons relatively easy. For the
cyclohexyl unit, four pairs of dipolar splitting are clearly noticed in contrast
to earlier reports on structurally similar mesogens where only two pairs of
doublets are seen. The linear relationship between anisotropic chemical shifts
and orientational order is established and semi-empirical parameters are obtained
to aid the study of the order behaviour of 6CHBT over the entire nematic range.
The data further fitted to the Haller equation and a reasonably good agreement is
observed. The temperature dependence trends of orientational order parameters
extracted for various carbons using (13)C-(1)H dipolar couplings with those of
(13)C chemical shifts are compared. A gradual decrease in the order parameter is
noticed for different molecular segments while traversing from the core to the
aliphatic chain via the cyclohexyl ring. The notable decreasing trends of order
parameters along the chain are observed similar to those of the corresponding
phenyl cyclohexanes reported earlier.
PMID- 24919593
TI - Aberrant PTPRO methylation in tumor tissues as a potential biomarker that
predicts clinical outcomes in breast cancer patients.
AB - BACKGROUND: Aberrant hypermethylation of gene promoter regions is a primary
mechanism by which tumor suppressor genes become inactivated in breast cancer.
Epigenetic inactivation of the protein tyrosine phosphatase receptor-type O gene
(PTPRO) has been described in several types of cancer. RESULTS: We screened
primary breast cancer tissues for PTPRO promoter hypermethylation and assessed
potential associations with pathological features and patient outcome. We also
evaluated its potential as a breast cancer biomarker. PTPRO methylation was
observed in 53 of 98 (54%) breast cancer tissues but not in adjacent normal
tissue. Among matched peripheral blood samples from breast cancer patients, 33 of
98 (34%) exhibited methylated PTPRO in plasma. In contrast, no methylated PTPRO
was observed in normal peripheral blood from 30 healthy individuals. PTPRO
methylation was positively associated with lymph node involvement (P = 0.014),
poorly differentiated histology (P = 0.037), depth of invasion (P = 0.004), and
HER2 amplification (P = 0.001). Multivariate analysis indicated that aberrant
PTPRO methylation could serve as an independent predictor for overall survival
hazard ratio (HR): 2.7; 95% CI: 1.1-6.2; P = 0.023), especially for patients with
HER2-positive (hazard ratio (HR): 7.5; 95% CI: 1.8-31.3; P = 0.006), but not in
ER + and PR + subpopulation. In addition, demethylation induced by 5-azacytidine
led to gene reactivation in PTPRO-methylated and -silenced breast cancer cell
lines. CONCLUSIONS: Here, we report that tumor PTPRO methylation is a strong
prognostic factor in breast cancer. Methylation of PTPRO silences its expression
and plays an important role in breast carcinogenesis. The data we present here
may provide insight into the development of novel therapies for breast cancer
treatment. Additionally, detection of PTPRO methylation in peripheral blood of
breast cancer patients may provide a noninvasive means to diagnose and monitor
the disease.
PMID- 24919594
TI - Efficient diagnosis of Sjogren's syndrome to reduce the burden on patients.
AB - OBJECTIVE: The purpose of this study was to investigate the procedures for
efficiently diagnosing Sjogren's syndrome to reduce patient burden. METHODS: This
study analyzed data from 254 Japanese patients diagnosed with Sjogren's syndrome
out of 4967 who visited our clinic complaining of xerostomia. RESULTS: Of the 254
Sjogren's syndrome patients, 140 fulfilled the criteria proposed by the Committee
on Sjogren's Syndrome of the Ministry of Health and Welfare of Japan, 228
fulfilled the criteria proposed by the American-European Consensus Group, and 69
fulfilled the criteria proposed by the American College of Rheumatology. Numbers
of definitive cases varied with each set of criteria. Logistic regression
analysis was used to analyze useful examination items for definitive diagnosis of
Sjogren's syndrome, demonstrating that anti-Ro/SSA (odds ratio (OR), 7.165), lip
biopsy (OR, 4.273), sialography (OR, 2.402), and ANA (OR, 0.678) correlated
significantly with definitive diagnosis of Sjogren's syndrome. CONCLUSIONS: These
results suggest that the following diagnostic procedure for Sjogren's syndrome
would reduce burden on patients. When clinicians choose examination items for
diagnosing Sjogren's syndrome, they should first select which criteria to use.
Then, to minimize the number of examination items, examinations should be
performed in order of anti-SSA antibody, lip biopsy, and parotid gland
sialography.
PMID- 24919595
TI - High-resolution chromosomal microarrays in prenatal diagnosis significantly
increase diagnostic power.
AB - OBJECTIVE: The objective of this study was to determine for the first time the
reliability and the diagnostic power of high-resolution microarray testing in
routine prenatal diagnostics. METHODS: We applied high-resolution chromosomal
microarray testing in 464 cytogenetically normal prenatal samples with any
indication for invasive testing. RESULTS: High-resolution testing revealed a
diagnostic yield of 6.9% and 1.6% in cases of fetal ultrasound anomalies and
cases of advanced maternal age (AMA), respectively, which is similar to previous
studies using low-resolution microarrays. In three (0.6%) additional cases with
an indication of AMA, an aberration in susceptibility risk loci was detected.
Moreover, one case (0.2%) showed an X-linked aberration in a female fetus, a
finding relevant for future family planning. We found the rate of cases, in which
the parents had to be tested for interpretation of unreported copy number
variants (3.7%), and the rate of remaining variants of unknown significance
(0.4%) acceptably low. Of note, these findings did not cause termination of
pregnancy after expert genetic counseling. The 0.4% rate of confined placental
mosaicism was similar to that observed by conventional karyotyping and notably
involved a case of placental microdeletion. CONCLUSION: High-resolution prenatal
microarray testing is a reliable technique that increases diagnostic yield by at
least 17.3% when compared with conventional karyotyping, without an increase in
the frequency of variants of uncertain significance.
PMID- 24919596
TI - Systemic lupus erythematosus: molecular cloning and analysis of recombinant DNase
monoclonal kappa light chain NGK-1.
AB - Because DNase antibodies are cytotoxic, enter the nucleus and cause DNA
fragmentation inducing cell death by apoptosis, they can play an important role
in the pathogenesis of different autoimmune pathologies and especially systemic
lupus erythematosus (SLE). The interesting goal of catalytic antibodies research
is not only to study a possible biological role of such antibodies, but also to
develop in future new human and animal therapies that use the advantages offered
by abzymes. An immunoglobulin kappa light chain library from SLE patients was
cloned into a phagemid vector. Phage particles displaying recombinant monoclonal
antibody light chains (MLChs) capable of binding DNA were isolated by affinity
chromatography on DNA-cellulose. Sixteen of the 46 MLChs efficiently hydrolyzed
DNA; one MLCh (approximately 27-28kDa) was expressed in Escherichia coli and
purified by metal chelating and gel filtration. MLCh NGK-1 was
electrophoretically homogeneous and demonstrated a positive answer with mouse
IgGs against light chains of human antibodies after western blotting. SDS-PAGE in
a gel containing DNA demonstrated that the MLCh hydrolyzes DNA and is not
contaminated by canonical DNases. The DNase MLCh was activated by several metal
ions. The protein sequence of the DNase MLCh has homology with mammalian DNases I
and shares with them several identical or similar (with the same side chain
functionality) important amino acid residues, which are necessary for DNA
hydrolysis and binding of Mg(2+) and Ca(2+) ions. The affinity of DNA for this
first example of a MLCh (K(M) = 0.3 microM) was 150- to 200-fold higher than for
human DNase I.
PMID- 24919597
TI - Predictors of 30-day hospital readmission following ischemic and hemorrhagic
stroke.
AB - Stroke patients have a high rate of 30-day readmission. Understanding the
characteristics of patients at high risk of readmission is critical. A
retrospective case-control study was designed to determine factors associated
with 30-day readmission after stroke. A total of 79 cases with acute ischemic or
hemorrhagic strokes readmitted to the same hospital within 30 days were compared
with 86 frequency-matched controls. Readmitted patients were more likely to have
had >=2 hospitalizations in the year prior to stroke (21.5% vs 2.3% in controls,
P < .001), and in the multivariate model, admission National Institutes of Health
Stroke Score (NIHSS; odds ratio [OR] = 1.072; 95% confidence interval [CI] =
1.021-1.126 per 1 point increase; P = .005), prior hospitalizations (OR = 2.205;
95% CI = 1.426-3.412 per admission; P < .001), and absence of hyperlipidemia (OR
= 0.444; 95% CI = 0.221-0.894; P = .023) were independently associated with
readmission. The research team concludes that admission NIHSS and frequent prior
hospitalizations are associated with 30-day readmission after stroke. If
validated, these characteristics identify high-risk patients and focus efforts to
reduce readmission.
PMID- 24919598
TI - Implementation of unit-based interventions to improve teamwork and patient safety
on a medical service.
AB - In a prior study involving 2 medical units, Structured Interdisciplinary Rounds
(SIDRs) improved teamwork and reduced adverse events (AEs). SIDR was implemented
on 5 additional units, and a pre- versus postintervention comparison was
performed. SIDR combined a structured format for communication with daily
interprofessional meetings. Teamwork was assessed using the Safety Attitudes
Questionnaire (score range = 0-100), and AEs were identified using queries of
information systems confirmed by 2 physician researchers. Paired analyses for 82
professionals completing surveys both pre and post implementation revealed
improved teamwork (mean 76.8 +/- 14.3 vs 80.5 +/- 11.6; P = .02), which was
driven mainly by nurses (76.4 +/- 14.1 vs 80.8 +/- 10.4; P = .009). The AE rate
was similar across study periods (3.90 vs 4.07 per 100 patient days; adjusted IRR
= 1.08; P = .60). SIDR improved teamwork yet did not reduce AEs. Higher baseline
teamwork scores and lower AE rates than the prior study may reflect a positive
cultural shift that began prior to the current study.
PMID- 24919599
TI - Humoral immune response to Shiga Toxin 2 (Stx2) among Brazilian urban children
with hemolytic uremic syndrome and healthy controls.
AB - BACKGROUND: Shiga toxin (Stx)-producing Escherichia coli (STEC) infection is
associated with hemolytic uremic syndrome (HUS), the main cause of acute renal
failure in early childhood. Stx is essential in the pathogenesis of HUS, which
has been mostly related to Stx2-producing isolates. Very limited data exist on
the immune response to STEC in the Brazilian population. In this study, the
prevalence of immunoglobulin G (IgG) antibodies to Stx2 was investigated in sera
of children diagnosed with HUS and of healthy children in the city of Sao Paulo,
Brazil. METHODS: IgG-antibody reactivity to Stx2 was determined by immunoblotting
(WB) and enzyme-linked immunosorbent assay (ELISA) in sera from 13 children with
HUS aged 8 months to 6 years and 54 healthy urban children aged 5 months to 7
years. RESULTS: A positive immune response to the A and B subunits of Stx2 was
observed in 46.1% HUS patients and in 16.6% healthy individuals by WB. All HUS
patients and 62.9% healthy children showed IgG antibodies to the Stx2 A subunit.
The frequency of antibodies to both subunits or only to the A subunit of Stx2 was
significantly higher in HUS patients than controls (p<0.05). Also, the mean OD
value obtained by ELISA was higher in that group. Considering children's age, the
frequency of reactivity to either the A subunit or both subunits of Stx2 was
considerably higher in HUS children up to three years old compared to controls in
the same age range. Moreover, in almost 37% of healthy children, no immune
response to Stx2 was detected independently of the child's age. CONCLUSIONS: The
seroepidemiolgy of anti-Stx2 antibodies was described for the first time in
healthy children and children with HUS in Brazil. The percentage of individuals
showing antibodies against Stx2 was higher among HUS patients than controls, and
in spite of the low number of notified HUS cases, STEC strains are circulating in
our settings. In addition, the results obtained also corroborated previous data
on the increased sensitivity and specificity of WB compared to toxin-based enzyme
immunoassays.
PMID- 24919600
TI - Passionate scholarship or academic safety: an ethical issue.
AB - Are we passionate scholars or is academic safety something to which we aspire? Do
we teach our students one thing and practice another? Are some forms of
scholarship more acclaimed than others, some methodologies more acceptable? What
are the ethical implications in these various questions? In this article, I
outline my experiences, both as a student researcher and as an educator, that
have brought me to ask these things. Holism is an ideal that many nursing
students are taught and encouraged to bring to their practice, and yet holism
does not seem, in many instances, to be supported in academia or in bedside
practice. I suggest the possible causes for these difficulties and propose
solutions. I suggest that the bedrock of ethical practice, both in the academy
and with patients, is to bring all of who we are, the alchemic mystery of holism,
to everything we do.
PMID- 24919601
TI - Reply: To PMID 24708382.
PMID- 24919602
TI - Optimization of medium composition for 3-hydroxycarboxylic acid production by
Pseudomonas mendocina-biodegraded polyhydroxybutyrate.
AB - We optimized the culture medium for 3-hydroxycarboxylic acid production by
Pseudomonas mendocina DS-04-T-biodegraded polyhydroxybutyrate (PHB) using the
Plackett-Burman design, steepest ascent method, and Box-Behnken design. The
optimized concentrations of the constituents of the culture medium were as
follows: PHB (7.57 g/L), NH4 Cl (5.0 g/L), KH2 PO4 (2.64 g/L), Na2 HPO4 .12H2 O
(12 g/L), MgSO4 .7H2 O (0.5 g/L), and CaCl2 .2H2 O (5 mg/L). The yield of 3
hydroxycarboxylic acid obtained using the optimized culture medium was 56.8 +/-
1.64%, which was 2.5-fold higher than that obtained when the unoptimized culture
medium was used.
PMID- 24919603
TI - Greater fear of hypoglycaemia with premixed insulin than with basal-bolus insulin
glargine and glulisine: patient-reported outcomes from a 60-week randomised
study.
AB - AIM: To assess the effect of initiating insulin treatment on quality of life of
patients with type 2 diabetes (T2DM) in the 60-week All-to-Target trial
(NCT00384085). METHODS: Patient-reported outcomes from a phase IV, multicentre,
randomised, open-label, parallel-group study were analysed. Participants were
randomised to: insulin glargine with up to one insulin glulisine injection (G +
1); insulin glargine with stepwise addition of up to three insulin glulisine
injections (G + 3); or twice-daily premixed 70/30 insulin protamine-aspart/aspart
(PM-2). Patient-reported outcome questionnaires were administered at weeks 0, 6,
12, 24, 36, 48 and 60. RESULTS: There were no between-group differences in the
Psychosocial Adjustment to Illness State-Self Report (PAIS-SR) or in the EuroQoL
Group Five-Dimension Self-Report Index Questionnaire (EQ-5D) from baseline to
week 60; however, PAIS-SR scores improved significantly over this period in the G
+ 3 group (p = 0.0016) and EQ-5D scores worsened significantly in the PM-2 group
(p = 0.02). Hypoglycemia Fear Survey Behaviour and Worry subscales worsened
significantly for all groups, with greater deterioration being observed in the PM
2 group than in the G + 1 group (Behaviour, p = 0.0050; Worry, p = 0.0017) and G
+ 3 groups (Behaviour, p = 0.0105; Worry, p = 0.0016). Total scores on the
Diabetes Quality of Life (DQoL) questionnaire improved more in the G + 3 group
than in the PM-2 group over the study period (p = 0.0284), with all groups
showing a significant improvement in DQoL score over time. CONCLUSION: Insulin
glargine-based regimens showed advantages over premixed insulin in a number of
patient-reported outcome measures. The potential impact on fear of hypoglycaemia
may be of particular relevance when addressing the major barriers to early
insulin treatment.
PMID- 24919604
TI - The effects of a diet rich in inulin or wheat fibre on markers of cardiovascular
disease in overweight male subjects.
AB - BACKGROUND: Previous studies suggest that the beneficial health effects of a diet
rich in whole grains could be a result of the individual fibres found in the
grain. The present study aimed to investigate the influence of a diet high in
either wheat fibre (as an example of an insoluble fibre) or inulin (a
nondigestible carbohydrate) on markers of cardiovascular disease. METHODS: Ten
male participants classified as at higher risk of cardiovascular disease [mean
(SD) body mass index 30.2 (3) kg m(-2) , mean (SD) waist circumference 106.4 (7)
cm, mean (SD) age 39.8 (9) years] were recruited to a randomised, controlled,
cross-over study comparing the consumption of bespoke bread rolls containing
either inulin, wheat germ or refined grain (control) (15 g day(-1) ) for 4 weeks
with a 4-week washout period between each regime. At the end of each regime,
participants underwent an oral glucose tolerance test (OGTT), measures of pulse
wave velocity (PWV), 24-h ambulatory blood pressure (AMBP), plasma lipid status
and markers of glucose control. RESULTS: There was no difference in measures of
glucose control, lipid status, 24-h AMBP or PWV after the intervention periods
and no changes compared to baseline. There was no significant difference between
OGTT glucose and insulin time profiles; however, there was a significant
difference in area under the curves between the wheat fibre and control
interventions when comparing change from baseline (control +10.2%, inulin +4.3%,
wheat fibre -2.5%; P = 0.03). CONCLUSIONS: Only limited differences between the
interventions were identified, perhaps as a consequence of the amount of fibre
used and intervention length. The wheat germ intervention resulted in a
significant reduction in glucose area under the curve, suggesting that this fibre
may aid glucose control.
PMID- 24919605
TI - Liver transplantation versus liver resection for hepatocellular carcinoma: a meta
analysis.
AB - BACKGROUND: Liver transplantation (LT) and liver resection (LR) are currently
considered the standard treatment of patients with hepatocellular carcinoma
(HCC). However, the outcomes of LT and LR are still inconclusive. DATA SOURCES:
MEDLINE, EMBASE, and Cochrane Library were searched for relevant studies.
Surgical safety indices such as treatment-related morbidity and mortality, and
efficacy indices such as overall and tumor-free survival outcomes were evaluated.
Weighted mean differences and odds ratios (ORs) were calculated using a random
effects model. RESULTS: Seventeen studies were included in this meta-analysis. LT
achieved significantly higher rates of surgery-related morbidity (OR=1.47; 95%
CI: 1.02-2.13) and mortality (OR=2.12; 95% CI: 1.11-4.05). Likewise, the 1-year
survival rate was lower in LT (OR=0.86; 95% CI: 0.61-1.20). However, the 3- and 5
year survival rates were significantly higher in LT than in LR and the ORs were
1.12 (95% CI: 0.96-1.30) in 3 years and 1.84 (95% CI: 1.49-2.28) in 5 years.
Furthermore, the tumor-free survival rate in LT was significantly higher than
that in LR in 1, 3, 5 years after surgery, with the ORs of 1.72 (95% CI: 1.24
2.41), 3.75 (95% CI: 2.94-4.78) and 5.64 (95% CI: 4.35-7.31), respectively.
CONCLUSIONS: One-year morbidity and mortality are higher in LT than in LR for
patients with HCC. However, long-term survival and tumor-free survival rates are
higher in patients treated with LT than those treated with LR.
PMID- 24919606
TI - Different cava reconstruction techniques in liver transplantation: piggyback
versus cava resection.
AB - BACKGROUND: Originally, cava reconstruction (CR) in liver transplantation meant
complete resection and reinsertion of the donor cava. Alternatively, preservation
of the recipients inferior vena cava (IVC) with side-to-side anastomosis (known
as "piggyback") can be performed. Here, partial clamping maintains blood flow of
the IVC, which may improve cardiovascular stability, reduce blood loss and
stabilize kidney function. The aim of this study was to compare both techniques
with particular focus on kidney function. METHODS: A series of 414 patients who
had had adult liver transplantations (2006-2009) were included. Among them, 176
(42.5%) patients had piggyback and 238 had classical CR operation, 112 (27.1%) of
the patients underwent CR accompanied with veno-venous bypass (CR-B) and 126
(30.4%) without a bypass. The choice of either technique was based on the
surgeons' individual preference. Kidney function [serum creatinine, calculated
glomerular filtration rate (GFR), RIFLE stages] was assessed over 14 days.
RESULTS: Lab-MELD scores were significantly higher in CR-B (22.5+/-11.0) than in
CR (17.3+/-9.0) and piggyback (18.8+/-10.0) (P=0.008). Unexpectedly, the
incidences of arterial stenoses (P=0.045) and biliary leaks (P=0.042) were
significantly increased in piggyback. Preoperative serum creatinine levels were
the highest in CR-B [1.45+/-1.17 vs 1.25+/-0.85 (piggyback) and 1.13+/-0.60 mg/dL
(CR); P=0.033]. Although a worsening of postoperative kidney function was
observed among all groups, this was most pronounced in CR-B [creatinine day 14:
1.67+/-1.40 vs 1.35+/-0.96 (piggyback) and 1.45+/-1.03 mg/dL (CR); P=0.102].
Accordingly, the proportion of patients displaying RIFLE stages >=2 was the
highest in CR/CR-B (26%/19%) when compared to piggyback (18%). CONCLUSIONS:
Piggyback revealed a shorter warm ischemic time, a reduced blood loss, and a
decreased risk of acute kidney failure. Thus, piggyback is a useful technique,
which should be applied in standard procedures. When piggyback is unfeasible,
cava replacement, which displayed a lower incidence of vascular and biliary
complications in our study, remains as a safe alternative.
PMID- 24919607
TI - Pretransplant absolute monocyte count in peripheral blood predicts posttransplant
tumor prognosis in patients undergoing liver transplantation for hepatocellular
carcinoma.
AB - BACKGROUND: Preoperative absolute monocyte count in peripheral blood (AMCPB) is
closely associated with prognoses in not only various malignancies but also
hepatocellular carcinoma (HCC). The purpose of this study was to evaluate whether
pretransplant AMCPB predicts posttransplant outcomes in patients with HCC
undergoing liver transplantation (LT). METHOD: We retrospectively analyzed
relationships between clinicopathologic factors involving pretransplant AMCPB and
tumor recurrence or survival in 256 patients who had undergone LT for HCC between
January 2005 and April 2012. RESULTS: ROC curve analysis showed that AMCPB
>200/mm(3) was a risk factor for tumor recurrence; 43 patients showed higher
AMCPB (>200/mm(3)), whereas 213 showed lower AMCPB (<=200/mm(3)) at the time of
LT. On multivariate analysis, pretransplant high AMCPB, positive findings in
pretransplant (18)F-FDG PET/CT, pathological maximal tumor size >5 cm,
intrahepatic metastasis, moderately or poorly differentiated tumor and
microvascular invasion were independent factors affecting recurrence-free
survival. When we performed subgroup analysis based on the Milan criteria, high
AMCPB was an independent factor for predicting HCC recurrence in patients with
tumor beyond the Milan criteria (P=0.004), and not for patients within the
criteria. CONCLUSION: This study demonstrated that pretransplant AMCPB could
predict tumor recurrence after LT for HCC, especially in patients with tumor
beyond the Milan criteria.
PMID- 24919608
TI - Impact of intra-operative cholangiography and parenchymal resection to donor
liver function in living donor liver transplantation.
AB - BACKGROUND: Living donor liver transplantation (LDLT) has been widely accepted
over the past decade, and hepatic dysfunction often occurs in the donor in the
early stage after liver donation. The present study aimed to evaluate the effect
of intra-operative cholangiography (IOC) and parenchymal resection on liver
function of donors in LDLT, and to assess the role of IOC in influencing the
biliary complications and improving the overall outcome. METHODS: Data from 40
patients who had donated their right lobes for LDLT were analyzed. Total
bilirubin (TB), alanine aminotransferase (ALT), aspartate aminotransferase (AST),
alkaline phosphatase (ALP) and gamma-glutamyl transpeptidase (GGT) at different
time points were compared, and the follow-up data and the biliary complications
were also analyzed. RESULTS: The ALT and AST values were significantly increased
after IOC (P<0.001) and parenchymal resection (P<0.001). However, the median
values of TB, ALP and GGT were not significantly influenced by IOC (P>0.05) or
parenchymal resection (P>0.05). The biochemical changes caused by IOC or
parenchymal resection were not correlated with the degree of post-operative liver
injury or the recovery of liver function. The liver functions of the donors after
operation were stable, and none of the donors suffered from biliary stenosis or
leakage during the follow-up. CONCLUSIONS: IOC and parenchymal resection may
induce a transient increase in liver enzymes of donors in LDLT, but do not affect
the recovery of liver function after operation. Moreover, the routine IOC is
helpful to clarify the division line of the hepatic duct, thus reducing the
biliary complication rate.
PMID- 24919609
TI - Mesohepatectomy versus extended hemihepatectomy for centrally located
hepatocellular carcinoma.
AB - BACKGROUND: Extended hemihepatectomy is usually recommended to treat large
centrally located hepatocellular carcinoma (HCC). However, the morbidity and
mortality are high because of the postoperative liver failure. Mesohepatectomy is
seldom used because of its technical complexity. This study aimed to evaluate the
short-term and long-term curative effect of mesohepatectomy. METHODS: From
January 2002 to September 2008, a total of 198 consecutive patients with
centrally located HCC underwent hepatectomy in our department. According to the
surgical procedures, they were divided into mesohepatectomy (group M, n=118),
extended right hemihepatectomy (group RE, n=47) and extended left hemihepatectomy
(group LE, n=33) groups. The surgical techniques, clinical pathological
characteristics and outcomes were compared between group M, group RE and group
LE. RESULTS: The operative time of group M was significantly longer than that of
the other two groups (P<0.05); however the total bilirubin on postoperative day 3
in group M was the lowest among the three groups (P<0.01). In group M, the number
of the patients whose resection margin achieving 1 cm was significantly lower
than that of the other two groups (P<0.05). The mortality rates in groups M, RE
and LE were 2.5%, 8.5% and 3.0%, respectively (P>0.05). The morbidity rate in
group M was significantly lower than that in group RE (37.3% vs 55.3%, P=0.034),
but not in group LE (37.3% vs 24.2%, P=0.163). The biliary leakage tended to be
more common in group M (10.2%, P>0.05). The incidence of postoperative liver
failure in group M was significantly lower than that in group RE (1.7% vs 10.6%,
P=0.032), but not in group LE (1.7% vs 6.1%, P=0.208). The 1-, 3- and 5-year
tumor-free survival rates and the overall survival rates after mesohepatectomy
were 53.4%, 30.5% and 16.9% and 67.8%, 45.5% and 28.9%, respectively.
CONCLUSIONS: Mesohepatectomy is a safe and effective technique for centrally
located HCC patients. Compared with extended right hemihepatectomy,
mesohepatectomy can retain residual liver volume to the maximum limit and reduce
postoperative liver failure rate. But no significant advantage was found compared
mesohepatectomy to extended left hemihepatectomy.
PMID- 24919610
TI - Indocyanine green clearance test combined with MELD score in predicting the short
term prognosis of patients with acute liver failure.
AB - BACKGROUND: Acute liver failure (ALF) is an acute severe deterioration of liver
function with high mortality. Early and accurate prognostic assessment of
patients with ALF is critically important. Although the model for end-stage liver
disease (MELD) scores and King's College Hospital (KCH) criteria are well
accepted as predictive tools, their accuracy is unsatisfactory. The indocyanine
green (ICG) clearance test (ICGR15, ICG retention rate at the 15 minutes) is a
sensitive indicator of liver function. In this study, we investigated the
efficacy of the ICGR15 for the short-term prognosis in patients with ALF. We
compared the predictive value of ICGR15 with the MELD scores and KCH criteria.
METHODS: Sixty-nine patients who had been diagnosed with ALF were recruited
retrospectively. ICGR15 had been performed by ICG pulse spectrophotometry and
relevant clinical and laboratory indices were analyzed within 24 hours of
diagnosis. In addition, the MELD scores and KCH criteria were calculated.
RESULTS: The three-month mortality of all patients was 47.83%. Age, serum total
bilirubin and creatinine concentrations, international normalized ratio for
prothrombin time, ICGR15, MELD scores and KCH criteria differed significantly
between surviving and deceased patients. A positive correlation was observed
between ICGR15 and MELD scores (r=0.328, P=0.006). The ICGR15-MELD model,
Logit(P)=0.096XICGR15+0.174XMELD score-9.346, was constructed by logistic
regression analysis. The area under the receiver operating characteristic curve
was 0.855. When set the cut-off point to -0.4684, the sensitivity was 87.90% and
specificity, 72.20%. The area under the receiver operating characteristic curve
of the ICGR15-MELD model (0.855) was significantly higher than that of the ICGR15
(0.793), MELD scores (0.776) and KCH criteria (0.659). Based on this cut-off
value, the patients were divided into two groups. The mortality was 74.36% in the
first group (ICGR15-MELD>=-0.4686) and 13.33% in the second group (ICGR15-MELD<
0.4686), with a significant difference between the two groups (X(2)=25.307,
P=0.000). CONCLUSION: The ICGR15-MELD model is superior to the ICGR15, MELD
scores, and KCH criteria in predicting the short-term prognosis of patients with
ALF.
PMID- 24919611
TI - Acute liver failure in Chinese children: a multicenter investigation.
AB - BACKGROUND: Currently, no documentation is available regarding Chinese children
with acute liver failure (ALF). This study was undertaken to investigate
etiologies and outcomes of Chinese children with ALF. METHODS: We retrospectively
enrolled 32 pediatric patients with ALF admitted in five hospitals in different
areas of China from January 2007 to December 2012. The coagulation indices, serum
creatinine, serum lactate dehydrogenase, blood ammonia and prothrombin activity
were analyzed; the relationship between these indices and mortality was evaluated
by multivariate analysis. RESULTS: The most common causes of Chinese children
with ALF were indeterminate etiology (15/32), drug toxicity (8/32), and acute
cytomegalovirus hepatitis (6/32). Only 1 patient (3.13%) received liver
transplantation and the spontaneous mortality of Chinese children with ALF was
58.06% (18/31). Patients who eventually died had higher baseline levels of
international normalized ratio (P=0.01), serum creatinine (P=0.04), serum lactate
dehydrogenase (P=0.01), blood ammonia (P<0.01) and lower prothrombin activity
(P=0.01) than those who survived. Multivariate analysis showed that the entry
blood ammonia was the only independent factor significantly associated with
mortality (odds ratio=1.069, 95% confidence interval 1.023-1.117, P<0.01) and it
had a sensitivity of 94.74%, a specificity of 84.62% and an accuracy of 90.63%
for predicting the death. Based on the established model, with an increase of
blood ammonia level, the risk of mortality would increase by 6.9%. CONCLUSIONS:
The indeterminate causes predominated in the etiologies of ALF in Chinese
children. The spontaneous mortality of pediatric patients with ALF was high,
whereas the proportion of patients undergoing liver transplantation was
significantly low. Entry blood ammonia was a reliable predictor for the death of
pediatric patients with ALF.
PMID- 24919613
TI - HepG2 cells recovered from apoptosis show altered drug responses and
invasiveness.
AB - BACKGROUND: Cancer relapse, associated with increased drug resistance and rate of
metastasis, often follows completion of chemotherapy but the cancer escape
mechanisms are still incompletely understood. Percutaneous ethanol injection
(PEI) has been used for treating hepatocellular carcinoma (HCC) for decades,
while the recurrence after PEI treatment remains a major limitation. Recent
evidence mounted that cancer cells could survive from chemical induced apoptosis,
suggesting a potential route through which cancer relapse may occur. This study
focuses on the consequence of HepG2 recovery from ethanol-induced apoptotic
event. METHODS: The model of HepG2 recovery from ethanol-induced apoptotic event
was established by live cell imaging, BrdU assay and Western blotting. MTT assay,
wound healing assay and invasion assay were used to investigate the behavior of
HepG2 after recovery. RESULTS: HepG2 cells could recover from ethanol-induced
apoptosis. These cells changed their behaviors such as drug resistance, mobility
and invasiveness. On average, the recovered HepG2 cell clones were found to be
46% more resistant to ethanol and 84% higher in mobility. The recovered clones
became 58.2% more sensitive to 5-fluorouracil. CONCLUSIONS: HepG2 cells can
recover from ethanol-induced apoptotic event. These cells became more resistant
to ethanol and more invasive. Although the recovered cell clones were more
resistant to ethanol, they became more sensitive to 5-fluorouracil treatment.
PMID- 24919612
TI - Ron receptor-dependent gene regulation of Kupffer cells during endotoxemia.
AB - BACKGROUND: Ron receptor tyrosine kinase signaling in macrophages, including
Kupffer cells and alveolar macrophages, suppresses endotoxin-induced
proinflammatory cytokine/chemokine production. Further, we have also identified
genes from Ron replete and Ron deplete livers that were differentially expressed
during the progression of liver inflammation associated with acute liver failure
in mice by microarray analyses. While important genes and signaling pathways have
been identified downstream of Ron signaling during progression of inflammation by
this approach, the precise role that Ron receptor plays in regulating the
transcriptional landscape in macrophages, and particular in isolated Kupffer
cells, has still not been investigated. METHODS: Kupffer cells were isolated from
wild-type (TK+/+) and Ron tyrosine kinase deficient (TK-/-) mice. Ex vivo, the
cells were treated with lipopolysaccharide (LPS) in the presence or absence of
the Ron ligand, hepatocyte growth factor-like protein (HGFL). Microarray and qRT
PCR analyses were utilized to identify alterations in gene expression between
genotypes. RESULTS: Microarray analyses identified genes expressed differentially
in TK+/+ and TK-/- Kupffer cells basally as well as after HGFL and LPS treatment.
Interestingly, our studies identified Mefv, a gene that codes for the anti
inflammatory protein pyrin, as an HGFL-stimulated Ron-dependent gene. Moreover,
lipocalin 2, a proinflammatory gene, which is induced by LPS, was significantly
suppressed by HGFL treatment. Microarray results were validated by qRT-PCR
studies on Kupffer cells treated with LPS and HGFL. CONCLUSION: The studies
herein suggest a novel mechanism whereby HGFL-induced Ron receptor activation
promotes the expression of anti-inflammatory genes while inhibiting genes
involved in inflammation with a net effect of diminished inflammation in
macrophages.
PMID- 24919614
TI - Detection of liver micrometastases from colorectal origin by perfusion CT in a
rat model.
AB - BACKGROUND: Some patients with colorectal carcinoma have liver metastases (LMs)
which cannot be detected by conventional imaging. This study aimed to assess
whether hepatic perfusion changes induced by micrometastases can be detected by
perfusion computed tomography (CT). METHODS: LMs were produced in rats by
injecting carcinoma cells into the portal vein. Perfusion CT was performed at
microscopic (day 10), interval (day 17), and macroscopic stage (day 34).
Perfusion parameters were computed using a dual-input one-compartmental model.
RESULTS: Micro and macro LMs presented a mean diameter of 0.5 and 2.6 mm,
respectively. Compared to controls, LMs at interval (1.1 mm) and macroscopic
stage induced significant perfusion changes: a decrease of 42% (P=0.004) and 41%
(P=0.029) in hepatic transit time and an increase of 292% (P=0.073) and 240%
(P=0.001) in portal delay, respectively. CONCLUSIONS: LMs with a mean diameter
between 1.1 and 2.6 mm induced significant hepatic perfusion changes, detected by
CT. Such detection may help to select patients and propose chemotherapy at the
time of primary tumor resection.
PMID- 24919615
TI - Sodium butyrate protects against toxin-induced acute liver failure in rats.
AB - BACKGROUND: Acute liver failure (ALF) is a serious clinical syndrome with high
mortality. Sodium butyrate has been shown to alleviate organ injury in a wide
variety of preclinical models of critical diseases. The aim of this study was to
investigate the protective effect of sodium butyrate on ALF in rats. METHODS: All
rats were randomly divided into control, model and sodium butyrate treatment
groups. Except the control group, the rats were induced ALF animal model by
subcutaneous injection of human serum albumin+ D
galactosamine+lipopolysaccharide. After induction of ALF, the rats in the
treatment group received sodium butyrate (500 mg/kg) at 12-hour or 24-hour time
point. Fourty-eight hours after ALF induction, the animals were sacrificed and
samples were harvested. Serum endotoxin, high mobility group box-1 (HMGB1), liver
function parameters, tumor necrosis factor-alpha (TNF-alpha) and interferon-gamma
(IFN-gamma) were measured. The expression of HMGB1 and nuclear factor-kappa B (NF
kappaB) p65 protein in liver tissue was detected by Western blotting. The
histological changes of liver and intestine were examined. The survival duration
was also observed. RESULTS: Serum endotoxin, alanine aminotransferase, HMGB1, TNF
alpha and IFN-gamma were significantly increased and the liver histology showed
more severe histopathological injury in the model group compared with the control
group (P<0.05). Compared to the model group, sodium butyrate treatment
significantly improved the histopathological changes in the liver and intestine,
reduced serum endotoxin and inflammatory cytokines, suppressed HMGB1 and NF
kappaB p65 proteins in liver tissue, and prolonged the survival duration
regardless of treatment at 12 hours or 24 hours after induction of ALF (P<0.05).
CONCLUSIONS: Sodium butyrate protected the liver from toxin-induced ALF in rats.
The mechanisms may be due to direct hepatoprotection and decreased intestinal
permeability.
PMID- 24919616
TI - Emergency cholecystectomy vs percutaneous cholecystostomy plus delayed
cholecystectomy for patients with acute cholecystitis.
AB - BACKGROUND: In low-risk patients with acute cholecystitis who did not respond to
nonoperative treatment, we prospectively compared treatment with emergency
laparoscopic cholecystectomy or percutaneous transhepatic cholecystostomy
followed by delayed cholecystectomy. METHODS: In 91 patients (American Society of
Anesthesiologists class I or II) who had symptoms of acute cholecystitis >=72
hours at hospital admission and who did not respond to nonoperative treatment (48
hours), 48 patients were treated with emergency laparoscopic cholecystectomy and
43 patients were treated with delayed cholecystectomy at >=4 weeks after
insertion of a percutaneous transhepatic cholecystostomy catheter. After initial
treatment, the patients were followed up for 23 months on average (range 7-29).
RESULT: Compared with the patients who had emergency laparoscopic
cholecystectomy, the patients who were treated with percutaneous transhepatic
cholecystostomy and delayed cholecystectomy had a lower frequency of conversion
to open surgery [19 (40%) vs 8 (19%); P=0.029], a frequency of intraoperative
bleeding >=100 mL [16 (33%) vs 4 (9%); P=0.006], a mean postoperative hospital
stay (5.3+/-3.3 vs 3.0+/-2.4 days; P=0.001), and a frequency of complications [17
(35%) vs 4 (9%); P=0.003]. CONCLUSION: In patients with acute cholecystitis who
presented to the hospital >=72 hours after symptom onset and did not respond to
nonoperative treatment for 48 hours, percutaneous transhepatic cholecystostomy
with delayed laparoscopic cholecystectomy produced better outcomes and fewer
complications than emergency laparoscopic cholecystectomy.
PMID- 24919617
TI - Validation of the moderate severity category of acute pancreatitis defined by
determinant-based classification.
AB - BACKGROUND: Recent international multidisciplinary consultation proposed the use
of local (sterile or infected pancreatic necrosis) and/or systemic determinants
(organ failure) in the stratification of acute pancreatitis. The present study
was to validate the moderate severity category by international multidisciplinary
consultation definitions. METHODS: Ninety-two consecutive patients with severe
acute pancreatitis (according to the 1992 Atlanta classification) were classified
into (i) moderate acute pancreatitis group with the presence of sterile (peri-)
pancreatic necrosis and/or transient organ failure; and (ii) severe/critical
acute pancreatitis group with the presence of sterile or infected pancreatic
necrosis and/or persistent organ failure. Demographic and clinical outcomes were
compared between the two groups. RESULTS: Compared with the severe/critical group
(n=59), the moderate group (n=33) had lower clinical and computerized tomographic
scores (both P<0.05). They also had a lower incidence of pancreatic necrosis
(45.5% vs 71.2%, P=0.015), infection (9.1% vs 37.3%, P=0.004), ICU admission (0%
vs 27.1%, P=0.001), and shorter hospital stay (15+/-5 vs 27+/-12 days; P<0.001).
A subgroup analysis showed that the moderate group also had significantly lower
ICU admission rates, shorter hospital stay and lower rate of infection compared
with the severe group (n=51). No patients died in the moderate group but 7
patients died in the severe/critical group (4 for severe group). CONCLUSIONS: Our
data suggest that the definition of moderate acute pancreatitis, as suggested by
the international multidisciplinary consultation as sterile (peri-) pancreatic
necrosis and/or transient organ failure, is an accurate category of acute
pancreatitis.
PMID- 24919618
TI - Possible benefit of splenectomy in liver transplantation for autoimmune
hepatitis.
AB - Liver transplantation for autoimmune hepatitis (AIH) is usually successful with
excellent long-term outcomes, but primary disease may recur. The recurrence of
AIH is a significant cause of graft loss. This study was to analyze the effect of
splenectomy in preventing AIH relapse. The clinical courses of 12 patients who
had transplantation for AIH were analyzed retrospectively. All patients were
subjected to transplantation for end-stage liver disease caused by chronic AIH.
Based on the duration of immunosuppressive treatment before liver
transplantation, simultaneous splenectomy was performed in ten patients. Two
patients underwent liver transplantation without splenectomy, one of them
developed recurrent AIH and died from graft failure caused by AIH relapse.
However, no episode of AIH recurrence was observed in patients who had undergone
simultaneous splenectomy. Splenectomy might be an option to prevent AIH relapse
in some patients with high risk factors.
PMID- 24919619
TI - Comment on "Terry's nail: an overlooked physical finding in cirrhosis".
PMID- 24919620
TI - The author reply.
PMID- 24919621
TI - Hand usage pattern and upper body discomfort of desktop touchscreen users.
AB - A laboratory study was conducted to determine how users of different handedness
interact with desktop touchscreen displays and how the hand usage pattern
influences their body discomfort development. Twenty-one participants in three
different handedness groups conducted simple web-browsing for 30 minutes using a
23" touchscreen display while their subjective body discomfort, frequency of use
of each hand and touch area preference were periodically quantified. Participants
reported a gradual increase in body discomfort during web-browsing, and the
increments in body discomfort varied between handedness groups for some body
parts. Results also show that right-handed participants had stronger laterality
than the left-handed, and ambidextrous participants used both hands more evenly
than other participants, suggesting associations between the hand usage pattern
and body discomfort development. Findings of the current study suggest that body
discomfort of desktop touchscreen display users could be moderated by user
interface improvements and user training. PRACTITIONER SUMMARY: Body discomfort
development of desktop touchscreen users may be influenced by their hand usage
pattern. Findings of this laboratory study suggest that user discomfort may be
moderated by placing menu items in the lower area within the display or training
users to alternate hands when conducting touch gestures.
PMID- 24919623
TI - Successful treatment of subungual fibromas of tuberous sclerosis with topical
rapamycin.
PMID- 24919622
TI - Hydroxyl fasudil, an inhibitor of Rho signaling, improves erectile function in
diabetic rats: a role for neuronal ROCK.
AB - INTRODUCTION: The pathogenesis of diabetic erectile dysfunction (ED) includes
neuropathy, but the molecular basis for neurogenic ED is incompletely understood.
The RhoA/ROCK pathway has been implicated in diabetic neuropathy and in ED, but
its role in diabetic neurogenic ED is not known. AIMS: The aim of this study was
to determine whether hydroxyl fasudil, a ROCK inhibitor, affects diabetic
neuropathy-related ED. METHODS: Type 1 diabetes mellitus was induced in male rats
by streptozotocin (75 mg/kg, intraperitoneally). After 8 weeks, diabetic rats
were administered hydroxyl fasudil, a selective ROCK inhibitor (10 mg/kg/day,
intraperitoneally) or vehicle, for 4 weeks. Age-matched control, nondiabetic,
rats were treated intraperitoneally for 4 weeks with saline. At week 12, after a
2 day washout, neuro-stimulated erectile function was evaluated. Major pelvic
ganglia (MPG) were collected for Western blot analysis of RhoA, ROCK-1, ROCK-2,
phospho (P)-AKT (Ser(473) ), and P-phosphatase and tensin homolog (P-PTEN)
(Ser(380) /Thr(382/383) ). MAIN OUTCOME MEASURES: Effect of ROCK inhibitor
hydroxyl fasudil on erectile function and ROCK/P-AKT/P-PTEN pathway in the MPG of
diabetic rats. RESULTS: Erectile response was significantly (P < 0.05) reduced in
diabetic rats compared with nondiabetic rats and was preserved (P < 0.05) in
diabetic rats treated with hydroxyl fasudil. In diabetic rats, RhoA and ROCK-2
protein expressions in MPG were increased (P < 0.05) and remained increased in
hydroxyl fasudil-treated rats. P-AKT (Ser(473) ) expression was decreased (P <
0.05), whereas P-PTEN (Ser(380) /Thr(382/383) ) expression was increased (P <
0.05) in MPG of diabetic rats compared with nondiabetic rats, and both were
reversed (P < 0.05) in diabetic rats treated with hydroxyl fasudil. CONCLUSION:
Improved erectile function and restored P-AKT and P-PTEN in the MPG with hydroxyl
fasudil treatment suggest the role of Rho signaling via PTEN/AKT pathway in
neurogenic diabetic ED.
PMID- 24919624
TI - The journal has always been concerned to develop its engagement with its
readership. Introduction.
PMID- 24919625
TI - Introduction to three clinical papers.
PMID- 24919626
TI - On the capacity to suffer one's self.
AB - Problems in the establishment of the sense of a 'psychic' skin, in the ways
described by Bick and Meltzer for example, commonly give rise to distortions in
the capacity for self-experiences as a consequence of difficulties in relation to
projective and identificatory processes. These latter may acquire a markedly
adhesive character as a defence against the anxieties that arise. This makes for
considerable technical difficulties in an analysis. This essay addresses the
nature of these problems and considers some of the ways in which they may be
approached clinically.
PMID- 24919627
TI - On the analysand's need to know the real person of the analyst.
AB - But the conscious achievement of inner unity clings to human relationships as to
an indispensable condition. C.G.Jung (1946, p.233) Based on personal experience
the main part of this paper explores the theme of the analysand's need to know
the real person of the analyst and the importance, on occasion, of the analyst's
disclosure of subjectivity. The concluding part offers suggestions about working
with analysands where there is early emotional damage and deficits in
relationship.
PMID- 24919628
TI - Converting a Freudian analysis into a Jungian one: obsession, addiction, and an
answer from Job.
AB - In his analyses of obsessional patients, Sigmund Freud suggested that they
suffered from intrusive cognitions and compulsive activities. Early
psychoanalysts delineated the phenomenology of obsessionality, but did not
differentiate what is currently termed obsessive-compulsive disorder from
obsessional personality. However, it was widely recognized that the success of
psychoanalysis with obsessional patients was limited due to rigid
characterological defences and transference resistances. The present paper
examines the case of a middle-aged obsessional academic who had been treated for
nearly twenty years in a 'classical' Freudian psychoanalysis prior to entering
Jungian analysis. It examines how persistent focus on Oedipal conflicts
undesirably reinforced the transference resistance in this obsessional man, and
suggests that focusing instead on diminishing the harshness of the super-ego via
the therapeutic alliance, and fostering faith in the salutary aspects of
unconscious processing has led to salutary results in this case. The biblical
book of Job is adopted as ancient instruction in how to address the scrupulosity
and addictive mental structuring of obsessionality in analysis.
PMID- 24919629
TI - Synchronicity, the infinite unrepressed, dissociation and the interpersonal.
AB - This paper uses the logic derived by Matte Blanco to provide an Archimedean point
and a mathematics, both of which Jung complained of lacking, with which to
validate the notion of synchronicity and to demonstrate that it is one of the
inevitable properties of an unconscious which is unrepressed such as Jung's
collective unconscious, and that such an unconscious will also be affective and
interpersonal as well as intrapersonal. These have important clinical
implications. After an exposition of Matte Blanco's thinking, some clinical
material is presented of an episode in which patient and author both suffered the
same psychosomatic symptom some time just prior to a session. Correspondences
between Matte Blanco's logically derived ideas and Jung's phenomenological
observations are made.
PMID- 24919630
TI - Levels of unconsciousness and their formal structure.
AB - This brief essay replies to Carvalho's exposition of a formal approach to the
concept of the unconscious which is originally due to Ignacio Matte Blanco (1908
1995), a psychoanalyst from Chile. It's a challenge - first because I have been
largely unfamiliar with the details of Matte Blanco's approach, and second
because the space available for this reply does not allow me to be as precise as
Carvalho's essay no doubt deserves. I will start with some remarks about how I
see Matte Blanco's system from a mathematical perspective and then try to relate
it to some of the core features of Pauli's and Jung's thinking. I will conclude
with a tentative proposal of how Matte Blanco's strata between full consciousness
and most undifferentiated unconscious can be related to the tension between ontic
and epistemic accounts.
PMID- 24919631
TI - Learning from the patient: the East, synchronicity and transference in the
history of an unknown case of C.G. Jung.
AB - This article presents the history of one until now unknown case of C.G. Jung:
Maggy Reichstein. Born in Indonesia in 1894 in a very aristocratic family, she
brought her sister to Zurich to be treated by Jung in 1919, and later she herself
was in analysis with him. Jung used her case as example in his lecture in 1937 on
the realities of practical psychotherapy, relating it to the process of
transference and countertransference. Jung deepened his studies in Eastern
psychology after a series of dreams she had, which culminated in the Yoga
Kundalini Seminars. She was also the case presented in his article of 1951 on the
concept of synchronicity. Jung wrote that her case, concerning synchronicity,
remained unique in his experience. Jung also published some of her mandalas. He
considered her able to understand his ideas in depth. Reichstein was for Jung an
important case, which challenged and triggered his interests in different
subjects.
PMID- 24919632
TI - Causality as individual essence: its bearing on synchronicity.
AB - Causality, time, and number are subjectively lived realities and need to be
noticed as such. Fundamental to the wide range of living experience, they are
also basic to scientific knowing. In this article I examine causality in relation
to an article on synchronicity by Harald Atmanspacher and Wolfgang Fach. My
examination is neither scientific nor metaphysical, but rather phenomenological,
as it is a clarification of form as individual essence of a thing. This non
material form of an individual thing in the widest sense of the word 'thing' was
rejected and so lost during modern seventeenth-century science but, renewed now,
can help describe synchronicity. A commentary by William Willeford follows.
PMID- 24919633
TI - A commentary on 'causality as individual essence: its bearing on synchronicity'.
PMID- 24919634
TI - Roles of causation and meaning for interpreting correlations.
AB - The essays by Tougas and Willeford address, among other things, a number of ways
to understand causation, which play crucial roles in the framework of thinking
proposed by Pauli and Jung. The intention of my following reply is to say a few
words about how these options are related to one another within our
reconstruction of the Pauli-Jung conjecture. In the tradition of the sciences,
looking for causation has become a virtually innate reflex to interpret
empirically observed correlations. The concept of synchronicity suggests looking
for meaningful coincidences as an alternative, complementary interpretation,
particularly appropriate for psychophysical correlations.
PMID- 24919638
TI - Association between childhood adversities and long-term suicidality among South
Africans from the results of the South African Stress and Health study: a cross
sectional study.
AB - OBJECTIVE: Suicide and suicidal behaviours are significant public health problems
and a leading cause of death worldwide and in South Africa. We examined the
association between childhood adversities and suicidal behaviour over the life
course. METHODS: A national probability sample of 4351 South African adult
participants (aged 18 years and older) in the South African Stress and Health
(SASH) study was interviewed as part of the World Mental Health Surveys
initiative. Respondents provided sociodemographic and diagnostic information, as
well as an account of suicide-related thoughts and behaviours. Suicidality or
suicidal behaviour were defined as were defined as suicide attempts and suicidal
ideation in the total sample, and suicide plans and attempts among ideators.
Childhood adversities included physical abuse, sexual abuse, parental death,
parental divorce, other parental loss, family violence, physical illness and
financial adversity. The association between suicidality and childhood
adversities was examined using discrete-time survival models. RESULTS: More than
a third of the respondents with suicidal behaviour experienced at least one
childhood adversity, with physical abuse, parental death and parental divorce
being the most prevalent adversities. Physical abuse, sexual abuse and parental
divorce were identified as significant risk markers for lifetime suicide
attempts, while physical abuse and parental divorce were significantly correlated
with suicidal ideation. Two or more childhood adversities were associated with a
twofold higher risk of lifetime suicide attempts. Sexual abuse (OR 9.3), parental
divorce (OR 3.1) and childhood physical abuse (OR 2.2) had the strongest
associations with lifetime suicide attempts. The effect of childhood adversities
on suicidal tendencies varied over the life course. For example, sexual abuse was
significantly associated with suicide attempts during childhood and teen years,
but not during young and later adulthood. CONCLUSIONS: Childhood adversities,
especially sexual abuse, physical abuse and parental divorce, are important risk
factors for the onset and persistence of suicidal behaviour, with this risk being
greatest in childhood and adolescence.
PMID- 24919639
TI - A nationwide survey on the expectation of public healthcare providers on family
medicine specialists in Malaysia-a qualitative analysis of 623 written comments.
AB - OBJECTIVE: To examine the expectation of public healthcare
providers/professionals (PHCPs) who are working closely with family medicine
specialists (FMSs) at public health clinics. DESIGN: Cross-sectional study.
SETTING: This study is part of a larger national study on the perception of the
Malaysian public healthcare professionals on FMSs. PARTICIPANTS: PHCPs from three
categories of health facilities, namely hospitals, health clinics and health
offices. MAIN OUTCOME MEASURES: Qualitative analysis of written comments of
respondents' expectation of FMSs. RESULTS: The participants' response rate was
58% (780/1345) with an almost equal proportion from each public healthcare
facility. We identified 21 subthemes for the 623 expectation comments. The six
emerging themes are (1) need for more FMSs, (2) clinical roles and functions of
FMSs, (3) administrative roles of FMSs, (4) contribution to community and public
health, (5) attributes improvement and (6) research and audits. FMSs were
expected to give attention to clinical duty. Delivering this responsibility with
competence included having the latest medical knowledge in their own and others'
medical disciplines, practising evidence-based medicine in prehospital and
posthospital care, better supervision of staff and doctors under their care,
fostering effective teamwork, communicating more often with hospital specialists
and making appropriate referral. Expectations ranged from definite and strong for
more FMSs at the health clinics to low expectation for FMSs' involvement in
research; to mal-expectation on FMSs' involvement in community and public health
programmes. CONCLUSIONS: There were some remarkable differences in expectations
on FMSs from the three different PHCPs. These ranged from being clinically
competent and administratively available for patients and staff at the health
clinics, to mal-expectations on FMSs to engage in public health affairs. Relevant
parties, including FMSs themselves, could take appropriate self-improvement
initiatives to enhance public practice of family medicine and patient care. TRIAL
REGISTRATION NUMBER NMRR ID: 08-12-1167.
PMID- 24919640
TI - Outcomes after corrective surgery for congenital dextro-transposition of the
great arteries using the arterial switch technique: a protocol for a scoping
systematic review.
AB - INTRODUCTION: Dextro-transposition of the great arteries (d-TGA) is a life
threatening congenital health defect that requires rapid surgery. The most widely
used approach is the arterial switch operation (ASO) developed by Jatene in the
1970s. The first set of children who received this intervention are now adults.
The objective of this scoping review of the literature was to document the short
term (less than 1 year), medium-term (1-20 years) and long-term (more than 20
years) outcomes in children who underwent the ASO. Our primary income is
survival, but we will explore other secondary surgical, cardiovascular,
reproductive and quality-of-life outcomes. METHODS AND ANALYSES: Using a
systematic scoping review approach, we will conduct a systematic search of the
published literature for experimental and observational studies published on
children who received the ASO intervention for classic d-TGA. We will search
MEDLINE, Excerpta Medica Database (EMBASE), Cumulative Index to Nursing and
Allied Health Literature (CINAHL) and Literatura Latino Americana em Ciencias da
Saude (LILACS) from 1973 (date of the first successful ASO) to February 2014.
Identified articles will be screened in duplicate and full text for selected
articles will be retrieved. Data extraction will be carried out in duplicate.
Discrepancies will be resolved by consensus or by consulting a third author.
Where possible, proportions will be pooled using the inverse variance method. Our
findings will be reported according to the Preferred Reporting Items for
Systematic Reviews and Meta-Analyses (PRISMA) and Meta-analysis Of Observational
studies in Epidemiology (MOOSE) guidelines. ETHICS AND DISSEMINATION: The results
of this paper will be disseminated as peer-reviewed publications, at conferences
and at clinical rounds. Our findings may answer important questions for surgeons
who perform the ASO intervention and for clinicians who take care of patients
after surgery and throughout their lifespans. TRIAL REGISTRATION NUMBER:
Prospero/CRD42014007590.
PMID- 24919641
TI - Reading improvement in English- and Hebrew-speaking children with reading
difficulties after reading acceleration training.
AB - A reading acceleration program known to improve reading fluency in Hebrew
speaking adults was tested for its effect on children. Eighty-nine Hebrew- and
English-speaking children with reading difficulties were divided into a waiting
list group and two training groups (Hebrew and English) and underwent 4 weeks of
reading acceleration training. Results of pre- and post-testing of reading
abilities point to a significant main effect of the test, demonstrating
improvements in silent contextual reading speed, reading comprehension, and speed
of processing in both Hebrew and English training groups as compared to their
performance before the intervention. This study indicates that the Reading
Acceleration Program might be an effective program for improving reading
abilities in children, independent of language.
PMID- 24919642
TI - Response to Andrea Tendas et al.'s making quality of life assessment a dashboard
for patients management.
PMID- 24919643
TI - Sharks senses and shark repellents.
AB - Despite over 70 years of research on shark repellents, few practical and reliable
solutions to prevent shark attacks on humans or reduce shark bycatch and
depredation in commercial fisheries have been developed. In large part, this
deficiency stems from a lack of fundamental knowledge of the sensory cues that
drive predatory behavior in sharks. However, the widespread use of shark
repellents is also hampered by the physical constraints and technical or
logistical difficulties of deploying substances or devices in an open-water
marine environment to prevent an unpredictable interaction with a complex animal.
Here, we summarize the key attributes of the various sensory systems of sharks
and highlight residual knowledge gaps that are relevant to the development of
effective shark repellents. We also review the most recent advances in shark
repellent technology within the broader historical context of research on shark
repellents and shark sensory systems. We conclude with suggestions for future
research that may enhance the efficacy of shark repellent devices, in particular,
the continued need for basic research on shark sensory biology and the use of a
multi-sensory approach when developing or deploying shark repellent technology.
PMID- 24919644
TI - MTHFR gene polymorphism in acute lymphoblastic leukemia among North Indian
children: a case-control study and meta-analysis updated from 2011.
AB - Studies on the association of methylenetetrahydrofolate reductase (MTHFR)
genotype in childhood acute lymphoblastic leukemia (ALL) have yielded conflicting
results. The present study examines this association in north Indian children
with ALL and includes an updated meta-analysis. MTHFR (677 and 1298) genotype of
children with ALL and healthy adult controls were done by the PCR-restriction
fragment length polymorphism (PCR-RFLP) method and were compared using various
models of inheritance. A total of 150 patients and 300 controls were included.
The 677T allele was found protective (odds ratio (OR) 0.21, 95% confidence
interval (CI) 0.04-0.94), whereas 1298C allele led to an increase in risk (OR
4.44, 95% CI 2.19-8.99) of childhood ALL. Meta-analysis included 31 and 27
studies examining the association of 677 and 1298 genotypes, respectively. The
677 C -> T polymorphism was protective (OR 0.90, 95% CI 0.82-0.99). Protection
was more pronounced in folate-sufficient populations as compared with those not
covered by folate fortification guidelines. The 1298A->C polymorphism was
associated with a marginal increase in risk (OR 1.19, 95% CI 1.01-1.40).
PMID- 24919645
TI - Comparison of the performance of Ion Torrent chips in noninvasive prenatal
trisomy detection.
AB - Semiconductor high-throughput sequencing, represented by Ion Torrent PGM/Proton,
proves to be feasible in the noninvasive prenatal diagnosis of fetal
aneuploidies. It is commendable that, with less data and relevant cost also, an
accurate result can be achieved owing to the high sensitivity and specificity of
such kind of technology. We conducted a comparative analysis of the performance
of four different Ion chips in detecting fetal chromosomal aneuploidies. Eight
maternal plasma DNA samples, including four pregnancies with normal fetuses and
four with trisomy 21 fetuses, were sequenced on Ion Torrent 314/316/318/PI chips,
respectively. Results such as read mapped ratio, correlation coefficient and
phred quality score were calculated and parallelly compared. All samples were
correctly classified even with low-throughput chip, and, among the four chips,
the 316 chip had the highest read mapped ratio, correlation coefficient, mean
read length and phred quality score. All chips were well consistent with each
other. Our results showed that all Ion chips are applicable in noninvasive
prenatal fetal aneuploidy diagnosis. We recommend researchers or clinicians to
use the appropriate chip with barcoding technology on the basis of the sample
number.
PMID- 24919646
TI - Estimating the costs of opioid abuse and dependence from an employer perspective:
a retrospective analysis using administrative claims data.
AB - BACKGROUND: Opioid abuse and dependence is problematic across many age groups,
including the working-age population and their dependents. Little is known,
however, about the economic costs of opioid abuse/dependence imposed on
employers, who pay for a substantial portion of healthcare costs through their
contributions to employer-sponsored health insurance and are also affected by
indirect costs such as those due to disability and workplace absenteeism.
OBJECTIVE: To provide a comprehensive, current estimate of the economic burden of
prescription opioid abuse/dependence to employers. METHODS: Administrative claims
from beneficiaries covered by large self-insured companies throughout the USA
were used to identify patients, including employees and dependents, who were
diagnosed with opioid abuse and/or dependence ('abusers') between 2006 and 2012.
Healthcare and work-loss costs for abusers were assessed over a 12-month period
and compared with those for patients not diagnosed with abuse ('comparison
patients'), using propensity score matching. RESULTS: 7,658 matched pairs of
abusers and comparison patients were analysed. Relative to comparison patients,
abusers had significantly higher annual healthcare resource utilization, leading
to US$10,627 in per-patient incremental annual healthcare costs. Additionally,
abusers had US$1,244 in excess annual work-loss costs. Together, this implies an
employer burden for diagnosed abuse of US$1.71 per member per month. CONCLUSION:
Opioid abuse/dependence impose a substantial economic burden on employers.
PMID- 24919647
TI - Effect of dissolved LiCl on the ionic liquid-Au(111) interface: an in situ STM
study.
AB - The structure of the electrolyte/electrode interface plays a significant role in
electrochemical processes. To date, most studies are focusing on understanding
the interfacial structure in pure ionic liquids. In this paper in situ scanning
tunnelling microscopy (STM) has been employed to elucidate the structure of the
charged Au(111)-ionic liquid (1-butyl-1-methylpyrrolidinium
tris(pentafluoroethyl)trifluorophosphate, [Py1,4]FAP) interface in the presence
of 0.1 M LiCl. The addition of the Li salt to the ionic liquid has a strong
influence on the interfacial structure. In the first STM scan in situ
measurements reveal that Au(111) undergoes the (22 x ?3) 'herringbone'
reconstruction in a certain potential regime, and there is strong evidence that
the gold surface dissolves at negative electrode potentials in [Py1,4]FAP
containing LiCl. Bulk deposition of Li is obtained at -2.9 V in the second STM
scan.
PMID- 24919648
TI - Public health ethics and more-than-human solidarity.
AB - This article contributes to the literature on One Health and public health ethics
by expanding the principle of solidarity. We conceptualise solidarity to
encompass not only practices intended to assist other people, but also practices
intended to assist non-human others, including animals, plants, or places. To
illustrate how manifestations of humanist and more-than-human solidarity may
selectively complement one another, or collide, recent responses to Hendra virus
in Australia and Rabies virus in Canada serve as case examples. Given that caring
relationships are foundational to health promotion, people's efforts to care for
non-human others are highly relevant to public health, even when these efforts
conflict with edicts issued in the name of public health. In its most optimistic
explication, One Health aims to attain optimal health for humans, non-human
animals and their shared environments. As a field, public health ethics needs to
move beyond an exclusive preoccupation with humans, so as to account for moral
complexity arising from people's diverse connections with places, plants, and non
human animals.
PMID- 24919649
TI - Whose social capital matters? The case of U.S. urban public hospital closures and
conversions to private ownership.
AB - Prior literature on social capital and health has predominantly focused on health
outcomes and individual access to healthcare services. It is not known to what
degree, if any, community social capital influences the performance or behaviors
of public hospitals, a key source of healthcare for disadvantaged communities in
the United States. In this study we developed measures of community bridging
social capital - horizontal social networks between heterogeneous groups of
similar social position - and linking social capital - vertical networks across
the status hierarchy - relevant to public hospitals. We examined associations
between social capital, and U.S. urban public hospital closures and conversions
to private ownership from 1987 to 2007. We found that higher voting participation
was associated with a greater hazard of public hospital closure over time (p <
0.01), whereas the number of business, professional and political organizations
per 10,000 residents was associated a greater hazard of conversion (p < 0.05).
Additional measures of bridging and linking social capital were not associated
with either outcome. Taken together, our findings suggest that, at least
historically, horizontal forms of social capital among more privileged groups
(e.g., business, professional, and political associations) bear influence on
public hospital outcomes. Specific efforts to increase engagement of
disadvantaged groups and connect them with decision-makers may be needed to fully
realize the potential of linking social capital to influence local healthcare
policy promoting social protection.
PMID- 24919650
TI - Novel mutations and clinical outcomes of copper-histidine therapy in Menkes
disease patients.
AB - Menkes disease is a very rare X-linked copper metabolism disorder that results
from an ATP7A gene mutation. With the advent of subcutaneous copper-histidine
therapy, the early diagnosis of Menkes disease becomes of utmost importance for
patients' prognosis. In the present study, the clinical characteristics of 12
Korean patients with Menkes disease (11 males and 1 female from 11 unrelated
families) were described along with the mutation spectrum. Only 2 male patients
were diagnosed in the neonatal period, and the other male patients were diagnosed
at age 4.3 +/- 1.9 months. The presenting signs included depigmented kinky hair,
neurologic deficits, and hypotonia. Serum copper and ceruloplasmin levels were
markedly decreased. Intracranial vessels were dilated with tortuosity and
accompanied by regional cerebral infarctions, even at an early age. Of note, the
female patient was diagnosed at age 18 months, during the evaluation for
developmental delay, by characteristic MRA findings, biochemical profiles, and
genetic evaluation. A total of 11 ATP7A mutations were identified, including five
previously unreported mutations. Most mutations were truncated (except 1 missense
mutation), including 3 frameshift, 2 nonsense, 3 large deletion, and 2 splice
site variants. The age at commencement of copper-histidine treatment was variable
among patients age 7.3 +/- 7.5 (0.5-27) months. Despite the treatment, seven
patients died before age 5 years, and the remaining patients were severely
retarded in neurodevelopment. The poor outcomes of our patients might be related
to delayed therapy, but severe ATP7A mutations should be noted as well.
PMID- 24919651
TI - Glycyrrhizin suppresses HMGB1 inductions in the hippocampus and subsequent
accumulation in serum of a kainic acid-induced seizure mouse model.
AB - Glycyrrhizin (GL), a triterpene present in the roots and rhizomes of licorice
(Glycyrrhiza glabra), has been shown to have anti-inflammatory and anti-viral
effects. In our previous reports, we demonstrated the neuroprotective effects of
GL in the postischemic brain and in kainic acid (KA)-induced seizure animal
model. In this KA-induced seizure model, the systemic administration of GL 30 min
before KA administration significantly suppressed neuronal cell death and
markedly suppressed gliosis and proinflammatory marker inductions. In the present
study, we showed that high-mobility group box 1 (HMGB1), an endogenous danger
signal, was induced in hippocampal CA1 and CA3 regions of the same KA-induced
model, and peaked at ~3 h and at 6 days post-KA. HMGB1 was transiently induced in
neurons and astrocyte at 3 h post-KA, and it was released from dying neurons and
accumulated in serum at 12 h post-KA. Furthermore, after ~4 days of almost
undetectable levels in the hippocampus, delayed and marked HMGB1 induction was
detected at 6 days post-KA, mainly in astrocytes and endothelial cells, in which
HMGB1 was localized in nuclei, and not secreted into serum. Interestingly, GL
suppressed HMGB1 inductions in hippocampus and also suppressed its release into
serum in KA-treated mice. Since we established previously that GL has anti
inflammatory and anti-excitotoxic effects in this KA-induced seizure model, these
results indicate that the neuroprotective effect of GL in the KA-injected mouse
brain might be attributable to the inhibitions of HMGB1 induction and release,
which in turn, mitigates the inflammatory process.
PMID- 24919652
TI - Child-care subsidies and child-care choices over time.
AB - The evidence to date on the federal child-care subsidy program's effect on
preschool child-care quality is mixed. However, an as-yet untested outcome of
subsidy receipt is subsequent child-care choice. Specifically, it is possible
that subsidy receipt in toddlerhood increases the likelihood of attending other
publicly funded preschool programs-Head Start and public pre-k-that provide the
highest quality care available to low-income families. Using data from the Early
Childhood Longitudinal Study-Birth Cohort (n ~ 2,100; approximately 2 years old),
this study finds that subsidy receipt during toddlerhood predicts greater use of
the other forms of publicly funded care in the preschool year, although only if
the earlier subsidy is used in a center. These results highlight a previously
unconsidered potential benefit of the subsidy program.
PMID- 24919653
TI - Comparing amyloid-beta deposition, neuroinflammation, glucose metabolism, and
mitochondrial complex I activity in brain: a PET study in aged monkeys.
AB - PURPOSE: The aim of the present study was to compare amyloid-beta (Abeta)
deposition, translocator protein (TSPO) activity, regional cerebral metabolic
rate of glucose (rCMRglc), and mitochondrial complex I (MC-I) activity in the
brain of aged monkeys. METHODS: PET scans with (11)C-PIB (Abeta), (18)F-BCPP-EF
(MC-I), (11)C-DPA-713 (TSPO), and (18)F-FDG (rCMRglc) were performed in aged
monkeys (Macaca mulatta) in the conscious state and under isoflurane anaesthesia.
(11)C-PIB binding to Abeta and (11)C-DPA-713 binding to TSPO were evaluated in
terms of standard uptake values (SUV). The total volume of distribution (V T) of
(18)F-BCPP-EF and rCMRglc with (18)F-FDG were calculated using arterial blood
sampling. RESULTS: Isoflurane did not affect MC-I activity measured in terms of
(18)F-BCPP-EF uptake in living brain. There was a significant negative
correlation between (18)F-BCPP-EF binding (V T) and (11)C-PIB uptake (SUVR), and
there was a significant positive correlation between (11)C-DPA-713 uptake (SUV)
and (11)C-PIB uptake. In contrast, there was no significant correlation between
rCMRglc ratio and (11)C-PIB uptake. CONCLUSION: (18)F-BCPP-EF could be a
potential PET probe for quantitative imaging of impaired MC-I activity that is
correlated with Abeta deposition in the living brain.
PMID- 24919654
TI - Telomerase (GV1001) vaccination together with gemcitabine in advanced pancreatic
cancer patients.
AB - Telomerase is expressed in 85-90 % of pancreatic adenocarcinomas and might be a
target for active cancer immunotherapy. A study was conducted to investigate
safety and immunogenicity in non-resectable pancreatic carcinoma patients using a
16-amino acid telomerase peptide (GV1001) for vaccination in combination with GM
CSF and gemcitabine as first line treatment. Three different vaccine treatment
schedules were used; [A (n=6), B (n=6) and C (n=5)]. Groups A/B received GV1001,
GM-CSF and gemcitabine concurrently. Group C received initially GV1001 and GM-CSF
while gemcitabine was added at disease progression. Group D (n=4) was treated
with gemcitabine alone. Adverse events (AE) related to vaccination were mild
(grades I-II). Grade III AEs were few and transient. An induced GV 1001-specific
immune response was defined as an increase >=2 above the baseline value in one of
the assays (DTH, proliferation, ELISPOT and cytokine secretion assays,
respectively). A telomerase-specific immune response was noted in 4/6 patients in
group A, 4/6 patients in group B and 2/5 patients in group C. An induced ras
specific immune response (antigenic spreading) was seen in 5 of the 17 patients.
The cytokine pattern was that of a Th1-like profile. A treatment induced
telomerase or ras response was also noted in group D. All responses were weak and
transient. A significant decrease in regulatory T-cells over time was noted in
patients in groups A and B (p<0.05). Telomerase vaccination (GV1001) in
combination with chemotherapy appeared to be safe but the immune responses were
weak and transient. Measures have to be taken to optimize immune responses of
GV1001 for it to be considered of clinical interest.
PMID- 24919655
TI - Influence of crown-to-implant ratio on stress around single short-wide implants:
a photoelastic stress analysis.
AB - PURPOSE: The aim of this study was to evaluate the photoelastic fringe patterns
around two short-wide implants supporting single crowns with different crown-to
implant (C/I) ratios. MATERIALS AND METHODS: External hexagon (EH) cylindrical
implants (5 * 7 mm) or Morse Taper (MT) conical implants (5 * 6 mm) were embedded
individually into photoelastic resin blocks. Each implant received a single metal
ceramic crown, with a C/I ratio of 1:1 or 2:1 (n = 10). Each set was positioned
in a polariscope and submitted to a 0.5 kgf compressive load, applied axially or
obliquely (30 degrees ). The polariscope images were digitally recorded, and
based on isoclinal and isochromatic fringes, the shear stress was calculated at 5
predetermined points around each implant. Data were analyzed by two-way ANOVA
(alpha = 0.05). RESULTS: Under axial loading, the stress was concentrated at the
crestal region, and there were no differences between C/I ratio or implant types.
In contrast, under oblique loading, EH implants showed lower stress values than
the MT group and the 2:1 C/I ratio showed higher stress concentration for both
implant types (p < 0.05). Moreover, MT implants showed stress distribution
through a higher area than the EH implant did, with a tendency to direct the
stress toward the implant's apex under oblique loading. CONCLUSION: MT conical
short-wide implants showed higher stress values that were distributed through a
higher area directed to the implant apex. The C/I ratio influences the stress
distribution only under oblique loading.
PMID- 24919656
TI - Diabetes mellitus induced impairment of male reproductive functions: a review.
AB - Diabetes mellitus (DM) represents one of the greatest threats to human health all
over the world. The incidence of DM is rising rapidly also including children and
young persons of reproductive age. Diabetes has been associated with reproductive
impairment in both men and women. Diabetes may affect male reproductive functions
at multiple levels as a result of its effects on the endocrine control of
spermatogenesis, steroidogenesis, sperm maturation, impairment of penile erection
and ejaculation. A large number of studies both on diabetic men and experimental
diabetic animals have been published on the impact of DM on male reproductive
functions during the past few years but many of them have conflicting results.
The present review summarizes the research finding of a large number of research
papers on the reproductive functions especially on hypothalmo-pituitary-gonadal
axis, spermatogenesis, histopathology of testis, synthesis and secretion of
testosterone, sperm quality, ejaculatory function and fertility both in diabetic
men and experimental diabetic animals.
PMID- 24919657
TI - Hyperglycemia to nephropathy via transforming growth factor beta.
AB - Nephropathy is one of the major complications of diabetes which further directs
to end stage renal disease. Extensive work has been done to find out the
mechanisms involved in pathogenesis of the DN. Now, many researchers have been
convinced that almost all of the molecular mediators and intracellular signaling
pathways involved in progression of diabetic nephropathy have involvement in
transforming growth factor beta (TGF- beta) at some stage. In DN, hyperglycemia
causes increase in the expression of TGF- beta genes, TGF- beta proteins and
their receptors. Increased glucose level mediates these effects through
activation of polyol pathway, protein kinase C pathway, hexosamine pathway,
increases advanced glycation end products (AGE) and increases oxidative stress.
Hyperglycemia also activates the TGF- beta via activation of glucose transporters
(GLUT), angiotensine II and platelet derived growth factor (PDGF). Activated TGF
beta further leads to glomerular basement membrane (GBM) thickening and
glomerulosclerosis through activation of connective tissue growth factor (CDGF)
and vascular endothelial growth factor (VEGF). We have discussed the progression
of hyperglycemia to DN via TGF- beta, whose schematic presentation may serve as
an effective way to understand the mechanisms and to find out an effective way
for the management of diabetic nephropathy.
PMID- 24919658
TI - Web services-based text-mining demonstrates broad impacts for interoperability
and process simplification.
AB - The Critical Assessment of Information Extraction systems in Biology
(BioCreAtIvE) challenge evaluation tasks collectively represent a community-wide
effort to evaluate a variety of text-mining and information extraction systems
applied to the biological domain. The BioCreative IV Workshop included five
independent subject areas, including Track 3, which focused on named-entity
recognition (NER) for the Comparative Toxicogenomics Database (CTD;
http://ctdbase.org). Previously, CTD had organized document ranking and NER
related tasks for the BioCreative Workshop 2012; a key finding of that effort was
that interoperability and integration complexity were major impediments to the
direct application of the systems to CTD's text-mining pipeline. This underscored
a prevailing problem with software integration efforts. Major interoperability
related issues included lack of process modularity, operating system
incompatibility, tool configuration complexity and lack of standardization of
high-level inter-process communications. One approach to potentially mitigate
interoperability and general integration issues is the use of Web services to
abstract implementation details; rather than integrating NER tools directly, HTTP
based calls from CTD's asynchronous, batch-oriented text-mining pipeline could be
made to remote NER Web services for recognition of specific biological terms
using BioC (an emerging family of XML formats) for inter-process communications.
To test this concept, participating groups developed Representational State
Transfer /BioC-compliant Web services tailored to CTD's NER requirements.
Participants were provided with a comprehensive set of training materials. CTD
evaluated results obtained from the remote Web service-based URLs against a test
data set of 510 manually curated scientific articles. Twelve groups participated
in the challenge. Recall, precision, balanced F-scores and response times were
calculated. Top balanced F-scores for gene, chemical and disease NER were 61, 74
and 51%, respectively. Response times ranged from fractions-of-a-second to over a
minute per article. We present a description of the challenge and summary of
results, demonstrating how curation groups can effectively use interoperable NER
technologies to simplify text-mining pipeline implementation. Database URL:
http://ctdbase.org/
PMID- 24919659
TI - Echocardiographic analysis of an extracellular matrix tricuspid valve.
PMID- 24919661
TI - Social support and depressive symptoms among 'money' boys and general men who
have sex with men in Shanghai, China.
AB - The primary objective was to examine prevalence and correlates of social support
and depressive symptoms among male sex workers (known as 'money boys' (MBs)) and
general men who have sex with men (MSM) in Shanghai. The Social Provision Scale
(SPS), which consists of 24 items, scored out of 4 for social provision, was used
to evaluate the functions of social relationships. The score for each item ranges
from 1 to 4, with a higher score indicating more social provision. The overall
mean SPS score was 68.1 (s.d.=6.53) for MBs and 69.3 (s.d.=6.99) for general MSM.
Depression was measured with a 12-item version of the Center for Epidemiological
Studies Depression Scale (CES-D), where a score of 9 has been recommended as the
cutoff score to indicate possible depressive symptoms. The prevalence of
depressive symptoms was 57.9%, with MBs having a higher level of depressive
symptoms than general MSM (70.0% v. 46.1%) (odds ratio=1.86, 95% confidence
interval=1.07-3.24). Social support was a protective factor for depressive
symptoms (odds ratio=0.92, 95% confidence interval=0.89-0.96). MSM in China,
particularly MBs, are vulnerable to low social support and high depressive
symptoms, highlighting the need for tailored psychological programs targeting
this population.
PMID- 24919660
TI - Fractures are increased and bisphosphonate use decreased in individuals with
insulin-dependent diabetes: a 10 year cohort study.
AB - BACKGROUND: Individuals with diabetes have been found previously to be at
increased risk of non-traumatic fracture. However, it is unclear if these
individuals are being identified and treated for osteoporosis. METHODS: 7753
Canadians over 50 years of age were followed prospectively for 10 years. 606/7753
(7.8%) of had diabetes; 98 were insulin-dependent and 508 were not. Using a cox
proportional hazards model, we assessed the association between diabetes status
and incident non-traumatic fracture. Using logistic regression we identified
factors associated with bisphosphonate use over the 10 year period of study.
RESULTS: Mean (SD) age of participants was 66.7(9.4) years and 72% were female.
Those with diabetes had higher BMD T-scores at baseline, with a mean (SD) femoral
neck T-Score of -0.97 (1.06), compared to -1.24 (0.99) in the general cohort. The
adjusted hazard ratio (HR) for incident non-traumatic fracture in individuals
with insulin-dependent diabetes over the 10 year study period was 2.50 (95%
confidence interval [CI] 1.60, 3.90; p < 0.001). Despite this increased fracture
rate, individuals with diabetes (insulin-dependent or non-insulin-dependent) were
less likely to be on bisphosphonate therapy at any point over 10 years of
prospective follow up compared to other CaMos subjects (odds ratio [OR]: 0.59;
95% CI 0.46-0.75, p < 0.001). CONCLUSIONS: Despite the increased risk of non
traumatic fracture associated with insulin-dependent diabetes, we that found
individuals with diabetes are less likely to be treated with a bisphosphonate
than those without diabetes. These findings point to a possible care gap in the
treatment of non-traumatic fractures in individuals with diabetes in Canada.
PMID- 24919662
TI - Screening of blood donors for chronic Coxiella burnetii infection after large Q
fever outbreaks.
AB - BACKGROUND: The Netherlands experienced major Q fever outbreaks from 2007 through
2009. An increasing number of human chronic Q fever cases has been reported in
the affected area. Blood donors unaware of chronic Coxiella burnetii infection
might be infectious for transfusion recipients. Local blood donations were
screened for serologic signs of chronic Coxiella infection. STUDY DESIGN AND
METHODS: From August 2012 through January 2013, a total of 2490 serum samples
were collected from all consenting blood donors in the most affected Q fever
outbreak area and screened for Phase II anti-Coxiella immunoglobulin G antibodies
using an enzyme-linked immunosorbent assay (ELISA). (Phase II antibodies are
considered indicative for resolved or ongoing Coxiella infection.) Reactive
samples were confirmed by quantitative immunofluorescent Phase I and II antibody
testing. A Phase I antibody titer of at least 1024 was considered indicative for
chronic Coxiella infection. For 179 donors archived samples from 2009 and 2010
were available to study the long-term course of Coxiella antibodies. RESULTS: A
total of 110 of 2490 donors were confirmed positive for Phase II Coxiella
antibodies (4.4%; 95% confidence interval, 3.7%-5.3%), of which 79 were reactive
for Phase I antibodies, with a maximum titer of 256. In 15 of 24 donors (62.5%),
testing positive for Phase II antibodies in 2009 and 2010, ELISA reactivity had
declined to negativity in 2012 and 2013. CONCLUSION: After large Q fever
outbreaks in the Netherlands, no sign of potentially infectious chronic Coxiella
infection was found among blood donors in the most affected area. Using an ELISA
for detection, Coxiella antibodies in previously exposed donors waned quickly.
PMID- 24919663
TI - Biosynthesis of Nudicaulins: A (13) CO2 -pulse/chase labeling study with Papaver
nudicaule.
AB - Nudicaulins are unique alkaloids responsible for the yellow color of the petals
of some papaveraceaous plants. To elucidate the unknown biosynthetic origin of
the skeleton, a (13) CO2 -pulse/chase experiment was performed with growing
Papaver nudicaule plants. (13) C NMR analysis revealed more than 20 multiple (13)
C-enriched isotopologues in nudicaulins from the petals of (13) CO2 -labeled
plants. The complex labeling pattern was compared with the isotopologue
composition of a kaempferol derivative that was isolated from petals of the same
(13) CO2 -labeled plants. The deconvolution of the labeling profiles indicated
that the nudicaulin scaffold is assembled from products or intermediates of
indole metabolism, the phenylpropanoid pathway, and the polyketide biosynthesis.
Naringenin-type compounds and tryptophan/tryptamine are potential substrates for
the condensation reaction finally generating the aglycone skeleton of
nudicaulins.
PMID- 24919664
TI - Brief overview on 2H NMR studies of polysiloxane-based side-chain nematic
elastomers.
AB - This is a brief overview on recent studies on liquid crystalline elastomers
(LCEs) based on polysiloxane chain, in the form of monodomain films, selectively
(2)H-labeled in different parts of the LCE samples, i.e. on the crosslinker or
mesogenic units. (2)H NMR spectroscopic techniques were used to measure the
temperature dependence of the quadrupolar splittings, line widths and relaxation
times, T(1) and T(2). From these data, several information about the
orientational order parameter, S, of various LCE fragments, thermodynamic
features of the isotropic-nematic transition and main motional processes could be
generalized for this type of elastomers.
PMID- 24919666
TI - PNA-tagged peptide microarrays for ratiometric activity detection of cellular
protein kinases.
AB - Peptide microarrays can be used to measure the activity of multiple protein
kinases (PKs), which can be used to elucidate kinomics for drug discovery and
diagnosis. Here we demonstrated a new microarray for ratiometric detection of the
activity of PKs using peptide nucleic acid (PNA)-tagged peptides labeled with two
different fluorophores, Cy3 and Cy5. We successfully detected cellular PK
activities based on ratiometry, and applied the system for evaluation of an
inhibitory drug.
PMID- 24919667
TI - Simultaneous detection of nucleic acid and protein using gold nanoparticles and
lateral flow device.
AB - In this work, we present a simple and fast approach for simultaneous detection of
nucleic acid and protein using gold nanoparticles (GNPs) and a lateral flow
device (LFD). Sandwich-type immunoreactions and DNA hybridizations were performed
simultaneously on the LFD by using DNA- and antibody-functionalized GNPs. The
captured GNPs, due to the DNA hybridization and immunoreaction events on the LFD,
produced characteristic red bands that could be used for the qualitative
detections of DNA and/or protein. The proof of principle was demonstrated by
using 60-mer DNA and rabbit IgG (R-IgG) model targets. The LFD was capable of
detecting a minimum of 0.5 nM target DNA and 2 ng mL(-1) IgG simultaneously in 15
min. The proposed LFD shows great promise for in-field and point-of-care testing
of disease-related circulating nucleic acid and protein biomarkers in biological
fluids.
PMID- 24919668
TI - Effects of cyclodextrins on intramolecular photoinduced electron transfer in a
boronic acid fluorophore.
AB - An inclusion complex consisting of a boronic acid fluorophore (C1-APB) and beta
cyclodextrin (beta-CyD) acts as a supramolecular sugar sensor whose response
mechanism is based on photoinduced electron transfer (PET) from the excited
pyrene to the boronic acid. We have investigated the PET process in C1-APB/CyD
complexes by using time-resolved photoluminescence (TRPL) measurements at room
temperature, and have succeeded in estimating the electron-transfer time to be
about 1 ns. We have also studied the effects of CyDs on the PET process by
comparing two kinds of CyDs (alpha-CyD, beta-CyD) under different water
dimethyisulfoxide (DMSO) concentration conditions. We found that the CyDs
interacting with the boronic acid moiety completely inhibits PET quenching and
increases the monomer fluorescence intensity.
PMID- 24919669
TI - Construction of an electrode modified with gallium(III) for voltammetric
detection of ovalbumin.
AB - Electrodes modified with gallium(III) complexes were constructed to detect
ovalbumin (OVA). For immobilization of a gallium(III)-nitrilotriacetate (NTA)
complex, the electrode was first covered with collagen film. After the amino
groups of the film had reacted with isothiocyanobenzyl-NTA, the gallium(III) was
then able to combine with the NTA moieties. Another design featured an electrode
cast with a gallium(III)-acetylacetonate (AA) complex. The amount of gallium(III)
in the NTA complex was equivalent to one-quarter of the gallium(III) that could
be utilized from an AA complex. However, the calibration curves of OVA using
gallium(III)-NTA and gallium(III)-AA complexes were linear in the ranges of 7.0 *
10(-11) - 3.0 * 10(-9) M and 5.0 * 10(-10) - 8.0 * 10(-9) M, respectively. The
gallium(III) on the electrode with NTA complex had high flexibility due to the
existence of a spacer between the NTA and the collagen film, and, therefore, the
reactivity of the gallium(III) to OVA was superior to that of the gallium(III)-AA
complex with no spacer.
PMID- 24919670
TI - A spectrophotometric procedure for malic acid determination in wines employing a
multicommutation approach.
AB - This work describes an automated procedure to determine L-malic acid (MA) in wine
samples using a multicommuted flow analysis. The MA quantification was based on
an enzymatic reaction between MA and L-malate dehydrogenase (L-MDH) in the
presence of nicotinamide adenine dinucleotide (NAD(+)), producing nicotinamide
adenine dinucleotide dehydrogenase (NADH), which was monitored at 340 nm. The L
MDH was immobilized on a surface of modified silica with amino groups in the
presence of glutaraldehyde. For studying optimization, the system was maintained
with 200 MUL (288 U) of the L-MDH in 0.5 g of modified silica. Under the optimum
experimental conditions, a linear response ranging from 0.1 to 1.5 g L(-1) MA (R
= 0.997 and n = 7), a detection (3sigma criterion) and quantification (10sigma
criterion) limit estimated at 0.02 and 0.06 g L(-1), respectively, a standard
deviation relative of 1.8% (n = 7) for a sample of 0.5 g L(-1) MA, a sampling
rate of 67 samples per hour were achieved. Analyzing ten wines samples and
applying the t-test to the results found and those obtained using reference
procedures (HPLC) provided no significant differences at the 95% confidence
level.
PMID- 24919671
TI - Preparation of a magnetic metal organic framework composite and its application
for the detection of methyl parathion.
AB - A magnetic metal organic framework (MOF) composite was prepared. The composite
was fabricated by incorporation of Fe3O4 nanoparticals with MOF. It was
characterized and expected to offer a promising template for molecular
immobilization and sensor fabrication because of its ordered structure and
satisfying large specific surface area. The resulting composite was used to
detect methyl parathion. Electrochemical measurements showed that the
multifunctional composite of MOF provided an excellent matrix for the co
adsorption of methyl parathion. Owing to the ordered structure, the large surface
area, excellent compatibility and magnetic property of the material, methyl
parathion could be separated, accumulated and directly detected in the solution
with high sensitivity. The differential pulse voltammetry (DPV) response was
proportional to the concentration range from 5.00 * 10(-6) to 5.00 * 10(-3) g L(
1) with the detection limit of 3.02 * 10(-6) g L(-1). The experimental results
can lead to a widespread use of electrochemical sensors to detect
organophosphorous pesticides contaminates and other substances.
PMID- 24919672
TI - A sensitive amperometric acetylcholine biosensor based on carbon nanosphere and
acetylcholinesterase modified electrode for detection of pesticide residues.
AB - A sensitive biosensor based on acetylcholinesterase (AChE) and carbon nanosphere
(CNS) immobilized on a glassy carbon electrode was developed for the detection of
pesticides by the inhibition of AChE activity. The inhibition effect of the
pesticides resulted in a decrease of current response of the acetylthiocholine
chloride that was used as a substrate to obtain an electrochemical signal. When
applied to the pesticides carbofuran and fenitrothion, the designed biosensor
exhibited high sensitivity and low detection limits. The inhibition ratio of
carbofuran and fenitrothion were linearly proportional to their concentrations
ranging from 0.40 to 4.79 and 6.26 to 125.31 MUg/L, respectively. The theoretical
detection limits were found to be 0.082 MUg/L for carbofuran and 2.61 MUg/L for
fenitrothion. Furthermore, as the biosensor offers good reproducibility and
stability, it could be used for trace detection of pesticides in real samples.
Compared with other AChE biosensors, the proposed biosensor was convenient and it
exhibited extreme sensitivity to pesticides.
PMID- 24919673
TI - Electrophoretic separation of chlorophenols on silica nanospheres-coated
poly(dimethylsiloxane) microchip using a Nafion/graphene-modified carbon
electrode for detection.
AB - In the present work, the micellar electrophoretic separation of five
chlorophenols (CPs) on a functionalized poly(dimethylsiloxane) (PDMS) microchip
with amperometric detection was performed. In order to achieve high resolution by
controlling the electroosmotic flow (EOF) as well as signal detection by
suppressing analytes adsorption, the microchannel was functionalized by
poly(diallyldimethylammonium chloride) (PDDA)/SiO2 nanospheres (NSs)/ poly(sodium
p-styrenesulfonate) (PSS), via an approach of layer-by-layer assembly. Five
chlorophenols (2-chlorophenol, 4-chlorophenol, 2,4-dichlorophenol, 2,3
dichlorophenol and 2,4,6-trichlorophenol) were efficiently separated in this
microchannel (3.7 cm of length) within 120 s. A resolution of at least 2.4 was
obtained with a 10 mM phosphate buffer solution (PBS) (pH 9.48) containing 20 mM
sodium dodecyl sulfate (SDS) and 50% (v/v) acetonitrile as a carrier under
optimized conditions. A graphene-modified carbon microdisk electrode was used for
high-sensitivity detection. Its characteristics were investigated by cyclic
voltammetry (CV) and electrochemical impedance spectroscopy (EIS). For those CPs,
linear ranges of 0.08-5, 0.06-5, 0.04-5, 0.04-5 and 0.30-20 MUM and detection
limits of 0.021, 0.026, 0.022, 0.019 and 0.054 MUM were obtained, respectively.
The method was successfully applied for the analysis of some wastewater samples
with satisfactory recovery.
PMID- 24919674
TI - Visual detection of arsenic using hydride generation followed by reaction with
silver bis(2-ethylhexyl)dithiocarbamate retained in a support filter.
AB - In this study, a simple and effective method for the detection of trace amounts
of arsenic in water samples was developed. Arsenic hydride generated by the
reduction of a water sample was passed through a sensing filter retaining silver
bis(2-ethylhexyl)dithiocarbamate complex. The original yellow color of the filter
immediately turned reddish violet. The difference of color was observed by a
reflection spectrophotometer. Sensing filters made of glass fiber gave the
highest sensitivity. Addition of low volatile amines effectively stabilized the
performance of the sensing filter. Common anions including phosphate ion did not
interfere with the arsenic detection. Visual detection of 10 MUg dm(-3) was
achieved in phi10 mm filter area using 60 cm(3) of sample solution.
PMID- 24919675
TI - Tube radial distribution phenomenon with a two-phase separation solution of a
fluorocarbon and hydrocarbon organic solvent mixture in a capillary tube and
metal compounds separation.
AB - A fluorocarbon and hydrocarbon organic solvent mixture is known as a temperature
induced phase-separation solution. When a mixed solution of tetradecafluorohexane
as a fluorocarbon organic solvent and hexane as a hydrocarbon organic solvent
(e.g., 71:29 volume ratio) was delivered in a capillary tube that was controlled
at 10 degrees C, the tube radial distribution phenomenon (TRDP) of the solvents
was clearly observed through fluorescence images of the dye, perylene, dissolved
in the mixed solution. The homogeneous mixed solution (single phase) changed to a
heterogeneous solution (two phases) with inner tetradecafluorohexane and outer
hexane phases in the tube under laminar flow conditions, generating the dynamic
liquid-liquid interface. We also tried to apply TRDP to a separation technique
for metal compounds. A model analyte mixture, copper(II) and hematin, was
separated through the capillary tube, and detected with a chemiluminescence
detector in this order within 4 min.
PMID- 24919676
TI - Stability study of the antihistamine drug azelastine HCl along with a kinetic
investigation and the identification of new degradation products.
AB - The first stability-indicating HPLC method was developed and validated for
azelastine HCl (AZL). The separation of AZL from its degradation products was
achieved on a C18 column using acetonitrile-0.04 M phosphate buffer of pH 3.5
(32:68, v/v) as a mobile phase with UV-detection at 210 nm and naftazone as an
internal standard. The method was rectilinear over the range of 0.2-20.0 MUg mL(
1) with a detection limit of 7.05 ng mL(-1). The degradation behavior of AZL was
studied under different ICH-recommended stress conditions along with a kinetic
investigation; also, degradation products were identified by mass spectrometry.
The method was applied for the quality control and stability assessment of AZL in
eye drops and nasal spray. The obtained results were favorably compared with
those obtained by a comparison method.
PMID- 24919678
TI - A meta-substrate to enhance the bandwidth of metamaterials.
AB - We propose the concept of a meta-substrate to broaden the bandwidth of left
handed metamaterials. The meta-substrate, which behaves like an inhomogeneous
magnetic substrate, is composed of another kind of magnetic metamaterials like
metallic closed rings. When conventional metamaterial rings are printed on this
kind of meta-substrate in a proper way, the interaction of the metamaterials
units can be greatly enhanced, yielding an increased bandwidth of negative
permeability. An equivalent circuit analytical model is used to quantitatively
characterize this phenomenon. Both numerical and experimental demonstrations are
carried out, showing good agreement with theoretical predictions.
PMID- 24919679
TI - Anisotropic dissymmetry factor, g: theoretical investigation on single molecule
chiroptical spectroscopy.
AB - A formula for an anisotropic dissymmetry factor g evaluating the chiroptical
response of orientationally fixed molecules is derived. Incorporating zeroth- and
first-order multipole expansion terms, it is applied to bridged triarylamine
helicene molecules to examine the experimental results of single-molecule
chiroptical spectroscopy. The ground- and excited-state wave functions and a
series of transition moments required for the evaluation of the anisotropic g
value are calculated using time-dependent density functional theory (TDDFT). The
probability histograms obtained for simulated g values, uniformly sampled in
regard to the direction of light propagation toward the fixed molecule, show that
even for a given diastereomer, the dissymmetry factors have positive and negative
values and can deviate from their averages to a considerable extent when the
angle between the electric dipole transition moment and the propagation vector of
the incident light is near 0 or 180 degrees .
PMID- 24919680
TI - Application of cell sheet technology to bone marrow stromal cell transplantation
for rat brain infarct.
AB - Bone marrow stromal cells (BMSC) transplantation enhances functional recovery
after cerebral infarct, but the optimal delivery route is undetermined. This
study was aimed to assess whether a novel cell-sheet technology non-invasively
serves therapeutic benefits to ischemic stroke. First, the monolayered cell sheet
was engineered by culturing rat BMSCs on a temperature-responsive dish. The cell
sheet was analysed histologically and then transplanted onto the ipsilateral
neocortex of rats subjected to permanent middle cerebral artery occlusion at 7
days after the insult. Their behaviours and histology were compared with those in
the animals treated with direct injection of BMSCs or vehicle over 4 weeks post
transplantation. The cell sheet was 27.9 +/- 8.0 MUm thick and was composed of
9.8 +/- 2.4 * 105 cells. Cell sheet transplantation significantly improved motor
function when compared with the vehicle-injected animals. Histological analysis
revealed that the BMSCs were densely distributed to the neocortex adjacent to the
cerebral infarct and expressed neuronal phenotype in the cell sheet-transplanted
animals. These findings were almost equal to those for the animals treated with
direct BMSC injection. The attachment of the BMSC sheet to the brain surface did
not induce reactive astrocytes in the adjacent neocortex, although direct
injection of BMSCs profoundly induced reactive astrocytes around the injection
site. These findings suggest that the BMSCs in cell sheets preserve their
biological capacity of migration and neural differentiation. Cell-sheet
technology may enhance functional recovery after ischaemic stroke, using a less
invasive method. Copyright (c) 2014 John Wiley & Sons, Ltd.
PMID- 24919681
TI - "Microscopic evidences of heavy metals distribution and anatomic alterations in
breaching-leaves of Cupressus lindleyi growing around mining wastes".
AB - In this article a study of the distribution of heavy metals in Cupressus lindleyi
breaching-leaves was done in Taxco, Guerrero. At the same, heavy metals micro
localization was conducted in the breaching-leaves to understand the structural
changes provoked by mining waste on plants. The most abundant contaminants in
soils, tailings and different plant organs (roots, stems, and leaves) were Zn,
Mn, and Pb. Nevertheless, As was more accumulated in the stem and breaching
leaves. The translocation factor and the bio-concentration factor were less than
1. The structural changes observed were the great accumulation of starch grains
and phenolic compounds in the palisade parenchyma, changes in the hypodermis cell
wall and necrotic zones in the palisade parenchyma. The distribution of heavy
metals in breaching-leaves tissues was homogeneous in most of the elements. These
results showed that C. lindleyi is a species that can be employed in
phytostabilization of contaminated zones with mining waste because it is a native
plant that does not require a lot of conditions for its development.
PMID- 24919682
TI - Central blood pressure reflects left ventricular load, while brachial blood
pressure reflects arterial damage.
AB - OBJECTIVES: The present study investigated whether brachial and central blood
pressures have differential impact on the cardiovascular system in the general
population. METHODS: The study included 706 subjects (59 +/- 10 years) who
visited our hospital for a physical check-up. Brachial blood pressure and radial
artery pressure waveforms were recorded using an automated device, and the
pressure corresponding to the radial late systolic peak (SBP2) was taken as
central blood pressure. The concentration of B-type natriuretic peptide and the
intima-media thickness of the carotid artery were measured and a cross-sectional
analysis was performed. RESULTS: Brachial blood pressure was 128 +/- 18/74 +/- 12
(mean blood pressure, 92 +/- 13) mmHg and SBP2 was 120 +/- 19 mmHg. Although both
brachial systolic blood pressure and SBP2 correlated with B-type natriuretic
peptide in a univariate analysis, only SBP2 independently correlated with B-type
natriuretic peptide after adjustment for possible factors. In contrast, brachial
systolic blood pressure, but not SBP2, independently correlated with carotid
artery intima-media thickness. CONCLUSIONS: Central blood pressure is more
closely associated with left ventricular load than brachial blood pressure, while
brachial blood pressure is more strongly associated with vascular damage than
central blood pressure.
PMID- 24919683
TI - Old tool - new application: NT-proBNP in fetal medicine.
PMID- 24919684
TI - Furosemide and albumin for diuresis of edema (FADE): a study protocol for a
randomized controlled trial.
AB - BACKGROUND: Fluid retention is a common complication of critical illness. It
typically results from large-volume fluid infusions during acute resuscitation
and is worsened by hypoalbuminemia. Recognized as edema, fluid retention is
important for its association with delayed weaning and increased mortality. The
standard treatment is the administration of diuretics, with or without albumin.
We hypothesize that intravenous 25% albumin plus furosemide, by comparison with
furosemide alone, improves diuresis, oxygenation, and hemodynamic stability in
the deresuscitation of critically ill, hypoalbuminemic patients. We propose a
pilot study to determine the feasibility of a trial to investigate this
hypothesis. METHODS/DESIGN: FADE is a single-center, parallel, pilot randomized
controlled trial. We aim to allocate 50 hemodynamically stable, hypoalbuminemic
adult patients receiving diuresis to treatment with either 100 ml of either 25%
albumin or normal saline placebo twice daily, for a total of six doses. Diuretics
are to be prescribed by the caregiving team at least twice daily, and
administered within 2 hours following study treatment. Patients, intensive care
unit (ICU) clinicians, data collectors, and outcome adjudicators will be blinded
to treatment allocation. Feasibility outcome measures include the proportion of
patients receiving albumin within 2 hours of diuretic, the proportion of patients
receiving the full six doses of study treatment, the proportion of patients who
receive open label 25% albumin, and the rate of recruitment. Physiologic,
laboratory, and clinical data are collected until discharge from the ICU or until
30 days. DISCUSSION: This is the first randomized trial to assess the use of
hyperoncotic albumin in addition to diuretics in a general ICU population. Should
this pilot study demonstrate feasibility, the primary outcome measure of the
larger clinical trial will be the number of ventilator-free days, with secondary
clinical outcome measures of duration of mechanical ventilation, length of ICU
stay, episodes of hemodynamic instability and mortality. The addition of 25%
albumin to standard diuretic therapy is a promising treatment in the post
resuscitation care of the critically ill patient. TRIAL REGISTRATION:
ClinicalTrials.gov NCT02055872; ISRCTN70191881.
PMID- 24919685
TI - Multi-dimensional electron microscopy.
PMID- 24919686
TI - Oligonol, a low-molecular-weight polyphenol derived from lychee fruit, attenuates
diabetes-induced renal damage through the advanced glycation end product-related
pathway in db/db mice.
AB - This study was conducted to examine whether oligonol, a low-molecular-weight
polyphenol derived from lychee fruit, has an ameliorative effect on diabetes
induced alterations, such as advanced glycation end product (AGE) formation or
apoptosis in the kidneys of db/db mice with type 2 diabetes. Oligonol [10 or 20
mg/(kg body weight . d), orally] was administered every day for 8 wk to
prediabetic db/db mice, and its effect was compared with vehicle-treated db/db
and normal control mice (m/m). The administration of oligonol decreased the
elevated renal glucose concentrations and reactive oxygen species in db/db mice
(P < 0.05). The increased serum urea nitrogen and creatinine concentrations,
which reflect renal dysfunction in db/db mice, were substantially lowered by
oligonol. Oligonol reduced renal protein expression of NAD(P)H oxidase subunits
(p22 phagocytic oxidase and NAD(P)H oxidase-4), AGEs (except for pentosidine),
and c-Jun N-terminal kinase B-targeting proinflammatory tumor necrosis factor
alpha (P < 0.05). Oligonol improved the expressions of antiapoptotic [B-cell
lymphoma protein 2 (Bcl-2) and survivin] and proapoptotic [Bcl-2-associated X
protein, cytochrome c, and caspase-3] proteins in the kidneys of db/db mice (P <
0.05). In conclusion, these results provide important evidence that oligonol
exhibits a pleiotropic effect on AGE formation and apoptosis-related variables,
representing renoprotective effects against the development of diabetic
complications in db/db mice with type 2 diabetes.
PMID- 24919687
TI - Functional characterization of the duck and turkey fatty acyl elongase enzymes
ELOVL5 and ELOVL2.
AB - In most Western countries, the consumption of fish is low and insufficient to
provide the recommended daily intake of the n-3 (omega-3) long-chain
polyunsaturated fatty acids (PUFAs) eicosapentaenoic acid (EPA; 20:5n-3) and
docosahexaenoic acid (DHA; 22:6n-3). Poultry has the potential to be a
sustainable source of EPA and DHA if poultry species are capable of synthesizing
these n-3 PUFAs from dietary plant-derived alpha-linolenic acid (ALA; 18:3n-3).
In most animals, the elongation of very long-chain fatty acids (ELOVL) enzyme
ELOVL2 is essential for conversion of dietary ALA to DHA because only ELOVL2 and
not ELOVL5 can elongate docosapentaenoic acid (DPA; 22:5n-3) to 24:5n-3, the
precursor of DHA. The chicken is the only poultry species in which elongase
enzymes have been functionally characterized, and chicken ELOVL5 had unique DPA
to-24:5n-3 activity, which may enable chickens to synthesize more DHA than other
animals. By using a yeast expression system, we examined the duck and turkey
elongases, ELOVL2 and ELOVL5, to understand if all poultry species have similar
potential to synthesize EPA and DHA. The duck and turkey ELOVL5 enzymes were
active with C18-20 PUFAs only. The duck ELOVL2 had a broad substrate specificity
with C18-22 PUFAs, whereas the turkey ELOVL2 was active only with EPA and C22
PUFAs. Both duck and turkey ELOVL2 enzymes catalyzed 2 rounds of EPA elongation,
with the products being DPA and its elongation product, 24:5n-3. With exogenous
DPA, both duck and turkey ELOVL2 synthesized 24:5n-3, with the duck ELOVL2 being
more active than the turkey ELOVL2. The reason for the lack of DPA elongation
activity by the duck and turkey ELOVL5 enzymes compared with the chicken ELOVL5
could not be elucidated by protein sequence comparisons. By using the elongase
enzyme activities only as a predictor of DHA synthesis, ducks may have a similar
ability to chickens to convert increasing dietary ALA to DHA.
PMID- 24919688
TI - The interplay of gender, mood, and stress hormones in the association between
emotional eating and dietary behavior.
PMID- 24919690
TI - Intestinal and systemic inflammatory responses are positively associated with
sulfidogenic bacteria abundance in high-fat-fed male C57BL/6J mice.
AB - Recent studies have highlighted the relation between high-fat (HF) diets, the gut
microbiota, and inflammation. However, the role of sulfidogenic bacteria in
mediating these effects has been explored only recently. Therefore, we tested the
hypothesis that an HF diet rich in saturated fat stimulates sulfidogenic bacteria
and that these increases correlate with intestinal and systemic inflammatory
responses. Forty C57BL/6J male mice were fed a low-fat (LF; 10% of energy) or an
HF lard-based (60% of energy) diet for 6 or 20 wk. Mucosa samples were collected
from the ileum, cecum, and colon and used for measuring 16S ribosomal RNA and
functional genes of sulfidogenic bacteria. Matching intestinal samples and
visceral and subcutaneous white adipose tissue (WAT) depots were used to measure
mRNA abundance for inflammatory genes. Mice fed the HF diet had greater (P <
0.05) abundance of 3 types of sulfidogenic bacteria, primarily in colonic mucosa,
compared with LF-fed mice at week 20. Although HF feeding did not increase
intestinal inflammation at week 6, ileal markers of macrophage infiltration and
inflammation were upregulated (P < 0.05) 1- to 6-fold at week 20. HF feeding
impaired the localization of the tight junction protein zonula occludens 1 at the
apical area of the ileal epithelium at weeks 6 and 20. Mice fed the HF diet had 1
to 100-fold greater (P < 0.05) mRNA levels of markers of macrophage infiltration
in visceral and subcutaneous WAT at week 20, but not at week 6, compared with LF
fed mice. These results provide evidence that chronic, but not acute, consumption
of an HF lard-based diet may be linked with pathways of microbial metabolism that
potentially contribute to chronic intestinal and systemic inflammation. Such
linkage provides further support for reducing consumption of saturated fats.
PMID- 24919689
TI - Shifts in the recent distribution of energy intake among U.S. children aged 2-18
years reflect potential abatement of earlier declining trends.
AB - Recent national surveys suggest that child obesity in the United States may have
reached a plateau, but corresponding trends in energy intake have not been
examined in depth. This article evaluates medium-term trends in children's
reported energy intake by using 4 waves of national dietary surveillance from
2003-2004 to 2009-2010. The analysis uses up to 2 24-h dietary recalls,
incorporating methods that address challenges in estimating usual intake,
accounting for intraindividual variance and covariates such as the presence of
atypical consumption days. Quantile regression was used to assess disparities in
intake among sociodemographic subgroups at extremes of the distribution as well
as at the median, and the potential influence of misreporting was evaluated.
Results indicated that after an initial decline in intakes across all age groups
through 2007-2008, there were significant increases of ~90 kcal/d at the median
among adolescents in 2009-2010, whereas intakes in younger children remained
steady. Among adolescent boys, the recent increase was larger at the 90th
percentile than at the median. Intake trends did not vary by race/ethnic group,
among whom intakes were similar at the upper end of the distribution.
Misreporting did not influence trends over time, but intakes were lower in
younger children and higher in older children after excluding misreporters.
Overall, findings suggest that declines in children's energy intake from 2003
2004 through 2007-2008 were consistent with the obesity plateau observed in most
age and gender subgroups through 2009-2010. However, there is evidence of
increased intakes among adolescents in 2009-2010, which may threaten the earlier
abatement in overweight in this older age group.
PMID- 24919691
TI - Human milk oligosaccharides differ between HIV-infected and HIV-uninfected
mothers and are related to necrotizing enterocolitis incidence in their preterm
very-low-birth-weight infants.
AB - The heavy burden of maternal HIV infection has resulted in a high prevalence of
premature birth and associated necrotizing enterocolitis (NEC). Human milk
oligosaccharides (HMOs) were recently associated with HIV infection and
transmission through breastfeeding and were also shown to reduce NEC in an animal
model, particularly the HMO disialyllacto-N-tetraose (DSLNT). The primary aim of
this study was to verify differences in HMO composition between HIV-infected and
HIV-uninfected women. The secondary aim was to assess whether the HMO composition
in the milk of mothers whose infants were diagnosed with NEC differs from that of
mothers whose infants did not develop NEC. This study forms part of a larger
clinical trial conducted at the Tygerberg Children's Hospital, Cape Town, South
Africa, which recruited HIV-infected and HIV-uninfected mothers and their preterm
infants (<34 wk gestation; >=500 and <=1250 g). Eighty-two mother-infant pairs
were selected for the substudy. Mother-infant pairs were stratified according to
the mother's HIV (infected/uninfected) and secretor status
(secretor/nonsecretor). HMOs in 4- and 28-d postpartum milk samples were analyzed
by HPLC and compared between groups. Our results confirm previous reports that
HIV-infected mothers have higher relative abundances of 3'-sialyllactose in their
milk compared with HIV-uninfected mothers (10.7% vs. 6.8%; P < 0.01). Most
intriguingly, the data also indicated that low concentrations of DSLNT in the 4-d
milk samples in the mother's milk increased the infant's risk of NEC (200 +/- 126
vs. 345 +/- 186 MUg/mL; P < 0.05), which is in accordance with results from
previously published animal studies and warrants further investigation. This
trial was registered at clinicaltrials.gov as NCT01868737.
PMID- 24919692
TI - Skeletal muscle disuse atrophy is not attenuated by dietary protein
supplementation in healthy older men.
AB - Short successive periods of muscle disuse, due to injury or illness, can
contribute significantly to the loss of muscle mass with aging (sarcopenia). It
has been suggested that increasing the protein content of the diet may be an
effective dietary strategy to attenuate muscle disuse atrophy. We hypothesized
that protein supplementation twice daily would preserve muscle mass during a
short period of limb immobilization. Twenty-three healthy older (69 +/- 1 y) men
were subjected to 5 d of one-legged knee immobilization by means of a full-leg
cast with (PRO group; n = 11) or without (CON group; n = 12) administration of a
dietary protein supplement (20.7 g of protein, 9.3 g of carbohydrate, and 3.0 g
of fat) twice daily. Two d prior to and immediately after the immobilization
period, single-slice computed tomography scans of the quadriceps and single-leg 1
repetition maximum strength tests were performed to assess muscle cross-sectional
area (CSA) and leg muscle strength, respectively. Additionally, muscle biopsies
were collected to assess muscle fiber characteristics as well as mRNA and protein
expression of selected genes. Immobilization decreased quadriceps' CSAs by 1.5 +/
0.7% (P < 0.05) and 2.0 +/- 0.6% (P < 0.05), and muscle strength by 8.3 +/- 3.3%
(P < 0.05) and 9.3 +/- 1.6% (P < 0.05) in the CON and PRO groups, respectively,
without differences between groups. Skeletal muscle myostatin, myogenin, and
muscle RING-finger protein-1 (MuRF1) mRNA expression increased following
immobilization in both groups (P < 0.05), whereas muscle atrophy F-box/atrogen-1
(MAFBx) mRNA expression increased in the PRO group only (P < 0.05). In
conclusion, dietary protein supplementation (~20 g twice daily) does not
attenuate muscle loss during short-term muscle disuse in healthy older men. This
trial was registered at clinicaltrials.gov as NCT01588808.
PMID- 24919693
TI - Cross-national comparisons of sickness absence systems and statistics: towards
common indicators.
AB - We aimed to identify common elements in work sickness absence (SA) in Spain,
Sweden and The Netherlands. We estimated basic statistics on benefits
eligibility, SA incidence and duration and distribution by major diagnostics. The
three countries offer SA benefits for at least 12 months and wage replacement,
differing in who and when the payer assumes responsibility; the national health
systems provide health care with participation from occupational health services.
Episodes per 1000 salaried workers and episode duration varied by country; their
distribution by diagnostic was similar. Basic and useful SA indicators can be
constructed to facilitate cross-country comparisons.
PMID- 24919694
TI - Can media images of obese people undermine health messages? An experimental study
of visual representation and risk perception.
AB - BACKGROUND: Images depicting morbidly obese models [i.e. body mass index (BMI)
>40] often accompany media articles about the health risks of being overweight
(i.e. BMI 25-30). Little is known about the effect of this mismatch on people's
understanding of risk, and perceptions of message relevance. METHODS: In total,
563 participants (291 overweight/obese and 272 healthy weight) were randomly
allocated to read a health message about the risk of heart disease posed by being
overweight, presented alongside (i) a photo of an overweight model, (ii) a
morbidly obese model or (iii) no photo. Between-group differences in the primary
outcomes of message relevance, and the body size perceived to be 'at risk', were
assessed, and the potential moderating effects of motivation, weight concern and
existing risk knowledge explored. RESULTS: Overweight and obese participants in
the exaggerated (morbidly obese) image condition interpreted health risks to
relate to a larger body size than those who saw no image (F(2, 290) = 4.06, P =
0.02). There was no experimental effect on perceived personal relevance (F(2,
290) = 0.25, P = 0.38). No significant moderation effects were detected, and
there was no effect of study condition in healthy weight participants for either
outcome. CONCLUSION: The findings suggest that the use of morbidly obese models
in messages regarding the health risks of being overweight may undermine the
impact of these messages among those who they most aim to reach; the reader may
perceive a reduced risk of being 'only' overweight, and that a higher weight is
needed for the negative effects of excess weight to occur.
PMID- 24919695
TI - Excess winter deaths in Europe: a multi-country descriptive analysis.
AB - BACKGROUND: Winter deaths are a known health and social care challenge for many
countries. A previous international comparison showed significant differences in
excess winter deaths across Europe in the 1990s, with the northern countries
having lower excess winter mortality than those in southern Europe. METHODS: The
Excess Winter Deaths Index (EWDI) is the ratio of deaths in the winter period
(December to March) compared with deaths in the non-winter period. Data from the
Eurostat database and national registries were used to calculate the EWDI for 31
countries in Europe across the time period 2002/2003 to 2010/2011. RESULTS:
National EWDI values show heterogeneity, with a broad pattern of increasing EWDI
values from northern to southern Europe and increasing mean winter temperature
(r(2) = 0.50, P > 0.0001). Malta, Portugal, Spain, Cyprus and Belgium all had an
EWDI that was statistically significantly higher than the average EWDI for the
other 30 European countries. There was no clear association between country-level
EWDI and the level of inter-annual variability in winter temperature across
Europe. DISCUSSION: This article demonstrates the differences in EWDI that exist
between European countries with implications for both research and policy. Many
deaths may be avoidable as environmental, social and personal factors are known
to contribute to winter mortality. We now need to work to better understand the
causes of inter-country differences.
PMID- 24919696
TI - Standard and trace-dose lithium: a systematic review of dementia prevention and
other behavioral benefits.
AB - OBJECTIVE: Dementia is a major public health issue, with notably high rates in
persons with mood illnesses. Lithium has been shown to have considerable
neuroprotective effects, even in trace or low doses. The aim of this review is to
summarize the current understanding of lithium benefits in trace or low doses in
dementia prevention and for other behavioral or medical benefits. METHODS: A
systematic review identified 24 clinical, epidemiological, and biological reports
that met inclusion criteria of assessing lithium in standard or low doses for
dementia or other behavioral or medical benefits. RESULTS: Five out of seven
epidemiological studies found an association between standard-dose lithium and
low dementia rates. Nine out of 11 epidemiological studies, usually of drinking
water sources, found an association between trace-dose lithium and low
suicide/homicide/mortality and crime rates. All four small randomized clinical
trials of lithium for Alzheimer's dementia have found at least some clinical or
biological benefits versus placebo. Only one small randomized clinical trial
(RCT) of trace lithium has been conducted, assessing mood symptoms in former
substance abusers, and found benefit with lithium versus placebo. CONCLUSIONS:
Lithium, in both standard and trace doses, appears to have biological benefits
for dementia, suicide, and other behavioral outcomes. Further RCT research of
trace lithium in dementia is warranted.
PMID- 24919697
TI - Surface modification of SU-8 for enhanced cell attachment and proliferation
within microfluidic chips.
AB - Advances in micro-electro-mechanical systems (MEMS) have led to an increased
fabrication of micro-channels. Microfabrication techniques are utilized to
develop microfluidic channels for continuous nutrition supply to cells inside a
micro-environment. The ability of cells to build tissues and maintain tissue
specific functions depends on the interaction between cells and the extracellular
matrix (ECM). SU-8 is a popular photosensitive epoxy-based polymer in MEMS. The
patterning of bare SU-8 alone does not provide the appropriate ECM necessary to
develop microsystems for biological applications. Manipulating the chemical
composition of SU-8 will enhance the biological compatibility, giving the
fabricated constructs the appropriate ECM needed to promote a functional tissue
array. This article investigates three frequently used surface treatment
techniques: (1) plasma treatment, (2) chemical reaction, and (3) deposition
treatment to determine which surface treatment is the most beneficial for
enhancing the biological properties of SU-8. The investigations presented in this
article demonstrated that the plasma, gelatin, and sulfuric acid treatments have
a potential to enhance SU-8's surface for biological application. Of course each
treatment has their advantages and disadvantages (application dependent). Cell
proliferation was studied with the use of the dye Almar Blue, and a micro-plate
reader. After 14 days, cell proliferation to plasma treated surfaces was
statistically significantly enhanced (p < 0.00001), compared to untreated
surfaces. The plasma treated surface is suggested to be the better of the three
treatments for biological enhancement followed by gelatin and sulfuric acid
treatments, respectively.
PMID- 24919698
TI - Chiral organoborane Lewis pairs derived from pyridylferrocene.
AB - In an effort to develop a new class of redox-active chiral Lewis pairs, pyridine
and borane moieties with different steric and electronic properties were
introduced onto a planar chiral 1,2-disubstituted ferrocene framework. Metathesis
of lithiated, stannylated, or mercuriated pyridylferrocenes with boron halides
afforded (pR)-2-[bis(pentafluorophenyl)boryl]-1-(3,5-dimethylpyrid-2-yl)ferrocene
(4-Pf), (pR)-2-[dimesitylboryl]-1-(3,5-dimethylpyrid-2-yl)ferrocene (4-Mes), (pS)
2-(bis(pentafluorophenyl)boryl)-1-(2-trimethylsilylpyrid-6-yl)ferrocene (5-Pf),
or (pS)-2-[dimesitylboryl]-1-(2-trimethylsilylpyrid-6-yl)ferrocene (5-Mes). The
borylated products were analyzed by multinuclear NMR spectroscopy, HRMS, and
single-crystal X-ray diffraction. Chiral HPLC and optical-rotation measurements
were employed to assess the stereoselectivity of the borylation process and to
establish the correct stereochemical assignments. The strength of the B-N
interactions were investigated in solution and in the solid state. Compounds 4-Pf
and 4-Mes formed robust 'closed' B-N heterocyclic systems that proved to be
perfectly stable to air and moisture, whereas 5-Pf established a dynamic
equilibrium, in which the B-N heterocycle was observed exclusively at room
temperature, but opened up at high temperature according to (19)F NMR exchange
spectroscopy data. As a consequence, 5-Pf reacted readily with a molecule of
water to generate a ring-opened pyridinium borate. The combination of bulky
borane and bulky pyridyl groups in 5-Mes led to a completely 'open' frustrated
Lewis pair system with uncomplexed pyridine and borane groups, even at room
temperature. Electrochemical studies were performed and the effect of preparative
ferrocene oxidation on the structural features was also explored.
PMID- 24919699
TI - K-Pg events facilitated lineage transitions between terrestrial and aquatic
ecosystems.
AB - We use dated phylogenetic trees for tetrapod vertebrates to identify lineages
that shifted between terrestrial and aquatic ecosystems in terms of feeding or
development, and to assess the timing of such events. Both stem and crown lineage
ages indicate a peak in transition events in correspondence with the K-Pg mass
extinction. This meets the prediction that changes in competitive pressure and
resource availability following mass extinction events should facilitate such
transitions.
PMID- 24919700
TI - Sex ratio influences the motivational salience of facial attractiveness.
AB - The sex ratio of the local population influences mating-related behaviours in
many species. Recent experiments show that male-biased sex ratios increase the
amount of financial resources men will invest in potential mates, suggesting that
sex ratios influence allocation of mating effort in humans. To investigate this
issue further, we tested for effects of cues to the sex ratio of the local
population on the motivational salience of attractiveness in own-sex and opposite
sex faces. We did this using an effort-based key-press task, in which the
motivational salience of facial attractiveness was assessed in samples of faces
in which the ratio of male to female images was manipulated. The motivational
salience of attractive opposite-sex, but not own-sex, faces was greater in the
own-sex-biased (high competition for mates) than in the opposite-sex-biased (low
competition for mates) condition. Moreover, this effect was not modulated by
participant sex. These results present new evidence that sex ratio influences
human mating-related behaviours. They also present the first evidence that the
perceived sex ratio of the local population may modulate allocation of mating
effort in women, as well as men.
PMID- 24919701
TI - Cardiac myoglobin deficit has evolved repeatedly in teleost fishes.
AB - Myoglobin (Mb) is the classic vertebrate oxygen-binding protein present in
aerobic striated muscles. It functions principally in oxygen delivery and
provides muscle with its characteristic red colour. Members of the Antarctic
icefish family (Channichthyidae) are widely thought to be extraordinary for
lacking cardiac Mb expression, a fact that has been attributed to their low
metabolic rate and unusual evolutionary history. Here, we report that cardiac Mb
deficit, associated with pale heart colour, has evolved repeatedly during teleost
evolution. This trait affects both gill- and air-breathing species from temperate
to tropical habitats across a full range of salinities. Cardiac Mb deficit
results from total pseudogenization in three-spined stickleback and is associated
with a massive reduction in mRNA level in two species that evidently retain
functional Mb. The results suggest that near or complete absence of Mb-assisted
oxygen delivery to heart muscle is a common facet of teleost biodiversity, even
affecting lineages with notable oxygen demands. We suggest that Mb deficit may
affect how different teleost species deal with increased tissue oxygen demands
arising under climate change.
PMID- 24919702
TI - The body-size dependence of mutual interference.
AB - The parameters that drive population dynamics typically show a relationship with
body size. By contrast, there is no theoretical or empirical support for a body
size dependence of mutual interference, which links foraging rates to consumer
density. Here, I develop a model to predict that interference may be positively
or negatively related to body size depending on how resource body size scales
with consumer body size. Over a wide range of body sizes, however, the model
predicts that interference will be body-size independent. This prediction was
supported by a new dataset on interference and consumer body size. The
stabilizing effect of intermediate interference therefore appears to be roughly
constant across size, while the effect of body size on population dynamics is
mediated through other parameters.
PMID- 24919703
TI - The interactive effects of competition and predation risk on dispersal in an
insect.
AB - Dispersal dynamics have significant consequences for ecological and evolutionary
processes. Previous work has demonstrated that dispersal can be context
dependent. However, factors affecting dispersal are typically considered in
isolation, despite the probability that individuals make dispersal decisions in
response to multiple, possibly interacting factors. We examined whether two
ecological factors, predation risk and intraspecific competition, have
interactive effects on dispersal dynamics. We performed a factorial experiment in
mesocosms using backswimmers (Notonecta undulata), flight-capable, semi-aquatic
insects. Emigration rates increased with density, and increased with predation
risk at intermediate densities; however, predation had minimal effects on
emigration at high and low densities. Our results indicate that factorial
experiments may be required to understand dispersal dynamics under realistic
ecological conditions.
PMID- 24919704
TI - Rapid evolution of mimicry following local model extinction.
AB - Batesian mimicry evolves when individuals of a palatable species gain the
selective advantage of reduced predation because they resemble a toxic species
that predators avoid. Here, we evaluated whether-and in which direction-Batesian
mimicry has evolved in a natural population of mimics following extirpation of
their model. We specifically asked whether the precision of coral snake mimicry
has evolved among kingsnakes from a region where coral snakes recently (1960)
went locally extinct. We found that these kingsnakes have evolved more precise
mimicry; by contrast, no such change occurred in a sympatric non-mimetic species
or in conspecifics from a region where coral snakes remain abundant. Presumably,
more precise mimicry has continued to evolve after model extirpation, because
relatively few predator generations have passed, and the fitness costs incurred
by predators that mistook a deadly coral snake for a kingsnake were historically
much greater than those incurred by predators that mistook a kingsnake for a
coral snake. Indeed, these results are consistent with prior theoretical and
empirical studies, which revealed that only the most precise mimics are favoured
as their model becomes increasingly rare. Thus, highly noxious models can
generate an 'evolutionary momentum' that drives the further evolution of more
precise mimicry-even after models go extinct.
PMID- 24919705
TI - Team swimming in ant spermatozoa.
AB - In species where females mate promiscuously, competition between ejaculates from
different males to fertilize the ova is an important selective force shaping many
aspects of male reproductive traits, such as sperm number, sperm length and sperm
sperm interactions. In eusocial Hymenoptera (bees, wasps and ants), males die
shortly after mating and their reproductive success is ultimately limited by the
amount of sperm stored in the queen's spermatheca. Multiple mating by queens is
expected to impose intense selective pressure on males to optimize the transfer
of sperm to the storage organ. Here, we report a remarkable case of cooperation
between spermatozoa in the desert ant Cataglyphis savignyi. Males ejaculate
bundles of 50-100 spermatozoa. Sperm bundles swim on average 51% faster than
solitary sperm cells. Team swimming is expected to increase the amount of sperm
stored in the queen spermatheca and, ultimately, enhance male posthumous fitness.
PMID- 24919706
TI - Design, synthesis and excited-state properties of mononuclear Ru(II) complexes of
tridentate heterocyclic ligands.
AB - Artificial photosynthetic systems that contain light-harvesting coordination
complexes may one day replace conventional non-renewable sources of energy with
renewable solar energy sources. Light-Harvesting Complexes (LHC) are important
components of natural photosynthetic systems and are also sought after in
artificial systems as well. Polynuclear photoactive complexes are therefore very
attractive, and those based on stereogenic [Ru(2,2'-bipyridine)3](2+) are
photophysically appealing, but difficult to obtain in a stereochemically pure
form. On the other hand, polynuclear complexes based on the achiral
[Ru(2,2':6',2''-terpyridine)2](2+) motif are easy to synthesise, however, these
complexes are devoid of attractive excited-state properties. Hence strategies to
increase the r.t. excited-state lifetime of these complexes would be of practical
importance in vectorial electron and/or electron transfer in various
optoelectronic applications. This tutorial review will report on the
sophisticated synthetic strategies currently in use to enhance the photophysical
properties of mononuclear Ru(II) complexes of tridentate ligands at room
temperature.
PMID- 24919707
TI - Review of plants and their constituents in the therapy of cerebral ischemia.
AB - Cerebral ischemia is a condition in which there is insufficient blood flow to the
brain to meet metabolic demand. This leads to cerebral hypoxia and thus to the
death of neuronal cells or stroke. The limited number of medicines currently
available for patients following ischemic stroke and insufficient data on
efficiency of these chemicals in the treatment of stroke led us to the search for
novel therapeutic approaches. Recent studies have focused on the possible
capacity of natural compounds extracted from vegetables and fruits, to prevent
human disabilities caused by cerebral ischemia. In this review, we will discuss
some plants and their constituents that may protect brain ischemia or delay the
neurological disorders following a stroke. We have reviewed different studies in
scientific databases that investigate herbal compounds and their effects on
cerebral ischemia.
PMID- 24919708
TI - Radiation exposure of patients undergoing whole-body FDG-PET/CT examinations: an
update pursuant to the new ICRP recommendations.
AB - AIM: Reinvestigation of the radiation exposure of patients undergoing whole-body
[18F]FDG-PET/CT examinations pursuant to the revised recommendations of the ICRP.
METHODS: Conversion coefficients for equivalent organ doses were determined for
realistic anthropomorphic phantoms of reference persons. Based on these data,
conversion coefficients for the effective dose were calculated using the revised
tissue-weighting factors that account for the different radiation
susceptibilities of organs and tissues, and the redefinition of the group
'remainder tissues'. RESULTS: Despite the markedly changed values of the
equivalent organ doses estimated for FDG and of the tissue-weighting factors, the
conversion coefficient for the effective dose resulting from FDG administration
decreases only slightly by 10 %. For whole-body CT scans it remains even
unchanged. CONCLUSION: The updated dose coefficients provide a valuable tool to
easily assess the generic radiation risk of patients undergoing whole-body PET/CT
(or PET/MRI) examinations and can be used, amongst others, for protocol
optimization.
PMID- 24919710
TI - Dilemmas in undertaking research in paediatric intensive care.
AB - Providing evidence-based interventions for infants and children is important in
paediatric intensive care, where decision making impacts most acutely on
morbidity and mortality. However, despite the major progress of medicine in the
21st century, we still lack this evidence for majority of the decisions we make.
In this article, we explore and suggest possible solutions for several dilemmas
faced by paediatric intensive care researchers. These include ethical dilemmas
such as validity of informed consent, use of deferred consent, balancing risk
versus benefit and methodological dilemmas such as how to generate high-quality
evidence with low-patient volume, choice of valid outcome measures and how best
to use research and researchers' networks.
PMID- 24919709
TI - Interaction specificity and coexpression of rice NPR1 homologs 1 and 3 (NH1 and
NH3), TGA transcription factors and Negative Regulator of Resistance (NRR)
proteins.
AB - BACKGROUND: The nonexpressor of pathogenesis-related genes 1, NPR1 (also known as
NIM1 and SAI1), is a key regulator of SA-mediated systemic acquired resistance
(SAR) in Arabidopsis. In rice, the NPR1 homolog 1 (NH1) interacts with TGA
transcriptional regulators and the Negative Regulator of Resistance (NRR) protein
to modulate the SAR response. Though five NPR1 homologs (NHs) have been
identified in rice, only NH1 and NH3 enhance immunity when overexpressed. To
understand why NH1 and NH3, but not NH2, NH4, or NH5, contribute to the rice
immune response, we screened TGA transcription factors and NRR-like proteins for
interactions specific to NH1 and NH3. We also examined their co-expression
patterns using publicly available microarray data. RESULTS: We tested five NHs,
four NRR homologs (RHs), and 13 rice TGA proteins for pair-wise protein
interactions using yeast two-hybrid (Y2H) and split YFP assays. A survey of 331
inter-family interactions revealed a broad, complex protein interaction network.
To investigate preferred interaction partners when all three families of proteins
were present, we performed a bridged split YFP assay employing YFPN-fused TGA,
YFPC-fused RH, and NH proteins without YFP fusions. We found 64 tertiary
interactions mediated by NH family members among the 120 sets we examined. In the
yeast two-hybrid assay, each NH protein was capable of interacting with most TGA
and RH proteins. In the split YFP assay, NH1 was the most prevalent interactor of
TGA and RH proteins, NH3 ranked the second, and NH4 ranked the third. Based on
their interaction with TGA proteins, NH proteins can be divided into two
subfamilies: NH1, NH2, and NH3 in one family and NH4 and NH5 in the other.In
addition to evidence of overlap in interaction partners, co-expression analyses
of microarray data suggest a correlation between NH1 and NH3 expression patterns,
supporting their common role in rice immunity. However, NH3 is very tightly co
expressed with RH1 and RH2, while NH1 is strongly, inversely co-expressed with RH
proteins, representing a difference between NH1 and NH3 expression patterns.
CONCLUSIONS: Our genome-wide surveys reveal that each rice NH protein can partner
with many rice TGA and RH proteins and that each NH protein prefers specific
interaction partners. NH1 and NH3 are capable of interacting strongly with most
rice TGA and RH proteins, whereas NH2, NH4, and NH5 have weaker, limited
interaction with TGA and RH proteins in rice cells. We have identified rTGA2.1,
rTGA2.2, rTGA2.3, rLG2, TGAL2 and TGAL4 proteins as the preferred partners of NH1
and NH3, but not NH2, NH4, or NH5. These TGA proteins may play an important role
in NH1- and NH3-mediated immune responses. In contrast, NH4 and NH5
preferentially interact with TGAL5, TGAL7, TGAL8 and TGAL9, which are predicted
to be involved in plant development.
PMID- 24919711
TI - Brain injury: younger is not better.
PMID- 24919712
TI - The incidence and presentation of neonatal herpes in a single UK tertiary centre,
2006-2013.
AB - BACKGROUND: Neonatal herpes infection can have devastating outcomes for otherwise
healthy babies. In the UK, the stated incidence is low at 1.65 per 100 000 live
births, which is in contrast with an incidence of 33 per 100 000 in the USA. We
aimed to discover the current incidence of neonatal herpes infection in our
tertiary service, determine the timing of presentations, and to consider which
presenting features could be used for early recognition and prognostication.
METHODS: All cases of neonatal herpes infection occurring in the last 8 years
were reviewed, and those cases from an agreed population were used to calculate
an incidence. The statistical associations between clinical features and death
were examined. FINDINGS: There were 57 291 live births between 2006 and 2012.
Nineteen cases were identified including 10 from the study population (17.5/100
000 live births). There were nine deaths, all presenting later than 6 days of
age. Independent predictors of death were haemodynamic instability, coagulopathy,
bleeding and central nervous system features at presentation. All presentations
occurred within 2 weeks of birth and were varied and often non-specific.
INTERPRETATION: Our incidence rate of 17.5 per 100 000 live births represents a
significant increase and is much more in line with the higher incidence rates
seen in the USA. The range of presentations shows the non-specific nature of this
disease. We advocate a heightened awareness of this treatable disease in the UK,
and encourage adoption of modern rapid diagnostic techniques and the wider
inclusion of Aciclovir in treatment regimens for neonatal sepsis.
PMID- 24919713
TI - A771726, an anti-inflammatory drug, exerts an anticancer effect and reverses
tamoxifen resistance in endocrine-resistant breast cancer cells.
AB - A771726, an orally available anti-inflammatory agent, has been approved for the
treatment of multiple sclerosis by diminishing entire inflammatory responses
through multiple signaling pathways. Recently, a few emerging studies have
focused on the potential application of A771726 in cancer therapy, less on the
treatment of breast cancer and particularly on overcoming drug resistance in
breast cancer. We report here for the first time the cytotoxic activity and drug
resistance reversal of A771726 in acquired tamoxifen-resistant breast cancer cell
line MCF-7/LCC9. We discovered that A771726 treatment showed antiproliferative
activities in MCF-7/LCC9 cells, which were even more sensitive to A771726 than
their parental tamoxifen-sensitive cells (MCF-7). A771726 also exerted pro
apoptotic activities and induced cell cycle arrest at the G1 phase. Notably,
treatment of A771726 restored the sensitivity of MCF-7/LCC9 cells to tamoxifen.
Western blot analysis revealed that A771726 decreased the phosphorylation level
of Src, one key driver of tamoxifen resistance. Moreover, in order to
comprehensively clarify the mechanisms of A771726 in anti-estrogen-resistant
cells, we explored a genome-wide transcriptomic analysis, and showed that A771726
could modulate multiple signaling pathways (e.g. cell cycle, apoptosis, MAPK,
metabolism and p53 signaling pathway) and cellular processes (e.g. signal
transduction, transcription and cell cycle). Overall, our results indicate that
A771726 alone and the combination of A771726 with anti-estrogens may be of
therapeutic benefit for ER-positive and endocrine-resistant breast cancer.
PMID- 24919714
TI - Transplanted hUCB-MSCs migrated to the damaged area by SDF-1/CXCR4 signaling to
promote functional recovery after traumatic brain injury in rats.
AB - Transplanted human umbilical cord mesenchymal stem cells (hUC-MSCs) have
exhibited considerable therapeutic potential for traumatic brain injury (TBI).
However, how hUC-MSCs migrating to the injury region and the mechanism of hUC
MSCs promoting functional recovery after TBI are still unclear. In this study, we
investigated whether stromal cell-derived factor-1 (SDF-1) was involved in the
hUC-MSCs migration and the possible mechanisms that might be involved in the
beneficial effect on functional recovery. In vitro experiments demonstrated that
SDF-1 induces a concentration-dependent migration of hUC-MSCs. Furthermore, pre
treatment with the CXCR4-specific antagonist AMD3100 significantly prevented the
migration of hUC-MSCs in vitro. We found that the expression of SDF-1 increased
significantly around the damaged area. Transplanted hUC-MSCs were localized to
regions where SDF-1 was highly expressed. Additionally, our results showed that
hUC-MSCs-treated animals showed significantly improved functional recovery
compared with controls. In hUC-MSCs-transplanted group, terminal deoxynucleotidyl
transferase-mediated dUTP nick end-labeling (TUNEL)-positive cells were decreased
and BrdU-positive cells were significantly increased compared with control group,
more of BrdU-positive cells co-localized with GFAP. These suggest that SDF-1
plays an important role in the migration of hUC-MSCs to the damaged area and hUC
MSCs are beneficial for functional recovery after TBI.
PMID- 24919715
TI - Descriptive review: hormonal influences on risk for eating disorder symptoms
during puberty and adolescence.
AB - OBJECTIVE: Puberty is an important period of risk for the onset of eating
pathology in adolescent females. This review focuses on changes in reproductive
hormones during puberty as one specific psychopathogenic mechanism. METHOD:
Studies of puberty and eating disorder-related phenotypes were identified using
search databases and the reference sections of previous literature. RESULTS:
Correlational studies of adult women and experimental studies of animals provide
evidence for the effects of reproductive hormones on eating disorder symptoms.
Very few studies of puberty, however, have directly measured or tested the
effects of hormonal change in samples of human adolescents. Commonly used
measures of pubertal development, such as menarche or self-reported pubertal
status, are relatively poor indicators of individual differences in hormones. The
extent to which puberty-related hormonal change accounts for elevated risk for
disordered eating remains unclear. DISCUSSION: Future research is necessary to
elucidate the specific relations between hormonal change during puberty and risk
for disordered eating. In particular, there is a need for longitudinal studies
with multivariate measurement of pubertal development, including direct measures
of change in reproductive hormones.
PMID- 24919716
TI - Multiple skin-colored papules with diffuse sclerosis.
PMID- 24919718
TI - Determination of moulds and mycotoxins in dry dog and cat food using liquid
chromatography with mass spectrometry and fluorescence detection.
AB - In this study moulds and 12 mycotoxins in dry pet food samples (25 for dogs and
24 for cats) were determined. Primary moulds identified were Aspergillus, Mucor
and Penicillium, found in 55% of the samples. Deoxynivalenol and zearalenone
(ZEN) were detected in all samples with mean respective concentrations being 97.3
and 38.3 ug kg(-1) in cat food and 114 and 20.1 ug kg(-1) in dog food. T-2 and HT
2 toxins were present in 88% and 84% of the samples, respectively. Two samples
contained fumonisins, with a maximum concentration of 108 ug kg(-1). Aflatoxin B1
and ochratoxin A were detected in 8% and 45% of the samples, respectively. The
measured mould and mycotoxin levels were consistent with results obtained by
other studies. However, potential exposure to relatively high concentrations of
an oestrogen mycotoxin as is ZEN, especially when in combination with other
mycotoxins, needs attention.
PMID- 24919717
TI - Protective effects of vitamins (C and E) and melatonin co-administration on
hematological and hepatic functions and oxidative stress in alloxan-induced
diabetic rats.
AB - The present study aimed to investigate the potential effects of vitamins (C and
E)/melatonin co-administration on the hematologic and hepatic functions and
oxidative stress in alloxan-induced diabetic rats. The intraperitoneal injection
of alloxan (120 mg/kg b.w. for 2 days) induced a significant increase of blood
glucose levels (hyperglycemia) associated with serious hematologic disorders (P <
0.01) evidenced by the decrease in the levels of red blood cell count (RBC) (
18%), hematocrit (Ht) (-18%), hemoglobin content (Hb) (-36%), mean corpuscular
hemoglobin (MCH) (-17%), and mean corpuscular hemoglobin concentration (MCHC) (
16%). The activities of aspartate aminotransferase (AST), alanine
aminotransferase (ALT), lactate dehydrogenase (LDH), and the plasmatic levels of
total cholesterol and triglyceride contents of diabetic rats were, however, noted
to undergo significant increases by 42% (P < 0.01), 134% (P < 0.001), 27.5% (P <
0.01), 147% (P < 0.001), and 67% (P < 0.01), respectively, as compared to the
control animals. Furthermore, a significant increase in malondialdehyde (MDA)
content and a significant decrease in superoxide dismutase (SOD), catalase (CAT),
and glutathione peroxidase (GPx) activities were observed in the plasma and
hepatic tissues of diabetic rats when compared to the controls. Interestingly,
the treatment with vitamins (C, E) in combination with melatonin was noted to
reduce the plasma levels of glucose, lower the MDA levels, and restore the
hematologic parameters and biochemical and antioxidant levels of diabetic rats
back to normal values, alleviating diabetes metabolic disorders in rats.
PMID- 24919719
TI - Nutritional interventions for preventing and treating pressure ulcers.
AB - BACKGROUND: Pressure ulcers affect approximately 10% of people in hospitals and
older people are at highest risk. A correlation between inadequate nutritional
intake and the development of pressure ulcers has been suggested by several
studies, but the results have been inconsistent. OBJECTIVES: To evaluate the
effects of enteral and parenteral nutrition on the prevention and treatment of
pressure ulcers. SEARCH METHODS: In March 2014, for this first update, we
searched The Cochrane Wounds Group Specialised Trials Register, the Cochrane
Central register of Controlled Trials (The Cochrane Library), the Database of
Abstracts of Reviews of Effects (DARE) (The Cochrane Library), the Health
Technology Assessment Database (HTA) (The Cochrane Library), the Cochrane
Methodology Register (The Cochrane Library), NHS Economic Evaluation Database
(The Cochrane Library), Ovid Medline, Ovid Embase and EBSCO CINAHL. No date,
language or publication status limits were applied. SELECTION CRITERIA:
Randomised controlled trials (RCTs) evaluating the effects of enteral or
parenteral nutrition on the prevention and treatment of pressure ulcers, which
measured the incidence of new ulcers, ulcer healing or changes in pressure ulcer
severity. There were no restrictions on types of patient, setting, date,
publication status or language. DATA COLLECTION AND ANALYSIS: Two review authors
independently screened for inclusion, and disagreement was resolved by
discussion. Two review authors independently extracted data and assessed quality
using the Cochrane Collaboration tool for assessing risk of bias. MAIN RESULTS:
We included 23 RCTs, many were small (between 9 and 4023 participants, median 88)
and at high risk of bias.Eleven trials compared a combination of nutritional
supplements, consisting of a minimum of energy and protein in different dosages,
for the prevention of pressure ulcers. A meta-analysis of eight trials (6062
participants) that compared the effects of mixed nutritional supplements with
standard hospital diet found no clear evidence of an effect of supplementation on
pressure ulcer development (pooled RR 0.86; 95% CI 0.73 to 1.00; P value 0.05;
I(2) = 13%, random effects). This outcome is at unclear or high risk of
bias.Fourteen trials evaluated the effects of nutritional supplements on the
healing of existing pressure ulcers: seven trials examined mixed nutritional
supplements, three the effects of proteins, two trials examined zinc, and two
studies examined ascorbic acid. The included trials were heterogeneous with
regard to participants, interventions, comparisons and outcomes and meta-analysis
was not appropriate. There was no clear evidence of an improvement in pressure
ulcer healing from the nutritional supplements evaluated in any of these
individual studies. AUTHORS' CONCLUSIONS: There is currently no clear evidence of
a benefit associated with nutritional interventions for either the prevention or
treatment of pressure ulcers. Further trials of high methodological quality are
necessary.
PMID- 24919721
TI - High production of succinyl isoflavone glycosides by Bacillus licheniformis ZSP01
resting cells in aqueous miscible organic medium.
AB - To achieve efficient production of succinyldaidzin and succinylgenistin, resting
cells of a solvent-stable strain Bacillus licheniformis ZSP01 were used to react
with pure isoflavones or soybean flour extract in a reaction medium with 10%
dimethyl sulfoxide. Strikingly, 0.8 mM daidzein, 0.8 mM genistein, 2.0 mM
daidzin, and 2.0 mM genistin were transformed to succinyl isoflavone glycosides
in 27 H (yield >90%). The soybean flour extract (6.1%, w/v) contained 0.32 mM
daidzein, 0.84 mM daidzin, 0.38 mM genistein, and 1.04 mM genistin. Over 95% of
total isoflavones (daidzein, daidzin, genistein, and genistin) in the soybean
flour extract were converted to succinyl isoflavone glycosides after 27 H. Strain
ZSP01 shows both high glycosylation and succinylation activities. These results
suggest that B. licheniformis ZSP01 could be useful for the efficient production
of succinyl soybean isoflavone glycosides.
PMID- 24919722
TI - Do human activities influence survival and orientation abilities of larval fishes
in the ocean?
AB - The larval stages of most marine fishes spend days to weeks in the pelagic
environment, where they must find food and avoid predators in order to survive.
Some fish only spend part of their life history in the pelagic environment before
returning to their adult habitat, for example, a coral reef. The sensory systems
of larval fish develop rapidly during the first few days of their lives, and here
we concentrate on the various sensory cues the fish have available to them for
survival in the pelagic environment. We focus on the larvae of reef fishes
because most is known about them. We also review the major threats caused by
human activities that have been identified to have worldwide impact and evaluate
how these threats may impact larval-fish survival and orientation abilities. Many
human activities negatively affect larval-fish sensory systems or the cues the
fish need to detect. Ultimately, this could lead to decreased numbers of larvae
surviving to settlement, and, therefore, to decreased abundance of adult fishes.
Although we focus on species wherein the larvae and adults occupy different
habitats (pelagic and demersal, respectively), it is important to acknowledge
that the potential anthropogenic effects we identify may also apply to larvae of
species like tuna and herring, where both larvae and adults are pelagic.
PMID- 24919720
TI - Yoga in addition to standard care for patients with haematological malignancies.
AB - BACKGROUND: Haematological malignancies are malignant neoplasms of the myeloid or
lymphatic cell lines including leukaemia, lymphoma and myeloma. In order to
manage physical and psychological aspects of the disease and its treatment,
complementary therapies like yoga are coming increasingly into focus. However,
the effectiveness of yoga practice for people suffering from haematological
malignancies remains unclear. OBJECTIVES: To assess the effects of yoga practice
in addition to standard cancer treatment for people with haematological
malignancies. SEARCH METHODS: Our search strategy included the Cochrane Central
Register of Controlled Trials (CENTRAL), MEDLINE (1950 to 4th February 2014),
databases of ongoing trials (controlled-trials.com; clinicaltrials.gov),
conference proceedings of the American Society of Clinical Oncology, the American
Society of Hematology, the European Haematology Association, the European
Congress for Integrative Medicine, and Global Advances in Health and Medicine. We
handsearched references of these studies from identified trials and relevant
review articles. Two review authors independently screened the search results.
SELECTION CRITERIA: We included randomised controlled trials (RCTs) of yoga in
addition to standard care for haematological malignancies compared with standard
care only. We did not restrict this to any specific style of yoga. DATA
COLLECTION AND ANALYSIS: Two review authors independently extracted data for
eligible studies and assessed the risk of bias according to predefined criteria.
We evaluated distress, fatigue, anxiety, depression and quality of sleep. Further
outcomes we planned to assess were health-related quality of life (HRQoL),
overall survival (OS) and adverse events (AE), but data on these were not
available. MAIN RESULTS: Our search strategies led to 149 potentially relevant
references, but only a single small study met our inclusion criteria. The
included study was published as a full text article and investigated the
feasibility and effect of Tibetan Yoga additional to standard care (N = 20; 1
person dropped out before attending any classes and no data were collected)
compared to standard care only (N = 19). The study included people with all
stages of Hodgkin and non-Hodgkin's lymphoma, with and without current cancer
treatment. The mean age was 51 years.We judged the overall risk of bias as high
as we found a high risk for performance, detection and attrition bias.
Additionally, potential outcome reporting bias could not be completely ruled out.
Following the recommendations of GRADE, we judged the overall quality of the body
of evidence for all predefined outcomes as 'very low', due to the methodical
limitations and the very small sample size.The influence of yoga on HRQoL and OS
was not reported. There is no evidence that yoga in addition to standard care
compared with standard care only can improve distress in people with
haematological malignancies (mean difference (MD) -0.30, 95% confidence interval
(CI) -5.55 to 4.95; P = 0.91). Similarly, there is no evidence of a difference
between either group for fatigue (MD 0.00, 95% CI -0.94 to 0.94; P = 1.00),
anxiety (MD 0.30, 95% CI -5.01 to 5.61; P = 0.91) or depression (MD -0.70, 95% CI
-3.21 to 1.81; P = 0.58).There is very low quality evidence that yoga improves
the overall quality of sleep (MD -2.30, 95% CI -3.78 to -0.82; P = 0.002). The
yoga groups' total score for the Pittsburgh Sleep Quality Index (PSQI) was 5.8
(+/- 2.3 SD) and better than the total score (8.1 (+/- 2.4 SD)) of the control
group. A PSQI total score of 0 to 5 indicates good sleep whereas PSQI total score
6 to 21 points towards significant sleep disturbances. The occurrence of AEs was
not reported. AUTHORS' CONCLUSIONS: The currently available data provide little
information about the effectiveness of yoga interventions for people suffering
from haematological malignancies. The finding that yoga may be beneficial for the
patients' quality of sleep is based on a very small body of evidence. Therefore,
the role of yoga as an additional therapy for haematological malignancies remains
unclear. Further high-quality randomised controlled trials with larger numbers of
participants are needed to make a definitive statement.
PMID- 24919723
TI - Oxidized high-density lipoprotein impairs the function of human renal proximal
tubule epithelial cells through CD36.
AB - Unlike native high-density lipoprotein (HDL), oxidized HDL exerts adverse effects
in a number of diseases, including chronic kidney disease (CKD); however, the
mechanisms involved in this process remain unclear. In the present study, we
investigated the effects of oxidized HDL on renal tubular cells, which play an
important role in the progression of CKD. Human renal proximal tubule epithelial
cells (HK-2) were cultured and stimulated with various concentrations of oxidized
HDL in the absence or presence of CD36 siRNA. The results revealed that oxidized
HDL enhanced the production of reactive oxygen species (ROS) and upregulated the
expression of pro-inflammatory factors in the HK-2 cells in a dose-dependent
manner. Incubation with oxidized HDL also increased the apoptosis of the HK-2
cells and reduced their migration ability in a dose-dependent manner. Src family
kinase, mitogen-activated protein kinase (MAPK) and nuclear factor-kappaB (NF
kappaB) were activated following stimulation with oxidized HDL. All these effects
mediated by oxidized HDL on HK-2 cells were markedly attenuated by transfection
with with CD36 siRNA pior to stimulation with oxidized HDL. These findings
suggest that oxidized HDL enhances the pro-inflammatory properties and impairs
the function of HK-2 cells, mainly through the scavenger receptor, CD36, as well
as through the Src, MAPK and NF-kappaB pathways.
PMID- 24919724
TI - Expression of testicular androgen receptor in non-obstructive azoospermia and its
change after hormonal therapy.
AB - Several trials aimed at improving the sperm retrieval from men with non
obstructive azoospermia (NOA) by optimizing intratesticular testosterone (ITT)
have reported partial responses, however, an appropriate level of ITT has not
been identified. In this study, we examined the expression of the testicular
androgen receptor (AR) in NOA and investigated its correlation with clinical and
pathological parameters. Expression of the testicular AR was investigated in 52
men with NOA and 22 men with obstructive azoospermia (OA). Twenty-two patients
for whom sperm retrieval failed during microdissection testicular sperm
extraction (micro-TESE) were enrolled in hormonal therapy using hCG with or
without recombinant human follicle stimulating hormone (rhFSH) prior to a second
micro-TESE. Sertoli cells were identified by vimentin immunostaining, and
positivity in Sertoli cells was used as the AR index. AR immunostaining was
robust in the nuclei of Sertoli cells [Sertoli cell androgen receptor (SCAR)] in
both OA and NOA. The mean AR index in NOA was significantly higher than that in
OA (p < 0.05). In NOA patients, there was no correlation between the AR index and
the clinical parameters, whereas the AR index of early maturation arrest (MA) was
significantly lower than that of Sertoli cell only, late MA and
hypospermatogenesis (p < 0.05). A significant increase in the AR index after
salvage hormonal therapy was shown, particularly when using rhFSH. The AR index
in patients from whom spermatozoa could be retrieved at the second micro-TESE
increased significantly after hormonal therapy. In human testes, the expression
of AR is dominant in Sertoli cells, and the expression of SCAR is upregulated by
FSH. Germ cell maturation, especially during spermatogonia to spermatocyte stage,
has been shown to be SCAR-dependent. Taken together, the results indicate that
SCAR elevation is closely associated with sperm retrieval after hormonal therapy
and that FSH-based hormonal therapy is potentially effective in NOA men with MA.
PMID- 24919725
TI - Courage and character, leaders and legends: an interview with Michael Hess, MD.
PMID- 24919726
TI - A novel use of intravenous immunoglobulin is not restricted to complement
hypercatabolism because of factor H deficiency.
PMID- 24919727
TI - Effect of mannitol on postreperfusion cardiac output and central venous oxygen
saturation during orthotopic liver transplant: a double-blind randomized clinical
trial.
AB - CONTEXT: Attenuating postreperfusion syndrome during orthotopic liver transplant
is very important for transplant anesthesiologists because of the syndrome's
complications. Oxygen-derived free radicals play an important role in the genesis
of postreperfusion syndrome, but the effect of mannitol (a free radical
scavenger) on attenuating the syndrome is unclear.OBJECTIVES-To investigate the
effectiveness of infusing mannitol during the anhepatic phase in preventing
postreperfusion syndrome, as indicated by postreperfusion cardiac output and
central venous oxygen saturation. DESIGN: In a randomized clinical trial, 53
patients who had undergone orthotopic liver transplant were allocated to 2
groups. During the anhepatic phase, patients in the mannitol group received 1g/kg
mannitol, whereas those in the control group received physiological saline. Mean
arterial pressure, cardiac output, and central venous oxygen saturation were
measured before and after the portal vein was declamped. Serum levels of sodium
and potassium were recorded at baseline and after portal vein declamping.Setting
Shiraz Organ Transplant Center, Shiraz, Iran. RESULTS: In the mannitol group, no
significant change was found in mean arterial pressure, cardiac output, and
central venous oxygen saturation before and after declamping of the portal vein
(P= .78, P= .59, and P= .83, respectively). However, after declamping in the
control group, mean arterial pressure, cardiac output, and central venous oxygen
saturation were significantly lower than before declamping (P=.003, P=.001, and
P<.001, respectively). No significant change in serum levels of sodium and
potassium from baseline to after declamping were found in either group.
CONCLUSION: Infusion of mannitol 1 g/kg during the anhepatic phase was effective
in attenuating postreperfusion syndrome without stress about hyperkalemia or
hyponatremia during anesthesia.
PMID- 24919728
TI - Validation of the Persian version of COOP/WONCA functional health status charts
in liver transplant candidates.
AB - CONTEXT: Health-related quality of life is a preferred outcome measure for
patients with advanced liver disease. The functional health status charts
developed by the Dartmouth Primary Care Cooperative Information Project
(COOP)/World Organization of National Colleges, Academies and Academic
Associations (WONCA) make up a generic instrument for assessing health-related
quality of life. OBJECTIVE: To translate and validate the Persian version of
COOP/WONCA in liver transplant candidates and to assess the correlation of Child
Pugh classification and Model of End Stage Liver Disease (MELD) score with
quality of life.Setting-The Shiraz liver transplant center, the most active liver
transplant center in the Middle East. PARTICIPANTS: Consecutive adult outpatients
waiting for their first liver transplant who attended follow-up visits in the
pretransplant clinic.Main Outcome Measures-Patients completed COOP/WONCA along
with the Short Form (SF)-36. Data on the underlying cause of cirrhosis, Child
Pugh classification, and MELD scores were collected from medical records.
RESULTS: A Persian version of the COOP/WONCA was accepted by liver transplant
candidates and showed adequate reliability and validity. Similar domains in
COOP/WONCA charts and the SF-36 were highly correlated, indicating that construct
validity of the COOP/WONCA in relation to the SF-36 was good (77% of correlations
were as expected). Moreover, the exploratory factor analysis could not extract 2
different quality-of-life factors. These findings provide sufficient evidence to
conclude that the Persian versions of COOP/WONCA charts and the SF-36 measure the
same constructs of health-related quality of life and can be used
interchangeably. Four of the 6 COOP/WONCA charts did not allow discrimination
between groups of patients according to Child Pugh classification, indicating
poor known group validity.
PMID- 24919729
TI - Lung transplant or bust: patients' recommendations for ideal lung transplant
education.
AB - CONTEXT: Effective lung transplant education helps ensure informed decision
making by patients and better transplant outcomes. OBJECTIVE: To understand the
educational needs and experiences of lung transplant patients. DESIGN: Mixed
method study employing focus groups and patient surveys. SETTING: Barnes-Jewish
Hospital in St Louis, Missouri. PATIENTS: 50 adult lung transplant patients: 23
pretransplant and 27 posttransplant. MAIN OUTCOME MEASURES: Patients' interest in
receiving specific transplant information, the stage in the transplant process
during which they wanted to receive the education, and the preferred format for
presenting the information. RESULTS: Patients most wanted information about how
to sustain their transplant (72%), when to contact their coordinator immediately
(56%), transplant benefits (56%), immunosuppressants (54%), and possible out-of
pocket expenses (52%). Patients also wanted comprehensive information early in
the transplant process and a review of a subset of topics immediately before
transplant (time between getting the call that a potential donor has been found
and getting the transplant). Patients reported that they would use Internet
resources (74%) and converse with transplant professionals (68%) and recipients
(62%) most often. DISCUSSION: Lung transplant patients are focused on learning
how to get a transplant and ensuring its success afterwards. A comprehensive
overview of the evaluation, surgery, and recovery process at evaluation onset
with a review of content about medications, pain management, and transplant
recovery repeated immediately before surgery is ideal.
PMID- 24919730
TI - Laparoscopic microwave thermal ablation for late recurrence of local
hepatocellular carcinoma after liver transplant: case report.
AB - Liver transplant is the preferred treatment for hepatocellular carcinoma in
patients with cirrhosis, as both neoplastic and cirrhotic liver tissue can be
removed. Treatment of recurring neoplasms is a difficult issue, especially in
long-term survivors of liver transplant. No consensus has been reached on the
treatment of recurrent hepatocellular carcinoma. Although patients with
extrahepatic metastases are generally not candidates for local therapy,
successful multimodal salvage therapy including resection or ablation can be
achieved in liver transplant recipients with local recurrence of hepatocellular
carcinoma. Microwave ablation is safe and effective for treating unresectable
hepatocellular carcinoma, achieving excellent results in local disease down
staging or as a "bridge" to liver transplant, with no significant differences in
local recurrence and complications compared with the more commonly used
radiofrequency ablation. A patient with local recurrence of hepatocellular
carcinoma 36 months after liver transplant for multifocal hepatocellular
carcinoma and cirrhosis due to hepatitis C was successfully treated with
laparoscopic microwave ablation without any postoperative complications. The
patient is disease free 24 months after microwave ablation.
PMID- 24919731
TI - Relationship between fragmented QRS complex and left ventricular systolic and
diastolic function in kidney transplant patients.
AB - BACKGROUND: Kidney transplant is a most important replacement therapy. It reduces
cardiovascular mortality and morbidity but does not fully correct impairments in
cardiac function. Fragmented QRS (fQRS) complex includes various RSR' patterns
with different QRS complex morphologies on electrocardiograms. OBJECTIVE: To
analyze fQRS frequency and the relationship between fQRS and left ventricular
function in kidney transplant patients. METHOD: -After demographic data on 39
kidney transplant patients were recorded and biochemical parameters were
investigated, electrocardiograms were evaluated for the presence of fQRS. Left
ventricular ejection fraction, mitral annular plane systolic excursion, peak
early diastolic mitral annular velocities, late diastolic mitral annular
velocities, and systolic mitral annular velocity were analyzed. RESULTS:
Fragmented QRS was detected in 16 patients. A history of hypertension was
associated with the presence of fQRS. Patients with fQRS had significantly lower
systolic and peak early diastolic mitral annular velocities, mitral annular plane
systolic excursion, and left ventricular ejection fraction than did patients
without fQRS (P= .03, .01, <.001, and .03, respectively). CONCLUSION: Detection
of fQRS on electrocardiograms may be useful in predicting systolic and diastolic
dysfunction of the left ventricle in kidney transplant patients.
PMID- 24919732
TI - Hispanic/Latino concerns about living kidney donation: a focus group study.
AB - CONTEXT: Given the shortage of kidneys for transplant, living kidney donation
(LKD) is increasingly used to expand the organ donor pool. Although
Hispanics/Latinos need disproportionately more kidney transplants, they receive a
smaller proportion of living donor kidney transplants than other ethnic/racial
groups. OBJECTIVE: To assess Hispanics' awareness, perceptions, misconceptions,
cultural beliefs, and values about and barriers to LKD. DESIGN: Nine focus groups
were conducted with 76 adult Hispanics in Chicago, Illinois, between January and
March 2012. PARTICIPANTS: Focus groups included kidney transplant recipients,
living kidney donors, dialysis patients, and the general Hispanic public.
RESULTS: Several themes emerged as perceived barriers to LKD. Many participants
identified knowledge deficits about LKD, expressing uncertainty about the
differences between LKD and deceased donation, and whether kidney disease
simultaneously afflicts both kidneys. Many believed that donors experience
dramatically shorter life expectancies, are unable to have children, and are more
susceptible to kidney disease after donating. Recipients and donors reported that
family members were involved in discussions about the donor's decision to donate,
with some family members discouraging donation. Financial barriers cited included
fear of becoming unable to work, losing one's job, or being unable to pay
household bills while recovering. Participants also identified logistic barriers
for undocumented immigrants (eg, the inability to obtain government insurance for
transplant candidates and uncertainty about their eligibility to donate). Donors
desired information about optimizing self-care to promote their remaining
kidney's health. Culturally competent interventions are needed to redress
Hispanics' knowledge deficits and misconceptions and reduce LKD disparities among
Hispanics.
PMID- 24919733
TI - Independent donor ethical assessment: aiming to standardize donor advocacy.
AB - Living organ donation has become more common across the world. To ensure an
informed consent process, given the complex issues involved with organ donation,
independent donor advocacy is required. The choice of how donor advocacy is
administered is left up to each transplant center. This article presents the
experience and process of donor advocacy at University of Texas Southwestern
Medical Center administered by a multidisciplinary team consisting of physicians,
surgeons, psychologists, medical ethicists and anthropologists, lawyers, a
chaplain, a living kidney donor, and a kidney transplant recipient. To ensure
that advocacy remains fair and consistent for all donors being considered, the
donor advocacy team at University of Texas Southwestern Medical Center developed
the Independent Donor Ethical Assessment, a tool that may be useful to others in
rendering donor advocacy. In addition, the tool may be modified as circumstances
arise to improve donor advocacy and maintain uniformity in decision making.
PMID- 24919734
TI - Information sources, donation knowledge, and attitudes toward transplant
recipients in Australia.
AB - CONTEXT: Knowledge is linked consistently with organ donation attitudes,
willingness, and consent. Negative information about donation and the recipients
of donation can affect public opinion and donation willingness. However, it is
unclear which information sources are most important in forming knowledge,
particularly in Australia where little prior research exists. OBJECTIVES: To
identify information sources that may inform Australians' organ donation
knowledge and attitudes toward transplant recipients. PARTICIPANTS: 1487
Australian residents aged 18 years or older who completed an online survey. MAIN
OUTCOME MEASURES: Self-reported knowledge, information sources, and attitudes
toward transplant recipients. RESULTS: Participants felt fairly well informed
about organ donation, particularly if they registered donation wishes, were
female, and were older. More than half reported their driver's license,
television news, and discussion with family/friends as donation information
sources. However, information sources contributing to knowledge were personal
experience, online, hospital, government campaign, discussion with
family/friends, Medicare, doctor's surgery, and the newspaper. Differences based
on registration status, sex, and age, were found. Discussion with family/friends
and movies or television shows, as well as not having seen information in a
newspaper or doctor's surgery, contributed to positive attitudes toward
recipients, although the variance explained was small. CONCLUSIONS: People felt
more informed by personal, medical, and government information sources than by
mass media. Family discussion was not only a common information source but also
contributed significantly and positively to both donation knowledge and attitudes
toward recipients. Further exploration of information sources contributing to
donation knowledge and community attitudes toward transplant recipients among
young men is needed.
PMID- 24919735
TI - Influence of scientific worldviews on attitudes toward organ transplants:
national survey data from the United States.
AB - CONTEXT: Public acceptance of routine medical procedures is nearly universal, but
controversy over dramatic or invasive procedures like transplants is common.
OBJECTIVES: To assess the distributions and organization of public opinion on
organ transplant and to discover the magnitude of the direct and indirect impacts
of religion, scientific knowledge, and acceptance of evolution on individuals'
support for organ transplant. PARTICIPANTS: A representative sample (N=2069) of
the US adult, English-speaking population in 2009. INTERVENTION: Participants
were administered the International Social Science Survey/USA 2009. RESULTS:
Organ transplants were warmly endorsed by most Americans in 2009, as earlier, but
support is not universal. Confirmatory factor analysis shows that Americans'
opinions on heart, kidney, and pancreas transplants all reflect the same
underlying attitude toward major organ transplants. Structural equation modeling
shows that scientific knowledge is the most important influence on these
attitudes, with more knowledgeable persons being more supportive. Acceptance of
the theory of evolution is the second most important factor, also associated with
greater support for transplant. Growing up in a church-going family encourages
people to support organ transplant, even after adjusting for other influences.
Otherwise denomination and religious belief have only small indirect influences.
Demographic differences are small. CONCLUSIONS: These results provide clues about
future trends. A religious revival, were it to occur, would not be likely to
alter support for transplants. If public knowledge of science continues to
increase, or acceptance of the theory of evolution grows, support for transplant
will most likely increase.
PMID- 24919736
TI - Expanding the role of pharmacists and pharmacy students in the promotion of organ
donation awareness.
AB - The gap between supply and demand for available organs has resulted in numerous
deaths of patients on the transplant waiting list each year. Given the
substantial public health impact of the organ shortage crisis, efforts have been
focused on the use of educational interventions aimed both at the public and
health care professionals to spread awareness of the disparity in organ supply
and demand and ultimately improve organ donation rates. Transplant pharmacists
are fundamental members of transplant multidisciplinary teams and are expected to
promote organ and tissue awareness in an effort to decrease the morbidity and
mortality of patients on the transplant waiting list. The role of pharmacists and
pharmacy students in the promotion of organ donation awareness is expanding.
PMID- 24919737
TI - Risk factors for perioperative complications in hand-assisted laparoscopic donor
nephrectomy.
AB - Living donor kidney transplant is the preferred treatment for end-stage renal
disease; however, the shortage of kidney donors remains a big problem. One of the
major reasons for the shortage of living donors is the risk of potentially
serious surgical complications of a procedure in which the donor has no personal
medical benefit. Therefore it is important to understand the risk factors for
perioperative complications associated with donor nephrectomy. Hand-assisted
laparoscopic donor nephrectomy is the preferred approach for kidney procurement
in many medical centers. This review gives an overview of the risk factors in
donor nephrectomy and more specifically in hand-assisted laparoscopic donor
nephrectomy.
PMID- 24919738
TI - Successful kidney transplant from methanol-intoxicated donors.
AB - The well-described disparity between the need for and the supply of organs
suitable for transplant is growing. Because of this disparity, mortality of
patients listed for transplant is increasing. Donors who die of intoxication
(including victims of methanol poisoning) represent less than 1% of suitable
donors and might be used to increase the supply of organs. They are often not
accepted as donors by transplant specialists, because of concerns about patients'
outcomes with these grafts. Three cases of fatal methanol intoxication that
resulted in transplants of 6 kidneys are evaluated.
PMID- 24919739
TI - Pursuing expanded criteria donors as candidates for kidney donation after
circulatory death.
AB - Of the 119 310 people on the national transplant waiting list, 97 280 people are
waiting for kidneys. There simply are not enough organs to meet the demand.
Recognizing that 64% of the people waiting for kidney transplants are at least 50
years old, this organ procurement organization embarked on a study to evaluate
the potential of increasing the number of viable kidneys available for transplant
by pursuing expanded criteria donors as donation after circulatory death
(ECD/DCD) candidates. Pursuing ECD/DCD donors resulted in 24 additional donors
(50-67 years old), 48 kidneys recovered, 30 kidneys transplanted into 26
recipients (44-74 years old), 7 kidneys placed for research, and 11 kidneys
discarded, yielding an overall 62% transplant rate, 15% research rate, and 23%
discard rate. The overall discard rate including all donors in all
classifications during the study period was 13.1% (122 discards from 928 kidneys)
compared with 12.6% (111 discards from 880 kidneys) when the study set was
excluded. Although ECD/DCD donors still had the highest discard rates of all the
groups, the 0.5% increase in the overall discard rate due to pursuing ECD/DCD
kidneys was considered insignificant when compared with the benefit of the 30
additional kidneys transplanted. Including potential ECD/DCD patients in the
donor pool increases the number of viable kidneys available for transplant
without significantly increasing the overall kidney discard rates.
PMID- 24919740
TI - Impact of organ donation education on US undergraduate nursing students.
AB - Experts advocate educational programs addressing misinformation regarding
donation decisions to increase the potential donor pool. However, few researchers
have measured outcomes with nursing students. The purpose of this study was to
evaluate the impact of an educational intervention on nursing students'
knowledge, attitudes, registering as an organ donor, and family discussions. This
quasi-experimental study used a pretest-posttest design with a control group. The
research group consisted of 42 volunteers and the control group consisted of 73
volunteers. The written survey included 15 true-false knowledge items and 8
Likert items asking about attitude toward donation, registering as an organ
donor, and family discussion. Normally distributed data showed no significant
differences between groups on the pretest. The research group had no change in
knowledge level 3 months later, but the control group had a significantly
decreased knowledge level at that point. More members of the research group than
the control group registered as organ donors after the intervention (chi2 = 4.5,
P= .03). The control group had no change in registering as an organ donor between
the pretest and posttest. Family discussions did not differ significantly from
pretest to posttest in either group. One lecture/laboratory experience did make a
difference in registering as an organ donor but not in discussing the decision
with family members. Students can learn about organ donation from more than one
specific class. Recommendations for educators and future research are provided.
PMID- 24919741
TI - Online education for transplant professionals.
PMID- 24919742
TI - Posterior reversible encephalopathy syndrome in leukemic children: a sensitive
issue.
AB - Posterior reversible encephalopathy syndrome (PRES) is an acute central nervous
system disorder characterized by reversible brain vasogenic edema. We report here
a new case of a nine-year-old boy with B-cell acute lymphoblastic leukemia (B
ALL) who developed PRES secondary to induction chemotherapy including
dexamethasone (dexamethasone(r)), vincristine (oncovin((r))), daunorubicin
(adriblastine((r))) and intrathecal injection. Cerebral magnetic resonance
imaging (MRI) showed high signal intensity on T2 at cortical and sub cortical
region of parieto-frontal and parieto-occipital lobes. The patient was put under
sodium valproate (depakine((r))) and we decided to continue dexamethasone
(dexamethasone((r))) and daunorubicin (adriblastine((r))) injection. The MRI,
after four weeks, was normal. So, we resumed vincristine (oncovin((r))) and we
started L-asparaginase injections. Then, the outcome was favorable. The treatment
of PRES is based on the withdrawal of the triggering factor to avoid the risk of
irreversible lesions. But, due to the severity of leukemia the discontinuation of
chemotherapy is difficult because of the risk of disease progression.
PMID- 24919743
TI - Dispersion of T1 and T2 nuclear magnetic resonance relaxation in crude oils.
AB - Crude oils, which are complex mixtures of hydrocarbons, can be characterized by
nuclear magnetic resonance diffusion and relaxation methods to yield physical
properties and chemical compositions. In particular, the field dependence, or
dispersion, of T1 relaxation can be used to investigate the presence and dynamics
of asphaltenes, the large molecules primarily responsible for the high viscosity
in heavy crudes. However, the T2 relaxation dispersion of crude oils, which
provides additional insight when measured alongside T1, has yet to be
investigated systematically. Here we present the field dependence of T1-T2
correlations of several crude oils with disparate densities. While asphaltene and
resin-containing crude oils exhibit significant T1 dispersion, minimal T2
dispersion is seen in all oils. This contrasting behavior between T1 and T2
cannot result from random molecular motions, and thus, we attribute our
dispersion results to highly correlated molecular dynamics in asphaltene
containing crude oils.
PMID- 24919744
TI - Correlation between erection hardness score and nocturnal penile tumescence
measurement.
AB - INTRODUCTION: The Erection Hardness Score (EHS) and the Sexual Health Inventory
for men (SHIM) are patient-reported outcome scoring systems for erectile
function. It is unclear which is more reliable for predicting the objective
erectile function. AIM: The aim of this study was to evaluate whether the EHS
could predict objective erectile function by measuring the maximal penile
circumferential change (MPCC) with an erectometer. METHODS: The study included 98
patients who visited our clinic from 2005 to 2010. The erectile function was
evaluated using the SHIM, EHS, and MPCC. The MPCC was measured with the largest
circumferential change of three consecutive occurrences of nocturnal penile
tumescence (NPT) determined using the erectometer. MAIN OUTCOME MEASURES: We
defined erectile dysfunction (ED) as MPCC < 20 mm and carried out multivariate
analysis using logistic regression analysis to clarify the predictors for ED,
with the variables including age, the SHIM score, and the EHS. We compared the
tendency for MPCC >= 20 mm when EHS was 3 or more with that when EHS was 2 or
less. RESULTS: The median age of the patients was 59.5 years (range 18-83). In
logistic regression analysis, the EHS was the only predictor for ED with MPCC <
20 mm. The mean EHS in the MPCC < 20 mm group was 1.64 +/- 0.20 (mean +/- SEM)
and that in the MPCC >= 20 mm group was 2.46 +/- 0.13 (P = 0.0018). There was a
correlation between the EHS and the MPCC (correlation coefficient = 0.33). In
comparison with the group having an EHS of 2 or less, that with an EHS of 3 or
more tended to have MPCC >= 20 mm (P = 0.013). CONCLUSIONS: The EHS was
correlated with the MPCC. The EHS represents the objective erectile function
shown by the measurement of NPT.
PMID- 24919746
TI - The other side of childhood disability. Foreword.
PMID- 24919745
TI - More severe upper gastrointestinal symptoms associated with non-erosive reflux
disease than with erosive gastroesophageal reflux disease during maintenance
proton pump inhibitor therapy.
AB - BACKGROUND: During maintenance proton pump inhibitor (PPI) therapy, patients with
gastroesophageal reflux disease (GERD) sometimes complain of upper
gastrointestinal symptoms. AIM: To evaluate upper gastrointestinal symptoms in
patients on maintenance PPI therapy for erosive GERD or non-erosive reflux
disease (NERD) without endoscopic mucosal breaks by using a new questionnaire.
METHODS: At Gunma University Hospital over a 12-month period during 2011-2012, we
enrolled 30 consecutive patients with erosive GERD and 46 patients with NERD. All
patients had been on maintenance PPI therapy for more than 1 year. We used the
modified frequency scale for the symptoms of GERD (mFSSG) questionnaire to assess
upper gastrointestinal symptoms. We also asked patients about their satisfaction
with maintenance therapy and whether they wished to change their current PPI.
RESULTS: The NERD patients had significantly higher symptom scores than the
erosive GERD patients. There was no difference in the treatment satisfaction rate
between patients with erosive GERD and NERD, but more patients with NERD wanted
to change their PPI therapy. There was no difference in the mFSSG score between
NERD patients who wished to change their current PPI therapy and those who were
satisfied with it. CONCLUSIONS: During maintenance PPI therapy, upper
gastrointestinal symptoms were more severe in NERD patients than in patients with
erosive GERD. NERD patients often wished to change their PPI therapy, but this
was not dependent on the severity of their upper GI symptoms.
PMID- 24919749
TI - Obesity and type 2 diabetes in children: epidemiology and treatment.
AB - The incidence of overweight and obesity among children has increased dramatically
in recent decades, with about one-third of children in the U.S. currently being
either overweight or obese. Being overweight in early childhood increases risk
for later obesity. There is evidence for the efficacy of family-based behavioral
treatment to control weight and improve health outcomes. Obesity-related health
risks have been documented, including metabolic syndrome. There is also
increasing incidence of type 2 diabetes (T2D) among youth in recent years, with
obesity and family history of T2D generally present. Lower income and ethnic
minority status are associated with both obesity and T2D in youth. Most youth
with T2D do not achieve optimal glycemic control, and are at high risk for later
health complications. Obesity and T2D represent significant public health issues
with potentially great personal and societal cost. Research addressing the
prevention of obesity and T2D among youth is urgently needed.
PMID- 24919750
TI - Anti-VEGF therapy for diabetic macular edema.
AB - Vascular endothelial growth factor (VEGF) plays a pivotal role in the development
of diabetic macular edema (DME), the leading cause of vision loss among working
aged individuals. A decade of clinical trials demonstrated that drugs that bind
soluble VEGF restore the integrity of the blood-retinal barrier, resolve macular
edema, and improve vision in most patients with DME. Four drugs (pegaptanib,
ranibizumab, bevacizumab, and aflibercept) effectively treat DME when
administered by intravitreal injections. Only ranibizumab has received U.S. Food
and Drug Administration (FDA) approval for DME, but bevacizumab is commonly used
off-label, and an FDA application for aflibercept is pending. Effective treatment
requires repeated injections, although recent data suggest that the treatment
burden diminishes after 1 year. Intravitreal therapy is generally safe, although
the incidence of systemic thromboembolic events varies among trials.
PMID- 24919751
TI - Novel urinary biomarkers in early diabetic kidney disease.
AB - In diabetic kidney disease, detection of urinary albumin is recommended to aid in
diagnosis, evaluate disease severity, and determine effects of therapy. However,
because typical histopathologic changes in diabetic kidney disease or early
progressive renal decline may occur in patients with normoalbuminuria, urinary
albumin may not be sufficient to identify patients with early-stage diabetic
kidney disease or to predict its progression. Therefore, intensive efforts have
been made to identify novel noninvasive urinary biomarkers to discriminate
patients with a higher risk of end-stage renal failure. Because diabetic kidney
disease progression is associated with the extent of histologic changes in the
glomeruli and the degree of tubulointerstitial changes, urinary biomarkers that
accurately reflect the degree of histopathologic damage may be excellent
biomarkers. This review article summarizes the clinical significance of new
urinary biomarkers in the early detection of diabetic kidney disease.
PMID- 24919752
TI - The associations of adipokines with selected markers of the renin-angiotensinogen
aldosterone system: the multi-ethnic study of atherosclerosis.
AB - Among obese individuals, increased sympathetic nervous system (SNS) activity
results in increased renin and aldosterone production, as well as renal tubular
sodium reabsorption. This study determined the associations between adipokines
and selected measures of the renin-angiotensinogen-aldosterone system (RAAS). The
sample consisted of 1970 men and women from the Multi-Ethnic Study of
Atherosclerosis who were free of clinical cardiovascular disease at baseline and
had blood assayed for adiponectin, leptin, plasma renin activity (PRA) and
aldosterone. The mean age was 64.7 years and 50% were female. The mean (s.d.) PRA
and aldosterone were 1.45 (0.56) ng ml(-1) and 150.1 (130.5) pg ml(-1),
respectively. After multivariable adjustment, a 1-s.d. increment of leptin was
associated with a 0.55 ng ml(-1) higher PRA and 8.4 pg ml(-1) higher aldosterone
(P<0.01 for both). Although adiponectin was not significantly associated with PRA
levels, the same increment in this adipokine was associated with lower
aldosterone levels (-5.5 pg ml(-1), P=0.01). Notably, the associations between
aldosterone and both leptin and adiponectin were not materially changed with
additional adjustment for PRA. Exclusion of those taking antihypertensive
medications modestly attenuated the associations. The associations between leptin
and both PRA and aldosterone were not different by gender but were significantly
stronger among non-Hispanic Whites and Chinese Americans than African and
Hispanic Americans (P<0.01). The findings suggest that both adiponectin and
leptin may be relevant to blood pressure regulation via the RAAS, in that the
associations appear to be robust to antihypertension medication use and that the
associations are likely different by ethnicity.
PMID- 24919753
TI - Profile of interdialytic ambulatory blood pressure in a cohort of Chinese
patients.
AB - Management of hypertension is one of the fundamental interventions in dialysis
patients. However, the profile of interdialytic blood pressure (BP) in Chinese
dialysis patients remains elusive. We aim to investigate this issue as well as
the effect of antihypertensive medication in this population. We performed 44-h
ambulatory BP in 90 patients on maintenance hemodialysis. Patients were
classified as 'dipping', 'non-dipping' or 'reverse-dipping' based on night/day
ratio (N/D) of systolic BP on nondialysis day. The prevalence of blunted
circadian BP pattern was strikingly high (92.2%), with more than half of the
patients (55.6%) classified as reverse-dipping. There was a close association
between high erythropoietin (EPO) dose used and deteriorated circadian rhythm.
Patients in the dipping group also displayed a dipping state for heart rate (HR)
compared with the other two groups (N/D of HR: 81.5 +/- 6.6 vs 92.1 +/- 6.0 and
91.3 +/- 10.7, P=0.02). Only 26.7% patients had a controlled nocturnal BP.
Patients with bedtime dosing had lower N/D of systolic BP compared with patients
without (100.1 +/- 7.0 vs 105.2 +/- 7.1, P=0.01). Non-dipping and reverse-dipping
are highly prevalent in Chinese patients. EPO use and autonomic dysfunction may
contribute to the blunted circadian rhythm. More tightly control of nighttime BP
is an urgent need and bedtime dosing may be beneficial.
PMID- 24919754
TI - Transfer of peanut IgE sensitisation after combined pancreas-kidney transplant.
AB - BACKGROUND: The transfer of peanut allergy has been reported following solid
organ transplantation. OBJECTIVE: Present a case of peanut sensitization
following combined pancreas-and-kidney transplantation. METHODS: Circulating
specific IgE against peanut were measured in serum samples collected from the
transplant recipient 1 month before transplantation, and 1, 3 and 6 months after
transplantation. Skin tests were performed 1, 3 and 6 months following
transplant. RESULTS: The organ recipient's pre-transplant peanut IgE levels were
negative. At 1 month post-transplant, the patient had a 6 mm skin test to peanut
and had serum IgE to peanut Arah1 of 0.79 kU/L. At 3 months, skin test size and
IgE to peanut Arah1 decreased to 4 mm, and 0.69 kU/L respectively. At 6 months,
the patient's skin test and IgE to peanuts were negative. At that time, the
patient underwent a peanut food challenge without a clinical reaction to suggest
allergy. CONCLUSION AND CLINICAL RELEVANCE: We report the development of IgE
peanut sensitization in a recipient of a combined pancreas and kidney
transplantation. Increasing awareness of this allergen sensitization following
transplantation may help prevent serious allergic reactions in transplant
recipients.
PMID- 24919756
TI - Cloning and characterization of SnRK2 subfamily II genes from Nicotiana tabacum.
AB - SnRK2 is a plant-specific protein kinase family involved in abiotic stress
signalling. In this study, NtSnRK2.1, NtSnRK2.2, and NtSnRK2.3, were cloned from
tobacco by in silico cloning and reverse transcription PCR. The three protein
kinases were classed into subfamily II of the SnRK2 family using a phylogenetic
tree and C-terminus analysis. Subcellular localization revealed NtSnRK2s in the
nuclear and cytoplasmic compartments. Dynamic expression of NtSnRK2s in tobacco
plants that were exposed to drought, salt, or cold stressors were characterised
using quantitative real-time PCR. It was revealed that the three genes showed
similar patterns of transcription under abiotic stress responses; there was
evidence NtSnRK2s participated in abscisic acid-dependent signalling pathways.
NtSnRK2.1-3 responded much faster to drought and salt than to cold stress. To
investigate the role of NtSnRK2s under abiotic stresses, NtSnRK2.1 gene was over
expressed in tobacco. A stress tolerance assay showed that tobacco plants that
over-expressed NtSnRK2.1 plants had greater salt tolerance. The results indicate
that NtSnRK2s are involved in abiotic stress response pathways.
PMID- 24919757
TI - Single nucleotide polymorphisms in genes encoding toll-like receptors 7, 8 and 9
in Danish patients with systemic lupus erythematosus.
AB - Several studies indicate a role for toll-like receptors (TLRs) in the
pathogenesis of systemic lupus erythematosus (SLE). We aimed to investigate the
risk of SLE and typical clinical and serological manifestations of SLE
potentially conferred by selected single nucleotide polymorphisms (SNPs) of genes
encoding TLR7, TLR8, and TLR9. Using a multiplexed bead-based assay, we analyzed
eight SNPs in a cohort of 142 Danish SLE patients and a gender-matched control
cohort comprising 443 individuals. Our results showed an association between the
rs3853839 polymorphism of TLR7 and SLE (G vs. C, P = 0.008, OR 1.60, 95 % CI 1.12
2.27 in females; P = 0.02, OR 4.50, 95 % CI 1.18-16.7 in males) confirming recent
findings in other populations. Additionally, an association between the rs3764879
polymorphism of TLR8 and SLE (G vs. C, P < 0.05, OR 1.36, 95 % CI 0.99-1.86 in
females; P = 0.06, OR 4.00, 95 % CI 0.90-17.3 in males) was found. None of the
other investigated SNPs were associated with SLE but several SNPs were associated
with clinical and serological manifestations. In summary, a previously shown
association between the rs3853839 SNP of TLR7 and SLE in Asian patients was also
found in Danish patients. Together with the association of several other SNPs of
TLR8 and TLR9 with various clinical and serological manifestations of SLE these
findings corroborate the pathogenic significance of TLRs in SLE.
PMID- 24919758
TI - Validation of pharyngeal findings on sleep nasopharyngoscopy in children with
snoring/sleep disordered breathing.
AB - OBJECTIVE: To validate the pharyngeal findings in sleep nasopharyngoscopy (SNP)
of children with snoring - sleep disordered breathing (S-SDB). DESIGN:
Prospective agreement diagnostic study on retrospective data. METHODS: We
conducted an inter-and intra-rater agreement study on video documentations of SNP
performed on children (non-syndromic, complex, or operated upon) who presented
with S-SDB. The videos featured various pharyngeal findings (normal, collapse,
mixed or obstruction). Three 'non-expert' raters at various stages in their
otolaryngological careers rated the videos independently, and on two separate
occasions following an instructional session. We calculated both weighted and non
weighted linear kappa. RESULTS: Each independent observer rated sixty-one videos
(2 weeks apart). Intra-observer agreement was 0.64 +/- 0.08 (95% CI 0.48-0.81),
0.74 +/- 0.07 (95% CI 0.60-0.88), 0.59 +/- 0.08 (95% CI 0.43-0.74), for raters 1,
two and three. Weighted kappa was 0.6 +/- 0.1 (95% CI 0.41-0.79), 0.8 +/- 0.06
(95% CI 0.7-0.92), 0.7 +/- 0.07 (95% CI 0.57-0.83), respectively. Inter-rater
agreements between raters one and two, two and three, three and four were 0.83 +/
0.06 (95% CI 0.71-0.95), 0.52 +/- 0.08 (95% CI 0.36-0.70), and 0.53 +/- 0.08
(95% CI 0.37-0.69), respectively. Weighted kappa was 0.83 +/- 0.073 (95% CI 0.69
0.98), 0.68 +/- 0.06 (95% CI 0.56-0.79), and 0.64 +/- 0.07 (95% CI 0.49-0.78),
respectively. CONCLUSIONS: This is the first validation of pharyngeal findings on
SNP in children. It is based on a four types' classification. Overall
reproducibility amongst the three raters and their agreement was moderate to
good. Further work should be phase four trials investigating the impact on
outcome.
PMID- 24919759
TI - Japanese cedar pollinosis: discovery, nomenclature, and epidemiological trends.
AB - The history of pollinosis in Japan before the discovery of Japanese cedar
pollinosis was presented in part I in this paper. Until early 1960s, it was
believed that there was no pollinosis in Japan except one case of ragweed
pollinosis. The summary of how the Japanese cedar pollinosis was discovered and
named was presented in part II, by referring to the paper in which we reported
the presence of Japanese cedar pollinosis for the first time. The epidemiology
after the discovery of Japanese cedar pollinosis was presented in part III. The
number of the patients suffering from Japanese cedar pollinosis gradually
increased since the 1970s. The annual incidence rate of the pollinosis had
correlations with the dispersed pollen count per year. The prevalence rate of the
patients with Japanese cedar pollinosis increased from 16.2% in 1998 to 26.5% in
2008 by the nationwide survey. The prevalence rate of the patients with Japanese
cedar pollinosis in Tokyo metropolitan area was 10% from 1983 to 1987, 19.4% in
1996, and 28.2% in 2006. The prospects of current research and future studies
were discussed in parts IV and V.
PMID- 24919760
TI - Comprehensive whole-body counter surveys of Miharu-town school children for three
consecutive years after the Fukushima NPP accident.
AB - Comprehensive whole-body counter surveys covering over 93% of the school children
between the ages of 6 and 15 in Miharu town, Fukushima Prefecture, have been
conducted for three consecutive years, in 2011, 2012 and 2013. Although the
results of a questionnaire indicate that approximately 60% of the children have
been regularly eating local or home-grown rice, in 2012 and 2013 no child was
found to exceed the (137)Cs detection limit of 300 Bq/body.
PMID- 24919761
TI - Distributions and biomass of benthic ciliates, foraminifera and amoeboid protists
in marine, brackish, and freshwater sediments.
AB - The quantitative importance of ciliates, foraminifers, and amoebae was
investigated in marine, brackish, and freshwater sediments from 15 littoral
stations. Total protozoan communities were usually dominated by ciliates in term
of abundance, while amoebae often dominated in terms of biomass. Applying the
biomass-metabolic rate equation, ciliates, amoebae, and foraminifera were
estimated to contribute 66% of the total abundance and 33% of the biomass, but up
to 55% of the combined metabolic rate to the micro- and meiobenthos in the 15
sediments. Statistical analyses using ciliate data demonstrated: (1) species
composition and community structures represented significant differences between
freshwater and marine/brackish sediments, and subsequently between temperate and
arctic sampling sites; (2) the occurrence of dominant ciliates and their
allocation to feeding types indicated that herbivory was the most common feeding
strategy in these sediments; (3) multivariate analyses showed all of the tested
environmental factors (temperature, salinity, silt/clay, carbon, nitrogen, and
chlorophyll a) to be important to varying degrees, but especially the combination
of salinity, temperature, and silt/clay. Multiple factor effects or comprehensive
influences might be important in regulating the distribution of protozoa in
sediments. The importance of protozoa in sediment systems and the potential
ecological significance of cysts are discussed.
PMID- 24919762
TI - Explaining end-users' intentions to use innovative medical and food biotechnology
products.
AB - Low public acceptance hinders the successful introduction of biotechnological
innovations, such as genetically modified foods or vaccinations against
infectious diseases. Earlier studies indicated that a lack of knowledge is not a
key barrier to acceptance. This was confirmed in the current study, which
examined an integrated theoretical model tested among 579 participants from the
Dutch public. The results suggest that communication strategies should instead
target attitudes, social norms, and risk perceptions, and appeal to people's
tendency (or lack thereof) to be innovative.
PMID- 24919763
TI - Rapid skeletal turnover in a radiographic mimic of osteopetrosis.
AB - Among the high bone mass disorders, the osteopetroses reflect osteoclast failure
that prevents skeletal resorption and turnover, leading to reduced bone growth
and modeling and characteristic histopathological and radiographic findings. We
report an 11-year-old boy with a new syndrome that radiographically mimics
osteopetrosis (OPT), but features rapid skeletal turnover. He presented at age 21
months with a parasellar, osteoclast-rich giant cell granuloma. Radiographs
showed a dense skull, generalized osteosclerosis and cortical thickening,
medullary cavity narrowing, and diminished modeling of tubular bones. His serum
alkaline phosphatase was >5000 IU/L (normal <850 IU/L). After partial resection,
the granuloma re-grew but then regressed and stabilized during 3 years of
uncomplicated pamidronate treatment. His hyperphosphatasemia transiently
diminished, but all bone turnover markers, especially those of apposition,
remained elevated. Two years after pamidronate therapy stopped, bone mineral
density (BMD) Z-scores reached +9.1 and +5.8 in the lumbar spine and hip,
respectively, and iliac crest histopathology confirmed rapid bone remodeling.
Serum multiplex biomarker profiling was striking for low sclerostin. Mutation
analysis was negative for activation of lipoprotein receptor-related protein 4
(LRP4), LRP5, or TGFbeta1, and for defective sclerostin (SOST), osteoprotegerin
(OPG), RANKL, RANK, SQSTM1, or sFRP1. Microarray showed no notable copy number
variation. Studies of his nonconsanguineous parents were unremarkable. The
etiology and pathogenesis of this unique syndrome are unknown.
PMID- 24919764
TI - A serendipitous identification of a lead extraction complication.
AB - A 57-year-old male with a dual chamber pacemaker and symptomatic, persistent
atrial fibrillation (AF) accompanying a febrile illness presented for elective
transesophageal echocardiography (TEE)-guided cardioversion. The patient was
found to have a large 2.5 cm * 2.3 cm, mobile mass attached to the right atrial
lead. Following device and lead extraction, he developed progressive shortness of
breath which was attributed to his underlying arrhythmia. One month later, AF
ablation was pursued and preablation TEE revealed a dilated main pulmonary artery
with a 1.8 cm * 1.6 cm mass in the distal left pulmonary artery, which was
corroborated by a 1.4 cm * 2.5 cm filling defect in the descending left pulmonary
artery on magnetic resonance angiography (MRA). To our knowledge, this is the
first case report to document the distal migration of vegetation material into
the pulmonary artery with serial TEE and highlights the risk of pulmonary
embolism (PE) in patients with large endocardial lead vegetations undergoing
transvenous lead extraction.
PMID- 24919765
TI - Richness and diversity in dust stormborne biomes at the southeast mediterranean.
AB - Dust storms include particulate matter that is transported over land and sea with
biota that could impact downwind ecosystems. In addition to the physico-chemical
compositions, organismal diversities of dust from two storm events in southern
Israel, December 2012 (Ev12) and January 2013 (Ev13), were determined by pyro
sequencing using primers universal to 16S and 18S rRNA genes and compared. The
bio-assemblages in the collected dust samples were affiliated with scores of
different taxa. Distinct patterns of richness and diversity of the two events
were influenced by the origins of the air masses: Ev13 was rich with reads
affiliated to Betaproteobacteria and Embryophyta, consistent with a European
origin. Ev12, originated in north-Africa, contained significantly more of the
Actinobacteria and fungi, without conifers. The abundance of bacterial and
eukaryotic reads demonstrates dissemination of biological material in dust that
may impose health hazards of pathogens and allergens, and influence vegetation
migration throughout the world.
PMID- 24919766
TI - Evaluation of the insulin-releasing and glucose-lowering effects of GPR120
activation in pancreatic beta-cells.
AB - AIMS: To assess the potency and selectivity of various GPR120 agonists and to
determine the cellular localization of GPR120 in clonal beta-cells and pancreatic
islets. METHODS: Insulin secretion and alterations in intracellular Ca(2+) and
cAMP response to glucose and GPR120 agonists, including endogenous agonists alpha
linolenic acid (ALA), docosahexaenoic acid (DHA), eicosapentaenoic acid (EPA) and
a synthetic analogue (GW-9508), were examined using clonal pancreatic BRIN-BD11
cells, mouse pancreatic islets and in vivo studies using NIH Swiss mice.
Cytotoxicity was assessed by lactate dehydrogenase release. Cellular localization
of GPR120 was explored by double-staining immunohistochemistry. RESULTS: The most
potent and selective GPR120 agonist tested was ALA (half maximum effective
concentration 1.2 * 10(-8) mol/l) with a maximum stimulation of insulin secretion
of 53% at 10(-4) mol/l (p < 0.001) in BRIN-BD11 cells. Stimulation of insulin
secretion was also observed with GW-9508 (6.4 * 10(-8) mol/l; 47%), EPA (7.9 *
10(-8) mol/l; 36%) and DHA (1.0 * 10(-7) mol/l; 50%). Results were corroborated
by islet studies, with no evidence of cytotoxic effects. Dose-dependent insulin
secretion by GPR120 agonists was glucose-sensitive and accompanied by significant
elevations of intracellular Ca(2+) and cAMP. Immunocytochemistry showed GPR120
expression on BRIN-BD11 cells and was confined to islet beta-cells with no
distribution on alpha-cells. Administration of GPR120 agonists (0.1 umol/kg body
weight) in glucose tolerance studies significantly reduced plasma glucose and
augmented insulin release in mice. CONCLUSIONS: These results indicate that
GPR120 is expressed on pancreatic beta-cells and that agonists at this receptor
are potent insulin secretagogues with therapeutic potential for type 2 diabetes.
PMID- 24919767
TI - Three-year trajectories of caregiver burden in Alzheimer's disease.
AB - Although numerous studies have examined caregiver burden in the context of
Alzheimer's disease, discrepancies remain regarding the influence of certain
factors. This study aimed to identify trajectories of caregiver burden in the
context of Alzheimer's disease, as well as the factors associated with them. A
cohort of patients and caregivers (n = 330) was followed up over three years.
Growth mixture models were fitted to identify trajectories of caregiver burden
according to scores on the Zarit Burden Interview (ZBI). A multilevel multinomial
regression analysis was then conducted with the resulting groups and the patient
and caregiver factors. In the sample as a whole, burden increased during follow
up (F = 4.4, p = 0.004). Three groups were identified: G1 (initially high but
decreasing burden), G2 (moderate but increasing burden), and G3 (low burden that
increased slightly). Patients in G1 and G2 presented more neuropsychiatric
symptoms and poorer functional status than did those in G3. Caregivers in G1 and
G2 had poorer mental health. Spouses and, especially, adult children who lived
with their parent (the patient) were more likely to belong to G2 (odds ratio [OR]
6.24; 95% CI 2.89-13.47), as were sole caregivers (OR 3.51; 95% CI 1.98-6.21).
The patient factors associated with increased burden are neuropsychiatric
symptoms and functional status, while among caregivers, being the sole carer,
poor mental health, and living with the patient are of relevance.
PMID- 24919768
TI - Visuomotor impairments in older adults at increased Alzheimer's disease risk.
AB - BACKGROUND AND OBJECTIVE: Recent evidence suggests that visuomotor behaviors may
be disrupted in the very early stages of Alzheimer's disease (AD). Here we
propose that using kinematic measures under conditions that place demands on
visual-spatial and cognitive-motor processing may provide an effective behavioral
means to detect subtle changes associated with AD risk. METHODS: To this end, we
have tested 22 young adults (mean age = 26.4 +/- 4.1) and 22 older adults (mean
age = 64.3 +/- 10.1) at low AD, and 22 older adults (mean age = 67.7 +/- 11.3) at
high AD risk (i.e., strong family history or diagnosis of mild cognitive
impairment). Kinematic measures were acquired on four visuomotor transformation
tasks (standard, feedback reversal, plane dissociated, and plane dissociated +
feedback reversal) using a dual-touchscreen tablet. RESULTS: Comparing
participants at increased AD risk with both young and old healthy control groups
revealed significant performance disruptions in at-risk individuals as task
demands increased. Furthermore, we were able to discriminate between individuals
at high and low AD risk with a classification accuracy of 86.4% (sensitivity:
81.8%, specificity: 90.9%). CONCLUSION: We suggest that the impairments observed
in individuals at increased AD risk may reflect inherent brain alteration and/or
early neuropathology disrupting the reciprocal communication between hippocampal,
parietal, and frontal brain regions required to successfully prepare and update
complex reaching movements. Such impairment has the potential to affect
activities of daily living, and may serve as a sensitive measure of functional
ability in at-risk adults.
PMID- 24919769
TI - Relationship between elevated morning blood pressure surge, uric acid, and
cardiovascular outcomes in hypertensive patients.
AB - Early morning blood pressure surge (MBPS) is a risk factor for cardiovascular
events (CVEs), but the relationship with uric acid is not well understood. The
authors aimed to determine the association between MBPS and increased uric acid
and the effect of elevated MBPS and uric acid combination on CVEs. A total of 921
patients underwent 24-hour ambulatory blood pressure monitoring and were followed
for a median of 40 months. During this period, 103 (11.2%) CVEs occurred. There
was a significant relationship between increasing quartiles of serum uric acid
level and increasing values of MBPS (P<.0001). Patients in the highest quartile
stratified by elevated MBPS and serum uric acid level had a 3.55 odds of major
CVE compared with patients in the lowest quartile. Serum uric acid is associated
with MBPS and development of new CVEs.
PMID- 24919770
TI - Apogossypolone, a small-molecule inhibitor of Bcl-2, induces radiosensitization
of nasopharyngeal carcinoma cells by stimulating autophagy.
AB - Nasopharyngeal carcinoma (NPC) is a major cause of cancer deaths. Concurrent
administration of radiation and chemotherapy is the treatment of choice for
advanced NPC. Previously, we showed that apogossypolone (ApoG2) induced apoptosis
by blocking the binding of Bcl-2 to Bax, arresting the cell cycle in the S phase,
in turn inhibiting proliferation of NPC cells both in vitro and in vivo. In the
present study, we showed that ApoG2 inhibited the proliferation of NPC cells in a
dose-dependent manner. We treated CNE1, CNE2 and SUNE1 cells with ApoG2 for 72 h,
and calculated the IC50 values as 2.84, 5.64 and 2.18 uM, respectively. Normal
NP69 cell proliferation was not significantly inhibited. ApoG2 treatment induced
significant autophagy, demonstrated by an increase in LC3-II protein expression,
reduced protein p62 expression, and accumulation of punctuate GFP-LC3 in the
cytoplasm of CNE1 or CNE2 cells. Sh-Atg5 attenuated the autophagy induced by
ApoG2, indicating that Atg5 was required for ApoG2-induced autophagy. In
addition, ApoG2 treatment blocked the binding of Bcl-2 to Beclin 1 protein,
releasing pro-autophagic Beclin 1, which in turn triggered the autophagic
cascade. Colony formation assays indicated that ApoG2 enhanced radiosensitization
of CNE2 cells. In the ApoG2-plus-radiation combination group, more ring-shaped
structures were evident in CNE1 and CNE2 cultures. LC3-II expression was enhanced
and that of p62 reduced, compared to the ApoG2-only, radiation-only and control
groups. ApoG2 enhanced the radiosensitivity of CNE2 xenografts in nude mice as
measured by (C-T)/C ratios (as percentages); the values for the ApoG2 and
radiation groups were 46.89% and 19.34%, respectively. The ApoG2-plus-radiation
group exhibited greater antitumor activity (the inhibitory rate was 61.64%).
Immunohistological staining showed that LC3-II expression became gradually
upregulated in the ApoG2-plus-radiation group. Together, the results suggest that
ApoG2 inhibits the binding of Bcl-2 to Beclin 1, inducing autophagy and radio
sensitizing NPC cells both in vitro and in vivo.
PMID- 24919772
TI - The impact of anion electronic structure: similarities and differences in
imidazolium based ionic liquids.
AB - In this paper the structural and energetic landscapes of ion-pair dimer
conformers of 1,3-dimethylimidazolium based ionic liquids have been explored
([C1C1im][A])2, A = Cl(-), [NO3](-), [MeSO4](-), [OTf](-) and [BF4](-)). A common
low-energy conformer has been selected for full electronic structure analysis. We
have compared and contrasted each cluster based on the relative hydrogen bonding
ability (beta-value) of the anion, which varies experimentally as Cl(-) > [NO3](
) ~ [MeSO4](-) > [OTf](-) ~ [BF4](-). Correlations between experimental beta
values, computed binding energies, charge transfer and various hydrogen bonding
data have been made and outliers have been explained in terms of environmental
effects present in the liquid phase. This is most evident in the structurally
similar [MeSO4](-) and [OTf](-) anions that have very similar hydrogen bonding
motifs, but significantly different beta-values. Moreover, detailed analysis of
the cluster molecular orbitals, for each anion, reveals a subtle interplay
between two modes of interaction, an in-plane traditional H-bonding and inter
planar anion-pi interaction. Inter-planar anion-pi interactions are particularly
prominent for the [NO3](-) cluster. We have rationalized how the full range of
interactions could impact on the structuring of ILs at surfaces and the effect
these may have on viscosity.
PMID- 24919771
TI - Options for tracking GFP-Labeled transplanted myoblasts using in vivo
fluorescence imaging: implications for tracking stem cell fate.
AB - BACKGROUND: Green fluorescent protein (GFP) is a useful biomarker, widely used in
biomedical research to track stem cells after transplantation and/or to assess
therapeutic transgene expression. However, both GFP and therapeutic gene products
themselves may be immunogenic to the recipient. The main aim of this study was to
use animal models to evaluate potential impact of GFP on the cell engraftment and
to optimize tracking strategies prior to transplantation. RESULTS: By using a
fluorescent imaging (FLI) system, we investigated the dynamic cell behavior of
GFP-transduced myoblasts in tibialis anterior (TA) muscles of immunocompetent mdx
mice and immuno-compromised nude mice over a period of three months. The results
suggested an apparent underlying host immunorejection in the mdx mice. Dystrophin
immunostaining showed that the engraftment of wild type myoblasts was much more
effective than that of the GFP-labeled counterparts in the mdx mice, further
confirming an antigen role of GFP in this process. We tracked the GFP-transduced
myoblasts in C57BL/6 mice and found GFP to be minimally immunogenic in these
animals, as indicated by the GFP signal maintaining a much stronger level than
that found in mdx and BALB/c mice at parallel time points. We also compared the
in vivo cell behavior differences between myoblasts from virally GFP-transduced
and GFP transgenic mice. The latter displayed much better engraftment, as
determined both biomaging and histological observations. CONCLUSIONS: Our results
not only demonstrated the immunogenicity of GFP in immunocompetent mice, but
determined the optimized conditions for GFP-based in vivo stem cells tracking,
that can potentially be extrapolated to human biomedical research.
PMID- 24919773
TI - Mitochondrial DNA phylogeography of the Labeobarbus intermedius complex (Pisces,
Cyprinidae) from Ethiopia.
AB - Mitochondrial DNA phylogeography of populations of the Labeobarbus intermedius
complex (hexaploid barb) was investigated using 88 complete and 71 partial
cytochrome b (cytb) sequences originating from 21 localities in five major
drainages in Ethiopia and two localities in northern Kenya. The samples included
14 of the 15 Labeobarbus species described from Lake Tana. Discrete
phylogeographic analyses of 159 cytb sequences employing Bayesian Markov Chain
Monte Carlo (MCMC) simulations using Bayesian evolutionary analysis by sampling
trees (BEAST) supported the monophyly of the L. intermedius complex, including
the Lake Tana species. This analysis, in combination with statistical parsimony
analysis, identified two mitochondrial DNA lineages within the complex.
Divergence dating employing coalescent simulations suggested that the geographic
split in the L. intermedius complex that led to the formation of these lineages
occurred during the Pleistocene (c. 0.5 M b.p.), consistent with the timing of
volcano-tectonic events postulated to have shaped the current landscape of East
Africa.
PMID- 24919774
TI - Cervical necrotizing fasciitis of nonodontogenic origin: case report and review
of literature.
AB - Cervical necrotizing fasciitis (CNF) is a potentially fatal infection
characterized by generalized necrosis of the cervical fascia that progresses
rapidly. The incidence of this entity corresponds to 2.6% of all infections of
the head and neck. The most frequent primary origin is dental infection, although
other causes exist that should be evaluated.Delay in the diagnosis of this entity
may lead to rapid progression and fatal outcome. Patients often present
immunosuppression or systemic diseases that predispose them to this pathology.
Cervical necrotizing fasciitis is associated with mortality rates of 7% to 20%
depending on the extension of the cervical lesion. The highest rates correspond
to cases that progress to mediastinitis or septic shock, which are the main and
most frequent complications. Early detection and adequate emergency treatment are
critical in the management of these patients and may reduce morbimortality and
improve survival. The emergency services should be prepared to manage such cases
efficiently, through a multidisciplinary treatment by coordinating emergency
surgery with critical support and clinical stabilization of patients.We present a
case of CNF of non odontogenic origin managed in our hospital.
PMID- 24919775
TI - Frequent attenders to the ED: patients who present with repeated asthma
exacerbations.
AB - BACKGROUND: Asthma has been reported as one of the main causes of frequent
attendance to the emergency department (ED), and many of those visits are
potentially preventable. Understanding the characteristics of frequent attender
(FA) patients with asthmatic exacerbations will help to identify factors
associated with frequent attendance and improve case management. The aim of this
study is to describe the characteristics of FA who present multiple times to the
ED for asthma exacerbations. METHODS: This study was a retrospective review of
cases presented to Singapore General Hospital ED in 2010. Patients who attended
the ED for 4 times or more with at least 1 visit attributable to asthma
exacerbations in 2010 were included. They were then categorized as FA with
multiple exacerbations (FAME) and those with fewer exacerbations. RESULTS: Of
105616 ED patients, 155 patients attending the ED in 2010 were identified as FA
with asthma, and 26 (17%) of these patients were classified as FAME, resulting in
213 visits (45% of total visits). Compared with FA with fewer exacerbations
group, FAME were more likely to be men (P = .002), unemployed (P < .000), bad
debtors (P = .045), substance abusers (P = .022), previously known to medical
social workers (P = .002), and were found to spend a longer amount of time in the
ED (>6 hours) (P = .03). CONCLUSION: We found that a small number of FAME
patients accumulated a large number of ED visits and spent a significantly longer
time in the ED. This group tended to be males with social, financial, and
addiction problems.
PMID- 24919776
TI - Osteoclasts and their precursors are present in the induced-membrane during bone
reconstruction using the Masquelet technique.
AB - In 2000, Masquelet reported a long bone reconstruction technique using an induced
membrane formed around a polymethylmethacrylate (PMMA) spacer placed in the
defect with appropriate stabilization followed by secondary bone graft after PMMA
removal. This reconstruction procedure allows rapid and safe bone reformation for
septic, traumatic, neoplastic or congenital bone defects. A rat model of the
Masquelet technique was developed to further characterize the biological
activities of this induced membrane. Our model allows healing of a critical-sized
femoral defect (8 mm) by means of this procedure over a period of 18 weeks.
Comparison of induced membranes obtained 3, 4, 5 and 6 weeks after PMMA insertion
indicated that this tissue changes over time. Several mineralization spots and
bone cells were observed in contact with the PMMA, when assessed by Alizarin Red,
Von Kossa, Alkaline phosphatase and Tartrate-resistant acid phosphatase staining
of the membranes. CTR (calcitonin receptor)- and RANK (Receptor Activator of
Nuclear factor Kappa B)- positive mononuclear cells were detected in the induced
membrane, confirming the presence of osteoclasts in this tissue. These cells were
observed in a thin, highly cellular layer in the induced membrane in contact with
the PMMA. Together, these findings suggest that the membrane is able to promote
osteointegration of autologous corticocancellous bone grafts during the Masquelet
technique by creating local conditions that may be favourable to graft bone
remodelling and osteointegration. Copyright (c) 2014 John Wiley & Sons, Ltd.
PMID- 24919777
TI - Gold(III) compounds containing a chelating, dicarbanionic ligand derived from
4,4'-di-tert-butylbiphenyl.
AB - An oligomeric gold(III) compound containing dicarbanionic chelating 4,4'-di-tert
butylbiphenyl was prepared via transmetallation using the corresponding
organotin(IV) compound. The reactivity of the chloro-bridged oligomer with
various species including neutral N-, P-, and C-donor ligands as well as
monoanionic S- and Se-ligands was investigated. Some of the products were
characterised by X-ray crystallography. The photophysical properties of two
derivatives were studied.
PMID- 24919779
TI - The Work-Family Conflict Scale (WAFCS): development and initial validation of a
self-report measure of work-family conflict for use with parents.
AB - This paper outlines the development and validation of the Work-Family Conflict
Scale (WAFCS) designed to measure work-to-family conflict (WFC) and family-to
work conflict (FWC) for use with parents of young children. An expert informant
and consumer feedback approach was utilised to develop and refine 20 items, which
were subjected to a rigorous validation process using two separate samples of
parents of 2-12 year old children (n = 305 and n = 264). As a result of
statistical analyses several items were dropped resulting in a brief 10-item
scale comprising two subscales assessing theoretically distinct but related
constructs: FWC (five items) and WFC (five items). Analyses revealed both
subscales have good internal consistency, construct validity as well as
concurrent and predictive validity. The results indicate the WAFCS is a promising
brief measure for the assessment of work-family conflict in parents. Benefits of
the measure as well as potential uses are discussed.
PMID- 24919778
TI - Improved virologic outcomes over time for HIV-infected patients on antiretroviral
therapy in a cohort from Rio de Janeiro, 1997-2011.
AB - BACKGROUND: Previous cohort studies have demonstrated the beneficial effects of
antiretroviral therapy (ART) on viral load suppression. We aimed to examine the
factors associated with virologic suppression for HIV-infected patients on ART
receiving care at the Evandro Chagas Clinical Research Institute, Oswaldo Cruz
Foundation in Rio de Janeiro, Brazil. METHODS: HIV-1 RNA levels and CD4+ T-cell
counts at the date closest to midyear (1 July) were evaluated for 1,678 ART-naive
patients >= 18 years of age initiating ART between 1997 and 2010. The odds ratios
(OR) and 95% confidence intervals (CI) for having an undetectable viral load (<=
400 copies/mL) were estimated using generalized estimating equations regression
models adjusted for clinical and demographic factors. Time-updated covariates
included age, years since HIV diagnosis, hepatitis C diagnosis and ART
interruptions. RESULTS: Between 1997 and 2011, the proportion of patients with an
undetectable viral load increased from 6% to 78% and the median [interquartile
range] CD4+ T-cell count increased from 207 [162, 343] to 554 [382, 743]
cells/MUL. Pre-treatment median CD4+ T-cell count significantly increased over
the observation period from 114 [37, 161] to 237 [76, 333] cells/MUL (p < .001).
The per-year adjusted OR (aOR) for having undetectable viral load was 1.18 (95%
CI = 1.16-1.21). ART interruptions >1 month per calendar significantly decreased
the odds [aOR = 0.32 (95% CI = 0.27-0.38)] of having an undetectable viral load.
Patients initiating on a protease inhibitor (PI)-based first-line regimen were
less likely to have undetectable viral load [aOR = 0.72 (95% CI = 0.63-0.83)]
compared to those initiating on a non-nucleoside reverse transcriptase inhibitor
(NNRTI)-based regimen. CONCLUSIONS: Our results demonstrate significant
improvements in virologic outcomes from 1997 to 2011, which persisted after
adjusting for other factors. This may in part be due to improvements in care and
new treatment options. NNRTI- versus PI-based first-line regimens were found to
be associated with increased odds of having an undetectable viral load,
consistent with previous studies. Treatment interruptions were found to be the
most important determinant of not having an undetectable viral load. Studies are
needed to characterize the reasons for treatment interruptions and to develop
subsequent strategies for improving adherence to ART.
PMID- 24919780
TI - Maximum noble-metal efficiency in catalytic materials: atomically dispersed
surface platinum.
AB - Platinum is the most versatile element in catalysis, but it is rare and its high
price limits large-scale applications, for example in fuel-cell technology.
Still, conventional catalysts use only a small fraction of the Pt content, that
is, those atoms located at the catalyst's surface. To maximize the noble-metal
efficiency, the precious metal should be atomically dispersed and exclusively
located within the outermost surface layer of the material. Such atomically
dispersed Pt surface species can indeed be prepared with exceptionally high
stability. Using DFT calculations we identify a specific structural element, a
ceria "nanopocket", which binds Pt(2+) so strongly that it withstands sintering
and bulk diffusion. On model catalysts we experimentally confirm the
theoretically predicted stability, and on real Pt-CeO2 nanocomposites showing
high Pt efficiency in fuel-cell catalysis we also identify these anchoring sites.
PMID- 24919781
TI - Dislocated dental bridge covering the larynx: usefulness of tracheal tube guides
under video-assisted laryngoscopy for induction of general anesthesia, thus
avoiding tracheostomy.
AB - BACKGROUND: To describe a case with dislodgement of dental bridge with clasps
covering the vocal cords, in a patient who was successfully intubated using tube
exchanger under video-assisted laryngoscopy. STUDY DESIGN, METHODS: Clinical case
record with a video clip. SETTING: University hospital. CASE PRESENTATION: A 83
year-old woman presented with dislodgement of her dental bridge whilst eating.
Laryngoscopy revealed a foreign body almost entirely covering the vocal cords,
with the clasps of the dislodged partial denture piercing the pharyngeal wall.
Before induction of general anesthesia, a tracheal tube introducer combined with
video-assisted laryngoscopy was introduced into the trachea in the awake
condition, followed by successful endotracheal intubation. Thereafter, the
dislodged denture was extracted via the oral cavity. CONCLUSIONS: Tracheal tube
introducers combined with video-assisted laryngoscopy appear to be useful for
airway management, decreasing the number of avoidable tracheostomies performed.
PMID- 24919782
TI - The assessment of relationship between left ventricular geometry and microvolt T
wave alternans in sustained hypertension.
AB - OBJECTIVE: Left ventricular (LV) hypertrophy (LVH) predicts increased mortality
in part due to an elevated incidence of sudden cardiac death in hypertension. The
aim of the present study was to investigate the relation of microvolt T-wave
alternans (MTWA) with different LV geometric patterns in patient with sustained
hypertension. METHODS: This study consisted of 311 consecutive patients with
sustained hypertension who were divided into four groups according to LV
geometrical patterns. 90 patients were in the normal geometry group (NGG) [mean
age 49.6 +/- 7.8 years; 60 males (66.7%)], 99 patients were in the concentric
remodeling group (CRG) [mean age 50.9 +/- 6.6 years; 50 males (50.6%)], 63
patients were in the concentric hypertrophy group (CHG) [mean age 51.6 +/- 7.3
years; 32 males (50.7%)] and 58 patients were in the eccentric hypertrophy group
(EHG) [mean age 51.6 +/- 9.0 years; 30 males (51.7%)]. Physical examination,
laboratory work-up, office blood pressure measurement, transthoracic
echocardiography and MTWA measurements were performed on all participants.
RESULTS: MTWA positivity was significantly higher in EHG and CHG as compared to
CRG and NGG (p < 0.001). Left ventricle mass index (LVMI), LV end-diastolic
diameter (LVEDD), LV end-systolic diameter (LVESD), interventricular septum
diameter (IVSd), posterior wall diameter (PWd) and office systolic blood pressure
(SBP) were found to be significantly positively correlated with MTWA (all p
values < 0.05). CONCLUSION: We demonstrated that increased LVMI is associated
with an elevated MTWA positivity in sustained hypertensives. Moreover, clinically
significant LV geometric patterns including both concentric and eccentric
hypertrophy are related with a raised MTWA positivity, which may lead to
particular predilection to life-threatening ventricular arrhythmias and sudden
cardiac death in sustained hypertension.
PMID- 24919783
TI - Why Dutch general practitioners do not put the squeeze on access to hospital
care?
PMID- 24919784
TI - Mechanosensing of cells in 3D gel matrices based on natural and synthetic
materials.
AB - Cells in vivo typically are found in 3D matrices, the mechanical stiffness of
which is important to the cell and tissue-scale biological processes. Although it
is well characterized that as to how cells sense matrix stiffness in 2D
substrates, the scenario in 3D matrices needs to be explored. Thus, materials
that can mimic native 3D environments and possess wide, physiologically relevant
elasticity are highly desirable. Natural polymer-based materials and synthetic
hydrogels could provide an better 3D platforms to investigate the mechano
response of cells with stiffness comparable to their native environments.
However, the limited stiffness range together with interdependence of matrix
stiffness and adhesive ligand density are inherent in many kinds of materials,
and hinder efforts to demonstrate the true effects contributed by matrix
stiffness. These problems have been addressed by the recently emerging
exquisitely designed materials based on native matrix components, designer
matrices, and synthetic polymers. In this review, a variety of materials with a
wide stiffness range that mimic the mechanical environment of native 3D matrices
and the independent affection of stiffness for cellular behavior and tissue-level
processes are discussed.
PMID- 24919786
TI - Systemic lupus erythematosus complicating simple silicosis.
AB - Inhalation of crystalline silica is known to result in silicosis: an
irreversible, disabling and potentially fatal occupational lung disease, which is
associated with a variety of pulmonary and non-pulmonary complications including
autoimmunity. A potential link between silicosis and systemic lupus erythematosus
(SLE) is currently recognized only in cases of acute or accelerated silicosis. We
report a case of SLE, a disease which usually affects young females, arising in a
male former stonemason with simple silicosis. Epidemiological and clinical
literature on the association of silica exposure and development of SLE are
briefly reviewed. This case report and literature review highlight the link
between occupational silica exposure and autoimmune disease including SLE,
establishes that even simple silicosis appears linked to development of
autoimmunity and emphasizes the importance of an occupational history, especially
in male patients who develop SLE.
PMID- 24919785
TI - Systematic examination of the fetal abdominal precordial veins: a cohort study.
AB - OBJECTIVES: Examination of the fetal venous system is a necessary part of
complete fetal organ scanning to confirm landmark anatomy, such as the ductus
venosus and course of the umbilical veins, and, whenever cardiovascular anomalies
are identified, to exclude associated anomalous development of the fetal veins.
We aimed to develop a protocol for systematic examination of the fetal venous
system during midtrimester targeted organ scanning. METHODS: We included low-risk
women with a singleton fetus presenting between January 2011 and June 2013 to our
center for routine midtrimester (20-24 weeks) targeted organ scanning. Imaging of
the venous system was added to the booked scan and comprised two-dimensional
color Doppler scanning of the fetal abdomen in three discrete planes, two
transverse and one longitudinal. The more caudal plane was obtained in a ventral
or lateral transverse abdominal plane to image the umbilical vein, left portal
vein, portal sinus, anterior right portal vein, posterior right portal vein, main
portal vein and splenic vein and artery. Moving cephalad, a ventral or lateral
transverse plane was obtained to image the right, middle and left hepatic veins
and inferior vena cava (IVC). Finally, a longitudinal anteroposterior plane
showed the umbilical vein, ductus venosus, IVC and left hepatic vein. In some
cases the pulsed Doppler waveform of a given target vessel was also examined.
Three-dimensional/4D ultrasound was applied as necessary, when anomalous cases
were encountered. RESULTS: We examined 1810 women. Their body mass index ranged
from 19 to 40 (mean, 24.7). In 38 (2.1%) women, the target anatomy was not
visualized satisfactorily owing to maternal body habitus. A T-shaped
configuration of the portal system vessels was observed in 63% of cases, an X
shaped configuration in 25% and an H-shaped configuration in 12%. During the
study period, 24 congenital anomalies of the precordial venous system were
diagnosed: nine cases of persistent right umbilical vein, seven of agenesis of
the ductus venosus, five of anomalous portal venous drainage and three of
interrupted IVC with azygos continuation. CONCLUSIONS: Examination of the fetal
venous system is feasible with the application of three abdominal planes. While a
venous system scan is not practicable as part of a screening-level examination,
mastery of the normal anatomy is an essential part of the professional knowledge
base, in order to provide ready and complete scanning of the system in cases of
suspected anomalies or disordered cardiac function.
PMID- 24919787
TI - Surface modification of coronary stents with SiCOH plasma nanocoatings for
improving endothelialization and anticoagulation.
AB - The surface properties of intravascular stent play a crucial role in preventing
in-stent restenosis (ISR). In this study, SiCOH plasma nanocoatings were used to
modify the surfaces of intravascular stents to improve their endothelialization
and anticoagulation properties. SiCOH plasma nanocoatings with thickness of 30-40
nm were deposited by low-temperature plasmas from a gas mixture of trimethysilane
(TMS) and oxygen at different TMS:O2 ratios. Water contact angle measurements
showed that the SiCOH plasma nanocoating surfaces prepared from TMS:O2 = 1:4 are
hydrophilic with contact angle of 29.5 +/- 1.9 degrees . The SiCOH plasma
nanocoated 316L stainless steel (316L SS) wafers were first characterized by in
vitro adhesion tests for blood platelets and human umbilical vein endothelial
cells. The in vitro test results showed that the SiCOH plasma nanocoatings
prepared from TMS:O2 = 1:4 had excellent hemo- and cytocompatibility. With
uncoated 316L SS stents as the control, the SiCOH plasma nanocoated 316L SS
stents were implanted into rabbit abdominal artery model for in vivo evaluation
of re-endothelialization and ISR inhibition. After implantation for 12 weeks, the
animals testing results showed that the SiCOH plasma nanocoatings accelerated re
endothelialization and inhibited ISR with lumen reduction of 26.3 +/- 10.1%,
which were considerably less than the 41.9 +/- 11.6% lumen reduction from the
uncoated control group.
PMID- 24919788
TI - Effects of functional feeds on the lipid composition, transcriptomic responses
and pathology in heart of Atlantic salmon (Salmo salar L.) before and after
experimental challenge with Piscine Myocarditis Virus (PMCV).
AB - BACKGROUND: Cardiomyopathy syndrome (CMS) is a severe cardiac disease of Atlantic
salmon (Salmo salar) recently associated with a double-stranded RNA virus,
Piscine Myocarditis Virus (PMCV). The disease has been diagnosed in 75-85 farms
in Norway each year over the last decade resulting in annual economic losses
estimated at up to ?9 million. Recently, we demonstrated that functional feeds
led to a milder inflammatory response and reduced severity of heart lesions in
salmon experimentally infected with Atlantic salmon reovirus, the causal agent of
heart and skeletal muscle inflammation (HSMI). In the present study we employed a
similar strategy to investigate the effects of functional feeds, with reduced
lipid content and increased eicosapentaenoic acid levels, in controlling CMS in
salmon after experimental infection with PMCV. RESULTS: Hepatic steatosis
associated with CMS was significantly reduced over the time course of the
infection in fish fed the functional feeds. Significant differences in immune and
inflammatory responses and pathology in heart tissue were found in fish fed the
different dietary treatments over the course of the infection. Specifically, fish
fed the functional feeds showed a milder and delayed inflammatory response and,
consequently, less severity of heart lesions at earlier and later stages after
infection with PMCV. Decreasing levels of phosphatidylinositol in cell membranes
combined with the increased expression of genes related with T-cell signalling
pathways revealed new interactions between dietary lipid composition and the
immune response in fish during viral infection. Dietary histidine supplementation
did not significantly affect immune responses or levels of heart lesions.
CONCLUSIONS: Combined with the previous findings on HSMI, the results of the
present study highlight the potential role of clinical nutrition in controlling
inflammatory diseases in Atlantic salmon. In particular, dietary lipid content
and fatty acid composition may have important immune-modulatory effects in
Atlantic salmon that could be potentially beneficial in fish balancing the immune
and tissue responses to viral infections.
PMID- 24919789
TI - Impact of heparin-induced thrombocytopenia on acute coronary artery thrombosis in
patients undergoing PCI.
PMID- 24919791
TI - Near-peer teaching (NPT): the importance of process evaluation.
PMID- 24919792
TI - Studies toward the total synthesis of pluraflavin A.
AB - A synthetic strategy towards the potent cytostatic agent pluraflavin A has been
developed. Formation of the enantioenriched anthrapyran core bearing a halogen
atom enabled the introduction of the alpha C-aryl glycoside by Stille cross
coupling and subsequent hydrogenation of the aryl glycal. Chemo- and
stereoselective O-glycosylations of alpha oliose and beta 3-epi vancosamine
residues afforded a fully glycosylated aromatic core. Attempts to install the
dimethylamino group of the C-disaccharide suggest that introduction of an azide
group by displacement and subsequent reduction may pave the way to the total
synthesis of pluraflavin A.
PMID- 24919790
TI - Presynaptic dopaminergic function: implications for understanding treatment
response in psychosis.
AB - All current antipsychotic drugs block dopamine (DA) receptors, but the nature of
the DA dysfunction in schizophrenia has not been clear. However, consistent
evidence now shows that presynaptic dopaminergic function is altered in
schizophrenia, specifically in terms of increased DA synthesis capacity, baseline
synaptic DA levels, and DA release. Furthermore, presynaptic dopaminergic
function is already elevated in prodromal patients who later developed the
disorder. Currently available antipsychotics act on postsynaptic receptors, not
targeting presynaptic DA abnormalities. This has implications for understanding
response and developing new treatments. The lack of normalization of the abnormal
presynaptic function could explain why discontinuation is likely to lead to
relapse, because the major dopaminergic function persists, meaning that once
treatment stops there is nothing to oppose the dysregulated dopamine function
reinstating symptoms. Furthermore, it suggests that drugs that target presynaptic
dopaminergic function may constitute new treatment possibilities for
schizophrenic patients, in particular, for those in whom antipsychotics are
poorly effective. In addition, the longitudinal changes with the onset of
psychosis indicate the potential to target a defined dynamic neurochemical
abnormality to prevent the onset of psychosis.
PMID- 24919793
TI - Likelihood ratio based tests for longitudinal drug safety data.
AB - This article presents longitudinal likelihood ratio test (LongLRT) methods for
large databases with exposure information. These methods are applied to a pooled
large longitudinal clinical trial dataset for drugs treating osteoporosis with
concomitant use of proton pump inhibitors (PPIs). When the interest is in the
evaluation of a signal of an adverse event for a particular drug compared with
placebo or a comparator, the special case of the LongLRT, referred to as
sequential LRT (SeqLRT), is also presented. The results show that there is some
possible evidence of concomitant use of PPIs leading to more adverse events
associated with osteoporosis. The performance of the proposed LongLRT and SeqLRT
methods is evaluated using simulated datasets and shown to be good in terms of
(conditional) power and control of type I error over time. The proposed methods
can also be applied to large observational databases with exposure information
under the US Food and Drug Administration Sentinel Initiative for active
surveillance. Published 2014. This article is a US Government work and is in the
public domain in the USA.
PMID- 24919794
TI - Quinazoline analog HMJ-30 inhibits angiogenesis: involvement of endothelial cell
apoptosis through ROS-JNK-mediated death receptor 5 signaling.
AB - The aim of the present study was to explore the effect of 6-fluoro-2-(3
fluorophenyl)-4-(cyanoanilino) quinazoline (HMJ-30) on the anti-angiogenic
properties and apoptosis-related mechanism of human umbilical vein endothelial
cells (HUVECs). In this study, HMJ-30 dose- and time-dependently inhibited the
viability of HUVECs. We also found that HMJ-30 enhanced disruption of tube-like
structures and suppressed cell migration in HUVECs after vascular endothelial
growth factor (VEGF) induction. HMJ-30 was also observed to inhibit vessel
branching and sprouting in chicken chorioallantoic membrane (CAM). Microsprouting
induced by VEGF in the rat aortic ring and blood vessel formation in a mouse
Matrigel plug were individually suppressed by HMJ-30. In an in vitro study, HMJ
30 induced the apoptotic death of HUVECs as indicated by DNA fragmentation and
promoted reactive oxygen species (ROS) production as determined by flow
cytometric assay. In addition, extrinsic caspase signaling (caspase-8 and -3) was
activated in the HMJ-30-treated HUVECs and their inhibitors were applied to
assess the signal transduction. We investigated the upstream of the death
receptor pathway and further observed that the levels of death receptor 5 (DR5)
and phosphorylated c-Jun N-terminal kinase (JNK) signals were upregulated in
HUVECs following HMJ-30 challenge, which was confirmed by a JNK-specific
inhibitor (SP600125). Hence, HMJ-30-induced endothelial cell apoptosis involved
the ROS/JNK-regulated DR5 pathway. In summary, HMJ-30 may provide a potential
therapeutic effect for the anti-vascular targeting of angiogenesis during cancer
treatment.
PMID- 24919796
TI - Neanderthal infant and adult infracranial remains from Marillac (Charente,
France).
AB - At the site of Marillac, near the Ligonne River in Marillac-le-Franc (Charente,
France), a remarkable stratigraphic sequence has yielded a wealth of
archaeological information, palaeoenvironmental data, as well as faunal and human
remains. Marillac must have been a sinkhole used by Neanderthal groups as a
hunting camp during MIS 4 (TL date 57,600 +/- 4,600BP), where Quina Mousterian
lithics and fragmented bones of reindeer predominate. This article describes
three infracranial skeleton fragments. Two of them are from adults and consist of
the incomplete shafts of a right radius (Marillac 24) and a left fibula (Marillac
26). The third fragment is the diaphysis of the right femur of an immature
individual (Marillac 25), the size and shape of which resembles those from Teshik
Tash and could be assigned to a child of a similar age. The three fossils have
been compared with the remains of other Neanderthals or anatomically Modern
Humans (AMH). Furthermore, the comparison of the infantile femora, Marillac 25
and Teshik-Tash, with the remains of several European children from the early
Middle Ages clearly demonstrates the robustness and rounded shape of both
Neanderthal diaphyses. Evidence of peri-mortem manipulations have been identified
on all three bones, with spiral fractures, percussion pits and, in the case of
the radius and femur, unquestionable cutmarks made with flint implements,
probably during defleshing. Traces of periostosis appear on the fibula fragment
and on the immature femoral diaphysis, although their aetiology remains unknown.
PMID- 24919797
TI - Dominant behaviours in the expression of human carbonic anhydrase hCA I activity.
AB - Here we describe the screening via Dynamic Deconvolution of DCLs of inhibitors
(CAIs) and activators (CAAs) of hCA I. The inhibitory effects dominate over the
activating ones, while the CAAs may be identified in the absence of CAIs.
PMID- 24919798
TI - Determination of 3-monochloropropane-1,2-diol fatty acid esters in Brazilian
vegetable oils and fats by an in-house validated method.
AB - An in-house validated GC-MS method preceded by acid-catalysed methanolysis was
applied to 97 samples of vegetable oils and fats marketed in Brazil. The levels
of the compounds ranged from not detected (limit of detection = 0.05 mg kg(-1))
to 5.09 mg kg(-1), and the highest concentrations were observed in samples
containing olive pomace oil and in products used for industrial applications,
such as palm oil and its fractions (olein and stearin). The content of diesters
and monoesters was also investigated by employing solid-phase extraction on
silica cartridges, indicating that the majority of the compounds were present as
diesters. This study provides the first occurrence data on these contaminants in
Brazil and the results are comparable with those reported in other countries.
PMID- 24919799
TI - Efficacy of a needling device for the treatment of acne scars: a randomized
clinical trial.
AB - IMPORTANCE: Neocollagenesis can be achieved using a dermal rolling needle device,
thereby reducing the appearance of acne scars. OBJECTIVE: To determine the
efficacy of a needling device for treatment of acne scars. DESIGN, SETTING, AND
PARTICIPANTS: We performed a single-center, rater-blinded, balanced (1:1), split
face, placebo-controlled, parallel-group randomized clinical trial at an urban
academic institution. The study took place from November 30, 2009, through July
27, 2010. Twenty healthy adults (age range, 20-65 years) with acne scars on both
sides of the face were enrolled. Fifteen individuals completed the study, and no
enrolled participants were withdrawn for adverse effects. INTERVENTIONS: For each
participant, one side of the face was randomized for needling. Three needling
treatments were performed at 2-week intervals. MAIN OUTCOMES AND MEASURES: Two
blinded dermatologists separately rated participants' acne scars based on
standard digital photographs obtained at baseline and at the 3-month and 6-month
follow-up visits on the quantitative global scarring grading system. RESULTS:
Mean scar scores were significantly lower in the treatment group compared with
baseline at 6 months (mean difference, 3.4; 95% CI, 0.2-6.5; P = .03) and
nominally but not significantly lower compared with baseline at 3 months (mean
difference, 2.4; 95% CI, -0.01 to 4.8; P = .052). In the control group, mean scar
scores did not vary significantly from baseline at 3 months (mean difference,
1.0; 95% CI, -1.4 to 3.4; P = .96) and at 6 months (mean difference, 0.4; 95% CI,
-2.3 to 3.5; P > .99). The needling procedure was not particularly painful, with
a mean pain rating of 1.08 of 10. Participants perceived a 41% mean improvement
in overall scar appearance on the treated side. No adverse events were reported.
CONCLUSIONS AND RELEVANCE: After 3 needling treatments, there was improvement in
the appearance of acne scars over time compared with the control group, with
minimal pain reported. TRIAL REGISTRATION: clinicaltrials.gov Identifier:
NCT00974870.
PMID- 24919800
TI - Surgical treatment of intraparenchymal hemorrhage during mechanical circulatory
support for heart-failure--a single-centre experience.
AB - BACKGROUND: Cranial intraparenchymal hemorrhage represents a critical
complication of mechanical circulatory support requiring constant antithrombotic
treatment. Surgery of intraparenchymal hemorrhage under anticoagulation
represents a challenge and imposes significant risks for patients. It was the aim
to analyse surgical and clinical outcome of patients requiring surgical treatment
due to intraparenchymal hemorrhage. METHODS: Patients with mechanical circulatory
support requiring surgical therapy due to space-occupying lobar supratentorial or
infratentorial hemorrhage from January 1, 2009 to January 1, 2014 were included
in our study. Baseline parameters are preoperative International Normalized Ratio
(INR) values, postoperative anticoagulation regiment, bleeding size and
localization. Co-primary outcome parameters were the extent of hematoma
evacuation and the Modified Rankin Scale at discharge from hospital. Secondary
outcome parameters included rate of recurrent hemorrhage, rate of revision
surgery and in-hospital mortality. RESULTS: Twelve patients (mean age 44 +/- 18
years, nine supratentorial-/three infratentorial hemorrhages, 11 left ventricular
assist devices, and one extracorporeal membrane oxygenation) were included.
Surgical hematoma evacuation was performed in 11 patients, one patient received
decompressive hemicraniectomy. Hematoma evacuation was complete in no patients,
and partial in 11 patients. Initial INR was 2,7 +/- 1,6. Rate of recurrent
hemorrhage was 75 %. Revision surgery was performed in three patients achieving
partial hematoma evacuation in two patients and complete evacuation in one
patient. Modified Rankin Scale at discharge from hospital was six in nine
patients (in-hospital mortality of 75 %), five in two patients and four in one
patient. CONCLUSIONS: Surgical treatment of life threatening, space-occupying
intraparenchymal hemorrhage under mechanical circulation support is of limited
efficacy with high rates of recurrent hemorrhage and in-hospital mortality. We
provide additional data that postponing anticoagulation is feasible and may lead
to improved clinical outcome and survival.
PMID- 24919801
TI - The interaction of mastery motivation, motor abilities, the appropriate task, and
the therapeutic environment.
PMID- 24919802
TI - Sleep timing and longitudinal weight gain in 4- and 5-year-old children.
AB - BACKGROUND AND OBJECTIVE: Short night-time sleep duration is a possible factor
contributing to childhood obesity. Our objective was to evaluate associations
between sleep timing (including bedtime and waketime) and weight gain in 4- to 5
year-old children. METHODS: We used cross-sectional and longitudinal regression
analyses of a large, nationally representative sample of children from the Early
Childhood Longitudinal Study-Birth Cohort. Data regarding the timing and duration
of weekday sleep were assessed via parent questionnaire. Short sleep duration,
late bedtime and early waketime were defined as those greater than one standard
deviation from the mean for the group. RESULTS: Using linear regression adjusted
for confounders, sleep duration at 4 and 5 years and bedtime at 5 years were
linked to body mass index (BMI) z-score (P < 0.001). Odds of obesity were higher
at 4 years for children sleeping <9.44 h nightly (adjusted odds ratio 1.35,
confidence interval 1.02-1.78, P < 0.05) and at 5 years for children going to bed
at 9:00 pm or later (1.49, 1.16-1.45, P < 0.01) or waking before 6:30 am (1.23,
1.01-15.51, P < 0.05). Assessed longitudinally, both short sleep duration (P <
0.05) and later bedtime at 4 years (P < 0.01) were associated with increases in
BMI z-score between 4 and 5 years. CONCLUSIONS: Children with shorter night-time
sleep durations and later bedtimes were more likely to be obese and to gain
weight over time. Pediatricians should encourage families to place children to
bed at earlier times to promote longer sleep duration as a potential means of
controlling weight gain.
PMID- 24919803
TI - Human impact on fish sensory systems in the long term: an evolutionary
perspective.
AB - Humans have severely impacted global ecosystems and this shows few signs of
abating. Many aspects of an animal's biology, including its sensory systems, may
be adversely influenced by pollutants and environmental noise. This review
focuses on whether and/or how various environmental disturbances disrupt the
sensory systems of fishes. As critical as it is to document and understand the
current effects of the human footprint, it is also important to consider how
organisms might adapt to these impacts over the long term. The present paper
outlines the sources of genetic and genomic variation upon which natural
selection can act and then reviews examples of known genetic contributions of
variation in fish chemosensory, visual and acoustico-lateralis systems.
PMID- 24919804
TI - B-lymphoid tyrosine kinase (Blk) is an oncogene and a potential target for
therapy with dasatinib in cutaneous T-cell lymphoma (CTCL).
PMID- 24919805
TI - Revisiting guidelines for integration of flow cytometry results in the WHO
classification of myelodysplastic syndromes-proposal from the
International/European LeukemiaNet Working Group for Flow Cytometry in MDS.
AB - Definite progress has been made in the exploration of myelodysplastic syndromes
(MDS) by flow cytometry (FCM) since the publication of the World Health
Organization 2008 classification of myeloid neoplasms. An international working
party initiated within the European LeukemiaNet and extended to include members
from Australia, Canada, Japan, Taiwan and the United States has, through several
workshops, developed and subsequently published consensus recommendations. The
latter deal with preanalytical precautions, and propose small and large panels,
which allow evaluating immunophenotypic anomalies and calculating myelodysplasia
scores. The current paper provides guidelines that strongly recommend the
integration of FCM data with other diagnostic tools in the diagnostic work-up of
MDS.
PMID- 24919806
TI - Bioinformatic analyses of CALR mutations in myeloproliferative neoplasms support
a role in signaling.
PMID- 24919808
TI - Predicting multiple myeloma disease activity by analyzing natural calcium
isotopic composition.
PMID- 24919809
TI - Interfaces of ionic liquids. Preface.
PMID- 24919807
TI - Cell-based strategies to manage leukemia relapse: efficacy and feasibility of
immunotherapy approaches.
AB - When treatment fails, the clinical outcome of acute leukemia patients is usually
very poor, particularly when failure occurs after transplantation. A second
allogeneic stem cell transplant could be envisaged as an effective and feasible
salvage option in younger patients having a late relapse and an available donor.
Unmanipulated or minimally manipulated donor T cells may also be effective in a
minority of patients but the main limit remains the induction of severe graft
versus-host disease. This clinical complication has brought about a huge research
effort that led to the development of leukemia-specific T-cell therapy aiming at
the direct recognition of leukemia-specific rather than minor histocompatibility
antigens. Despite a great scientific interest, the clinical feasibility of such
an approach has proven to be quite problematic. To overcome this limitation, more
research has moved toward the choice of targeting commonly expressed
hematopoietic specific antigens by the genetic modification of unselected T
cells. The best example of this is represented by the anti-CD19 chimeric antigen
receptor (CD19.CAR) T cells. As a possible alternative to the genetic
manipulation of unselected T cells, specific T-cell subpopulations with in vivo
favorable homing and long-term survival properties have been genetically modified
by CAR molecules. Finally, the use of naturally cytotoxic effector cells such as
natural killer and cytokine-induced killer cells has been proposed in several
clinical trials. The clinical development of these latter cells could also be
further expanded by additional genetic modifications using the CAR technology.
PMID- 24919810
TI - Aberrant mucosal lymphocyte number and subsets in the colon of post-infectious
irritable bowel syndrome patients.
AB - BACKGROUND: Irritable bowel syndrome (IBS) is characterized by chronic abdominal
symptoms such as pain, discomfort, and altered bowel habits. A subset of IBS
patients, denoted as post-infectious IBS (PI-IBS) patients, develop symptoms
after an enteric infection. Distinct abnormalities in the gut mucosa, including
mucosal inflammation, have been proposed to contribute to or be the cause of PI
IBS. This study investigated lymphocyte subsets in PI-IBS patients compared to
healthy controls. MATERIALS AND METHODS: Ten PI-IBS patients and nine healthy
controls participated. All PI-IBS patients met the Rome III diagnostic criteria
for IBS and reported sustained symptoms at least 1 year after an episode of acute
gastroenteritis. Intraepithelial lymphocytes and lamina propria lymphocytes
(LPLs), isolated from mucosal tissue samples, were stained and analyzed for a
comprehensive set of cell markers using flow cytometry. RESULTS: The number of
LPLs in PI-IBS was significantly increased compared to those in healthy controls
(p < 0.05). PI-IBS patients showed significantly increased proportions of
CD45RO(+) CD4(+) activated/memory T cells (p < 0.05) and double-positive CD4(+)
CD8(+) cells (p < 0.05), respectively, in the lamina propria. The number of
CD19(+) LPLs was decreased in PI-IBS patients compared to healthy controls (p <
0.001). CONCLUSION: This study presents new evidence that PI-IBS is associated
with a sustained aberrant mucosal immune response and support future studies of
anti-inflammatory or immune-modulating treatments in these patients.
PMID- 24919812
TI - Adsorbate-induced structural changes in 1-3 nm platinum nanoparticles.
AB - We investigated changes in the Pt-Pt bond distance, particle size, crystallinity,
and coordination of Pt nanoparticles as a function of particle size (1-3 nm) and
adsorbate (H2, CO) using synchrotron radiation pair distribution function (PDF)
and X-ray absorption spectroscopy (XAS) measurements. The ~1 nm Pt nanoparticles
showed a Pt-Pt bond distance contraction of ~1.4%. The adsorption of H2 and CO at
room temperature relaxed the Pt-Pt bond distance contraction to a value close to
that of bulk fcc Pt. The adsorption of H2 improved the crystallinity of the small
Pt nanoparticles. However, CO adsorption generated a more disordered fcc
structure for the 1-3 nm Pt nanoparticles compared to the H2 adsorption Pt
nanoparticles. In situ XANES measurements revealed that this disorder results
from the electron back-donation of the Pt nanoparticles to CO, leading to a
higher degree of rehybridization of the metal orbitals in the Pt-adsorbate
system.
PMID- 24919811
TI - MicroRNA-206 overexpression promotes apoptosis, induces cell cycle arrest and
inhibits the migration of human hepatocellular carcinoma HepG2 cells.
AB - MicroRNA-206 (miR-206) is known to regulate cell proliferation and migration and
is involved in various types of cancer. However, the role of miR-206 in human
hepatocellular carcinoma (HHC) has not been previously reported. In the present
study, the expression of Notch3 in HCC and adjacent non-neoplastic tissue was
immunohistochemically assessed on formalin-fixed, paraffin-embedded sections. miR
206 mimics were transiently transfected into HepG2 cells using LipofectamineTM
2000. Subsequently, we evaluated the role of miR-206 in cell proliferation,
apoptosis, cell cycle arrest and migration by MTS assay, Hoechst 33342 staining,
Annexin V-FITC/PI assay, flow cytometry and wound healing assay. Using
quantitative reverse transcription polymerase chain reaction (qRT-PCR) and
western blot analysis, we detected the expression of Notch3, Bax, Bcl-2, Hes1,
p57 and matrix metalloproteinase (MMP)-9 at the mRNA and protein level,
respectively. In addition, we measured the expression of miR-206 at the mRNA
level and that of caspase-3 at the protein level. After miR-206 was upregulated
in HepG2 cells, Notch3, Hes1, Bcl-2 and MMP-9 were downregulated both at the mRNA
and protein level, whereas p57 and Bax were upregulated. Cleaved caspase-3
protein expression was also markedly increased. Cell proliferation was
significantly attenuated and apoptosis was markedly increased. Furthermore, miR
206 overexpression induced cell cycle arrest and inhibited the migration of HepG2
cells. Taken together, our results uggest that miR-206 is a potential regulator
of apoptosis, the cell cycle and migration in HepG2 cells and that it has the
potential for use in the targeted therapy of HCC and is a novel tumor suppressor.
PMID- 24919813
TI - Randomized, controlled trial of rasagiline as an add-on to dopamine agonists in
Parkinson's disease.
AB - Dopamine agonists (DA) are often used as first-line monotherapy for the
symptomatic control of Parkinson's disease (PD). However, DA monotherapy
typically becomes inadequate within a few years, at which time the DA dosage must
be increased or other antiparkinsonian medications added. Adding a monoamine
oxidase-B (MAO-B) inhibitor to DA monotherapy might improve symptomatic control
while maintaining good safety and tolerability. We conducted an 18-week,
randomized, double-blind, placebo-controlled trial of rasagiline 1 mg/d as an add
on to DA therapy (ropinirole >= 6 mg/d or pramipexole >= 1.0 mg/d) in early PD
patients whose conditions were not adequately controlled on their current
treatment regimen. The primary efficacy variable was the change in total Unified
Parkinson Disease Rating Scale (UPDRS) score (sum of parts I, II, and III) from
baseline to week 18, comparing rasagiline and placebo groups. The modified intent
to-treat (ITT) population included 321 subjects whose mean +/- SD age was 62.6 +/
9.7, and duration of PD was 2.1 +/- 2.1 years. Results demonstrated a
significantly greater improvement in total UPDRS scores from baseline to week 18
in the rasagiline group compared with the placebo group (least squares [LS] mean
difference +/- SE, -2.4 +/- 0.95; 95% confidence interval [CI], -4.3, -0.5; P =
0.012). Mean improvement (LS mean +/- SE) was -3.6 +/- 0.68 in the rasagiline
group and -1.2 +/- 0.68 in the placebo group. Rasagiline was well tolerated, and
the most common adverse events (AEs; rasagiline vs. placebo) were dizziness (7.4%
vs. 6.1%), somnolence (6.8% vs. 6.7%), and headache (6.2% vs. 4.3%). Rasagiline 1
mg/d provided statistically significant improvement when added to dopamine
agonist therapy and was well tolerated.
PMID- 24919814
TI - Two novel alleles HLA-A*02:433 and HLA-A*02:434 identified in Saudi bone marrow
donors using sequence-based typing.
AB - In this report, we present two novel HLA-A alleles: HLA-A*02:433 and HLA
A*02:434. These alleles were identified by sequence-based typing method (SBT), in
two donors for the Saudi Bone Marrow Donor Registry (SBMDR). Allele A*02:433 is
identical to A*02:05:01G except for a G to A substitution at nucleotide position
449 in exon 2. This substitution results in glycine to serine substitution at
position 83. Whereas, allele A*02:434 is identical to A*02:01:01G except for a C
to A substitution at nucleotide position 245 in exon 2, which results in
phenylalanine to threonine substitution at position 15. The generation of both
alleles appears to be the result of nucleotide point mutation involving 02:01:01
and 02:05:01.
PMID- 24919815
TI - A reconstructed metastasis model to recapitulate the metastatic spread in vitro.
AB - Metastasis remains a leading cause of morbidity and mortality from solid tumors.
Lack of comprehensive systems to study the progression of metastasis contributes
to the low success of treatment. We developed a novel three-dimensional in vitro
reconstructed metastasis (rMet) model that incorporates extracellular matrix
(ECM) elements characteristic of the primary (breast, prostate, or lung) and
metastatic (bone marrow, BM) sites. A cytokine-rich liquid interphase separates
the primary and distant sites, further recapitulating circulation. Similar to
main events underlying the metastatic cascade, the rMet model fractionated human
tumor cell lines into sub-populations with distinct invasive and migratory
abilities: (i) a primary tumor-like fraction mainly consisting of non-migratory
spheroids; (ii) an invasive fraction that invaded through the primary tumor ECM,
but failed to acquire anchorage-independence and reach the BM; and (iii) a highly
migratory BM-colonizing population that invaded the primary ECM, survived in the
"circulation-like" media, and successfully invaded and proliferated within BM
ECM. BM-colonizing fractions successfully established metastatic bone lesions in
vivo, whereas the tumor-like spheroids failed to engraft the bones, showing the
ability of the rMet model to faithfully select for highly aggressive sub
populations with a propensity to colonize a metastatic site. By applying the rMet
model to study real-time ECM remodeling, we show that tumor cells secrete
collagenolytic enzymes for invading the primary site ECM but not for entering the
BM ECM, indicating possible differences in ECM remodeling mechanisms at primary
tumor versus metastatic sites.
PMID- 24919816
TI - iPLA2beta knockout mouse, a genetic model for progressive human motor disorders,
develops age-related neuropathology.
AB - Calcium-independent phospholipase A2 group VIa (iPLA2beta) preferentially
releases docosahexaenoic acid (DHA) from the sn-2 position of phospholipids.
Mutations of its gene, PLA2G6, are found in patients with several progressive
motor disorders, including Parkinson disease. At 4 months, PLA2G6 knockout mice
(iPLA2beta(-/-)) show minimal neuropathology but altered brain DHA metabolism. By
1 year, they develop motor disturbances, cerebellar neuronal loss, and striatal
alpha-synuclein accumulation. We hypothesized that older iPLA2beta(-/-) mice also
would exhibit inflammatory and other neuropathological changes. Real-time
polymerase chain reaction and Western blotting were performed on whole brain
homogenate from 15 to 20-month old male iPLA2beta(-/-) or wild-type (WT) mice.
These older iPLA2beta(-/-) mice compared with WT showed molecular evidence of
microglial (CD-11b, iNOS) and astrocytic (glial fibrillary acidic protein)
activation, disturbed expression of enzymes involved in arachidonic acid
metabolism, loss of neuroprotective brain derived neurotrophic factor, and
accumulation of cytokine TNF-alpha messenger ribonucleic acid, consistent with
neuroinflammatory pathology. There was no evidence of synaptic loss, of reduced
expression of dopamine active reuptake transporter, or of accumulation of the
Parkinson disease markers Parkin or Pink1. iPLA2gamma expression was unchanged.
iPLA2beta deficient mice show evidence of neuroinflammation and associated
neuropathology with motor dysfunction in later life. These pathological
biomarkers could be used to assess efficacy of dietary intervention, antioxidants
or other therapies on disease progression in this mouse model of progressive
human motor diseases associated with a PLA2G6 mutation.
PMID- 24919818
TI - High frequency of TTTY2-like gene-related deletions in patients with idiopathic
oligozoospermia and azoospermia.
AB - Genes located on Y chromosome and expressed in testis are likely to be involved
in spermatogenesis. TTTY2 is a Y-linked multicopy gene family of unknown function
that includes TTTY2L2A and TTTY2L12A at Yq11 and Yp11 loci respectively. Using
PCR amplification, we screened for TTTY2L2A- and TTTY2L12A-associated deletions,
in 94 Greek men with fertility problems. Patients were divided into three groups
as following: group A (n = 28) included men with idiopathic moderate
oligozoospermia, group B (n = 34) with idiopathic severe oligozoospermia and
azoospermia, and group C (n = 32) with oligo- and azoospermia of various known
etiologies. No deletions were detected in group C patients and 50 fertile
controls. However, two patients from group A had deletions in TTTY2L2A (7.1%) and
six in TTTY2L12A (21.4%), whereas from group B, four patients had deletions in
TTTY2L2A (11.8%) and 10 in TTTY2L12A (29.4%). In addition, five patients from
both groups A and B (8%) appeared to have deletions in both studied TTTY2 genes,
although these are located very far apart. These results indicate that the TTTY2
gene family may play a significant role in spermatogenesis and suggest a possible
mechanism of nonhomologous recombinational events that may cause genomic
instability and ultimately lead to male infertility.
PMID- 24919817
TI - Pretreatment by evodiamine is neuroprotective in cerebral ischemia: up-regulated
pAkt, pGSK3beta, down-regulated NF-kappaB expression, and ameliorated BBB
permeability.
AB - Inflammatory damage plays an important role in cerebral ischemic pathogenesis and
may represent a target for treatment. Evodiamine (Evo) has been proved to elicit
a variety of biological effects through its anti-inflammatory property in the
treatment of infectious disease, Alzheimer's disease and hypoxia-induced
inflammatory response. Whether this protective effect applies to cerebral
ischemic injury, we therefore investigated the potential neuroprotective role of
Evo and the underlying mechanisms. Male Institute of Cancer Research (ICR) mice
were subjected to permanent middle cerebral artery occlusion (pMCAO) and randomly
divided into five groups: Sham (sham-operated + 1% DMSO + 0.5% tween80), pMCAO
(pMCAO + 0.9% saline), Vehicle (pMCAO + 1% DMSO + 0.5% tween80), Evo-L (Vehicle +
Evo 50 mg/kg) and Evo-H (Vehicle + Evo 100 mg/kg) groups. Evo was administered
intragastrically twice daily for 3 days, and once again 30 min before mouse brain
ischemia was induced by pMCAO. Neurological deficit, brain water content and
infarct size were measured at 24 h after stroke. The expression of pAkt,
pGSK3beta, NF-kappaB and claudin-5 in ischemic cerebral cortex was analyzed by
western blot and qRT-PCR. Compared with Vehicle group, Evo significantly
ameliorated neurological deficit, brain water content and infarct size,
upregulated the expression of pAkt, pGSK3beta and claudin-5, and downregulated
the nuclear accumulation of NF-kappaB (P < 0.05). Evo protected the brain from
ischemic damage caused by pMCAO; this effect may be through upregulation of pAkt,
pGSK3beta and claudin-5, and downregulation of NF-kappaB expression.
PMID- 24919819
TI - Case report of a robotic-assisted laparoscopic repair of a giant incarcerated
recurrent inguinal hernia containing bladder and ureters.
AB - BACKGROUND: Robotic surgery is particularly useful in pelvic procedures, such as
prostatectomy and low anterior resection. The paper describes a robotic-assisted
repair of a giant bladder- and ureter-containing incarcerated inguinal hernia.
METHODS AND RESULTS: A 53-year-old man had previously undergone repair of a left
inguinal hernia. He presented to our clinic complaining of difficulty urinating
and a large bulge in his left scrotum. CT revealed a giant left scrotal hernia
containing the bladder and both ureters. The patient underwent robotic-assisted
laparoscopic repair. The bladder and both ureters were carefully dissected free
from the scrotum, while preserving the testicle and spermatic cord. A 6*4 inch
pre-peritoneal polyester composite mesh was then used to repair the defect.
CONCLUSION: Incarcerated, recurrent inguinal hernias are challenging repairs.
Robotic-assisted repair allows for fine dissection, and is an excellent
alternative to open surgery for a difficult inguinal hernia.
PMID- 24919820
TI - Ipragliflozin in combination with metformin for the treatment of Japanese
patients with type 2 diabetes: ILLUMINATE, a randomized, double-blind, placebo
controlled study.
AB - This multicenter, double-blind, placebo-controlled study examined the efficacy
and safety of ipragliflozin, a sodium-glucose co-transporter 2 inhibitor, in
combination with metformin in Japanese patients with type 2 diabetes mellitus
(T2DM). Patients were randomized in a 2 : 1 ratio to 50 mg ipragliflozin (n =
112) or placebo (n = 56) once daily for 24 weeks, followed by a 28-week open
label extension in which all patients received 50 or 100 mg ipragliflozin, while
continuing metformin. The primary outcome was the change in glycated haemoglobin
(HbA1c) from baseline to week 24. HbA1c decreased significantly in the
ipragliflozin group (-0.87%; adjusted mean difference from placebo: -1.30%; p <
0.001). The overall incidence of treatment-emergent adverse events was similar in
both groups, although pollakiuria and constipation were more common in the
ipragliflozin group; thus, ipragliflozin significantly improved glycaemic control
and reduced body weight without major safety issues in Japanese patients with
T2DM.
PMID- 24919821
TI - A stability indicating HPLC method for the determination of clobazam and its
basic degradation product characterization.
AB - BACKGROUND: Clobazam is used for the treatment of different types of seizure and
epilepsy. The present research is undertaken to study the systematic forced
degradation of clobazam and to identify its main degradation product under basic
conditions. METHODS: The degradation of clobazam was studied under different
conditions. Clobazam and its degradation products were separated using a Nova-Pak
C18 column and a mixture of KH2PO4 50 mM (pH 8.5) and acetonitrile (50:50, v/v)
as the mobile phase with UV detection at 230 nm. RESULTS: The within-day and
between-day precision values in the calibration range of 0.1-20 MUg/ml were
within 0.5-1.5%. Clobazam was relatively stable in solid from under exposure to
visible and UV light and also heat. The clobazam aqueous solution of clobazam was
more labile under exposure to visible and UV light. The bulk drug was
significantly degraded under exposure to 2 M HCl, 0.1 M NaOH or 3% H2O2. Using
the tablet powder, higher degradation rates were observed under different stress
conditions. The main degradation product of clobazam under basic condition was
subsequently characterized. CONCLUSION: The developed method could be used for
the determination of clobazam in the presence of its degradation products with
acceptable precision and accuracy. The applicability of the proposed method was
evaluated in commercial dosage forms analysis.
PMID- 24919822
TI - The use of antidepressant medication in Parkinson's disease patients is not
affected by the type of antiparkinson medication.
AB - Previous studies have suggested that dopamine agonists have an antidepressant
effect in Parkinson's disease (PD) patients. We examined whether fewer PD
patients used antidepressant medication, when they were first treated with a
dopamine agonist compared to other PD medications. PD patients diagnosed in
Denmark were identified, and the diagnosis was confirmed by a review of the
medical record. Antidepressant medication was assessed using the Danish National
Prescription Registry. We used Cox proportional hazards regression to model the
association between PD medications and time to antidepressant prescription. The
type of PD medication first prescribed did not affect the subsequent use of
antidepressants.
PMID- 24919823
TI - Sialorrhea in patients with Parkinson's disease: safety and administration of
botulinum neurotoxin.
AB - Sialorrhea may present as a troublesome symptom in patients suffering from
Parkinson's disease. Current options for treatment include anticholinergic drugs,
irradiation, surgery, oral-motor and behavioural therapies, and injection of
botulinum neurotoxin (BoNT) in the salivary glands. The aim of this study is to
evaluate the safety and administration of BoNT as a treatment for sialorrhea in
patients with Parkinson's disease (PD) based on a review of the studies conducted
so far in this field. A PubMed search was conducted using the major keywords
sialorrhea, botulinum neurotoxin, botulinum toxin and Parkinson's disease. The
literature search identified 12 articles, which were selected for further
analysis. Few adverse effects were described in the studies included in this
present review. Various treatment strategies, including different medication
dosages, were applied in the studies. BoNT treatment is safe for sialorrhea in
patients with PD. Positive effect is well documented, and there have been
relatively few reported adverse effects, which have been mild and transient.
Based on this review, a treatment algorithm is proposed. Ultrasound guidance may
not be necessary when injecting the parotid gland but may improve the effect and
safety of administration, especially when injecting the submandibular glands.
PMID- 24919824
TI - Quality of life and mild cognitive impairment in early Parkinson's disease: does
subtype matter?
AB - We evaluated the association between mild cognitive impairment (MCI) subtypes and
quality of life (QoL) in 219 newly diagnosed Parkinson's disease (PD) patients
without dementia. Participants completed neuropsychological tests of attention,
executive function, visuospatial function, memory, and language, and reported QoL
using the Parkinson's Disease Questionnaire. Impairments were most common in
executive function, memory and attention. MCI subtypes were classified according
to Movement Disorder Society Task Force criteria. More severe cognitive
impairment was associated with poorer quality of life (p = 0.01), but subtype of
impairment was not (p > 0.10), suggesting that the nature of cognitive impairment
is less significant than its severity.
PMID- 24919825
TI - Pocket echocardiography system for detection of patent ductus arteriosus in
neonates.
AB - BACKGROUND: Neonates are commonly referred for a cardiology consult and an
echocardiogram to rule out patent ductus arteriosus (PDA). OBJECTIVES: Evaluate
the usefulness of current pocket echocardiography system (PES) in PDA detection
compared to traditional full-featured echo system (FFES). HYPOTHESIS: The
determination of the presence of a PDA in neonates can be done using PES.
METHODS: Fifty newborns with orders for echo evaluation were included in this
study. A 5-minute PES scan was performed first. Then a full echo study was
performed on a traditional FFES. Images were evaluated by three pediatric
cardiologists blinded to the patients and the FFES results. RESULTS: The overall
accuracy of reviewers rating PES versus FFES to rule in PDA had low false
positive rates 9.5% (95% CI: 1.2-30%), 11.8% (95% CI: 1.5-36%), 11.1% (95% CI:
1.4-35%) and the false-negative rate to rule out PDA was 0% (95% CI: 0-18%), 5.5%
(95% CI: 0.14-27%), 0% (95% CI: 0-26%) for each reviewer 1, 2, and 3,
respectively. Upon further analysis, PES scan was shown not to be accurate on
infants with body weight below 1000 g with encouraging results for infants above
1000 g and those >37 weeks gestational age. CONCLUSIONS: Our results suggest that
the current PES could potentially be used in larger and near-term infants but has
less than acceptable performance in low birth weight and premature infants in
determining who should undergo a FFES study for persistent PDA diagnosis.
Improvements in the technology along with developing limited training protocols
for noncardiology personnel should make it possible for PES scan to be used as a
screening tool and as an extension of physical examination especially in limited
resource settings.
PMID- 24919826
TI - The emerging science of quantitative imaging biomarkers terminology and
definitions for scientific studies and regulatory submissions.
AB - The development and implementation of quantitative imaging biomarkers has been
hampered by the inconsistent and often incorrect use of terminology related to
these markers. Sponsored by the Radiological Society of North America, an
interdisciplinary group of radiologists, statisticians, physicists, and other
researchers worked to develop a comprehensive terminology to serve as a
foundation for quantitative imaging biomarker claims. Where possible, this
working group adapted existing definitions derived from national or international
standards bodies rather than invent new definitions for these terms. This
terminology also serves as a foundation for the design of studies that evaluate
the technical performance of quantitative imaging biomarkers and for studies of
algorithms that generate the quantitative imaging biomarkers from clinical scans.
This paper provides examples of research studies and quantitative imaging
biomarker claims that use terminology consistent with these definitions as well
as examples of the rampant confusion in this emerging field. We provide
recommendations for appropriate use of quantitative imaging biomarker
terminological concepts. It is hoped that this document will assist researchers
and regulatory reviewers who examine quantitative imaging biomarkers and will
also inform regulatory guidance. More consistent and correct use of terminology
could advance regulatory science, improve clinical research, and provide better
care for patients who undergo imaging studies.
PMID- 24919827
TI - Closed-form fiducial confidence intervals for some functions of independent
binomial parameters with comparisons.
AB - Approximate closed-form confidence intervals (CIs) for estimating the difference,
relative risk, odds ratio, and linear combination of proportions are proposed.
These CIs are developed using the fiducial approach and the modified normal-based
approximation to the percentiles of a linear combination of independent random
variables. These confidence intervals are easy to calculate as the computation
requires only the percentiles of beta distributions. The proposed confidence
intervals are compared with the popular score confidence intervals with respect
to coverage probabilities and expected widths. Comparison studies indicate that
the proposed confidence intervals are comparable with the corresponding score
confidence intervals, and better in some cases, for all the problems considered.
The methods are illustrated using several examples.
PMID- 24919828
TI - Statistical issues in the comparison of quantitative imaging biomarker algorithms
using pulmonary nodule volume as an example.
AB - Quantitative imaging biomarkers are being used increasingly in medicine to
diagnose and monitor patients' disease. The computer algorithms that measure
quantitative imaging biomarkers have different technical performance
characteristics. In this paper we illustrate the appropriate statistical methods
for assessing and comparing the bias, precision, and agreement of computer
algorithms. We use data from three studies of pulmonary nodules. The first study
is a small phantom study used to illustrate metrics for assessing repeatability.
The second study is a large phantom study allowing assessment of four algorithms'
bias and reproducibility for measuring tumor volume and the change in tumor
volume. The third study is a small clinical study of patients whose tumors were
measured on two occasions. This study allows a direct assessment of six
algorithms' performance for measuring tumor change. With these three examples we
compare and contrast study designs and performance metrics, and we illustrate the
advantages and limitations of various common statistical methods for quantitative
imaging biomarker studies.
PMID- 24919830
TI - A multiphase non-linear mixed effects model: An application to spirometry after
lung transplantation.
AB - In medical sciences, we often encounter longitudinal temporal relationships that
are non-linear in nature. The influence of risk factors may also change across
longitudinal follow-up. A system of multiphase non-linear mixed effects model is
presented to model temporal patterns of longitudinal continuous measurements,
with temporal decomposition to identify the phases and risk factors within each
phase. Application of this model is illustrated using spirometry data after lung
transplantation using readily available statistical software. This application
illustrates the usefulness of our flexible model when dealing with complex non
linear patterns and time-varying coefficients.
PMID- 24919829
TI - Quantitative imaging biomarkers: a review of statistical methods for computer
algorithm comparisons.
AB - Quantitative biomarkers from medical images are becoming important tools for
clinical diagnosis, staging, monitoring, treatment planning, and development of
new therapies. While there is a rich history of the development of quantitative
imaging biomarker (QIB) techniques, little attention has been paid to the
validation and comparison of the computer algorithms that implement the QIB
measurements. In this paper we provide a framework for QIB algorithm comparisons.
We first review and compare various study designs, including designs with the
true value (e.g. phantoms, digital reference images, and zero-change studies),
designs with a reference standard (e.g. studies testing equivalence with a
reference standard), and designs without a reference standard (e.g. agreement
studies and studies of algorithm precision). The statistical methods for
comparing QIB algorithms are then presented for various study types using both
aggregate and disaggregate approaches. We propose a series of steps for
establishing the performance of a QIB algorithm, identify limitations in the
current statistical literature, and suggest future directions for research.
PMID- 24919831
TI - Quantitative imaging biomarkers: a review of statistical methods for technical
performance assessment.
AB - Technological developments and greater rigor in the quantitative measurement of
biological features in medical images have given rise to an increased interest in
using quantitative imaging biomarkers to measure changes in these features.
Critical to the performance of a quantitative imaging biomarker in preclinical or
clinical settings are three primary metrology areas of interest: measurement
linearity and bias, repeatability, and the ability to consistently reproduce
equivalent results when conditions change, as would be expected in any clinical
trial. Unfortunately, performance studies to date differ greatly in designs,
analysis method, and metrics used to assess a quantitative imaging biomarker for
clinical use. It is therefore difficult or not possible to integrate results from
different studies or to use reported results to design studies. The Radiological
Society of North America and the Quantitative Imaging Biomarker Alliance with
technical, radiological, and statistical experts developed a set of technical
performance analysis methods, metrics, and study designs that provide
terminology, metrics, and methods consistent with widely accepted metrological
standards. This document provides a consistent framework for the conduct and
evaluation of quantitative imaging biomarker performance studies so that results
from multiple studies can be compared, contrasted, or combined.
PMID- 24919832
TI - The potential for increased power from combining P-values testing the same
hypothesis.
AB - The conventional approach to hypothesis testing for formal inference is to
prespecify a single test statistic thought to be optimal. However, we usually
have more than one test statistic in mind for testing the null hypothesis of no
treatment effect but we do not know which one is the most powerful. Rather than
relying on a single p-value, combining p-values from prespecified multiple test
statistics can be used for inference. Combining functions include Fisher's
combination test and the minimum p-value. Using randomization-based tests, the
increase in power can be remarkable when compared with a single test and Simes's
method. The versatility of the method is that it also applies when the number of
covariates exceeds the number of observations. The increase in power is large
enough to prefer combined p-values over a single p-value. The limitation is that
the method does not provide an unbiased estimator of the treatment effect and
does not apply to situations when the model includes treatment by covariate
interaction.
PMID- 24919833
TI - We need to talk about depot: effect of Community Treatment Order on depot
antipsychotic medication compliance.
AB - OBJECTIVE: The current study compares the compliance rates of patients on depot
who were on Community Treatment Orders with those who were not on such Order with
a view to objectively quantify the effect of Community Treatment Orders on depot
antipsychotics medication compliance. METHODS: "Day difference" measurements
between the scheduled depot data and the administered date were collected for
both voluntary and involuntary patients receiving depot medication at the same
community clinic over a 6-month period. RESULTS: The results demonstrated a trend
for greater compliance to depot medications by those not on a Community Treatment
Order compared with those who were, but there was no statistically significant
difference between the two groups. CONCLUSIONS: The current study highlighted
that while Community Treatment Order may be a reasonable short-term tool to
encourage patients' compliance at an early treatment stage, ongoing effort should
be put into improving patients' attitude towards depot medications to ensure a
better long-term outcome for individuals with schizophrenia.
PMID- 24919834
TI - Few older people in New Zealand who commit suicide receive specialist
psychogeriatric services.
AB - OBJECTIVE: Suicide in older people is a growing public health concern in many
parts of the world. The literature on this issue is lacking in New Zealand. The
aim of this study is to ascertain whether this group is accessing specialist
psychogeriatric services. A retrospective case series study of completed suicides
in older people (>=65 years) during a three-year period from January 2010 to
December 2012 was performed. METHOD: An online survey detailing demographic and
clinical information was completed by psychiatrists in 15 of the 20 District
Health Boards in New Zealand. RESULTS: Only about 15% of older people who
committed suicide were accessing specialist psychogeriatric services and the
group with the highest suicide rate (men>=85 years) did not feature in specialist
services. Depression (61%) was the most common diagnosis and nearly half (35%)
had had contact with specialist services within three days prior to the suicide.
Over half (52%) had a history of past suicide attempt(s). CONCLUSIONS: Older
people who complete suicide are infrequently accessing specialist services. In
those that do, there are questions to be answered regarding suicide prediction
and prevention for this high-risk group of vulnerable individuals. More research
is required targeting those not accessing specialist services, in particular the
high risk group of older men. The role of general practitioner, community care,
the assessment and management of depression and whether there is any access issue
to specialist psychogeriatric services require elucidation.
PMID- 24919835
TI - The erosion of psychiatrist-patient confidentiality by subpoenas.
AB - OBJECTIVES: We explore the reasons for the prolific use of subpoenas to gain
access to psychiatric records in Australia. We examine the applicable legal
principles and practices at the New South Wales (NSW) and Commonwealth levels,
aiming to develop recommendations for Australian Governments to curb the
inappropriate and harmful use of subpoenas. CONCLUSION: Unfettered legal access
to psychiatric records is inconsistent with professional ethical guidelines and
risks undermining the provision of quality psychiatric care to the community. The
existing legal provisions are failing to protect psychiatrist-patient
confidentiality. In NSW, the onus is placed on the psychiatrist and/or patient to
make a complicated application to the court, to direct that a subpoena be set
aside on the grounds of "Professional Confidential Relationship Privilege." An
absence of Commonwealth legislation to protect psychiatrist-patient
confidentiality is used by some litigants in family law proceedings to
disadvantage patients by stigmatising them, because they have consulted
psychiatrists. We recommend that uniform legislation be implemented, giving
effect to a primary rule of privilege with exceptions.
PMID- 24919836
TI - Spatial elucidation of spinal cord lipid- and metabolite- regulations in
amyotrophic lateral sclerosis.
AB - Amyotrophic lateral sclerosis (ALS) is a devastating, rapidly progressing disease
of the central nervous system that is characterized by motor neuron degeneration
in the brain stem and the spinal cord. We employed time of flight secondary ion
mass spectrometry (ToF-SIMS) to profile spatial lipid- and metabolite-
regulations in post mortem human spinal cord tissue from ALS patients to
investigate chemical markers of ALS pathogenesis. ToF-SIMS scans and multivariate
analysis of image and spectral data were performed on thoracic human spinal cord
sections. Multivariate statistics of the image data allowed delineation of
anatomical regions of interest based on their chemical identity. Spectral data
extracted from these regions were compared using two different approaches for
multivariate statistics, for investigating ALS related lipid and metabolite
changes. The results show a significant decrease for cholesterol, triglycerides,
and vitamin E in the ventral horn of ALS samples, which is presumably a
consequence of motor neuron degeneration. Conversely, the biogenic mediator lipid
lysophosphatidylcholine and its fragments were increased in ALS ventral spinal
cord, pointing towards neuroinflammatory mechanisms associated with neuronal cell
death. ToF-SIMS imaging is a promising approach for chemical histology and
pathology for investigating the subcellular mechanisms underlying motor neuron
degeneration in amyotrophic lateral sclerosis.
PMID- 24919837
TI - Population pharmacokinetic and pharmacodynamic analysis of bosutinib.
AB - Bosutinib is an orally active, competitive inhibitor of Src/Abl tyrosine kinases.
A population pharmacokinetic model was developed using data pooled from 3 studies
of patients (n = 870) with solid tumors or Philadelphia chromosome-positive
leukemia. Patients (aged 18-91 y, weighing 35-221 kg) who received bosutinib 50
to 600 mg orally with food each contributed 6-9 pharmacokinetic samples. The
final pharmacokinetic model was a linear two-compartment model with first-order
absorption, an absorption lag-time, and dose-dependent bioavailability. Oral
absorption was relatively slow, with a half-time of 1.14 h and a lag-time of 0.87
h; time to peak concentration was 5-6 h. Apparent clearance was 120 L/h. The
apparent volume of the peripheral compartment was large with a slow turnover;
alpha and beta half-lives were 19 h and 290 days, respectively. All parameters
were estimated with acceptable precision (standard error <30%). No tested
covariate (protocol, baseline demographic/clinical characteristics, or laboratory
results) explained the high inter-individual variability of bosutinib
pharmacokinetics. Therefore, adjusting bosutinib dose for body size (weight,
surface area) would not provide benefit over fixed dosing. Using this exposure
model in pharmacodynamic assessment of one study, adverse event incidence was
shown to be similar in overall and bosutinib-responsive populations.
PMID- 24919838
TI - Interventional management of acute ischemic stroke: a systematic review.
AB - OPINION STATEMENT: Historically, acute ischemic stroke (AIS) trials defined
syndromes according to acute clinical presentation and post-ictus parenchymal
imaging. With improvements in real-time arterial imaging, modern AIS treatment
demands a structural approach based upon the level of cerebrovascular occlusion.
The poor concordance of presenting National Institute of Health Stroke Scale
(NIHSS) with vessel occlusion in recent trials bespeaks the need for an anatomic
perspective. Specifically, patients with large-vessel occlusion (LVO) represent a
distinct entity with a poorer prognosis than general AIS patients. Ongoing
clinical trials and therapeutic strategies must recognize the varied natural
history of AIS patients. Endovascular therapy offers promise in patients with the
most severe strokes.
PMID- 24919839
TI - In Memoriam: Olga Hudlicka (11.07.26-03.05.14).
AB - Dr Olga Hudlicka, Professor Emeritus in the Department of Physiology, University
of Birmingham Medical School, died suddenly on 3rd May not long after a fall. She
was one of the best-known vascular physiologists of the last century,
investigating control of blood flow and regulation of angiogenesis in skeletal
and cardiac muscle. This article is protected by copyright. All rights reserved.
PMID- 24919840
TI - Complete coverage of phantom limb and stump pain with constant current SCS
system: a case report and review of the literature.
AB - BACKGROUND: Spinal cord stimulator (SCS) technology has advanced over the past
several years. However, our literature review revealed a lack of well-documented
cases of successful treatment of phantom limb pain with percutaneous revision of
previously placed systems. CASE REPORT: We present the case of a patient who
suffered from debilitating bilateral lower extremity phantom limb pain despite
having a SCS with a constant voltage system. We used fluoroscopy to successfully
guide a percutaneous octapolar paddle lead to the right of the existing surgical
paddle lead and a cylindrical quadrapolar lead in between. Finally, the older
paddle lead was connected to an extension to make it compatible with the updated
constant current system. The revised constant current SCS system provided
bilateral coverage of the patient's pain, and at 1-year postoperative, the
patient reported he had sustained coverage from his bilateral phantom limb pain.
Our patient had complete coverage of his phantom limb pain after his previously
placed SCS was changed from a constant voltage to a constant current system, and
percutaneous leads were connected to his system. Adding percutaneous leads or
switching generator types may benefit patients whose pain patterns have expanded
since original SCS system placement. This case reports the complete coverage of
phantom limb pain with a change from a constant voltage to a constant current SCS
system and the addition of percutaneous leads to an existing SCS system.
PMID- 24919841
TI - Effects of red pitaya juice supplementation on cardiovascular and hepatic changes
in high-carbohydrate, high-fat diet-induced metabolic syndrome rats.
AB - BACKGROUND: The fruit of Hylocereus polyrhizus, also known as red pitaya, and
buah naga in Malay, is one of the tropical fruits of the cactus family,
Cactaceae. Red pitaya has been shown to protect aorta from oxidative damage and
improve lipid profiles in hypercholesterolemic rats probably due to
phytochemicals content including phenolics and flavonoids. The aim of this study
was to investigate the changes in cardiac stiffness, hepatic and renal function
in high-carbohydrate, high-fat diet-induced obese rats following supplementation
of red pitaya juice. METHODS: Total 48 male Wistar rats were divided into 4
groups: corn-starch group (CS), corn-starch+red pitaya juice group (CRP), high
carbohydrate, high fat group (HCHF) and high-carbohydrate, high fat+red pitaya
juice (HRP). The intervention with 5% red pitaya juice was started for 8 weeks
after 8 weeks initiation of the diet. Heart function was determined ex vivo with
Langendorff hearts while plasma liver enzymes, uric acid and urea were measured
using commercial kits. Total fat mass was determined with Dual-energy X-ray
absorptiometry (DXA) scan. Glucose uptake was measured with Oral Glucose
Tolerance Test (OGTT). Liver and cardiac structures were defined by histology.
RESULTS: Supplementation of red pitaya juice for 8 weeks increased energy intake
and abdominal circumference but no change in body fat and lean mass respectively.
Also, there were a trend of uric acid and glucose normalization for HRP as
compared to H-fed rats. Red pitaya juice treatment reduced ALP and ALT but caused
significant increment in AST. Diastolic stiffness of the heart was reduced after
supplementation of red pitaya juice in corn starch fed rats. However, the
reduction was not significant in HRP rats in comparison with H rats. CONCLUSION:
The present study concluded that red pitaya juice may serve as a complimentary
therapy for attenuating some signs of metabolic syndrome.
PMID- 24919842
TI - Reconceptualizing practice with multilingual children with speech sound
disorders: people, practicalities and policy.
AB - BACKGROUND: The speech and language therapy profession is required to provide
services to increasingly multilingual caseloads. Much international research has
focused on the challenges of speech and language therapists' (SLTs) practice with
multilingual children. AIMS: To draw on the experience and knowledge of experts
in the field to: (1) identify aspirations for practice, (2) propose
recommendations for working effectively with multilingual children with speech
sound disorders, and (3) reconceptualize understandings of and approaches to
practice. METHODS & PROCEDURES: Fourteen members of the International Expert
Panel on Multilingual Children's Speech met in Cork, Ireland, to discuss SLTs'
practice with multilingual children with speech sound disorders. Panel members
had worked in 18 countries and spoke nine languages. Transcripts of the 6-h
discussion were analysed using Cultural-Historical Activity Theory (CHAT) as a
heuristic framework to make visible the reality and complexities of SLTs'
practice with multilingual children. OUTCOMES & RESULTS: Aspirations and
recommendations for reconceptualizing approaches to practice with multilingual
children with speech sound disorders included: (1) increased training for working
with multilingual children, their families, and interpreters, (2) increased
training for transcribing speech in many languages, (3) increased time and
resources for SLTs working with multilingual children and (4) use of the
International Classification of Functioning, Disability and Health (ICF-CY).
CONCLUSIONS & IMPLICATIONS: The reality and complexities of practice identified
in this paper highlight that it is not possible to formulate and implement one
'gold standard' method of assessment and intervention for all multilingual
children with speech sound disorders. It is possible, however, to underpin
practice with a framework that ensures comprehensive assessment, accurate
diagnosis and effective intervention. This paper proposes that by working towards
the aspirations of the Expert Panel, SLTs can be empowered to facilitate
appropriate services for multilingual children regardless of the context in which
they live and the languages they speak.
PMID- 24919843
TI - Construction and evaluation of a novel humanized HER2-specific chimeric receptor.
AB - INTRODUCTION: The human epidermal growth factor receptor 2 (HER2) represents one
of the most studied tumor-associated antigens (TAAs) for cancer immunotherapy.
The monoclonal antibody (mAb) trastuzumab has improved the outcomes of patients
with HER2+ breast cancer. However, a large number of HER2+ tumors are not
responsive to, or become resistant to, trastuzumab-based therapy, and thus more
effective therapies targeting HER2 are needed. METHODS: HER2-specific T cells
were generated by the transfer of genes that encode chimeric antigen receptor
(CAR). Using a multistep overlap extension PCR method, we constructed a novel,
humanized HER2 CAR-containing, chA21 single-chain variable fragment (scFv) region
of antigen-specific mAb and T-cell intracellular signaling chains made up of CD28
and CD3zeta. An interferon gamma and interleukin 2 enzyme-linked immunosorbent
assay and a chromium-51 release assay were used to evaluate the antitumor immune
response of CAR T cells in coculture with tumor cells. Furthermore, SKBR3 tumor
bearing nonobese diabetic/severe combined immunodeficiency (NOD/SCID) mice were
treated with HER2 CAR T cells to evaluate antitumor activity. Human CD3+ T cell
accumulation in tumor xenograft was detected by immunohistochemistry. RESULTS:
chA21-28z CAR was successfully constructed, and both CD4+ and CD8+ T cells were
transduced. The expanded HER2 CAR T cells expressed a central memory phenotype
and specifically reacted against HER2+ tumor cell lines. Furthermore, the SKBR3
tumor xenograft model revealed that HER2 CAR T cells significantly inhibited
tumor growth in vivo. Immunohistochemical analysis showed robust accumulation of
human CD3+ T cells in regressing SKBR3 lesions. CONCLUSIONS: The results of this
study show that novel chA21 scFv-based, HER2-specific CAR T cells not only
recognized and killed HER2+ breast and ovarian cancer cells ex vivo but also
induced regression of experimental breast cancer in vivo. Our data support
further exploration of the HER2 CAR T-cell therapy for HER2-expressing cancers.
PMID- 24919845
TI - First case of synophthalmia and albinism in the Pacific angel shark Squatina
californica.
AB - The first record in Mexican waters of albinism and synophthalmia (partial
cyclopia) in the Pacific angel shark, Squatina californica is presented. Albinism
is not lethal, but synophthalmia may cause the death of the individual
immediately after birth.
PMID- 24919844
TI - Streptococcus agalactiae in Brazil: serotype distribution, virulence determinants
and antimicrobial susceptibility.
AB - BACKGROUND: Group B Streptococcus (GBS) remains a major cause of neonatal sepsis
and is also associated with invasive and noninvasive infections in pregnant women
and non-pregnant adults, elderly and patients with underlying medical conditions.
Ten capsular serotypes have been recognized, and determination of their
distribution within a specific population or geographical region is important as
they are major targets for the development of vaccine strategies. We have
evaluated the characteristics of GBS isolates recovered from individuals with
infections or colonization by this microorganism, living in different geographic
regions of Brazil. METHODS: A total of 434 isolates were identified and serotyped
by conventional phenotypic tests. The determination of antimicrobial
susceptibility was performed by the disk diffusion method. Genes associated with
resistance to erythromycin (ermA, ermB, mefA) and tetracycline (tetK, tetL, tetM,
tetO) as well as virulence-associated genes (bac, bca, lmb, scpB) were
investigated using PCR. Pulsed-field gel electrophoresis (PFGE) was used to
examine the genetic diversity of macrolide-resistant and of a number of selected
macrolide-susceptible isolates. RESULTS: Overall, serotypes Ia (27.6%), II
(19.1%), Ib (18.7%) and V (13.6%) were the most predominant, followed by
serotypes IV (8.1%) and III (6.7%). All the isolates were susceptible to the beta
lactam antimicrobials tested and 97% were resistant to tetracycline. Resistance
to erythromycin and clindamycin were found in 4.1% and 3% of the isolates,
respectively. Among the resistance genes investigated, tetM (99.3%) and tetO
(1.8%) were detected among tetracycline-resistant isolates and ermA (39%) and
ermB (27.6%) were found among macrolide-resistant isolates. The lmb and scpB
virulence genes were detected in all isolates, while bac and bca were detected in
57 (13.1%) and 237 (54.6%) isolates, respectively. Molecular typing by PFGE
showed that resistance to erythromycin was associated with a variety of clones.
CONCLUSION: These findings indicate that GBS isolates circulating in Brazil have
a variety of phenotypic and genotypic characteristics, and suggest that macrolide
resistant isolates may arise by both clonal spread and independent acquisition of
resistance genes.
PMID- 24919846
TI - Expression of latent membrane proteins in Epstein-Barr virus-transformed
lymphocytes in vitro.
AB - Infection with Epstein-Barr virus (EBV) induces activation and proliferation of B
lymphocytes. Detection of latent membrane protein (LMP)-1 is used to identify the
proliferative ability of B cells. However, changes in the expression levels of
the three LMPs during EBV-induced B lymphocyte transformation, have not yet been
reported. In the present study, the expression levels of LMP-1, LMP-2A and LMP-2B
were compared between EBV-transformed B lymphocytes and paired normal
lymphocytes. Seven lymphoblast cell lines were established by EBV infection of
normal human lymphocytes in vitro. The expression levels of LMP genes and LMP-1
protein were determined using quantitative (q)PCR and western blotting in
lymphoblasts and normal lymphocytes, respectively. The expression of LMP1, LMP-2A
and LMP-2B genes was significantly upregulated in EBV-induced lymphoblasts
compared with the normal lymphocytes. The LMP-1 protein level was also
significantly increased in EBV-transformed B lymphocytes. Expression of LMP1, LMP
2A and LMP-2B genes was significantly upregulated in EBV-induced lymphoblasts,
suggesting LMP genes are important in the transformation of human lymphocytes.
PMID- 24919847
TI - Director alignment relative to the temperature gradient in nematic liquid
crystals studied by molecular dynamics simulation.
AB - The director alignment relative to the temperature gradient in nematic liquid
crystal model systems consisting of soft oblate or prolate ellipsoids of
revolution has been studied by molecular dynamics simulation. The temperature
gradient is maintained by thermostating different parts of the system at
different temperatures by using a Gaussian thermostat. It is found that the
director of the prolate ellipsoids aligns perpendicularly to the temperature
gradient whereas the director of the oblate ellipsoids aligns parallel to this
gradient. When the director is oriented in between the parallel and perpendicular
orientations a torque is exerted forcing the director to the parallel or
perpendicular orientation. Because of symmetry restrictions there is no linear
dependence of the torque being a pseudovector on the temperature gradient being a
polar vector in an axially symmetric system such as a nematic liquid crystal. The
lowest possible order of this dependence is quadratic. Thus the torque is very
weak when the temperature gradient is small, which may explain why this
orientation phenomenon is hard to observe experimentally. In both cases the
director attains the orientation that minimises the irreversible entropy
production.
PMID- 24919848
TI - The role of hydroxo-bridged dinuclear species and the influence of "innocent"
buffers in the reactivity of cis-[Co(III)(cyclen)(H2O)2]3+ and
[Co(III)(tren)(H2O)2]3+ complexes with biologically relevant ligands at
physiological pH.
AB - In view of the relevance of the reactivity of inert tetraamine Co(III) complexes
having two substitutionally active cis positions capable of interact with
biologically relevant ligands, the study of the reaction of cis
[Co(cyclen)(H2O)2](3+) and [Co(tren)(H2O)2](3+) with chlorides, inorganic
phosphate and 5'-CMP (5'-cytidinemonophosphate) has been pursued at physiological
pH. The results indicate that, in addition to the actuation of the expected
labilising conjugate-base mechanism, the formation of mono and inert bis hydroxo
bridged species is relevant for understanding their speciation and reactivity.
The reactivity pattern observed also indicates the key role played by the
"innocent" buffers frequently used in most in vitro studies, which can make the
results unreliable in many cases. The differences between the reactivity of
inorganic and biologically relevant phosphates has also been found to be
remarkable, with outer-sphere hydrogen bonding interactions being a dominant
factor for the process. While for the inorganic phosphate substitution process
the formation of MU-eta(2)-OPO2O represents the termination of the reactivity
monitored, for 5'-CMP only the formation of eta(1)-OPO3 species is observed,
which evolve with time to the final dead-end bis hydroxo-bridged complexes. The
promoted hydrolysis of the 5'-CMP phosphate has not been observed in any of the
processes studied.
PMID- 24919850
TI - Qualifying stem cell sources: how to overcome potential pitfalls in regenerative
medicine?
AB - Regenerative medicine aims to replace lost cells and to restore damaged tissues
and organs by either tissue-engineering approaches or stimulation of endogenous
processes. Due to their biological properties, stem cells promise to be an
effective source for such strategies. Especially adult multipotent stem cells
(ASCs) are believed to be applicable in a broad range of therapies for the
treatment of multifactorial diseases or age-related degeneration, although the
molecular and cellular mechanisms underlying their regenerative function are
often hardly described. Moreover, in some demanding clinical situations their
efficiency remains limited. Thus, a basic understanding of ASCs regenerative
function, their complex interplay with their microenvironment and how
compromising conditions interfere with their efficiency is mandatory for any
regenerative strategy. Concerning this matter, the impact of patient-specific
constraints are often underestimated in research projects and their influence on
the study results disregarded. Thus, researchers are urgently depending on well
characterized tissue samples or cells that are connected with corresponding donor
information, such as secondary diseases, medication. Here, we outline principle
pitfalls during experimental studies using human samples, and describe a
potential strategy to overcome these challenges by establishing a core unit for
cell and tissue harvesting. This facility aims to bridge the gap between clinic
and research laboratories by the provision of a direct link to the clinical
operating theatres. Such a strategy clearly supports basic and clinical research
in the conduct of their studies and supplies highly characterized human samples
together with the corresponding donor information.
PMID- 24919851
TI - Probiotics and broiler growth performance: a meta-analysis of randomised
controlled trials.
AB - 1. The aim of this meta-analysis was to investigate the effects of probiotics on
the growth performance of broilers. PubMed, Scopus and Scholar Google databases
were searched in all languages from 1980 to 2012. The studies in the meta
analysis were only selected if they were randomised and controlled experiments
using broilers without apparent disease and the results were published in peer
reviewed journals. 2. A total of 48 and 46 studies were included to assess
probiotic effects on body weight gain (BWG) and feed efficiency (FE),
respectively. Probiotics increased BWG compared to controls (SMD = 0.661, 95% CI
0.499 to 0.822) and improved FE (SMD = - 0.281, 95% CI -0.404 to -0.157) in the
pooled standardised mean difference random effect model, considering the source
of heterogeneity and publication biases. However, there are evidences of
publication bias and heterogeneity, so the results of this meta-analysis should
be considered with caution. Applying the Duval and Tweedie's trim-and-fill
methods, the adjusted value for BWG was 0.0594 (95% CI -0.122 to 0.242), and the
adjusted value for FE did not show any modifications. 3. The meta-analysis showed
that application of probiotics via water resulted in greater BGW and FE than
administration through the feed. The effect was not related to the use of mono
strain or multi-strain probiotics, although it may depend on the strain used. The
number of broilers and the duration of the experiments had an impact on the
outcomes. 4. Additional studies should be conducted with the aim to identify the
covariates which can explain the differences in the estimated effect sizes.
PMID- 24919852
TI - Microstructure of colloidal dispersions in the ionic liquid ethylammonium
nitrate: influence of the nature of the nanoparticles' counterion.
AB - In order to better identify the key parameters governing colloidal stability in
ionic liquids we probe the influence of the nature of the initial counterion of
citrate-coated maghemite nanoparticles (NP), with Na(+), Li(+) and ethylammonium
(EA(+)) on their dispersions in ethylammonium nitrate (EAN). Chemical analysis
shows that sodium and lithium counterions remain at the nanoparticle surface
after their transfer from water to EAN, despite their low concentration compared
with EA(+). Macroscopically, all suspensions are stable over the range of volume
fractions PhiNP tested (~ 1% to 8%). A microstructural study coupling small angle
scattering and magneto-optic birefringence measurements shows that nanoparticles
are perfectly dispersed with sodium counterions and interact through weak
repulsions. Conversely, small clusters of a few nanoparticles are formed with
lithium counterions, with the aggregation number increasing with PhiNP. However,
such clusters are fragile; evidence that the attractions responsible for
aggregation are of weak amplitude. Suspensions with EA(+) counterions show an
intermediate behaviour. Our results demonstrate the determining role of initial
counterions of the nanoparticles on the microstructure of colloidal dispersions
in ionic liquids and therefore, the essential role of the interfacial zone
between the solid and the liquid.
PMID- 24919853
TI - High quality Mn-doped (Na,K)NbO3 nanofibers for flexible piezoelectric
nanogenerators.
AB - Enhanced piezoelectric and energy-harvesting characteristics of Mn-doped
(Na0.5K0.5)NbO3 (NKN) nanofibers have been investigated with actual fabrication
of potential flexible nanogenerators. The electrospinning process of nanofibers
has been initially optimized with the proper level of chelating agent and
annealing temperature. High quality nanofibers are successfully obtained only by
means of a certain level of doped-Mn, which incorporates into the NKN perovskite
structure and facilitates significant grain growth. A single-particle-stacked
structure along the direction of fiber length becomes more evident with
increasing Mn content. An XPS analysis confirms that Mn exists in multivalent
states of Mn(2+)/Mn(3+). The effective piezoelectric coefficient of the
nanofibers is found to be enhanced by 5 times with Mn-doping up to 3 mol % as
characterized by piezoelectric force microscopy. The resultant flexible
nanogenerators on PES films have exhibited ~0.3 V output voltage and ~50 nA
output current under a bending strain.
PMID- 24919854
TI - Preclinical characterization of the CDK4/6 inhibitor LY2835219: in-vivo cell
cycle-dependent/independent anti-tumor activities alone/in combination with
gemcitabine.
AB - The G1 restriction point is critical for regulating the cell cycle and is
controlled by the Rb pathway (CDK4/6-cyclin D1-Rb-p16/ink4a). This pathway is
important because of its inactivation in a majority of human tumors. Transition
through the restriction point requires phosphorylation of retinoblastoma protein
(Rb) by CDK4/6, which are highly validated cancer drug targets. We present the
identification and characterization of a potent CDK4/6 inhibitor, LY2835219.
LY2835219 inhibits CDK4 and CDK6 with low nanomolar potency, inhibits Rb
phosphorylation resulting in a G1 arrest and inhibition of proliferation, and its
activity is specific for Rb-proficient cells. In vivo target inhibition studies
show LY2835219 is a potent inhibitor of Rb phosphorylation, induces a complete
cell cycle arrest and suppresses expression of several Rb-E2F-regulated proteins
24 hours after a single dose. Oral administration of LY2835219 inhibits tumor
growth in human tumor xenografts representing different histologies in tumor
bearing mice. LY2835219 is effective and well tolerated when administered up to
56 days in immunodeficient mice without significant loss of body weight or tumor
outgrowth. In calu-6 xenografts, LY2835219 in combination with gemcitabine
enhanced in vivo antitumor activity without a G1 cell cycle arrest, but was
associated with a reduction of ribonucleotide reductase expression. These results
suggest LY2835219 may be used alone or in combination with standard-of-care
cytotoxic therapy. In summary, we have identified a potent, orally active small
molecule inhibitor of CDK4/6 that is active in xenograft tumors. LY2835219 is
currently in clinical development.
PMID- 24919856
TI - Updated recommendations: an assessment of NICE clinical guidelines.
AB - BACKGROUND: Updating is important to ensure clinical guideline (CG)
recommendations remain valid. However, little research has been undertaken in
this field. We assessed CGs produced by the National Institute for Health and
Care Excellence (NICE) to identify and describe updated recommendations and to
investigate potential factors associated with updating. Also, we evaluated the
reporting and presentation of recommendation changes. METHODS: We performed a
descriptive analysis of original and updated CGs and recommendations, and an
assessment of presentation formats and methods for recording information. We
conducted a case-control study, defining cases as original recommendations that
were updated ('new-replaced' recommendations), and controls as original
recommendations that were considered to remain valid ('not changed'
recommendations). We performed a comparison of main characteristics between cases
and controls, and we planned a multiple regression analysis to identify potential
predictive factors for updating. RESULTS: We included nine updated CGs (1,306
recommendations) and their corresponding original versions (1,106
recommendations). Updated CGs included 812 (62%) recommendations 'not reviewed',
368 (28.1%) 'new' recommendations, 104 (7.9%) 'amended' recommendations, and 25
(1.9%) recommendations reviewed but unchanged. The presentation formats used to
indicate the changes in recommendations varied widely across CGs. Changes in
'amended', 'deleted', and 'new-replaced' recommendations (n = 296) were reported
infrequently, mostly in appendices. These changes were recorded in 167 (56.4%)
recommendations; and were explained in 81 (27.4%) recommendations. We retrieved a
total of 7.1% (n = 78) case recommendations ('new-replaced') and 2.4% (n = 27)
control recommendations ('not changed') in original CGs. The updates were mainly
from 'Fertility CG', about 'gynaecology, pregnancy and birth' topic, and
'treatment' or 'prevention' purposes. We did not perform the multiple regression
analysis as originally planned due to the small sample of recommendations
retrieved. CONCLUSION: Our study is the first to describe and assess updated CGs
and recommendations from a national guideline program. Our results highlight the
pressing need to standardise the reporting and presentation of updated
recommendations and the research gap about the optimal way to present updates to
guideline users. Furthermore, there is a need to investigate updating predictive
factors.
PMID- 24919855
TI - First-in-human study of pbi-05204, an oleander-derived inhibitor of akt, fgf-2,
nf-kappaBeta and p70s6k, in patients with advanced solid tumors.
AB - BACKGROUND: PBI-05204, a Nerium oleander extract (NOE) containing the cardiac
glycoside oleandrin, inhibits the alpha-3 subunit of Na-K ATPase, as well as FGF
2 export, Akt and p70S6K, hence attenuating mTOR activity. This first-in-human
study determined the safety, pharmacokinetics (PK) and pharmacodynamics (PD) of
PBI-05204 in patients with advanced cancer. Methods Forty-six patients received
PBI-05204 by mouth for 21 of 28 days (3 + 3 trial design). Dose was escalated
100% using an accelerated titration design until grade 2 toxicity was observed.
Plasma PK and mTOR effector (p70S6K and pS6) protein expressions were evaluated.
Results Dose-limiting toxicities (grade 3 proteinuria, fatigue) were observed at
dose level 8 (0.3383 mg/kg/day). Common possible drug-related adverse were
fatigue (26 patients, 56.5%), nausea (19 patients, 41.3%) and diarrhea (15
patients, 32.6 %). Electrocardiogram monitoring revealed grade 1 atrioventricular
block (N = 10 patients) and grade 2 supraventricular tachycardia (N = 1). The MTD
was DL7 (0.2255 mg/kg) where no toxicity of grade >= 3 was observed in seven
patients treated. Seven patients (15%) had stable disease > 4 months. Mean peak
oleandrin concentrations up to 2 ng/mL were achieved, with area under the curves
6.6 to 25.5 MUg/L*hr and a half-life range of 5-13 h. There was an average 10%
and 35% reduction in the phosphorylation of Akt and pS6 in PBMC samples in 36 and
32 patients, respectively, tested between predose and 21 days of treatment.
Conclusions PBI-05204 was well tolerated in heavily pretreated patients with
advanced solid tumors. The recommended Phase II dose is 0.2255 mg/kg/day.
PMID- 24919858
TI - Picket-fence polythiophene and its diblock copolymers that afford microphase
separations comprising a stacked and an isolated polythiophene ensemble.
AB - All-polythiophene diblock copolymers, comprising one unsheathed block and one
fenced block, were synthesized through catalyst-transfer polycondensation. The
unsheathed block self-assembles through pi-pi stacking, thereby inducing
microphase separation. Consequently, we have succeeded in creating a microphase
separation comprising an ensemble of stacked and isolated polythiophenes. This
achievement could be extended to various unexplored applications as a result of
the integration of the contrasting functions of the two blocks.
PMID- 24919857
TI - Hypoalbuminaemia is an independent predictor of poor outcome in metastatic
Ewing's sarcoma family of tumours: a single institutional experience of 150 cases
treated with uniform chemotherapy protocol.
AB - AIMS: Data on metastatic Ewing's sarcoma family of tumours (ESFT) with uniform
chemotherapy protocol are minimal. MATERIALS AND METHODS: This was a single
institutional patient review of patients treated between June 2003 and November
2011 and evaluated on an intent-to-treat analysis. All patients received uniform
chemotherapy: neoadjuvant chemotherapy (NACT), surgery and/or radiotherapy as
local treatment followed by adjuvant chemotherapy. Local treatment was offered if
the patient achieved a complete response and/or a partial response at both the
primary and the metastatic site. RESULTS: In total, 150/374 (40%) ESFT patients
were metastatic, with a median age of 15 years (range: 2-50); a tumour diameter
of 10 cm (range: 1.8-26). Most common metastatic sites were lung only (53; 35%),
bone only (35; 23%) and combined bone/lung (25; 17%). Twenty patients underwent
surgery; 55 patients received radical radiotherapy after NACT. After a median
follow-up of 26.1 months (range: 1.6-101.6), 5 year event-free survival (EFS),
overall survival and local control rate (LCR) were 9.1 +/- 3.3%, 16.9 +/- 5.2%
and 31.8 +/- 7.9%, respectively. Univariate analysis showed serum albumin <=3.4
g/dl (P < 0.001) to predict inferior EFS. Tumour size >8 cm (P = 0.05),
haemoglobin <=10 g/dl (P = 0.04), hypoalbuminaemia (P = 0.003) and radical
radiotherapy as local treatment (P = 0.03) predicted inferior overall survival.
No factor significantly predicted LCR, although age <=15 years (P = 0.08) and
radical radiotherapy as local treatment (P = 0.09) had a trend towards inferior
LCR. Hypoalbuminaemia was the only prognostic factor to predict EFS on
multivariate analysis. CONCLUSION: This was the largest study of metastatic ESFT
from Asia and identified a unique prognostic factor. In view of dismal prognosis
with conventional chemotherapy in metastatic ESFT with hypoalbuminaemia,
palliative intent therapy may be a potential therapeutic alternative for this
subgroup of patients, especially in resource-challenged situations.
PMID- 24919860
TI - A single nucleotide polymorphism in fibronectin 1 determines tumor shape in
colorectal cancer.
AB - Depressed and flat surface lesions are not easy to identify with routine
colonoscopies during screening for colorectal cancer (CRC). Identifying
clinically relevant genes that influence tumor shape could be useful when
screening for the presence of depressed lesions. Total RNA was extracted from
tumor cells collected by laser microdissection from the primary lesions of 146
CRC cases. Microarray analysis was performed to identify genes that were
differentially expressed between depressed and elevated tumors. Single nucleotide
polymorphism (SNP) analysis of genomic DNA from the peripheral blood of 67 CRC
patients was then used to associate polymorphisms with the occurrence of
depressed tumors. Microarray analysis revealed significantly higher expression of
the fibronectin 1 (FN1) gene in 129 depressed-type tumors and lesions compared to
17 elevated-type tumors. FN1-abundant CRC tumors were large with a significantly
higher incidence of lymphatic permeation. SNP analysis indicated that 44 tumors
with a GG genotype at SNP rs6707530 showed significantly higher FN1 expression
than did 23 tumors with GT/TT genotypes (p<0.05). The product of the FN1 gene
(located at 2q34) is involved in cell adhesion, migration and metastasis in
mesenchymal tumors. Abundant expression of FN1 may allow cancer cells to invade
deeper layers, which would eventually define tumor shape. Identification of this
SNP in blood samples may facilitate disease diagnosis and allow prediction of the
presence of depressed tumors in the colorectal epithelium before a colon
fiberscope examination.
PMID- 24919861
TI - Surveillance for low-grade dysplastic Barrett's oesophagus: one size fits all?
AB - This paper reviews the role of low-grade dysplasia (LGD) as a marker of
progression in Barrett's oesophagus (BO). Albeit with its limits due to the
difficulty of its diagnosis and the low agreement among pathologists, LGD remains
the most relevant single prognostic factor of progression, and, when the
diagnosis is confirmed by two or three pathologists, the chances of progression
to high-grade dysplasia or invasive adenocarcinoma are as high as 40%. On the
other hand, BO patients who remain dysplasia free at several follow-up
examinations seem to have a very low likelihood of progression. The diagnosis of
LGD should be confirmed by two pathologists, and surveillance programs should be
tailored depending on the presence or persistent absence of LGD. Ablative therapy
should be also considered for cases where LGD persists in a series of follow-ups.
PMID- 24919862
TI - Deferasirox: a review of its use for chronic iron overload in patients with non
transfusion-dependent thalassaemia.
AB - Deferasirox (Exjade((r))) is a once-daily orally administered iron chelator which
has been approved for use in the treatment of transfusional-dependent chronic
iron overload since 2005. Based primarily on the findings of the THALASSA
(Assessment of Exjade((r)) in Non-Transfusion-Dependent THALASSemiA) trial, the
approval for deferasirox has recently been expanded to include the management of
chronic iron overload in patients with non-transfusion-dependent thalassaemia
(NTDT) syndromes. Despite the lack of regular blood transfusions, NTDT patients
can still develop clinically relevant iron overload, primarily due to increased
gastrointestinal absorption secondary to ineffective erythropoiesis, and may
require chelation therapy. The THALASSA trial, the first placebo-controlled
clinical trial of an iron chelator in NTDT patients, demonstrated that
deferasirox was effective in reducing liver iron and serum ferritin levels in
this population. Deferasirox has an acceptable tolerability profile, with the
most common adverse events reported in the THALASSA trial being related to mild
to moderate gastrointestinal disorders. Although further long-term studies will
be required to clearly demonstrate the clinical benefit of chelation therapy in
NTDT patients, deferasirox presents a useful tool in the management of iron
overload in this population.
PMID- 24919863
TI - Certolizumab pegol: a review of its use in patients with axial spondyloarthritis
or psoriatic arthritis.
AB - Certolizumab pegol (Cimzia((r))) is a polyethylene glycolylated antigen-binding
fragment of a recombinant human monoclonal antibody that binds to and selectively
neutralizes tumour necrosis factor (TNF) alpha. In the EU, subcutaneous
certolizumab pegol is indicated for the treatment of adults with severe active
axial spondyloarthritis (axSpA), comprising ankylosing spondylitis (AS) and non
radiographic axSpA (nr-axSpA), and for adults with active psoriatic arthritis
(PsA). In the USA it is indicated for the treatment of adults with active AS or
active PsA. This article reviews the efficacy and tolerability of certolizumab
pegol in these patients and briefly summarizes its pharmacology. In two ongoing,
well-designed studies, data at 12 and 24 weeks showed that treatment with
certolizumab pegol (200 mg every 2 weeks or 400 mg every 4 weeks) was effective
in improving the clinical signs and symptoms of disease, health-related quality
of life and productivity in patients with axSpA (the RAPID-axSpA study) or PsA
(the RAPID-PsA study), with the improvements maintained during longer-term (48
weeks) treatment. Within the axSpA population, clinical benefits with
certolizumab pegol were seen both in patients with AS and in those with nr-axSpA.
In addition, 12 weeks' treatment with certolizumab pegol reduced inflammation in
the sacroiliac joints and spine in patients with axSpA and 24 weeks' treatment
with the agent slowed radiographic disease progression in patients with PsA.
Certolizumab pegol was generally well tolerated in these studies, with a
tolerability profile consistent with that seen in previous clinical trials in
other indications. Although additional long-term and comparative data are needed
to position certolizumab pegol with respect to other TNFalpha antagonists,
current evidence indicates that certolizumab pegol is an effective option for the
treatment of axSpA (including AS and nr-axSpA) and PsA.
PMID- 24919864
TI - Ustekinumab: a review of its use in psoriatic arthritis.
AB - Ustekinumab (Stelara((r))) is a human monoclonal antibody that binds to the
shared p40 subunit of interleukin (IL)-12 and IL-23, blocking signalling of their
cognate receptors. It is established in the treatment of moderate-to-severe
plaque psoriasis, but recently received approval in adults with active psoriatic
arthritis. Tumour necrosis factor (TNF) inhibitors remain first-line biological
agents for the treatment of psoriatic arthritis, but alternative agents are
needed. This article summarises the pharmacology of ustekinumab and reviews its
use in phase 3 trials in psoriatic arthritis. In these trials, subcutaneous
ustekinumab 45 or 90 mg was significantly more effective than placebo, as
determined by American College of Rheumatology response criteria at week 24. The
drug was also associated with significantly greater efficacy than placebo with
regard to secondary endpoints, including the Psoriasis Area and Severity Index >=
75 % response, enthesitis and dactylitis scores, radiographic progression and
Health Assessment Questionnaire-Disability Index scores. Response to ustekinumab
was maintained during long-term therapy (up to week 100), and was achieved with
and without concomitant methotrexate. Ustekinumab was generally well tolerated,
and the tolerability profile in psoriatic arthritis was similar to that reported
in plaque psoriasis. Throughout long-term ustekinumab treatment, serious
infection or major cardiovascular adverse events occurred rarely. More data are
needed to clearly define the place of ustekinumab in psoriatic arthritis
treatment algorithms. Meanwhile the drug is a valuable additional option for
patients with psoriatic arthritis in whom the response to previous non-biological
disease-modifying antirheumatic drugs has been inadequate, or for those who have
failed anti-TNF therapy.
PMID- 24919865
TI - Self-association of oligothiophenes in isotropic systems.
AB - The self-association equilibrium constants, Kass, for the dimerization of some
small oligothiophenes in acetone, acetonitrile and chloroform were measured by
(1)H NMR spectroscopy. The gas phase interaction energies for some oligothiophene
dimers were determined by computational quantum chemistry. The (1)H NMR results
indicate that Kass generally increases with the chain length (the number of
thienyl rings, n) and solvent polarity; however, Kass for thiophene (n = 1) was
found to be higher than for the bithiophenes (n = 2). The linear oligothiophenes
2,2'-bithiophene and 2,2',5',2''-terthiophene were found to self-associate less
than their corresponding nonlinear isomers 3,3'-bithiophene and 3,2',5',3''
terthiophene in solution and in the gas phase. For alpha-quaterthiophene (n = 4)
Kass in solution was found to be smaller than expected. The non-linear dependence
of the standard molar Gibbs energy of self-association, DeltaassG, on the chain
length in solution could be nicely reproduced and related to the conformational
entropy change of dimerization. It was observed that the melting properties of
oligothiophenes correlate well with their tendency to self-associate, with more
self-association leading to increased liquid stability, and thus lower melting
temperatures. These results highlight the relevance of self-association in
isotropic systems for the correct molecular interpretation of phase equilibria.
PMID- 24919866
TI - Valve cuspidity: a risk factor for aortic valve repair?
AB - BACKGROUND: The aim of this study was to analyze short- and mid-term results
after aortic valve (AV) repair with particular regard to the impact of valve
cuspidity (bicuspid versus tricuspid aortic valve). METHODS: One hundred patients
with aortic regurgitation (AR) undergoing aortic valve repair between November
2007 and October 2012 were included in the study. Sixty patients had bicuspid AV
(BAV group; 11 females) and 40 patients had tricuspid AV (TAV group; 13 females).
AR > grade 2 was present in 47 (78%) patients in the BAV and in 35 (88%) patients
in the TAV group. Follow-up was complete in 100% and median was 25 months.
RESULTS: Isolated aortic valve repair was performed in 27 (45%) of BAV patients
and in six (15%) of TAV patients. Replacement of the ascending aorta and/or
aortic root was performed in 33 (55%) of BAV patients and in 34 (86%) of TAV
patients. There was no death within 30 days postoperatively, while two patients
died (TAV group) during the follow-up period. There was no statistical difference
between BAV and TAV groups with regard to the survival (100 +/- 0% vs. 95 +/- 4%,
p = 0.102), the three-year freedom from AV-related reoperation (90 +/- 5% vs. 89
+/- 6%, p = 0.456), and the three-year freedom from AR grade > 2 (86 +/- 6% vs.
82 +/- 7%, p = 0.866), respectively. CONCLUSIONS: This study demonstrates no
difference in mid-term results after regurgitant bicuspid and tricuspid aortic
valve repair, suggesting that bicuspid valve may not be a risk factor for aortic
valve repair.
PMID- 24919867
TI - A multiple imputation strategy for sequential multiple assignment randomized
trials.
AB - Sequential multiple assignment randomized trials (SMARTs) are increasingly being
used to inform clinical and intervention science. In a SMART, each patient is
repeatedly randomized over time. Each randomization occurs at a critical decision
point in the treatment course. These critical decision points often correspond to
milestones in the disease process or other changes in a patient's health status.
Thus, the timing and number of randomizations may vary across patients and depend
on evolving patient-specific information. This presents unique challenges when
analyzing data from a SMART in the presence of missing data. This paper presents
the first comprehensive discussion of missing data issues typical of SMART
studies: we describe five specific challenges and propose a flexible imputation
strategy to facilitate valid statistical estimation and inference using
incomplete data from a SMART. To illustrate these contributions, we consider data
from the Clinical Antipsychotic Trial of Intervention and Effectiveness, one of
the most well-known SMARTs to date.
PMID- 24919869
TI - Fermentation of Smilax china root by Aspergillus usami and Saccharomyces
cerevisiae promoted concentration of resveratrol and oxyresveratrol and the free
radical scavenging activity.
AB - BACKGROUND: Smilax china root, which is rich in resveratrol and oxyresveratrol,
has been used as emergency foods as well as folk medicine. This study
investigated changes in concentration of bioactive components and the free
radical scavenging capacity of Smilax china root during fermentation by
Aspergillus usami and Saccharomyces cerevisiae. RESULTS: Resveratrol,
oxyresveratrol and piceid were quantified as major constituents in Smilax china
root by using UPLC-ESI-MS. The concentration of oxyresveratrol and resveratrol
remarkably increased through fermentation and the transformation of piceid to
resveratrol. Its concentration in 4% Smilax china root was 1.16-2.95 times higher
than that of a 2% preparation throughout fermentation. The vitamin C equivalent
antioxidant capacity of 2% Smilax china root was 1.51-1.91 times higher than that
of 4% Smilax china root during fermentation. Meanwhile, ABTS free-radical
scavenging capacity was enhanced up to 95.07 and 99.35% for 2% and 4% Smilax
china root, respectively. CONCLUSION: Results from our study propose that
bioactive components in Smilax China root were highly extracted by fermentation
followed by saccharification and ethanol production, resulting in enhanced free
radical scavenging capacity. (c) 2013 Society of Chemical Industry.
PMID- 24919868
TI - The complete genome sequences of poxviruses isolated from a penguin and a pigeon
in South Africa and comparison to other sequenced avipoxviruses.
AB - BACKGROUND: Two novel avipoxviruses from South Africa have been sequenced, one
from a Feral Pigeon (Columba livia) (FeP2) and the other from an African penguin
(Spheniscus demersus) (PEPV). We present a purpose-designed bioinformatics
pipeline for analysis of next generation sequence data of avian poxviruses and
compare the different avipoxviruses sequenced to date with specific emphasis on
their evolution and gene content. RESULTS: The FeP2 (282 kbp) and PEPV (306 kbp)
genomes encode 271 and 284 open reading frames respectively and are more closely
related to one another (94.4%) than to either fowlpox virus (FWPV) (85.3% and
84.0% respectively) or Canarypox virus (CNPV) (62.0% and 63.4% respectively).
Overall, FeP2, PEPV and FWPV have syntenic gene arrangements; however, major
differences exist throughout their genomes. The most striking difference between
FeP2 and the FWPV-like avipoxviruses is a large deletion of ~16 kbp from the
central region of the genome of FeP2 deleting a cc-chemokine-like gene, two
Variola virus B22R orthologues, an N1R/p28-like gene and a V-type Ig domain
family gene. FeP2 and PEPV both encode orthologues of vaccinia virus C7L and
Interleukin 10. PEPV contains a 77 amino acid long orthologue of Ubiquitin
sharing 97% amino acid identity to human ubiquitin. CONCLUSIONS: The genome
sequences of FeP2 and PEPV have greatly added to the limited repository of
genomic information available for the Avipoxvirus genus. In the comparison of
FeP2 and PEPV to existing sequences, FWPV and CNPV, we have established insights
into African avipoxvirus evolution. Our data supports the independent evolution
of these South African avipoxviruses from a common ancestral virus to FWPV and
CNPV.
PMID- 24919870
TI - Pharmacogenetics role in the safety of acenocoumarol therapy.
AB - Vitamin K antagonists (VKAs) remain as the most prescribed drug for treatment and
prevention of thrombotic disorders in many countries, despite the recent approval
of the new oral anticoagulants (NOACs). Although effectiveness and safety of VKAs
are tightly associated to maintaining the patient within the international
normalised ratio (INR) therapeutic range (TWR), they have been likened to NOACs
when patients are in good INR control (>=66% of TWR). Therefore, assessing the
safety of patients should be a priority in the selection of the anticoagulation
therapy. The aim of this study was to evaluate the association between CYP2C9*2,
CYP2C9*3, VKORC1, CYP4F2*3, ABCB1 C3435T, APOE, CYP2C19*2 and CYP2C19*17 gene
polymorphisms and treatment safety in 128 patients diagnosed with atrial
fibrillation or venous thromboembolism during the initial first seven months of
acenocoumarol therapy. After the first month, VKORC1-T-allele and APOE-E3/E3
genotype were independently associated to higher time above therapeutic range
(TAR) and lower time below the therapeutic range (TBR). After seven months,
VKORC1 T-allele predicted higher TAR, and was also associated to increased INR>4,
particularly the TT-genotype (odds ratio [OR]: 32; 95% confidence interval
[CI95%]: 6-175; p=810-5). C-alleles for CYP2C9*3 (OR: 5.5; CI95%: 1.8-17;
p=0.003) and ABCB1 (OR: 8.9;CI95%: 1.1-70; p=0.039) independently influenced on
INR>6 . Patients VKORC1-TT/ABCB1-C remained 26.8% [19.7-38.9] TAR, with
associated relative risk (RR) for INR>4 1.8 higher (CI95%: 1.2-2.5; p=0.015).
Patients VKORC1-TT also presented the highest risk of bleeding events (RR:
3.5;CI95%: 1.4-8.4; p=0,010). In conclusion, VKORC1, CYP2C9*3, APOE and ABCB1
genotypes should be considered in prevention of overanticoagulation and bleeding
events in the initiation of acenocoumarol therapy.
PMID- 24919871
TI - Autophagy activation and antiviral activity by a licorice triterpene.
AB - The triterpene glycyrrhizic acid (GRA), the main product from the Glycyrrhiza
glabra medicinal plant, is known for its antiinflammatory and antimicrobial
activity. In this work, GRA was studied for its ability to induce the autophagic
process activator Beclin 1 in epithelial cells and to observe how this property
could influence its antiviral activity. After 24 h of treatment, GRA induced a
Beclin 1 production that was more than twofold higher than that produced by
rapamycin, used as a reference compound. When the compounds were added to HeLa
cells together with the viruses, GRA demonstrated a strong antiherpes simplex
virus type 1 (HSV1) activity, whereas rapamycin had no activity. However, if the
compounds were added to the cells 24 h before the viruses, GRA induced the
production of an even higher amount of Beclin 1 and showed an improved antiviral
effect; under these conditions, rapamycin was also able to exert a significant
anti-HSV1 activity. In conclusion, GRA is a strong inducer of the autophagy
activator Beclin 1, which establishes a resistance state to HSV1 replication.
PMID- 24919872
TI - An investigation of the use of discriminant analysis for the classification of
blade edge type from cut marks made by metal and bamboo blades.
AB - Analysis of cut marks in bone is largely limited to two dimensional qualitative
description. Development of morphological classification methods using
measurements from cut mark cross sections could have multiple uses across
palaeoanthropological and archaeological disciplines, where cutting edge types
are used to investigate and reconstruct behavioral patterns. An experimental
study was undertaken, using porcine bone, to determine the usefulness of
discriminant function analysis in classifying cut marks by blade edge type, from
a number of measurements taken from their cross-sectional profile. The
discriminant analysis correctly classified 86.7% of the experimental cut marks
into serrated, non-serrated and bamboo blade types. The technique was then used
to investigate a series of cut marks of unknown origin from a collection of
trophy skulls from the Torres Strait Islands, to investigate whether they were
made by bamboo or metal blades. Nineteen out of twenty of the cut marks
investigated were classified as bamboo which supports the non-contemporaneous
ethnographic accounts of the knives used for trophy taking and defleshing
remains. With further investigation across a variety of blade types, this
technique could prove a valuable tool in the interpretation of cut mark evidence
from a wide variety of contexts, particularly in forensic anthropology where the
requirement for presentation of evidence in a statistical format is becoming
increasingly important.
PMID- 24919873
TI - A Fellow's perspective on the threatened closure of the Illinois Poison Center.
PMID- 24919874
TI - Mechanically resolving noncovalent bonds using acoustic radiation force.
AB - The resolution of molecular bonds and subsequent selective control of their
binding are of great significance in chemistry and biology. We have developed a
method based on the use of acoustic radiation force to precisely dissociate
noncovalent molecular bonds. The acoustic radiation force is produced by
extremely low-power ultrasound waves and is mediated by magnetic particles. We
successfully distinguished the binding of antibodies of different subclasses and
the binding of DNA duplexes with a single-base-pair difference. In contrast to
most ultrasound applications in chemistry, the sonication probe is noninvasive
and requires a sample volume of only a few microliters. Our method is thus viable
for noninvasive and accurate control of molecular bonds that are widely
encountered in biochemistry.
PMID- 24919875
TI - Young woman with massive splenomegaly.
PMID- 24919876
TI - Ring opening and bidentate coordination of amidinate germylenes and silylenes on
carbonyl dicobalt complexes: the importance of a slight difference in ligand
volume.
AB - The reactions of [Co2 (CO)8 ] with one equiv of the benzamidinate (R2 bzam) group
14 tetrylenes [M(R2 bzam)(HMDS)] (HMDS=N(SiMe3 )2 ; 1: M=Ge, R=iPr; 2: M=Si,
R=tBu; 3: M=Ge, R=tBu) at 20 degrees C led to the monosubstituted complexes [Co2
{kappa(1) M?M(R2 bzam)(HMDS)}(CO)7 ] (4: M=Ge, R=iPr; 5: M=Si, R=tBu; 6: M=Ge,
R=tBu), which contain a terminal kappa(1) M-tetrylene ligand. Whereas the Co2 Si
and Co2 Ge tert-butyl derivatives 5 and 6 are stable at 20 degrees C, the Co2 Ge
isopropyl derivative 4 evolved to the ligand-bridged derivative [Co2 {MU-kappa(2)
Ge,N-Ge(iPr2 bzam)(HMDS)}(MU-CO)(CO)5 ] (7), in which the Ge atom spans the Co?Co
bond and one arm of the amidinate fragment is attached to a Co atom. The
mechanism of this reaction has been modeled with the help of DFT calculations,
which have also demonstrated that the transformation of amidinate-tetrylene
ligands on the dicobalt framework is negligibly influenced by the nature of the
group-14 metal atom (Si or Ge) but is strongly dependent upon the volume of the
amidinate N?R groups. The disubstituted derivatives [Co2 {kappa(1) M?M(R2
bzam)(HMDS)}2 (CO)6 ] (8: M=Ge, R=iPr; 9: M=Si, R=tBu; 10: M=Ge, R=tBu), which
contain two terminal kappa(1) M-tetrylene ligands, have been prepared by treating
[Co2 (CO)8 ] with two equiv of 1-3 at 20 degrees C. The IR spectra of 8-10 have
shown that the basicity of germylenes 1 and 3 is very high (comparable to that of
trialkylphosphanes and 1,3-diarylimidazol-2-ylidenes), whereas that of silylene 2
is even higher.
PMID- 24919877
TI - Meaningfulness of mean group results for determining the optimal motor
rehabilitation program for an individual child with cerebral palsy.
AB - As research on the efficacy or effectiveness of interventions to improve motor
functioning in cerebral palsy (CP) has accumulated and been incorporated into
systematic reviews, the foundation for evidence-based practice in CP is growing.
To determine whether an intervention is effective, clinical trials report mean
group differences. However, even if a statistically significant mean group effect
is found, this does not imply that this intervention was effective for each study
participant or ensure positive outcomes for all with CP. A personalized approach
to medical care is currently being advocated based primarily on increasingly
recognized genetic variations in individual responses to medications and other
therapies. A similar approach is also warranted, and perhaps more justifiable, in
CP which includes a heterogeneous group of disorders. Even interventions deemed
highly effective in CP demonstrate a range of individual responses along a
continuum from a negative or negligible response to a strong positive effect, the
bases for which remain incompletely understood. This narrative review recommends
that the next critical step in advancing evidence-based practice is to implement
research strategies to identify patient factors that predict treatment responses
so we can not only answer the question 'what works', but also 'what works best,
for whom'.
PMID- 24919878
TI - Network-guided regression for detecting associations between DNA methylation and
gene expression.
AB - MOTIVATION: High-throughput profiling in biological research has resulted in the
availability of a wealth of data cataloguing the genetic, epigenetic and
transcriptional states of cells. These data could yield discoveries that may lead
to breakthroughs in the diagnosis and treatment of human disease, but require
statistical methods designed to find the most relevant patterns from millions of
potential interactions. Aberrant DNA methylation is often a feature of cancer,
and has been proposed as a therapeutic target. However, the relationship between
DNA methylation and gene expression remains poorly understood. RESULTS: We
propose Network-sparse Reduced-Rank Regression (NsRRR), a multivariate regression
framework capable of using prior biological knowledge expressed as gene
interaction networks to guide the search for associations between gene expression
and DNA methylation signatures. We use simulations to show the advantage of our
proposed model in terms of variable selection accuracy over alternative models
that do not use prior network information. We discuss an application of NsRRR to
The Cancer Genome Atlas datasets on primary ovarian tumours. AVAILABILITY AND
IMPLEMENTATION: R code implementing the NsRRR model is available at
http://www2.imperial.ac.uk/~gmontana CONTACT: giovanni.montana@kcl.ac.uk
SUPPLEMENTARY INFORMATION: Supplementary data are available at Bioinformatics
online.
PMID- 24919879
TI - Blue: correcting sequencing errors using consensus and context.
AB - MOTIVATION: Bioinformatics tools, such as assemblers and aligners, are expected
to produce more accurate results when given better quality sequence data as their
starting point. This expectation has led to the development of stand-alone tools
whose sole purpose is to detect and remove sequencing errors. A good error
correcting tool would be a transparent component in a bioinformatics pipeline,
simply taking sequence data in any of the standard formats and producing a higher
quality version of the same data containing far fewer errors. It should not only
be able to correct all of the types of errors found in real sequence data
(substitutions, insertions, deletions and uncalled bases), but it has to be both
fast enough and scalable enough to be usable on the large datasets being produced
by current sequencing technologies, and work on data derived from both haploid
and diploid organisms. RESULTS: This article presents Blue, an error-correction
algorithm based on k-mer consensus and context. Blue can correct substitution,
deletion and insertion errors, as well as uncalled bases. It accepts both FASTQ
and FASTA formats, and corrects quality scores for corrected bases. Blue also
maintains the pairing of reads, both within a file and between pairs of files,
making it compatible with downstream tools that depend on read pairing. Blue is
memory efficient, scalable and faster than other published tools, and usable on
large sequencing datasets. On the tests undertaken, Blue also proved to be
generally more accurate than other published algorithms, resulting in more
accurately aligned reads and the assembly of longer contigs containing fewer
errors. One significant feature of Blue is that its k-mer consensus table does
not have to be derived from the set of reads being corrected. This decoupling
makes it possible to correct one dataset, such as small set of 454 mate-pair
reads, with the consensus derived from another dataset, such as Illumina reads
derived from the same DNA sample. Such cross-correction can greatly improve the
quality of small (and expensive) sets of long reads, leading to even better
assemblies and higher quality finished genomes. AVAILABILITY AND IMPLEMENTATION:
The code for Blue and its related tools are available from
http://www.bioinformatics.csiro.au/Blue. These programs are written in C# and run
natively under Windows and under Mono on Linux.
PMID- 24919880
TI - Predicting dynamic signaling network response under unseen perturbations.
AB - MOTIVATION: Predicting trajectories of signaling networks under complex
perturbations is one of the most valuable, but challenging, tasks in systems
biology. Signaling networks are involved in most of the biological pathways, and
modeling their dynamics has wide applications including drug design and treatment
outcome prediction. RESULTS: In this paper, we report a novel model for
predicting the cell type-specific time course response of signaling proteins
under unseen perturbations. This algorithm achieved the top performance in the
2013 8th Dialogue for Reverse Engineering Assessments and Methods (DREAM 8)
subchallenge: time course prediction in breast cancer cell lines. We formulate
the trajectory prediction problem into a standard regularization problem; the
solution becomes solving this discrete ill-posed problem. This algorithm includes
three steps: denoising, estimating regression coefficients and modeling
trajectories under unseen perturbations. We further validated the accuracy of
this method against simulation and experimental data. Furthermore, this method
reduces computational time by magnitudes compared to state-of-the-art methods,
allowing genome-wide modeling of signaling pathways and time course trajectories
to be carried out in a practical time. AVAILABILITY AND IMPLEMENTATION: Source
code is available at http://guanlab.ccmb.med.umich.edu/DREAM/code.html and as
supplementary file online.
PMID- 24919881
TI - Short rest periods between work shifts predict sleep and health problems in
nurses at 1-year follow-up.
AB - OBJECTIVES: We investigated whether the number of work shifts separated by less
than 11 hours (quick returns) at baseline (T1) could predict health problems in
nurses at 1-year follow-up (T2). METHODS: 1224 nurses responded to a
questionnaire-based survey in 2009 (T1) and 2010 (T2). Crude and adjusted
logistic regression analyses were completed to assess the association between
annual number of quick returns at T1 and the following outcome variables at T2:
shift work disorder (SWD), excessive sleepiness (Epworth Sleepiness Scale),
pathological fatigue (Chalder Fatigue Scale) and anxiety and depression (Hospital
Anxiety and Depression Scale), while controlling for age, gender, the
corresponding outcome variable at T1, number of night shifts at T1, change in
number of quick returns and number of night shifts from T1 to T2. RESULTS: The
adjusted analyses showed that the annual number of quick returns at T1 predicted
the occurrence of SWD (OR=1.01, 95% CI 1.00 to 1.01) and pathological fatigue
(OR=1.01, 95% CI 1.00 to 1.01) at T2. A decreased number of quick returns from T1
to T2 entailed a reduced risk of pathological fatigue (OR=0.67, 95% CI 0.45 to
0.99) at T2. There was no association between quick returns at T1 and excessive
sleepiness, anxiety or depression at T2 in the adjusted analyses. CONCLUSIONS:
This is the first longitudinal study investigating the associations between quick
returns and future health problems. Quick returns increased the risk of SWD and
pathological fatigue at 1-year follow-up. Reducing the number of quick returns
from 1 year to the next was related to reduced risk of developing pathological
fatigue.
PMID- 24919882
TI - Intracisternal injection of palmitoylethanolamide inhibits the peripheral
nociceptive evoked responses of dorsal horn wide dynamic range neurons.
AB - Endogenous palmitoylethanolamide (PEA) has a key role in pain modulation. Central
or peripheral PEA can reduce nociceptive behavior, but no study has yet reported
a descending inhibitory effect on the neuronal nociceptive activity of Adelta-
and C-fibers. This study shows that intracisternal PEA inhibits the peripheral
nociceptive responses of dorsal horn wide dynamic range cells (i.e., inhibition
of Adelta- and C-fibers), an effect blocked by spinal methiothepin. These results
suggest that a descending analgesic mechanism mediated by the serotonergic system
could be activated by central PEA.
PMID- 24919884
TI - The Sensory World of Fish and Fisheries: The Impact of Human Activities.
AB - This special issue of Integrative Zoology (the official journal of the
International Society of Zoological Sciences and the Institute of Zoology,
Chinese Academy of Sciences) is dedicated to the sensory world of fish and
fisheries and the impacts of human activities. The papers in this issue are the
outcome of an international conference that was held at the Institute of Marine
Biosystem and Neurosciences, College of Fisheries and Life Science, Shanghai
Ocean University, Shanghai, China from October 29 to November 1, 2012. The
conference was generously sponsored by The Shanghai Ocean University, The
University of Maryland, and The University of Western Australia. As co-organisers
of this international meeting we are indebted to the former president of the
Shanghai Ocean University, President Yingjie Pan and the other members of the
organising Committee for their generous support to enable leading scientists in
the fields of sensory ecology, behaviour, environmental management and fisheries
science from both China and overseas to join the meeting and contribute to this
issue. This article is protected by copyright. All rights reserved.
PMID- 24919885
TI - Effect of cation symmetry on the organization of ionic liquids near a charged
mica surface.
AB - Atomistic molecular dynamics simulations have been carried out to understand the
effect of the symmetry of cations on the microscopic organization of ionic
liquids near a charged mica surface. Ionic liquids with a 1,3-alkylimidazolium
([C(n)C(m)im](+)) cation and a bis(trifluoromethylsulfonyl)imide ([NTf2](-))
anion were investigated. Apart from symmetry, the length of the alkyl group
attached to the cation is found to crucially determine the ion structure near the
solid surface. In the first adsorbed layer, the ring planes of cations with
shorter alkyl groups (less than four carbon atoms) are oriented either parallel
or perpendicular to the surface. However, cations with longer alkyl tails are
exclusively observed to have their ring planes parallel to the mica surface. The
alkyl groups too show a similar dependence of their orientation on the tail
length. Further, symmetric cations with alkyl groups of intermediate length are
more highly structured at the interface than their asymmetric counterparts.
PMID- 24919883
TI - Lipopolysaccharide treatment arrests the cell cycle of BV-2 microglial cells in
G1 phase and protects them from UV light-induced apoptosis.
AB - We previously reported that an optimal dose of lipopolysaccharide (LPS) markedly
extends the lifespan of murine primary-cultured microglia by suppressing cell
death pathways. In this study, we investigated the effects of LPS pretreatment on
UV light-induced apoptosis of cells from the microglial cell line BV-2. More than
half of BV-2 cells were apoptotic, and procaspase-3 was cleaved into its active
form at 3 h of UV irradiation. In contrast, in BV-2 cells treated with LPS for 24
h, UV irradiation caused neither apoptosis nor procaspase-3 cleavage. LPS
treatment arrested the cell cycle in G1 phase and upregulated cyclin-dependent
kinase inhibitor p21(Waf1/Cip1) and growth arrest and DNA damage-inducible (GADD)
45alpha in BV-2 cells. When p21(Waf1/Cip1) and GADD45alpha were knocked down by
small interfering RNA, procaspase-3 was cleaved into its active form to induce
apoptosis. Our findings suggest that LPS inhibits UV-induced apoptosis in BV-2
cells through arrest of the cell cycle in G1 phase by upregulation of
p21(Waf1/Cip1) and GADD45alpha. Excessive activation of microglia may play a
critical role in the exacerbation of neurodegeneration, therefore, normalizing
the precise regulation of apoptosis may be a new strategy to prevent the
deterioration caused by neurodegenerative disorders.
PMID- 24919887
TI - Desogestrel versus danazol as preoperative treatment for hysteroscopic surgery: a
prospective, randomized evaluation.
AB - The aim of this single-center, prospective, randomized, parallel-group study was
to compare desogestrel and danazol as preoperative endometrial preparation for
hysteroscopic surgery. We enrolled 200 consecutive eligible patients, in
reproductive age, with endouterine diseases. Pre- and post-treatment
characterization of endometrium was performed by hysteroscopic visual observation
and histologic confirmation. The enrolled patients were randomly assigned to two
groups: 100 were treated with 75 MUg of desogestrel/die, 100 with 100 mg of
danazol/die, both orally for 5 weeks, starting on Day 1 of menstruation. We
recorded intraoperative data (cervical dilatation time, operative time, infusion
volume and severity of bleeding) and drugs' side effects. Post-treatment
comparison of endometrial patterns showed a significant more marked effect of
desogestrel, respect to danazol, in atrophying endometrium ("normotrophic non
responders" versus "hypotrophic"-"atrophic", p = 0.031). Intraoperative data
showed no significant differences between the two groups for cervical dilatation
time (p = 0.160), while in the desogestrel group we found a significant reduction
of operative time (p = 0.020), infusion volume (p = 0.012), and severity of
bleeding (p = 0.004). Moreover, desogestrel caused less side effects (p = 0.031).
According to our data analysis, desogestrel showed most marked effect in inducing
endometrial atrophy, allowed a better intraoperative management and caused less
side effects during treatment.
PMID- 24919886
TI - Independent and combined influence of neonatal and current body composition on
academic performance in youth: The UP & DOWN Study.
AB - BACKGROUNDS: Unhealthy body composition is a cause for concern across the
lifespan. OBJECTIVE: The objective of this study was to examine the independent
and combined associations between neonatal and current body composition with
academic performance among youth. METHODS: This cross-sectional study was
conducted with a total of 1557 youth (745 girls) aged 10.4 +/- 3.4 years. Birth
weight and length at birth were self-reported. Current body composition was
assessed by body mass index (BMI), waist circumference (WC) and percentage of
body fat (BF%). Academic performance was assessed through schools records.
RESULTS: Birth weight was related to all academic variables in boys, independent
of potential confounders, including BMI; whereas WC, BMI and BF% were related to
all academic performance indicators in both boys and girls, independent of
potential confounders, including birth weight (all P < 0.05). In addition, the
combined adverse effects of low birth weight and current overweight on academic
performance were observed in both boys and girls for grade point average (GPA)
indicator. Boys in the group with none adverse effect had significantly higher
scores in GPA (score +0.535; 95% confidence interval, 0.082-0.989) than boys in
the group of both adverse effects (P < 0.007); among girls, GPA score was higher
in the group with none adverse effect than in the groups with one or two adverse
effects (P for trend = 0.029). CONCLUSIONS: Neonatal and current body
composition, both independently and combined, may influence academic performance
in youth.
PMID- 24919888
TI - Jordan's stem-cell law can guide the Middle East.
PMID- 24919900
TI - Fetal-cell revival for Parkinson's.
PMID- 24919901
TI - Space-station science ramps up.
PMID- 24919902
TI - Cancer-gene data sharing boosted.
PMID- 24919903
TI - Sound clue in hunt for MH370.
PMID- 24919905
TI - Stars of South American science.
PMID- 24919906
TI - The impact gap: South America by the numbers.
PMID- 24919907
TI - South American science: Big players.
PMID- 24919908
TI - Research training: Homeward bound.
PMID- 24919910
TI - Q&A: The nutrient hunter.
PMID- 24919911
TI - Library science: Forgotten founder of bibliometrics.
PMID- 24919912
TI - Academia and industry: Successes for UK cancer partnership.
PMID- 24919913
TI - Alfred Russel Wallace: An early champion of women's rights.
PMID- 24919914
TI - Synthetic biology: Missing the point.
PMID- 24919915
TI - Synthetic biology: A global approach.
PMID- 24919916
TI - Materials science: Diamond gets harder.
PMID- 24919917
TI - Plant biology: Pass the ammunition.
PMID- 24919918
TI - Two gamma-ray bursts from dusty regions with little molecular gas.
AB - Long-duration gamma-ray bursts are associated with the explosions of massive
stars and are accordingly expected to reside in star-forming regions with
molecular gas (the fuel for star formation). Previous searches for carbon
monoxide (CO), a tracer of molecular gas, in burst host galaxies did not detect
any emission. Molecules have been detected as absorption in the spectra of gamma
ray burst afterglows, and the molecular gas is similar to the translucent or
diffuse molecular clouds of the Milky Way. Absorption lines probe the
interstellar medium only along the line of sight, so it is not clear whether the
molecular gas represents the general properties of the regions where the bursts
occur. Here we report spatially resolved observations of CO line emission and
millimetre-wavelength continuum emission in two galaxies hosting gamma-ray
bursts. The bursts happened in regions rich in dust, but not particularly rich in
molecular gas. The ratio of molecular gas to dust (<9-14) is significantly lower
than in star-forming regions of the Milky Way and nearby star-forming galaxies,
suggesting that much of the dense gas where stars form has been dissipated by
other massive stars.
PMID- 24919919
TI - Nanotwinned diamond with unprecedented hardness and stability.
AB - Although diamond is the hardest material for cutting tools, poor thermal
stability has limited its applications, especially at high temperatures.
Simultaneous improvement of the hardness and thermal stability of diamond has
long been desirable. According to the Hall-Petch effect, the hardness of diamond
can be enhanced by nanostructuring (by means of nanograined and nanotwinned
microstructures), as shown in previous studies. However, for well-sintered
nanograined diamonds, the grain sizes are technically limited to 10-30 nm (ref.
3), with degraded thermal stability compared with that of natural diamond. Recent
success in synthesizing nanotwinned cubic boron nitride (nt-cBN) with a twin
thickness down to ~3.8 nm makes it feasible to simultaneously achieve smaller
nanosize, ultrahardness and superior thermal stability. At present, nanotwinned
diamond (nt-diamond) has not been fabricated successfully through direct
conversions of various carbon precursors (such as graphite, amorphous carbon,
glassy carbon and C60). Here we report the direct synthesis of nt-diamond with an
average twin thickness of ~5 nm, using a precursor of onion carbon nanoparticles
at high pressure and high temperature, and the observation of a new monoclinic
crystalline form of diamond coexisting with nt-diamond. The pure synthetic bulk
nt-diamond material shows unprecedented hardness and thermal stability, with
Vickers hardness up to ~200 GPa and an in-air oxidization temperature more than
200 degrees C higher than that of natural diamond. The creation of nanotwinned
microstructures offers a general pathway for manufacturing new advanced carbon
based materials with exceptional thermal stability and mechanical properties.
PMID- 24919920
TI - Increased frequency of extreme Indian Ocean Dipole events due to greenhouse
warming.
AB - The Indian Ocean dipole is a prominent mode of coupled ocean-atmosphere
variability, affecting the lives of millions of people in Indian Ocean rim
countries. In its positive phase, sea surface temperatures are lower than normal
off the Sumatra-Java coast, but higher in the western tropical Indian Ocean.
During the extreme positive-IOD (pIOD) events of 1961, 1994 and 1997, the eastern
cooling strengthened and extended westward along the equatorial Indian Ocean
through strong reversal of both the mean westerly winds and the associated
eastward-flowing upper ocean currents. This created anomalously dry conditions
from the eastern to the central Indian Ocean along the Equator and atmospheric
convergence farther west, leading to catastrophic floods in eastern tropical
African countries but devastating droughts in eastern Indian Ocean rim countries.
Despite these serious consequences, the response of pIOD events to greenhouse
warming is unknown. Here, using an ensemble of climate models forced by a
scenario of high greenhouse gas emissions (Representative Concentration Pathway
8.5), we project that the frequency of extreme pIOD events will increase by
almost a factor of three, from one event every 17.3 years over the twentieth
century to one event every 6.3 years over the twenty-first century. We find that
a mean state change--with weakening of both equatorial westerly winds and
eastward oceanic currents in association with a faster warming in the western
than the eastern equatorial Indian Ocean--facilitates more frequent occurrences
of wind and oceanic current reversal. This leads to more frequent extreme pIOD
events, suggesting an increasing frequency of extreme climate and weather events
in regions affected by the pIOD.
PMID- 24919922
TI - Bushen-Yizhi formula ameliorates cognition deficits and attenuates oxidative
stress-related neuronal apoptosis in scopolamine-induced senescence in mice.
AB - Bushen-Yizhi formula (BSYZ), a traditional Chinese medicine formula consisting of
six herbs has been reported to possess a neuroprotective effect. The present
study aimed to investigate the effects of BSYZ on learning and memory abilities,
as well as oxidative stress and neuronal apoptosis in the hippocampus of
scopolamine (SCOP)-induced senescence in mice, in order to reveal whether BSYZ is
a potential therapeutic agent for Alzheimer's disease (AD). A high-performance
liquid chromatography (HPLC) fingerprint was applied to provide a chemical
profile of BSYZ. Extracts of BSYZ were orally administered to mice with SCOP
induced memory impairment for two weeks. The learning and memory abilities were
determined by the Morris water maze test. The oxidant stress-related indices,
such as activity of superoxide dismutase (SOD) and levels of glutathione (GSH)
and malondialdehyde (MDA) were examined in hippocampus of SCOP-treated mice. The
cell death ratio was assessed by TUNEL staining, while apoptotic-related proteins
including Bcl-2 and Bax were determined by immuno-fluorescent staining and
western blot analysis. Caspase-3 was determined by western blot analysis.
Consequently, a chromatographic condition, which was conducted at 35C with a flow
rate of 0.8 ml/min on the Gemini C18 column with mobile phase of acetonitrile and
water-phosphoric acid (100:0.1, v/v), was established to yield common fingerprint
chromatography under 203 nm with a similarity index of 0.986 within 10 batches of
BSYZ samples. BSYZ at a dose of 2.92 g/kg significantly improved the cognitive
ability, restored the abnormal activity of SOD and increased the levels of MDA
and GSH induced by SCOP. Moreover, the neural apoptosis in the hippocampus of
SCOP-treated mice was reversed by BSYZ by regulating the expression of Bcl-2, Bax
and caspase-3. The results demonstrated that BSYZ had neuroprotective effects in
SCOP-induced senescence in mice by ameliorating oxidative stress and neuronal
apoptosis in the brain, supporting its potential in AD treatment.
PMID- 24919923
TI - The cascade of care in the Eastern European country of Georgia.
AB - OBJECTIVES: Individual and public health benefits of antiretroviral therapy (ART)
rely on successful engagement of HIV-infected patients in care. We aimed to
evaluate the HIV care continuum in the Eastern European country of Georgia.
METHODS: The analysis included all adult (age >= 18 years) HIV-infected patients
diagnosed in Georgia from January 1989 until June 2012. Data were extracted from
the national HIV/AIDS database as of 1 October 2012. The following stages of the
HIV care continuum were quantified: HIV infected, HIV diagnosed, linked to care,
retained in care, eligible for ART and virologically suppressed. RESULTS: Of 3295
cumulative cases of adult HIV infection reported in Georgia, 2545 HIV-infected
patients were known to be alive as of 1 October 2012, which is 52% of the
estimated 4900 persons living with HIV in the country. Of the 2545 persons
diagnosed with HIV infection, 2135 (84%) were linked to care and 1847 (73%) were
retained in care. Of 1446 patients eligible for ART, 1273 (88%) were on treatment
and 985 (77%) of them had a viral load <400 HIV-1 RNA copies/mL. Overall, 39% of
those diagnosed and 20% of those infected had a suppressed viral load.
CONCLUSIONS: The findings of our analysis demonstrate that the majority of
patients diagnosed with HIV infection are retained in care. Loss of patients
occurs at each step of the HIV care continuum, but the major gap is at the stage
of HIV diagnosis. Reducing the number of persons living with undiagnosed HIV
infection and simultaneously enhancing engagement in continuous care will be
critical to achieve maximum individual and public health benefits of ART.
PMID- 24919924
TI - Formulation of inhalable lipid-based salbutamol sulfate microparticles by spray
drying technique.
AB - BACKGROUND: The aim of this work was to develop dry powder inhaler (DPI)
formulations of salbutamol sulfate (SS) by the aid of solid lipid microparticles
(SLmPs), composed of biocompatible phospholipids or cholesterol. METHODS: The
SLmPs were prepared by using two different solvent systems (ethanol and water
ethanol) and lipid carriers (dipalmitoylphosphatidylcholine (DPPC) and
cholesterol) with/without L-leucine in the spray drying process. The spray-dried
microparticles were physically-mixed with coarse lactose monohydrate in order to
make our final DPI formulations and were investigated in terms of physical
characteristics as well as in vitro drug release profile and aerosolization
behavior. RESULTS: We observed significant differences in the sizes,
morphologies, and in vitro pulmonary depositions between the formulations. In
particular, the SS-containing SLmPs prepared with water-ethanol (30:70 v/v)
solution of DPPC and L-leucine which had then been blended with coarse lactose
(1:9 w/w) exhibited the highest emitted dose (87.9%) and fine particle fraction
(42.7%) among the formulations. In vitro drug release study indicated that
despite of having a significant initial burst release for both cholesterol and
DPPC-based microparticles, the remained drug released more slowly than the pure
drug. CONCLUSION: This study demonstrated the potential of using lipid carriers
as well as L-leucine in DPI formulations of SS to improve its aerosolization
behavior and retard the release profile of the drug.
PMID- 24919925
TI - Synthesis and biological evaluation of papain-family cathepsin L-like cysteine
protease inhibitors containing a 1,4-benzodiazepine scaffold as antiprotozoal
agents.
AB - Novel papain-family cathepsin L-like cysteine protease inhibitors endowed with
antitrypanosomal and antimalarial activity were developed, through an
optimization study of previously developed inhibitors. In the present work, we
studied the structure-activity relationships of these derivatives, with the aim
to develop new analogues with a simplified and more synthetically accessible
structure and with improved antiparasitic activity. The structure of the model
compounds was significantly simplified by modifying or even eliminating the side
chain appended at the C3 atom of the benzodiazepine scaffold. In addition, a
simple methylene spacer of appropriate length was inserted between the
benzodiazepine ring and the 3-bromoisoxazoline moiety. Several rhodesain and
falcipain-2 inhibitors displaying single-digit micromolar or sub-micromolar
antiparasitic activity against one or both parasites were identified, with
activities that were one order of magnitude more potent than the model compounds.
PMID- 24919926
TI - The American Heart Association Life's Simple 7 and incident cognitive impairment:
The REasons for Geographic And Racial Differences in Stroke (REGARDS) study.
AB - BACKGROUND: Life's Simple 7 is a new metric based on modifiable health behaviors
and factors that the American Heart Association uses to promote improvements to
cardiovascular health (CVH). We hypothesized that better Life's Simple 7 scores
are associated with lower incidence of cognitive impairment. METHODS AND RESULTS:
For this prospective cohort study, we included REasons for Geographic And Racial
Differences in Stroke (REGARDS) participants aged 45+ who had normal global
cognitive status at baseline and no history of stroke (N=17 761). We calculated
baseline Life's Simple 7 score (range, 0 to 14) based on smoking, diet, physical
activity, body mass index, blood pressure, total cholesterol, and fasting
glucose. We identified incident cognitive impairment using a 3-test measure of
verbal learning, memory, and fluency obtained a mean of 4 years after baseline.
Relative to the lowest tertile of Life's Simple 7 score (0 to 6 points), odds
ratios of incident cognitive impairment were 0.65 (0.52, 0.81) in the middle
tertile (7 to 8 points) and 0.63 (0.51, 0.79) in the highest tertile (9 to 14
points). The association was similar in blacks and whites, as well as outside and
within the Southeastern stroke belt region of the United States. CONCLUSIONS:
Compared with low CVH, intermediate and high CVH were both associated with
substantially lower incidence of cognitive impairment. We did not observe a dose
response pattern; people with intermediate and high levels of CVH had similar
incidence of cognitive impairment. This suggests that even when high CVH is not
achieved, intermediate levels of CVH are preferable to low CVH.
PMID- 24919927
TI - Increased intracortical inhibition in hyperglycemic hemichorea-hemiballism.
AB - Hemichorea-hemiballism (HC-HB) in uncontrolled diabetes mellitus is an uncommon
manifestation of hyperglycemia. The pathophysiology of hyperglycemic HC-HB is not
well understood. A previous report showed increased intracortical inhibition in
the motor cortex in a patient with diabetes with HC-HB. The objective of this
study is to investigate motor cortex excitability in patients with hyperglycemic
HC-HB. We hypothesized that intracortical inhibition measured with transcranial
magnetic stimulation, which likely reflects the excitability of cortical gamma
aminobutyric acid (GABA)ergic circuits, would be impaired in patients with
hyperglycemic HC-HB. We studied 15 patients with mean age 71.5 years (range, 48
94 y) and 12 age-matched healthy subjects. The motor cortex contralateral to the
hemichorea was tested. Transcranial magnetic stimulation measures included motor
evoked potential, recruitment curve, GABAA mediated short interval intracortical
inhibition, intracortical facilitation, and GABAB mediated silent period duration
and long interval intracortical inhibition. No significant difference was found
in motor threshold, recruitment curve response, short interval intracortical
inhibition, or intracortical facilitation in both rest and active conditions
between patients with hyperglycemic HC-HB and normal subjects. However, long
interval intracortical inhibition was significantly increased during muscle
activation but not at rest in patients with hyperglycemic HC-HB. The silent
period duration is also increased in patients with hyperglycemic HC-HB. We
concluded that long interval intracortical inhibition and silent period are
increased in the motor cortex contralateral to the hemichorea in hyperglycemic HC
HB, but only during muscle activation. Hemichorea-hemiballism may be associated
with increased GABAB receptor-mediated inhibitory activity in the motor cortex.
PMID- 24919928
TI - The association of -330 interleukin-2 gene polymorphism and HLA-DR15 allele in
Iranian patients with multiple sclerosis.
AB - The purpose of this case-control study was to evaluate the frequencies and
potential genetic susceptibility of the -330 IL2 T and G alleles and HLA
DRB1*1501 allele in Iranian patients with multiple sclerosis (MS) compared to
healthy controls. Two hundred and sixty Iranian patients with MS from medical
genetics department of Sarem Women hospital were selected. Besides, 450
ethnically age- and sex-matched healthy individuals without personal or family
backgrounds of autoimmune disorders were enrolled as a control group. All
polymorphisms were analysed using RFLP-PCR technique. HLA-DRB1 genotyping was
carried out by HISTO TYPE SSP high-resolution Kits according to the
manufacturer's suggestions. The frequency of the T allele at the -330 IL2
polymorphism was significantly higher in patients with MS than controls (OR:
2.45, 95 CI: 1.9-3, P = 4 * 10(-14) ). Moreover, the T/T genotype was more
frequent in patients than in controls (51% vs. 30%). This study indicated that
the -330 T IL2 allele and the T/T genotype were related to increased plasma
concentration of IL2 and a higher risk of developing MS among Iranian patients.
Carrying both the -330 T IL2 and the HLA, DRB1* 1501 alleles showed the most
susceptibly effect to MS. Our data demonstrated -330 T IL2 allele provided major
susceptibility to MS and HLA-DRB1* 1501 allele had an additive effect. In
addition, it seems that studies with larger sample size are required to bring
about more authentic results. Our findings suggest that IL2 gene polymorphisms
influence the susceptibility to MS in Iranian patients.
PMID- 24919929
TI - Cisplatin-induced testicular dysfunction and its amelioration by Launaea
taraxacifolia leaf extract.
AB - This study investigates the ameliorative potential of Launea taraxacifolia (LT)
aqueous leaf extract on cisplatin-induced testicular dysfunction in Wistar rats.
Thirty rats were randomly divided into six groups (A-F) of 5 rats each: Group A
which served as control received water; Group B was intraperitoneally (ip)
injected 10 mg kg(-1) body wt cisplatin on day 21; Groups C and D were given 100
and 400 mg of LT via oral administration, respectively, for 21 days while Groups
E and F received similar treatment as Groups C and D, respectively, and then
exposed to ip administration of 10 mg kg(-1) body weight cisplatin on the 21st
day. Exclusively, Cisplatin-exposed Group B rats showed reduced sperm
characteristics and increased sperm morphological abnormalities; distorted
histological architecture of seminiferous tubules; significantly increased lipid
peroxidation (LPO) and decreased activities of superoxide dismutase (SOD),
catalase (CAT) and glutathione (GSH)levels in the testes. These parameters in LT
alone treated Groups C and D were not markedly different compared with the
control group. The rats with the combined treatment in Groups E and F showed
significantly improved sperm parameters, testicular histo-architecture and
antioxidant enzymatic activities. Conclusively, aqueous extract of L.
taraxacifolia has protective potential against cisplatin damage.
PMID- 24919930
TI - Scale of health: indices of safety and efficacy in the evolving environment of
large biological datasets.
AB - The interdependent relationship between pharmacology and toxicology is
fundamental to the concepts of efficacy and safety of both drugs and xenobiotics.
The traditional concept of establishing efficacious and tolerated doses to define
a 'therapeutic window' appears simplistic in the context of an exponentially
increasing database on molecular mechanisms and cell biology that inform our
understanding of homeostasis. Recent advances in nano medicine illustrate the
convergence of efficacy and safety considerations that are central to
establishing a clear pathway for regulatory review. The following overview
considers biological responses to the administration of nanoparticles and the
scale of balanced, within a range that might be considered 'normal', to
unbalanced, abnormal responses associated with health and disease.
PMID- 24919931
TI - Characterization of endogenous G-CSF and the inverse correlation to chemotherapy
induced neutropenia in patients with breast cancer using population modeling.
AB - PURPOSE: Neutropenia is a severe adverse-event of chemotherapeutics. Neutrophils
(ANC) are mainly regulated by granulocyte colony stimulating factor (G-CSF). The
aim was to characterize the dynamics between endogenous G-CSF and ANC over time
following chemotherapy. METHODS: Endogenous G-CSF and ANC were monitored in forty
nine breast cancer patients treated with sequential adjuvant 5-fluorouracil
epirubicin-cyclophosphamide and docetaxel. RESULTS: During treatment courses ANC
was transiently decreased and was reflected in an endogenous G-CSF increase,
which was well described by a semi-mechanistic model including control
mechanisms; when G-CSF concentrations increased the proliferation rate increased
and the bone maturation time reduced for ANC. Subsequently, ANC in the
circulation increased leading to increased elimination of G-CSF. Additionally, a
non-specific elimination for G-CSF was quantified. The ANC-dependent elimination
contributed to 97% at baseline and 49% at an ANC of 0.1 . 10(9)/L to the total G
CSF elimination. CONCLUSION: The integrated G-CSF-myelosuppression model captured
the initial rise in endogenous G-CSF following chemotherapy-induced neutropenia
and the return to baseline of G-CSF and ANC. The model supported the self
regulatory properties of the system and may be a useful tool for further
characterization of the biological system and in optimization of chemotherapy
treatment.
PMID- 24919933
TI - Health and social outcomes for transitioning youth.
PMID- 24919932
TI - Tumor-targeted responsive nanoparticle-based systems for magnetic resonance
imaging and therapy.
AB - PURPOSE: Design and synthesis of a tumor responsive nanoparticle-based system for
imaging and treatment of various cancers. METHODS: Manganese oxide nanoparticles
(Mn3O4 NPs) were synthesized and modified with LHRH targeting peptide or anti
melanoma antibodies (cancer targeting moieties) and a MMP2 cleavable peptide (a
possible chemotactic factor). Nanostructured lipid carriers (NLCs) were used to
entrap the BRAF inhibitor, vemurafenib, and enhance cytotoxicity of the drug.
Size distribution, stability, drug entrapment, cytotoxicity and genotoxicity of
synthesized nanoparticles were studied in vitro. Enhancement of MRI signal by
nanoparticles and their body distribution were examined in vivo on mouse models
of melanoma, ovarian and lung cancers. RESULTS: Uniform, stable cancer-targeted
nanoparticles (PEGylated water-soluble Mn3O4 NPs and NLCs) were synthesized. No
signs of cyto-,genotoxicity and DNA damage were detected for nanoparticles that
do not contain an anticancer drug. Entrapment of vemurafenib into nanoparticles
significantly enhanced drug toxicity in cancer cells with targeted V600E
mutation. The developed nanoparticles containing LHRH and MMP2 peptides showed
preferential accumulation in primary and metastatic tumors increasing the MRI
signal in mice with melanoma, lung and ovarian cancers. CONCLUSIONS: The proposed
nanoparticle-based systems provide the foundation for building an integrated MRI
diagnostic and therapeutic approach for various types of cancer.
PMID- 24919934
TI - The health care transition research consortium health care transition model: a
framework for research and practice.
AB - The body of health care transition (HCT) research is in the early stages of
development. One of the major limitations of this developing field of research is
the lack of theoretically-directed studies. This research limitation has hindered
understanding of the variables contributing to successful HCT. The inadequate
understanding of HCT is due largely to the absence of an adequate conceptual
model that addresses the complexity and the relationships amongst variables that
influence HCT outcomes among adolescents and emerging adults with special health
care needs (AEA-SHCN). Existing conceptual models do not sufficiently address the
significant interrelationships amongst variables to explain, predict and/or
control AEA-SHCN's biopsychosocial HCT outcomes. This article provides a
description of a health care transition theoretical model developed by the
international and interdisciplinary Health Care Transition Research Consortium
(HCTRC) that can be applied for testing in research and serve as a framework for
clinical practice and policymaking. The HCTRC model is composed of four domains
that are considered integral to the HCT phenomenon: Individual, Family/Social
Support, Environment, and the Health Care System. The HCTRC model specifies the
variables, processes, and potential mediators and moderators that affect the HCT
outcomes.
PMID- 24919935
TI - Opportunities lost and found: experiences of patients with cerebral palsy and
their parents transitioning from pediatric to adult healthcare.
AB - PURPOSE: To describe and define the experiences of adults with cerebral palsy
(CP) and parents of adults with CP who have been involved in a transfer of
physiatry care from pediatric to adult healthcare and to explore their
experiences more generally in the transition from pediatric to adult services.
METHODS: A qualitative research approach was used. Semi-structured focus group
interviews were conducted with adults with CP (n=5) and parents of adults with CP
(n=8) to explore the health care transition (HCT) process from pediatric to adult
healthcare. Four key content domains were used to facilitate the focus groups; 1)
Transition Planning, 2) Accessibility of Services, 3) Experience with Adult
Providers, and 4) Recommendations for Improving the Transition Process.
Conventional content analysis was used to analyze the data. RESULTS: Four themes
emerged from the focus groups; Lost in Transition, Roadmap to Care, List of None,
and One Stop Shopping. Participants felt lost in the HCT process, requested a
transparent transition plan, expressed concern regarding access to adult
healthcare, and made recommendations for improvements. CONCLUSION: Challenges in
transitioning from pediatric to adult health care were identified by all
participants and several strategies were recommended for improvement.
PMID- 24919936
TI - The road to independence: lived experiences of youth with chronic conditions and
their parents compared.
AB - PURPOSE: To gain insight into the development of young persons with chronic
conditions towards independence by comparing their lived experiences to those of
their parents. METHODS: Semi-structured interviews were held with 16 young
persons (7 males, 9 females; 15-22 years) and one of their parents (n=16), asking
about the young persons' daily lives and their development towards adulthood.
Themes were deductively derived from the Skills for Growing Up framework, i.e.
agency, living and daily activities, social and intimate relationships,
education, work, leisure activities, transportation, and healthcare. Parents also
reflected on how they dealt with the child's chronic condition. A paired thematic
analysis was conducted. RESULTS: Parents were often less convinced that their
children could act independently than the young persons themselves. They were
concerned about them and tended to interfere with their daily lives, often to the
annoyance of their children. Also, parents often perceived more barriers in
social participation, while young persons were more positive. CONCLUSION: The
perceptions of young persons and their parents clashed on living independently,
intimate relationships, leisure activities, and healthcare. Young people might
benefit from professional support in these domains to help them strengthen their
autonomy and to prevent child-parent conflict and negative outcomes.
PMID- 24919938
TI - Follow-up of individuals with cerebral palsy through the transition years and
description of adult life: the Swedish experience.
AB - OBJECTIVE: To describe the process of providing healthcare through the transition
years to individuals with cerebral palsy (CP) and to present data on living
arrangements, education/occupation status, and use of personal assistance in
young Swedish adults with CP. METHODS: A descriptive cross-sectional study of 102
participants (63 males) participating in a standardized follow-up program called
CPUP. Data were analyzed in relation to the Gross Motor Function Classification
System (GMFCS) and the Manual Ability Classification System (MACS). RESULTS: Of
the participants, 58 "lived with parents", 29 reported "independent living", and
15 reported "special service housing". Living arrangements differed among GMFCS
levels (p< 0.001) and 14 of 20 with severe disabilities lived with their parents.
Thirty-four of 70 reported personal assistance; use of assistance correlated (p<
0.001) with GMFCS (r(s) =0.71) and MACS (r(s) = 0.70). Thirty five were
"students", 20 "employed", 36 in "daily activities", and 9 were "unemployed". Of
those employed, 18 had GMFCS levels I-II. CONCLUSION: Some young adults with CP
and severe functional limitations manage independent living - however, many still
live with their parents. Although many are students, a large number are
unemployed. There is disconnect between the pediatric and adult healthcare
systems. CPUP may facilitate in making the transition smoother.
PMID- 24919937
TI - Transition and transfer of adolescents and young adults with pediatric onset
chronic disease: the patient and parent perspective.
AB - PURPOSE: To determine patients' and parents' perceptions regarding the delivery
of transition education and perceived barriers to transfer to adult oriented
care. METHODS: A self-report survey was administered to a convenience sample of
patients (16-25 years old) with various childhood onset chronic diseases. A
similar survey was administered to their parents/guardians. RESULTS: A total of
155 patients and 104 parents participated in the study. The mean age of patients
was 18.8 +/- 2.3 years; 57% were female. Although most patients and parents
reported receiving information and training about their medical condition,
significant gaps in other aspects of transition education were identified. These
included stated deficiencies in education regarding unprotected intercourse,
health of future offspring, birth control, pregnancy, illicit drug use, and
future career or vocation counseling. Commonly cited barriers to transfer were
emotional attachments and lack of adult medicine specialty providers; however,
the majority anticipated being ready to transfer to adult oriented care by age 25
years. CONCLUSION: There are significant gaps in the delivery of transition
education as perceived by patients and their parents. Standardization of
transition education may help ensure that patients acquire the knowledge and
skills for health care self-management in adulthood and successful transfer to
adult oriented care.
PMID- 24919939
TI - Transition of adolescents with chronic health conditions to adult primary care:
factors associated with physician acceptance.
AB - PURPOSE: To assess the willingness of adult primary-care physicians to transfer
young adults with special health care needs (YASHCN) into their practices, and
the relative impact of patient characteristics and transition supports. METHODS:
Survey of primary care internists and family practitioners using randomized
patient characteristics and transition supports in clinical vignettes to assess
physician willingness to accept a YASHCN into their practice. RESULTS: 404
responses were received from 601 surveys (response rate 67%). Only 44% rated
themselves "willing" or "enthusiastic" to accept a YASHCN. Using four-way ANOVA
testing, provider and practice characteristics significantly associated with
willingness to accept included gender, years in practice, presence of YASHCN in
current practice and whether the practice was accepting new patients. Patient
condition and transition support significantly affected willingness to accept;
training in internal medicine versus family practice did not. CONCLUSION:
Physicians providing primary care for adults express limited willingness to
accept YASHCN into their practices. Provider, practice and patient
characteristics affected willingness to accept. Although transition supports
affected willingness to accept, effects varied markedly across diagnoses and
physician gender. Findings have implications for patients and healthcare teams
and policy planners.
PMID- 24919940
TI - Federal and state benefits for transition age youth.
AB - While all children face challenges as they become adults, children with chronic
medical conditions or disabilities face unique barriers in their transition to
adulthood. Children, especially those who are low income and have special needs,
are eligible for a range of supports including income supports, health care
coverage, vocational and educational supports. These supports are critical to
sound health because they ensure access to necessary medical services, while also
offsetting the social determinants that negatively affect health. Unfortunately,
as children transition into adulthood, eligibility for these benefits can change
abruptly or even end entirely. If medical providers have a better understanding
of five transition key dates, they can positively impact their patients' health
by ensuring continuous coverage through the transition to adulthood. The key
dates are as follows: (1) transition services for students with an Individualized
Education Program (IEP) must begin by age 16 (in some states such as Illinois,
these services must be in place by age 14 1/2); (2) at age 18, eligibility for
income supports may change; (3) at age 19, eligibility for Medicaid may change;
(4) at graduation, eligibility for educational supports will end unless steps are
taken to extend those benefits until age 22; and (5) when individuals prepare to
enter the workforce, they will become eligible for vocational rehabilitation
services. With an understanding of these key transition dates and how to partner
with social services and advocacy organizations on behalf of their patients,
medical providers can help to ensure that transition-age patients retain the
holistic social services and supports they need to protect their health.
PMID- 24919941
TI - The LIFEspan model of transitional rehabilitative care for youth with
disabilities: healthcare professionals' perspectives on service delivery.
AB - PURPOSE: LIFEspan is a service delivery model of continuous coordinated care
developed and implemented by a cross-organization partnership between a pediatric
and an adult rehabilitation hospital. Previous work explored enablers and
barriers to establishing the partnership service. This paper examines healthcare
professionals' (HCPs') experiences of 'real world' service delivery aimed at
supporting transitional rehabilitative care for youth with disabilities. METHODS:
This qualitative study - part of an ongoing mixed method longitudinal study -
elicited HCPs' perspectives on their experiences of LIFEspan service delivery
through in-depth interviews. Data were categorized into themes of service
delivery activities, then interpreted from the lens of a service
integration/coordination framework. RESULTS: Five main service delivery themes
were identified: 1) addressing youth's transition readiness and capacities; 2)
shifting responsibility for healthcare management from parents to youth; 3)
determining services based on organizational resources; 4) linking between
pediatric and adult rehabilitation services; and, 5) linking with multi-sector
services. CONCLUSIONS: LIFEspan contributed to service delivery activities that
coordinated care for youth and families and integrated inter-hospital services.
However, gaps in service integration with primary care, education, social, and
community services limited coordinated care to the rehabilitation sector.
Recommendations are made to enhance service delivery using a systems/sector-based
approach.
PMID- 24919942
TI - Implementation and sustainability of statewide transition support services for
youth with intellectual and physical disabilities.
AB - PURPOSE: Significant gaps in care and limited existing models establish the need
to innovate systems of care for youth with special health care needs in the
transition between pediatric to adult health care settings. METHODS: Using
implementation science, a statewide transition support program was created.
University and community partners explored needs and adopted a strategic plan and
funding sources. The existing consensus statement provided a framework. A team
was hired, policies were piloted and the initial ambulatory consultative
transition service for youth with special needs ages 11 to 22 was launched. Full
program activities during year four were analyzed. RESULTS: During 2011, there
were 139 consultations for youth with intellectual disability and/or physical
disability (average 16.74 years, 46% female). Services include routine and
focused co-morbidity screening and recommendations, care coordination of complex
health and community service needs, and support for families. The evolving
transdisciplinary team adapted their methods to collaborate with a growing
population of youth and primary care providers. CONCLUSION: A statewide
transition support program is a viable delivery model to provide needed resources
for youth, families and primary care practices. Weekly improvement meetings
continue to adapt services to sustain family satisfaction and community provider
satisfaction.
PMID- 24919944
TI - Altered right ventricular contractile pattern after cardiac surgery: monitoring
of septal function is essential.
AB - Assessment of right ventricular (RV) function is important in the management of
various forms of cardiovascular disease. Accurately assessing RV volume and
systolic function is a challenge in day-to-day clinical practice due to its
complex geometry. Tricuspid annular plane systolic excursion (TAPSE) and systolic
excursion velocity (S') have been reviewed to further assess their suitability
and objectivity in evaluating RV function. Multiple studies have validated their
diagnostic and prognostic values in numerous pathologic conditions. Diminished
longitudinal contraction after cardiothoracic surgery is a well-known phenomenon,
but it is not well validated. Despite significant reduction in RV performance
along the long-axis assessed by TAPSE and S' after cardiac surgery, RV ejection
fractions did not change as well as the left ventricular parameters and exercise
capacity. RV contractile patterns were markedly altered with decreased
longitudinal shortening and increased transverse shortening, which are likely
resulted from the septal damage during cardiac surgery. The septum is essential
for RV performance due to its oblique fiber orientation. This allows ventricular
twisting, which is a vital mechanism against increased pulmonary vascular
resistance. The septum function along with TAPSE and S' should be adequately
assessed during cardiac surgery, and evidence of septal dysfunction should lead
to reevaluation of myocardial protection methods.
PMID- 24919945
TI - A novel multifunctional NiTi/Ag hierarchical composite.
AB - Creating multifunctional materials is an eternal goal of mankind. As the
properties of monolithic materials are necessary limited, one route to extending
them is to create a composite by combining contrasting materials. The potential
of this approach is neatly illustrated by the formation of nature materials where
contrasting components are combined in sophisticated hierarchical designs. In
this study, inspired by the hierarchical structure of the tendon, we fabricated a
novel composite by subtly combining two contrasting components: NiTi shape-memory
alloy and Ag. The composite exhibits simultaneously exceptional mechanical
properties of high strength, good superelasticity and high mechanical damping,
and remarkable functional properties of high electric conductivity, high
visibility under fluoroscopy and excellent thermal-driven ability. All of these
result from the effective-synergy between the NiTi and Ag components, and place
the composite in a unique position in the properties chart of all known
structural-functional materials providing new opportunities for innovative
electrical, mechanical and biomedical applications. Furthermore, this work may
open new avenues for designing and fabricating advanced multifunctional materials
by subtly combining contrasting multi-components.
PMID- 24919946
TI - A quantitative perspective on ethics in large team science.
AB - The gradual crowding out of singleton and small team science by large team
endeavors is challenging key features of research culture. It is therefore
important for the future of scientific practice to reflect upon the individual
scientist's ethical responsibilities within teams. To facilitate this reflection
we show labor force trends in the US revealing a skewed growth in academic ranks
and increased levels of competition for promotion within the system; we analyze
teaming trends across disciplines and national borders demonstrating why it is
becoming difficult to distribute credit and to avoid conflicts of interest; and
we use more than a century of Nobel prize data to show how science is outgrowing
its old institutions of singleton awards. Of particular concern within the large
team environment is the weakening of the mentor-mentee relation, which undermines
the cultivation of virtue ethics across scientific generations. These trends and
emerging organizational complexities call for a universal set of behavioral norms
that transcend team heterogeneity and hierarchy. To this end, our expository
analysis provides a survey of ethical issues in team settings to inform science
ethics education and science policy.
PMID- 24919947
TI - Pretreatment with andrographolide pills((r)) attenuates lipopolysaccharide
induced pulmonary microcirculatory disturbance and acute lung injury in rats.
AB - OBJECTIVE: The purpose of this study was to explore the protective effect of AP
on LPS-induced PMD and ALI. METHODS: Male SD rats were continuously infused with
LPS (5 mg/kg/h) for one hour to induce PMD and ALI. AP was administrated orally
one hour before LPS exposure. Arterial blood pressure and HR were monitored.
Blood gas analysis, histological observation, cytokines in plasma, leukocyte
recruitment, pulmonary oxidative stress, microvessel permeability, edema, and
related proteins were evaluated six hours after LPS challenge. RESULTS: Rats
receiving LPS exhibited significant alterations, including hypotension,
tachycardia, increase in cytokines, neutrophil adhesion and infiltration,
oxidative stress, and microvessel hyperpermeability, resulting in pulmonary
injury and dysfunction. AP (0.18 g/kg or 1.8 g/kg) improved rat survival rate,
and significantly attenuated all aforementioned insults, and inhibited LPS
induced increase in adhesion molecules, up-regulation of Cav-1 and Src kinase and
NADPH oxidase subunits (p47(phox) and p67(phox) ) membrane translocation in lung
tissue, and preserved JAM-1 and claudin-5. CONCLUSIONS: The results demonstrated
the protective effect of AP on LPS-induced PMD and ALI, suggesting the potential
of AP as a prophylactic strategy for LPS-induced ALI.
PMID- 24919948
TI - Event- and interval-based measurement of stuttering: a review.
AB - BACKGROUND: Event- and interval-based measurements are two different ways of
computing frequency of stuttering. Interval-based methodology emerged as an
alternative measure to overcome problems associated with reproducibility in the
event-based methodology. No review has been made to study the effect of
methodological factors in interval-based absolute reliability data or to compute
the agreement between the two methodologies in terms of inter-judge, intra-judge
and accuracy (i.e., correspondence between raters' scores and an established
criterion). AIMS: To provide a review related to reproducibility of event-based
and time-interval measurement, and to verify the effect of methodological factors
(training, experience, interval duration, sample presentation order and judgment
conditions) on agreement of time-interval measurement; in addition, to determine
if it is possible to quantify the agreement between the two methodologies METHODS
& PROCEDURES: The first two authors searched for articles on ERIC, MEDLINE,
PubMed, B-on, CENTRAL and Dissertation Abstracts during January-February 2013 and
retrieved 495 articles. Forty-eight articles were selected for review. Content
tables were constructed with the main findings. MAIN CONTRIBUTION: Articles
related to event-based measurements revealed values of inter- and intra-judge
greater than 0.70 and agreement percentages beyond 80%. The articles related to
time-interval measures revealed that, in general, judges with more experience
with stuttering presented significantly higher levels of intra- and inter-judge
agreement. Inter- and intra-judge values were beyond the references for high
reproducibility values for both methodologies. Accuracy (regarding the closeness
of raters' judgements with an established criterion), intra- and inter-judge
agreement were higher for trained groups when compared with non-trained groups.
Sample presentation order and audio/video conditions did not result in
differences in inter- or intra-judge results. A duration of 5 s for an interval
appears to be an acceptable agreement. Explanation for high reproducibility
values as well as parameter choice to report those data are discussed.
CONCLUSIONS & IMPLICATIONS: Both interval- and event-based methodologies used
trained or experienced judges for inter- and intra-judge determination and data
were beyond the references for good reproducibility values. Inter- and intra
judge values were reported in different metric scales among event- and interval
based methods studies, making it unfeasible to quantify the agreement between the
two methods.
PMID- 24919949
TI - Feeding ecology and trophic comparisons of six shark species in a coastal
ecosystem off southern Brazil.
AB - The diets of six shark species, Sphyrna lewini, Sphyrna zygaena, Carcharhinus
obscurus, Carcharhinus limbatus, Rhizoprionodon lalandii and Galeocerdo cuvier,
were investigated in a subtropical coastal ecosystem of southern Brazil. Stomach
content data were obtained to assess foraging niche segregation and ontogenetic
shifts in the diets of these sharks. Five of the shark species off the Parana
coast were ichthyophagous, with the exception of S. zygaena, which was
teutophagous. With the exception of G. cuvier, which had a generalist diet, the
other five species displayed specialization in their feeding. Ontogenetic shifts
were observed in C. obscurus and S. lewini with large individuals consuming
elasmobranchs. Owing to the diet overlap between C. obscurus and S. lewini, C.
obscurus and C. limbatus and R. lalandii and C. limbatus, future studies on the
spatial and temporal distributions of these species are needed to understand the
extent of competitive interactions.
PMID- 24919950
TI - Predictive power of UKCAT and other pre-admission measures for performance in a
medical school in Glasgow: a cohort study.
AB - BACKGROUND: The UK Clinical Aptitude Test (UKCAT) and its four subtests are
currently used by 24 Medical and Dental Schools in the UK for admissions. This
longitudinal study examines the predictive validity of UKCAT for final
performance in the undergraduate medical degree programme at one Medical School
and compares this with the predictive validity of the selection measures
available pre-UKCAT. METHODS: This was a retrospective observational study of one
cohort of students, admitted to Glasgow Medical School in 2007. We examined the
associations which UKCAT scores, school science grades and pre-admissions
interview scores had with performance indicators, particularly final composite
scores that determine students' postgraduate training opportunities and overall
ranking (Educational Performance Measure - EPM, and Honours and Commendation -
H&C). Analyses were conducted both with and without adjustment for potential
socio-demographic confounders (gender, age, ethnicity and area deprivation).
RESULTS: Despite its predictive value declining as students progress through the
course, UKCAT was associated with the final composite scores. In mutually
adjusted analyses (also adjusted for socio-demographic confounders), only UKCAT
total showed independent relationships with both EPM (p = 0.005) and H&C (p =
0.004), school science achievements predicted EPM (p = 0.009), and pre-admissions
interview score predicted neither. UKCAT showed less socio-demographic variation
than did TSS. CONCLUSION: UKCAT has a modest predictive power for overall course
performance at the University of Glasgow Medical School over and above that of
school science achievements or pre-admission interview score and we conclude that
UKCAT is the most useful predictor of final ranking.
PMID- 24919952
TI - Influence of juniper (Juniperus communis) oil on growth performance and meat
quality as a natural antioxidant in quail diets.
AB - 1. This study aimed to evaluate the effects of juniper oil on growth performance
and meat quality in quails to determine its use as a safe and natural method to
reduce overdependence on the use of antibiotic. 2. A total of 1000 1-d-old
Pharaoh (Coturnix coturnix Pharaoh) quails, including both males and females,
were divided into 4 groups containing 250 quails and treated as follows: (1) a
control group with 0 mg volatile oil/kg diet; (2) 100 mg/kg juniper oil; (3) 150
mg/kg juniper oil and (4) 200 mg/kg juniper oil. The diets were prepared fresh
for each treatment. The experiment was carried out for 42 d. 3. The results of
the study showed that supplementation with juniper oil (100 and 150 mg/kg) caused
a significant increase in live weight, live weight gain and carcass yields during
the growing and finishing periods. Feed intake and feed conversion ratio were not
significantly influenced by treatments. 4. The quails given rations containing
juniper oil had reduced thiobarbituric acid levels in raw thigh meat samples at
different storage times. Juniper oil was found to have significant antioxidant
activity and prevented lipid oxidation in stored meat. 5. In conclusion, natural
antioxidants such as a juniper oil can be used instead of synthetic antioxidants
to retard lipid oxidation in animal diets to improve meat product quality and
animal performance.
PMID- 24919951
TI - Nicastrin and Notch4 drive endocrine therapy resistance and epithelial to
mesenchymal transition in MCF7 breast cancer cells.
AB - INTRODUCTION: Resistance to anti-estrogen therapies is a major cause of disease
relapse and mortality in estrogen receptor alpha (ERalpha)-positive breast
cancers. Tamoxifen or estrogen withdrawal increases the dependence of breast
cancer cells on Notch signalling. Here, we investigated the contribution of
Nicastrin and Notch signalling in endocrine-resistant breast cancer cells.
METHODS: We used two models of endocrine therapies resistant (ETR) breast cancer:
tamoxifen-resistant (TamR) and long-term estrogen-deprived (LTED) MCF7 cells. We
evaluated the migratory and invasive capacity of these cells by Transwell assays.
Expression of epithelial to mesenchymal transition (EMT) regulators as well as
Notch receptors and targets were evaluated by real-time PCR and western blot
analysis. Moreover, we tested in vitro anti-Nicastrin monoclonal antibodies
(mAbs) and gamma secretase inhibitors (GSIs) as potential EMT reversal
therapeutic agents. Finally, we generated stable Nicastrin overexpessing MCF7
cells and evaluated their EMT features and response to tamoxifen. RESULTS: We
found that ETR cells acquired an epithelial to mesenchymal transition (EMT)
phenotype and displayed increased levels of Nicastrin and Notch targets.
Interestingly, we detected higher level of Notch4 but lower levels of Notch1 and
Notch2 suggesting a switch to signalling through different Notch receptors after
acquisition of resistance. Anti-Nicastrin monoclonal antibodies and the GSI
PF03084014 were effective in blocking the Nicastrin/Notch4 axis and partially
inhibiting the EMT process. As a result of this, cell migration and invasion were
attenuated and the stem cell-like population was significantly reduced. Genetic
silencing of Nicastrin and Notch4 led to equivalent effects. Finally, stable
overexpression of Nicastrin was sufficient to make MCF7 unresponsive to tamoxifen
by Notch4 activation. CONCLUSIONS: ETR cells express high levels of Nicastrin and
Notch4, whose activation ultimately drives invasive behaviour. Anti-Nicastrin
mAbs and GSI PF03084014 attenuate expression of EMT molecules reducing cellular
invasiveness. Nicastrin overexpression per se induces tamoxifen resistance linked
to acquisition of EMT phenotype. Our finding suggest that targeting Nicastrin
and/or Notch4 warrants further clinical evaluation as valid therapeutic
strategies in endocrine-resistant breast cancer.
PMID- 24919953
TI - Post-exposure rate of tuberculosis infection among health care workers measured
with tuberculin skin test conversion after unprotected exposure to patients with
pulmonary tuberculosis: 6-year experience in an Italian teaching hospital.
AB - BACKGROUND: This study assesses the risk of LTBI at our Hospital among HCWs who
have been exposed to TB patients with a delayed diagnosis and respiratory
protection measures were not implemented. METHODS: All HCWs exposed to a patient
with cultural confirmed pulmonary TB and respiratory protection measures were not
implemented were included. Data on TST results performed in the past (defined as
T0) were recorded. TST was performed twice: first, immediately after exposure to
an index patient (T1) and three months later (T2). The period of time between T0
and T1 was used to calculate he annual rate of tuberculosis infection (ARTI),
while le period of time between T1 and T2 was used to calculate the post exposure
annual rate of tuberculosis infection (PEARTI). RESULTS: Fourteen index patients
were admitted; sputum smear was positive in 7 (58.3%), 4 (28.6%) were non-Italian
born patients. 388 HCWs were exposed to index patients, a median of 27 (12-39)
HCW per each index patient. One hundred eighty (46.4%) HCWs received BCG in the
past. One hundred twenty two HCWs (31%) were TST positive at a previous routine
screening and not evaluated in this subset. Among the remaining 255 HCWs with
negative TST test in the past, TST at T1 was positive in 11 (4.3%). ARTI was 1.6
(95% CI 0.9-2.9) per 100 PY. TST at T2 was positive in 9 (3.7%) HCWs, that were
TST negative at T1. PEARTI was 26 (95% CI 13.6-50) per 100 PY. At univariate
analysis, older age was associated with post exposure latent tuberculosis
infection (HR 1.12; 95% CI 1.03-1.22, p=0.01). CONCLUSIONS: PEARTI was
considerably higher among HCWs exposed to index patients than ARTI. These data
underscore the overwhelming importance of performing a rapid diagnosis, as well
as implementing adequate respiratory protection measures when TB is suspected.
PMID- 24919954
TI - Comparative study of NMP-preloaded and dip-loaded membranes for guided bone
regeneration of rabbit cranial defects.
AB - Guided bone regeneration (GBR) has been utilized for several decades for the
healing of cranio-maxillofacial bone defects and, particularly in the dental
field, by creating space with a barrier membrane to exclude soft tissue and
encourage bone growth in the membrane-protected volume. Although the first
membranes were non-resorbable, a new generation of GBR membranes aims to
biodegrade and provide bioactivity for better overall results. The Inion GTRTM
poly(lactide-co-glycolide) (PLGA) membrane is not only resorbable but also
bioactive, since it includes N-methylpyrrolidone (NMP), which has been shown to
promote bone regeneration. In this study, the effects of loading different
amounts of NMP onto the membrane through chemical vapour deposition or dipping
have been explored. In vitro release demonstrated that lower levels of NMP led to
lower NMP concentrations and slower release, based on total NMP loaded in the
membrane. The dipped membrane released almost all of the NMP within 15 min,
leading to a high NMP concentration. For the in vivo studies in rabbits, 6 mm
calvarial defects were created and left untreated or covered with an ePTFE
membrane or PLGA membranes dipped in, or preloaded with, NMP. Evaluation of the
bony regeneration revealed that the barrier membranes improved bony healing and
that a decrease in NMP content improved the performance. Overall, we have
demonstrated the potential of these PLGA membranes with a more favourable NMP
release profile and the significance of exploring the effect of NMP on these PLGA
membranes with regard to bone ingrowth. Copyright (c) 2014 John Wiley & Sons,
Ltd.
PMID- 24919955
TI - Elevated expression of AKT2 correlates with disease severity and poor prognosis
in human osteosarcoma.
AB - Members of AKT kinase family are central modulators in numerous signaling
cascades, which regulate metabolism, cell proliferation, survival and growth.
Previously, the knockdown of AKT2 expression has been demonstrated to enhance the
efficacy of chemotherapy in patients with osteosarcoma. However, it is currently
unknown whether the aberrant expression of AKT2 has relevance to the progression
of osteosarcoma. The aim of the present study was to investigate the
clinicopathological and prognostic value of AKT2 in osteosarcoma. Formalin-fixed
paraffin embedded osteosarcoma and self-paired non-cancerous bone tissue samples
were obtained from 126 patients with osteosarcomas. AKT2 expression was detected
by an immunohistochemistry assay. Patient survival rates were determined by the
Kaplan-Meier method and log-rank test. Cox regression was adopted for
multivariate analysis of the prognostic factors to examine the effect of AKT on
event-free survival and overall survival in patients with osteosarcomas. AKT2
expression in osteosarcoma tissues was significantly higher than that in non
cancerous bone tissues (immunostaining score, 6.39+/-1.62 vs. 3.46+/-1.03;
P<0.001). In addition, the elevated expression of AKT2 protein was significantly
associated with positive recurrence (P=0.023), the presence of metastasis
(P=0.006) and poor response to chemotherapy (P=0.015). Furthermore, patients with
high AKT2 expression had significantly shorter event-free survival (P<0.001) and
overall survival times (P<0.001) than those with lower expression levels.
Multivariate analysis further demonstrated that AKT2 expression (P=0.029 and
0.016, respectively), the status of recurrence (P=0.018 and 0.012, respectively)
and metastasis (P=0.020 and 0.015, respectively), and the response to
chemotherapy (P=0.011 and 0.008, respectively) were all independent prognostic
factors for event-free survival and overall survival time. To the best of our
knowledge, these data have supported the findings for the first time, that the
elevated expression of AKT2 may be associated with aggressive clinical behavior
and poor outcome in patients with osteosarcomas. Therefore, AKT2 may be a
candidate marker of unfavorable prognosis in osteosarcoma.
PMID- 24919956
TI - One-pot synthesis of benzo[4,5]imidazo[1,2-a]quinazoline derivatives via facile
transition-metal-free tandem process.
AB - A one-pot transition metal-free method for synthesizing benzo[4,5]imidazo[1,2
a]quinazoline and imidazo[1,2-a]quinazoline derivatives has been developed. The
approach is widely applicable to 2-fluoro-, 2-chloro-, 2-bromo- and 2-nitro
substituted aryl aldehyde and ketone substrates. The fluorescence properties of
target compounds were studied.
PMID- 24919957
TI - New avenues in the directed deprotometallation of aromatics: recent advances in
directed cupration.
AB - Recent advances in the selective deprotometallation of aromatic reagents using
alkali metal cuprates are reported. The ability of these synergic bases to effect
deprotonation under the influence of a directing group is explored in the context
of achieving new and more efficient organic transformations whilst encouraging
greater ancillary group tolerance by the base. Developments in our understanding
of the structural chemistry of alkali metal cuprates are reported, with both
Gilman cuprates of the type R2CuLi and Lipshutz and related cuprates of the type
R2Cu(X)Li2 (X = inorganic anion) elucidated and rationalised in terms of ligand
sterics. The generation of new types of cuprate motif are introduced through the
development of adducts between different classes of cuprate. The use of DFT
methods to interrogate the mechanistic pathways towards deprotonative metallation
is described. Theoretical modelling of in situ rearrangements undergone by the
cuprate base are discussed, with a view to understanding the relationship between
R2CuLi and R2Cu(X)Li2, their interconversion and the implications of this for
cuprate reactivity. The advent of a new class of adduct between different cuprate
types is developed and interpreted in terms of the options for expelling LiX from
R2Cu(X)Li2. Applications in the field of medicinal chemistry and (hetero)arene
derivatization are explored.
PMID- 24919958
TI - Coarse-grained simulations of an ionic liquid-based capacitor: II. Asymmetry in
ion shape and charge localization.
AB - In this work, which is a continuation of part I, we introduce a primitive model
for an ionic liquid (IL) that can account for the planar shape of cations typical
for ILs like imidazolium. The model consists of a spherical anion and a
triangular cation consisting of three spheres, where one or all three vertices of
the triangle can carry electric charge. We use molecular dynamics simulations to
study the differential capacitance Cd of an ionic liquid confined between two
planar electrodes. Our goal is to elucidate the complex dependence of Cd on the
electrode potential U in terms of simple entities such as the shape and charge
distribution of the ions. For this purpose, we compare the results from the
current model to the results based on the models with spherical cations that
possess asymmetry in ion valence and shape that were analyzed in detail in part I
of this work. We show that the various possible stackings of the triangles near
the cathode lead to noticeable new features in Cd(U) as compared to the spherical
models. Different distributions of charges on the triangle lead to different
preferred orientations of the cations near the cathode that are moreover
potential dependent.
PMID- 24919960
TI - Temporin-SHa peptides grafted on gold surfaces display antibacterial activity.
AB - Development of resistant bacteria onto biomaterials is a major problem leading to
nosocomial infections. Antimicrobial peptides are good candidates for the
generation of antimicrobial surfaces because of their broad-spectrum activity and
their original mechanism of action (i.e. rapid lysis of the bacterial membrane)
making them less susceptible to the development of bacterial resistance. In this
study, we report on the covalent immobilisation of temporin-SHa on a gold surface
modified by a thiolated self-assembled monolayer. Temporin-SHa (FLSGIVGMLGKLF
amide) is a small hydrophobic and low cationic antimicrobial peptide with potent
and very broad-spectrum activity against Gram-positive and Gram-negative
bacteria, yeasts and parasites. We have analysed the influence of the binding
mode of temporin-SHa on the antibacterial efficiency by using a covalent binding
either via the peptide NH2 groups (random grafting of alpha- and epsilon-NH2 to
the surface) or via its C-terminal end (oriented grafting using the analogue
temporin-SHa-COOH). The surface functionalization was characterised by IR
spectroscopy (polarisation modulation reflection absorption IR spectroscopy)
while antibacterial activity against Listeria ivanovii was assessed by microscopy
techniques, such as atomic force microscopy and scanning electron microscopy
equipped with a field emission gun. Our results revealed that temporin-SHa
retains its antimicrobial activity after covalent grafting. A higher amount of
bound temporin-SHa is observed for the C-terminally oriented grafting compared
with the random grafting (NH2 groups). Temporin-SHa therefore represents an
attractive candidate as antimicrobial coating agent.
PMID- 24919961
TI - Video-feedback Intervention to promote Positive Parenting adapted to Autism (VIPP
AUTI): A randomized controlled trial.
AB - In a randomized controlled trial, we evaluated the early intervention program
Video-feedback Intervention to promote Positive Parenting adapted to Autism (VIPP
AUTI) with 78 primary caregivers and their child (16-61 months) with Autism
Spectrum Disorder. VIPP-AUTI is a brief attachment-based intervention program,
focusing on improving parent-child interaction and reducing the child's
individual Autism Spectrum Disorder-related symptomatology in five home visits.
VIPP-AUTI, as compared with usual care, demonstrated efficacy in reducing
parental intrusiveness. Moreover, parents who received VIPP-AUTI showed increased
feelings of self-efficacy in child rearing. No significant group differences were
found on other aspects of parent-child interaction or on child play behavior. At
3-months follow-up, intervention effects were found on child-initiated joint
attention skills, not mediated by intervention effects on parenting.
Implementation of VIPP-AUTI in clinical practice is facilitated by the use of a
detailed manual and a relatively brief training of interveners.
PMID- 24919962
TI - Inclusion of costs in conservation planning depends on limited datasets and
hopeful assumptions.
AB - Many conservation organizations use spatial prioritization to help identify
locations in which to work. Increasingly, prioritizations seek to account for
spatial heterogeneity in the costs of conservation, motivated in part by claims
of large efficiency savings when these costs are included. I critically review
the cost estimates on which such claims are based, focusing on acquisition and
management costs associated with terrestrial protected areas. If researchers are
to evaluate how including costs affects conservation planning outcomes,
estimation methods need to preserve the covariation between and relative
variation within costs and benefits of conservation activities. However, widely
used methods for estimating costs and incorporating them into prioritizations may
not meet these standards. For example, among relevant studies, there is
surprisingly little attention given to the costs that conservation organizations
actually face. Instead, there is a heavy reliance on untested proxies for
conservation costs. Analytical shortcuts are also common. Now that debate is
moving beyond whether to account for costs in conservation planning, it is time
to evaluate just how we can include them to greatest effect.
PMID- 24919963
TI - Low-density lipoprotein cholesterol and radiotherapy-induced carotid
atherosclerosis in subjects with head and neck cancer.
AB - BACKGROUND: Radiotherapy (RT) is a risk factor for accelerated carotid artery
atherosclerotic disease in subjects with head and neck cancer. However, the risk
factors of RT-induced carotid artery remodeling are not established. This study
aimed to investigate the effects of RT on carotid and popliteal arteries in
subjects with head and neck cancer and to evaluate the relationship between
baseline clinical and laboratory features and the progression of RT-induced
atherosclerosis. FINDINGS: Eleven men (age = 57.9 +/- 6.2years) with head and
neck cancer who underwent cervical bilateral irradiation were prospectively
examined by clinical and laboratory analysis and by carotid and popliteal
ultrasound before and after treatment (mean interval between the end of RT and
the post-RT assessment = 181 +/- 47 days). No studied subject used
hypocholesterolemic medications. Significant increases in carotid intima-media
thickness (IMT) (0.95 +/- 0.08 vs. 0.87 +/- 0.05 mm; p < 0.0001) and carotid
IMT/diameter ratio (0.138 +/- 0.013 vs. 0.129 +/- 0.014; p = 0.001) were observed
after RT, while no changes in popliteal structural features were detected. In
addition, baseline low-density lipoprotein cholesterol levels showed a direct
correlation with RT-induced carotid IMT change (r = 0.66; p = 0.027), while no
other studied variable exhibited a significant relationship with carotid IMT
change. CONCLUSIONS: These results indicate that RT-induced atherosclerosis is
limited to the irradiated area and also suggest that it may be predicted by low
density lipoprotein cholesterol levels in subjects with head and neck cancer.
PMID- 24919964
TI - Fast prediction of adsorption properties for platinum nanocatalysts with
generalized coordination numbers.
AB - Platinum is a prominent catalyst for a multiplicity of reactions because of its
high activity and stability. As Pt nanoparticles are normally used to maximize
catalyst utilization and to minimize catalyst loading, it is important to
rationalize and predict catalytic activity trends in nanoparticles in simple
terms, while being able to compare these trends with those of extended surfaces.
The trends in the adsorption energies of small oxygen- and hydrogen-containing
adsorbates on Pt nanoparticles of various sizes and on extended surfaces were
analyzed through DFT calculations by making use of the generalized coordination
numbers of the surface sites. This simple and predictive descriptor links the
geometric arrangement of a surface to its adsorption properties. It generates
linear adsorption-energy trends, captures finite-size effects, and provides more
accurate descriptions than d-band centers and usual coordination numbers. Unlike
electronic-structure descriptors, which require knowledge of the densities of
states, it is calculated manually. Finally, it was shown that an approximate
equivalence exists between generalized coordination numbers and d-band centers.
PMID- 24919965
TI - Comparison of image-guided targeted biopsies versus systematic randomized
biopsies in the detection of prostate cancer: a systematic literature review of
well-designed studies.
AB - OBJECTIVE: The clinical utility of image-targeted biopsies can only be judged by
a comparison of the current standard of systematic 10-12 core biopsy schemes. The
aim of this review was to gather the current evidence in favor of or against
targeted biopsies in the detection of prostate cancer based on well-designed,
controlled studies, in order to draw clinical relevant conclusions.
SUBJECTS/PATIENTS AND METHODS: A systematic literature review was performed
addressing studies that compared the prostate cancer detection rates of targeted
and systematic biopsy schemes using the imaging techniques of elastography,
contrast-enhanced ultrasound, histoscanning and multiparametric MRI. Only well
designed, controlled studies were included and the results summarized. RESULTS:
All imaging techniques are associated with varying results regarding better or
poorer detection rates relative to systematic biopsies. No technique provides a
clear trend in favor of or against image-targeted biopsies. In almost all
studies, the combination of targeted and systematic biopsies provided sometimes a
substantial, increase in the detection rate relative to systematic biopsies
alone. MRI-targeted biopsies show no advantage in the initial biopsy setting,
whereas in the repeat biopsy setting improvements in the detection rates are
often observed relative to systemic biopsies. CONCLUSION: Based on well-designed,
controlled studies no clear advantage of targeted biopsies over the current
standard of systematic biopsies can be observed. Therefore, targeted biopsies
cannot replace systematic biopsies in the diagnosis of prostate cancer. In all
indications, the combination of systematic and targeted biopsy schemes provides
the highest detection rate.
PMID- 24919966
TI - Reply: Retinopathy, histidine-rich protein-2 and perfusion pressure in cerebral
malaria.
PMID- 24919968
TI - Retinopathy, histidine-rich protein-2 and perfusion pressure in cerebral malaria.
PMID- 24919967
TI - Connexin-43 induces chemokine release from spinal cord astrocytes to maintain
late-phase neuropathic pain in mice.
AB - Accumulating evidence suggests that spinal cord astrocytes play an important role
in neuropathic pain sensitization by releasing astrocytic mediators (e.g.
cytokines, chemokines and growth factors). However, it remains unclear how
astrocytes control the release of astrocytic mediators and sustain late-phase
neuropathic pain. Astrocytic connexin-43 (now known as GJ1) has been implicated
in gap junction and hemichannel communication of cytosolic contents through the
glial syncytia and to the extracellular space, respectively. Connexin-43 also
plays an essential role in facilitating the development of neuropathic pain, yet
the mechanism for this contribution remains unknown. In this study, we
investigated whether nerve injury could upregulate connexin-43 to sustain late
phase neuropathic pain by releasing chemokine from spinal astrocytes. Chronic
constriction injury elicited a persistent upregulation of connexin-43 in spinal
astrocytes for >3 weeks. Spinal (intrathecal) injection of carbenoxolone (a non
selective hemichannel blocker) and selective connexin-43 blockers (connexin-43
mimetic peptides (43)Gap26 and (37,43)Gap27), as well as astroglial toxin but not
microglial inhibitors, given 3 weeks after nerve injury, effectively reduced
mechanical allodynia, a cardinal feature of late-phase neuropathic pain. In
cultured astrocytes, TNF-alpha elicited marked release of the chemokine CXCL1,
and the release was blocked by carbenoxolone, Gap26/Gap27, and connexin-43 small
interfering RNA. TNF-alpha also increased connexin-43 expression and hemichannel
activity, but not gap junction communication in astrocyte cultures prepared from
cortices and spinal cords. Spinal injection of TNF-alpha-activated astrocytes was
sufficient to induce persistent mechanical allodynia, and this allodynia was
suppressed by CXCL1 neutralization, CXCL1 receptor (CXCR2) antagonist, and
pretreatment of astrocytes with connexin-43 small interfering RNA. Furthermore,
nerve injury persistently increased excitatory synaptic transmission (spontaneous
excitatory postsynaptic currents) in spinal lamina IIo nociceptive synapses in
the late phase, and this increase was suppressed by carbenoxolone and Gap27, and
recapitulated by CXCL1. Together, our findings demonstrate a novel mechanism of
astrocytic connexin-43 to enhance spinal cord synaptic transmission and maintain
neuropathic pain in the late-phase via releasing chemokines.
PMID- 24919969
TI - Visual short-term memory deficits associated with GBA mutation and Parkinson's
disease.
AB - Individuals with mutation in the lysosomal enzyme glucocerebrosidase (GBA) gene
are at significantly high risk of developing Parkinson's disease with cognitive
deficit. We examined whether visual short-term memory impairments, long
associated with patients with Parkinson's disease, are also present in GBA
positive individuals-both with and without Parkinson's disease. Precision of
visual working memory was measured using a serial order task in which
participants observed four bars, each of a different colour and orientation,
presented sequentially at screen centre. Afterwards, they were asked to adjust a
coloured probe bar's orientation to match the orientation of the bar of the same
colour in the sequence. An additional attentional 'filtering' condition tested
patients' ability to selectively encode one of the four bars while ignoring the
others. A sensorimotor task using the same stimuli controlled for perceptual and
motor factors. There was a significant deficit in memory precision in GBA
positive individuals-with or without Parkinson's disease-as well as GBA-negative
patients with Parkinson's disease, compared to healthy controls. Worst recall was
observed in GBA-positive cases with Parkinson's disease. Although all groups were
impaired in visual short-term memory, there was a double dissociation between
sources of error associated with GBA mutation and Parkinson's disease. The
deficit observed in GBA-positive individuals, regardless of whether they had
Parkinson's disease, was explained by a systematic increase in interference from
features of other items in memory: misbinding errors. In contrast, impairments in
patients with Parkinson's disease, regardless of GBA status, was explained by
increased random responses. Individuals who were GBA-positive and also had
Parkinson's disease suffered from both types of error, demonstrating the worst
performance. These findings provide evidence for dissociable signature deficits
within the domain of visual short-term memory associated with GBA mutation and
with Parkinson's disease. Identification of the specific pattern of cognitive
impairment in GBA mutation versus Parkinson's disease is potentially important as
it might help to identify individuals at risk of developing Parkinson's disease.
PMID- 24919970
TI - Music, reward and frontotemporal dementia.
PMID- 24919971
TI - Large scale screening of neural signatures of consciousness in patients in a
vegetative or minimally conscious state.
AB - In recent years, numerous electrophysiological signatures of consciousness have
been proposed. Here, we perform a systematic analysis of these
electroencephalography markers by quantifying their efficiency in differentiating
patients in a vegetative state from those in a minimally conscious or conscious
state. Capitalizing on a review of previous experiments and current theories, we
identify a series of measures that can be organized into four dimensions: (i)
event-related potentials versus ongoing electroencephalography activity; (ii)
local dynamics versus inter-electrode information exchange; (iii) spectral
patterns versus information complexity; and (iv) average versus fluctuations over
the recording session. We analysed a large set of 181 high-density
electroencephalography recordings acquired in a 30 minutes protocol. We show that
low-frequency power, electroencephalography complexity, and information exchange
constitute the most reliable signatures of the conscious state. When combined,
these measures synergize to allow an automatic classification of patients' state
of consciousness.
PMID- 24919972
TI - High frequency oscillations are associated with cognitive processing in human
recognition memory.
AB - High frequency oscillations are associated with normal brain function, but also
increasingly recognized as potential biomarkers of the epileptogenic brain. Their
role in human cognition has been predominantly studied in classical gamma
frequencies (30-100 Hz), which reflect neuronal network coordination involved in
attention, learning and memory. Invasive brain recordings in animals and humans
demonstrate that physiological oscillations extend beyond the gamma frequency
range, but their function in human cognitive processing has not been fully
elucidated. Here we investigate high frequency oscillations spanning the high
gamma (50-125 Hz), ripple (125-250 Hz) and fast ripple (250-500 Hz) frequency
bands using intracranial recordings from 12 patients (five males and seven
females, age 21-63 years) during memory encoding and recall of a series of
affectively charged images. Presentation of the images induced high frequency
oscillations in all three studied bands within the primary visual, limbic and
higher order cortical regions in a sequence consistent with the visual processing
stream. These induced oscillations were detected on individual electrodes
localized in the amygdala, hippocampus and specific neocortical areas, revealing
discrete oscillations of characteristic frequency, duration and latency from
image presentation. Memory encoding and recall significantly modulated the number
of induced high gamma, ripple and fast ripple detections in the studied
structures, which was greater in the primary sensory areas during the encoding
(Wilcoxon rank sum test, P = 0.002) and in the higher-order cortical association
areas during the recall (Wilcoxon rank sum test, P = 0.001) of memorized images.
Furthermore, the induced high gamma, ripple and fast ripple responses
discriminated the encoded and the affectively charged images. In summary, our
results show that high frequency oscillations, spanning a wide range of
frequencies, are associated with memory processing and generated along
distributed cortical and limbic brain regions. These findings support an
important role for fast network synchronization in human cognition and extend our
understanding of normal physiological brain activity during memory processing.
PMID- 24919973
TI - On the nature of seizure dynamics.
AB - Seizures can occur spontaneously and in a recurrent manner, which defines
epilepsy; or they can be induced in a normal brain under a variety of conditions
in most neuronal networks and species from flies to humans. Such universality
raises the possibility that invariant properties exist that characterize seizures
under different physiological and pathological conditions. Here, we analysed
seizure dynamics mathematically and established a taxonomy of seizures based on
first principles. For the predominant seizure class we developed a generic model
called Epileptor. As an experimental model system, we used ictal-like discharges
induced in vitro in mouse hippocampi. We show that only five state variables
linked by integral-differential equations are sufficient to describe the onset,
time course and offset of ictal-like discharges as well as their recurrence. Two
state variables are responsible for generating rapid discharges (fast time
scale), two for spike and wave events (intermediate time scale) and one for the
control of time course, including the alternation between 'normal' and ictal
periods (slow time scale). We propose that normal and ictal activities coexist: a
separatrix acts as a barrier (or seizure threshold) between these states. Seizure
onset is reached upon the collision of normal brain trajectories with the
separatrix. We show theoretically and experimentally how a system can be pushed
toward seizure under a wide variety of conditions. Within our experimental model,
the onset and offset of ictal-like discharges are well-defined mathematical
events: a saddle-node and homoclinic bifurcation, respectively. These
bifurcations necessitate a baseline shift at onset and a logarithmic scaling of
interspike intervals at offset. These predictions were not only confirmed in our
in vitro experiments, but also for focal seizures recorded in different
syndromes, brain regions and species (humans and zebrafish). Finally, we
identified several possible biophysical parameters contributing to the five state
variables in our model system. We show that these parameters apply to specific
experimental conditions and propose that there exists a wide array of possible
biophysical mechanisms for seizure genesis, while preserving central invariant
properties. Epileptor and the seizure taxonomy will guide future modeling and
translational research by identifying universal rules governing the initiation
and termination of seizures and predicting the conditions necessary for those
transitions.
PMID- 24919975
TI - Salpingectomy for tubal ectopic pregnancy is appropriate in the presence of
healthy-looking contralateral tube.
PMID- 24919974
TI - Secondary prevention medication after myocardial infarction: persistence in
elderly people over the course of 1 year.
AB - AIMS: Persistent use of guideline-recommended drugs after acute myocardial
infarction (AMI) is frequently reported to be inadequate in the elderly and
scarce knowledge exists about factors that influence persistence in outpatient
care. Our aim was to evaluate drug use and its predictors in survivors of AMI
above 64 years from hospital discharge to 1-year post-AMI. METHODS: In a single
centre randomised controlled trial, discharge medication of 259 patients with AMI
was obtained from medical records at hospital stay. Follow-up drug use and use of
the healthcare system were self-reported to study nurses over 1 year in 3-month
intervals. Predictors for persistence were modelled with multivariate logistic
regression analysis considering demographics, co-morbidities and treatment
characteristics. RESULTS: At discharge, 99.2 % of the patients used anti
platelets, 86.5 % beta blockers, 95.0 % statins and 90.4 % angiotensin-converting
enzyme inhibitors or angiotensin receptor blockers. Use of the combination of all
four drug classes decreased from discharge to 1 year post-AMI from 74.1 to 37.8 %
and was significantly reduced by age >=75 years (odds ratio [OR] 0.49; 95 %
confidence interval [CI] 0.29-0.85) and ten or more visits with general
practitioners (GPs) over 1 year (OR 0.29; 95 % CI 0.17-0.51). Persistence from
month 3 to 12 was significantly associated with drug use at discharge for the
single drug classes, but not for the drug combination. CONCLUSION: Older age and
frequent GP visits are associated with decreased use of the guideline-recommended
drug combination after AMI. Further research is needed to specify underlying
reasons and develop measures to improve persistence.
PMID- 24919976
TI - Dexamethasone may be a viable alternative to prednisone/prednisolone for the
treatment of acute asthma exacerbation in the paediatric emergency department.
PMID- 24919977
TI - Radical prostatectomy reduces prostate cancer-specific mortality among men with
intermediate-grade disease, but provides minimal benefit for men with low-grade
and high-grade disease.
PMID- 24919978
TI - The use of artificial crabs for testing predatory behavior and health in the
octopus.
AB - The willingness of the cephalopod mollusc Octopus vulgaris to attack a live crab
is traditionally used as a method to assess the overall health and welfare of
octopuses in the laboratory. This method requires placing a crab in the home tank
of an animal, measuring the time (latency) taken for the octopus to initiate an
attack and withdrawing the crab immediately prior to capture. The same crab is
commonly used to assess multiple octopuses as part of daily welfare assessment.
Growing concern for the welfare of crustaceans and a review of all laboratory
practices for the care and welfare of cephalopods following the inclusion of this
taxon in 2010/63/EU prompted a study of the utility of an artificial crab to
replace a live crab in the assessment of octopus health. On consecutive days O.
vulgaris (N=21) were presented with a live, a dead or an artificial crab, and the
latency to attack measured. Despite differences in the predatory performance
towards the three different crab alternatives, octopuses readily attacked the
artificial (and the dead) crab, showing that they can generalize and respond
appropriately towards artificial prey. Researchers should consider using an
artificial crab to replace the use of a live crab as part of the routine health
assessment of O. vulgaris.
PMID- 24919979
TI - Analysis of secondary outcomes in nested case-control study designs.
AB - One of the main perceived advantages of using a case-cohort design compared with
a nested case-control design in an epidemiologic study is the ability to evaluate
with the same subcohort outcomes other than the primary outcome of interest. In
this paper, we show that valid inferences about secondary outcomes can also be
achieved in nested case-control studies by using the inclusion probability
weighting method in combination with an approximate jackknife standard error that
can be computed using existing software. Simulation studies demonstrate that when
the sample size is sufficient, this approach yields valid type 1 error and
coverage rates for the analysis of secondary outcomes in nested case-control
designs. Interestingly, the statistical power of the nested case-control design
was comparable with that of the case-cohort design when the primary and secondary
outcomes were positively correlated. The proposed method is illustrated with the
data from a cohort in Cardiovascular Health Study to study the association of C
reactive protein levels and the incidence of congestive heart failure.
PMID- 24919980
TI - Rituximab for treatment of inhibitors in haemophilia A. A Phase II study.
AB - The development of antibodies against infused factor VIII (FVIII) in patients
with haemophilia A is a serious complication leading to poorly controlled
bleeding and increased morbidity. No treatment has been proven to reduce high
titre antibodies in patients who fail immune tolerance induction or are not
candidates for it. The Rituximab for the Treatment of Inhibitors in Congenital
Hemophilia A (RICH) study was a phase II trial to assess whether rituximab can
reduce anamnestic FVIII antibody (inhibitor) titres. Male subjects with severe
congenital haemophilia A and an inhibitor titre >=5 Bethesda Units/ml (BU)
following a FVIII challenge infusion received rituximab 375 mg/m2 weekly for
weeks 1 through 4. Post-rituximab inhibitor titres were measured monthly from
week 6 through week 22 to assess treatment response. Of 16 subjects who received
at least one dose of rituximab, three (18.8%) met the criteria for a major
response, defined as a fall in inhibitor titre to <5 BU, persisting after FVIII
re-challenge. One subject had a minor response, defined as a fall in inhibitor
titre to <5 BU, increasing to 5-10 BU after FVIII re-challenge, but <50% of the
original peak inhibitor titre. Rituximab is useful in lowering inhibitor levels
in patients, but its effect as a solo treatment strategy is modest. Future
studies are indicated to determine the role of rituximab as an adjunctive therapy
in immune tolerisation strategies.
PMID- 24919983
TI - Differential diagnosis of pancreatic serous oligocystic adenoma and mucinous
cystic neoplasm with spectral CT imaging: initial results.
AB - AIM: To investigate the imaging characteristics of pancreatic serous oligocystic
adenoma (SOA) and mucinous cystic neoplasms (MCNs) using spectral computed
tomography (CT) and to evaluate whether quantitative information derived from
spectral imaging can improve the differential diagnosis of these diseases.
MATERIALS AND METHODS: From February 2010 to June 2013, 44 patients (24 SOAs and
20 MCNs) who underwent spectral CT imaging were included in the study.
Conventional characteristics and quantitative parameters were compared between
the two disease groups. Logistic regression was used for multiparametric
analysis. The receiver-operating characteristic curve was used to evaluate the
diagnostic performance of single parameter and multiparametric analysis. Two
radiologists diagnosed the diseases blinded and independently, without and with
the information of the statistical analysis. RESULTS: Tumour location, contour,
size, and monochromatic CT values at 40 keV to 70 keV, iodine concentration, and
effective atomic number (effective-Z) in the late arterial phase were the
independent factors correlated with category. Multiparametric analysis with
logistic regression showed that tumour size, location, and contour were the most
effective variations, and obtained an area under the ROC curve (AUC) of 0.934.
With the knowledge of statistical analysis, the accuracy of the first reader
increased from 70.5% to 86.4%, and the accuracy of the second reader increased
from 81.8% to 90.9%. CONCLUSIONS: Although CT spectral imaging provided
additional information and multiparametric analysis obtained better performance
than single-parameter analysis in differentiating MCNs from SOAs, multiparametric
analysis with the combination of quantitative parameters derived from CT spectral
imaging did not improve the diagnostic performance. Tumour size, location, and
contour played an important role in differentiating MCNs from SOAs.
PMID- 24919981
TI - Genetic control of functional traits related to photosynthesis and water use
efficiency in Pinus pinaster Ait. drought response: integration of genome
annotation, allele association and QTL detection for candidate gene
identification.
AB - BACKGROUND: Understanding molecular mechanisms that control photosynthesis and
water use efficiency in response to drought is crucial for plant species from dry
areas. This study aimed to identify QTL for these traits in a Mediterranean
conifer and tested their stability under drought. RESULTS: High density linkage
maps for Pinus pinaster were used in the detection of QTL for photosynthesis and
water use efficiency at three water irrigation regimes. A total of 28 significant
and 27 suggestive QTL were found. QTL detected for photochemical traits accounted
for the higher percentage of phenotypic variance. Functional annotation of genes
within the QTL suggested 58 candidate genes for the analyzed traits. Allele
association analysis in selected candidate genes showed three SNPs located in a
MYB transcription factor that were significantly associated with efficiency of
energy capture by open PSII reaction centers and specific leaf area. CONCLUSIONS:
The integration of QTL mapping of functional traits, genome annotation and allele
association yielded several candidate genes involved with molecular control of
photosynthesis and water use efficiency in response to drought in a conifer
species. The results obtained highlight the importance of maintaining the
integrity of the photochemical machinery in P. pinaster drought response.
PMID- 24919984
TI - Neural correlates of attention to emotional facial expressions in dysphoria.
AB - The present study investigated whether dysphoric individuals have a difficulty in
disengaging attention from negative stimuli and/or reduced attention to positive
information. Sad, neutral and happy facial stimuli were presented in an attention
shifting task to 18 dysphoric and 18 control participants. Reaction times to
neutral shapes (squares and diamonds) and the event-related potentials to
emotional faces were recorded. Dysphoric individuals did not show impaired
attentional disengagement from sad faces or facilitated disengagement from happy
faces. Right occipital lateralisation of P100 was absent in dysphoric
individuals, possibly indicating reduced attention-related sensory facilitation
for faces. Frontal P200 was largest for sad faces among dysphoric individuals,
whereas controls showed larger amplitude to both sad and happy as compared with
neutral expressions, suggesting that dysphoric individuals deployed early
attention to sad, but not happy, expressions. Importantly, the results were
obtained controlling for the participants' trait anxiety. We conclude that at
least under some circumstances the presence of depressive symptoms can modulate
early, automatic stages of emotional processing.
PMID- 24919982
TI - Models of consent to return of incidental findings in genomic research.
AB - Genomic research-including whole genome sequencing and whole exome sequencing-has
a growing presence in contemporary biomedical investigation. The capacity of
sequencing techniques to generate results that go beyond the primary aims of the
research-historically referred to as "incidental findings"-has generated
considerable discussion as to how this information should be handled-that is,
whether incidental results should be returned, and if so, which ones.Federal
regulations governing most human subjects research in the United States require
the disclosure of "the procedures to be followed" in the research as part of the
informed consent process. It seems reasonable to assume-and indeed, many
commentators have concluded-that genomic investigators will be expected to inform
participants about, among other procedures, the prospect that incidental findings
will become available and the mechanisms for dealing with them. Investigators,
most of whom will not have dealt with these issues before, will face considerable
challenges in framing meaningful disclosures for research participants.To help in
this task, we undertook to identify the elements that should be included in the
informed consent process related to incidental findings. We did this by surveying
a large number of genomic researchers (n = 241) and by conducting in-depth
interviews with a smaller number of researchers (n = 28) and genomic research
participants (n = 20). Based on these findings, it seems clear to us that routine
approaches to informed consent are not likely to be effective in genomic research
in which the prospect of incidental findings exists. Ensuring that participants'
decisions are informed and meaningful will require innovative approaches to
dealing with the consent issue. We have identified four prototypical models of a
consent process for return of incidental findings.
PMID- 24919985
TI - Anxiolytic-like effect of Illicium verum fruit oil, trans-anethole and related
compounds in mice.
AB - The fruit of Illicium verum Hook. f. (star anise) is used by many as a spice. The
fragrance of I. verum fruit is characteristically anise-like. In this study,
hexane-extracted I. verum fruit oil (IVO), trans-anethole as the main component,
and related compounds (propiophenone, 4'-methoxy-propiophenone, trans-beta
methylstyrene) were analyzed in order to clarify the emotional effect of inhaling
the fragrance of I. verum fruit. As a result, although 4 MUL/L air IVO did not
exhibit an anxiolytic-like effect, 1 MUL/L air trans-anethole exhibited a
significant effect (p < 0.05). Moreover, the anxiolytic-like effect of 1 MUL/L
air trans-anethole was significantly greater than 1 MUL/L air propiophenone and 1
MUL/L air 4'-methoxy-propiophenone (p < 0.05). Thus, the anxiolytic-like effect
of trans-anethole was confirmed, and it is proposed that the methoxyl group and 1
propenyl group in the para position of the benzene ring are necessary for the
effect.
PMID- 24919986
TI - The influence of focal spot size, shape, emission profile and position on field
coverage in a Gulmay D3300 Kilovoltage X-ray therapy unit.
AB - An important characteristic of kilovoltage therapy is the narrow penumbra
obtainable with a well designed collimator system. A graphical illustration of
applicator geometry is used to show that undesirable penumbral broadening and
consequent reduction of field coverage could result if the upper aperture in an
applicator is smaller than a critical size or if the applicator is not
sufficiently well aligned with the focal spot. This concept is applied in an
investigation of the formation of penumbra in the Gulmay D3300, in which the
influence of the focal spot size, shape and emission profile, obtained from an
image of the focal spot produced using a pin-hole in a sheet of lead, is
elucidated. The effective focal spot of the Varian X-ray tube was observed to be
rectangular, significantly longer in the front-back direction (6 mm) than in the
anode-cathode direction (3.5 mm) and quite non-uniform in emission intensity over
its length, with pronounced hot-spots at each end. It is shown that this results
in a penumbra which is slightly broadened in the front-back direction when the
alignment is perfect, but significantly broadened asymmetrically even when the
alignment just meets the manufacturer's stated tolerance. Consequently the
alignment, which is performed with an alignment jig supplied by the manufacturer,
needs to be very precise to obtain acceptable field coverage, which needs to be
checked following an X-ray tube change.
PMID- 24919987
TI - The Medicare readmission measure for surgical hospitalizations: awful or just not
very good?
PMID- 24919988
TI - Arsenic speciation in rice-based food for adults with celiac disease.
AB - Celiac disease (CD) may affect up to 1% of the Western population. It is a
disease whose diagnosis has been made mainly in childhood, but now the profile
has changed, with one out of five newly diagnosed individuals being over 65 years
old. The only treatment for this population is a gluten-free diet. Therefore, the
objective of this study was to analyse the occurrence of arsenic (As) in gluten
free products, basically those rice-based, intended for celiac adult consumers.
The current study demonstrated that these rice-based products contained important
contents of total arsenic (t-As) (up to 120 MUg kg(-1)) and inorganic arsenic (i
As) (up to 85.8 MUg kg(-1)). It was estimated that the contents of t-As and i-As
in rice used as the main ingredient of these foods were as high as 235 and 198
MUg kg(-1), respectively. The estimated daily intake of i-As was 0.46 and 0.45
MUg kg(-1) bw in women and men of 58 and 75 kg of body weight (bw), respectively.
These values indicate that a health risk to these consumers cannot be excluded.
Finally, legislation is needed to delimit the safety intake by health agencies
and to improve the labelling of these special rice-based foods for celiac adult
consumers. The label should include information about percentage, geographical
origin and cultivar of the rice used; besides and if companies want to clearly
prove the safety of their products, the exact content of i-As should also be
included.
PMID- 24919989
TI - Direct enantioselective three-component synthesis of optically active
propargylamines in water.
AB - An enantioselective three-component reaction of aldehydes, amines, and alkynes in
water by using a bis(imidazoline)-Cu(I) catalysts having a hydrophobic
substituent and sodium dodecyl sulfate as a surfactant was developed. The
reaction was applied to a broad range of aldehydes and alkynes to give optically
active propargylamines with excellent yields (up to 99 %) and enantiomeric
excesses (up to 99 % ee).
PMID- 24919990
TI - Conformational preferences of peptide-peptoid hybrid oligomers.
AB - Peptomers are oligomeric molecules composed of both alpha-amino acids and N
substituted glycine monomers, thus creating a hybrid of peptide and peptoid
units. Peptomers have been used in several applications such as antimicrobials,
protease inhibitors, and antibody mimics. Despite the considerable promise of
peptomers as chemically diverse molecular scaffolds, we know little about their
conformational tendencies. This lack of knowledge limits the ability to implement
computational approaches for peptomer design. Here we computationally evaluate
the local structural propensities of the peptide-peptoid linkage. We find some
general similarities between the peptide residue conformational preferences and
the Ramachandran distribution of residues that precede proline in folded protein
structures. However, there are notable differences. For example, several beta
turn motifs are disallowed when the i+2 residue is also a peptoid monomer.
Significantly, the lowest energy geometry, when dispersion forces are accounted
for, corresponds to a "cis-Pro touch-turn" conformation, an unusual turn motif
that has been observed at protein catalytic centers and binding sites. The
peptomer touch-turn thus represents a useful design element for the construction
of folded oligomers capable of molecular recognition and as modules in the
assembly of structurally complex peptoid-protein hybrid macromolecules.
PMID- 24919991
TI - The role of gender, values, and culture in adolescent bystanders' strategies.
AB - We examined the relationship between adolescent bystanders' strategies for
intervening in the bullying-like situation and their gender, values, and cultural
origin. The sample consisted of 682 Estonian and Russian-Estonian adolescents (M
age = 13.02 years). They were shown a video of a bullying-like situation with a
non-intervening adult bystander and asked to describe what they would do if they,
instead of the adult, witnessed that situation. Only 10% said that they would not
intervene. Girls were more likely than boys to suggest multiple actions.
Adolescents who valued conformity were less likely to propose using physical
aggression. Doing nothing was less likely suggested by those who placed more
importance on conformity and less on power. Estonian adolescents were more likely
than their Russian-Estonian peers to suggest finding out what is going on, and
less likely to say that they would do nothing. The findings suggest that although
most adolescents express willingness to help the victim, they might not actually
know how to intervene.
PMID- 24919992
TI - Emotion dysregulation and drinking to cope as predictors and consequences of
alcohol-involved sexual assault: examination of short-term and long-term risk.
AB - The present study examined emotion dysregulation, coping drinking motives, and
alcohol-related problems as predictors and consequences of alcohol-involved
sexual assault (AISA). A convenience sample of 424 college women completed
confidential surveys on paper and online. Data were collected at baseline (T1),
weekly for 10 weeks (T2-10), and at 1 year (T11). The cross-sectional and
longitudinal associations among variables were examined in a cross-lagged panel
model. Within each time point, all variables were correlated. Drinking to cope
and emotion dysregulation predicted AISA in the short term (within 10 weeks),
alcohol problems increased risk for AISA in the long term (within 1 year), and
AISA history predicted AISA revictimization regardless of time frame. Drinking to
cope and alcohol-related problems predicted future victimization, but their
impact seems to fluctuate over time. Coping drinking motives were both a
predictor and consequence of AISA, suggesting a cyclical pattern. However,
additional analyses indicated that coping drinking motives and alcohol problems
might act as suppressors in the model. Overall, findings indicate that
interventions focused on improving emotion regulation skills may decrease short
term risk for AISA.
PMID- 24919993
TI - Experience of domestic violence and acceptance of intimate partner violence among
out-of-school adolescent girls in Iwaya Community, Lagos State.
AB - Gender-based domestic violence (DV) comes at great costs to the victims and
society at large. Yet, many women hold the view that intimate partner violence
(IPV) against women is appropriate behavior. This study aimed at exploring the
nexus of experience of different forms of DV and acceptance of IPV as appropriate
behavior. Using data from a survey of 480 out-of-school adolescent girls, the
researcher shows that psychological abuse is a significant predictor of approval
of DV resulting from the wife's failure to make food available for her husband
with victims of abuse approving of violence against women. Conversely, victims of
sexual abuse, more than nonvictims, disapproved of wife beating resulting from
the wife going out without informing the husband. The implications of the
findings are discussed and the study recommends deconstructing women's negative
beliefs upon which DV rests.
PMID- 24919994
TI - Women's perspectives on the context of violence and role of police in their
intimate partner violence arrest experiences.
AB - Intimate partner violence (IPV) accounts for up to 50% of all calls to police. In
an effort to standardize arrest criteria, mandatory arrest laws were established.
It is unclear whether subsequent increased rates of female arrest are due to
greater recognition of female IPV perpetrators or of women acting in self
defense. This study aims to understand the context and consequences of IPV
related arrest from perspectives of women arrested in a single metropolitan area.
Semi-structured qualitative interviews were conducted with women arrested and
court-ordered to attend IPV education groups at a women's shelter in the
Northeast United States. Interviews addressed circumstances surrounding arrest,
experience with past violence, and reasoning regarding use of partner violence.
Two researchers independently coded transcripts and met to iteratively refine the
code and review transcripts for themes. Eighteen women were interviewed. Major
themes that emerged were as follows: (a) Women's use of violence occurred within
the context of their own victimization; (b) the arrest included a complex
interplay between subject, partner, and police; (c) women perceived police arrest
decisions to be based on a limited understanding of context; and (d) women
experienced both positive and negative consequences of arrest. Many relationships
did not fall under the traditional victim/perpetrator construct. Rather, women's
use of violence evolved, influenced by prior experiences with violence. More
appropriate methods must be developed for making arrest decisions, guiding
justice system responses, and developing interventions for couples experiencing
IPV. Recognition that women's use of partner violence often represented either a
retaliatory or self-defensive gesture within the context of prior victimization
suggests that victims' interventions should not only focus on empowerment but
also provide skills and strategies to avoid temptation to adopt aggression as a
primary method of self-protection.
PMID- 24919995
TI - Intimate partner violence victims as mothers: their messages and strategies for
communicating with children to break the cycle of violence.
AB - Children whose mothers are victims of intimate partner violence (IPV) are at
increased risk of adverse health and psychosocial consequences, including
becoming victims or perpetrators of violence in their own relationships. This
study aimed to understand the role mothers may play in preventing the
perpetuation of violence in their children's lives. We performed semistructured
interviews with 18 IPV victims who are mothers and were living at the Women's
Center & Shelter of Greater Pittsburgh from July through November 2011. We sought
to understand how they communicate with their children about IPV and
relationships. These mothers described a desire to explain their IPV experience
and offer advice about avoiding violence in relationships. As foundations for
these discussions, they emphasized the importance of close relationships and open
communication with their children. Although mothers are interested in talking
about IPV and relationships and identify communication strategies for doing so,
many have never discussed these topics with their children. These mothers need
and want an intervention to help them learn how to communicate with their
children to promote healthy relationships. Development of a program to facilitate
communication between IPV victims and their children could create an important
tool to empower mothers to break the cross-generational cycle of domestic
violence.
PMID- 24919996
TI - A typology of community violence perpetration and victimization among adults with
mental illnesses.
AB - The primary objective of this article was to evaluate the overlap between
community violence perpetration and victimization in a large, heterogeneous
sample of adults with mental illnesses (N = 4,474). We also explored participant
characteristics differentiating four categories of perpetration and
victimization: non-victim/non-perpetrators, victims only, perpetrators only, and
victim-perpetrators. Results indicated that adults with mental illnesses were
unlikely to report violent outcomes but, when they did, were more likely to
report perpetration and victimization, rather than perpetration alone. In
addition, bivariate and multivariable analyses showed that sex, age,
race/ethnicity, and primary diagnosis differed across categories. Victim
perpetrators, for example, were more likely to be young, Black, and have a
primary diagnosis of bipolar disorder, major depressive disorder, substance use
disorder, or "other." Altogether, our findings provide evidence for a victim
perpetrator overlap in this population and suggest that preventive measures
targeting violence and victimization may be more effective than those with
separate strategies for each.
PMID- 24919997
TI - The association between substance use and intimate partner violence within Black
male same-sex relationships.
AB - Compared with the extant research on heterosexual intimate partner violence (IPV)
including the knowledge base on alcohol and illicit drug use as predictors of
such IPV-there is a paucity of studies on IPV among men who have sex with men
(MSM), especially Black MSM. This study investigates the prevalence of
experiencing and perpetrating IPV among a sample of Black MSM couples and
examines whether heavy drinking and/or illicit substance use is associated with
IPV. We conducted a secondary analysis on a data set from 74 individuals
(constituting 37 Black MSM couples) screened for inclusion in a couple-based HIV
prevention pilot study targeting methamphetamine-involved couples. More than one
third (n= 28, 38%) reported IPV at some point with the current partner: 24 both
experiencing and perpetrating, 2 experiencing only, and 2 perpetrating only. IPV
in the past 30 days was reported by 21 (28%) of the participants: 18 both
experiencing and perpetrating, 1 experiencing only, and 2 perpetrating only.
Heavy drinking and methamphetamine use each was associated significantly with
experiencing and perpetrating IPV throughout the relationship as well as in the
past 30 days. Rock/crack cocaine use was significantly associated with any
history of experiencing and perpetrating IPV. Altogether, IPV rates in this
sample of Black MSM couples equal or exceed those observed among women victimized
by male partners as well as the general population of MSM. This exploratory study
points to a critical need for further efforts to understand and address IPV among
Black MSM. Similar to heterosexual IPV, results point to alcohol and illicit drug
use treatment as important avenues to improve the health and social well-being of
Black MSM.
PMID- 24919998
TI - The normalization of sibling violence: does gender and personal experience of
violence influence perceptions of physical assault against siblings?
AB - Despite its pervasive and detrimental nature, sibling violence (SV) remains
marginalized as a harmless and inconsequential form of familial aggression. The
present study investigates the extent to which perceptions of SV differ from
those of other types of interpersonal violence. A total of 605 respondents (197
males, 408 females) read one of four hypothetical physical assault scenarios that
varied according to perpetrator-victim relationship type (i.e., sibling vs.
dating partner vs. peer vs. stranger) before completing a series of 24
attribution items. Respondents also reported on their own experiences of
interpersonal violence during childhood. Exploratory factor analysis reduced 23
attribution items to three internally reliable factors reflecting perceived
assault severity, victim culpability, and victim resistance ratings. A 4 * 2
MANCOVA-controlling for respondent age-revealed several significant effects.
Overall, males deemed the assault less severe and the victim more culpable than
did females. In addition, the sibling assault was deemed less severe compared to
assault on either a dating partner or a stranger, with the victim of SV rated
just as culpable as the victim of dating, peer, or stranger-perpetrated violence.
Finally, respondents with more (frequent) experiences of childhood SV
victimization perceived the hypothetical SV assault as being less severe, and
victim more culpable, than respondents with no SV victimization. Results are
discussed in the context of SV normalization. Methodological limitations and
applications for current findings are also outlined.
PMID- 24919999
TI - Risk levels, treatment duration, and drop out in a clinically composed outpatient
sex offender treatment group.
AB - Previous research in the Netherlands documented that clinical judgment may yield
a substantial amount of treatment referrals for sexual offenders that are
inconsistent with actuarial risk assessment and the Risk Need Responsivity (RNR)
principles. The present study tested the risk level distribution of a high
intensity, open-format outpatient treatment group. Eighty patients were enrolled
during a 620-week period, and their STATIC-99R risk levels were retrospectively
determined. The distribution of risk levels in this treatment group did not
differ from the distribution of a representative sample of sex offenders referred
to outpatient treatment in the Netherlands between 1996 and 2002 (n = 145), nor
from the combined Canadian samples (n = 2011) used to assess STATIC-99R normative
percentile. These findings suggest that no selection in terms of actuarial risk
level occurred between conviction and treatment, leading to over-inclusion of low
risk offenders in this high-intensity outpatient treatment group. It is concluded
that the standard use of structured risk assessment for the compilation of
treatment groups may improve both the effectiveness and efficiency of sex
offender treatment in the Netherlands.
PMID- 24920000
TI - Development and validation of the belief in Female Sexual Deceptiveness scale.
AB - This article describes the development of a scale measuring the extent of men's
belief in female sexual deceptiveness. This belief has been postulated as a
component of hostile masculinity and a precursor to more serious sexual-assault
facilitating cognitions, though it has not yet been studied empirically. From a
final pool of 22 items, the 14-item Belief in Female Sexual Deceptiveness (BFSD)
scale was constructed. Data were collected via online survey from 131
predominantly Hispanic college males; scale items were selected by exploratory
factor analysis. Three moderately strongly correlated factors emerged, though
they overlapped strongly and are currently considered only for future study. An 8
item short form of the BFSD scale (the BFSD-S) was created, as well. The full
BFSD scale showed strong internal consistency and significant correlations with
gender role attitudes, unequal/coercive relationship attitudes, history of
misperceiving women's platonic interest as sexual, history of sexual frustration
in relationships, adult attachment, belief in immanent justice, attitudes toward
intimate partner violence, and rape myth acceptance. Patterns of divergent
correlations with other measures also supported the scale's validity. The BFSD-S
performed nearly identically to the BFSD. Limitations, future directions, and
implications are discussed.
PMID- 24920001
TI - Mental health and bullying in the United States among children aged 6 to 17
years.
AB - This article examines the association between mental health disorders and being
identified as a bully among children between the ages of 6 and 17 years. Data
from the 2007 National Survey of Children's Health were examined. A total of
63,997 children had data for both parental reported mental health and bullying
status. Bivariate analysis and logistic regression was performed to assess the
association between mental health status and being identified as a bully with an
age-stratified analysis and sub-analysis by type of mental health disorder. In
2007, 15.2% of U.S. children ages 6 to 17 years were identified as bullies by
their parent or guardian. Children with a diagnosis of depression, anxiety, or
depression had a threefold increased odds of being a bully. The diagnosis of
depression is associated with a 3.31 increased odds (95% CI = [2.7, 4.07]) of
being identified as a bully. Children with anxiety and attention deficit and
hyperactivity disorder (ADHD) had similar odds. The diagnosis of a mental health
disorder is strongly associated with being identified as a bully. In particular,
depression, anxiety, and ADHD are strongly associated with being identified as a
bully. These findings emphasize the importance of providing psychological support
to not only victims of bullying but bullies as well. Understanding the risk
profile of childhood bullies is essential in gaining a better grasp of this
public health problem and in creating useful and appropriate resources and
interventions to decrease bullying.
PMID- 24920002
TI - Validating the virtual string task with the gap test.
AB - Relational concepts-such as connectedness-may be easy for human adults to
appreciate; but, obtaining evidence of other species' understanding of
connectedness has been challenging. One key test of connectedness involves an
organism's responding to variants of the string task. Using a virtual string
task, we gave pigeons a pair of strings from which to choose: one connected to a
full dish of food and a second disconnected from another full dish of food. Our
pigeons did not at first choose the connected string under conditions of non
differential reinforcement; later, the birds rapidly learned to choose the
connected string under conditions of differential reinforcement. Our results
replicate prior findings with real strings and food dishes, thereby demonstrating
that pigeons can appreciate the connectedness between a string tether and a dish
of food, and attesting to the utility and fidelity of the virtual string task.
PMID- 24920003
TI - Do children with primary complex motor stereotypies only have movement problems?
PMID- 24920004
TI - Expression profiling of the wheat pathogen Zymoseptoria tritici reveals genomic
patterns of transcription and host-specific regulatory programs.
AB - Host specialization by pathogens requires a repertoire of virulence factors as
well as fine-tuned regulation of gene expression. The fungal wheat pathogen
Zymoseptoria tritici (synonym Mycosphaerella graminicola) is a powerful model
system for the discovery of genetic elements that underlie virulence and host
specialization. We transcriptionally profiled the early stages of Z. tritici
infection of a compatible host (wheat) and a noncompatible host (Brachypodium
distachyon). The results revealed infection regulatory programs common to both
hosts and genes with striking wheat-specific expression, with many of the latter
showing sequence signatures of positive selection along the Z. tritici lineage.
Genes specifically regulated during infection of wheat populated two large
clusters of coregulated genes that may represent candidate pathogenicity islands.
On evolutionarily labile, repeat-rich accessory chromosomes (ACs), we identified
hundreds of highly expressed genes with signatures of evolutionary constraint and
putative biological function. Phylogenetic analyses suggested that gene
duplication events on these ACs were rare and largely preceded the
diversification of Zymoseptoria species. Together, our data highlight the likely
relevance for fungal growth and virulence of hundreds of Z. tritici genes,
deepening the annotation and functional inference of the genes of this model
pathogen.
PMID- 24920006
TI - Use of a rat basophil leukemia (RBL) cell-based immunological assay for allergen
identification, clinical diagnosis of allergy, and identification of anti-allergy
agents for use in immunotherapy.
AB - Food allergy is an important public health problem that affects an estimated 8%
of young children and 2% of adults. With an increasing interest in genetically
engineered foods, there is a growing need for development of sensitive and
specific tests to evaluate potential allergenicity of foods and novel proteins as
well as to determine allergic responses to ensure consumer safety. This review
covers progress made in the field of development of cell models, specifically
that involving a rat basophil leukemia (RBL) cell-based immunoassay, for use in
allergen identification, diagnosis, and immunotherapy. The RBL assay has been
extensively employed for determining biologically relevant cross-reactivities of
food proteins, assessing the effect of processing on the allergenicity of food
proteins, diagnosing allergic responses to whole-food products, and identifying
anti-allergy food compounds. From the review of the literature, one might
conclude the RBL cell-based assay is a better test system when compared to wild
type mast cell and basophil model systems for use in allergen identification,
diagnosis, and analyses of potential immunotherapeutics. However, it is important
to emphasize that this assay will only be able to identify those allergens to
which the human has already been exposed, but will not identify a truly novel
allergen, i.e. one that has never been encountered as in its preferred
(humanized) configuration.
PMID- 24920005
TI - Deciphering the genetic blueprint behind Holstein milk proteins and production.
AB - Holstein is known to provide higher milk yields than most other cattle breeds,
and the dominant position of Holstein today is the result of various selection
pressures. Holstein cattle have undergone intensive selection for milk production
in recent decades, which has left genome-wide footprints of domestication. To
further characterize the bovine genome, we performed whole-genome resequencing
analysis of 10 Holstein and 11 Hanwoo cattle to identify regions containing genes
as outliers in Holstein, including CSN1S1, CSN2, CSN3, and KIT whose products are
likely involved in the yield and proteins of milk and their distinctive black-and
white markings. In addition, genes indicative of positive selection were
associated with cardiovascular disease, which is related to simultaneous
propagation of genetic defects, also known as inbreeding depression in Holstein.
PMID- 24920007
TI - Pheromone 3kPZS evokes context-dependent serotonin sexual dimorphism in the brain
of the sea lamprey (Petromyzon marinus).
AB - Mature male sea lampreys (Petromyzon marinus) release a sex pheromone, 3-keto
petromyzonol sulfate (3kPZS), that induces sexually dimorphic behavioral
responses in conspecifics. However, the neural mechanism of such responses is
mostly unknown. We examined the neurotransmitter serotonin (5-hydroxytryptamine,
5-HT) and the expression of 5-HT1A receptors in the forebrain and brainstem of
sea lamprey exposed to the vehicle (0.91 ppm methanol) or 10(-10) M 3kPZS for 2 h
using high performance liquid chromatography-electrospray ionization tandem mass
spectrometry, immunohistochemistry and real-time quantitative polymerase chain
reaction. Exposure to 3kPZS for 2 h increased 5-HT concentration in the forebrain
of adult females, whereas 5-HT was not detected in the forebrain of adult males.
On the contrary, 3kPZS exposure decreased 5-HT concentration in the brainstem of
adult females and had no effect in adult males. Pheromone exposure evoked context
dependent sexual dimorphism in brain 5-HT1A receptor immunoreactivity, but had no
effect on 5-HT1A mRNA concentrations in the brain with 2 h exposure time. It
appears that in sea lamprey pheromone 3kPZS affects the 5-HT system in the brain
in a context-dependent, sexually dimorphic manner.
PMID- 24920008
TI - Time-dependent density functional theory for ion diffusion in electrochemical
systems.
AB - We introduce a generic form of time-dependent density functional theory (TDDFT)
to describe ion diffusion in electrochemical systems to account for steric
effects and electrostatic correlations neglected in the Poisson-Nernst-Planck
equations. An efficient numerical algorithm is proposed to analyze the charging
kinetics of electric double layers in model electrochemical systems that consist
of spherical ions in a dielectric continuum confined between two planar
electrodes. By comparing the theoretical predictions from TDDFT and conventional
electrokinetic methods for constant-voltage charging of the model electrochemical
cells, we demonstrate that thermodynamic non-ideality plays a pivotal role in
electrodiffusion even at relatively low electrolyte concentrations, and this
effect cannot be captured by the lattice-gas model for the excluded volume
effects. In particular, TDDFT predicts 'wave-like' variation of the ionic density
profiles that has not been identified in previous investigations. At conditions
where there are no significant correlations between electric double layers from
opposite electrodes, the charging kinetics follows an exponential behavior with a
linear dependence of the relaxation time on the cell thickness in excellent
agreement with the equivalent circuit model. However, the conventional
electrokinetic model breaks down when the electrodes are at small separation, in
particular for systems with low ionic strength or high charging voltage. We also
find that ionic screening retards the charging kinetics at low salt
concentrations, but has the opposite effect at large salt concentrations.
PMID- 24920009
TI - The influence of personal communities on the self-management of medication
taking: A wider exploration of medicine work.
AB - OBJECTIVES: There is a lack of focus on the broader social context, networks and
influences on medicine-taking as part of illness work. This work adopts a social
network approach and seeks to explicate the nature of medicine-taking work that
people with multiple long-term conditions (LTCs) and their social network members
(SNMs) do in attempting to take their medications on a daily basis, the division
of labour amongst these members and when and why SNMs become involved in that
work. METHODS: Semi-structured interviews were conducted with 20 people who had
multiple LTCs. Medication networks were constructed and the division of labour in
relation to medication-work was explored. RESULTS: Four types of medication-work
emerged: medication articulation, surveillance, emotional and informational.
Involvement of SNMs in medication-work was selective, performed primarily by
family members, within the home. Involvement reflected network composition and/or
an individual's conceptualisation/presentation of self. DISCUSSION: Our findings
support and extend the conceptualisation of routine medicine-taking as a type of
work. Furthermore, we illustrate the involvement of SNMs in aspects of medicine
work. Health professionals should explore and support the role of SNMs in
medicine-taking where possible. Future research should explore the implications
of network types and compositions on medicine-taking and associated work.
PMID- 24920010
TI - Disassociation of verbal learning and hippocampal volume in type 2 diabetes and
major depression.
AB - OBJECTIVE: The purpose of this study was to examine the relationship between
verbal learning and memory performance and hippocampal volume in subjects with co
morbid type 2 diabetes and major depression compared with healthy control
subjects and subjects with type 2 diabetes alone. METHODS: Twenty four subjects
with type 2 diabetes and 20 subjects with type 2 diabetes and major depression
were recruited from endocrinology clinics and were compared with 32 healthy
control subjects recruited from the community. Subjects were scanned on a 1.5 T
GE scanner, and hippocampal volumes were measured using Freesurfer. The
California Verbal Learning Test assessed learning and memory. Significant
predictors of verbal learning performance (e.g., age, gender, education, blood
pressure, stroke risk, hemoglobin A1c, and hippocampal volume) were determined
using a stepwise linear regression. RESULTS: Subjects with diabetes and
depression had significantly worse performance on verbal list learning compared
with healthy control subjects. Hippocampal volume was a strong predictor of
performance in healthy control subjects, and age and hippocampal volume were
strong predictors in subjects with type 2 diabetes alone. Age alone was a
significant predictor of verbal learning performance in subjects with diabetes
and depression. CONCLUSIONS: The relationship between hippocampal volume and
performance on the California Verbal Learning Test is decoupled in subjects with
type 2 diabetes and major depression and this decoupling may contribute to poor
verbal learning and memory performance in this study population.
PMID- 24920011
TI - Increased MU-opioid receptor expression in metastatic lung cancer.
AB - BACKGROUND: We and others have previously demonstrated that the MU-opioid
receptor (MOR) is overexpressed in several human malignancies. There is a seven
fold increase in MOR in cell lines of human lung cancer. In animal models,
overexpression of MOR promotes tumour growth and metastasis. We, therefore,
examined whether MOR expression is increased in metastatic lung cancer. METHODS:
In this study, we examined the association between MOR expression and metastasis
in archived biopsy samples from patients with lung cancer. Paraffin-embedded
patient material was stained using MOR antibody and scored qualitatively by two
independent pathologists using a four-point scale. RESULTS: In human lung cancer
and normal adjacent lung samples obtained from 34 lung cancer patients, MOR
expression was increased significantly in cancer samples from patients with lung
cancer compared with adjacent control tissue (P=0.0242). When the samples from
patients with metastatic lung cancer were separated from the cohort of the total
number of patients with lung cancer, we observed an approximately two-fold
increase in MOR expression (P=0.0013). CONCLUSIONS: The association between the
expression of MOR and the progression of the tumour is consistent with the
hypothesis of a direct effect of MOR on cancer progression.
PMID- 24920012
TI - Fragmented QRS predicts the arrhythmic events in patients with heart failure
undergoing ICD implantation for primary prophylaxis: more fragments more
appropriate ICD shocks.
AB - BACKGROUND: Fragmented QRS complex (fQRS) is associated with cardiovascular
outcomes in various patient populations. Although there were clinical studies
investigating the association of fQRS with arrhythmic events in patients with
systolic heart failure, the results were conflicting regarding the association of
implantable cardioverter defibrillator (ICD) shocks and fQRS. In this study, we
aimed to evaluate the association between the presence and extent of fQRS with
appropriate ICD shocks and/or all-cause mortality. METHODS: A total of 215
patients (age: 58.2 +/- 11.6 years, 72.5 % male) with the diagnosis of left
ventricular systolic heart failure in whom ICD had been implanted for primary
prophylaxis were enrolled. Standard ECG evaluation revealed fQRS complex in 123
patients (57.2 %). The phenomenon of fQRS was defined as deflections at the
beginning of the QRS complex, on top of the R wave, or in the nadir of the S wave
similar to the definition in CAD. RESULTS: At mean 23.5 +/- 12.1 months follow
up, all-cause mortality was observed in 45 (20.9 %) patients and 111 (51.6 %)
patients experienced appropriate ICD shocks. Median number of ECG leads with fQRS
were higher in patients with appropriate ICD shocks (3 [2-6] vs 1 [0-2], P <
0.001, respectively). The presence of fQRS (HR: 6.64, 95 % CI: 3.54-12.4, P <
0.001) and the number of leads with fQRS (HR: 1.35, 95% CI: 1.22-1.67) were found
as independent predictors of appropriate ICD shocks. Additionally, there was a
negative correlation between left ventricular ejection fraction and the number of
leads with fQRS (r = -0.434, P < 0.001). Rates of all-cause mortality did not
differ between the fQRS(+) (29 [24 % ]) and fQRS(-) (16 [17 % ]) groups (P =
0.27). CONCLUSION: Our findings suggest that the presence and extent of fQRS
complex on standard 12-lead ECG predicts appropriate ICD shocks in patients with
left ventricular systolic heart failure who underwent ICD implantation for
primary prophylaxis.
PMID- 24920013
TI - Variable post-zygotic isolation in Drosophila melanogaster/D. simulans hybrids.
AB - The study of hybrid inviability reveals cryptic divergence between the genetic
interactions that maintain stable phenotypes in the pure species. We
characterized the effects of natural variation on the penetrance of hybrid
inviability phenotypes in crosses between Drosophila melanogaster and two species
of the D. simulans subcomplex, D. simulans and D. sechellia. Using a panel of
wild-caught lines, we studied the levels of genetic variance present in D.
simulans and D. sechellia affecting prezygotic and post-zygotic isolation in
hybridizations with D. melanogaster females. We observed extensive variability in
the viability of hybrid individuals, dependent on the genotype of the parents,
suggesting that intraspecific natural variation manifests directly in hybrid
phenotypes. Furthermore, we found that genetic background significantly affects
the penetrance of a well-studied determinant of hybrid inviability: the
interaction between Hmrmel-Lhrsim. Our results suggest that hybrid inviability-
and reproductive isolation generally--can be modified by polymorphisms at
multiple loci segregating within the parental species. Just as the penetrance of
most mutant phenotypes can be modified by the genetic background within the pure
species, the penetrance of hybrid inviability phenotypes is highly influenced by
the parental genotypes.
PMID- 24920015
TI - Multimodality imaging of cor triatriatum sinister in an octagenerian.
AB - Cor triatriatum sinister (CTS) is a rare congenital cardiac anomaly in which a
fibromuscular membrane divides the atrium into two chambers. As CTS can occur as
an isolated anomaly, it is frequently associated with other cardiac anomalies.
Although symptoms are usually encountered in infancy, CTS may rarely present in
adulthood when the membrane contains large fenestration or other escape drainage
exists. We herein, present an 82-year-old patient with a typical late diagnosis
of asymptomatic CTS.
PMID- 24920014
TI - A meta-analysis of Hodgkin lymphoma reveals 19p13.3 TCF3 as a novel
susceptibility locus.
AB - Recent genome-wide association studies (GWAS) of Hodgkin lymphoma (HL) have
identified associations with genetic variation at both HLA and non-HLA loci;
however, much of heritable HL susceptibility remains unexplained. Here we perform
a meta-analysis of three HL GWAS totaling 1,816 cases and 7,877 controls followed
by replication in an independent set of 1,281 cases and 3,218 controls to find
novel risk loci. We identify a novel variant at 19p13.3 associated with HL
(rs1860661; odds ratio (OR)=0.81, 95% confidence interval (95% CI) = 0.76-0.86,
P(combined) = 3.5 * 10(-10)), located in intron 2 of TCF3 (also known as E2A), a
regulator of B- and T-cell lineage commitment known to be involved in HL
pathogenesis. This meta-analysis also notes associations between previously
published loci at 2p16, 5q31, 6p31, 8q24 and 10p14 and HL subtypes. We conclude
that our data suggest a link between the 19p13.3 locus, including TCF3, and HL
risk.
PMID- 24920016
TI - Analysis of laboratory testing results collected in an enhanced chlamydia
surveillance system in Australia, 2008-2010.
AB - BACKGROUND: Chlamydial infection is the most common notifiable disease in
Australia, Europe and the US. Australian notifications of chlamydia rose four
fold from 20,274 cases in 2002 to 80,846 cases in 2011; the majority of cases
were among young people aged less than 29 years. Along with test positivity
rates, an understanding of the number of tests performed and the demographics of
individuals being tested are key epidemiological indicators. The ACCESS
Laboratory Network was established in 2008 to address this issue. METHODS: The
ACCESS Laboratory Network collected chlamydia testing data from 15 laboratories
around Australia over a three-year period using data extraction software. All
chlamydia testing data from participating laboratories were extracted from the
laboratory information system; patient identifiers converted to a unique, non
reversible code and de-identified data sent to a single database. Analysis of
data by anatomical site included all specimens, but in age and sex specific
analysis, only one testing episode was counted. RESULTS: From 2008 to 2010 a
total of 628,295 chlamydia tests were referred to the 15 laboratories. Of the
592,626 individual episodes presenting for testing, 70% were from female and 30%
from male patients. In female patients, chlamydia positivity rate was 6.4%
overall; the highest rate in 14 year olds (14.3%). In male patients, the
chlamydia positivity rate was 9.4% overall; the highest in 19 year olds (16.5%).
The most common sample type was urine (57%). In 3.2% of testing episodes,
multiple anatomical sites were sampled. Urethral swabs gave the highest
positivity rate for all anatomical sites in both female (7.7%) and male patients
(14%), followed by urine (7.6% and 9.4%, respectively) and eye (6.3% and 7.9%,
respectively). CONCLUSIONS: The ACCESS Laboratory Network data are unique in both
number and scope and are representative of chlamydia testing in both general
practice and high-risk clinics. The findings from these data highlight much lower
levels of testing in young people aged 20 years or less; in particular female
patients aged less than 16 years, despite being the group with the highest
positivity rate. Strategies are needed to increase the uptake of testing in this
high-risk group.
PMID- 24920017
TI - Quantifying the signals contained in heterogeneous neural responses and
determining their relationships with task performance.
AB - The responses of high-level neurons tend to be mixtures of many different types
of signals. While this diversity is thought to allow for flexible neural
processing, it presents a challenge for understanding how neural responses relate
to task performance and to neural computation. To address these challenges, we
have developed a new method to parse the responses of individual neurons into
weighted sums of intuitive signal components. Our method computes the weights by
projecting a neuron's responses onto a predefined orthonormal basis. Once
determined, these weights can be combined into measures of signal modulation;
however, in their raw form these signal modulation measures are biased by noise.
Here we introduce and evaluate two methods for correcting this bias, and we
report that an analytically derived approach produces performance that is robust
and superior to a bootstrap procedure. Using neural data recorded from
inferotemporal cortex and perirhinal cortex as monkeys performed a delayed-match
to-sample target search task, we demonstrate how the method can be used to
quantify the amounts of task-relevant signals in heterogeneous neural
populations. We also demonstrate how these intuitive quantifications of signal
modulation can be related to single-neuron measures of task performance (d').
PMID- 24920018
TI - Changes in motoneuron afterhyperpolarization duration in stroke survivors.
AB - Hemispheric brain injury resulting from a stroke is often accompanied by muscle
weakness in limbs contralateral to the lesion. In the present study, we
investigated whether weakness in contralesional hand muscle in stroke survivors
is partially attributable to alterations in motor unit activation, including
alterations in firing rate modulation range. The afterhyperpolarization (AHP)
potential of a motoneuron is a primary determinant of motoneuron firing rate. We
examined differences in AHP duration in motoneurons innervating paretic and less
impaired (contralateral) limb muscles of hemiparetic stroke survivors as well as
in control subjects. A novel surface EMG (sEMG) electrode was used to record
motor units from the first dorsal interosseous muscle. The sEMG data were
subsequently decomposed to derive single-motor unit events, which were then
utilized to produce interval (ISI) histograms of the motoneuron discharges. A
modified version of interval death rate (IDR) analysis was used to estimate AHP
duration. Results from data analyses performed on both arms of 11 stroke subjects
and in 7 age-matched control subjects suggest that AHP duration is significantly
longer for motor units innervating paretic muscle compared with units in
contralateral muscles and in units of intact subjects. These results were
supported by a coefficient of variation (CV) analysis showing that paretic motor
unit discharges have a lower CV than either contralateral or control units. This
study suggests that after stroke biophysical changes occur at the motoneuron
level, potentially contributing to lower firing rates and potentially leading to
less efficient force production in paretic muscles.
PMID- 24920019
TI - Basal forebrain dynamics during a tactile discrimination task.
AB - The nucleus basalis (NB) is a cholinergic neuromodulatory structure that projects
liberally to the entire cortical mantle and regulates information processing in
all cortical layers. Here, we recorded activity from populations of single units
in the NB as rats performed a whisker-dependent tactile discrimination task. Over
80% of neurons responded with significant modulation in at least one phase of the
task. Such activity started before stimulus onset and continued for seconds after
reward delivery. Firing rates monotonically increased with reward magnitude
during the task, suggesting that NB neurons are not indicating the absolute
deviation from expected reward amounts. Individual neurons also encoded
significant amounts of information about stimulus identity. Such robust coding
was not present when the same stimuli were delivered to lightly anesthetized
animals, suggesting that the NB neurons contain a sensorimotor, rather than
purely sensory or motor, representation of the environment. Overall, these
results support the hypothesis that neurons in the NB provide a value-laden
representation of the sensorimotor state of the animal as it engages in
significant behavioral tasks.
PMID- 24920020
TI - One night of partial sleep deprivation affects habituation of hypothalamus and
skin conductance responses.
AB - Sleep disturbances are prevalent in clinical anxiety, but it remains unclear
whether they are cause and/or consequence of this condition. Fear conditioning
constitutes a valid laboratory model for the acquisition of normal and
pathological anxiety. To explore the relationship between disturbed sleep and
anxiety in more detail, the present study evaluated the effect of partial sleep
deprivation (SD) on fear conditioning in healthy individuals. The neural
correlates of 1) nonassociative learning and physiological processing and 2)
associative learning (differential fear conditioning) were addressed.
Measurements entailed simultaneous functional MRI, EEG, skin conductance response
(SCR), and pulse recordings. Regarding nonassociative learning, partial SD
resulted in a generalized failure to habituate during fear conditioning, as
evidenced by reduced habituation of SCR and hypothalamus responses to all
stimuli. Furthermore, SCR and hypothalamus activity were correlated, supporting
their functional relationship. Regarding associative learning, effects of partial
SD on the acquisition of conditioned fear were weaker and did not reach
statistical significance. The hypothalamus plays an integral role in the
regulation of sleep and autonomic arousal. Thus sleep disturbances may play a
causal role in the development of normal and possibly pathological fear by
increasing the susceptibility of the sympathetic nervous system to stressful
experiences.
PMID- 24920022
TI - Higher neuronal discharge rate in the motor area of the subthalamic nucleus of
Parkinsonian patients.
AB - In Parkinson's disease, pathological synchronous oscillations divide the
subthalamic nucleus (STN) of patients into a dorsolateral oscillatory region and
ventromedial nonoscillatory region. This bipartite division reflects the motor
vs. the nonmotor (associative/limbic) subthalamic areas, respectively. However,
significant topographic differences in the neuronal discharge rate between these
two STN subregions in Parkinsonian patients is still controversial. In this
study, 119 STN microelectrode trajectories (STN length > 2 mm, mean = 5.32 mm)
with discernible oscillatory and nonoscillatory regions were carried on 60
patients undergoing deep brain stimulation surgery for Parkinson's disease. 2,137
and 2,152 multiunit stable signals were recorded (recording duration > 10 s, mean
= 21.25 s) within the oscillatory and nonoscillatory STN regions, respectively.
Spike detection and sorting were applied offline on every multiunit stable signal
using an automatic method with systematic quantification of the isolation quality
(range = 0-1) of the identified units. In all, 3,094 and 3,130 units were
identified in the oscillatory and nonoscillatory regions, respectively. On
average, the discharge rate of better-isolated neurons (isolation score > 0.70)
was higher in the oscillatory region than the nonoscillatory region (44.55 +/-
0.87 vs. 39.97 +/- 0.77 spikes/s, N = 665 and 761, respectively). The discharge
rate of the STN neurons was positively correlated to the strength of their own
and their surrounding 13- to 30-Hz beta oscillatory activity. Therefore, in the
Parkinsonian STN, beta oscillations and higher neuronal discharge rate are
correlated and coexist in the motor area of the STN compared with its
associative/limbic area.
PMID- 24920021
TI - A high-density, high-channel count, multiplexed MUECoG array for auditory-cortex
recordings.
AB - Our understanding of the large-scale population dynamics of neural activity is
limited, in part, by our inability to record simultaneously from large regions of
the cortex. Here, we validated the use of a large-scale active microelectrode
array that simultaneously records 196 multiplexed micro-electrocortigraphical
(MUECoG) signals from the cortical surface at a very high density (1,600
electrodes/cm(2)). We compared MUECoG measurements in auditory cortex using a
custom "active" electrode array to those recorded using a conventional "passive"
MUECoG array. Both of these array responses were also compared with data recorded
via intrinsic optical imaging, which is a standard methodology for recording
sound-evoked cortical activity. Custom active MUECoG arrays generated more
veridical representations of the tonotopic organization of the auditory cortex
than current commercially available passive MUECoG arrays. Furthermore, the
cortical representation could be measured efficiently with the active arrays,
requiring as little as 13.5 s of neural data acquisition. Next, we generated
spectrotemporal receptive fields from the recorded neural activity on the active
MUECoG array and identified functional organizational principles comparable to
those observed using intrinsic metabolic imaging and single-neuron recordings.
This new electrode array technology has the potential for large-scale, temporally
precise monitoring and mapping of the cortex, without the use of invasive
penetrating electrodes.
PMID- 24920024
TI - Temporal response properties of koniocellular (blue-on and blue-off) cells in
marmoset lateral geniculate nucleus.
AB - Visual perception requires integrating signals arriving at different times from
parallel visual streams. For example, signals carried on the phasic-magnocellular
(MC) pathway reach the cerebral cortex pathways some tens of milliseconds before
signals traveling on the tonic-parvocellular (PC) pathway. Visual latencies of
cells in the koniocellular (KC) pathway have not been specifically studied in
simian primates. Here we compared MC and PC cells to "blue-on" (BON) and "blue
off" (BOF) KC cells; these cells carry visual signals originating in short
wavelength-sensitive (S) cones. We made extracellular recordings in the lateral
geniculate nucleus (LGN) of anesthetized marmosets. We found that BON visual
latencies are 10-20 ms longer than those of PC or MC cells. A small number of
recorded BOF cells (n = 7) had latencies 10-20 ms longer than those of BON cells.
Within all cell groups, latencies of foveal receptive fields (<10 degrees
eccentricity) were longer (by 3-8 ms) than latencies of peripheral receptive
fields (>10 degrees ). Latencies of yellow-off inputs to BON cells lagged the
blue-on inputs by up to 30 ms, but no differences in visual latency were seen on
comparing marmosets expressing dichromatic ("red-green color-blind") or
trichromatic color vision phenotype. We conclude that S-cone signals leaving the
LGN on KC pathways are delayed with respect to signals traveling on PC and MC
pathways. Cortical circuits serving color vision must therefore integrate across
delays in (red-green) chromatic signals carried by PC cells and (blue-yellow)
signals carried by KC cells.
PMID- 24920023
TI - Enhanced attentional gain as a mechanism for generalized perceptual learning in
human visual cortex.
AB - Learning to better discriminate a specific visual feature (i.e., a specific
orientation in a specific region of space) has been associated with plasticity in
early visual areas (sensory modulation) and with improvements in the transmission
of sensory information from early visual areas to downstream sensorimotor and
decision regions (enhanced readout). However, in many real-world scenarios that
require perceptual expertise, observers need to efficiently process numerous
exemplars from a broad stimulus class as opposed to just a single stimulus
feature. Some previous data suggest that perceptual learning leads to highly
specific neural modulations that support the discrimination of specific trained
features. However, the extent to which perceptual learning acts to improve the
discriminability of a broad class of stimuli via the modulation of sensory
responses in human visual cortex remains largely unknown. Here, we used
functional MRI and a multivariate analysis method to reconstruct orientation
selective response profiles based on activation patterns in the early visual
cortex before and after subjects learned to discriminate small offsets in a set
of grating stimuli that were rendered in one of nine possible orientations.
Behavioral performance improved across 10 training sessions, and there was a
training-related increase in the amplitude of orientation-selective response
profiles in V1, V2, and V3 when orientation was task relevant compared with when
it was task irrelevant. These results suggest that generalized perceptual
learning can lead to modified responses in the early visual cortex in a manner
that is suitable for supporting improved discriminability of stimuli drawn from a
large set of exemplars.
PMID- 24920026
TI - Ocular tracking responses to background motion gated by feature-based attention.
AB - Involuntary ocular tracking responses to background motion offer a window on the
dynamics of motion computations. In contrast to spatial attention, we know little
about the role of feature-based attention in determining this ocular response. To
probe feature-based effects of background motion on involuntary eye movements, we
presented human observers with a balanced background perturbation. Two clouds of
dots moved in opposite vertical directions while observers tracked a target
moving in horizontal direction. Additionally, they had to discriminate a change
in the direction of motion (+/-10 degrees from vertical) of one of the clouds. A
vertical ocular following response occurred in response to the motion of the
attended cloud. When motion selection was based on motion direction and color of
the dots, the peak velocity of the tracking response was 30% of the tracking
response elicited in a single task with only one direction of background motion.
In two other experiments, we tested the effect of the perturbation when motion
selection was based on color, by having motion direction vary unpredictably, or
on motion direction alone. Although the gain of pursuit in the horizontal
direction was significantly reduced in all experiments, indicating a trade-off
between perceptual and oculomotor tasks, ocular responses to perturbations were
only observed when selection was based on both motion direction and color. It
appears that selection by motion direction can only be effective for driving
ocular tracking when the relevant elements can be segregated before motion onset.
PMID- 24920025
TI - Vibration of the organ of Corti within the cochlear apex in mice.
AB - The tonotopic map of the mammalian cochlea is commonly thought to be determined
by the passive mechanical properties of the basilar membrane. The other tissues
and cells that make up the organ of Corti also have passive mechanical
properties; however, their roles are less well understood. In addition, active
forces produced by outer hair cells (OHCs) enhance the vibration of the basilar
membrane, termed cochlear amplification. Here, we studied how these biomechanical
components interact using optical coherence tomography, which permits vibratory
measurements within tissue. We measured not only classical basilar membrane
tuning curves, but also vibratory responses from the rest of the organ of Corti
within the mouse cochlear apex in vivo. As expected, basilar membrane tuning was
sharp in live mice and broad in dead mice. Interestingly, the vibratory response
of the region lateral to the OHCs, the "lateral compartment," demonstrated
frequency-dependent phase differences relative to the basilar membrane. This was
sharply tuned in both live and dead mice. We then measured basilar membrane and
lateral compartment vibration in transgenic mice with targeted alterations in
cochlear mechanics. Prestin(499/499), Prestin(-/-), and Tecta(C1509G/C1509G) mice
demonstrated no cochlear amplification but maintained the lateral compartment
phase difference. In contrast, Sfswap(Tg/Tg) mice maintained cochlear
amplification but did not demonstrate the lateral compartment phase difference.
These data indicate that the organ of Corti has complex micromechanical vibratory
characteristics, with passive, yet sharply tuned, vibratory characteristics
associated with the supporting cells. These characteristics may tune OHC force
generation to produce the sharp frequency selectivity of mammalian hearing.
PMID- 24920027
TI - Absence of synergy for monosynaptic Group I inputs between abdominal and internal
intercostal motoneurons.
AB - Internal intercostal and abdominal motoneurons are strongly coactivated during
expiration. We investigated whether that synergy was paralleled by synergistic
Group I reflex excitation. Intracellular recordings were made from motoneurons of
the internal intercostal nerve of T8 in anesthetized cats, and the specificity of
the monosynaptic connections from afferents in each of the two main branches of
this nerve was investigated. Motoneurons were shown by antidromic excitation to
innervate three muscle groups: external abdominal oblique [EO; innervated by the
lateral branch (Lat)], the region of the internal intercostal muscle proximal to
the branch point (IIm), and muscles innervated from the distal remainder (Dist).
Strong specificity was observed, only 2 of 54 motoneurons showing excitatory
postsynaptic potentials (EPSPs) from both Lat and Dist. No EO motoneurons showed
an EPSP from Dist, and no IIm motoneurons showed one from Lat. Expiratory Dist
motoneurons fell into two groups. Those with Dist EPSPs and none from Lat (group
A) were assumed to innervate distal internal intercostal muscle. Those with Lat
EPSPs (group B) were assumed to innervate abdominal muscle (transversus abdominis
or rectus abdominis). Inspiratory Dist motoneurons (assumed to innervate
interchondral muscle) showed Dist EPSPs. Stimulation of dorsal ramus nerves gave
EPSPs in 12 instances, 9 being in group B Dist motoneurons. The complete absence
of heteronymous monosynaptic Group I reflex excitation between muscles that are
synergistically activated in expiration leads us to conclude that such
connections from muscle spindle afferents of the thoracic nerves have little role
in controlling expiratory movements but, where present, support other motor acts.
PMID- 24920028
TI - Pulsed infrared radiation excites cultured neonatal spiral and vestibular
ganglion neurons by modulating mitochondrial calcium cycling.
AB - Cochlear implants are currently the most effective solution for profound
sensorineural hearing loss, and vestibular prostheses are under development to
treat bilateral vestibulopathies. Electrical current spread in these
neuroprostheses limits channel independence and, in some cases, may impair their
performance. In comparison, optical stimuli that are spatially confined may
result in a significant functional improvement. Pulsed infrared radiation (IR)
has previously been shown to elicit responses in neurons. This study analyzes the
response of neonatal rat spiral and vestibular ganglion neurons in vitro to IR
(wavelength = 1,863 nm) using Ca(2+) imaging. Both types of neurons responded
consistently with robust intracellular Ca(2+) ([Ca(2+)]i) transients that matched
the low-frequency IR pulses applied (4 ms, 0.25-1 pps). Radiant exposures of ~637
mJ/cm(2) resulted in continual neuronal activation. Temperature or [Ca(2+)]
variations in the media did not alter the IR-evoked transients, ruling out
extracellular Ca(2+) involvement or primary mediation by thermal effects on the
plasma membrane. While blockage of Na(+), K(+), and Ca(2+) plasma membrane
channels did not alter the IR-evoked response, blocking of mitochondrial Ca(2+)
cycling with CGP-37157 or ruthenium red reversibly inhibited the IR-evoked
[Ca(2+)]i transients. Additionally, the magnitude of the IR-evoked transients was
dependent on ryanodine and cyclopiazonic acid-dependent Ca(2+) release. These
results suggest that IR modulation of intracellular calcium cycling contributes
to stimulation of spiral and vestibular ganglion neurons. As a whole, the results
suggest selective excitation of neurons in the IR beam path and the potential of
IR stimulation in future auditory and vestibular prostheses.
PMID- 24920029
TI - EEG mean frequency changes in healthy subjects during prefrontal transcranial
direct current stimulation.
AB - In this pilot study we evaluated electroencephalographic (EEG) mean frequency
changes induced by prefrontal transcranial direct current stimulation (tDCS) and
investigated whether they depended on tDCS electrode montage. Eight healthy
volunteers underwent tDCS for 15 min during EEG recording. They completed six
tDCS sessions, 1 wk apart, testing left and right direct current (DC) dipole
directions with six different montages: four unipolar montages (one electrode on
a prefrontal area, the other on the opposite wrist) and two bipolar montages
(both electrodes on prefrontal areas), and a single sham session. EEG power
spectra were assessed from four 1-min EEG epochs, before, during, and after tDCS.
During tDCS the outcome variable, brain rate (fb), changed significantly, and the
changes persisted for minutes after tDCS ended. With the DC dipole directed to
the left (anode on the left prefrontal area or wrist), fb increased, and with the
DC dipole directed to the right (anode on the right prefrontal area or wrist), fb
decreased, suggesting asymmetric prefrontal cortex functional organization in the
normal human brain. Anodal and cathodal effects were opposite but equally large.
Gender left these effects unchanged.
PMID- 24920031
TI - Anodal transcranial direct current stimulation of the motor cortex induces
opposite modulation of reciprocal inhibition in wrist extensor and flexor.
AB - Transcranial direct current stimulation (tDCS) is used as a noninvasive tool to
modulate brain excitability in humans. Recently, several studies have
demonstrated that tDCS applied over the motor cortex also modulates spinal neural
network excitability and therefore can be used to explore the corticospinal
control acting on spinal neurons. Previously, we showed that reciprocal
inhibition directed to wrist flexor motoneurons is enhanced during contralateral
anodal tDCS, but it is likely that the corticospinal control acting on spinal
networks controlling wrist flexors and extensors is not similar. The primary aim
of the study was to explore the effects of anodal tDCS on reciprocal inhibition
directed to wrist extensor motoneurons. To further examine the supraspinal
control acting on the reciprocal inhibition between wrist flexors and extensors,
we also explored the effects of the tDCS applied to the ipsilateral hand motor
area. In healthy volunteers, we tested the effects induced by sham and anodal
tDCS on reciprocal inhibition pathways innervating wrist muscles. Reciprocal
inhibition directed from flexor to extensor muscles and the reverse situation,
i.e., reciprocal inhibition, directed from extensors to flexors were studied in
parallel with the H reflex technique. Our main finding was that contralateral
anodal tDCS induces opposing effects on reciprocal inhibition: it decreases
reciprocal inhibition directed from flexors to extensors, but it increases
reciprocal inhibition directed from extensors to flexors. The functional result
of these opposite effects on reciprocal inhibition seems to favor wrist extension
excitability, suggesting an asymmetric descending control onto the interneurons
that mediate reciprocal inhibition.
PMID- 24920030
TI - Rapid acquisition of novel interface control by small ensembles of arbitrarily
selected primary motor cortex neurons.
AB - Pioneering studies demonstrated that novel degrees of freedom could be controlled
individually by directly encoding the firing rate of single motor cortex neurons,
without regard to each neuron's role in controlling movement of the native limb.
In contrast, recent brain-computer interface work has emphasized decoding outputs
from large ensembles that include substantially more neurons than the number of
degrees of freedom being controlled. To bridge the gap between direct encoding by
single neurons and decoding output from large ensembles, we studied monkeys
controlling one degree of freedom by comodulating up to four arbitrarily selected
motor cortex neurons. Performance typically exceeded random quite early in single
sessions and then continued to improve to different degrees in different
sessions. We therefore examined factors that might affect performance.
Performance improved with larger ensembles. In contrast, other factors that might
have reflected preexisting synaptic architecture-such as the similarity of
preferred directions-had little if any effect on performance. Patterns of
comodulation among ensemble neurons became more consistent across trials as
performance improved over single sessions. Compared with the ensemble neurons,
other simultaneously recorded neurons showed less modulation. Patterns of
voluntarily comodulated firing among small numbers of arbitrarily selected
primary motor cortex (M1) neurons thus can be found and improved rapidly, with
little constraint based on the normal relationships of the individual neurons to
native limb movement. This rapid flexibility in relationships among M1 neurons
may in part underlie our ability to learn new movements and improve motor skill.
PMID- 24920032
TI - Two interconnected kernels of reciprocally inhibitory interneurons underlie
alternating left-right swim motor pattern generation in the mollusk Melibe
leonina.
AB - The central pattern generator (CPG) underlying the rhythmic swimming behavior of
the nudibranch Melibe leonina (Mollusca, Gastropoda, Heterobranchia) has been
described as a simple half-center oscillator consisting of two reciprocally
inhibitory pairs of interneurons called swim interneuron 1 (Si1) and swim
interneuron 2 (Si2). In this study, we identified two additional pairs of
interneurons that are part of the swim CPG: swim interneuron 3 (Si3) and swim
interneuron 4 (Si4). The somata of Si3 and Si4 were both located in the pedal
ganglion, near that of Si2, and both had axons that projected through the pedal
commissure to the contralateral pedal ganglion. These neurons fulfilled the
criteria for inclusion as members of the swim CPG: 1) they fired at a fixed phase
in relation to Si1 and Si2, 2) brief changes in their activity reset the motor
pattern, 3) prolonged changes in their activity altered the periodicity of the
motor pattern, 4) they had monosynaptic connections with each other and with Si1
and Si2, and 5) their synaptic actions helped explain the phasing of the motor
pattern. The results of this study show that the motor pattern has more complex
internal dynamics than a simple left/right alternation of firing; the CPG circuit
appears to be composed of two kernels of reciprocally inhibitory neurons, one
consisting of Si1, Si2, and the contralateral Si4 and the other consisting of
Si3. These two kernels interact with each other to produce a stable rhythmic
motor pattern.
PMID- 24920033
TI - A review of the effects of nuts on appetite, food intake, metabolism, and body
weight.
AB - Tree nuts and peanuts are good sources of many nutrients and antioxidants, but
they are also energy dense. The latter often limits intake because of concerns
about their possible contribution to positive energy balance. However, evidence
to date suggests that nuts are not associated with predicted weight gain. This is
largely due to their high satiety value, leading to strong compensatory dietary
responses, inefficiency in absorption of the energy they contain, a possible
increment in resting energy expenditure, and an augmentation of fat oxidation.
Preliminary evidence suggests that these properties are especially evident when
they are consumed as snacks.
PMID- 24920034
TI - Fruit, vegetable, and fiber intake in relation to cancer risk: findings from the
European Prospective Investigation into Cancer and Nutrition (EPIC).
AB - Fruit, vegetables, and certain components of plant foods, such as fiber, have
long been thought to protect against cancer. The European Prospective
Investigation into Cancer and Nutrition (EPIC) is a prospective cohort that
includes >500,000 participants from 10 European countries and has made a
substantial contribution to knowledge in this research area. The purpose of this
article is to summarize the findings published thus far from the EPIC study on
the associations between fruit, vegetable, or fiber consumption and the risk of
cancer at 14 different sites. The risk of cancers of the upper gastrointestinal
tract was inversely associated with fruit intake but was not associated with
vegetable intake. The risk of colorectal cancer was inversely associated with
intakes of total fruit and vegetables and total fiber, and the risk of liver
cancer was also inversely associated with the intake of total fiber. The risk of
cancer of the lung was inversely associated with fruit intake but was not
associated with vegetable intake; this association with fruit intake was
restricted to smokers and might be influenced by residual confounding due to
smoking. There was a borderline inverse association of fiber intake with breast
cancer risk. For the other 9 cancer sites studied (stomach, biliary tract,
pancreas, cervix, endometrium, prostate, kidney, bladder, and lymphoma) there
were no reported significant associations of risk with intakes of total fruit,
vegetables, or fiber.
PMID- 24920035
TI - Preface to the Sixth International Congress on Vegetarian Nutrition.
PMID- 24920036
TI - Activation of peroxisome proliferator activator receptor delta in mouse impacts
lipid composition and placental development at early stage of gestation.
AB - Peroxisome proliferator-activated receptor delta (Ppard) activation has been
implicated in regulating a multitude of biological processes in placental
development. In this study, we employed the UPLC-ESI-TOFMS approach to
investigate the metabolic traits in placenta from GW501516-treated mice at
Embryonic Day 10.5. By analyzing the mass spectrum data, ions with the most
significant differences between control and GW501516-treated groups were
identified. Among these metabolites, the fatty acids, phospholipids, and sterol
lipids were dramatically increased. Ingenuity Pathway Analysis (IPA) showed that
phosphatidylethanolamine biosynthesis and glycolysis were the top two altered
metabolic pathways involved in carbohydrate metabolism, energy production, and
lipid metabolism. Subsequent immunoblotting experiments provided evidence for
positive correlation of PPARD level and AKT and ERK signaling pathways upon
GW501516 treatment. Furthermore, the stimulation of GW501516 increased
trophoblast cell fusion gene syncytin-A (Syna), but not syncytin-B (Synb),
expression, suggesting a potential role of Ppard activation in promoting
cytotrophoblast differentiation. Our results reveal that Ppard activation elicits
dramatic changes of the metabolic activities in placenta, which is correlated to
AKT and ERK signaling.
PMID- 24920037
TI - Hyperplastic obesity and liver steatosis as long-term consequences of suboptimal
in vitro culture of mouse embryos.
AB - In the present study, we identify and describe an obese phenotype in mice as a
long-term consequence of a suboptimal in vitro culture that resulted from the
addition of fetal calf serum (FCS) into the culture medium. Mice produced with
FCS displayed a high mortality rate (approximately 55% versus 15% in control mice
within 20 mo) and increased sensitivity to the development of obesity in
adulthood when fed either a standard or a high-fat diet. These mice developed
hyperplastic obesity that was characterized by a significant expansion of the fat
pads (approximately 25% and 32% higher body weight in male and female mice over
controls, respectively) with unchanged adipocyte size. We observed a sexual
dimorphism in the development of obesity in the mice produced with FCS. Whereas
the female mice displayed hypertension, hyperleptinemia, and fatty liver, the
male mice only displayed glucose intolerance. The mRNA expression of
metabolically relevant genes in the adipose tissue was also affected. The males
produced with FCS expressed higher mRNA levels of the genes that activate fatty
acid oxidation (peroxisome proliferator-activated receptor alpha [Ppara,
PPARalpha] and acyl-CoA oxidase 1 [Acox1, ACOX1]) and thermogenesis (uncoupling
protein 1 [Ucp1, UCP1]), which may counteract the metabolic phenotype.
Conversely, the females produced with FCS generally expressed lower levels of
these metabolic genes. In the females, the obese phenotype was associated with
inhibition of the lipogenic pathway (peroxisome proliferator-activated receptor
gamma [Pparg, PPARgamma] and fatty acid synthase [Fasn, FAS]), indicating a
saturation of the storage capacity of the adipose tissue. Overall, our data
indicate that the exposure to suboptimal in vitro culture conditions can lead to
the sexually dimorphic development of obesity in adulthood.
PMID- 24920039
TI - Juvenile ovary to testis transition in zebrafish involves inhibition of ptges.
AB - The sex differentiation mechanisms in zebrafish (Danio rerio) remains elusive,
partly because of the absence of sex chromosomes but also because the process
appears to depend on the synchrony of multiple genes and possibly environmental
factors. Zebrafish gonadal development is initiated through the development of
immature oocytes. Depending on multiple signaling cues, in about half of the
individuals, the juvenile ovaries degenerate or undergo apoptosis to initiate
testes development while the other half maintains the oogenic pathway. We have
previously shown that activation of NFkappaB and prostaglandin synthase 2 (ptgs2)
results in female-biased sex ratios. Prostaglandin synthase and prostaglandins
are involved in multiple physiological functions, including cell survival and
apoptosis. In the present study, we show that inhibition of ptgs2 by meloxicam
results in male-biased sex ratios. On further evaluation, we observed that
exposure with the prostaglandin D2 (PGD2) analogue BW-245C induced SRY-box
containing gene 9a (sox9a) and resulted in male-biased sex ratios. On the other
hand, prostaglandin E2 (PGE2) treatment resulted in female-biased sex ratios and
involved activation of NFkappaB and the beta-catenin pathway as well as
inhibition of sox9. Exposure to the beta-catenin inhibitor PNU-74654 resulted in
up-regulation of ptgds and male-biased sex ratios, further confirming the
involvement of beta-catenin in the female differentiation pathway. In this study,
we show that PGD2 and PGE2 can program the gonads to either the testis or the
ovary differentiation pathways, indicating that prostaglandins are involved in
the regulation of zebrafish gonadal differentiation.
PMID- 24920038
TI - Ovarian membrane-type matrix metalloproteinases: induction of MMP14 and MMP16
during the periovulatory period in the rat, macaque, and human.
AB - An intrafollicular increase in proteolytic activity drives ovulatory events.
Surprisingly, the periovulatory expression profile of the membrane-type matrix
metalloproteinases (MT-MMPs), unique proteases anchored to the cell surface, has
not been extensively examined. Expression profiles of the MT-MMPs were
investigated in ovarian tissue from well-characterized rat and macaque
periovulatory models and naturally cycling women across the periovulatory period.
Among the six known MT-MMPs, mRNA expression of Mmp14, Mmp16, and Mmp25 was
increased after human chorionic gonadotropin (hCG) administration in rats. In
human granulosa cells, mRNA expression of MMP14 and MMP16 increased following hCG
treatment. In contrast, mRNA levels of MMP16 and MMP25 in human theca cells were
unchanged before ovulation but declined by the postovulatory stage. In macaque
granulosa cells, hCG increased mRNA for MMP16 but not MMP14. Immunoblotting
showed that protein levels of MMP14 and MMP16 in rats increased, similar to their
mRNA expression. In macaque granulosa cells, only the active form of the MMP14
protein increased after hCG, unlike its mRNA or the proprotein. By
immunohistochemistry, both MMP14 and MMP16 localized to the different ovarian
cell types in rats and humans. Treatment with hCG resulted in intense
immunoreactivity of MMP14 and MMP16 proteins in the granulosa and theca cells.
The present study shows that MMP14 and MMP16 are increased by hCG administration
in the ovulating follicle, demonstrating that these MMPs are conserved among
rats, macaques, and humans. These findings suggest that MT-MMPs could have an
important role in promoting ovulation and remodeling of the ovulated follicle
into the corpus luteum.
PMID- 24920040
TI - Possible role of p38 MAPK-MNK1-EMI2 cascade in metaphase-II arrest of mouse
oocytes.
AB - The Mos-MAPK signaling pathway involving the Mos-MEK1/2-ERK1/2-RSK1/2/3 or MSK1
EMI2 cascade is directly linked to metaphase-II arrest of vertebrate oocytes. In
this study, we examined whether p38, a member of the MAPK subfamily, is regulated
under the control of Mos and contributes to metaphase-II arrest in the mouse
oocyte. Morpholino oligonucleotide-mediated depletion of Mos revealed a
remarkable decrease in phosphorylation of p38. Simultaneous treatment of oocytes
with two chemical inhibitors of p38 and MEK1/2 induced both release from
metaphase II and degradation of cyclin B1, whereas the treatment with each of
these two inhibitors had little effect. Moreover, phosphorylation of EMI2 was
dramatically abolished by addition of the two inhibitors. Indeed, MNK1, a kinase
downstream of p38, exhibited the ability to phosphorylate EMI2. These results
suggest that in addition to the Mos-MEK1/2 pathway, the Mos-mediated p38 pathway
may be implicated in metaphase-II arrest.
PMID- 24920041
TI - Higher brain extracellular potassium is associated with brain metabolic distress
and poor outcome after aneurysmal subarachnoid hemorrhage.
AB - INTRODUCTION: Elevated brain potassium levels ([K+]) are associated with neuronal
damage in experimental models. The role of brain extracellular [K+] in patients
with poor-grade aneurysmal subarachnoid hemorrhage (aSAH) and its association
with hemorrhage load, metabolic dysfunction and outcome has not been studied so
far. METHODS: Cerebral microdialysis (CMD) samples from 28 poor grade aSAH
patients were analyzed for CMD [K+] for 12 consecutive days after ictus, and time
matched to brain metabolic and hemodynamic parameters as well as corresponding
plasma [K+]. Statistical analysis was performed using a generalized estimating
equation with an autoregressive function to handle repeated observations of an
individual patient. RESULTS: CMD [K+] did not correlate with plasma [K+]
(Spearman's rho = 0.114, P = 0.109). Higher CMD [K+] was associated with the
presence of intracerebral hematoma on admission head computed tomography, CMD
lactate/pyruvate ratio >40 and CMD lactate >4 mmol/L (P < 0.05). In vitro
retrodialysis data suggest that high CMD [K+] was of brain cellular origin.
Higher CMD [K+] was significantly associated with poor 3-month outcome, even
after adjusting for age and disease severity (P < 0.01). CONCLUSIONS: The results
of this pilot study suggest that brain extracellular [K+] may serve as a
biomarker for brain tissue injury in poor-grade aSAH patients. Further studies
are needed to elucidate the relevance of brain interstitial K+ levels in the
pathophysiology of secondary brain injury after aSAH.
PMID- 24920043
TI - Synthesis of lucifensin by native chemical ligation and characteristics of its
isomer having different disulfide bridge pattern.
AB - The antimicrobial 40-amino-acid-peptide lucifensin was synthesized by native
chemical ligation (NCL) using N-acylbenzimidazolinone (Nbz) as a linker group.
NCL is a method in which a peptide bond between two discreet peptide chains is
created. This method has been applied to the synthesis of long peptides and
proteins when solid-phase synthesis is imcompatible. Two models of ligation were
developed: [15+25] Ala-Cys and [19+21] His-Cys. The [19+21] His-Cys method gives
lower yield because of the lower stability of 18-peptide-His-Nbz-CONH2 peptide,
as suggested by density functional theory calculation. Acetamidomethyl
deprotection and subsequent oxidation of the ligated linear lucifensin gave a
mixture of lucifensin isomers, which differed in the location of their disulfide
bridges only. The dominant isomer showed unnatural pairing of cysteines [C1-6],
[C3-5], and [C2-4], which limits its ability to form alpha-helical structure. The
activity of isomeric lucifensin toward Bacillus subtilis, Staphylococcus aureus,
and Micrococcus luteus was lower than that of the natural lucifensin. The desired
product native lucifensin was prepared from this isomer using a one-pot reduction
with dithiotreitol and subsequent air oxidation in slightly alkaline medium.
PMID- 24920042
TI - Development of oral osteomucosal tissue constructs in vitro and localization of
fluorescently-labeled bisphosphonates to hard and soft tissue.
AB - Bisphosphonates (BPs) are anti-resorptive agents commonly used to treat bone
related diseases; however, soft tissue-related side-effects are frequently
reported in some BP users, such as oral or gastrointestinal (GI) ulcerations. BPs
are stable analogs of pyrophosphate and have high affinity to hydroxyapatite,
allowing them to bind to the bone surfaces and exert suppressive effects on
osteoclast functions. However, the underlying mechanisms as to how bone-seeking
BPs also exert cytotoxic effects on soft tissue remain unknown. In the present
study, we investigated the localization of nitrogen-containing BPs (N-BPs) in
hard and soft tissue using fluorescently-labeled N-BPs in vitro. We developed
osteomucosal tissue constructs in vitro to recapitulate the hard and soft tissue
of the oral cavity. A histological examination of the osteomucosal tissue
constructs revealed a differentiated epithelium over the bone containing
osteocytes and the periosteum, similar to that observed in the rat palatal
tissues. Following treatment with the fluorescently-labeled bisphosphonate, AF647
ZOL, the osteomucosal constructs exhibited fluorescent signals, not only in the
bone, but also in the epithelium. No fluorescent signals were observed from the
control- or ZOL-treated constructs, as expected. Collectively, the data from the
present study suggest that N-BPs localize to epithelial tissue and that such a
localization and subsequent toxicity of N-BPs may be associated, at least in
part, with soft tissue-related side-effects.
PMID- 24920044
TI - Among infants at hereditary risk for type 1 diabetes, the introduction of solid
foods before or after 4-5 months of age is associated with increased diabetes
risk.
PMID- 24920045
TI - Major contribution of the Ehrlich pathway for 2-phenylethanol/rose flavor
production in Ashbya gossypii.
AB - Aroma alcohols of fermented food and beverages are derived from fungal amino
acids catabolism via the Ehrlich pathway. This linear pathway consists of three
enzymatic reactions to form fusel alcohols. Regulation of some of the enzymes
occurs on the transcriptional level via Aro80. The riboflavin overproducer Ashbya
gossypii produces strong fruity flavours in contrast to its much less aromatic
relative Eremothecium cymbalariae. Genome comparisons indicated that A. gossypii
harbors genes for aromatic amino acid catabolism (ARO8a, ARO8b, ARO10, and ARO80)
while E. cymbalariae only encodes ARO8a and thus lacks major components of
aromatic amino acid catabolism. Volatile compound (VOC) analysis showed that both
Eremothecium species produce large amounts of isoamyl alcohol while A. gossypii
also produces high levels of 2-phenylethanol. Deletion of the A. gossypii ARO
genes did not confer any growth deficiencies. However, A. gossypii ARO-mutants
(except Agaro8a) were strongly impaired in aroma production, particularly in the
production of the rose flavour 2-phenylethanol. Conversely, overexpression of
ARO80 via the AgTEF1 promoter resulted in 50% increase in VOC production.
Together these data indicate that A. gossypii is a very potent flavour producer
and that amongst the non-Saccharomyces biodiversity strains can be identified
that could provide positive sensory properties to fermented beverages.
PMID- 24920046
TI - Home based telemedicine intervention for patients with uncontrolled hypertension-
a real life non-randomized study.
AB - BACKGROUND: Control of blood pressure is frequently inadequate in spite of
availability of several classes of well tolerated and effective antihypertensive
drugs. Several factors, including the use of suboptimal doses of drugs,
inadequate or ineffective treatments and poor drug compliance may be the reason
for this phenomenon. The aim of the current non- randomized study was to evaluate
the effectiveness of a Home-Based Telemedicine service in patients with
uncontrolled hypertension. METHODS: 74 patients were enrolled in a Home Based
Telemedicine group and 94 patients in the Usual Care group. At baseline and at
the end of the study, patients in both groups were seen in a cardiology office.
Patients in Home Based Telemedicine group additionally were followed by a
physician-nurse, through scheduled and unscheduled telephone appointments. These
patients also received a blood pressure measuring device that could transmit the
readings to a central data monitor via secure data connection. RESULTS: During
the study period (80 +/- 25 days), a total of 17401 blood pressure measurements
were taken in the Home Based Telemedicine group corresponding to 236 +/- 136
readings per patient and a mean daily measurement of 3 +/- 1.7. The scheduled
telephone contacts (initiated by the nurse) equaled to 5.2 +/- 4.3/patient (370
in total) and the unscheduled telephone contacts (initiated by the patients) were
0.4 +/- 0.9/patient (30 in total). The mean systolic blood pressure values
decreased from 153 +/- 19 mmHg to 130 +/- 15 mmHg (p < 0.0001) at the end of the
study and diastolic blood pressure values decreased from 89 +/- 10 mmHg to 76 +/-
11 mmHg (p < 0.0001). In the Usual Care group, the mean systolic blood pressure
values decreased from 156 +/- 16 mmHg to 149 +/- 17 mmHg (p < 0.05) at the end of
the study and diastolic blood pressure values decreased from 90 +/- 8 mmHg to 86
+/- 9 mmHg (p < 0.05). The changes in drug therapy initiated following telephone
contacts were 1.81 +/- 1.73 per patient. CONCLUSIONS: The addition of a
structured physician-nurse approach supported by remote telemonitoring of blood
pressure is likely to improve outcome in patients with uncontrolled hypertension.
PMID- 24920047
TI - Planktonic or non-planktonic food in young-of-the-year European perch Perca
fluviatilis in ponds.
AB - Higher biomass especially of some aquatic macrophyte species offered a higher
density of phytophilous zoobenthos, but did not increase the proportion of non
planktonic to planktonic prey in young-of-the-year perch Perca fluviatilis. Both
abundance and biomass of non-planktonic prey dominated over planktonic prey in
the pond with lower biomass of aquatic macrophytes and lower food. Survival of P.
fluviatilis was lower (20%) in the pond with lower food than in the other pond
(34%), however, specific growth rate (1.3% day(-1) ) and final Fulton's condition
factor of P. fluviatilis were similar in both ponds.
PMID- 24920048
TI - How does a novel monoplanar pedicle screw perform biomechanically relative to
monoaxial and polyaxial designs?
AB - BACKGROUND: Minimally invasive spinal fusions frequently require placement of
pedicle screws through small incisions with limited visualization. Polyaxial
pedicle screws are favored due to the difficulty of rod insertion with fixed
monoaxial screws. Recently, a novel monoplanar screw became available that is
mobile in the coronal plane to ease rod insertion but fixed in the sagittal plane
to eliminate head slippage during flexion loads; however, the strength of this
screw has not been established relative to other available screw designs.
QUESTIONS/PURPOSES: We compared the static and dynamic load to failure in
polyaxial, monoaxial, and monoplanar pedicle screws. METHODS: Six different
manufacturers' screws (42 total) were tested in three categories (polyaxial, n =
4; monoaxial, n = 1; monopolar, n = 1) utilizing titanium rods. An additional
test was performed using cobalt-chromium rods with the monopolar screws only.
Screws were embedded into polyethylene blocks and rods were attached using the
manufacturers' specifications. Static and dynamic testing was performed. Dynamic
testing began at 80% of static yield strength at 1 Hz for 50,000 cycles. RESULTS:
In static testing, monoaxial and monoplanar screws sustained higher loads than
all polyaxial screw designs (range, 37%-425% higher; p < 0.001). The polyaxial
screws failed at the head-screw interface, while the monoaxial and monoplanar
screws failed by rod breakage in the static test. The dynamic loads to failure
were greater with the monoplanar and monoaxial screws than with the polyaxial
screws (range, 35%-560% higher; p < 0.001). With dynamic testing, polyaxial
screws failed via screw-head slippage between 40% and 95% of static yield
strength, while failures in monoaxial and monoplanar screws resulted from either
screw shaft or rod breakage. CONCLUSIONS: All polyaxial screws failed at the
screw-head interface in static and dynamic testing and at lower values than
monoaxial/monoplanar screw designs. Monoplanar and monoaxial screws failed at
forces well above expected in vivo values; this was not the case for most
polyaxial screws. CLINICAL RELEVANCE: Polyaxial screw heads slip on the screw
shank at lower values than monoaxial or monoplanar screws, and this results in
angular change between the rod and pedicle screw, which could cause loss of
segmental lordosis. The novel monoplanar screw used in this study may combine
ease of rod placement with sagittal plane strength.
PMID- 24920049
TI - Dynamic mitochondrial changes during differentiation of P19 embryonic carcinoma
cells into cardiomyocytes.
AB - Murine P19 embryonal carcinoma cells are multipotent cells that can differentiate
into cardiomyocytes when treated with dimethyl sulfoxide. This experimental model
provides an invaluable tool to study different aspects of cardiac
differentiation, such as the function of cardiac-specific transcription factors
and signaling pathways, and the regulation of contractile protein expression. The
role of mitochondria during cardiac differentiation is unclear. In this context,
we have examined the mitochondrial-related changes in undifferentiated and
differentiated P19 cells. We observed that mitochondrial DNA content sharply
decreased in P19 cell aggregates compared to undifferentiated cells, accompanied
by decreased levels of adenosine triphosphate (ATP) and reactive oxygen species
(ROS). Following the aggregation stage, the mitochondrial DNA content reached its
highest level on day 7 of the differentiation process, with the intracellular ROS
level showing a trend to increase, similar to cellular ATP production. In
conclusion, our study on differentiating P19 embryonal carcinoma cells provides
new insights into the role of mitochondria in the differentiation of P19 stem
cells into beating cardiomyocytes.
PMID- 24920050
TI - Improved synthetic route to methyl 1-fluoroindan-1-carboxylate (FICA Me ester)
and 4-methyl derivatives.
AB - An improved synthetic route has been developed for the preparation of methyl 1
fluoroindan-1-carboxylate (FICA Me ester) from 1-indanone. Methyl 4-methyl-1
fluoroindan-1-carboxylate (4-Me-FICA Me ester) was also prepared following the
same procedure.
PMID- 24920051
TI - Eco-efficient one-pot synthesis of quinazoline-2,4(1H,3H)-diones at room
temperature in water.
AB - An efficient one-pot synthesis of quinazoline-2,4(1H,3H)-diones was developed.
First, the reactions of anthranilic acid derivatives with potassium cyanate
afforded the corresponding urea derivatives. Then, cyclization of the urea
derivatives with NaOH afforded the monosodium salts of benzoylene urea. Finally,
HCl treatment afforded the desired products in near-quantitative yields. This is
an eco-efficient method because all the reactions were carried out in water, and
the desired products were obtained simply by filtration. The aqueous filtrate was
the only waste generated from the reaction. We scaled up the reaction to 1 kg
starting material, thus establishing an alternative approach for the green
synthesis of quinazoline-2,4(1H,3H)-diones in the chemical and pharmaceutical
industries.
PMID- 24920052
TI - Two novel alleles HLA-DRB1*11:150 and HLA-DRB1*14:145 identified in Saudi
individuals.
AB - Two new HLA- DRB1 alleles were identified by sequence-based typing method (SBT)
in 1100 participants in the Saudi Stem Cell Donor Registry. HLA-DRB1*11:150
differs from HLA-DRB1*11:01:01G by a single C to A substitution at nucleotide
position 5580 in exon 2, resulting in an amino acid change from alanine to
glutamic acid at position 74. HLA-DRB1*14:145 differs from HLA-DRB1*14:04 by a C
to G substitution at nucleotide position 5511 in exon 2, resulting in an amino
acid change from threonine to arginine at position 51.
PMID- 24920053
TI - Catalytic biorefining of plant biomass to non-pyrolytic lignin bio-oil and
carbohydrates through hydrogen transfer reactions.
AB - Through catalytic hydrogen transfer reactions, a new biorefining method results
in the isolation of depolymerized lignin--a non-pyrolytic lignin bio-oil--in
addition to pulps that are amenable to enzymatic hydrolysis. Compared with
organosolv lignin, the lignin bio-oil is highly susceptible to further
hydrodeoxygenation under low-severity conditions and therefore establishes a
unique platform for lignin valorization by heterogeneous catalysis. Overall, the
potential of a catalytic biorefining method designed from the perspective of
lignin utilization is reported.
PMID- 24920055
TI - Influence of alkyl chain length and anion species on ionic liquid structure at
the graphite interface as a function of applied potential.
AB - Atomic force microscopy (AFM) force measurements elucidate the effect of cation
alkyl chain length and the anion species on ionic liquid (IL) interfacial
structure at highly ordered pyrolytic graphite (HOPG) surfaces as a function of
potential. Three ILs are examined: 1-hexyl-3-methylimidazolium
tris(pentafluoroethyl)trifluorophosphate ([HMIM] FAP), 1-ethyl-3
methylimidazolium tris(pentafluoroethyl)trifluorophosphate ([EMIM] FAP), and 1
ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide ([EMIM] TFSA). The
step-wise force-distance profiles indicate the ILs adopt a multilayered
morphology near the surface. When the surface is biased positively or negatively
versus Pt quasireference electrode, both the number of steps, and the force
required to rupture each step increase, indicating stronger interfacial
structure. At all potentials, push-through forces for [HMIM] FAP are the highest,
because the long alkyl chain results in strong cohesive interactions between
cations, leading to well-formed layers that resist the AFM tip. The most layers
are observed for [EMIM] FAP, because the C2 chains are relatively rigid and the
dimensions of the cation and anion are similar, facilitating neat packing. [EMIM]
TFSA has the smallest push-through forces and fewest layers, and thus the weakest
interfacial structure. Surface-tip attractive forces are measured for all ILs. At
the same potential, the attractions are the strongest for [EMIM] TFSA and the
weakest for [HMIM] FAP because the interfacial layers are better formed for the
longer alkyl chain cation. This means interfacial forces are stronger, which
masks the weak attractive forces.
PMID- 24920054
TI - The health-related quality of life of Chinese patients with lower urinary tract
symptoms in primary care.
AB - PURPOSE: The aim of this study was to evaluate the health-related quality of life
(HRQOL) of Chinese primary care patients with lower urinary tract symptoms
(LUTS). METHODS: Five hundred and nineteen primary care subjects with LUTS
completed a structured questionnaire containing the International Prostate
Symptom Score, the adapted Incontinence Impact Questionnaire-7, the International
Consultation on Incontinence Questionnaire-Urinary Incontinence Short Form, the
Chinese (HK) SF-12 Health Survey Version 2 (SF-12 v2) and the Depression, Anxiety
and Stress Scale-21. RESULTS: LUTS patients had poorer HRQOL for the General
Health and the Vitality domains and lower Physical Component Summary scores, but
better HRQOL for the Role Emotion domain than the adjusted Hong Kong population
norms. Clinical factors associated with poorer HRQOL measured by the SF-12 v2
included having more severe LUTS and having more severe depressive, anxiety and
stress symptoms. Socio-demographic factors associated with poorer SF-12 v2 were
consistent with those found in the general populations. Clinical and socio
demographic factors associated with poorer HRQOL assessed by condition-specific
measures included having more severe LUTS (excluding intermittency and
straining), the presence of mixed urinary incontinence, having more severe
anxiety and stress symptoms, younger age, being not married, being in employment
and having a lower household income. CONCLUSIONS: LUTS had substantial negative
impact on patients' overall health perception and global well-being in Chinese
population. A decline in HRQOL might be a key determinant for Chinese patients
with LUTS to seek treatment. Patients with nocturia, frequency, urgency or mixed
urinary incontinence and younger patients deserve more treatment attention
because they appear to have poorer HRQOL.
PMID- 24920059
TI - Well-defined nano-sunflowers formed by self-assembly of a rod-coil amphiphile in
water and their morphology transformation based on a water-soluble
pillar[5]arene.
AB - Well-defined nano-sunflowers were constructed by self-assembling a rod-coil
amphiphile in water, and their morphologies transformed by addition of a water
soluble pillar[5]arene and Ag2O were also investigated.
PMID- 24920060
TI - Homo- and heteroleptic alkoxycarbene f-element complexes and their reactivity
towards acidic N-H and C-H bonds.
AB - The reactivity of a series of organometallic rare earth and actinide complexes
with hemilabile NHC-ligands towards substrates with acidic C-H and N-H bonds is
described. The synthesis, characterisation and X-ray structures of the new
heteroleptic mono- and bis(NHC) cyclopentadienyl complexes LnCp2(L) 1 (Ln = Sc,
Y, Ce; L = alkoxy-tethered carbene [OCMe2CH2(1-C{NCHCHN(i)Pr})]), LnCp(L)2 (Ln =
Y) , and the homoleptic tetrakis(NHC) complex Th(L)4 4 are described. The
reactivity of these complexes, and of the homoleptic complexes Ln(L)3 (Ln = Sc 3,
Ce), with E-H substrates is described, where EH = pyrrole C4H4NH, indole C8H6NH,
diphenylacetone Ph2CC(O)Me, terminal alkynes RC=CH (R = Me3Si, Ph), and
cyclopentadiene C5H6. Complex 1-Y heterolytically cleaves and adds pyrrole and
indole N-H across the metal carbene bond, whereas 1-Ce does not, although 3 and 4
form H-bonded adducts. Complexes 1-Y and 1-Sc form adducts with CpH without
cleaving the acidic C-H bond, 1-Ce cleaves the Cp-H bond, but 2 reacts to form
the very rare H(+)-[C5H5](-)-H(+) motif. Complex 1-Ce cleaves alkyne C-H bonds
but the products rearrange upon formation, while complex 1-Y cleaves the C-H bond
in diphenylacetone forming a product which rearranges to the Y-O bonded enolate
product.
PMID- 24920061
TI - Melatonin and ubiquitin: what's the connection?
AB - Melatonin has been widely studied for its role in photoperiodism in seasonal
breeders; it is also a potent antioxidant. Ubiquitin, a protein also widespread
in living cells, contributes to many cellular events, although the most well
known is that of tagging proteins for destruction by the proteasome. Herein, we
suggest a model in which melatonin interacts with the ubiquitin-proteasome system
to regulate a variety of seemingly unrelated processes. Ubiquitin, for example,
is a major regulator of central activity of thyroid hormone type 2 deiodinase;
the subsequent regulation of T3 may be central to the melatonin-induced changes
in seasonal reproduction and seasonal changes in metabolism. Both melatonin and
ubiquitin also have important roles in protecting cells from oxidative stress. We
discuss the interaction of melatonin and the ubiquitin-proteasome system in
oxidative stress through regulation of the ubiquitin-activating enzyme, E1.
Previous reports have shown that glutathiolation of this enzyme protects proteins
from unnecessary degradation. In addition, evidence is discussed concerning the
interaction of ubiquitin and melatonin in activation of the transcription factor
NF-kappaB as well as modulating cellular levels of numerous signal transducing
factors including the tumor suppressor, p53. Some of the actions of melatonin on
the regulatory particle of the proteasome appear to be related to its inhibition
of the calcium-dependent calmodulin kinase II, an enzyme which reportedly
copurifies with proteasomes. Many of the actions of melatonin on signal
transduction are similar to those of a proteasome inhibitor. While these actions
of melatonin could be explained by a direct inhibitory action on the catalytic
core particle of the proteasome, this has not been experimentally verified. If
our hypothesis of melatonin as a general inhibitor of the ubiquitin-proteasome
system is confirmed, it is predicted that more examples of this interaction will
be demonstrated in a variety of tissues in which ubiquitin and melatonin co
exist. Furthermore, the hypothesis of melatonin as an inhibitor of the ubiquitin
proteasome system will be a very useful model for clinical testing of melatonin.
PMID- 24920062
TI - Mechanical forces induce odontoblastic differentiation of mesenchymal stem cells
on three-dimensional biomimetic scaffolds.
AB - The mechanical induction of cell differentiation is well known. However, the
effect of mechanical compression on odontoblastic differentiation remains to be
elucidated. Thus, we first determined the optimal conditions for the induction of
human dental pulp stem cells (hDPSCs) into odontoblastic differentiation in
response to mechanical compression of three-dimensional (3D) scaffolds with
dentinal tubule-like pores. The odontoblastic differentiation was evaluated by
gene expression and confocal laser microscopy. The optimal conditions, which
were: cell density, 4.0 * 105 cells/cm2 ; compression magnitude, 19.6 kPa; and
loading time, 9 h, significantly increased expression of the odontoblast-specific
markers dentine sialophosphoprotein (DSPP) and enamelysin and enhanced the
elongation of cellular processes into the pores of the membrane, a typical
morphological feature of odontoblasts. In addition, upregulation of bone
morphogenetic protein 7 (BMP7) and wingless-type MMTV integration site family
member 10a (Wnt10a) was observed. Moreover, the phosphorylation levels of mitogen
activated protein kinases (MAPKs), extracellular signal-regulated kinase 1/2
(ERK1/2) and p38 were also enhanced by mechanical compression, indicating the
involvement of the MAPK signalling pathway. It is noteworthy that human
mesenchymal stem cells (MSCs) derived from bone marrow and amnion also
differentiated into odontoblasts in response to the optimal mechanical
compression, demonstrating the importance of the physical structure of the
scaffold in odontoblastic differentiation. Thus, odontoblastic differentiation of
hDPSCs is promoted by optimal mechanical compression through the MAPK signalling
pathway and expression of the BMP7 and Wnt10a genes. The 3D biomimetic scaffolds
with dentinal tubule-like pores were critical for the odontoblastic
differentiation of MSCs induced by mechanical compression. Copyright (c) 2014
John Wiley & Sons, Ltd.
PMID- 24920063
TI - Acquired initiating mutations in early hematopoietic cells of CLL patients.
AB - Appropriate cancer care requires a thorough understanding of the natural history
of the disease, including the cell of origin, the pattern of clonal evolution,
and the functional consequences of the mutations. Using deep sequencing of flow
sorted cell populations from patients with chronic lymphocytic leukemia (CLL), we
established the presence of acquired mutations in multipotent hematopoietic
progenitors. Mutations affected known lymphoid oncogenes, including BRAF, NOTCH1,
and SF3B1. NFKBIE and EGR2 mutations were observed at unexpectedly high
frequencies, 10.7% and 8.3% of 168 advanced-stage patients, respectively. EGR2
mutations were associated with a shorter time to treatment and poor overall
survival. Analyses of BRAF and EGR2 mutations suggest that they result in
deregulation of B-cell receptor (BCR) intracellular signaling. Our data propose
disruption of hematopoietic and early B-cell differentiation through the
deregulation of pre-BCR signaling as a phenotypic outcome of CLL mutations and
show that CLL develops from a pre-leukemic phase. SIGNIFICANCE: The origin and
pathogenic mechanisms of CLL are not fully understood. The current work indicates
that CLL develops from pre-leukemic multipotent hematopoietic progenitors
carrying somatic mutations. It advocates for abnormalities in early B-cell
differentiation as a phenotypic convergence of the diverse acquired mutations
observed in CLL.
PMID- 24920064
TI - Stable acetate production in extreme-thermophilic (70 degrees C) mixed culture
fermentation by selective enrichment of hydrogenotrophic methanogens.
AB - The control of metabolite production is difficult in mixed culture fermentation.
This is particularly related to hydrogen inhibition. In this work,
hydrogenotrophic methanogens were selectively enriched to reduce the hydrogen
partial pressure and to realize efficient acetate production in extreme
thermophilic (70 degrees C) mixed culture fermentation. The continuous stirred
tank reactor (CSTR) was stable operated during 100 days, in which acetate
accounted for more than 90% of metabolites in liquid solutions. The yields of
acetate, methane and biomass in CSTR were 1.5 +/- 0.06, 1.0 +/- 0.13 and 0.4 +/-
0.05 mol/mol glucose, respectively, close to the theoretical expected values. The
CSTR effluent was stable and no further conversion occurred when incubated for 14
days in a batch reactor. In fed-batch experiments, acetate could be produced up
to 34.4 g/L, significantly higher than observed in common hydrogen producing
fermentations. Acetate also accounted for more than 90% of soluble products
formed in these fed-batch fermentations. The microbial community analysis
revealed hydrogenotrophic methanogens (mainly Methanothermobacter
thermautotrophicus and Methanobacterium thermoaggregans) as 98% of Archaea,
confirming that high temperature will select hydrogenotrophic methanogens over
aceticlastic methanogens effectively. This work demonstrated a potential
application to effectively produce acetate as a value chemical and methane as an
energy gas together via mixed culture fermentation.
PMID- 24920066
TI - One-year changes in bone mineral density and bone turnover markers in
premenopausal amateur runners: a prospective study.
AB - A prospective study was conducted to clarify the 1-year changes in lumbar spine
and hip bone mineral density (BMD) and bone turnover markers in premenopausal
amateur runners and to determine whether jumping and muscle-strengthening
exercises have additive effects on the bone parameters in these runners. Thirty
six premenopausal amateur runners were recruited and were divided into the
following two groups: a jumping plus muscle-strengthening exercise group (n =21)
and a control group (n =15). All participants continued their running practice
for 1 year, and the lumbar spine and total hip BMD and bone turnover markers were
monitored. For all participants, the lumbar spine and total hip BMD increased
modestly after 1 year (1.31% and 1.54%, respectively) in addition to increases in
the bone-specific alkaline phosphatase, osteocalcin, and tartrate-resistant acid
phosphatase 5b levels (13.2%-27.8%), indicating mild effects of running activity
on bone turnover and BMD at clinically relevant skeletal sites. Jumping plus
muscle-strengthening exercises did not significantly influence any bone
parameters; however, it was difficult to draw definite conclusions because
compliance was poor. These results suggest that long-distance running at the
recreational level may be useful in maintaining bone health in premenopausal
women.
PMID- 24920068
TI - The remarkable effect of ivabradine in two adolescents with dilated
cardiomyopathy.
PMID- 24920069
TI - RAD001 can reverse drug resistance of SGC7901/DDP cells.
AB - To investigate the role of RAD001 in the reversing of drug resistance of
SGC7901/DDP, we cultured SGC7901/DDP cells with different groups of drugs
(RAD001, cisplatin (DDP) alone, or the combination of RAD001 and DDP); after
that, we detected the drug sensitivity, cell apoptosis, and levels of P-gp, MRP1,
and survivin in the cells of SGC7901/DDP by MTT (3-(4, 5-dimethylthiazol-2-yl)-2,
5-diphe-nyltetrazolium bromide) assay, flow cytometry, immunohistochemical
analysis, and Western blot analysis. There was no significant difference between
DDP 2.5-mg/L group and negative control group. When the cells were pretreated
with RAD001 2.5, 5 nmol/L, the proliferation of SGC7901/DDP cells was inhibited
by DDP 2.5 mg/L significantly, compared to negative control group, DDP 2.5-mg/L
group, and RAD001 2.5, 5-nmol/L group, respectively (P < 0.05); there were
significant differences between combination groups (P < 0.05). DDP 2.5 mg/L and
RAD001 2.5 nmol/L did not induce apoptosis of SGC7901/DDP cells alone (P > 0.05).
When SGC7901/DDP cells were pretreated with RAD001 2.5 nmol/L, DDP 2.5 mg/L
increased the apoptosis rate significantly compared to groups of control and DDP
2.5 mg/L alone (P < 0.05). Immunohistochemical staining (Table 5, Fig. 2) and
Western blot analysis (Fig. 3) indicated that when SGC7901/DDP cells were
pretreated with RAD001 2.5 nmol/L, the expression of P-gp, MRP1, and survivin
decreased by different degrees. Our results have confirmed that RAD001 in
combination with DDP could overcome chemoresistance of SGC7901/DDP cells by
decreasing the levels of P-gp, MRP1, and survivin through the mTOR pathway.
PMID- 24920071
TI - Efficacy and safety of adalimumab in psoriatic patients previously treated with
etanercept in a real-world setting.
AB - INTRODUCTION: Knowledge on the efficacy and safety of adalimumab in psoriasis
patients switching from etanercept is scarce, especially on the influence that
causes of etanercept discontinuation may have on adalimumab response. OBJECTIVES:
To evaluate the response, adverse effects and factors that may influence the
efficacy and safety of adalimumab in psoriasis patients who failed on etanercept
therapy in a real-world setting. METHODS: Data from all moderate to severe plaque
psoriasis patients who switched from etanercept to adalimumab were extracted from
a registry of biological therapies of our department. Primary endpoint was the
percentage of patients achieving PASI 50 at weeks 12, 24, and 52. Secondary
endpoints were the percentages of patients achieving PASI 75 and PASI 90,
patients who maintained PASI values <5 and <3, and the safety of adalimumab.
RESULTS: Of 35 patients who fulfilled the study criteria, 82.9% achieved PASI 50
at week 12, 74.3% at week 24, and 74.3% at week 52 on adalimumab treatment.
Eleven of 16 primary and 11 of 17 secondary nonresponders to etanercept responded
to adalimumab. There were no treatment discontinuations due to side effects.
CONCLUSIONS: Previous etanercept failure seems not influence the success and
safety of adalimumab treatment in moderate to severe plaque psoriasis.
PMID- 24920070
TI - H19 promotes pancreatic cancer metastasis by derepressing let-7's suppression on
its target HMGA2-mediated EMT.
AB - The long noncoding RNA (lncRNA) H19 has been recently characterized as an
oncogenic lncRNA in some tumors. However, the role of H19 in pancreatic ductal
adenocarcinoma (PDAC) remains unclear. In this study, we found that not only the
levels of H19 was overexpressed in PDAC compared with adjacent normal tissues,
but also H19 expression was upregulated remarkably in primary tumors which
subsequently metastasized, compared to those did not metastasis. Subsequently,
the efficacy of knockdown of H19 by H19-small interfering RNA (siRNA) was
evaluated in vitro, and we found that downregulation of H19 impaired PDAC cell
invasion and migration. We further demonstrated that H19 promoted PDAC cell
invasion and migration at least partially by increasing HMGA2-mediated epithelial
mesenchymal transition (EMT) through antagonizing let-7. This study suggests an
important role of H19 in regulating metastasis of PDAC and provides some clues
for elucidating the lncRNA-miRNA functional network in cancer.
PMID- 24920072
TI - Gender differences in Afghan drug-abuse treatment: an assessment of treatment
entry characteristics, dropout, and outcomes.
AB - OBJECTIVE: The current study examines gender differences in drug-abuse treatment
(DAT) entry, dropout, and outcomes in seven DAT centers in Afghanistan. This is
the first study to examine gender differences in DAT programming in Afghanistan.
DESIGN: A prospective cohort design of 504 women and men in seven DAT centers in
Afghanistan was used in this study and the analyses examined whether gender
differences exist for patients (1) at treatment entry, (2) at treatment dropout,
and (3) for treatment outcomes. RESULTS: Gender differences were found at
baseline for patient characteristics, drug use, crime, and social and
occupational functioning. Results showed a trend that women remained in treatment
longer than men. Looking at gender differences in treatment success, results
showed greater reductions in drug use and crime, and greater social functioning
among women. CONCLUSION: Results provide preliminary evidence for potential
treatment success of women-tailored DAT programming in Afghanistan. Results also
indicate that DAT appears to be successful among Afghan men; however, lower
positive outcomes for men when compared to women suggest that more efforts should
focus on tailoring DAT programming to the specific needs of Afghan men as well.
Study limitations are addressed, and important policy implications are discussed.
PMID- 24920075
TI - Viral factors and predicting disease outcomes in chronic hepatitis B.
PMID- 24920076
TI - Efficient, compassionate, and fractured: contemporary care in the ICU.
PMID- 24920077
TI - Functional C1q is present in the skin mucus of Siberian sturgeon (Acipenser
baerii).
AB - The skin mucus of fish acts as the first line of self-protection against
pathogens in the aquatic environment and comprises a number of innate immune
components. However, the presence of the critical classical complement component
C1q, which links the innate and adaptive immune systems of mammalians, has not
been explored in a primitive actinopterygian fish. In this study, we report that
C1q is present in the skin mucus of the Siberian sturgeon (Acipenser baerii). The
skin mucus was able to inhibit the growth of Escherichia coli. The bacteriostatic
activity of the skin mucus was reduced by heating and by pre-incubation with EDTA
or mouse anti-human C1q antibody. We also detected C1q protein in skin mucus
using the western blot procedure and isolated a cDNA that encodes the Siberian
sturgeon C1qC, which had 44.7-51.4% identity with C1qCs in teleosts and
tetrapods. A phylogenetic analysis revealed that Siberian sturgeon C1qC lies at
the root of the actinopterygian branch and is separate from the tetrapod branch.
The C1qC transcript was expressed in many tissues as well as in skin. Our data
indicate that C1q is present in the skin mucus of the Siberian sturgeon to
protect against water-borne bacteria, and the C1qC found in the sturgeon may
represent the primitive form of teleost and tetrapod C1qCs.
PMID- 24920074
TI - Hyperpolarized gas diffusion MRI for the study of atelectasis and acute
respiratory distress syndrome.
AB - Considerable uncertainty remains about the best ventilator strategies for the
mitigation of atelectasis and associated airspace stretch in patients with acute
respiratory distress syndrome (ARDS). In addition to several immediate
physiological effects, atelectasis increases the risk of ventilator-associated
lung injury, which has been shown to significantly worsen ARDS outcomes. A number
of lung imaging techniques have made substantial headway in clarifying the
mechanisms of atelectasis. This paper reviews the contributions of computed
tomography, positron emission tomography, and conventional MRI to understanding
this phenomenon. In doing so, it also reveals several important shortcomings
inherent to each of these approaches. Once these shortcomings have been made
apparent, we describe how hyperpolarized (HP) gas MRI--a technique that is
uniquely able to assess responses to mechanical ventilation and lung injury in
peripheral airspaces--is poised to fill several of these knowledge gaps. The HP
MRI-derived apparent diffusion coefficient (ADC) quantifies the restriction of
(3) He diffusion by peripheral airspaces, thereby obtaining pulmonary structural
information at an extremely small scale. Lastly, this paper reports the results
of a series of experiments that measured ADC in mechanically ventilated rats in
order to investigate (i) the effect of atelectasis on ventilated airspaces, (ii)
the relationship between positive end-expiratory pressure (PEEP), hysteresis, and
the dimensions of peripheral airspaces, and (iii) the ability of PEEP and
surfactant to reduce airspace dimensions after lung injury. An increase in ADC
was found to be a marker of atelectasis-induced overdistension. With recruitment,
higher airway pressures were shown to reduce stretch rather than worsen it.
Moving forward, HP MRI has significant potential to shed further light on the
atelectatic processes that occur during mechanical ventilation.
PMID- 24920078
TI - Development of cross-resistance by Aspergillus fumigatus to clinical azoles
following exposure to prochloraz, an agricultural azole.
AB - BACKGROUND: The purpose of this study was to unveil whether azole antifungals
used in agriculture, similar to the clinical azoles used in humans, can evoke
resistance among relevant human pathogens like Aspergillus fumigatus, an
ubiquitous agent in nature. Additionally, cross-resistance with clinical azoles
was investigated. Antifungal susceptibility testing of environmental and clinical
isolates of A. fumigatus was performed according to the CLSI M38-A2 protocol. In
vitro induction assays were conducted involving daily incubation of susceptible
A. fumigatus isolates, at 35 degrees C and 180 rpm, in fresh GYEP broth medium
supplemented with Prochloraz (PCZ), a potent agricultural antifungal, for a
period of 30 days. Minimal inhibitory concentrations (MIC) of PCZ and clinical
azoles were monitored every ten days. In order to assess the stability of the
developed MIC, the strains were afterwards sub-cultured for an additional 30 days
in the absence of antifungal. Along the in vitro induction process, microscopic
and macroscopic cultural observations were registered. RESULTS: MIC of PCZ
increased 256 times after the initial exposure; cross-resistance to all tested
clinical azoles was observed. The new MIC value of agricultural and of clinical
azoles maintained stable in the absence of the selective PCZ pressure. PCZ
exposure was also associated to morphological colony changes: macroscopically the
colonies became mostly white, losing the typical pigmentation; microscopic
examination revealed the absence of conidiation. CONCLUSIONS: PCZ exposure
induced Aspergillus fumigatus morphological changes and an evident increase of
MIC value to PCZ as well as the development of cross-resistance with
posaconazole, itraconazole and voriconazole.
PMID- 24920079
TI - Repeated stereotactic body radiotherapy for oligometastatic prostate cancer
recurrence.
AB - PURPOSE: To assess the outcome of prostate cancer (PCa) patients diagnosed with
oligometastatic disease at recurrence and treated with stereotactic body
radiotherapy (SBRT). METHODS: Non-castrate patients with up to 3 synchronous
metastases (bone and/or lymph nodes) diagnosed on positron emission tomography -
computed tomography, following biochemical recurrence after local curative
treatment, were treated with (repeated) SBRT to a dose of 50 Gy in 10 fractions
or 30 Gy in 3 fractions. Androgen deprivation therapy-free survival (ADT-FS)
defined as the time interval between the first day of SBRT and the initiation of
ADT was the primary endpoint. ADT was initiated if more than 3 metastases were
detected during follow-up even when patients were still asymptomatic. Secondary
endpoints were local control, progression free survival (PFS) and toxicity.
Toxicity was scored using the Common Terminology Criteria for Adverse Events.
RESULTS: With a median follow-up from time of SBRT of 2 years, we treated 50
patients with 70 metastatic lesions with a local control rate of 100%. The
primary involved metastatic sites were lymph nodes (54%), bone (44%), and viscera
(2%). The median PFS was 19 mo (95% CI: 13-25 mo) with 75% of recurring patients
having <=3 metastases. A 2nd and 3rd course of SBRT was delivered in 19 and 6
patients respectively. This results in a median ADT-FS of 25 months (20-30 mo).
On univariate analysis, only a short PSA doubling time was a significant
predictor for both PFS (HR: 0.90, 95% CI: 0.82 - 0.99) and ADT-FS (HR: 0.83; 95%
CI: 0.71 - 0.97). Ten patients (20%) developed toxicity following treatment,
which was classified as grade I in 7 and grade II in 3 patients. CONCLUSION:
Repeated SBRT for oligometastatic prostate cancer postpones palliative androgen
deprivation therapy with 2 years without grade III toxicity.
PMID- 24920080
TI - Ca2+ switches the effect of PS-containing membranes on Factor Xa from activating
to inhibiting: implications for initiation of blood coagulation.
AB - Calcium (Ca2+) plays a pivotal role in cellular and organismal physiology. The
Ca2+ ion has an intermediate protein-binding affinity and thus it can serve as an
on/off switch in the regulation of different biochemical processes. The serum
level of ionized Ca2+ is regulated with normal ionized Ca2+ being in the range
1.10-1.3 mM. Hypocalcaemia (free Ca2+<1.1 mM) in critically ill patients is
commonly accompanied by haemostatic abnormalities, ranging from isolated
thrombocytopenia to complex defects such as disseminated intravascular
coagulation, commonly thought to be due to insufficient functioning of
anticoagulation pathways. A small amount of fXa (Factor Xa) produced by Factor
VIIa and exposed tissue factor is key to initiating blood coagulation by
producing enough thrombin to induce the later stages of coagulation. fXa must
bind to PS (phosphatidylserine)-containing membranes to produce thrombin at a
physiologically significant rate. In the present study, we show that overall fXa
activity on PS-containing membranes is sharply regulated by a 'Ca2+ switch'
centred at 1.16 mM, below which fXa is active and above which fXa forms inactive
dimers on PS-exposing membranes. Our data lead to a mathematical model that
predicts the variation of fXa activity as a function of both Ca2+ and membrane
concentrations. Because the critical Ca2+ concentration is at the lower end of
the normal plasma ionized Ca2+ concentration range, we propose a new regulatory
mechanism by which local Ca2+ concentration switches fXa from an intrinsically
active form to a form requiring its cofactor [fVa (Factor Va)] to achieve
significant activity.
PMID- 24920081
TI - The relationship between changes in quality of life outcomes and progression of
Alzheimer's disease: results from the dependence in AD in England 2 longitudinal
study.
AB - OBJECTIVE: The relationship between conventional indicators of Alzheimer's
disease (AD) progression and quality of life (QoL) outcomes is unclear.
Dependence on others has been recommended as a unifying construct in defining AD
severity. This study examined the relationship between indicators of disease
severity (including dependence) and changes in QoL and utility over 18 months.
METHODS: A multi-centre, cohort study was conducted across 18 UK sites. One
hundred and forty-five patients with possible/probable AD and their caregivers
completed assessments of disease severity (Dependence Scale, Mini-mental state
examination, Neuropsychiatric Inventory, Disability Assessment for Dementia),
dementia-specific QoL (DEMQOL, DEMQOL-Proxy) and generic health-related utility
(EQ-5D) at both time points. RESULTS: There was evidence of individual change in
QoL over 18 months, with over 50% of patients reporting either maintenance or
improvement of life quality. The EQ-5D proxy suggested a mean decline in QoL,
whereas the DEMQOL-Proxy indicated overall improvement. In the subsample of
people who self-reported QoL and utility, no mean change was evident. Changes in
dependence did not explain changes on any QoL or utility outcome. There was a
weak association between the EQ-5D proxy and changes in cognition, whereas
changes on the DEMQOL-Proxy were partly explained by changes in behavioural
disturbance. CONCLUSIONS: The natural progression of AD over 18 months does not
lead to inevitable decline in QoL or utility. There are no clear or consistent
direct relationships between changes in disease severity and QoL outcomes. The
impact of increasing dependence and worsening disease severity is likely buffered
by a combination of psychological, social and environmental factors.
PMID- 24920083
TI - Low emotional response to traumatic footage is associated with an absence of
analogue flashbacks: an individual participant data meta-analysis of 16 trauma
film paradigm experiments.
AB - Most people will experience or witness a traumatic event. A common occurrence
after trauma is the experience of involuntary emotional memories of the traumatic
event, herewith "flashbacks". Some individuals, however, report no flashbacks.
Prospective work investigating psychological factors associated with an absence
of flashbacks is lacking. We performed an individual participant data meta
analysis on 16 experiments (n = 458) using the trauma film paradigm to
investigate the association of emotional response to traumatic film footage and
commonly collected baseline characteristics (trait anxiety, current depression,
trauma history) with an absence of analogue flashbacks. An absence of analogue
flashbacks was associated with low emotional response to the traumatic film
footage and, to a lesser extent, low trait anxiety and low current depression
levels. Trauma history and recognition memory for the film were not significantly
associated with an absence of analogue flashbacks. Understanding why some
individuals report an absence of flashbacks may aid preventative treatments
against flashback development.
PMID- 24920082
TI - Characteristics and experiences of patients with localized prostate cancer who
left an active surveillance program.
AB - BACKGROUND: Understanding the experiences of men leaving active surveillance
programs is critical to making such programs viable for men with localized
prostate cancer. OBJECTIVE: To generate hypotheses about the factors that
influence patients' decisions to leave an active surveillance program. METHODS:
Using data from the Johns Hopkins active surveillance cohort, bivariate analyses
and multinomial regression models examined characteristics of men who self
elected to leave, those who stayed in the program, and those who left because of
disease reclassification. We interviewed patients who self-elected to leave.
RESULTS: Of 1,159 men in active surveillance, 9 % self-elected to leave. In
interviews with a sample of 14 men who self-elected to leave, uncertainty
involved in active surveillance participation, existence of personal criteria
distinct from providers' clinical criteria-and fear of cancer were important
factors in decisions to leave. CONCLUSION: Men leaving active surveillance were
motivated by a number of factors, including patient-defined criteria, which might
differ from clinical recommendations. To ensure active surveillance
participation, it may be important to address cancer-related anxiety and personal
criteria underlying patient decisions.
PMID- 24920085
TI - Validation of a French version of the pure procrastination scale (PPS).
AB - Procrastination is a widespread phenomenon that affects everyone's day-to-day
life and interferes with the clinical treatment of several psychopathological
states. To assess this construct, Steel (2010) developed the Pure Procrastination
Scale (PPS), a short scale intended to capture the general notion of
dysfunctional delay. The aim of the current study was to present a French version
of this questionnaire. To this end, the 12 items of the PPS were translated into
French and data were collected from an online survey in a sample of 245 French
speaking individuals from the general population. The results revealed that one
item had problematic face validity; it was therefore removed. Exploratory and
confirmatory analyses performed on the resulting 11-item version of the French
PPS indicated that the scale was composed of two factors ("voluntary delay" and
"observed delay") depending on a common, higher-order construct ("general
procrastination"). Good internal consistency and test-retest reliability were
found. External validity was supported by specific relationships with measures of
personality traits, impulsivity, and subjective well-being. The French PPS
therefore presents satisfactory psychometric properties and may be considered a
reliable and valid instrument for research, teaching and clinical practice.
PMID- 24920086
TI - [Differences in the selenium supply of cattle across Europe].
AB - OBJECTIVE: Evaluation of differences in the selenium supply of cattle across
Europe. MATERIAL AND METHODS: A total of 35,722 serum samples from cattle
throughout Europe (unknown clinical status), which had been sent by veterinarians
to the IDEXX Vet Med Lab Ludwigsburg, Germany between January 1st, 2006, and June
30th, 2013, were analyzed routinely for the selenium concentration using ICP
analysis. RESULTS: The collective data of the selenium concentration in cattle
serum display seasonal variations, with a lower concentration during summer when
compared to the winter. In recent years, the selenium supply has decreased. The
farm size, husbandry conditions, economic situation, soil selenium concentration
and the countries' specific feeding together play a key role in the selenium
supply of the herd. Hungary and the Scandinavian countries Sweden and Denmark
show the best selenium supply in Europe. A very poor situation exists in France
and Luxembourg (> 50% of the cattle samples are undersupplied). CONCLUSION AND
CLINICAL RELEVANCE: An optimal selenium supply for cattle is very important; a
trace element deficiency can cause negative health effects and impair herd
performance. The selenium concentration varies considerably, depending upon
feeding and husbandry conditions. Therefore, a serum selenium analysis in cattle
is essential and should be performed annually.
PMID- 24920087
TI - Reproductive performance of Arab mares in the Kingdom of Saudi Arabia.
AB - OBJECTIVE: The aim of this study was to evaluate the reproductive performance of
the Arab mares in the Kingdom of Saudi Arabia (KSA). METHODS: Managers of 26
studfarms containing 1014 Arab mares at five districts were asked to complete a
questionnaire on the reproductive efficiency of their mares. RESULTS: The mean
age at first mating was 3.06 +/- 0.5 years. Mares were mated equally in winter
and all over the year. Natural mating was mostly used (76.9%). The means of
estrus duration and estrus interval were 6.58 +/- 1 days and 19.57 +/- 1.8 days,
respectively. The means of first service and over- all pregnancy rates and the
number of cycles/pregnancy were 52.71 +/- 20.2%, 83.72 +/- 15.0%, and 1.46 +/-
0.3, respectively, with no effect of the postpartum interval, district, or
season. The average pregnancy duration was 335.5 +/- 10.2 days. It was shorter in
mares mated by day 9 postpartum than in those mated later (p < 0.05). The ratio
of stallion/mares ranged from 1:4 to 1:24, with no correlation with the pregnancy
rates or the number of cycles/pregnancy. DISCUSSION: Photoperiodic regulation and
changes in the hypothalamic-hypophyseal axis of mares are usually associated with
the seaso- nal reproductive activity of mares in the temperate but not in
subtropical areas. Short duration of gestation for mares mated by day 9 after
foaling may be related to the nutritional status of dams. CONCLUSION: The
obtained data represent the first record of the reproductive performance of Arab
mares in the KSA, which could be used to anticipate their performance under
different managements. CLINICAL RELEVANCE: The Arab mares in the KSA are not
seasonal and can reproduce efficiently all over the year. District and season did
not influence the fertility.
PMID- 24920088
TI - [Breeding management of black rhinos (Diceros bicornis michaeli) in Magdeburg
Zoo].
AB - OBJECTIVE: The African black rhino is an endangered species. In Germany there are
only five zoos where this species is kept and breeding has not been successful in
all of them. In Magdeburg Zoo the last birth occurred in December 2005, and
during the following years, no matings could be observed. During the construction
of a new enclosure to enable a more natural mating behaviour, the reproduction
status of the rhino cows was evaluated and a hormonal treatment was performed.
MATERIAL AND METHODS: Since 2009, faecal samples from two rhino cows (Diceros
bicornis michaeli; "Mana", 30 years old, and "Maleika", 17 years old) were
collected periodically, and the pregnanediol-glucuronide (PdG) and oestradiol
concentrations were determined using enzyme immunoassay and radioimmunoassay,
respectively. Following evaluation of the results, both cows were treated for 12
days with Regumate(r) Equine, a synthetic progesterone, during the period of PdG
dominance. RESULTS: "Mana" accepted the bull 11 days after completion of the
hormonal treatment, and in December 2011 gave birth to a healthy calf. "Maleika"
had her first ever oestrus 13 days after completion of the medication and also
accepted the bull. Thereafter, she had two regular oestrus cycles with normal
mating behaviour. Her first calf was born in July 2012. CONCLUSION AND CLINICAL
RELEVANCE: The causal treatment of both cows, following a long period of
infertility, with synthetic progesterone led to their pregnancy and the birth of
healthy calves. The commercial product Regumate(r) Equine is appropriate to
stimulate the sexual cycle in temporarily infertile black rhinos. Attention
should be paid to the timing of the medication and the required dose.
PMID- 24920089
TI - [Recurrent clinical mastitis in dairy cattle - importance and causes].
AB - Clinical mastitis as a frequently recurrent event can cause substantive economic
loss on dairy farms. The reason for recurrent mastitis can be either a persistent
infection of the bovine mammary gland by a mastitis pathogen or a reinfection of
a quarter or udder after bacteriological cure. The virulence properties of a
mastitis pathogen and the cure odds of an individual cow determine the
development of persistent infections. Clinical episodes may alternate with
periods without symptoms in the course of persistent infections. Strategies to
reduce cases of recurrent mastitis have to include improved treatment concepts
and measures to decrease new infection rates. The present literature review
summarises the knowledge of definitions, frequencies, causes and effects of
recurrent mastitis.
PMID- 24920090
TI - [Septicaemia in piglets associated with a positive finding of a methicillin
resistant S. aureus strain].
AB - Staphylococcus (S.) aureus has been associated with septicaemia, mastitis,
vaginitis, metritis, osteomyelitis, and endocarditis. This case report
demonstrates S. aureus-induced septicaemia in suckling pig- lets. Three days
after birth, littermates displayed severe ecchymosis and cyanosis, with a 50%
mortality rate. The surviving littermates were cross-fostered, but died 1 day
later. Other piglets, which were allowed to suck at the sow, developed similar
clinical signs. Haematological findings were anaemia, thrombocytopenia, and
leukopenia; therefore, neither isohaemolytic anaemia nor septicaemia could be
excluded as differential diagnoses. At necropsy, petechial bleeding on inner
organ surfaces and free blood in body cavities were found. Bacteriological
examination of the sow's milk and of the spleen of one piglet detected a
methicillin-resistent S. aureus strain (MRSA CC398), which was in all likelihood
the cause of the disease. Potential differential diagnoses are discussed.
PMID- 24920091
TI - [Cowpox virus infection in an alpaca (Vicugna pacos) - clinical symptoms,
laboratory diagnostic findings and pathological changes].
AB - Orthopoxvirus infections appear to be rare in South American Camelids, because
only a few cases have been reported in the literature. Based on a generalized
infection with cowpox virus in an alpaca, the clinical symptoms, laboratory
diagnostic findings and the pathological changes are described. The case history
showed a long treatment because of chronic skin lesions. The main clinical
symptom was miliary papules over the entire skin. Furthermore, a bilateral
mucopurulent conjunctivitis occurred as well as excessive salivation due to a
severe erosive-ulcerative stomatitis. Although the animal received intensive
treatment, it died 8 days after admission to the clinic. During necropsy, an
erosive-ulcerative laryngitis as well as a necrotising pneumonia and
lymphadenitis were observed. Histopathological examination of representative
organ samples led to the diagnosis of a suspected orthopoxvirus infection.
Electron microscopy and quantitative polymerase chain reaction (qPCR) of tissue
samples confirmed this diagnosis. The virus could be isolated in tissue culture
and a PCR with subsequent nucleotide sequencing identified cowpox virus as the
causative agent for this generalised infection.
PMID- 24920092
TI - [Reproductive disorders in the female pig: causes, manifestation, diagnostics and
approach in herd health care].
AB - Reproductive failure is the most common cause for culling sows from production
herds. These failures can affect individual pigs, but can also be a farm problem.
Any region of the pig's genital tract can be diseased, with the uterus being the
most frequently affected organ. Numerous microorganisms and toxins are known to
cause genital pathology in the sow. Although reproduction problems are considered
to be multifactorial, recognizing genital pathology is crucial as the first step
in the diagnostic workup of reproductive disorders. This contribution briefly
reviews the most common genital disorders in the female pig with respect to
incidence, pathogenesis and clinical picture. In a second part, the
gynaecological examination and the steps in the diagnostic workup are presented.
PMID- 24920093
TI - Anticancer ruthenium(III) complex KP1019 interferes with ATP-dependent Ca2+
translocation by sarco-endoplasmic reticulum Ca2+-ATPase (SERCA).
AB - Sarco-endoplasmic reticulum Ca2+-ATPase (SERCA), a P-type ATPase that sustains
Ca2+ transport and plays a major role in intracellular Ca2+ homeostasis,
represents a therapeutic target for cancer therapy. Here, we investigated whether
ruthenium-based anticancer drugs, namely KP1019 (indazolium [trans
tetrachlorobis(1H-indazole)ruthenate(III)]), NAMI-A (imidazolium [trans
tetrachloro(1H-imidazole)(S-dimethylsulfoxide)ruthenate(III)]) and RAPTA-C
([Ru(eta6-p-cymene)dichloro(1,3,5-triaza-7-phosphaadamantane)]), and cisplatin
(cis-diammineplatinum(II) dichloride) might act as inhibitors of SERCA. Charge
displacement by SERCA adsorbed on a solid-supported membrane was measured after
ATP or Ca2+ concentration jumps. Our results show that KP1019, in contrast to the
other metal compounds, is able to interfere with ATP-dependent translocation of
Ca2+ ions. An IC50 value of 1 MUM was determined for inhibition of calcium
translocation by KP1019. Conversely, it appears that KP1019 does not
significantly affect Ca2+ binding to the ATPase from the cytoplasmic side.
Inhibition of SERCA at pharmacologically relevant concentrations may represent a
crucial aspect in the overall pharmacological and toxicological profile of
KP1019.
PMID- 24920094
TI - Rapid two-step synthesis of benzimidazo[1',2':1,5]pyrrolo[2,3-c]isoquinolines by
a three-component coupling reaction.
AB - A two-step, three-component coupling reaction on ionic liquid supported 2
cyanomethylbenzimidazoles, methyl 2-formylbenzoate, and isocyanides under
microwave activation is explored. Knoevenagel condensation of 2
cyanomethylbenzimidazole with methyl-2-formylbenzoate in the presence of
piperidine catalyst is followed by [4+1] cycloaddition with an isocyanide in the
next step. Consequent intramolecular delta-lactam formation allows rapid
construction of novel aza-pentacycles, benzimidazo[1',2':1,5]pyrrolo[2,3
c]isoquinolines.
PMID- 24920095
TI - 2Loud?: Community mapping of exposure to traffic noise with mobile phones.
AB - Despite ample medical evidence of the adverse impacts of traffic noise on health,
most policies for traffic noise management are arbitrary or incomplete, resulting
in serious social and economic impacts. Surprisingly, there is limited
information about citizen's exposure to traffic noise worldwide. This paper
presents the 2Loud? mobile phone application, developed and tested as a
methodology to monitor, assess and map the level of exposure to traffic noise of
citizens with focus on the night period and indoor locations, since sleep
disturbance is one of the major triggers for ill health related to traffic noise.
Based on a community participation experiment using the 2Loud? mobile phone
application in a region close to freeways in Australia, the results of this
research indicates a good level of accuracy for the noise monitoring by mobile
phones and also demonstrates significant levels of indoor night exposure to
traffic noise in the study area. The proposed methodology, through the data
produced and the participatory process involved, can potentially assist in
planning and management towards healthier urban environments.
PMID- 24920096
TI - Trichoderma species fungemia after high-dose chemotherapy and autologous stem
cell transplantation: a case report.
AB - We present a case of Trichoderma fungemia with pulmonary involvement in a
multiple myeloma patient, who was severely immunocompromised and heavily treated
with high-dose melphalan, and underwent autologous hematopoietic cell
transplantation. This is the first report, to our knowledge, of proven
Trichoderma fungemia, defined by published criteria, successfully treated with
voriconazole.
PMID- 24920098
TI - How to best forecast adverse outcomes following geriatric trauma: an ageless
question?
PMID- 24920099
TI - Antibody- and TRIM21-dependent intracellular restriction of Salmonella enterica.
AB - TRIM21 ('tripartite motif-containing protein 21', Ro52) is a ubiquitously
expressed cytosolic Fc receptor, which has a potent role in protective immunity
against nonenveloped viruses. TRIM21 mediates intracellular neutralisation of
antibody-coated viruses, a process called ADIN (antibody-dependent intracellular
neutralisation). Our results reveal a similar mechanism to fight bacterial
infections. TRIM21 is recruited to the intracellular pathogen Salmonella enterica
in epithelial cells early in infection. TRIM21 does not bind directly to S.
enterica, but to antibodies opsonising it. Most importantly, bacterial
restriction is dependent on TRIM21 as well as on the opsonisation state of the
bacteria. Finally, Salmonella and TRIM21 colocalise with the autophagosomal
marker LC3, and intracellular defence is enhanced in starved cells suggesting an
involvement of the autophagocytic pathway. Our data extend the protective role of
TRIM21 from viruses to bacteria and thereby strengthening the general role of
ADIN in cellular immunity.
PMID- 24920100
TI - Tuberculosis drug discovery and emerging targets.
AB - Current tuberculosis (TB) therapies take too long and the regimens are complex
and subject to adverse effects and drug-drug interactions with concomitant
medications. The emergence of drug-resistant TB strains exacerbates the
situation. Drug discovery for TB has resurged in recent years, generating
compounds (hits) with varying potential for progression into developable leads.
In parallel, advances have been made in understanding TB pathogenesis. It is now
possible to apply the lessons learned from recent TB hit generation efforts and
newly validated TB drug targets to generate the next wave of TB drug leads. Use
of currently underexploited sources of chemical matter and lead-optimization
strategies may also improve the efficiency of future TB drug discovery. Novel TB
drug regimens with shorter treatment durations must target all subpopulations of
Mycobacterium tuberculosis existing in an infection, including those responsible
for the protracted TB treatment duration. This review proposes strategies for
generating improved hits and leads that could help achieve this goal.
PMID- 24920101
TI - Protonation switching to the least-basic heteroatom of carbamate through cationic
hydrogen bonding promotes the formation of isocyanate cations.
AB - We found that phenethylcarbamates that bear ortho-salicylate as an ether group
(carbamoyl salicylates) dramatically accelerate O?C bond dissociation in strong
acid to facilitate generation of isocyanate cation (N-protonated isocyanates),
which undergo subsequent intramolecular aromatic electrophilic cyclization to
give dihydroisoquinolones. To generate isocyanate cations from carbamates in
acidic media as electrophiles for aromatic substitution, protonation at the ether
oxygen, the least basic heteroatom, is essential to promote C?O bond cleavage.
However, the carbonyl oxygen of carbamates, the most basic site, is protonated
exclusively in strong acids. We found that the protonation site can be shifted to
an alternative basic atom by linking methyl salicylate to the ether oxygen of
carbamate. The methyl ester oxygen ortho to the phenolic (ether) oxygen of
salicylate is as basic as the carbamate carbonyl oxygen, and we found that
monoprotonation at the methyl ester oxygen in strong acid resulted in the
formation of an intramolecular cationic hydrogen bond (>C?O(+) ?H???O<) with the
phenolic ether oxygen. This facilitates O?C bond dissociation of
phenethylcarbamates, thereby promoting isocyanate cation formation. In contrast,
superacid-mediated diprotonation at the methyl ester oxygen of the salicylate and
the carbonyl oxygen of the carbamate afforded a rather stable dication, which did
not readily undergo C?O bond dissociation. This is an unprecedented and unknown
case in which the monocation has greater reactivity than the dication.
PMID- 24920102
TI - A mean-field theory on the differential capacitance of asymmetric ionic liquid
electrolytes.
AB - The size of ions significantly influences the electric double layer structure of
room temperature ionic liquid (IL) electrolytes and their differential
capacitance (Cd). In this study, we extended the mean-field theory (MFT)
developed independently by Kornyshev (2007J. Phys. Chem. B 111 5545-57) and
Kilic, Bazant, and Ajdari (2007 Phys. Rev. E 75 021502) (the KKBA MFT) to take
into account the asymmetric 1:1 IL electrolytes by introducing an additional
parameter xi for the anion/cation volume ratio, besides the ionic compressibility
gamma in the KKBA MFT. The MFT of asymmetric ions becomes KKBA MFT upon xi = 1,
and further reduces to Gouy-Chapman theory in the gamma -> 0 limit. The result of
the extended MFT demonstrates that the asymmetric ILs give rise to an asymmetric
Cd, with the higher peak in Cd occurring at positive polarization for the smaller
anionic size. At high potential, Cd decays asymptotically toward KKBA MFT
characterized by gamma for the negative polarization, and characterized by
xigamma for the positive polarization, with inverse-square-root behavior. At low
potential, around the potential of zero charge, the asymmetric ions cause a
higher Cd, which exceeds that of Gouy-Chapman theory.
PMID- 24920103
TI - The role of diffusion tensor imaging in paediatric Chiari I malformation.
PMID- 24920104
TI - Independent and joint effects of prenatal maternal smoking and maternal exposure
to second-hand smoke on the development of adolescent obesity: a longitudinal
study.
AB - AIM: To examine associations of prenatal maternal smoking and second-hand smoke
(SHS) exposure with the development of adolescent obesity. METHODS: Longitudinal
data (1991-2007) from National Institute of Child Health and Human Development
Study of Early Child Care and Youth Development involving mothers that smoked and
or exposed to SHS during the year before birth were analysed. Adolescent obesity
in ages 12.0-15.9 years was defined as a BMI >= 95th percentile. Generalised
estimating equations (GEE) were used for the analyses. RESULTS: Obesity was more
prevalent among adolescents whose mothers smoked or had SHS exposure than those
that did not smoke or exposed to SHS. After adjusting for maternal and child
factors, GEE models showed that odds of adolescent obesity increased with
prenatal maternal smoking (OR = 1.57, 95% CI = 1.03-2.39) and SHS exposure (OR =
1.53, 95% CI = 1.04-2.27). The odds for obesity increased more than two times
among adolescents exposed to both maternal smoking and SHS (OR = 2.10, 95% CI =
1.24, 3.56) compared with those without exposure. Additionally, not
breastfeeding, maternal obesity, and longer screen viewing hours per day were
associated with increased odds of obesity. CONCLUSIONS: There is possibly a long
term joint effect of prenatal maternal smoke (smoking and SHS) exposure on
obesity among adolescent offspring, and the effect is independent of birthweight.
These findings suggest that adolescent obesity could possibly be curtailed with
the development and promotion of smoking cessation programmes for families during
the year before birth.
PMID- 24920106
TI - Pd-catalyzed ring-opening cross-coupling of cyclopropenes with aryl iodides.
AB - A transition metal-catalyzed cross-coupling reaction of cyclopropenes with aryl
iodides proceeds with the opening of the cyclopropene ring, affording 1,3
butadienes as the products.
PMID- 24920105
TI - Enzymatically triggered peptide hydrogels for 3D cell encapsulation and culture.
AB - We have investigated the possibility of using enzymatically triggered peptide
hydrogels for the encapsulation and culture of cells. Based on recent work done
on the enzymatically triggered gelation of FEFK (F, phenylalanine; E, glutamic
acid; K, lysine) using thermolysin, a protease enzyme from Bacillus
Thermoproteolyticus Rokko, we have investigated the possibility of using this
gelation triggering mechanism to encapsulate cells within a 3D hydrogel matrix.
First, the properties of enzymatically triggered hydrogels prepared in phosphate
buffer solution were investigated and compared with the properties of hydrogels
prepared in HPLC grade water from our previous work. We showed that the use of
phosphate buffer solution allowed the production of hydrogels with very high
shear moduli (>1 MPa). The gelation kinetics was also investigated, and the
mechanical properties of the system were shown to closely follow the synthesis of
the octapeptide by the enzyme through reverse hydrolysis. In a second phase, we
developed, on the basis of information acquired, a facile protocol for the
encapsulation of cells and plating of the hydrogel. Human dermal fibroblasts were
then used to exemplify the use of these materials. FEFEFKFK octapeptide hydrogels
prepared under the same conditions and with the same mechanical properties were
used as a control. We showed that no significant differences were observed
between the two systems and that after a decrease in cell number on day 1, cells
start to proliferate. After 5 days of culture, the cells can be seen to start to
adopt a stretched morphology typical of fibroblasts. The results clearly show
that the protocol developed minimises the potential detrimental effect that
thermolysin can have on the cells and that these enzymatically triggered
hydrogels can be used for the 3D encapsulation and culture of cells.
PMID- 24920107
TI - Transoesophageal echocardiography for prediction of postoperative atrial
fibrillation after isolated aortic valve replacement: two-dimensional speckle
tracking for intraoperative assessment of left ventricular longitudinal strain.
AB - OBJECTIVES: Recent studies suggested association between impaired left
ventricular long-axis function and arrhythmic events early after open heart
surgery. This prospective study investigated the predictive value of a depressed
intraoperative global longitudinal strain (GLS) for postoperative atrial
fibrillation after isolated aortic valve replacement in patients with preserved
ejection fraction. METHODS: A total of 107 patients with ejection fraction >=50%
and moderate-to-severe aortic stenosis undergoing isolated aortic valve
replacement were enrolled. All patients underwent intraoperative transoesophageal
echocardiography before surgical incision (T1) and after closure of the
sternotomy (T2) with semiautomated measurement of GLS, and were followed for the
occurrence of postoperative atrial fibrillation during the hospitalization.
RESULTS: The incidence of postoperative atrial fibrillation was 37/107 (34.6%).
Patients with postoperative atrial fibrillation were associated with increased
length of hospitalization and a higher risk of low cardiac output syndrome and
pulmonary complications. On univariate analysis, significant risk factors
associated with postoperative atrial fibrillation were E/e' ratio, left atrial
volume index (LAVi), GLST2 and DeltaGLS%. On multivariable analysis, GLST2 (odds
ratio: 1.21; 95% confidence interval (CI): 1.06-1.56, P = 0.031) and DeltaGLS%
(odds ratio: 3.66; 95% CI: 1.85-6.79, P = 0.001) were independent predictors of
postoperative atrial fibrillation. The best cut-off values for the prediction
were GLST2 >-12.75% and DeltaGLS% >19.50%, the latter of which had incremental
predictive value for postoperative atrial fibrillation. CONCLUSIONS: A
significant reduction of intraoperative GLS provides independent information for
predicting postoperative atrial fibrillation in patients undergoing aortic valve
replacement, and may help to identify patients who are most likely to benefit
from targeted prophylaxis.
PMID- 24920108
TI - Underwater attachment using hairs: the functioning of spatula and sucker setae
from male diving beetles.
AB - Males of Dytiscinae beetles use specialized adhesive setae to adhere to female
elytra during underwater courtship. This coevolution of male setae and female
elytra has attracted much attention since Darwin. However, there has been little
examination of their biomechanical functioning despite increasing knowledge on
biofibrillar adhesion. Here, we report and compare, for the first time, the
mechanisms of underwater attachment using two hair types, the primitive spatula
and derived 'passive' sucker, found in male diving beetles. Results from
interspecific scaling of protarsal palettes and adhesion by single seta suggest
better performance in the later-evolved circular (sucker) setae. Spatula setae
with a modified shallow sucker and channels use the combined mechanisms of
suction and viscous resistance for adhesion. Velocity-dependent adhesion provides
sufficient control for resisting the female's erratic movements while also
detaching easily through slow peeling. Direction-dependent shear resistance helps
reorient setae surfaces into a preferred direction for effective adhesion. Seta
deformation using different mechanisms for circular and spatula setae reduces the
force that is transmitted to the contact interface. A softer spring in spatula
setae explains their adhesion at lower preloads and assists in complete substrate
contact. Attachment mechanisms revealed in adhesive setae with modified spatula
and passive suckers provide insights for bioinspired designs of underwater
attachment devices.
PMID- 24920109
TI - Fractional diffusion models of cardiac electrical propagation: role of structural
heterogeneity in dispersion of repolarization.
AB - Impulse propagation in biological tissues is known to be modulated by structural
heterogeneity. In cardiac muscle, improved understanding on how this
heterogeneity influences electrical spread is key to advancing our interpretation
of dispersion of repolarization. We propose fractional diffusion models as a
novel mathematical description of structurally heterogeneous excitable media, as
a means of representing the modulation of the total electric field by the
secondary electrical sources associated with tissue inhomogeneities. Our results,
analysed against in vivo human recordings and experimental data of different
animal species, indicate that structural heterogeneity underlies relevant
characteristics of cardiac electrical propagation at tissue level. These include
conduction effects on action potential (AP) morphology, the shortening of AP
duration along the activation pathway and the progressive modulation by premature
beats of spatial patterns of dispersion of repolarization. The proposed approach
may also have important implications in other research fields involving excitable
complex media.
PMID- 24920110
TI - Quantitative analyses of the plant cytoskeleton reveal underlying organizational
principles.
AB - The actin and microtubule (MT) cytoskeletons are vital structures for cell growth
and development across all species. While individual molecular mechanisms
underpinning actin and MT dynamics have been intensively studied, principles that
govern the cytoskeleton organization remain largely unexplored. Here, we captured
biologically relevant characteristics of the plant cytoskeleton through a network
driven imaging-based approach allowing us to quantitatively assess dynamic
features of the cytoskeleton. By introducing suitable null models, we demonstrate
that the plant cytoskeletal networks exhibit properties required for efficient
transport, namely, short average path lengths and high robustness. We further
show that these advantageous features are maintained during temporal cytoskeletal
rearrangements. Interestingly, man-made transportation networks exhibit similar
properties, suggesting general laws of network organization supporting diverse
transport processes. The proposed network-driven analysis can be readily used to
identify organizational principles of cytoskeletons in other organisms.
PMID- 24920111
TI - The transition mechanism of DNA overstretching: a microscopic view using
molecular dynamics.
AB - The overstretching transition in torsionally unconstrained DNA is studied by
means of atomistic molecular dynamics simulations. The free-energy profile as a
function of the length of the molecule is determined through the umbrella
sampling technique providing both a thermodynamic and a structural
characterization of the transition pathway. The zero-force free-energy profile is
monotonic but, in accordance with recent experimental evidence, becomes two-state
at high forces. A number of experimental results are satisfactorily predicted:
(i) the entropic and enthalpic contributions to the free-energy difference
between the basic (B) state and the extended (S) state; (ii) the longitudinal
extension of the transition state and (iii) the enthalpic contribution to the
transition barrier. A structural explanation of the experimental finding that
overstretching is a cooperative reaction characterized by elementary units of
approximately 22 base pairs is found in the average distance between
adenine/thymine-rich regions along the molecule. The overstretched DNA adopts a
highly dynamical and structurally disordered double-stranded conformation which
is characterized by residual base pairing, formation of non-native intra-strand
hydrogen bonds and effective hydrophobic screening of apolar regions.
PMID- 24920112
TI - Computational modelling suggests good, bad and ugly roles of glycosaminoglycans
in arterial wall mechanics and mechanobiology.
AB - The medial layer of large arteries contains aggregates of the glycosaminoglycan
hyaluronan and the proteoglycan versican. It is increasingly thought that these
aggregates play important mechanical and mechanobiological roles despite
constituting only a small fraction of the normal arterial wall. In this paper, we
offer a new hypothesis that normal aggregates of hyaluronan and versican
pressurize the intralamellar spaces, and thereby put into tension the radial
elastic fibres that connect the smooth muscle cells to the elastic laminae, which
would facilitate mechanosensing. This hypothesis is supported by novel
computational simulations using two complementary models, a mechanistically based
finite-element mixture model and a phenomenologically motivated continuum
hyperelastic model. That is, the simulations suggest that normal aggregates of
glycosaminoglycans/proteoglycans within the arterial media may play equally
important roles in supporting (i.e. a structural role) and sensing (i.e. an
instructional role) mechanical loads. Additional simulations suggest further,
however, that abnormal increases in these aggregates, either distributed or
localized, may over-pressurize the intralamellar units. We submit that these
situations could lead to compromised mechanosensing, anoikis and/or reduced
structural integrity, each of which represent fundamental aspects of arterial
pathologies seen, for example, in hypertension, ageing and thoracic aortic
aneurysms and dissections.
PMID- 24920113
TI - The role of mechanical forces in the planar-to-bulk transition in growing
Escherichia coli microcolonies.
AB - Mechanical forces are obviously important in the assembly of three-dimensional
multicellular structures, but their detailed role is often unclear. We have used
growing microcolonies of the bacterium Escherichia coli to investigate the role
of mechanical forces in the transition from two-dimensional growth (on the
interface between a hard surface and a soft agarose pad) to three-dimensional
growth (invasion of the agarose). We measure the position within the colony where
the invasion transition happens, the cell density within the colony and the
colony size at the transition as functions of the concentration of the agarose.
We use a phenomenological theory, combined with individual-based computer
simulations, to show how mechanical forces acting between the bacterial cells,
and between the bacteria and the surrounding matrix, lead to the complex
phenomena observed in our experiments-in particular the observation that agarose
concentration non-trivially affects the colony size at transition. Matching these
approaches leads to a prediction for how the friction between the bacteria and
the agarose should vary with agarose concentration. Our experimental conditions
mimic numerous clinical and environmental scenarios in which bacteria invade soft
matrices, as well as shedding more general light on the transition between two-
and three-dimensional growth in multicellular assemblies.
PMID- 24920114
TI - A computational method to differentiate normal individuals, osteoarthritis and
rheumatoid arthritis patients using serum biomarkers.
AB - The objective of this study was to develop a method for categorizing normal
individuals (normal, n = 100) as well as patients with osteoarthritis (OA, n =
100), and rheumatoid arthritis (RA, n = 100) based on a panel of inflammatory
cytokines expressed in serum samples. Two panels of inflammatory proteins were
used as training sets in the construction of two separate artificial neural
networks (ANNs). The first training set consisted of all proteins (38 in total)
and the second consisted of only the significantly different proteins expressed
(12 in total) between at least two patient groups. Both ANNs obtained high levels
of sensitivity and specificity, with the first and second ANN each diagnosing
100% of test set patients correctly. These results were then verified by re
investigating the entire dataset using a decision tree algorithm. We show that
ANNs can be used for the accurate differentiation between serum samples of
patients with OA, a diagnosed RA patient comparator cohort and normal/control
cohort. Using neural network and systems biology approaches to manage large
datasets derived from high-throughput proteomics should be further explored and
considered for diagnosing diseases with complex pathologies.
PMID- 24920115
TI - A biomimetic accelerometer inspired by the cricket's clavate hair.
AB - Crickets use so-called clavate hairs to sense (gravitational) acceleration to
obtain information on their orientation. Inspired by this clavate hair system, a
one-axis biomimetic accelerometer has been developed and fabricated using surface
micromachining and SU-8 lithography. An analytical model is presented for the
design of the accelerometer, and guidelines are derived to reduce responsivity
due to flow-induced contributions to the accelerometer's output. Measurements
show that this microelectromechanical systems (MEMS) hair-based accelerometer has
a resonance frequency of 320 Hz, a detection threshold of 0.10 ms(-2) and a
dynamic range of more than 35 dB. The accelerometer exhibits a clear directional
response to external accelerations and a low responsivity to airflow. Further,
the accelerometer's physical limits with respect to noise levels are addressed
and the possibility for short-term adaptation of the sensor to the environment is
discussed.
PMID- 24920116
TI - Extinction risk and eco-evolutionary dynamics in a variable environment with
increasing frequency of extreme events.
AB - One of the most dramatic consequences of climate change will be the
intensification and increased frequency of extreme events. I used numerical
simulations to understand and predict the consequences of directional trend (i.e.
mean state) and increased variability of a climate variable (e.g. temperature),
increased probability of occurrence of point extreme events (e.g. floods),
selection pressure and effect size of mutations on a quantitative trait
determining individual fitness, as well as the their effects on the population
and genetic dynamics of a population of moderate size. The interaction among
climate trend, variability and probability of point extremes had a minor effect
on risk of extinction, time to extinction and distribution of the trait after
accounting for their independent effects. The survival chances of a population
strongly and linearly decreased with increasing strength of selection, as well as
with increasing climate trend and variability. Mutation amplitude had no effects
on extinction risk, time to extinction or genetic adaptation to the new climate.
Climate trend and strength of selection largely determined the shift of the mean
phenotype in the population. The extinction or persistence of the populations in
an 'extinction window' of 10 years was well predicted by a simple model including
mean population size and mean genetic variance over a 10-year time frame
preceding the 'extinction window', although genetic variance had a smaller role
than population size in predicting contemporary risk of extinction.
PMID- 24920118
TI - Equilibrium distributions of simple biochemical reaction systems for time-scale
separation in stochastic reaction networks.
AB - Many biochemical reaction networks are inherently multiscale in time and in the
counts of participating molecular species. A standard technique to treat
different time scales in the stochastic kinetics framework is averaging or quasi
steady-state analysis: it is assumed that the fast dynamics reaches its
equilibrium (stationary) distribution on a time scale where the slowly varying
molecular counts are unlikely to have changed. We derive analytic equilibrium
distributions for various simple biochemical systems, such as enzymatic reactions
and gene regulation models. These can be directly inserted into simulations of
the slow time-scale dynamics. They also provide insight into the stimulus
response of these systems. An important model for which we derive the analytic
equilibrium distribution is the binding of dimer transcription factors (TFs) that
first have to form from monomers. This gene regulation mechanism is compared to
the cases of the binding of simple monomer TFs to one gene or to multiple copies
of a gene, and to the cases of the cooperative binding of two or multiple TFs to
a gene. The results apply equally to ligands binding to enzyme molecules.
PMID- 24920119
TI - Automated, contour-based tracking and analysis of cell behaviour over long time
scales in environments of varying complexity and cell density.
AB - Understanding single and collective cell motility in model environments is
foundational to many current research efforts in biology and bioengineering. To
elucidate subtle differences in cell behaviour despite cell-to-cell variability,
we introduce an algorithm for tracking large numbers of cells for long time
periods and present a set of physics-based metrics that quantify differences in
cell trajectories. Our algorithm, termed automated contour-based tracking for in
vitro environments (ACTIVE), was designed for adherent cell populations subject
to nuclear staining or transfection. ACTIVE is distinct from existing tracking
software because it accommodates both variability in image intensity and multi
cell interactions, such as divisions and occlusions. When applied to low-contrast
images from live-cell experiments, ACTIVE reduced error in analysing cell
occlusion events by as much as 43% compared with a benchmark-tracking program
while simultaneously tracking cell divisions and resulting daughter-daughter cell
relationships. The large dataset generated by ACTIVE allowed us to develop
metrics that capture subtle differences between cell trajectories on different
substrates. We present cell motility data for thousands of cells studied at
varying densities on shape-memory-polymer-based nanotopographies and identify
several quantitative differences, including an unanticipated difference between
two 'control' substrates. We expect that ACTIVE will be immediately useful to
researchers who require accurate, long-time-scale motility data for many cells.
PMID- 24920121
TI - Corrigendum.
PMID- 24920120
TI - Detachment of compliant films adhered to stiff substrates via van der Waals
interactions: role of frictional sliding during peeling.
AB - The remarkable ability of some plants and animals to cling strongly to substrates
despite relatively weak interfacial bonds has important implications for the
development of synthetic adhesives. Here, we examine the origins of large
detachment forces using a thin elastomer tape adhered to a glass slide via van
der Waals interactions, which serves as a model system for geckos, mussels and
ivy. The forces required for peeling of the tape are shown to be a strong
function of the angle of peeling, which is a consequence of frictional sliding at
the edge of attachment that serves to dissipate energy that would otherwise drive
detachment. Experiments and theory demonstrate that proper accounting for
frictional sliding leads to an inferred work of adhesion of only approximately
0.5 J m(-2) (defined for purely normal separations) for all load orientations.
This starkly contrasts with the interface energies inferred using conventional
interface fracture models that assume pure sticking behaviour, which are
considerably larger and shown to depend not only on the mode-mixity, but also on
the magnitude of the mode-I stress intensity factor. The implications for
developing frameworks to predict detachment forces in the presence of interface
sliding are briefly discussed.
PMID- 24920122
TI - Heart rate slopes during 6-min walk test in pulmonary arterial hypertension,
other lung diseases, and healthy controls.
AB - Six-minute walk test (6MWT) continues to be a useful tool to determine the
functional capacity in patients with vascular and other lung diseases;
nevertheless, it has a limited ability to predict prognosis in this context. We
tested whether the heart rate (HR) acceleration and decay slopes during the 6-m
walk test are different in patients with pulmonary arterial hypertension (PAH),
other lung diseases, and healthy controls. In addition, we assessed whether the
HR slopes are associated with clinical worsening. Using a portable, signal
morphology-based, impedance cardiograph (PhysioFlow Enduro, Paris, France) with
real-time wireless monitoring via a Bluetooth USB adapter we determined beat-by
beat HR. We included 50 subjects in this pilot study, 20 with PAH (all on PAH
specific treatment), 17 with other lung diseases (obstructive [n = 12, 71%] or
restrictive lung diseases [5, 29%]), and 13 healthy controls. The beat-by-beat HR
curves were significantly different among all three groups of subjects either
during the activity or recovery of the 6MWT. HR curves were less steep in PAH
than the other two groups (P < 0.001). HR acceleration rates were slower in
patients with PAH or other lung diseases with progression of their disease (P <
0.001). In conclusion, the acceleration and decay slopes during 6MWT are
different among patients with PAH, other lung diseases, and healthy controls. The
HR slopes during 6MWT were steeper in patients without clinical worsening.
PMID- 24920123
TI - A role for the age-dependent loss of alpha(E)-catenin in regulation of N-cadherin
expression and cell migration.
AB - The aging kidney has a decreased ability to repair following acute kidney injury.
Previous studies from our laboratory have demonstrated a loss in alpha-catenin
expression in the aging rat kidney. We hypothesize that loss of alpha-catenin
expression in tubular epithelial cells may induce changes that result in a
decreased repair capacity. In these studies, we demonstrate that decreased alpha
catenin protein expression is detectable as early as 20 months of age in male
Fischer 344 rats. Protein loss is also observed in aged nonhuman primate kidneys,
suggesting that this is not a species-specific response. In an effort to
elucidate alterations due to the loss of alpha-catenin, we generated NRK-52E cell
lines with stable knockdown of alpha(E)-catenin (C2 cells). Interestingly, C2
cells had decreased expression of N-cadherin, decreased cell-cell adhesion, and
increased monolayer permeability. C2 had deficits in wound repair, due to
alterations in cell migration. Analysis of gene expression in the migrating
control cells indicated that expression of N-cadherin and N-CAM was increased
during repair. In migrating C2 cells, expression of N-CAM was also increased, but
the expression of N-cadherin was not upregulated. Importantly, a blocking
antibody against N-cadherin inhibited repair in NRK-52E cells, suggesting an
important role in repair. Taken together, these data suggest that loss of alpha
catenin, and the subsequent downregulation of N-cadherin expression, is a
mechanism underlying the decreased migration of tubular epithelial cells that
contributes to the inability of the aging kidney to repair following injury.
PMID- 24920124
TI - Cytochrome P4504A inhibitors attenuate the exaggerated natriuretic response to
volume expansion in thyroidectomized rats.
AB - Thyroidectomy augments the natriuretic response to volume expansion; however, the
mechanism remains unknown. This study assessed the role of 20
hydroxyeicosatetraenoic acid (20-HETE) in the natriuretic response to an acute
volume expansion in hypothyroid rats. Urine flow (1.9-fold), sodium excretion
(2.4-fold), fractional sodium excretion (3.8-fold), and distal delivery of sodium
(4.1-fold) increased to a greater extent in thyroidectomized rats (TX) than in
sham-operated controls (SHAM) following i.v. infusion of isotonic saline (5% body
weight) over 60 min. This was associated with inhibition of both proximal and
distal tubular reabsorption of sodium. Administration of two mechanistic and
chemical dissimilar inhibitors of the synthesis of 20-HETE, 1-aminobenzotriazole
(ABT), and N-hydroxy-N'-(-4-butyl-2-methylphenyl)formamidine (HET0016) decreased
the natriuretic response in TX rats. Glomerular filtration rate was lower in TX
than in SHAM rats and was not altered by the CYP4A inhibitors. The expression,
intrarenal distribution, and the formation of 20-HETE and expoxygenase
metabolites of arachidonic acid were similar in the cortex and medulla of SHAM
and TX rats. These results suggest that CYP4A-derived metabolites of arachidonic
acid play an important role in the enhanced natriuretic response to volume
expansion in hypothyroid rats even though TX did not alter the expression or
activity of these enzymes.
PMID- 24920126
TI - Reciprocal activation of gastrocnemius and soleus motor units is associated with
fascicle length change during knee flexion.
AB - While medial gastrocnemius (MG) and soleus (SOL) are considered synergists, they
are anatomically exclusive in that SOL crosses only the ankle, while MG crosses
both the knee and ankle. Due to the force-length properties of both active and
passive structures, activation of SOL and MG must be constantly regulated to
provide the required joint torques for any planned movement. As such, the aim of
this study was to investigate the neural regulation of MG and SOL when
independently changing their length by changing only the knee joint angle, thus
exclusively altering the length of MG fibers. MG and SOL motor units (MU) were
recorded intramuscularly along with ultrasound imaging of MG and SOL fascicle
lengths, while moving the knee through 60 degrees of rotation and maintaining a
low level of voluntary plantar flexor torque. The results showed a reciprocal
activation of MG and SOL as the knee was moved into flexion and extension. A
clear reduction in MG MU firing rates occurred as the knee was flexed (MG
fascicles shortening), with de-recruitment of most MG MU occurring at close to
full knee flexion. A concomitant increase in SOL MU activity was observed while
no change in the length of its fascicles was found. The opposite effects were
found when the knee was moved into extension. A strong correlation (ICC = 0.78)
was found between the fascicle length at which MG MUs were de-recruited and
subsequently re-recruited. This was stronger than the relationship of de
recruitment and re-recruitment with knee angle (ICC = 0.52), indicating that in
this instance, muscle fascicle length rather than joint angle is more influential
in regulating MG recruitment. Such a reciprocal arrangement like the one
presented here for SOL and MG is essential for human voluntary movements such as
walking or cycling.
PMID- 24920127
TI - Determination of aristolochic acids by high-performance liquid chromatography
with fluorescence detection.
AB - Nephrotoxic and carcinogenic aristolochic acids (AAs) are naturally occurring
nitrophenanthrene carboxylic acids in the herbal genus Aristolochia. The misuse
of AA-containing herbs in preparing slimming drugs has caused hundred of cases of
kidney disease in Belgium women in a slimming regime in the early 1990s.
Accumulating evidence also suggested that prolong dietary intake of AA
contaminated food is one of the major causes to the Balkan endemic nephropathy
that was first observed in the late 1950s. Therefore, analytical methods of high
sensitivity are extremely important for safeguarding human exposure to AA
containing herbal medicines, herbal remedies, and food composites. In this paper,
we describe the development of a new high-performance liquid chromatography
coupled fluorescence detector (HPLC-FLD) method for the sensitive determination
of AAs. The method makes use of a novel cysteine-induced denitration reaction
that "turns on" the fluorescence of AAs for fluorometric detections. Our results
showed that the combination of cysteine-induced denitration and HPLC-FLD analysis
allows for sensitive quantification of AA-I and AA-II at detection limits of 27.1
and 25.4 ng/g, respectively. The method was validated and has been successfully
applied in quantifying AAs in Chinese herbal medicines.
PMID- 24920125
TI - Differential effects of glyoxalase 1 overexpression on diabetic atherosclerosis
and renal dysfunction in streptozotocin-treated, apolipoprotein E-deficient mice.
AB - The reactive dicarbonyls, glyoxal and methylglyoxal (MG), increase in diabetes
and may participate in the development of diabetic complications. Glyoxal and MG
are detoxified by the sequential activities of glyoxalase 1 (GLO1) and glyoxalase
2. To determine the contribution of these dicarbonyls to the etiology of
complications, we have genetically manipulated GLO1 levels in apolipoprotein E
null (Apoe(-/-)) mice. Male Apoe(-/-) mice, hemizygous for a human GLO1 transgene
(GLO1TGApoe(-/-) mice) or male nontransgenic Apoe(-/-) litter mates were injected
with streptozotocin or vehicle and 6 or 20 weeks later, aortic atherosclerosis
was quantified. The GLO1 transgene lessened streptozotocin (STZ)-induced
increases in immunoreactive hydroimidazolone (MG-H1). Compared to nondiabetic
mice, STZ-treated GLO1TGApoe(-/-) and Apoe(-/-) mice had increased serum
cholesterol and triglycerides and increased atherosclerosis at both times after
diabetes induction. While the increased GLO1 activity in the GLO1TGApoe(-/-) mice
failed to protect against diabetic atherosclerosis, it lessened glomerular
mesangial expansion, prevented albuminuria and lowered renal levels of
dicarbonyls and protein glycation adducts. Aortic atherosclerosis was also
quantified in 22-week-old, male normoglycemic Glo1 knockdown mice on an Apoe(-/-)
background (Glo1KDApoe(-/-) mice), an age at which Glo1KD mice exhibit
albuminuria and renal pathology similar to that of diabetic mice. In spite of
~75% decrease in GLO1 activity and increased aortic MG-H1, the Glo1KDApoe(-/-)
mice did not show increased atherosclerosis compared to age-matched Apoe(-/-)
mice. Thus, manipulation of GLO1 activity does not affect the development of
early aortic atherosclerosis in Apoe(-/-) mice but can dictate the onset of
kidney disease independently of blood glucose levels.
PMID- 24920128
TI - Comparing segmented ASL perfusion of vascular territories using manual versus
semiautomated techniques in children with sickle cell anemia.
AB - PURPOSE: Elevated cerebral blood flow (CBF) in sickle cell anemia (SCA) is an
adaptive pathophysiologic response associated with decreased vascular reserve and
increased risk for ischemia. We compared manual (M) and semiautomated (SA)
vascular territory delineation to facilitate standardized evaluation of CBF in
children with SCA. MATERIALS AND METHODS: ASL perfusion values from 21 children
were compared for gray matter and white matter (WM) in vascular territories
defined by M and SA delineation. SA delineated CBF was compared with clinical and
hematologic variables acquired within 4 weeks of the MRI. RESULTS: CBF
measurements from M (MCA 82 left, 79 right) and SA (MCA 81 left, 81 right)
delineated territories were highly correlated (R = 0.99, P < 0.0001). Bland
Altman plots had close-fitting limits of agreement of -1.8 to -3.5 lower limit
and 0 to 1.8 upper limit. SA vascular territory delineation was comparable to the
expert delineation with a kappa index of 0.62-0.85 and was considerably faster.
Median territorial CBF values did not differ by gender or age. WM perfusion in
the posterior cerebral artery territories was positively correlated with degree
of hemolysis (R = 0.58, P = 0.01 left, 0.73, P < 0.001 right) and negatively
correlated with hemoglobin (R = -0.48; P = 0.03 left; -0.47; P = 0.04 right) and
hemoglobin F (R = -0.42; P = .09 left; -0.47; P = 0.049 right). CONCLUSION: We
established the validity of the SA method, which in our experience was much
faster than the M method for delineation of vascular territories. Associations
between CBF and hematologic variables may demonstrate pathophysiologic changes
that contribute to clinical variation in CBF.
PMID- 24920129
TI - Antioxidant status in blood of obese children: the relation between trace
elements, paraoxonase, and arylesterase values.
AB - Obesity is known to lead to complications involving several systems. The basic
mechanism in obesity-related complications is chronic inflammation and increased
oxidative stress. Trace element levels in obese children may vary due to poor
nutritional habits. The purpose of this study was to investigate the relation
between serum paraoxonase (PON1) and arylesterase (ARE) levels, markers of the
oxidant-antioxidant balance in the body, and serum zinc (Zn), copper (Cu),
manganese (Mn), and selenium (Se) concentrations in obese children. Fifty-seven
overweight patients aged 6-17 and 48 age- and sex-matched healthy children were
included in the study. Serum PON1 and ARE activity levels were measured, together
with Cu, Zn, Mn, Se, total cholesterol, triglyceride, low-density lipoprotein,
high-density lipoprotein, very low-density lipoprotein, glucose, aspartate amino
transferase, and alanine amino transferase levels. PON1 and ARE activity levels
were significantly lower in obese patients compared to those in healthy
individuals (P < 0.05). Various changes were determined in Cu, Zn, Mn, and Se
levels between the study and control groups (P < 0.05). In terms of the relation
between trace elements and PON1 and ARE levels, a significant positive
correlation was determined between serum Se and PON1 levels in the study group (P
< 0.05, r = 0.31). No significant correlation was determined between other trace
element levels and PON1 and ARE levels (P > 0.05). In conclusion, the detection
in our study of a positive correlation between Se and PON1 levels in obese
children may be significant in terms of showing a relation between Se and
antioxidant systems in obese children.
PMID- 24920130
TI - Cu(2+) inhibits photosystem II activities but enhances photosystem I quantum
yield of Microcystis aeruginosa.
AB - Responses of photosystem I and II activities of Microcystis aeruginosa to various
concentrations of Cu(2+) were simultaneously examined using a Dual-PAM-100
fluorometer. Cell growth and contents of chlorophyll a were significantly
inhibited by Cu(2+). Photosystem II activity [Y(II)] and electron transport
[rETRmax(II)] were significantly altered by Cu(2+). The quantum yield of
photosystem II [Y(II)] decreased by 29 % at 100 MUg L(-1) Cu(2+) compared to
control. On the contrary, photosystem I was stable under Cu(2+) stress and showed
an obvious increase of quantum yield [Y(I)] and electron transport [rETRmax(I)]
due to activation of cyclic electron flow (CEF). Yield of cyclic electron flow
[Y(CEF)] was enhanced by 17 % at 100 MUg L(-1) Cu(2+) compared to control. The
contribution of linear electron flow to photosystem I [Y(II)/Y(I)] decreased with
increasing Cu(2+) concentration. Yield of cyclic electron flow [Y(CEF)] was
negatively correlated with the maximal photosystem II photochemical efficiency (F
v/F m). In summary, photosystem II was the major target sites of toxicity of
Cu(2+), while photosystem I activity was enhanced under Cu(2+) stress.
PMID- 24920131
TI - Effect of charge density of polysaccharides on self-assembled intragastric
gelation of whey protein/polysaccharide under simulated gastric conditions.
AB - This study focuses on the behavior of mixed protein and polysaccharides with
different charge densities under simulated gastric conditions. Three types of
polysaccharides, namely, guar gum, xanthan gum and carrageenan (neutral, medium
negatively, and highly negatively charged, respectively) were selected for
heating together with whey protein isolate (WPI) at a biopolymer ratio ranging
from 0.01 to 0.1. Upon mixing with simulated gastric fluid (SGF), all WPI-guar
gum samples remained soluble, whereas WPI-xanthan gum and WPI-carrageenan at
biopolymer ratio higher than 0.01 led to self-assembled intragastric gelation
immediately after mixing with SGF. The mechanism behind the intragastric gelation
is believed to be the cross-linking between oppositely charged protein and
polysaccharides when pH was reduced to below the pI of the protein. Higher
biopolymer ratio led to a higher degree of intermolecular interaction, which
tends to form stronger gel. More negatively charged carrageenan also formed a
stronger gel than xanthan gum. SDS-PAGE results show that the digestibility of
protein was not affected by the presence of guar gum as well as xanthan gum and
carrageenan at biopolymer ratio lower than 0.02. However, intragastric gel formed
by WPI-xanthan gum and WPI-carrageenan at biopolymer ratio higher than 0.02
significantly slows down the digestion rate of protein, which could potentially
be used to delay gastric emptying and promote satiety.
PMID- 24920132
TI - Steric hindrance between S4 and S5 of the KCNQ1/KCNE1 channel hampers pore
opening.
AB - In voltage-gated K(+) channels, membrane depolarization induces an upward
movement of the voltage-sensing domains (VSD) that triggers pore opening. KCNQ1
is a voltage-gated K(+) channel and its gating behaviour is substantially
modulated by auxiliary subunit KCNE proteins. KCNE1, for example, markedly shifts
the voltage dependence of KCNQ1 towards the positive direction and slows down the
activation kinetics. Here we identify two phenylalanine residues on KCNQ1, Phe232
on S4 (VSD) and Phe279 on S5 (pore domain) to be responsible for the gating
modulation by KCNE1. Phe232 collides with Phe279 during the course of the VSD
movement and hinders KCNQ1 channel from opening in the presence of KCNE1. This
steric hindrance caused by the bulky amino-acid residues destabilizes the open
state and thus shifts the voltage dependence of KCNQ1/KCNE1 channel.
PMID- 24920133
TI - Neuropsychological performance of methadone-maintained opiate users.
AB - Methadone maintenance treatment (MMT) has been used to treat opiate dependence
since the mid-1960s. Previous studies have investigated the effects of methadone
on cognitive function however the findings have been inconsistent. Some report a
complete absence of deficits while others report different types of cognitive
impairment. Our research aimed to investigate the effects of MMT on cognitive
function by comparing the performance of patients currently enrolled in MMT
(n=32) with opiate-dependent subjects (n=17) and healthy control subjects (n=25)
on a computerised neuropsychological test battery. Both the patients undertaking
MMT and the opiate users showed less efficient interaction between visual
searching and manually connecting digits and letters during the Switching of
Attention Task than the healthy control subjects (F(2,64)=3.25, p=0.05), which
indicates deficits in information processing. Nevertheless, the performance of
the MMT group was similar to that of healthy control subjects in all other tasks,
in contrast to the group of opiate users who performed poorly when compared to
healthy control subjects during tests of attention (mean difference (MD)=2.8, 95%
confidence interval (CI) (0.9-4.7), p=0.001) and executive function (MD=5.9, 95%
CI (1.3-10.5), p=0.007). These findings suggest that cognitive function in
patients undertaking MMT is improved compared to those dependent on illicit
opiates.
PMID- 24920134
TI - The use of magnetoencephalography in the study of psychopharmacology (pharmaco
MEG).
AB - Magnetoencephalography (MEG) is a neuroimaging technique that allows direct
measurement of the magnetic fields generated by synchronised ionic neural
currents in the brain with moderately good spatial resolution and high temporal
resolution. Because chemical neuromodulation can cause changes in neuronal
processing on the millisecond time-scale, the combination of MEG with
pharmacological interventions (pharmaco-MEG) is a powerful tool for measuring the
effects of experimental modulations of neurotransmission in the living human
brain. Importantly, pharmaco-MEG can be used in both healthy humans to understand
normal brain function and in patients to understand brain pathologies and drug
treatment effects. In this paper, the physiological and technical basis of
pharmaco-MEG is introduced and contrasted with other pharmacological neuroimaging
techniques. Ongoing developments in MEG analysis techniques such as source
localisation, functional and effective connectivity analyses, which have allowed
for more powerful inferences to be made with recent pharmaco-MEG data, are
described. Studies which have utilised pharmaco-MEG across a range of
neurotransmitter systems (GABA, glutamate, acetylcholine, dopamine and serotonin)
are reviewed.
PMID- 24920137
TI - Obesity, liberty, and public health emergencies.
PMID- 24920136
TI - Plurality of anxiety and depression alteration mechanism by oleanolic acid.
AB - Our study sought to evaluate the anxiolytic and antidepressant activities of
oleanolic acid as well as the neural mechanisms involved. Animal models such as
barbiturate sleep-induction, light-dark box, elevated plus maze, forced swimming
test, tail suspension test and open field test were conducted. Male Albino Swiss
mice were treated orally with vehicle 10 mL/kg, fluoxetine 20 mg/kg, imipramine
15 mg/kg, diazepam 1 mg/kg or oleanolic acid 5-40 mg/kg. Pretreatment
(intraperitoneal) of animals with pentylenetetrazole (PTZ) 20 mg/kg, 1-(2
methoxyphenyl)-4-[4- (2-phthalimido) butyl]piperazine hydrobromide (NAN-190) 0.5
mg/kg, p-chlorophenylalanine methyl ester (PCPA) 100 mg/kg or alpha-methyl-p
tyrosine (AMPT) 100 mg/kg, WAY100635 (WAY) 0.3 mg/kg, prazosin (PRAZ) 1 mg/kg,
yohimbine 2 mg/kg as well as monoamine oxidase assay and hippocampal brain
derived neurotrophic factor (BDNF) quantification were carried out. Oleanolic
acid potentiated the hypnotic effect of barbiturate and demonstrated an
anxiolytic effect in both the light-dark box and elevated plus maze. This effect
was not reversed by PTZ. Acute and/or chronic oral treatment of mice with
oleanolic acid (5-20 mg/kg) elicited an antidepressant effect in the forced
swimming test and the tail suspension test without interfering with the locomotor
activity. The antidepressant effect of oleanolic acid was attenuated by NAN-190,
AMPT, PCPA, WAY and PRAZ. Although monoamine oxidase activity remained unaltered
by oleanolic acid, chronic administration of oleanolic acid augmented hippocampal
BDNF level. These findings demonstrate multiple mechanisms of the anxiolytic and
antidepressant effect of oleanolic acid.
PMID- 24920138
TI - A case suspected for yellow fever vaccine-associated viscerotropic disease in the
Netherlands.
AB - Yellow fever (YF) 17D vaccine is one of the most successful vaccines ever
developed. Since 2001, 56 cases of yellow fever vaccine-associated viscerotropic
disease (YEL-AVD) have been published in the peer-reviewed literature. Here, we
report a new case suspected for YEL-AVD in the Netherlands. Further research is
needed to determine the true incidence of YEL-AVD and to clarify host and vaccine
associated factors in the pathogenesis of YEL-AVD. Because of the potential
adverse events, healthcare providers should carefully consider vaccination only
in people who are truly at risk for YF infection, especially in primary vaccine
recipients.
PMID- 24920139
TI - The mammary glands of the Amazonian manatee, Trichechus inunguis (Mammalia:
Sirenia): morphological characteristics and microscopic anatomy.
AB - The mammaries from carcasses of two female Amazonian manatees were examined.
Trichechus inunguis possesses two axillary mammaries beneath the pectoral fins,
one on each side of the body. Each papilla mammae has a small hole on its apex-
the ostium papillare. The mammaries are covered by a stratified squamous
keratinized epithelium. The epithelium of the mammary ducts became thinner more
deeply in the tissue and varied from stratified to simple cuboidal. There was no
evidence of glandular activity or secretion into the ducts of the mammary glands.
PMID- 24920135
TI - Effects of acute alcohol consumption and processing of emotion in faces:
Implications for understanding alcohol-related aggression.
AB - The negative consequences of chronic alcohol abuse are well known, but heavy
episodic consumption ("binge drinking") is also associated with significant
personal and societal harms. Aggressive tendencies are increased after alcohol
but the mechanisms underlying these changes are not fully understood. While
effects on behavioural control are likely to be important, other effects may be
involved given the widespread action of alcohol. Altered processing of social
signals is associated with changes in social behaviours, including aggression,
but until recently there has been little research investigating the effects of
acute alcohol consumption on these outcomes. Recent work investigating the
effects of acute alcohol on emotional face processing has suggested reduced
sensitivity to submissive signals (sad faces) and increased perceptual bias
towards provocative signals (angry faces) after alcohol consumption, which may
play a role in alcohol-related aggression. Here we discuss a putative mechanism
that may explain how alcohol consumption influences emotional processing and
subsequent aggressive responding, via disruption of orbitofrontal cortex (OFC)
amygdala connectivity. While the importance of emotional processing on social
behaviours is well established, research into acute alcohol consumption and
emotional processing is still in its infancy. Further research is needed and we
outline a research agenda to address gaps in the literature.
PMID- 24920140
TI - [Comparative radiologic examination of the canine elbow with and without elbow
dysplasia under standardized load].
AB - OBJECTIVE AND AIM: Application of an X-ray technique under load to determine the
humeroradial and humeroulnar joint conformation of dogs with elbow dysplasia
(ED). The aim of the study was to determine whether there are significant
differences in the measurements of dysplastic joints compared to healthy joints.
In addition, we formulated the hypothesis, that a differentiation between
humeroulnar and humeroradial incongruence in dysplastic elbows can be made based
on the acquired radiographic measurements. MATERIAL AND METHODS: Radiographic
measurements were obtained in 149 dogs with ED and compared to the results of a
control group established in a previous study. Based on frequently observed
measurement variations, these 149 elbow joints were categorized into different
subgroups, which we re-compared with the control group. In healthy elbow joints
of euthanized dogs, four different radial and ulnar osteotomies were performed
with two- and three-dimensional conformation readjustment of the elbow joint. The
joints were subjected to the same radiographic measurements and the results were
compared to the control and ED groups. RESULTS: There were no significant
differences between the control group and all 149 ED joints. However, a marked
delineation was possible because of significant differences and descriptive
statistics among the four subgroups of ED joints. One of these subgroups
displayed significant differences compared to all the examined groups and was
labeled type I (n = 60). Because of a lack of distinction between two subgroups,
these were combined and labeled type II (n = 40). Another sub-group comprising
all the elbows that could not be classified as either type I or type II was
labeled "indiff" (n = 49). The criteria for assigning an affected elbow joint to
type I, type II or indiff were three subchondral joint space-widths, three
indices calculated from the joint space-widths and one angle. CONCLUSION AND
CLINICAL RELEVANCE: The technique investigated is suitable to assign a great
number of affected joints to an incongruence type (type I or type II).The results
of the osteotomy groups provide evidence that the three-dimensional ulnar
shortening displays similarities to type I, whereas the three-dimensional radius
shortening shows similarities to type II.
PMID- 24920141
TI - Sagittal joint instability in the cranial cruciate ligament insufficient canine
stifle. Caudal slippage of the femur and not cranial tibial subluxation.
AB - OBJECTIVE: This in vivo study qualitatively describes the sagittal motion pattern
of the cranial cruciate ligament (CrCL) insufficient canine stifle in operated
and unoperated joints with cranio-caudal laxity on palpation. MATERIAL AND
METHODS: Sagittal stifle kinematics were recorded in vivo in dogs (> 15 kg BW)
with unilateral (n = 7) or bilateral (n = 6) complete CrCL rupture and positive
cranial drawer test as well as two sound control dogs using uniplanar
fluoroscopic kinematography with the dogs walking on a treadmill. Stifle
stability and sagittal motion pattern of the femur and the tibia were determined
by visual inspection of the fluoroscopic video sequences. RESULTS: Control dogs
showed no cranio-caudal instability, identical to the contralateral stifles of
the dogs with unilateral rupture. All unoperated stifles with CrCL rupture (n =
6) showed caudal slippage of the femur at the beginning of the stance phase. Of
the 13 operated stifles (TightRope: n = 1, tibial tuberosity advancement, TTA: n
= 6, tibial plateau leveling osteotomy, TPLO: n = 5, cranial closing wedge
osteotomy, CCWO: n = 1) nine were unstable, showing the same motion pattern as
the unoperated stifles. CONCLUSION: In the CrCL insufficient stifle with in vivo
cranio-caudal instability caudal slippage of the distal femur at tow touch is the
predominant motion pattern. CLINICAL SIGNIFICANCE: The discrepancy between in
vivo motion pattern and in vitro simulation of CrCL insufficiency in which
cranial tibial subluxation is the predominant sagittal motion pattern warrants
further studies.
PMID- 24920142
TI - [Quality of life in primary and adjuvant veterinary radiation therapy. An owner
survey].
AB - OBJECTIVE: External radiation therapy has been available since 2011 for small
animals at the University of Munich. The aim of the study was to evaluate the
quality of life of treated pets and the satisfaction of their owners. MATERIAL
AND METHODS: The questionnaire was sent to the owners of all the pets (n = 91)
that had undergone primary or adjuvant radiotherapy at the Clinic of Small Animal
Medicine, University of Munich, since April 2011. RESULTS: The questionnaire was
returned by 68 (74.7%) owners. According to their assessment, the quality of life
improved in 41 cases (60.3%) after treatment where- as in 13 patients (19.1%) a
decline was described. The majority of owners (88.2%) would have decided for
repeated radiation therapy. CONCLUSION: Improvement of the animals' quality of
life is related to a high satisfaction (83.8%) of the owners (p = 0.003) and
their positive attitude towards radiotherapy (p = 0.027). CLINICAL RELEVANCE:
Analyses showed that for these owners, the treatment was a worthwhile therapy
despite it requiring much time and money.
PMID- 24920143
TI - Efficacy and safety of rush immunotherapy with alum-precipitated allergens in
canine atopic dermatitis.
AB - OBJECTIVES: Canine atopic dermatitis is a very common disease in small animal
practice. Its only specific treatment is allergen immunotherapy. In rush
immunotherapy (RIT) increasing doses of allergen extract are injected
subcutaneously in short intervals. Maintenance doses are achieved within one day
compared to weeks or months with conventional immunotherapy. The aim of this
study was to evaluate the safety and efficacy of RIT with alum-precipitated
allergens. MATERIALS AND METHODS: A series of 20 dogs with atopic dermatitis
underwent RIT with alum-precipitated allergens. Pruritus and medications at the
start of the immunotherapy and 12 months afterwards were compared and adverse
effects were recorded. RESULTS: Significant improvement in pruritus (p = 0.0001)
and medication scores (p = 0.0004) was noted after approximately 12 months of
treatment. The observed clinical response was good to excellent in 70% of the
dogs, consistent with other published reports. One dog vomited once during the
induction day, with no other clinical problems and completion of the normal
protocol. The other 19 dogs showed no adverse effects at all during or after RIT.
CONCLUSION AND CLINICAL RELEVANCE: RIT with alum-precipitated allergens seems to
be a safe and efficacious method to treat dogs with atopic dermatitis.
PMID- 24920144
TI - [Buccal glucose measurements in dogs using safety lancets for blood sampling].
AB - OBJECTIVE: Glucose measurements at the pinna or paws are an important part of the
management of diabetic dogs. Despite extensive rubbing or warming of the testing
site, an adequate blood sample cannot always be obtained. Therefore, the
objective of this study was to evaluate the lip as an alternative sampling site
with respect to practicability and tolerance by the dogs and reliability of the
measured values. MATERIAL AND METHODS: Nine clinic-owned male-castrated healthy
beagles were included in this prospective study. Glucose measurements were
performed using a glucometer developed for veterinary use, before and 15 minutes
after oral application of glucose syrup. Capillary blood was collected using two
commercially available safety lancets (Wellion Safety lancet [23 gauge] and
Wellion Safety lancet special [blade 0.8 mm]) at the buccal mucosa of the upper
lip and at the pinna. Measured values were compared with those obtained from
venous plasma (reference method). RESULTS: Buccal glucose measurements were easy
to perform and were well tolerated by the dogs without obvious pain reactions.
There was no difference between the glucose concentrations from the lip samples
and those determined using the reference method (p = 0.793, F < 1). The glucose
application had no effect on the observed differences (reference value minus
glucometer value; p = 0.63, F < 1). However, in one dog, a clinically problematic
discrepancy of 3.6 mmol/l was observed. The special lancet caused noticeable
secondary bleeding in two dogs. CONCLUSION AND CLINICAL RELEVANCE: The results of
this study indicate that the buccal mucosa is a convenient and reliable
alternative sampling site for glucose measurements in dogs. Problematic
deviations from reference values are possible after oral glucose application and
the use of blade-like needles is not encouraged.
PMID- 24920145
TI - [Urethral stent to treat a refractory traumatic urethra stricture in a male
hunting dog].
AB - In a 1.5-year-old male hunting dog, a urethral defect distal to the pelvic
flexure and the resulting urethral fistula were treated with a mucosal graft and
a transurethral catheter. Six months postoperatively a stricture of the urethra
occurred. Following balloon dilatation, urination was normal. One month after
dilatation, urethral narrowing relapsed and was treated using a combination of
balloon dilatation and urethral stent implantation. Ten months following stent
implantation the dog continued to show normal urination, although a deformation
of the proximal part of the stent was diagnosed radiographically. During the 1
year follow-up no additional complications were observed. In the future, urethral
stents may replace surgical resection of the narrowed urethral region and re
anastomosis of the urethra.
PMID- 24920146
TI - [Intraocular osteosarcoma in a dog].
AB - The present case describes the diagnostic and therapeutic procedure of a dog with
an intraocular osteosarcoma. According to the results of the diagnostic imaging
studies, the tentative diagnosis of an intraocular neoplasm with perforation of
the globe and orbital invasion of the tumour was made and an orbital exenteration
was performed. The histopathological diagnosis of the extracted organ implied an
intraocular, extraskeletal osteosarcoma. Seventy-seven days later the patient
displayed an acute paraparesis. Clinical and diagnostic reevaluation using
magnetic resonance imaging (MRI) was performed and the dog was euthanized at the
owner's request. By means of MRI and necropsy, an additional axial osteosarcoma
of the 6th lumbar vertebra and a malignant melanoma of the right tonsil were
diagnosed.
PMID- 24920147
TI - [Treatment of systemic hypertension associated with kidney disease in the dog and
cat].
AB - Systemic hypertension is an increasingly diagnosed disorder in dogs and cats and
frequently occurs secondary to chronic kidney disease. Prevention of damage to
organs such as the kidneys, brain, heart, and eyes is one of the primary concerns
in the management of veterinary patients with hypertension. This article reviews
the guidelines for antihypertensive therapy in patients with, or at risk for,
kidney disease, including the initiation of treatment and currently recommended
medications.
PMID- 24920149
TI - Function of lateral line canal morphology.
AB - Fish perceive water motions and pressure gradients with their lateral line.
Lateral line information is used for prey detection, spatial orientation,
predator avoidance, schooling behavior, intraspecific communication and station
holding. The lateral line of most fishes consists of superficial neuromasts (SNs)
and canal neuromasts (CNs). The distribution of SNs and CNs shows a high degree
of variation among fishes. Researchers have speculated for decades about the
functional significance of this diversity, often without any conclusive answers.
Klein et al. (2013) examined how tubules, pore number and pore patterns affect
the filter properties of lateral line canals in a marine teleost, the black
prickleback (Xiphister atropurpureus). A preliminary mathematical model was
formulated and biomimetic sensors were built. For the present study the
mathematical model was extended to understand the major underlying principle of
how canal dimensions influence the filter properties of the lateral line. Both
the extended mathematical model and the sensor experiments show that the number
and distribution of pores determine the spatial filter properties of the lateral
line. In an environment with little hydrodynamic noise, simple and complex
lateral line canals have comparable response properties. However, if exposed to
highly turbulent conditions, canals with numerous widely spaced pores increase
the signal to noise ratio significantly.
PMID- 24920150
TI - A review of the melatonin functions in zebrafish physiology.
AB - Melatonin is part of the evolutionary conserved highly functional network in
vertebrates. It plays a central role in the adaptative behavior of the animal to
the environment, including entrainment of daily and annual physiological rhythms,
reproductive behavior, food intake, locomotor activity, growth, and breeding
performance. In zebrafish, apart from its synchronizing capabilities, melatonin
seems to have a major role in multiple physiological processes. Extensive
knowledge of its genome and the identification of a series of genes with the same
functions as those in humans, the relative ease of obtaining mutants, and the
similarities between zebrafish and human pathologies make it an excellent
experimental model organism of human diseases. Moreover, it is a common
experimental species because of easy handling, breeding, and developmental
control. Among other pathophysiologies, zebrafish are now used in studies of
neurodegeneration and neurological diseases, endocrine diseases, behavior,
muscular dystrophies, developmental alterations, circadian rhythms, and drugs
screening. The purpose of this review was to update the current knowledge on the
synthesis and biological functions of melatonin in zebrafish, keeping in mind its
relevance not only in the physiology of the animal, but also in
pathophysiological conditions.
PMID- 24920148
TI - An exploration of how psychotic-like symptoms are experienced, endorsed, and
understood from the National Latino and Asian American Study and National Survey
of American Life.
AB - OBJECTIVE: . To examine racial-ethnic differences in the endorsement and
attribution of psychotic-like symptoms in a nationally representative sample of
African-Americans, Asians, Caribbean Blacks, and Latinos living in the USA.
DESIGN: Data were drawn from a total of 979 respondents who endorsed psychotic
like symptoms as part of the National Latino and Asian American Study (NLAAS) and
the National Survey of American Life (NSAL). We use a mixed qualitative and
quantitative analytical approach to examine sociodemographic and ethnic
variations in the prevalence and attributions of hallucinations and other
psychotic-like symptoms in the NLAAS and NSAL. The lifetime presence of psychotic
like symptoms was assessed using the World Health Organization Composite
International Diagnostic Interview (WMH-CIDI) psychotic symptom screener. We used
logistic regression models to examine the probability of endorsing the four most
frequently occurring thematic categories for psychotic-like experiences by
race/ethnicity (n > 100). We used qualitative methods to explore common themes
from participant responses to open ended questions on their attributions for
psychotic-like symptoms. RESULTS: African-Americans were significantly less
likely to endorse visual hallucinations compared to Caribbean Blacks (73.7% and
89.3%, p < .001), but they endorsed auditory hallucinations symptoms more than
Caribbean Blacks (43.1% and 25.7, p < .05). Endorsing delusions of reference and
thought insertion/withdrawal were more prevalent for Latinos than for African
Americans (11% and 4.7%, p < .05; 6.3% and 2.7%, p < .05, respectively).
Attribution themes included: supernatural, ghosts/unidentified beings, death and
dying, spirituality or religiosity, premonitions, familial and other. Respondents
differed by race/ethnicity in the attributions given to psychotic like symptoms.
CONCLUSION: Findings suggest that variations exist by race/ethnicity in both
psychotic-like symptom endorsement and in self-reported
attributions/understandings for these symptoms on a psychosis screening
instrument. Ethnic/racial differences could result from culturally sanctioned
beliefs and idioms of distress that deserve more attention in conducting
culturally informed and responsive screening, assessment and treatment.
PMID- 24920151
TI - Head titubation: an unrecognized manifestation of Joubert syndrome and other
(midline) cerebellar disorders?
PMID- 24920152
TI - Incomplete duplication of a lower extremity (polymelia): a case report.
AB - INTRODUCTION: Polymelia, or congenital duplication of a limb, is an extremely
rare entity in humans, with few cases reported in the literature. CASE
PRESENTATION: We present the case of a six-month-old Hispanic boy born with a
lower limb bud on the left posterior thigh. CONCLUSION: The infant had a
favorable outcome and evolution after surgical treatment of his supernumerary
limb, with no after-effects or impairment whatsoever.
PMID- 24920153
TI - Nonlocal and nonlinear electrostatics of a dipolar Coulomb fluid.
AB - We study a model Coulomb fluid consisting of dipolar solvent molecules of finite
extent which generalizes the point-like dipolar Poisson-Boltzmann model (DPB)
previously introduced by Coalson and Duncan (1996 J. Phys. Chem. 100 2612) and
Abrashkin et al (2007 Phys. Rev. Lett. 99 077801). We formulate a nonlocal
Poisson-Boltzmann equation (NLPB) and study both linear and nonlinear dielectric
response in this model for the case of a single plane geometry. Our results shed
light on the relevance of nonlocal versus nonlinear effects in continuum models
of material electrostatics.
PMID- 24920154
TI - Are we (mis)guided by current guidelines on intrapartum fetal heart rate
monitoring? Case for a more physiological approach to interpretation.
AB - Original interpretations of fetal heart rate (FHR) patterns equated FHR
decelerations with 'fetal distress', requiring expeditious delivery. This
simplistic interpretation is still implied in our clinical guidelines despite 40
years of increasing understanding of the behaviour and regulation of the fetal
cardiovascular system during labour. The physiological basis of FHR responses and
adaptations to oxygen deprivation is de-emphasised, whilst generations of
obstetricians and midwives are trained to focus on, and classify, the
morphological appearances of decelerations into descriptive categories, with no
attempt to understand how the fetus defends itself and compensates for
intrapartum hypoxic ischaemic insults, or the patterns that suggest progressive
loss of compensation. Consequently, there is a lack of confidence, marked
variation in FHR interpretation, defensive practices, unnecessary operative
interventions, and a failure to recognise abnormal FHR patterns, resulting in
adverse outcomes and expensive litigation.
PMID- 24920155
TI - Exploring ecotoxicological fish bioassay for the evaluation of uranium
reprotoxicity.
AB - Although reproduction in fish is known to be sensitive to metal exposure, few
ecotoxicological studies have focused on the toxicological effects of metals.
Because uranium (U) is naturally present in aquatic ecosystems (0.6-2 mg/L),
freshwater organisms are subjected to chronic U exposure. Although new
standardized assays are currently being developed to mimic realistic exposure
conditions, they could be improved by taking into account the contamination that
occurs throughout the life cycle of fish. The authors initially evaluated the
effect of food (commercial flakes vs pure Spirulina) and ionic composition of the
exposure medium on the reproductive performance of Danio rerio. The effects of U
exposure on reproduction then were assessed 1) for the F0 adult stage at short
exposure times (5 d, 20 d, and 40 d), and 2) for the F0 stage and the F1
generation after 200 d of exposure to control, low (20 ug U/L), and moderate (250
ug U/L) waterborne levels of U. Reproductive endpoints (reproductive success,
fecundity, number of spawns, egg and larvae viability, and hatching) were
measured mainly after the first spawn and after 10 d of cumulative spawns. The
authors evaluated the plasticity of these endpoints and compared the effect of
exposure conditions to identify the most relevant markers of the effect of U
exposure on reproductive performance of D. rerio.
PMID- 24920156
TI - Evaluation of hospital readmissions in surgical patients: do administrative data
tell the real story?
AB - IMPORTANCE: The Centers for Medicare & Medicaid Services has developed an all
cause readmission measure that uses administrative data to measure readmission
rates and financially penalize hospitals with higher-than-expected readmission
rates. OBJECTIVES: To examine the accuracy of administrative codes in determining
the cause of readmission as determined by medical record review, to evaluate the
readmission measure's ability to accurately identify a readmission as planned,
and to document the frequency of readmissions for reasons clinically unrelated to
the original hospital stay. DESIGN, SETTING, AND PARTICIPANTS: Retrospective
review of all consecutive patients discharged from general surgery services at a
tertiary care, university-affiliated teaching hospital during 8 consecutive
quarters (quarter 4 [October through December] of 2009 through quarter 3 [July
through September] of 2011). Clinical readmission diagnosis determined from
direct medical record review was compared with the administrative diagnosis
recorded in a claims database. The number of planned hospital readmissions
defined by the readmission measure was compared with the number identified using
clinical data. Readmissions unrelated to the original hospital stay were
identified using clinical data. MAIN OUTCOMES AND MEASURES: Discordance rate
between administrative and clinical diagnoses for all hospital readmissions,
discrepancy between planned readmissions defined by the readmission measure and
identified by clinical medical record review, and fraction of hospital
readmissions unrelated to the original hospital stay. RESULTS: Of the 315
hospital readmissions, the readmission diagnosis listed in the administrative
claims data differed from the clinical diagnosis in 97 readmissions (30.8%). The
readmission measure identified 15 readmissions (4.8%) as planned, whereas
clinical data identified 43 readmissions (13.7%) as planned. Unrelated
readmissions comprised 70 of the 258 unplanned readmissions (27.1%). CONCLUSIONS
AND RELEVANCE: Administrative billing data, as used by the readmission measure,
do not reliably describe the reason for readmission. The readmission measure
accounts for less than half of the planned readmissions and does not account for
the nearly one-third of readmissions unrelated to the original hospital stay.
Implementation of this readmission measure may result in unwarranted financial
penalties for hospitals.
PMID- 24920157
TI - Feeling connected to younger versus older selves: the asymmetric impact of life
stage orientation.
AB - The concept of life-stage orientation is proposed. Youth is a period of time
characterised by strong feelings and emotions, but weak reasoning and cognitive
skill. Conversely, adulthood is characterised by strong rationality, but weak
emotionality. Two studies revealed that merely bringing these concepts to mind
changes real-time feelings and behaviour. Participants who were instructed to act
like their "adult" selves exhibited greater self-control in a cold pressor test
than control participants and those who acted like their "youth" selves
(Experiment 1). However, being induced to feel connected to youth enhanced
enjoyment for fun videos (Experiment 2). Hence, the extent to which people are
oriented towards youth versus adulthood has asymmetric costs and benefits for the
present. Connecting to youth boosts experiential capacities (in this case,
enjoying oneself) at the cost of agency, whereas connecting to adulthood boosts
agentic capacities (in this case, exerting will-power) at the cost of experience.
PMID- 24920158
TI - Fractal dimension analysis of malignant and benign endobronchial ultrasound
nodes.
AB - BACKGROUND: Endobronchial ultrasonography (EBUS) has been applied as a routine
procedure for the diagnostic of hiliar and mediastinal nodes. The authors
assessed the relationship between the echographic appearance of mediastinal
nodes, based on endobronchial ultrasound images, and the likelihood of
malignancy. METHODS: The images of twelve malignant and eleven benign nodes were
evaluated. A previous processing method was applied to improve the quality of the
images and to enhance the details. Texture and morphology parameters analyzed
were: the image texture of the echographies and a fractal dimension that
expressed the relationship between area and perimeter of the structures that
appear in the image, and characterizes the convoluted inner structure of the
hiliar and mediastinal nodes. RESULTS: Processed images showed that relationship
between log perimeter and log area of hilar nodes was lineal (i.e. perimeter vs.
area follow a power law). Fractal dimension was lower in the malignant nodes
compared with non-malignant nodes (1.47(0.09), 1.53(0.10) mean(SD), Mann-Whitney
U test p < 0.05)). CONCLUSION: Fractal dimension of ultrasonographic images of
mediastinal nodes obtained through endobronchial ultrasound differ in malignant
nodes from non-malignant. This parameter could differentiate malignat and non
malignat mediastinic and hiliar nodes.
PMID- 24920159
TI - Probing phosphorylation-dependent protein interactions within functional domains
of histone deacetylase 5 (HDAC5).
AB - Class IIa histone deacetylases (HDACs) are critical transcriptional regulators,
shuttling between nuclear and cytoplasmic cellular compartments. Within the
nucleus, these HDACs repress transcription as components of multiprotein
complexes, such as the nuclear corepressor and beclin-6 corepressor (BCoR)
complexes. Cytoplasmic relocalization relieves this transcriptional repressive
function. Class IIa HDAC shuttling is controlled, in part, by phosphorylations
flanking the nuclear localization signal (NLS). Furthermore, we have reported
that phosphorylation within the NLS by the kinase Aurora B modulates the
localization and function of the class IIa HDAC5 during mitosis. While we
identified numerous additional HDAC5 phosphorylations, their regulatory functions
remain unknown. Here, we studied phosphorylation sites within functional HDAC5
domains, including the deacetylation domain (DAC, Ser755), nuclear export signal
(NES, Ser1108), and an acidic domain (AD, Ser611). We have generated
phosphomutant cell lines to investigate how absence of phosphorylation at these
sites impacts HDAC5 localization, enzymatic activity, and protein interactions.
Combining molecular biology and quantitative MS, we have defined the interactions
and HDAC5-containing complexes mediated by site-specific phosphorylation and
quantified selected changes using parallel reaction monitoring. These results
expand the current understanding of HDAC regulation, and the functions of this
critical family of proteins within human cells.
PMID- 24920160
TI - Granulocyte colony-stimulating factor does not promote neurogenesis after
experimental intracerebral haemorrhage.
AB - BACKGROUND: Hematopoietic growth factors have been suggested to induce
neuroprotective and regenerative effects in various animal models of cerebral
injury. However, the pathways involved remain widely unexplored. AIMS: This study
aimed to investigate effects of local and systemic administration of granulocyte
colony-stimulating factor on brain damage, functional recovery, and cerebral
neurogenesis in an intracerebral haemorrhage whole blood injection model in rats.
METHODS: Eight-week-old male Wistar rats (n = 100) underwent induction of
striatal intracerebral haemorrhage by autologous whole blood injection or sham
procedure and were randomly assigned to either (a) systemic treatment with
granulocyte colony-stimulating factor (60 MUg/kg) for five-days; (b) single
intracerebral injection of granulocyte colony-stimulating factor (60 MUg/kg) into
the cavity; or (c) application of vehicle for five-days. Bromodeoxyuridine
labelling and immunohistochemistry were used to analyze proliferation and
survival of newly born cells in the sub-ventricular zone and the hippocampal
dentate gyrus. Moreover, functional deficits and lesion volume were assessed
until day 42 after intracerebral haemorrhage. RESULTS: Differences in lesion size
or hemispheric atrophy between granulocyte colony-stimulating factor-treated and
control groups did not reach statistical significance. Neither systemic, nor
local granulocyte colony-stimulating factor administration induced neurogenesis
within the dentate gyrus or the sub-ventricular zone. The survival of newborn
cells in these regions was prevented by intracerebral granulocyte colony
stimulating factor application. A subtle benefit in functional recovery at day 14
after intracerebral haemorrhage induction was observed after granulocyte colony
stimulating factor treatment. CONCLUSION: There was a lack of neuroprotective or
neuroregenerative effects of granulocyte colony-stimulating factor in the present
rodent model of intracerebral haemorrhage. Conflicting results from functional
outcome assessment require further research.
PMID- 24920162
TI - Cold denaturation of alpha-synuclein amyloid fibrils.
AB - Although amyloid fibrils are associated with numerous pathologies, their
conformational stability remains largely unclear. Herein, we probe the thermal
stability of various amyloid fibrils. alpha-Synuclein fibrils cold-denatured to
monomers at 0-20 degrees C and heat-denatured at 60-110 degrees C. Meanwhile,
the fibrils of beta2-microglobulin, Alzheimer's Abeta1-40/Abeta1-42 peptides, and
insulin exhibited only heat denaturation, although they showed a decrease in
stability at low temperature. A comparison of structural parameters with positive
enthalpy and heat capacity changes which showed opposite signs to protein folding
suggested that the burial of charged residues in fibril cores contributed to the
cold denaturation of alpha-synuclein fibrils. We propose that although cold
denaturation is common to both native proteins and misfolded fibrillar states,
the main-chain dominated amyloid structures may explain amyloid-specific cold
denaturation arising from the unfavorable burial of charged side-chains in fibril
cores.
PMID- 24920161
TI - Phosphoproteomic approach to characterize protein mono- and poly(ADP
ribosyl)ation sites from cells.
AB - Poly(ADP-ribose), or PAR, is a cellular polymer implicated in DNA/RNA metabolism,
cell death, and cellular stress response via its role as a post-translational
modification, signaling molecule, and scaffolding element. PAR is synthesized by
a family of proteins known as poly(ADP-ribose) polymerases, or PARPs, which
attach PAR polymers to various amino acids of substrate proteins. The nature of
these polymers (large, charged, heterogeneous, base-labile) has made these
attachment sites difficult to study by mass spectrometry. Here we propose a new
pipeline that allows for the identification of mono(ADP-ribosyl)ation and
poly(ADP-ribosyl)ation sites via the enzymatic product of phosphodiesterase
treated ADP-ribose, or phospho(ribose). The power of this method lies in the
enrichment potential of phospho(ribose), which we show to be enriched by
phosphoproteomic techniques when a neutral buffer, which allows for retention of
the base-labile attachment site, is used for elution. Through the identification
of PARP-1 in vitro automodification sites as well as endogenous ADP-ribosylation
sites from whole cells, we have shown that ADP-ribose can exist on adjacent amino
acid residues as well as both lysine and arginine in addition to known acidic
modification sites. The universality of this technique has allowed us to show
that enrichment of ADP-ribosylated proteins by macrodomain leads to a bias
against ADP-ribose modifications conjugated to glutamic acids, suggesting that
the macrodomain is either removing or selecting against these distinct protein
attachments. Ultimately, the enrichment pipeline presented here offers a
universal approach for characterizing the mono- and poly(ADP-ribosyl)ated
proteome.
PMID- 24920163
TI - Effect of cation on diffusion coefficient of ionic liquids at onion-like carbon
electrodes.
AB - While most supercapacitors are limited in their performance by the stability of
the electrolyte, using neat ionic liquids (ILs) as the electrolyte can expand the
voltage window and temperature range of operation. In this study, ILs with
bis(trifluoromethylsulfonyl)imide (Tf2N) as the anion were investigated as the
electrolyte in onion-like carbon-based electrochemical capacitors. To probe the
influence of cations on the electrochemical performance of supercapacitors, three
different cations were used: 1-ethyl-3-methylimidazolium, 1-hexyl-3
methylimidazolium and 1,6-bis(3-methylimidazolium-1-yl). A series of
electrochemical characterization tests was performed using cyclic voltammetry
(CV), galvanostatic cycling and electrochemical impedance spectroscopy (EIS).
Diffusion coefficients were measured using EIS and correlated with quasielastic
neutron scattering and molecular dynamics simulation. These three techniques were
used in parallel to confirm a consistent trend between the three ILs. It was
found that the IL with the smaller sized cation had a larger diffusion
coefficient, leading to a higher capacitance at faster charge-discharge rates.
Furthermore, the IL electrolyte performance was correlated with increasing
temperature, which limited the voltage stability window and led to the formation
of a solid electrolyte interphase on the carbon electrode surface, evident in
both the CV and EIS experiments.
PMID- 24920164
TI - Hydrophobic modification of Pd/SiO2 @single-site mesoporous silicas by
triethoxyfluorosilane: enhanced catalytic activity and selectivity for one-pot
oxidation.
AB - To enhance the catalytic activity in a selective one-pot oxidation using in-situ
generated H(2)O(2), a hydrophobically modified core-shell catalyst was
synthesized by means of a simple silylation reaction using the fluorine
containing silylation agent triethoxyfluorosilane (TEFS, SiF(OEt)(3)). The
catalyst consisted of a Pd-supported silica nanosphere and a mesoporous silica
shell containing isolated Ti(IV) and F ions bonded with silicon (Si?F bond).
Structural analyses using XRD and N(2) adsorption-desorption suggested that the
mesoporous structure and large surface area of the mesoporous shells were
retained even after the modification. During the one-pot oxidation of sulfide,
catalytic activity was enhanced significantly by increasing the amount of
fluorine in the shell. A hydrophobic surface enhanced adsorption of the
hydrophobic reactant into the mesopore, while the less hydrophobic oxygenated
products efficiently diffused into the outside of the shell, which improved the
catalytic activity and selectivity. In addition, the present methodology can be
used to enhance the catalytic activity and selectivity in the one-pot oxidation
of cyclohexane by using an Fe-based core-shell catalytic system.
PMID- 24920165
TI - C60 molecules grown on a Si-supported nanoporous supramolecular network: a DFT
study.
AB - C60 fullerene assemblies on surfaces have attracted considerable attention
because of their remarkable electronic properties. Now because of the competition
between the molecules-substrate and the molecule-molecule interactions, an
ordered C60 array is rather difficult to obtain on silicon surfaces. Here we
present density functional theory simulations on C60 molecules deposited on a TBB
(1,3,5-tri(1'-bromophenyl)benzene) monolayer lying on the Si(111)-boron surface
(denoted SiB). The C60 molecules are located in the nanopores formed by the TBB
network. Adsorption energy calculations show that the SiB surface governs the C60
vertical position, whereas the TBB network imposes the C60 lateral position, and
stabilizes the molecule as well. The low charge density between the C60 and the
SiB substrate on one hand, and on the other hand between the C60 and the TBB
molecules, indicates that no covalent bond is formed between the C60 and its
environment. However, according to charge density differences, a drastic charge
reorganisation takes place between the Si adatoms and the C60 molecule, but also
between the C60 and the surrounding TBB molecules. Finally, calculations show
that a C60 array sandwiched between two TBB molecular layers is stable, which
opens up the way to the growth of 3D supramolecular networks.
PMID- 24920166
TI - The clock drawing test, mortality, incident cardiovascular events and dementia.
AB - OBJECTIVE: The purpose of this study is to examine the association of the clock
drawing test (CDT) with incident dementia, cardiovascular events and mortality in
very elderly hypertensive patients. METHOD: All participants were hypertensive
and aged 80 years and over. The CDT was administered at baseline and annually
thereafter. Data on incident cardiovascular, fatal events and dementia were
collected over follow-up. RESULTS: There were 3845 participants recruited and
followed up for a mean of 2.1 years. Of these, 2701 completed a CDT with 2259
available at baseline. Of this group, 6.6% had a cardiovascular event, 6.1% died,
and 10% were diagnosed with dementia. There was no relationship between baseline
CDT score and subsequent cardiovascular events or mortality. For incident
dementia, the hazard ratio was 0.88 (95% confidence intervals 0.83-0.94)
suggesting that better performance on the baseline CDT was associated with a
lower risk of dementia. CONCLUSION: These results provide tentative support for
the CDT alongside other cognitive screening tools in a hypertensive elderly
population.
PMID- 24920167
TI - Association of EMP1 with gastric carcinoma invasion, survival and prognosis.
AB - The aim of this study was to determine the expression and function of epithelial
membrane protein 1 (EMP1) in gastric carcinoma. Gastric samples were taken from
cancer lesions and adjacent normal tissue in gastric cancer patients immediately
after endoscopic biopsy. A portion of the sample was either fixed in 4%
paraformaldehyde and embedded in paraffin for immunohistochemistry or stored in
liquid nitrogen for western blotting. In order to determine protein expression of
EMP1 in gastric cancer (n=65) and normal tissue (n=27), semi-quantitative
immunohistochemistry and western blotting were utilized. For in vitro studies,
the human gastric cancer cell line SGC-7901 was maintained in RPMI-1640 medium
supplemented with 10% fetal bovine serum. Recombinant lentivirus mediated
overexpression of EMP1 in SGC-7901 cells was quantified with quantitative
polymerase chain reaction (qPCR) and western blotting. Control SGC-7901 cells
were transfected with an empty vector. To further study the effect of EMP1
overexpression in SGC-7901 cells, cell proliferation, cell apoptosis and
migration and invasion assays were conducted. The expression of EMP1 was
significantly lower in gastric cancer tissue compared to normal tissue using both
immunohistochemistry (41.5 vs. 70.4% of tissues, P<0.05) and western blotting
(0.153 +/- 0.012 vs. 0.626 +/- 0.058, P<0.05). Decreased expression of EMP1 was
significantly correlated with tumor invasion, lymph node metastasis, clinical
stage and histological grade of patients with gastric cancer (P<0.05). According
to Kaplan-Meier analysis, low EMP1 expression correlated significantly with poor
overall 5-year survival (47.4 vs. 70.3% survival, P<0.05). SGC-7901 cells
transfected with EMP1 had a lower survival fraction, higher cell apoptosis (13.2
+/- 1.5% vs. 2.2 +/- 0.5%, P<0.05), significant decrease in migration and
invasion (157.0 +/- 16.0 and 112.0 +/- 12.0, respectively vs. 243.0 +/- 21.0 and
203.0 +/- 19.0, respectively, P<0.05), higher caspase-9 (0.501 +/- 0.050 vs.
0.114 +/- 0.010, P<0.05) and lower VEGFC protein expression 0.135 +/- 0.011 vs.
0.619 +/- 0.074, P<0.05) relative to cells not transfected with EMP1. Low EMP1
expression in gastric cancer is associated with increased disease severity,
suggesting that EMP1 may be a negative regulator of gastric cancer.
PMID- 24920168
TI - Characterization of the Blastocystis-specific faecal IgA immune response in pigs.
AB - Blastocystis is an intestinal protist found in many species including humans and
pigs. It has a controversial pathogenesis and has been implicated as a potential
cause of irritable bowel syndrome. Our previous studies identified pigs as
potential animal models for blastocystosis by demonstrating that they were likely
natural hosts of Blastocystis and can harbour subtypes (ST) in common with
humans. Furthermore, our finding of a lack of intestinal histopathology
associated with Blastocystis infection in pigs is also a consistent finding in
examined infected humans. In this study, we aimed to identify and characterize
the Blastocystis-specific mucosal IgA response in pigs by immunoblotting, using
pig faecal antibodies and Blastocystis antigen. Faeces from 233 pigs representing
three age groups (sows/boars, growers/weaners and piglets) and including five
dexamethasone-immunosuppressed research pigs were tested. The majority (81.5%) of
the pigs had faecal IgA reactivity against Blastocystis proteins of molecular
weights of 17.5-120 kDa. Reactivity to a >250 kDa protein was found in 18.5% of
pigs. Notably, immunosuppressed pigs and piglets were statistically more likely
to have reactivity to this protein compared to growers/weaners and sows/boars,
respectively. These results corroborate other findings suggesting that
compromised immunity may predispose to blastocystosis and support our contention
that pigs are potentially good models for pathogenesis studies.
PMID- 24920169
TI - Gastrointestinal toxicity after vincristine or cyclophosphamide administered with
or without maropitant in dogs: a prospective randomised controlled study.
AB - OBJECTIVES: To assess the prevalence of gastrointestinal toxicity in dogs
receiving chemotherapy with vincristine and cyclophosphamide and the efficacy of
maropitant citrate (CereniaTM, Zoetis) in reducing these events. METHODS: Dogs
receiving chemotherapy with cyclophosphamide or vincristine were randomised to
either receive maropitant or not in the period immediately after treatment and
for 4 days afterwards. Owners completed a diary of adverse events following
treatment. RESULTS: Adverse events occurred in 40/58 (69%) dogs in the
vincristine group. Most of these adverse events were mild and included: lethargy
(62%), appetite loss (43%), diarrhoea (34%) and vomiting (24%). Adverse events
occurred in 34/42 (81%) dogs treated with cyclophosphamide. Most of these adverse
events were mild and included: lethargy (62%), diarrhoea (36%), appetite loss
(36%) and vomiting (21%). There was no difference in total clinical score,
vomiting, diarrhoea, appetite loss or lethargy score between dogs treated with
maropitant and non-treated dogs in either the vincristine or cyclophosphamide
groups. CLINICAL SIGNIFICANCE: Chemotherapy-related side effects are frequent but
usually mild in dogs receiving vincristine or cyclophosphamide. Prophylactic
administration of maropitant does not reduce the frequency of adverse events and
maropitant should be administered only as required for individual cases.
PMID- 24920170
TI - Physiological and transcriptomic analyses of the thermophilic, aceticlastic
methanogen Methanosaeta thermophila responding to ammonia stress.
AB - The inhibitory effects of ammonia on two different degradation pathways of
methanogenic acetate were evaluated using a pure culture (Methanosaeta
thermophila strain PT) and defined co-culture (Methanothermobacter
thermautotrophicus strain TM and Thermacetogenium phaeum strain PB), which
represented aceticlastic and syntrophic methanogenesis, respectively. Growth
experiments with high concentrations of ammonia clearly demonstrated that
sensitivity to ammonia stress was markedly higher in M. thermophila PT than in
the syntrophic co-culture. M. thermophila PT also exhibited higher sensitivity to
high pH stress, which indicated that an inability to maintain pH homeostasis is
an underlying cause of ammonia inhibition. Methanogenesis was inhibited in the
resting cells of M. thermophila PT with moderate concentrations of ammonia,
suggesting that the inhibition of enzymes involved in methanogenesis may be one
of the major factors responsible for ammonia toxicity. Transcriptomic analysis
revealed a broad range of disturbances in M. thermophila PT cells under ammonia
stress conditions, including protein denaturation, oxidative stress, and
intracellular cation imbalances. The results of the present study clearly
demonstrated that syntrophic acetate degradation dominated over aceticlastic
methanogenesis under ammonia stress conditions, which is consistent with the
findings of previous studies on complex microbial community systems. Our results
also imply that the co-existence of multiple metabolic pathways and their
different sensitivities to stress factors confer resiliency on methanogenic
processes.
PMID- 24920171
TI - Suppressive potential of Paenibacillus strains isolated from the tomato
phyllosphere against fusarium crown and root rot of tomato.
AB - The suppressive potentials of Bacillus and Paenibacillus strains isolated from
the tomato phyllosphere were investigated to obtain new biocontrol candidates
against Fusarium crown and root rot of tomato. The suppressive activities of 20
bacterial strains belonging to these genera were examined using seedlings and
potted tomato plants, and two Paenibacillus strains (12HD2 and 42NP7) were
selected as biocontrol candidates against the disease. These two strains
suppressed the disease in the field experiment. Scanning electron microscopy
revealed that the treated bacterial cells colonized the root surface, and when
the roots of the seedlings were treated with strain 42NP7 cells, the cell
population was maintained on the roots for at least for 4 weeks. Although the
bacterial strains had no direct antifungal activity against the causal pathogen
in vitro, an increase was observed in the antifungal activities of acetone
extracts from tomato roots treated with the cells of both bacterial strains.
Furthermore, RT-PCR analysis verified that the expression of defense-related
genes was induced in both the roots and leaves of seedlings treated with the
bacterial cells. Thus, the root-colonized cells of the two Paenibacillus strains
were considered to induce resistance in tomato plants, which resulted in the
suppression of the disease.
PMID- 24920172
TI - Ventilation strategies and indoor particulate matter in a classroom.
AB - Particle mass and number concentrations were measured in a mechanically
ventilated classroom as part of a study of ventilation strategies for energy
conservation. The ventilation system was operated either continuously,
intermittently, or shut down during nights while it was on during workdays. It
appears that the nighttime ventilation scheme is not important for indoor
particle concentrations the following day if fans are operated to give five air
exchanges in advance of the workday. The highest concentrations of PM10 were
found during and after workdays and were due to human activity in the classroom.
The average workday PM10 concentration was 14 MUg/m(3) , well below the WHO
guideline values. The number concentration of particles with diameter <0.750 MUm
was typically between 0.5 * 10(3) and 3.5 * 10(3) particle/cm(3) . These
concentrations were largely independent of the occupants. Transient formation of
small particles was observed when ventilation was shut down. Then remaining ozone
reacted with terpenes emitted by indoor sources and gave up to 8 * 10(3)
particle/cm(3) before formation stopped due to lack of ozone. The intermittent
ventilation regime was found least favorable for the indoor air quality in the
classroom.
PMID- 24920173
TI - An integrated artificial photosynthesis system based on peptide nanotubes.
AB - A peptide nanotube platform that integrates both light-harvesting and catalytic
units was successfully engineered for artificial photosynthesis. Peptide
nanotubes not only serve as a hub for physically combining both units, but also
work as mediators that transfer the energy from photo-excited chromophores to
catalytic centers. The direct conversion of NAD(+) to NADH upon light
illumination was demonstrated. This represents a promising step towards efficient
and fully integrated artificial photosynthesis systems.
PMID- 24920174
TI - Overexpression of peroxiredoxin 2 inhibits TGF-beta1-induced epithelial
mesenchymal transition and cell migration in colorectal cancer.
AB - Although human peroxiredoxin 2 (PRDX2) has been implicated in tumor progression
(e.g., invasion and metastasis), little is known regarding its role in the
epithelial-mesenchymal transition (EMT) process during tumorigenesis. The present
study offers the first evidence, to the best of our knowledge, that the
antioxidant enzyme PRDX2 has an important role in regulating the EMT process. It
was demonstrated that overexpression of PRDX2 leads to changes in cell morphology
in vitro and potent inhibition of the transforming growth factor (TGF)-beta1
induced EMT and cell migration of colorectal cancer (CRC) cells. Furthermore,
PRDX2 regulates the expression of EMT markers, EMT-related transcription factors
and metastasis-related factors in CRC cells. These results provide new insight
into the role of PRDX2 in regulating EMT, cell migration and metastasis of CRC
cells. It was concluded that the upregulation of PRDX2 may be correlated with EMT
and contributes to the pathogenesis of CRC by inhibiting EMT, cell migration and
metastasis. Taken together, these findings suggest that PRDX2 may be a key
regulator of invasion and metastasis by inhibiting EMT of CRC cells, and also
identifies a therapeutic strategy to effectively decrease the lethality of highly
malignant types of CRC.
PMID- 24920176
TI - Abstracts of the AAGBI GAT Annual Scientific Meeting, 11-13 June 2014, Newcastle,
UK.
PMID- 24920175
TI - Knee power is an important parameter in understanding medial knee joint load in
knee osteoarthritis.
AB - OBJECTIVE: To determine the extent to which knee extensor strength and power
explain variance in knee adduction moment (KAM) peak and impulse in clinical knee
osteoarthritis (OA). METHODS: Fifty-three adults (mean +/- SD age 61.6 +/- 6.3
years, 11 men) with clinical knee OA participated. The KAM waveform was
calculated from motion and force data and ensemble averaged from 5 walking
trials. The KAM peak was normalized to body mass (Nm/kg). The mean KAM impulse
reflected the mean total medial knee load during stride (Nm * seconds). For
strength, the maximum knee extensor moment attained from maximal voluntary
isometric contractions (MVIC) was normalized to body mass (Nm/kg). For power, the
maximum knee extensor power during isotonic contractions, with the resistance set
at 25% of MVIC, was normalized to body mass (W/kg). Covariates included age, sex,
knee pain on the Knee Injury and Osteoarthritis Outcome Score, gait speed, and
body mass index (BMI). Relationships of the KAM peak and impulse with strength
and power were examined using sequential stepwise forward linear regressions.
RESULTS: Covariates did not explain variance in the KAM peak. While extensor
strength did not, peak knee extensor power explained 8% of the variance in the
KAM peak (P = 0.02). Sex and BMI explained 24% of the variance in the KAM impulse
(P < 0.05). Sex, BMI, and knee extensor power explained 31% of the variance in
the KAM impulse (P = 0.02), with power contributing 7% (P < 0.05). CONCLUSION:
Knee extensor power was more important than isometric knee strength in
understanding medial knee loads during gait.
PMID- 24920177
TI - Palladium-catalyzed direct C2 arylation of N-substituted indoles with 1
aryltriazenes.
AB - A novel and efficient palladium-catalyzed C2 arylation of N-substituted indoles
with 1-aryltriazenes for the synthesis of 2-arylindoles was developed. In the
presence of BF3?OEt2 and palladium(II) acetate (Pd(OAc)2), N-substituted indoles
reacted with 1-aryltriazenes in N,N-dimethylacetamide (DMAC) to afford the
corresponding aryl-indole-type products in good to excellent yields.
PMID- 24920178
TI - Competition and cooperation between active intra-network and passive extra
network transport processes.
AB - Many networks are embedded in physical space and often interact with it. This
interaction can be exemplified through constraints exerted on network topology,
or through interactions of processes defined on a network with those that are
linked to the space that the network is embedded within, leading to complex
dynamics. Here we discuss an example of such an interaction in which a signaling
agent is actively transported through the network edges and, at the same time,
spreads passively through space due to diffusion. We show that these two
processes cooperate or compete depending on the network topology leading to
complex dynamics.
PMID- 24920181
TI - Female autonomy and reported abortion-seeking in Ghana, West Africa.
AB - OBJECTIVE: To investigate factors associated with self-reported pregnancy
termination in Ghana and thereby appreciate the correlates of abortion-seeking in
order to understand safe abortion care provision. METHODS: In a retrospective
study, data from the Ghana 2008 Demographic and Health Survey were used to
investigate factors associated with self-reported pregnancy termination.
Variables on an individual and household level were examined by both bivariate
analyses and multivariate logistic regression. A five-point autonomy scale was
created to explore the role of female autonomy in reported abortion-seeking
behavior. RESULTS: Among 4916 women included in the survey, 791 (16.1%) reported
having an abortion. Factors associated with abortion-seeking included being
older, having attended school, and living in an urban versus a rural area. When
entered into a logistic regression model with demographic control variables,
every step up the autonomy scale (i.e. increasing autonomy) was associated with a
14.0% increased likelihood of reporting the termination of a pregnancy (P <
0.05). CONCLUSION: Although health system barriers might play a role in
preventing women from seeking safe abortion services, autonomy on an individual
level is also important and needs to be addressed if women are to be empowered to
seek safe abortion services.
PMID- 24920182
TI - Probing lung microstructure with hyperpolarized 3He gradient echo MRI.
AB - In this paper we demonstrate that gradient echo MRI with hyperpolarized (3)He gas
can be used for simultaneously extracting in vivo information about lung
ventilation properties, alveolar geometrical parameters, and blood vessel network
structure. This new approach is based on multi-gradient-echo experimental
measurements of hyperpolarized (3)He gas MRI signal from human lungs and a
proposed theoretical model of this signal. Based on computer simulations of (3)He
atoms diffusing in the acinar airway tree in the presence of an inhomogeneous
magnetic field induced by the susceptibility differences between lung tissue
(alveolar septa, blood vessels) and lung airspaces, we derive analytical
expressions relating the time-dependent MR signal to the geometrical parameters
of acinar airways and the blood vessel network. Data obtained on eight healthy
volunteers are in good agreement with literature values. This information is
complementary to the information obtained by means of the in vivo lung
morphometry technique with hyperpolarized 3He diffusion MRI previously developed
by our group, and opens new opportunities to study lung microstructure in health
and disease.
PMID- 24920183
TI - Evaluation of In-Stent Restenosis After Stent Implantation in the Vertebral
Artery Ostium by Multislice Computed Tomography Angiography: Factors Affecting
Accurate Diagnosis.
AB - PURPOSE: Few articles have evaluated vertebral artery ostium stents using
multislice computed tomography (CT). The purpose of our study was to evaluate the
diagnostic performance of 64- and 16-slice CT for detecting significant in-stent
restenosis after vertebral artery ostium stenting, and to identify factors
affecting the accurate diagnosis by CT. METHODS: We reviewed 57 stents scanned
using 64-slice CT and 34 stents using 16-slice CT. The accuracy of CT for
diagnosing significant in-stent restenosis (>= 50% diameter narrowing) was
calculated using conventional angiography as a reference standard. Possible
factors influencing the diagnostic performance of CT were analyzed, such as CT
scanner, image quality, and stent characteristics. RESULTS: With 64-slice CT, 46
(80.7%) of 57 stents were classified as evaluable, while with 16-slice CT, 28
(82.3%) of 34 stents were classified as evaluable. No stents with diameters <=
2.75 mm were evaluable. The respective results for 64- versus 16-slice CT were
sensitivity 87.5% (95% confidence interval [CI] 47.3-99.7%) versus 100% (95% CI
15.8-100.0%), specificity 94.7% (95% CI 82.3%-99.4%) versus 96.2% (95% CI 80.4
99.9%). Factors reducing the accurate diagnosis were those associated with poor
image quality, a diameter <= 2.75 mm, and drug-eluting stent type (p < 0.05).
CONCLUSIONS: 64-slice and 16-slice CT scans are adequate in stents with diameters
> 2.75 mm for the evaluation of in-stent restenosis after stent implantation in
the vertebral artery ostium.
PMID- 24920184
TI - The Value of syngo DynaPBV Neuro During Neuro-Interventional Hypotensive Balloon
Occlusion Test.
AB - AIM: This study explored the value of flat detector computed tomography based
brain perfusion imaging in assessing patient's tolerance prior to the permanent
internal carotid artery occlusion. MATERIALS AND METHODS: Ten patients diagnosed
with neurovascular diseases through digital subtracted angiography (DSA) were
enrolled into this study. Temporary balloon occlusion test (BOT) was performed
for each patient with hypotensive challenge. During the test, parametric color
coded quantitative DSA (CCQ-DSA) was generated to evaluate the venous filling
symmetry on both hemispheres. In addition, cerebral blood volume (CBV) maps were
acquired before and during the test. Regions of interests were defined to
quantitatively extract CBV value from affected and unaffected hemispheres and
calculate relative CBV (rCBV), indicating perfusion symmetry. RESULTS: All the
patients showed good perfusion symmetry before the test with rCBV close to 1.00.
During the test, good perfusion symmetry was detected in 7 patients with averaged
rCBV 1.03 +/- 0.06. Only short venous delay and no ischemic complications were
recognized. One patient had neither neurologic deficits nor long venous delay
detected, however, showed hyper-perfusion in specific regions in the CBV maps.
Two patients failed to pass the test, which showed significantly low CBV value
from the affected hemisphere with maximum rCBV reduction close to 45%.
CONCLUSION: CBV map had in general good consistency with clinical manifestations
as well as venous filling in the BOT. Besides, it may provide further evidence of
hemodynamic variations and delayed ischemic complications, and thus, had a
potential to reduce risks and increase treatment safety.
PMID- 24920185
TI - Perceived discrimination and chronic health in adults from nine ethnic subgroups
in the USA.
AB - OBJECTIVE: This comparative analysis examines the association between chronic
cardiovascular, respiratory and pain conditions, race, ethnicity, nativity,
length of residency, and perceived discrimination among three racial and nine
ethnic subgroups of Asian Americans (Vietnamese, Filipino, and Chinese), Latino
American (Cuban, Portuguese, and Mexican), and Afro-Caribbean American (Haitian,
Jamaican, and Trinidadian/Tobagonian) respondents. DESIGN: Analysis used weighted
Collaborative Psychiatric Epidemiology Surveys-merged data from the National
Latino and Asian American Study and the National Survey of American Life.
Logistic regression analysis was conducted to determine which groups within the
model were more likely to report perceived discrimination effects. RESULTS: Afro
Caribbean subgroups were more likely to report perceived discrimination than
Asian American and Latino-American subgroups were. Logistic regression revealed a
significant positive association with perceived discrimination and chronic pain
only for Latino-American respondents. CONCLUSION: Significant differences in
reports of perceived discrimination emerged by race and ethnicity. Caribbean
respondents were more likely to report high levels of perceived discrimination;
however, they showed fewer significant associations related to chronic health
conditions compared to Asian Americans and Latino-Americans. Examination of
perceived discrimination across ethnic subgroups reveals large variations in the
relationship between chronic health and discrimination by race and ethnicity.
Examining perceived discrimination by ethnicity may reveal more complex chronic
health patterns masked by broader racial groupings.
PMID- 24920187
TI - Changes in the distribution of multispecies pest assemblages affect levels of
crop damage in warming tropical Andes.
AB - Climate induced species range shifts might create novel interactions among
species that may outweigh direct climatic effects. In an agricultural context,
climate change might alter the intensity of competition or facilitation
interactions among pests with, potentially, negative consequences on the levels
of damage to crop. This could threaten the productivity of agricultural systems
and have negative impacts on food security, but has yet been poorly considered in
studies. In this contribution, we constructed and evaluated process-based species
distribution models for three invasive potato pests in the Tropical Andean
Region. These three species have been found to co-occur and interact within the
same potato tuber, causing different levels of damage to crop. Our models allowed
us to predict the current and future distribution of the species and therefore,
to assess how damage to crop might change in the future due to novel
interactions. In general, our study revealed the main challenges related to
distribution modeling of invasive pests in highly heterogeneous regions. It
yielded different results for the three species, both in terms of accuracy and
distribution, with one species surviving best at lower altitudes and the other
two performing better at higher altitudes. As to future distributions our results
suggested that the three species will show different responses to climate change,
with one of them expanding to higher altitudes, another contracting its range and
the other shifting its distribution to higher altitudes. These changes will
result in novel areas of co-occurrence and hence, interactions of the pests,
which will cause different levels of damage to crop. Combining population
dynamics and species distribution models that incorporate interspecific trade-off
relationships in different environments revealed a powerful approach to provide
predictions about the response of an assemblage of interacting species to future
environmental changes and their impact on process rates.
PMID- 24920188
TI - Protocol for a systematic review and meta-analysis of cognitive-behavioural
therapy for social anxiety disorder in psychosis.
AB - BACKGROUND: Social anxiety is among the most prevalent and debilitating affective
disturbances manifest in people with psychosis. It is usually accompanied by high
levels of depression and leads to significant social disability, lower quality of
life and poorer prognosis as it raises the possibility of an early relapse.
Despite its elevated prevalence and severity in psychosis, social anxiety remains
under-recognized and under-treated. Cognitive-behavioural therapy is recommended
for the treatment of people with psychosis. However, its focus and evaluation has
primarily revolved around the reduction of psychotic symptoms, and not for co
morbid affective disturbances such as social anxiety. There is lack of evidence
on the clinical effectiveness and cost-effectiveness of cognitive-behavioural
interventions for the treatment of social anxiety disorder in psychosis.
METHODS/DESIGN: Electronic databases will be systematically searched for
randomised controlled trials and quasi-experimental studies investigating the
effectiveness and cost-effectiveness of cognitive-behavioural interventions for
the treatment of social anxiety disorder in people with psychosis. Grey
literature will also be searched by screening trial registers. Only studies
published in English will be included in the review. Date restrictions will not
be applied. Eligible studies will have as the primary outcome social anxiety
(continuous data) measured using any psychometrically validated scale both self
reported and clinician administered. Secondary outcomes will include general
anxiety symptoms, distress, depression, positive and negative symptoms of
schizophrenia, and quality of life measured using any psychometrically validated
scale, both self-reported and clinician administered, and the cost of cognitive
behaviour therapy (CBT) intervention (with another treatment or treatment-as
usual). CONCLUSIONS: This review will provide an evidence synthesis of the
effectiveness and cost-effectiveness of cognitive-behavioural interventions for
the treatment of social anxiety disorder in people with psychosis. The review
will identify the specific intervention components associated with effectiveness
which will facilitate the translation of the existing evidence to the development
of new, targeted interventions optimising these components. In doing so, this
review will provide recommendations for the treatment of social anxiety and
associated distress in psychosis and will further inform the development of
future interventions in this area. TRIAL REGISTRATION: PROSPERO registration
numberCRD42014009052.
PMID- 24920186
TI - Using zebrafish to study podocyte genesis during kidney development and
regeneration.
AB - During development, vertebrates form a progression of up to three different
kidneys that are comprised of functional units termed nephrons. Nephron
composition is highly conserved across species, and an increasing appreciation of
the similarities between zebrafish and mammalian nephron cell types has
positioned the zebrafish as a relevant genetic system for nephrogenesis studies.
A key component of the nephron blood filter is a specialized epithelial cell
known as the podocyte. Podocyte research is of the utmost importance as a vast
majority of renal diseases initiate with the dysfunction or loss of podocytes,
resulting in a condition known as proteinuria that causes nephron degeneration
and eventually leads to kidney failure. Understanding how podocytes develop
during organogenesis may elucidate new ways to promote nephron health by
stimulating podocyte replacement in kidney disease patients. In this review, we
discuss how the zebrafish model can be used to study kidney development, and how
zebrafish research has provided new insights into podocyte lineage specification
and differentiation. Further, we discuss the recent discovery of podocyte
regeneration in adult zebrafish, and explore how continued basic research using
zebrafish can provide important knowledge about podocyte genesis in embryonic and
adult environments. genesis 52:771-792, 2014. (c) 2014 Wiley Periodicals, Inc.
PMID- 24920189
TI - p38 Mitogen-activated protein kinase is required for electroacupuncture
restoration of CD4+/CD8+ homeostasis and cytokine expression in a rodent model of
surgical trauma.
AB - Cumulative evidences addressed that electroacupuncture (EA) was favorably
effective in the treatment of trauma stress-induced immunodeficiency and physical
disorders. However, the salutary effects of EA under operation trauma conditions
mediated via p38 MAPK remain unknown. Hence, our study aimed to further
investigate the effects of EA on CD4(+)/CD8(+) homeostasis and cytokine
expressions, and evaluate the p38 MAPK signaling regulatory mechanism of EA
effects.
PMID- 24920190
TI - Effects of Phyllanthus sellowianus Mull Arg. extracts on the rheological
properties of human erythrocytes.
AB - Phyllanthus sellowianus extracts have been used in Argentina since colonial times
in the treatment of diabetes. The in vitro biorheological and hemoagglutinant
action of different extracts of P. sellowianus bark on human erythrocytes (RBC)
were studied. RBCs were incubated in vitro with four aqueous extracts:
Maceration; Controlled Digestion (PD); Decoction; and Infusion. Biorheological
parameters (deformability, membrane surface viscosity, elastic modulus, and
dynamic viscolelasticity) were determined with an Erythrodeformeter, and
erythrocyte adhesion was characterized by image digital analysis.
Immunohematological assays in RBC incubated with all the extracts showed large
globular aggregates and agglutination in human ABO blood group system. Isolated
cell coefficient showed the increase of cell adhesion. Aggregated shape
parameters were significantly higher than normal and they changed with the
concentration, particularly of PD extracts. Rheological results showed that the
extract biorheological action varies with the temperature used in the extract
preparations. The results obtained are useful to study the action mechanism of
extracts from P. sellowianus bark in order to evaluate its use as therapeutic
agent in diabetes. Immunohematological Tests using ABO system showed its
agglutinant power, which is of special interest in Immunohematology to be used as
hemoclassifier.
PMID- 24920191
TI - Effects of intracavitary administration of Endostar combined with cisplatin in
malignant pleural effusion and ascites.
AB - This study evaluated the efficacy and safety of intracavitary administration of
recombinant human endostatin (Endostar) combined with cisplatin chemotherapy in
treating malignant pleural effusion and ascites. Forty-five patients with
malignant pleural effusion and ascites were divided into the EP group (n = 23),
who received Endostar and cisplatin intracavitarily, and P group (n = 22), who
were intracavitarily treated with cisplatin only. Pleural effusion and ascites
were completely drained before treatments. The treatment was administered once a
week; two treatments were considered as one course. The outcome quality of life
as well as toxicity were evaluated. The objective overall response and disease
control rates were, respectively, 78.3 % (18/23) and 87.0 % (20/23) in EP group.
In contrast, these parameters were significantly (p < 0.05) lower in P groups:
40.9 % (9/22) and 59.1 % (13/22), respectively. The improvement rate of Karnofsky
Performance Status was 87.0 % (20/23) in EP group versus 59.1 % (13/22) in P
group (p < 0.05). All patients tolerated the combined treatment well, and no
severe adverse effects were observed. Intracavitary injection of Endostar
combined with cisplatin is effective and safe to treat malignant pleural effusion
and ascites.
PMID- 24920192
TI - CD117: a novel ancillary marker for papillary thyroid carcinoma in fine-needle
aspiration biopsies.
AB - BACKGROUND: c-KIT/CD117 down-regulation has been described in papillary thyroid
carcinoma (PTC). In this study, the authors investigated CD117 as an ancillary
immunocytochemical test for PTC in fine-needle aspiration biopsy (FNAB). METHODS:
The expression of CD117 was assessed in cytologic samples of histologically
confirmed classic PTC (n = 35) and in a control group of benign thyroid nodules
(BTNs) (n = 30) using immunocytochemistry. The corresponding PTCs and BTNs from
surgical resection specimens also were evaluated immunohistochemically for CD117.
The expression of CD117 was assessed semiquantitatively using a scoring system
from 0 to 5 that combined the proportion and the intensity of staining. RESULTS:
The difference in immunoreactivity for CD117 between PTC and BTNs was highly
significant both in FNAB samples and in the corresponding histology samples (P <
.0001). On cytology, most PTCs (74%; n = 26) lacked immunoreactivity for CD117,
and 9 samples (26%) had faint reactivity in <2% of tumor cells (score, 0). In
contrast, all BTNs (100%; n = 30) were positive for CD117, and at least 50% of
the cells (score, 2-4) were positive in 79% (n = 24) of BTNs. Results with
corresponding histology were similar to the cytology results; most PTCs (89%; n =
31) lacked immunoreactivity for CD117, and 4 samples (11%) had faint reactivity
in <2% of tumor cells. In contrast, all BTNs (n = 30) were variably positive for
CD117 (score, 2-4). Normal follicular epithelium also was positive for CD117
(score, 2-4). CONCLUSIONS: CD117 is expressed in both normal follicular
epithelium and in BTNs. In contrast, expression of CD117 is absent or weak in
PTC. These results suggest that CD117 may be useful as an ancillary marker for
PTC.
PMID- 24920193
TI - Characterization of the multigene family TaHKT 2;1 in bread wheat and the role of
gene members in plant Na(+) and K(+) status.
AB - BACKGROUND: A member of the TaHKT2;1 multigene family was previously identified
as a Na(+) transporter with a possible role in root Na(+) uptake. In the present
study, the existing full-length cDNA of this member was used as a basis to query
the International Wheat Genome Survey Sequence to identify all members of the
TaHKT2;1 family. Individual TaHKT2;1 genes were subsequently studied for gene and
predicted protein structures, promoter variability, tissue expression and their
role in Na(+) and K(+) status of wheat. RESULTS: Six TaHKT2;1 genes were
characterized which included four functional genes (TaHKT2;1 7AL-1, TaHKT2;1 7BL
1, TaHKT2;1 7BL-2 and TaHKT2;1 7DL-1) and two pseudogenes (TaHKT2;1 7AL-2 and
TaHKT2;1 7AL-3), on chromosomes 7A, 7B and 7D of hexaploid wheat. Variability in
protein domains for cation specificity and in cis-regulatory elements for salt
response in gene promoters, were identified amongst the functional TaHKT2;1
members. The functional genes were expressed under low and high NaCl conditions
in roots and leaf sheaths, but were down regulated in leaf blades. Alternative
splicing events were evident in TaHKT2;1 7AL-1. Aneuploid lines null for each
functional gene were grown in high NaCl nutrient solution culture to identify
potential role of each TaHKT2;1 member. Aneuploid lines null for TaHKT2;1 7AL-1,
TaHKT2;1 7BL-1 and TaHKT2;1 7BL-2 showed no difference in Na(+) concentration
between Chinese Spring except for higher Na(+) in sheaths. The same aneuploid
lines had lower K(+) in roots, sheath and youngest fully expanded leaf but only
under high (200 mM) NaCl in the external solution. There was no difference in
Na(+) or K(+) concentration for any treatment between aneuploid line null for the
TaHKT2;1 7DL-1 gene and Chinese Spring. CONCLUSIONS: TaHKT2;1 is a complex family
consisting of pseudogenes and functional members. TaHKT2;1 genes do not have an
apparent role in controlling root Na(+) uptake in bread wheat seedlings under
experimental conditions in this study, contrary to existing hypotheses. However,
TaHKT2;1 genes or, indeed other genes in the same chromosome region on 7AL, are
candidates that may control Na(+) transport from root to sheath and regulate K(+)
levels in different plant tissues.
PMID- 24920194
TI - Network vs. pairwise meta-analyses: a case study of the impact of an evidence
synthesis paradigm on value of information outcomes.
AB - OBJECTIVE: To evaluate the impact of using two evidence-synthesis paradigms,
pairwise meta-analysis (PMA) vs. network meta-analysis (NMA), on the expected
value of information (EVI) outcomes, using pharmacotherapy of chronic obstructive
pulmonary disease as a case study. METHODS: Bayesian random-effects PMAs were
performed for each pharmacotherapy vs. placebo, and a Bayesian random-effects NMA
was performed combining both placebo-controlled and head-to-head trials. Both
provided comparative rate ratio (RR) estimates between each pharmacotherapy vs.
placebo. A Markov model was developed to project costs and quality-adjusted life
years of five commonly used treatments for chronic obsructive pulmonary disorder.
RRs for the treatment effect compared with placebo derived using PMA and NMA were
used alongside values from the literature to populate the model. In addition to
standard cost-effectiveness outputs, we calculated and compared the expected
value of perfect information (EVPI) and the expected value of partial perfect
information (EVPPI) for treatment effects, for comparisons that included all or a
subset of treatments. RESULTS: The network of evidence included five different
treatments, compared in 19 randomized controlled trials (RCTs), which in total
included 28,172 individuals. The cost-effectiveness outcomes were similar between
the two evidence-synthesis paradigms. The individual EVPI for all treatments was
Can$1,262 for PMA-based analyses and Can$572 for NMA-based analyses. For all
comparisons involving two, three, or four treatments, the comparison with the
highest EVPI was different between the two methods. Similarly, the choice of PMA
or NMA had resulted in substantially different EVPPI rankings. CONCLUSION: Our
case study shows that the choice of PMA or NMA can have significant effects on
the EVI results. Under comparable conditions, the incorporation of more evidence
in the NMA most likely increases the precision of estimates and therefore is
likely to result in lower EVI outcomes. As our study demonstrates, the difference
in EVI outcomes can be substantial, potentially affecting the decision to conduct
research and the design of future research.
PMID- 24920195
TI - Cost-utility analysis of chemotherapy regimens in elderly patients with stage III
colon cancer.
AB - BACKGROUND: Chemotherapy prolongs survival for stage III colon cancer patients
but community-level evidence on the effectiveness and cost effectiveness of
treatment for elderly patients is limited. Comparisons were between patients
receiving no chemotherapy, 5-fluorouracil (5-FU), and FOLFOX (5-FU +
oxaliplatin). METHODS: A retrospective cohort study was conducted using the
Surveillance Epidemiology, and End Results (SEER)-Medicare linked database.
Patients (>=65 years) with American Joint Committee on Cancer stage III colon
cancer at diagnosis in 2004-2009 were identified. The 3-way propensity score
matched sample included 3,534 patients. Effectiveness was measured in life-years
and quality-adjusted life-years (QALYs). Medicare costs (2010 US dollars) were
estimated from diagnosis until death or end of study. RESULTS: FOLFOX patients
experienced 6.06 median life-years and 4.73 QALYs. Patients on 5-FU had 5.75
median life-years and 4.50 median QALYs, compared to 3.42 and 2.51, respectively,
for the no chemotherapy patients. Average total healthcare costs ranged from
US$85,422 for no chemotherapy to US$168,628 for FOLFOX. Incremental cost
effectiveness ratios (ICER) for 5-FU versus no chemotherapy were US$17,131 per
life-year gained and US$20,058 per QALY gained. ICERs for FOLFOX versus 5-FU were
US$139,646 per life-year gained and US$188,218 per QALY gained. Results appear to
be sensitive to age, suggesting that FOLFOX performs better for patients 65-69
and 80+ years old while 5-FU appears most effective and cost effective for the
age groups 70-74 and 75-79 years. CONCLUSION: FOLFOX appears more effective and
cost effective than other strategies for colon cancer treatment of older
patients. Results were sensitive to age, with ICERs exhibiting a U-shaped
pattern.
PMID- 24920196
TI - Reconceptualising the external validity of discrete choice experiments.
AB - External validity is a crucial but under-researched topic when considering using
discrete choice experiment (DCE) results to inform decision making in clinical,
commercial or policy contexts. We present the theory and tests traditionally used
to explore external validity that focus on a comparison of final outcomes and
review how this traditional definition has been empirically tested in health
economics and other sectors (such as transport, environment and marketing) in
which DCE methods are applied. While an important component, we argue that the
investigation of external validity should be much broader than a comparison of
final outcomes. In doing so, we introduce a new and more comprehensive
conceptualisation of external validity, closely linked to process validity, that
moves us from the simple characterisation of a model as being or not being
externally valid on the basis of predictive performance, to the concept that
external validity should be an objective pursued from the initial
conceptualisation and design of any DCE. We discuss how such a broader definition
of external validity can be fruitfully used and suggest innovative ways in which
it can be explored in practice.
PMID- 24920197
TI - Ex-PRESS outcomes using mitomycin-C, Ologen alone, Ologen with 5-fluorouracil.
AB - To compare the complication rate and effectiveness of mitomycin C (MMC), Ologen
alone, and Ologen with 5-fluorouracil (5-FU) as adjunctives with Ex-PRESS mini
shunt for medically uncontrolled glaucoma. Retrospective comparative study of 59
Ex-PRESS mini shunt trabeculectomy operations coupled with Ologen implantation
alone, transient MMC application or Ologen implantation with 5-FU as adjunctive
treatment. Eight eyes (7 patients) received Ologen alone, 37 eyes (34 patients)
received MMC, and 14 eyes (14 patients) received Ologen with 5-FU as adjunctive
therapy. Baseline characteristics, adjunctive used during operation, along with
outcomes including intraocular pressure (IOP), number of anti-hypertensive drops,
visual acuity, and complications were documented and compared. The primary
outcome was IOP at 12 months. Variables were compared with r * c Fisher tests.
The Ologen only group had a significantly higher IOP at 12 months (20.5 +/- 10.23
mmHg) compared with Ologen combined with 5-FU (12.2 +/- 1.47 mmHg) or MMC (13.8
+/- 4.37 mmHg) (p = 0.015, linear mixed model). The Ologen only cohort also had a
higher re-operation rate (p = 0.01, Fisher's Exact Test) and higher rate of bleb
leak (p = 0.02, Fisher's Exact Test). Visual acuity was similar among all three
groups. 5-FU with Ologen is as effective as MMC in maintaining IOP following Ex
PRESS shunt surgery at 1 year. However, Ologen alone may not be as effective as
the other two adjunctive agents.
PMID- 24920198
TI - The double-hit effect of childhood maltreatment on drug relapse.
PMID- 24920199
TI - A fine balance.
PMID- 24920200
TI - Psychological management of wound pruritus.
AB - OBJECTIVE: To explore the psychological treatment options for pruritus in
patients with wounds. METHOD: This study employed a narrative design with a
search being completed using the databases Academic Search Complete, Google
Scholar, PsycInfo, PsycARTICLES, Medline and CINAHL Plus. All articles between
1980-2013 that included the following search terms: [pruritus OR itch OR itching]
AND [management OR psychological interventions OR treatment] AND [wounds OR burns
OR wounds burns] were included in the review. RESULTS: A number of psychological
treatments options emerged from the literature search that were suggested to be
effective for treating itching. These included methods such as habit reversal,
suggestions, relaxation, massage and itch-coping programmes. Each of these
methods showed potential for improving the patient experience by reducing
itching, although the research evidence is currently somewhat limited.
CONCLUSION: Although itching is a common symptom in wounds, the use of
psychological treatments for this may be rather limited. The treatments discussed
show promise and their use should be a welcome addition to the healthcare
professional's armoury for working with people with wounds. DECLARATION OF
INTEREST: There were no external sources of funding for this study. The authors
have no conflicts of interest to declare.
PMID- 24920201
TI - Practical limitations of two devices used for the measurement of sub-bandage
pressure: implications for clinical practice.
AB - OBJECTIVE: This study was undertaken to examine the accuracy of two hand-held
devices commonly used to measure the pressures produced by extensible bandages.
METHOD: The performance of the pneumatic sensors of two devices, the Kikuhime and
Picopress instruments was first examined in air in a compression chamber, then
subsequently beneath multiple layers of bandages applied to standard cylinders
with predetermined levels of tension. RESULTS: In the compression chamber, both
instruments provided readings that were typically within 1 mmHg of the reference
value, but on curved formers in free air or beneath bandages the accuracy of both
sensors was greatly reduced, influenced both by the curvature of the cylinders
and the volume of air contained in the sensor capsule. The Picopress instrument
recorded pressures up to 70% higher than predicted, particularly on the smaller
cylinders and at the lower end of the pressure range (circa 20mmHg). At 40mmHg
measured pressure were around 40% higher than predicted values in some instances.
The accuracy of the Kikuhime was greatly influenced by the calibration technique
but percentage deviations as high as 150% were recorded in some tests.
CONCLUSION: Pneumatic pressure sensors used to record the pressures developed
beneath compression bandages are much less accurate than is commonly believed.
Calibration studies using air chambers or water tanks have no relevance to the
normal clinical use of these devices. These limitations should be considered by
clinicians when making judgments about the performance of other medical devices
such as bandages or stockings. They also call into question the validity of many
published studies which rely upon such measurements. DECLARATION OF INTEREST:
This project was generously supported by 3M Healthcare who provided a small
research grant to assist with the costs associated with the construction of the
test equipment.
PMID- 24920202
TI - Wound infections and healing: are they contributing factors for carcinogenesis?
AB - The link between inflammation and tumourisation has long been considered as a key
event in clinical cancer development. Inflammation and inflammatory diseases can
be caused by many factors including infectious agents, altered genetics and
various degrees of injuries from simple cuts to traumatic wounds, such as those
suffered in battlefield. Improved management of all wound types is critical in
protecting affected individuals against the development of tumourisation cues,
which may potentially lead to cancer development. There have been numerous
studies on the mechanism of inflammation-induced tumourisation. Thus, in this
mini review, we summarised evidence demonstrating the potential link between
infectious agents and their moonlight proteins, wounding, trauma, overactive
repair mechanisms, and carcinogenesis.
PMID- 24920203
TI - Diabetic bullae: a case series and a new model of surgical management.
AB - Bullosis diabeticorum is considered a rare skin manifestation of diabetes
mellitus. Tense blisters appear rapidly, mostly on the feet, the cause of which
is unclear, with multiple pathophysiologies hypothesised. This is a retrospective
review of 4 diabetic patients who presented over six months with diabetic bullae;
the condition may therefore not be as rare as commonly believed. All the patients
had early surgical debridement followed by topical negative pressure wound
dressings. A multidisciplinary team that included vascular surgeons,
diabetologists, diabetic foot care team, wound care team, physiotherapists and
occupational therapists managed the patients and none of them required
amputations. We propose an alternative way of managing these patients with early
surgical debridement followed by topical negative pressure wound dressing.
PMID- 24920204
TI - Quality of life and self-esteem in patients with paraplegia and pressure ulcers:
a controlled cross-sectional study.
AB - OBJECTIVE: To evaluate health-related quality of life (HRQoL) and self-esteem in
patients with traumatic spinal cord injury (SCI) and pressure ulcers. METHOD:
This study was a controlled cross-sectional study. HRQoL was assessed using the
generic Medical Outcomes Study 36-Item Short Form Health Survey (SF-36)
questionnaire and the Rosenberg Self-Esteem/UNIFESP-EPM Scale. A total of 120
patients with traumatic SCI were included in the sample, of which 60 had pressure
ulcers and were assigned to the study group, and 60 had no pressure ulcers and
were assigned to the control group. Statistical analysis was performed using the
chi-square test, Fisher's exact test, and Student's t-test. RESULTS: Of the 60
patients in the study group, 83.3% were men and the mean age was 38.17 years (SD
= 9.08 years). When compared with controls, patients in the study group reported
significantly lower scores (worse health status) on all SF-36 subscales (p less
than or equal to 0.0013) except for general health (p=0.109). The RSE/UNIFESP-EMP
scale total score indicated that patients with pressure ulcers had significantly
lower self-esteem than controls (p<0.001). CONCLUSION: Pressure ulcers had an
adverse impact on the HRQoL and self-esteem of patients with SCI. DECLARATION OF
INTEREST: There were no external sources of funding for this study. The authors
have no conflicts of interest to declare.
PMID- 24920205
TI - The use of Surgihoney to prevent or eradicate bacterial colonisation in dressing
oncology long vascular lines.
AB - OBJECTIVE: A pilot evaluation was performed to assess the effects of Surgihoney,
an engineered honey with highly active antimicrobial activity, on bacterial
colonisation in long lines in oncology patients. METHOD: This prospective service
evaluation was conducted at Hampshire Hospitals NHS Foundation Trust (HHFT) in
England, UK, between 2012 and 2013. The study population consisted of oncology
patients with central intravenous lines who were receiving outpatient
chemotherapy. All patients were offered line dressing with or without Surgihoney,
applied to the line exit site. RESULTS: The primary outcome measure of the study
was the presence or absence of bacterial colonisation of the line site. There
were 30 patients in each arm - with or without Surgihoney. In the Surgihoney arm,
2 patients with existing line site colonisation were cleared of bacterial
colonisation and none acquired colonisation during the study period. In the non
treatment arm, 6 patients were colonised at the line site prior to screening or
during the evaluation. Bacterial colonisation was maintained throughout the
period. CONCLUSION: Surgihoney is an effective antimicrobial line-site dressing,
significantly reducing line site colonisation and eradicating existing
colonisation. It was well tolerated by the patients. DECLARATION OF INTEREST:
Surgihoney supplies were donated by Healing Honey International (HHI) who also
provided some funding to Hampshire Hospitals Foundation Trust for microbiological
investigation. MD and JC have provided clinical advice in an advisory capacity to
HHI.
PMID- 24920206
TI - Acetyltransferase SAS2 and sirtuin SIR2, respectively, control flocculation and
biofilm formation in wine yeast.
AB - Cell-to-cell and cell-to-environment interactions of microorganisms are of
substantial relevance for their biotechnological use. In the yeast Saccharomyces
cerevisiae, flocculation can be an advantage to clarify final liquid products
after fermentation, and biofilm formation may be relevant for the encapsulation
of strains of interest. The adhesion properties of wine yeast strains can be
modified by the genetic manipulation of transcriptional regulatory proteins, such
as histone deacetylases, and acetylases. Sirtuin SIR2 is essential for the
formation of mat structures, a kind of biofilm that requires the expression of
cell-wall protein FLO11 as its deletion reduces FLO11 expression, and adhesion of
cells to themselves and to agar in a commercial wine strain. Deletion of
acetyltransferase GCN5 leads to a similar phenotype. A naturally flocculant wine
yeast strain called P2 was characterized. Its flocculation happens only during
grape juice fermentation and is due to the presence of a highly transcribed
version of flocculin FLO5, linked to the presence of a delta sequence in the
promoter. Deletion of acetyltransferase SAS2 enhances this phenotype and maltose
fermentation even more. Therefore, the manipulation of acetylation/deacetylation
machinery members is a valid way to alter the interaction of industrial yeast to
their environment.
PMID- 24920207
TI - An automated causality assessment algorithm to detect drug-induced liver injury
in electronic medical record data.
AB - PURPOSE: The aim of this study was to develop an automated causality assessment
algorithm to identify drug-induced liver injury. METHODS: The Roussel Uclaf
Causality Assessment Method (RUCAM) is an algorithm for determining the causal
association between a drug and liver injury. In collaboration with hepatology
experts, definitions were developed for the RUCAM criteria to operationalize an
electronic RUCAM (eRUCAM). The eRUCAM was tested in a population of patients
taking 14 drugs with a characteristic phenotype for liver injury. Quality
assurance for programming specifications involved comparisons between scores
generated by the eRUCAM, for probable and highly probable cases, and expert
manual RUCAM (n = 20). Concordance between eRUCAM and manual RUCAM subscores and
total score was tested using the Wilcoxon signed rank test. RESULTS: Causality
scores were the same for 6 of 20 patients (30%) by manual and eRUCAM algorithms.
Analysis of subscores revealed >=80% concordance between manual and eRUCAM for
five of the seven criteria. In general, the total scores tended to be higher for
the eRUCAM compared with the manual RUCAM. Programming issues were identified for
criterion 5 'non-drug causes of liver injury' where significant differences
existed between manual and eRUCAM scoring (p = 0.001). For criterion 5, identical
scores occurred in 9 of 20 patients (45%), and manual review identified
additional codes, timing criteria, and laboratory results for improving
subsequent eRUCAM revisions. CONCLUSION: The eRUCAM had generally good
concordance with manual RUCAM scoring. These preliminary findings suggest that
the eRUCAM algorithm is feasible and could have application in clinical practice
and drug safety surveillance.
PMID- 24920208
TI - Using a non-invasive technique in nutrition: synchrotron radiation infrared
microspectroscopy spectroscopic characterization of oil seeds treated with
different processing conditions on molecular spectral factors influencing
nutrient delivery.
AB - Non-invasive techniques are a key to study nutrition and structure interaction.
Fourier transform infrared microspectroscopy coupled with a synchrotron radiation
source (SR-IMS) is a rapid, non-invasive, and non-destructive bioanalytical
technique. To understand internal structure changes in relation to nutrient
availability in oil seed processing is vital to find optimal processing
conditions. The objective of this study was to use a synchrotron-based
bioanalytical technique SR-IMS as a non-invasive and non-destructive tool to
study the effects of heat-processing methods and oil seed canola type on modeled
protein structure based on spectral data within intact tissue that were randomly
selected and quantify the relationship between the modeled protein structure and
protein nutrient supply to ruminants. The results showed that the moisture heat
related processing significantly changed (p<0.05) modeled protein structures
compared to the raw canola (control) and those processing by dry heating. The
moisture heating increased (p<0.05) spectral intensities of amide I, amide II,
alpha-helices, and beta-sheets but decreased (p<0.05) the ratio of modeled alpha
helices to beta-sheet spectral intensity. There was no difference (p>0.05) in the
protein spectral profile between the raw and dry-heated canola tissue and between
yellow- and brown-type canola tissue. The results indicated that different heat
processing methods have different impacts on the protein inherent structure. The
protein intrinsic structure in canola seed tissue was more sensitive and more
response to the moisture heating in comparison to the dry heating. These changes
are expected to be related to the nutritive value. However, the current study is
based on limited samples, and more large-scale studies are needed to confirm our
findings.
PMID- 24920209
TI - Effectiveness of bacteriophages in the sputum of cystic fibrosis patients.
AB - Bacteriophages have been shown to be effective for treating acute infections of
the respiratory tract caused by antibiotic-resistant bacteria in animal models,
but no evidence has yet been presented of their activity against pathogens in
complex biological samples from chronically infected patients. We assessed the
efficacy of a cocktail of ten bacteriophages infecting Pseudomonas aeruginosa
following its addition to 58 sputum samples from cystic fibrosis (CF) patients
collected at three different hospitals. Ten samples that did not contain P.
aeruginosa were not analysed further. In the remaining 48 samples, the addition
of bacteriophages led to a significant decrease in the levels of P. aeruginosa
strains, as shown by comparison with controls, taking two variables (time and
bacteriophages) into account (p = 0.024). In 45.8% of these samples, this
decrease was accompanied by an increase in the number of bacteriophages. We also
tested each of the ten bacteriophages individually against 20 colonies from each
of these 48 samples and detected bacteriophage-susceptible bacteria in 64.6% of
the samples. An analysis of the clinical data revealed no correlation between
patient age, sex, duration of P. aeruginosa colonization, antibiotic treatment,
FEV1 (forced expiratory volume in the first second) and the efficacy of
bacteriophages. The demonstration that bacteriophages infect their bacterial
hosts in the sputum environment, regardless of the clinical characteristics of
the patients, represents a major step towards the development of bacteriophage
therapy to treat chronic lung infections.
PMID- 24920210
TI - Frequent and prolonged nocturnal occupation of port areas by Yangtze finless
porpoises (Neophocaena asiaeorientalis): forced choice for feeding?
AB - During the Yangtze Freshwater Dolphin Expedition 2012, Yangtze finless porpoises
(Neophocaena asiaeorientalis) were acoustically monitored in 9 port areas at
night. During 6566 min of nocturnal monitoring, porpoise sonar was detected for
488 min (7.43% of the total time). Of all 81 encounters, the longest echolocation
span obtained was 102.9 min, suggesting frequent and prolonged porpoise
occupation of the port areas. A combined total of 2091 click trains were
recorded, with 129 (6.2%) containing minimum inter-click intervals (ICIs) below
10 ms (termed a buzz). Buzzes with a decrease in ICIs and search and approach
phases that resembled feeding echolocation signals accounted for 44.2% (N=52) of
all buzzes. Buzzes with an increase in ICIs, suggesting a mirrored prey capture
phase, accounted for 20.2% (N=26) and could reflect attempts to locate escaped
prey because they were followed by approach-phase feeding buzzes. Anecdotal
evidence of porpoises fleeing the proximity of vessels was observed. The
recordings indicating clusters of porpoises feeding near the port areas suggest a
forced choice for feeding due to the relatively higher prey availability in the
port areas compared to other areas in the Yangtze River that are probably
overfished.
PMID- 24920211
TI - Anxiolytic and antidepressant like effects of natural food flavour (E)-methyl
isoeugenol.
AB - (E)-methyl isoeugenol (MIE) is a natural food flavour that constitutes 93.7% of
an essential oil from Pimenta pseudocaryophyllus leaf. The leaf extracts of this
species are used as a calming agent. As a ubiquitous food additive, the
application of MIE for treating mood disorders appears to be globally attractive.
Hence, we sought to evaluate general pharmacological activities, anticonvulsant,
anxiolytic and antidepressant effects and the possible mechanisms of MIE actions.
Administration of MIE was carried out prior to the exposure of a male Swiss mice
to general behavioural tests, barbiturate sleep, PTZ-induced convulsion, light
dark box (LDB), elevated plus maze (EPM), wire hanging, open field (OF) and
forced swimming test (FST). The involvement of monoamine system was studied by
mice pretreatment with WAY100635 (antagonist of 5-HT1A), alpha-methyl-p-tyrosine
(AMPT; depletor of catecholamine) or p-chlorophenylalanine (PCPA; depletor of
serotonin storage). There was no record of neurotoxic effect or animal's death
during the course of general pharmacological tests. MIE at 250 and 500 mg kg(-1)
potentiated the hypnotic effect of sodium pentobarbital. However, MIE did not
protect against PTZ-induced convulsion. Except for MIE at 500 mg kg(-1),
parameters evaluated in the LDB, EPM and OF demonstrated an anxiolytic like
property of MIE. This effect was blocked by WAY100635 pretreatment. MIE at 500 mg
kg(-1) elicited a reduction in locomotor activity of the mice in the OF. Anti
immobility effect of MIE 250 mg kg(-1) in the FST suggested an antidepressive
like property. Unlike AMPT, pretreatment with PCPA reversed the antidepressant
like effect of MIE. Our findings demonstrated anxiolytic and antidepressant like
properties of (E)-methyl isoeugenol and suggested the participation of
serotonergic pathways.
PMID- 24920212
TI - Incorporation and redistribution of impurities into silicon nanowires during
metal-particle-assisted growth.
AB - The incorporation of metal atoms into silicon nanowires during metal-particle
assisted growth is a critical issue for various nanowire-based applications. Here
we have been able to access directly the incorporation and redistribution of
metal atoms into silicon nanowires produced by two different processes at growth
rates ranging from 3 to 40 nm s(-1), by using laser-assisted atom probe
tomography and scanning transmission electron microscopy. We find that the
concentration of metal impurities in crystalline silicon nanowires increases with
the growth rate and can reach a level of two orders of magnitude higher than that
in their equilibrium solubility. Moreover, we demonstrate that the impurities are
first incorporated into nanowire volume and then segregate at defects such as the
twin planes. A dimer-atom-insertion kinetic model is proposed to account for the
impurity incorporation into nanowires.
PMID- 24920213
TI - Novel N-terminal truncating CLCN1 mutation in severe Becker disease.
PMID- 24920214
TI - Melatonin down-regulates MDM2 gene expression and enhances p53 acetylation in MCF
7 cells.
AB - Compelling evidence demonstrated that melatonin increases p53 activity in cancer
cells. p53 undergoes acetylation to be stabilized and activated for driving cells
destined for apoptosis/growth inhibition. Over-expression of p300 induces p53
acetylation, leading to cell growth arrest by increasing p21 expression. In turn,
p53 activation is mainly regulated in the nucleus by MDM2. MDM2 also acts as E3
ubiquitin ligase, promoting the proteasome-dependent p53 degradation. MDM2 entry
into the nucleus is finely tuned by two different modulations: the ribosomal
protein L11, acts by sequestering MDM2 in the cytosol, whereas the PI3K-AkT
dependent MDM2 phosphorylation is mandatory for MDM2 translocation across the
nuclear membrane. In addition, MDM2-dependent targeting of p53 is regulated in a
nonlinear fashion by MDM2/MDMX interplay. Melatonin induces both cell growth
inhibition and apoptosis in MCF7 breast cancer cells. We previously reported that
this effect is associated with reduced MDM2 levels and increased p53 activity.
Herein, we demonstrated that melatonin drastically down-regulates MDM2 gene
expression and inhibits MDM2 shuttling into the nucleus, given that melatonin
increases L11 and inhibits Akt-PI3K-dependent MDM2 phosphorylation. Melatonin
induces a 3-fold increase in both MDMX and p300 levels, decreasing simultaneously
Sirt1, a specific inhibitor of p300 activity. Consequently, melatonin-treated
cells display significantly higher values of both p53 and acetylated p53. Thus, a
15-fold increase in p21 levels was observed in melatonin-treated cancer cells.
Our results provide evidence that melatonin enhances p53 acetylation by
modulating the MDM2/MDMX/p300 pathway, disclosing new insights for understanding
its anticancer effect.
PMID- 24920215
TI - Deficits in attention, motor control, and perception and increased risk of injury
in children.
PMID- 24920216
TI - Second Global Symposium on Health Systems Research: a conference impact
evaluation.
AB - Evaluation researchers have confirmed the importance of conference evaluation,
but there remains little research on the topic, perhaps in part because
evaluation methodology related to conference impact is underdeveloped. We
conducted a study evaluating a 4-day long health conference, the Second Global
Symposium on Health Systems Research (HSR), which took place in Beijing in
November 2012. Using a conference evaluation framework and a mixed-methods
approach that involved in-conference surveys, in-conference interviews and 7
month post-conference interviews, we evaluated the impact of the Symposium on
attendees' work and the field of health systems research. The three major impacts
on participants' work were new knowledge, new skills and new networks, and many
participants were able to provide examples of how obtaining new knowledge, skills
or collaborations had changed the way they conduct their work. Participants noted
that the Symposium influenced the field of HSR only in so far as it influenced
the capacity of stakeholders, but did not lead to any high level agenda or policy
changes, perhaps due to the insufficient length of time (7 months) between the
Symposium and post-conference follow-up. This study provides an illustration of a
framework useful for conference organizers in the evaluation of future
conferences, and of a unique methodology for evaluation researchers.
PMID- 24920217
TI - Determinants of life expectancy in the Eastern Mediterranean Region.
AB - BACKGROUND: Although the Eastern Mediterranean Region (EMR) healthcare sector has
been expanding rapidly, many differences exist across socioeconomic status,
clinical practice standards and healthcare systems. OBJECTIVE: Predict production
functions of health by measuring socioeconomic and expenditure factors that
impact life expectancy in the EMR. METHODS: Data from the World Health
Organization (WHO) Global Health Observatory and the World Bank were used for
this cross-sectional, time-series study spanning 21 nations in the EMR from 1995
to 2010. The primary outcome was life expectancy at birth. Covariates of interest
included sociodemographic and health indicators. To both establish and validate
appropriate categorization of countries, a cluster analysis was undertaken to
group cases by taking selected characteristics into account. A variance
component, multilevel mixed-effects linear model was employed that incorporated a
finite, Almon, distributed lag of 5 years and bootstrapping with 5000 simulations
to model the production function of life expectancy. RESULTS: Results of the
cluster analysis found four groupings. Clusters 1 and 2, composed of six total
countries, generally represented non-industrialized/least developed countries.
Clusters 3 and 4, totalling 15 nations, captured more industrialized nations.
Overall, gross domestic product (GDP) (P = 0.011), vaccination averages (P =
0.026) and urbanization (P = 0.026), were significant positive predictors of life
expectancy. No significant predictors existed for Cluster 1 countries. Among
Cluster 2 nations, physician density (P = 0.014) and vaccination averages (P =
0.044) were significant positive predictors. GDP (P = 0.037) and literacy (P =
0.014) were positive significant predictors among Cluster 3 nations. GDP (P =
0.002), health expenditures (P = 0.002) and vaccination averages (P = 0.014) were
positive significant predictors in Cluster 4 countries. CONCLUSION: Predictors of
life expectancy differed between non-industrialized and industrialized nations,
with the exception of vaccination averages. Non-industrialized/least developed
nations were associated with adjusted life expectancies of >14% lower than their
industrialized peers. Continued work to address differences in the quality of and
access to care in the EMR is required.
PMID- 24920218
TI - Innovations in communication technologies for measles supplemental immunization
activities: lessons from Kenya measles vaccination campaign, November 2012.
AB - BACKGROUND: To achieve a measles free world, effective communication must be part
of all elimination plans. The choice of communication approaches must be evidence
based, locally appropriate, interactive and community owned. In this article, we
document the innovative approach of using house visits supported by a web-enabled
mobile phone application to create a real-time platform for adaptive management
of supplemental measles immunization days in Kenya. METHODS: One thousand nine
hundred and fifty-two Red Cross volunteers were recruited, trained and deployed
to conduct house-to-house canvassing in 11 urban districts of Kenya. Three days
before the campaigns, volunteers conducted house visits with a uniform approach
and package of messages. All house visits were documented using a web-enabled
mobile phone application (episurveyor(r)) that in real-time relayed information
collected to all campaign management levels. During the campaigns, volunteers
reported daily immunizations to their co-ordinators. Post-campaign house visits
were also conducted within 4 days, to verify immunization of eligible children,
assess information sources and detect adverse events following immunization.
RESULTS: Fifty-six per cent of the 164 643 households visited said that they had
heard about the planned 2012 measles vaccination campaign 1-3 days before start
dates. Twenty-five per cent of households were likely to miss the measles
supplemental dose if they had not been reassured by the house visit. Pre- and
post-campaign reasons for refusal showed that targeted communication reduced
misconceptions, fear of injections and trust in herbal remedies. Daily reporting
of immunizations using mobile phones informed changes in service delivery plans
for better immunization coverage. House visits were more remembered (70%) as
sources of information compared with traditional mass awareness channels like
megaphones (41%) and radio (37%). CONCLUSIONS: In high-density settlements, house
to-house visits are easy and more penetrative compared with traditional media
approaches. Using mobile phones to document campaign processes and outputs
provides real time evidence for service delivery planning to improve immunization
coverage.
PMID- 24920219
TI - CXCL13 and neopterin concentrations in cerebrospinal fluid of patients with Lyme
neuroborreliosis and other diseases that cause neuroinflammation.
AB - BACKGROUND: Laboratory diagnosis of Lyme neuroborreliosis (LNB) is partly based
on the detection of intrathecal Borrelia burgdorferi-specific antibody production
(increased antibody index (AI)). However, AI can be negative in patients with
early LNB and, conversely, can remain elevated for months after antibiotic
treatment. Recent studies suggested that the chemokine CXCL13 in the
cerebrospinal fluid (CSF) is a biomarker for active LNB. Also, CSF neopterin
level determination has been used to assess the degree of neuroinflammation in a
wide variety of diseases. METHODS: CXCL13 concentrations were analyzed in CSF
samples of 366 retrospectively identified individuals. The samples represented
pretreatment LNB (38 patients), non-LNB comparison patients, tick-borne
encephalitis, central nervous system (CNS) varicella zoster virus infection, CNS
herpes simplex virus infection, CNS HHV6 infection, CNS enterovirus infection,
and untreated neurosyphilis. The panel included also samples from patients with
multiple sclerosis and other neuroinflammatory conditions. Of the LNB patients,
24 posttreatment CSF samples were available for CXCL13 analysis. Neopterin
concentrations were determined in a subset of these samples. RESULTS: The CXCL13
concentrations in CSF samples of untreated LNB patients were significantly higher
(median, 6,480 pg/ml) than the concentrations in the non-LNB group (median, <7.8
pg/ml), viral CNS infection samples (median, <7.8 pg/ml), or samples from
patients with noninfectious neuroinflammatory conditions (median, <7.8 pg/ml).
The use of cut-off 415 pg/ml led to a sensitivity of 100% and specificity of
99.7% for the diagnosis of LNB in these samples. CSF CXCL13 median concentrations
declined significantly from 16,770 pg/ml before to 109 pg/ml after the
treatment.CSF neopterin concentration was significantly higher among the
untreated LNB patients than in the non-LNB group. The use of neopterin
concentration 10.6 nM as the cut-off led to a sensitivity of 88.6% and a
specificity of 65.0% for the diagnosis of LNB. The CSF neopterin concentrations
decreased statistically significantly with the treatment. CONCLUSIONS: These
results clearly indicate that highly elevated CSF CXCL13 levels are strongly
associated with untreated LNB. CXCL13 outperformed neopterin and appears to be an
excellent biomarker in differentiating LNB from viral CNS infections and from
other neuroinflammatory conditions.
PMID- 24920220
TI - In vivo role of checkpoint kinase 2 in signaling telomere dysfunction.
AB - Checkpoint kinase 2 (CHK2) is a downstream effector of the DNA damage response
(DDR). Dysfunctional telomeres, either owing to critical shortening or disruption
of the shelterin complex, activate a DDR, which eventually results in cell cycle
arrest, senescence and/or apoptosis. Successive generations of telomerase
deficient (Terc) mice show accelerated aging and shorter lifespan due to tissue
atrophy and impaired organ regeneration associated to progressive telomere
shortening. In contrast, mice deficient for the shelterin component TRF1 in
stratified epithelia show a rapid and massive induction of DDR, leading to
perinatal lethality and severe skin defects. In both mouse models, p53 deficiency
can rescue survival. Here, we set to address the role of CHK2 in signaling
telomere dysfunction in both mouse models. To this end, we generated mice doubly
deficient for Chk2 and either Terc (Chk2(-/-) Terc(-/-) ) or Trf1
(Trf1(Delta/Delta) K5Cre Chk2(-/-) ). We show that Chk2 deletion improves Terc
associated phenotypes, including lifespan and age-associated pathologies.
Similarly, Chk2 deficiency partially rescues perinatal mortality and attenuates
degenerative pathologies of Trf1(Delta/Delta) K5Cre mice. In both cases, we show
that the effects are mediated by a significant attenuation of p53/p21 signaling
pathway. Our results represent the first demonstration of a role for CHK2 in the
in vivo signaling of dysfunctional telomeres.
PMID- 24920221
TI - Clinical analysis of Kasabach-Merritt syndrome in 17 neonates.
AB - BACKGROUND: Kasabach-Merritt syndrome (KMS) is characterized by giant hemangiomas
and severe thrombocytopenia, which may result in life-threatening multi-organ
hemorrhage. This study evaluated the clinical characteristics, treatments, and
outcomes in neonates with KMS, in order to find out the optimal therapy. METHODS:
The clinical data of 17 patients treated for KMS in the Department of Neonates,
Guangzhou Women and Children's Medical Center, Guangzhou Medical University,
China from January 2007 to January 2012 were retrospectively analyzed. RESULTS:
The patients were 13 males and 4 females, aged 17 hours to 28 days at admission.
Four patients had visceral hemangiomas and 13 had cutaneous hemangiomas. All had
thrombocytopenia and coagulation disorders. Intravenous steroid therapy was
initially effective in 6 patients (of which 3 relapsed) and ineffective in 11.
The 11 patients with a poor response to steroids and the 3 who relapsed underwent
arterial embolization therapy, which was effective in 9 patients (of which 1
relapsed), ineffective in 4, and discontinued before completion in 1.
Subsequently, four patients in whom arterial embolization therapy was ineffective
and one with relapse were treated with vincristine. This was effective in four
patients, and the other died of disseminated intravascular coagulation. Steroid
therapy was effective in 35.3% of patients, but the relapse rate was 50%.
Arterial embolization was effective in 64.3% of patients and vincristine was
effective in 80%. CONCLUSIONS: In patients with neonatal KMS, steroid therapy has
a low rate of effectiveness and high rate of relapse. Arterial embolization has a
good rate of effectiveness. Combined steroid and embolization therapy should be
considered for first-line treatment of neonatal KMS. If this approach is
ineffective, vincristine may be useful.
PMID- 24920222
TI - Wrong-side thoracentesis: lessons learned from root cause analysis.
AB - IMPORTANCE: Despite the recognized value of the Joint Commission's Universal
Protocol and the implementation of time-outs, incorrect surgical procedures are
still among the most common types of sentinel events and can have fatal
consequences. OBJECTIVES: To examine a root cause analysis database for reported
wrong-side thoracenteses and to determine the contributing factors associated
with their occurrence. DESIGN, SETTING, AND PARTICIPANTS: We searched the
National Center for Patient Safety database for wrong-side thoracenteses
performed in ambulatory clinics and hospital units other than the operating room
reported from January 1, 2004, through December 31, 2011. MAIN OUTCOMES AND
MEASURES: Data extracted included patient factors, clinical features, team
structure and function, adherence to bottom-line patient safety measures,
complications, and outcomes. RESULTS: Fourteen cases of wrong-side thoracenteses
are identified. Contributing factors included failure to perform a time-out
(n=12), missing indication of laterality on the patient's consent form (n=10),
absence of a site mark on the patient's skin within the sterile field (n=12), and
absent verification of medical images (n=7). Complications included
pneumothoraces (n=4), hemorrhage (n=3), and death directly attributable to the
wrong-side thoracentesis (n=2). Teamwork and communication failure, unawareness
of existing policy, and a deficit in training and education were the most common
root causes of wrong-side thoracentesis. CONCLUSIONS AND RELEVANCE: Prevention of
wrong-site procedures and accompanying patient harm outside the operating room
requires adherence to the Universal Protocol and time-outs, effective teamwork,
training and education, mentoring, and patient assessment for early detection of
complications. The time-outs provide protected time and place for error detection
and recovery.
PMID- 24920223
TI - Inner structure of adsorbed ionic microgel particles.
AB - Microgel particles of cross-linked poly(NIPAM-co-acrylic acid) with different
acrylic acid contents are investigated in solution and in the adsorbed state. As
a substrate, silicon with a poly(allylamine hydrochloride) (PAH) coating is used.
The temperature dependence of the deswelling of the microgel particles was probed
with atomic force microscopy (AFM). The inner structure of the adsorbed microgel
particles was detected with grazing incidence small angle neutron scattering
(GISANS). Small angle neutron scattering (SANS) on corresponding microgel
suspensions was performed for comparison. Whereas the correlation length of the
polymer network shows a divergence in the bulk samples, in the adsorbed microgel
particles it remains unchanged over the entire temperature range. In addition,
GISANS indicates changes in the particles along the surface normal. This suggests
that the presence of a solid surface suppresses the divergence of internal
fluctuations in the adsorbed microgels close to the volume phase transition.
PMID- 24920224
TI - Is it feasible to implement enteral nutrition in patients with enteroatmospheric
fistulae? A single-center experience.
AB - BACKGROUND: Published experience in feeding patients with enteroatmospheric
fistulae is scarce. This study aimed to determine if enteral nutrition (EN) could
be safely delivered in the presence of enteroatmospheric fistula. MATERIALS AND
METHODS: This is a retrospective descriptive study from a major fistula treatment
center in China. Medical records of patients who developed enteroatmospheric
fistulae in the open abdomen after abdominal trauma were reviewed. The timing of
initiation and achievement of full strength (25 kcal/kg/d) EN after
enteroatmospheric fistula were noted, as well as the incidence of feeding
associated complications and weaning of parenteral nutrition (PN). The outcomes
of open abdomen and enteroatmospheric fistula were also noted. RESULTS: Nine
patients were included in this study. EN was successfully implemented in all
patients. The median timing of initiation and achievement of full strength of EN
after enteroatmospheric fistula was 9 (interquartile range [IQR], 3-22) and 27
(IQR, 22-43) days, respectively. Feeding-associated complications developed in 1
(11.1%) patient. All patients were liberated from PN at hospital discharge. Split
thickness skin grafting was performed in all patients, of whom 5 underwent
successful delayed abdominal closure, and 4 were awaiting definitive closure.
Repair or resection of enteroatmospheric fistula occurred in 8 (88.9%) patients.
CONCLUSION: This study showed that EN could be safely implemented in patients
with enteroatmospheric fistulae without complicating the treatment of open
abdomen and enteroatmospheric fistula.
PMID- 24920225
TI - Exacerbated oxidative stress in the fasting liver according to fuel partitioning.
AB - Complete starvation may prove lethal due to excessive loss of body proteins.
However, it is still not completely understood whether responses to food
deprivation are time-dependently induced or triggered in relation with the
successive phases of protein sparing and wasting that characterize prolonged
fasting. As the liver has a wide range of vital functions, we examined the
hepatic regulatory mechanisms elicited during prolonged fasting. We showed that
fasting-induced transcriptome/proteome changes occur in close relation with fuel
partitioning, independently of ATP levels. Omics data suggesting a worsening of
oxidative stress during the proteolytic stage of fasting were further validated
using biochemical assays. Low levels of antioxidant factors were indeed
paralleled by their decreased activity that could be impaired by low NADPH
levels. Oxidative damage to lipids and proteins was accordingly increased only
during late fasting. At this stage, the gene/protein expression of several
chaperones was also repressed. Together with the impairment of metabolic
achievements, a vicious cycle involving protein misfolding and oxidative stress
could jeopardize liver function when the proteolytic stage of fasting is reached.
Thus, monitoring of liver impairments should help to better manage or treat
catabolic and/or oxidative stress conditions, such as ageing and degeneration.
PMID- 24920226
TI - Ln[N(SiMe3 )2 ]3 -catalyzed cross-diinsertion of C=N/C=C into an N=H bond: facile
synthesis of 1,2,4-trisubstituted imidazoles from propargylamines and nitriles.
AB - A lanthanide-catalyzed sequential insertion of C?N and C?C into an N?H bond is
presented. The convenient reaction, which proceeds under mild conditions, is an
efficient method for preparing 1,2,4-trisubstituted imidazoles directly from
readily available propargylamines and nitriles.
PMID- 24920227
TI - Prolonged hot electron dynamics in plasmonic-metal/semiconductor heterostructures
with implications for solar photocatalysis.
AB - Ideal solar-to-fuel photocatalysts must effectively harvest sunlight to generate
significant quantities of long-lived charge carriers necessary for chemical
reactions. Here we demonstrate the merits of augmenting traditional
photoelectrochemical cells with plasmonic nanoparticles to satisfy these daunting
photocatalytic requirements. Electrochemical techniques were employed to
elucidate the mechanics of plasmon-mediated electron transfer within Au/TiO2
heterostructures under visible-light (lambda>515 nm) irradiation in solution.
Significantly, we discovered that these transferred electrons displayed excited
state lifetimes two orders of magnitude longer than those of electrons
photogenerated directly within TiO2 via UV excitation. These long-lived electrons
further enable visible-light-driven H2 evolution from water, heralding a new
photocatalytic paradigm for solar energy conversion.
PMID- 24920228
TI - Analytical methodology optimization to estimate the content of non-flavonoid
phenolic compounds in Argentine propolis extracts.
AB - CONTEXT: Traditionally, the content of total phenolics (flavonoid phenolics (FP)
and non-flavonoid phenolics (NFP)) and flavonoids (flavone/flavonol and
flavonone/dihydroflavonol) in propolis has been determined by different
methodologies. Until now, the percentage of total phenolic (TP) compounds that
corresponds to FP and NFP, expressed in the same units by a spectrophotometric
method, has not been determined. OBJECTIVE: The current study proposes a quick
and simple methodology that separates FP and NFP in propolis samples and
determines TP, FP, and NFP by the same method. MATERIALS AND METHODS: Propolis
samples from five Argentine provinces (Tucuman, Santiago del Estero, Salta,
Misiones, and Jujuy) were used. Extraction of TP from the propolis samples was
carried out by maceration with 80% ethanol and quantified by Folin-Ciocalteu
reagent (FC-R). Then, FP was precipitated with formaldehyde in acid medium. After
centrifugation, NFP were determined in the supernatant using FC-R. FP content was
calculated as the difference between the content of TP and NFP. The method was
also validated using commercial flavonoids and chalcones. RESULTS: FP recovery in
all experiments was between 85.95% and 98.29%. Propolis from Tucuman had
significantly higher amounts of total phenols than propolis from other provinces.
SE5 showed higher content of FP (81.52%) followed by SA1 (74.75%). The propolis
from TUC4, SA4, SE3, and MI showed the lowest FP content and highest content of
NFP. CONCLUSIONS: This method provides a simple, reliable, and specific
spectrophotometric assay to estimate the content of NFP, FP, and TP in propolis
samples.
PMID- 24920229
TI - Screening compounds of Chinese medicinal herbs anti-Marek's disease virus.
AB - CONTEXT: Marek's disease (MD) seriously threatens the world poultry industry and
has resulted in great economic losses. Chinese medicinal herbs are a rich source
for lead compounds and drug candidates for antiviral treatments. OBJECTIVE: To
investigate the anti-MDV activity and mechanism of 20 compounds extracted from
Chinese medicinal herbs. MATERIALS AND METHODS: Antiviral assay, time of addition
experiments, and virucidal assay were performed on chicken embryo fibroblast
cells. The 50% cytotoxic concentration and 50% effective concentration were
determined and, accordingly, selectivity index and inhibition ratio were
calculated. RESULTS: Antiviral assay showed dipotassium glycyrrhizinate (DG) and
sodium tanshinone IIA sulfonate (STS) exhibited significantly inhibitory activity
against MDV in a dose-dependent manner. EC50 of DG and STS were 893.5 +/- 36.99
ug/mL and 54.82 +/- 2.99 ug/mL, and selective index (SI) were >3.36 and >9.12,
respectively. Time of addition experiment and virucidal assay demonstrated DG
inhibited viral replication in the full replication cycle and inactivated MDV
particles in non-time-dependent manner, but STS interfered with the early stage
of MDV replication and inactivated MDV particles in a time-dependent manner.
Moreover, both DG and STS promoted apoptosis of cells infected by MDV. DISCUSSION
AND CONCLUSION: DG and STS have great potential for developing new anti-MDV drugs
for clinic application.
PMID- 24920230
TI - Fusogenic pH sensitive liposomal formulation for rapamycin: improvement of
antiproliferative effect.
AB - CONTEXT: Liposomes are increasingly employed to deliver chemotherapeutic agents,
antisense oligonucleotides, and genes to various therapeutic targets. OBJECTIVE:
The present investigation evaluates the ability of fusogenic pH-sensitive
liposomes of rapamycin in increasing its antiproliferative effect on human breast
adenocarcinoma (MCF-7) cell line. MATERIALS AND METHODS: Cholesterol (Chol) and
dipalmitoylphosphatidylcholine (DPPC) (DPPC:Chol, 7:3) were used to prepare
conventional rapamycin liposomes by a modified ethanol injection method.
Dioleoylphosphatidylethanolamine (DOPE) was used to produce fusogenic and pH
sensitive properties in liposomes simultaneously (DPPC:Chol:DOPE, 7:3:4.2). The
prepared liposomes were characterized by their size, zeta potential,
encapsulation efficiency percent (EE%), and chemical stability during 6 months.
The antiproliferative effects of both types of rapamycin liposomes (10, 25, and
50 nmol/L) with optimized formulations were assessed on MCF-7 cells, as cancerous
cells, and human umbilical vein endothelial cells (HUVEC), as healthy cells,
employing the diphenyltetrazolium bromide (MTT) assay for 72 h. RESULTS AND
DISCUSSION: The particle size, zeta potential, and EE% of the liposomes were 165
+/- 12.3 and 178 +/- 15.4 nm, -39.6 +/- 1.3, and -41.2 +/- 2.1 mV as well as 76.9
+/- 2.6 and 76.9 +/- 2.6% in conventional and fusogenic pH-sensitive liposomes,
respectively. Physicochemical stability results indicated that both liposome
types were relatively stable at 4 degrees C than 25 degrees C. In vitro
antiproliferative evaluation showed that fusogenic pH-sensitive liposomes had
better antiproliferative effects on MCF-7 cells compared to the conventional
liposomes. Conversely, fusogenic pH-sensitive liposomes had less cytotoxicity on
HUVEC cell line.
PMID- 24920231
TI - Estonian folk traditional experiences on natural anticancer remedies: from past
to the future.
AB - CONTEXT: Despite diagnostic and therapeutic advancements, the burden of cancer is
still increasing worldwide. Toxicity of current chemotherapeutics to normal cells
and their resistance to tumor cells highlights the urgent need for new drugs with
minimal adverse side effects. The use of natural anticancer agents has entered
into the area of cancer research and increased efforts are being made to isolate
bioactive products from medicinal plants. OBJECTIVE: To lead the search for
plants with potential cytotoxic activity, ethnopharmacological knowledge can give
a great contribution. Therefore, the attention of this review is devoted to the
natural remedies traditionally used for the cancer treatment by Estonian people
over a period of almost 150 years. METHODS: Two massive databases, the first one
stored in the Estonian Folklore Archives and the second one in the electronic
database HERBA ( http://herba.folklore.ee/ ), containing altogether more than 30
000 ethnomedicinal texts were systematically reviewed to compile data about the
Estonian folk traditional experiences on natural anticancer remedies. RESULTS AND
CONCLUSION: As a result, 44 different plants with potential anticancer properties
were elicited, 5 of which [Angelica sylvestris L. (Apiaceae), Anthemis tinctoria
L. (Asteraceae), Pinus sylvestris L. (Pinaceae), Sorbus aucuparia L. (Rosaceae),
and Prunus padus L. (Rosaceae)] have not been previously described with respect
to their tumoricidal activities in the scientific literature, suggesting thus the
potential herbal materials for further investigations of natural anticancer
compounds.
PMID- 24920232
TI - Anticancer activity of Cocculus hirsutus against Dalton's lymphoma ascites (DLA)
cells in mice.
AB - CONTEXT: Cocculus hirsutus (L.) Diels (Menispermaceae) is used in Indian folk
system of alternative medicine for rheumatism, eczema, diabetics, inflammation,
and neuralgia. OBJECTIVE: To evaluate antitumor activities of C. hirsutus in
vitro and in vivo. MATERIALS AND METHODS: C. hirsutus was successively extracted
using hexane, petroleum ether, chloroform, ethyl acetate, methanol, and water. In
vitro cytotoxicity was assessed by the MTT assay. Phytochemical analyses were
conducted with methanol extract of C. hirsutus (MECH) and in vivo antitumor
activity was carried out with MECH using Dalton's lymphoma ascites (DLA) mouse
model. Antioxidant properties were assessed by estimating superoxide dismutase
(SOD), catalase (CAT), and lipid peroxidation. RESULTS AND DISCUSSION:
Phytochemical studies indicated a high content of total alkaloid (165.6 mg/100
g), total phenolic (43.5 GAE mg/g), and total flavanoid (4.97 RE mg/g) in MECH.
Anti-proliferative activity against the breast cancer cell line MCF-7 showed IC50
values of 221.5 +/- 16.68, 255 +/- 17.88, 213 +/- 8.4, 147 +/- 7.9, and 229 +/-
8.02 ug/ml with hexane, petroleum ether, chloroform, ethyl acetate, methanol, and
aqueous extracts, respectively. A significant (p < 0.01) decrease in packed cell
volume, viable cell count, and increased lifespan (58 and 77%) was observed.
Hematological and serum biochemical profiles were restored to normal levels in
MECH-treated mice. MECH-treated group significantly (p < 0.001) decreased SOD,
lipid peroxidation, and CAT towards normal. CONCLUSION: C. hirsutus exhibited
significant in vitro and in vivo antitumor activities that are reasonably
attributed to endogenous antioxidant mechanisms.
PMID- 24920233
TI - Prospective neurobiological effects of the aerial and root extracts and some pure
compounds of randomly selected Scorzonera species.
AB - CONTEXT: Scorzonera L. species (Asteraceae) are edible and as medicinal plants
are used for various purposed in folk medicine. OBJECTIVE: The methanol extracts
of the aerial parts and roots from 27 Scorzonera taxa were investigated for their
possible neurobiological effects. MATERIALS AND METHODS: Inhibitory potential of
the Scorzonera species was tested against acetylcholinesterase (AChE),
butyrylcholinesterase (BChE), and tyrosinase (TYRO) at 100 ug mL(-1) using ELISA
microtiter assay. Antioxidant activity of the extracts was tested with radical
scavenging activity, metal-chelation capacity, ferric- (FRAP), and
phosphomolibdenum-reducing antioxidant power (PRAP) assays. Chlorogenic acid,
hyperoside, rutin, and scorzotomentosin-4-O-beta-glucoside were also screened in
the same manner. Total phenol and flavonoid quantification in the extracts were
determined spectrophotometrically. RESULTS: The aerial parts of Scorzonera
pisidica (40.25 +/- 0.74%) and chlorogenic acid (46.97 +/- 0.82%) displayed the
highest TYRO inhibition, while the remaining samples showed only trivial
inhibition against cholinesterases (2.08 +/- 1.35%-25.32 +/- 1.37%). The same
extract of S. pisidica was revealed to be the most potent in scavenging of all
three radicals and FRAP assay. DISCUSSION AND CONCLUSION: Out of 27 taxa, S.
pisidica, in particular, may deserve further investigation for its
neuroprotective potential.
PMID- 24920234
TI - Hypericum perforatum: Influences of the habitat on chemical composition, photo
induced cytotoxicity, and antiradical activity.
AB - CONTEXT: Hypericin, isolated from Hypericum perforatum L. and about another 300
Hypericum species (Guttiferae), is one of the most powerful photosensitizers
found in nature. OBJECTIVE: The aim of this study was to assess the variability
of chemical composition and biological activities of four H. perforatum samples,
collected at different altitudes in the South Apennine of Italy. MATERIALS AND
METHODS: MTT assay was used to evaluate the antiproliferative activity of
different samples concentrations (0.6-100 ug/mL) after irradiation at 365 nm. The
inhibition of nitric oxide production was evaluated after 24 h of incubation
using the macrophage cell line RAW 264.7 and sample solutions ranging from 12.5
to 1000 ug/mL. Antioxidant activities were evaluated using 2,2-diphenyl-1
picrylhydrazyl (DPPH) assay and beta-carotene bleaching test (ranges were 12.5
1000 and 1-400 ug/mL, respectively). Chemical composition was evaluated through
HPTLC, and different contents of hypericin and rutin have been observed. RESULTS:
The most phototoxic sample was collected from Zumpano (no. 1 at 370 m), with IC50
values of 24.61 +/- 0.02 MUg/mL. Sample no. 1 showed also the best radical
scavenging activity (IC50 value of 9.18 +/- 0.03 MUg/mL) and the best antioxidant
activity (IC50 value of 10.04 +/- 0.03 MUg/mL after 30 min of incubation). Best
activity of extract no. 1 was well in accordance with chemical data, including
the phenolic total content and particular metabolome profile. DISCUSSION AND
CONCLUSION: This paper confirms the usefulness in maintaining the exploration of
H. perforatum activities, in order to confirm its potentiality as a multipurpose
plant.
PMID- 24920235
TI - Caffeic acid phenethyl ester promotes anti-inflammatory effects by inhibiting
MAPK and NF-kappaB signaling in activated HMC-1 human mast cells.
AB - CONTEXT: Caffeic acid phenethyl ester (CAPE), an active component of honeybee
propolis, is known to have antioxidant, anti-inflammatory, and other beneficial
medicinal properties. However, the molecular mechanisms underlying its anti
allergic effects in mast cells are unknown. OBJECTIVE: The purpose of the present
study was to examine whether CAPE modulates the immunoglobulin E (IgE)-mediated
local allergic reaction in animals, as well as to elucidate the effects of CAPE
on mast cells in vitro. MATERIALS AND METHODS: To investigate the bioactive
potential of CAPE (10 or 20 uM), HMC-1 cells were stimulated with phorbol 12
myristate 13-acetate plus calcium ionophore A23187 (PMACI) for 24 h in the
presence or absence of CAPE. To study the pharmacological effects of CAPE, enzyme
linked immunosorbent assays (ELISAs), RT-PCR, Western blot analysis,
electrophoretic mobility shift assays (EMSAs), and fluorescence assays were used.
RESULTS: CAPE (10 mg/kg) inhibited local IgE-mediated allergic reactions (0.164
versus 0.065 O.D.) in a mouse model. Additionally, CAPE (20 uM) attenuated PMACI
stimulated histamine release (3146.42 versus 2564.83 pg/ml) and the production of
inflammatory cytokines, such as interleukin (IL)-1beta (4.775 versus 0.713 pg/ml,
IC50 = 6.67 uM), IL-6 (4771.5 versus 449.1 pg/ml, IC50 = 5.25 uM), and IL-8
(5991.7 versus 2213.1 pg/ml, IC50 = 9.95 uM) in HMC-1 cells. In activated HMC-1
cells, pretreatment with CAPE decreased the phosphorylation of c-Jun N-terminal
kinase. In addition, CAPE inhibited PMACI-induced nuclear factor (NF)-kappaB
activation by suppressing IkappaBalpha phosphorylation and its degradation.
DISCUSSION AND CONCLUSION: Our results indicated that CAPE can modulate mast cell
mediated allergic disease.
PMID- 24920237
TI - Enhanced performance of dicationic ionic liquid electrolytes by organic solvents.
AB - The use of dicationic ionic liquid (DIL) electrolytes in supercapacitors is
impeded by the slow dynamics of DILs, whereas the addition of organic solvents
into DIL electrolytes improves ion transport and then enhances the power density
of supercapacitors. In this work, the influences of organic solvents on the
conductivity of DILs and the electrical double layer (EDL) of DIL-based
supercapacitors are investigated using classical molecular dynamics simulation.
Two types of organic solvents, acetonitrile (ACN) and propylene carbonate (PC),
were used to explore the effects of different organic solvents on the EDL
structure and capacitance of DIL/organic solvent-based supercapacitors. Firstly,
it was found that the conductivity of DIL electrolytes was greatly enhanced in
the presence of the organic solvent ACN. Secondly, a stronger adsorption of PC on
graphite results in different EDL structures formed by DIL/ACN and DIL/PC
electrolytes. The expulsion of co-ions from EDLs was observed in DIL/organic
solvent electrolytes rather than neat DILs and this feature is more evident in
DIL/PC. Furthermore, the bell-shaped differential capacitance-electric potential
curve was not essentially changed by the presence of organic solvents. Comparing
DIL/organic solvent electrolytes with neat DILs, the capacitance is slightly
increased by organic solvents, which is in agreement with experimental
observation.
PMID- 24920238
TI - Protein kinase C zeta regulates survivin expression and inhibits apoptosis in
colon cancer.
AB - The phosphatidylinositol 3-kinase pathway transduces cell survival signals in
different malignancies. Protein kinase C zeta (PKCzeta) is one of the molecules
involved in this pathway. In this study, we investigated the role of PKCzeta in
apoptosis. Short interfering RNA against PKCzeta (siPKCzeta) sensitized HCT116
and SW480 colon cancer cells to TRAIL-induced apoptosis. Among anti-apoptotic
proteins, survivin protein and mRNA expression levels decreased after siPKCzeta
transfection while protein half-life did not change. The expression levels of
survivin and PKCzeta were correlated in 18 colon cancer specimens (r=0.72,
P=3.01x10-4). Chemosensitivity to 5-FU was enhanced by siPKCzeta in HCT116 and
SW480 cells. These results indicate that PKCzeta regulates survivin expression
levels and inhibits apoptosis in colon cancer cells. This study provides a
rationale for targeting PKCzeta in combination with chemotherapy for colon cancer
treatment.
PMID- 24920239
TI - Tanshinone IIA induces apoptosis in fibroblast-like synoviocytes in rheumatoid
arthritis via blockade of the cell cycle in the G2/M phase and a mitochondrial
pathway.
AB - Tanshinone IIA (Tan IIA), a phytochemical derived from the roots of Salvia
miltiorrhiza BUNGE, has been documented with anti-tumor, pro-apoptotic, and anti
inflammatory activities. Salvia miltiorrhiza has long been used to treat
rheumatoid arthritis (RA). Apoptosis induction of RA-fibroblast-like synoviocytes
(FLS) was suggested to be a potential therapeutic approach for RA. The aim of
this study was to investigate whether Tan IIA promotes apoptosis in RA-affected
FLS. In this study, the viability of an immortalized FLS cell line derived from
RA patients was assessed by 3-(4,5-dimethylthiazol-2-yl)-5,3-carboxymethoxyphenyl
2,4-sulfophenyl-2H-tetrazolium (MTS) assay after Tan IIA treatment. Apoptosis was
measured by terminal deoxyuridine triphosphate (dUTP) nick-end labeling (TUNEL)
assay and flow cytometry. Cell cycle was evaluated by flow cytometry. The
expressions of mitochondrial apoptosis-related molecules, including Bcl-2, Bax,
mitochondrial cytochrome c (Cyt-c), cytosolic Cyt-c, apoptotic protease
activating factor 1 (Apaf-1), procaspase-9, procaspase-3, caspase-9, and caspase
3 were determined by Western blotting. Our data demonstrate that Tan IIA induced
apoptosis of RA-FLS, blocked the cell cycle in the G2/M phase, and regulated the
protein expression of Bcl-2, Bax, and Apaf-1, the release of mitochondrial Cyt-c,
and the activation of caspase-9 and caspase-3. The results support the conclusion
Tan IIA treatment likely induces apoptosis of RA-FLS through blockade of the cell
cycle in the G2/M phase and a mitochondrial pathway. These data suggest that Tan
IIA may have therapeutic potential for RA.
PMID- 24920240
TI - Ultrastructure of skin from Refsum disease with emphasis on epidermal lamellar
bodies and stratum corneum barrier lipid organization.
AB - Classic Refsum disease (RD) is a rare, autosomal recessively-inherited disorder
of peroxisome metabolism due to a defect in the initial step in the alpha
oxidation of phytanic acid (PA), a C16 saturated fatty acid with four methyl side
groups, which accumulates in plasma and lipid enriched tissues (please see van
den Brink and Wanders, Cell Mol Life Sci 63:1752-1765, 2006). It has been
proposed that the disease complex in RD is in part due to the high affinity of
phytanic acid for retinoid X receptors and peroxisome proliferator-activated
receptors. Structurally, epidermal hyperplasia, increased numbers of cornified
cell layers, presence of cells with lipid droplets in stratum basale and
reduction of granular layer to a single layer have been reported by Blanchet
Bardon et al. (The ichthyoses, SP Medical & Scientific Books, New York, pp 65-69,
1978). However, lamellar body (LB) density and secretion were reportedly normal.
We recently examined biopsies from four unrelated patients, using both OsO4 and
RuO4 post-fixation to evaluate the barrier lipid structural organization.
Although lamellar body density appeared normal, individual organelles often had
distorted shape, or had non-lamellar domains interspersed with lamellar
structures. Some of the organelles seemed to lack lamellar contents altogether,
showing instead uniformly electron-dense contents. In addition, we also observed
mitochondrial abnormalities in the nucleated epidermis. Stratum granulosum
stratum corneum junctions also showed co-existence of non-lamellar and lamellar
domains, indicative of lipid phase separation. Also, partial detachment or
complete absence of corneocyte lipid envelopes (CLE) was seen in the stratum
corneum of all RD patients. In conclusion, abnormal LB contents, resulting in
defective lamellar bilayers, as well as reduced CLEs, likely lead to impaired
barrier function in RD.
PMID- 24920241
TI - Outstanding effects on antithrombin activity of modified TBA diastereomers
containing an optically pure acyclic nucleotide analogue.
AB - Herein, we report optically pure modified acyclic nucleosides as ideal probes for
aptamer modification. These new monomers offer unique advantages in exploring the
role played in thrombin inhibition by a single residue modification at key
positions of the TBA structure.
PMID- 24920242
TI - The effects of an energy efficiency retrofit on indoor air quality.
AB - To investigate the impacts of an energy efficiency retrofit, indoor air quality
and resident health were evaluated at a low-income senior housing apartment
complex in Phoenix, Arizona, before and after a green energy building renovation.
Indoor and outdoor air quality sampling was carried out simultaneously with a
questionnaire to characterize personal habits and general health of residents.
Measured indoor formaldehyde levels before the building retrofit routinely
exceeded reference exposure limits, but in the long-term follow-up sampling,
indoor formaldehyde decreased for the entire study population by a statistically
significant margin. Indoor PM levels were dominated by fine particles and showed
a statistically significant decrease in the long-term follow-up sampling within
certain resident subpopulations (i.e. residents who report smoking and residents
who had lived longer at the apartment complex).
PMID- 24920243
TI - Deciphering the language of plant communication: volatile chemotypes of
sagebrush.
AB - Volatile communication between sagebrush (Artemisia tridentata) individuals has
been found previously to reduce herbivory and to be more effective between
individuals that are genetically identical or related relative to between
strangers. The chemical nature of the cues involved in volatile communication
remains unknown for this and other systems. We collected headspace volatiles from
sagebrush plants in the field and analyzed these using GC-MS. Volatile profiles
were highly variable among individuals, but most individuals could be
characterized as belonging to one of two chemotypes, dominated by either thujone
or camphor. Analyses of parents and offspring revealed that chemotypes were
highly heritable. The ecological significance of chemotypes and the genetic
mechanisms that control them remain poorly understood. However, we found that
individuals of the same chemotype communicated more effectively and experienced
less herbivory than individuals of differing chemotypes. Plants may use
chemotypes to distinguish relatives from strangers.
PMID- 24920244
TI - Vasohibin-2 promotes proliferation in human breast cancer cells via upregulation
of fibroblast growth factor-2 and growth/differentiation factor-15 expression.
AB - Vasohibin-2 (VASH2) is an angiogenic factor, and has been previously reported to
be a cancer-related gene, with cytoplasmic and karyotypic forms. In the current
study VASH2 expression in human breast cancer tissue and adjacent non-cancerous
tissue was investigated with immunohistochemistry. MCF-7 and BT474 human breast
cancer cells were transfected with lentiviral constructs to generate in vitro
VASH2 overexpression and knockdown models. In addition, BALB/cA nude mice were
inoculated subcutaneously with transfected cells to generate in vivo models of
VASH2 overexpression and knockdown. The effect of VASH2 on cell proliferation was
investigated using a bromodeoxyuridine assay in vitro and immunohistochemistry of
Ki67 in xenograft tumors. Growth factors were investigated using a human growth
factor array, and certain factors were further confirmed by an immunoblot. The
results indicated that the expression level of cytoplasmic VASH2 was higher in
breast cancer tissues with a Ki67 (a proliferation marker) level of >=14%,
compared with tissues with a Ki67 level of <14%. VASH2 induced proliferation in
vitro and in vivo. Four growth factors activated by VASH2 were identified as
follows: Fibroblast growth factor 2 (FGF2), growth/differentiation factor-15
(GDF15), insulin-like growth factor-binding protein (IGFBP)3 and IGFBP6. FGF2 and
GDF15 may contribute to VASH2-induced proliferation. The current study identified
a novel role for VASH2 in human breast cancer, and this knowledge suggests that
VASH2 may be a novel target in breast cancer treatment.
PMID- 24920245
TI - A novel homozygous stop-codon mutation in human HFE responsible for nonsense
mediated mRNA decay.
AB - HFE-hemochromatosis (HH) is an autosomal disease characterized by excessive iron
absorption. Homozygotes for H63D variant, and still less H63D heterozygotes,
generally do not express HH phenotype. The data collected in our previous study
in the province of Matera (Basilicata, Italy) underlined that some H63D carriers
showed altered iron metabolism, without additional factors. In this study, we
selected a cohort of 10/22 H63D carriers with severe biochemical iron overload
(BIO). Additional analysis was performed for studying HFE exons, exon-intron
boundaries, and untranslated regions (UTRs) by performing DNA extraction, PCR
amplification and sequencing. The results showed a novel substitution
(NM_000410.3:c.847C>T) in a patient exon 4 (GenBankJQ478433); it introduces a
premature stop-codon (PTC). RNA extraction and reverse-transcription were also
performed. Quantitative real-time PCR was carried out for verifying if our
aberrant mRNA is targeted for nonsense-mediated mRNA decay (NMD); we observed
that patient HFE mRNA was expressed much less than calibrator, suggesting that
the mutated HFE protein cannot play its role in iron metabolism regulation,
resulting in proband BIO. Our finding is the first evidence of a variation
responsible for a PTC in iron cycle genes. The genotype-phenotype correlation
observed in our cases could be related to the additional mutation.
PMID- 24920246
TI - Risk for Child Maltreatment Among Infants Discharged From a Neonatal Intensive
Care Unit: A Sibling Comparison.
AB - Studies suggest that neonatal illness may cause increased risk for child
maltreatment (CM), but these findings may be biased by observed and unobserved
confounding factors (social, family, and maternal characteristics) including
increased surveillance by health care providers. This study expands on previous
research by examining and controlling for these potential study biases and
confounders using a sibling discordance retrospective cohort study design.
Infants born in a Level IV neonatal intensive care unit (NICU) were matched with
non-NICU born sibling controls. Cox proportional hazard models with shared
frailty terms were used to account for clustering and heterogeneity in CM
survival time (time to CM event). Potentially key covariates were selected using
the directed acyclic graph approach, and surveillance reports were identified and
systematically included or excluded from analyses. Managing these sources of bias
reduced but did not eliminate the association between neonatal illness and CM
report risk. Risk was especially high during the first year of the NICU infant's
life and among families with multiple well-known CM risk factors.
PMID- 24920247
TI - Evidence Summarized in Attorneys' Closing Arguments Predicts Acquittals in
Criminal Trials of Child Sexual Abuse.
AB - Evidence summarized in attorney's closing arguments of criminal child sexual
abuse cases (N = 189) was coded to predict acquittal rates. Ten variables were
significant bivariate predictors; five variables significant at p < .01 were
entered into a multivariate model. Cases were likely to result in an acquittal
when the defendant was not charged with force, the child maintained contact with
the defendant after the abuse occurred, or the defense presented a hearsay
witness regarding the victim's statements, a witness regarding the victim's
character, or a witness regarding another witnesses' character (usually the
mother). The findings suggest that jurors might believe that child molestation is
akin to a stereotype of violent rape and that they may be swayed by defense
challenges to the victim's credibility and the credibility of those close to the
victim.
PMID- 24920248
TI - On the relationships between commercial sexual exploitation/prostitution,
substance dependency, and delinquency in youthful offenders.
AB - Researchers have consistently linked commercial sexual exploitation (CSE) of
youth and involvement in prostitution with substance dependency and delinquency.
Yet, important questions remain regarding the directionality and mechanisms
driving this association. Utilizing a sample of 114 CSE/prostituted youth
participating in the Pathways to Desistance study-a longitudinal investigation of
the transition from adolescence to adulthood among serious adolescent offenders
the current study examined key criminal career parameters of CSE/prostitution
including age of onset and rate of recurrence. Additionally, structural equation
modeling (SEM) was used to explore concurrent associations and causal links
between CSE/prostitution and drug involvement. Findings show a general sequential
pattern of the ages of onset with substance use and selling drugs occurring prior
to CSE/prostitution, evidence that a small group with chronic CSE/prostitution
account for the majority of CSE/prostitution occurrences, and high rates of
repeated CSE/prostitution. SEM results suggest CSE/prostituted youth persist in
drug involvement from year to year but infrequently experience perpetuation of
CSE/prostitution from year to year. Concurrent associations between
CSE/prostitution and drug involvement were found across the length of the study.
Additionally, drug involvement at one year was linked to CSE/prostitution during
the subsequent year during early years of the study.
PMID- 24920249
TI - Mental health outcomes in emerging adults exposed to childhood maltreatment: the
moderating role of stress reactivity.
AB - Childhood maltreatment is an established risk factor for varying configurations
of psychological problems in emerging adulthood. The current study tested
associations between childhood maltreatment, cortisol reactivity, and current
mental health symptoms in emerging adulthood. Eighty-eight participants (aged 18
22) completed measures of childhood maltreatment and current internalizing and
externalizing symptoms and participated in a 10-min conflict role-play task.
Salivary cortisol was sampled throughout the task, and a residualized change
score between baseline and peak time points was computed to capture reactivity.
Results from robust regression analyses indicated that cortisol reactivity
moderated the association between childhood maltreatment and mental health
symptoms as hypothesized. Childhood maltreatment was related to greater
internalizing problems among participants with higher cortisol reactivity,
whereas maltreatment was associated with greater externalizing problems among
participants who exhibited lower cortisol reactivity. Results suggest that
patterns of cortisol reactivity in emerging adulthood may help elucidate mental
health outcomes associated with childhood maltreatment.
PMID- 24920250
TI - Maltreatment histories of foster youth exiting out-of-home care through
emancipation: a latent class analysis.
AB - Little is known about maltreatment among foster youth transitioning to adulthood.
Multiple entries into out-of-home care and unsuccessful attempts at reunification
may nevertheless reflect extended exposure to chronic maltreatment and multiple
types of victimization. This study used administrative data from the Illinois
Department of Children and Family Services to identify all unduplicated
allegations of maltreatment in a cohort of 801 foster youth transitioning to
adulthood in the state of Illinois. A latent variable modeling approach generated
profiles of maltreatment based on substantiated and unsubstantiated reports of
maltreatment taken from state administrative data. Four indicators of
maltreatment were included in the latent class analysis: multiple types of
maltreatment, predominant type of maltreatment, chronicity, and number of
different perpetrators. The analysis identified four subpopulations of foster
youth in relation to maltreatment. Study findings highlight the heterogeneity of
maltreatment in the lives of foster youth transitioning to adulthood and draw
attention to a need to raise awareness among service providers to screen for
chronic maltreatment and multiple types of victimization.
PMID- 24920251
TI - Biocontrol agents-mediated suppression of oxalic acid induced cell death during
Sclerotinia sclerotiorum-pea interaction.
AB - Oxalic acid (OA) is an important pathogenic factor during early Sclerotinia
sclerotiorum-host interaction and might work by reducing hydrogen peroxide
production (H2 O2 ). In the present investigation, oxalic acid-induced cell death
in pea was studied. Pea plants treated with biocontrol agents (BCAs) viz.,
Pseudomonas aeruginosa PJHU15, Bacillus subtilis BHHU100, and Trichoderma
harzianum TNHU27 either singly and/or in consortium acted on S. sclerotiorum
indirectly by enabling plants to inhibit the OA-mediated suppression of oxidative
burst via induction of H2 O2 . Our results showed that BCA treated plants upon
treatment with culture filtrate of the pathogen, conferred the resistance via.
significantly decreasing relative cell death of pea against S. sclerotiorum
compared to control plants without BCA treatment but treated with the culture
filtrate of the pathogen. The results obtained from the present study indicate
that the microbes especially in consortia play significant role in protection
against S. sclerotiorum by modulating oxidative burst and partially enhancing
tolerance by increasing the H2 O2 generation, which is otherwise suppressed by OA
produced by the pathogen.
PMID- 24920252
TI - Noise from total hip replacements: a case-controlled study.
AB - OBJECTIVES: Our study aimed to examine not only the incidence but also the impact
of noise from two types of total hip replacement articulations: ceramic-on
ceramic and ceramic-on-polyethylene. METHODS: We performed a case-controlled
study comparing subjective and objective questionnaire scores of patients
receiving a ceramic-on-ceramic or a ceramic-on-polyethylene total hip replacement
by a single surgeon. RESULTS: There was a threefold higher incidence of noise
from patients in the ceramic-on-ceramic group compared with the control group.
The impact of this noise was significant for patients both subjectively and
objectively. CONCLUSIONS: This study reports a high patient impact of noise from
ceramic-on-ceramic total hip replacements. This has led to a change in practice
by the principal author. Cite this article: Bone Joint Res 2014;3:183-6.
PMID- 24920254
TI - Unprecedented cobalt-catalyzed isomerization reactions to single skipped 2,4,7
trienes applied in the synthesis of urushiol.
AB - The cobalt-catalyzed isomerization of 1,3-dienes to 2Z,4E-dienes was realized for
the very challenging substrates with an additional double bond in the side chain.
An isomerization to the conjugated 3,5,7-triene derivative was not observed,
which is in stark contrast to observations with many other isomerization
catalysts. Accordingly, the synthesis of the natural product urushiol, which has
a sensitive 2Z,4E,7Z-triene subunit in the side chain, was investigated. The O
protected urushiol derivative was generated selectively without isomerization to
the conjugated 3,5,7-triene or Z/E-isomerization of the double bond at position
7.
PMID- 24920255
TI - Effect of bioactive coils on endovascular treatment outcomes for intracranial
aneurysms.
PMID- 24920253
TI - Molecular analysis of beta-lactamase genes to understand their differential
expression in strains of Yersinia enterocolitica biotype 1A.
AB - Beta-lactams are used as major therapeutic agents against a number of infectious
agents. Due to widespread use of beta-lactams, beta-lactamases have evolved at a
rapid pace leading to treatment failures. Yersinia enterocolitica causes many
gastrointestinal problems. It is an extremely heterogeneous species comprising
more than fifty serotypes and six biotypes which differ in their ecological
niches, geographical distribution and pathogenic potential. Though biotype 1A
strains have been associated with outbreaks of Yersiniosis, there has been a
controversy regarding their pathogenicity. The strains of Y. enterocolitica
isolated from India belonged to biotype 1A and possessed genes for two beta
lactamases namely, blaA and blaB. An earlier study by us reported differential
expression of blaA by strains of Y. enterocolitica biotype 1A. The present study
has been carried out to understand the molecular bases which regulate the
expression of blaA in Y. enterocolitica biotype 1A. We concluded that six types
of blaA variants were present in strains of biotype 1A. Neither amino acid
substitutions in blaA nor mutations in promoter regions of blaA contributed to
differential expression of blaA in Y. enterocolitica biotype 1A. Rather, the
secondary structures attained by mRNA of blaA might underlie the differential
expression of blaA in Y. enterocolitica.
PMID- 24920256
TI - A population of patient-specific adult acquired flatfoot deformity models before
and after surgery.
AB - Following IRB approval, a cohort of 3-D rigid-body computational models was
created from submillimeter MRIs of clinically diagnosed Adult Acquired Flatfoot
Deformity patients and employed to investigate postoperative foot/ankle function
and surgical effect during single-leg stance. Models were constrained through
physiologic joint contact, passive soft-tissue tension, active muscle force, full
body weight, and without idealized joints. Models were validated against patient
matched controls using clinically utilized radiographic angle and distance
measures and plantar force distributions in the medial forefoot, lateral
forefoot, and hindfoot. Each model further predicted changes in strain for the
spring ligament, deltoid ligament, and plantar fascia, as well as joint contact
loads for three midfoot joints, the talonavicular, navicular-1st cuneiform, and
calcaneocuboid. Radiographic agreement ranged across measures, with average
absolute deviations of <5 degrees and <4 mm indicating generally good agreement.
Postoperative plantar force loading in patients and models was reduced for the
medial forefoot and hindfoot concomitant with increases in the lateral forefoot.
Model predicted reductions in medial soft-tissue strain and increases in lateral
joint contact load were consistent with in vitro observations and elucidate the
biomechanical mechanisms of repair. Thus, validated rigid-body models offer
promise for the investigation of foot/ankle kinematics and biomechanical
behaviors that are difficult to measure in vivo.
PMID- 24920257
TI - A headform for testing helmet and mouthguard sensors that measure head impact
severity in football players.
AB - A headform is needed to validate and compare helmet- and mouthguard-based sensors
that measure the severity and direction of football head impacts. Our goal was to
quantify the dynamic response of a mandibular load-sensing headform (MLSH) and to
compare its performance and repeatability to an unmodified Hybrid III headform.
Linear impactors in two independent laboratories were used to strike each
headform at six locations at 5.5 m/s and at two locations at 3.6 and 7.4 m/s.
Impact severity was quantified using peak linear acceleration (PLA) and peak
angular acceleration (PAA), and direction was quantified using the azimuth and
elevation of the PLA. Repeatability was quantified using coefficients of
variation (COV) and standard deviations (SD). Across all impacts, PLA was 1.6+/
1.8 g higher in the MLSH than in the Hybrid III (p=0.002), but there were no
differences in PAA (p=0.25), azimuth (p=0.43) and elevation (p=0.11). Both
headforms exhibited excellent or acceptable repeatability for PLA (HIII:COV=2.1+/
0.8%, MLSH:COV=2.0+/-1.2%, p=0.98), but site-specific repeatability ranging from
excellent to poor for PAA (HIII:COV=7.2+/-4.0%, MLSH:COV=8.3+/-5.8%, p=0.58).
Direction SD were generally <1 degrees and did not vary between headforms.
Overall, both headforms are similarly suitable for validating PLA in sensors that
measure head impact severity in football players, however their utility for
validating sensor PAA values varies with impact location.
PMID- 24920258
TI - Carbonyl compounds and dissolved organic carbon in rainwater of an urban
atmosphere.
AB - This study investigates the occurrence of carbonyl compounds in rainwater at the
city of Thessaloniki, Northern Greece. The concentrations of carbonyl compounds
(as sum of 14 compounds) ranged from 21.8 to 592 MUg/L, mean concentration 119
MUg/L. Formaldehyde, acetaldehyde, hexanal, glyoxal, and methylglyoxal were the
dominant compounds. DOC concentrations in rainwater ranged from 0.46 to 21.3
mg/L. UV-Vis and fluorescence spectra characteristics showed variation among rain
events. Carbonyl compounds were negatively correlated with temperature exhibited
relatively higher concentrations in cold season. They also influenced by storm
origin with higher concentrations under terrestrial air masses. Calm conditions
enhance the concentrations of DOC. Wash out is an effective removal mechanism of
DOC.
PMID- 24920259
TI - Determination of nickel in blood and serum samples of oropharyngeal cancer
patients consumed smokeless tobacco products by cloud point extraction coupled
with flame atomic absorption spectrometry.
AB - Oropharyngeal cancer is a significant public health issue in the world. The
incidence of oropharyngeal cancer has been increased among people who have habit
of chewing smokeless tobacco (SLT) in Pakistan. The aim of present study was to
evaluate the concentration of nickel (Ni) in biological samples (whole blood,
serum) of oral (n = 95) and pharyngeal (n = 84) male cancer patients. For
comparison purposes, the biological samples of healthy age-matched referents (n =
150), who consumed and did not consumed SLT products, were also analyzed for Ni
levels. As the Ni level is very low in biological samples, a preconcentration
procedure has been developed, prior to analysis of analyte by flame atomic
absorption spectrometry (FAAS). The Ni in acid-digested biological samples was
complexed with ammonium pyrrolidinedithio carbamate (APDC), and a resulted
complex was extracted in a surfactant Triton X-114. Acidic ethanol was added to
the surfactant-rich phase prior to its analysis by FAAS. The chemical variables,
such as pH, amounts of reagents (APDC, Triton X-114), temperature, incubation
time, and sample volume were optimized. The resulted data indicated that
concentration of Ni was higher in blood and serum samples of cancer patients as
compared to that of referents who have or have not consumed different SLT
products (p = 0.012-0.001). It was also observed that healthy referents who
consumed SLT products have two to threefold higher levels of Ni in both
biological samples as compared to those who were not chewing SLT products (p <
0.01).
PMID- 24920260
TI - Improving nitrogen removal using a fuzzy neural network-based control system in
the anoxic/oxic process.
AB - Due to the inherent complexity, uncertainty, and posterity in operating a
biological wastewater treatment process, it is difficult to control nitrogen
removal in the biological wastewater treatment process. In order to cope with
this problem and perform a cost-effective operation, an integrated neural-fuzzy
control system including a fuzzy neural network (FNN) predicted model for
forecasting the nitrate concentration of the last anoxic zone and a FNN
controller were developed to control the nitrate recirculation flow and realize
nitrogen removal in an anoxic/oxic (A/O) process. In order to improve the network
performance, a self-learning ability embedded in the FNN model was emphasized for
improving the rule extraction performance. The results indicate that reasonable
forecasting and control performances had been achieved through the developed
control system. The effluent COD, TN, and the operation cost were reduced by
about 14, 10.5, and 17 %, respectively.
PMID- 24920262
TI - Spatial distribution, potential risk assessment, and source apportionment of
polycyclic aromatic hydrocarbons (PAHs) in sediments of Lake Chaohu, China.
AB - Twenty-nine sediment samples were collected from Lake Chaohu, a shallow eutrophic
lake in Eastern China, and were analyzed for 15 priority polycyclic aromatic
hydrocarbons (PAHs) to determine the spatial distribution and exposure risks of
PAHs. Three receptor models, the principal component analysis-multiple linear
regression (PCA-MLR) model, the positive matrix factorization (PMF) model, and
the Unmix model, were used in combination with the PAHs diagnostic ratios to
investigate the potential source apportionment of PAHs. A clear gradient in the
spatial distribution and the potential toxicity of PAHs was observed from west to
east in the sediments of Lake Chaohu. ?15PAH concentrations and the TEQ were in
the range of 80.82-30 365.01 ng g(-1) d.w. and 40.77-614.03, respectively. The
highest values of the aforementioned variables were attributed to urban
industrial pollution sources in the west lake region, and the levels decreased
away from the river inlets. The three different models yielded excellent
correlation coefficients between the predicted and measured levels of the 15 PAH
compounds. Similarly, source apportionment results were derived from the three
receptor models and the PAH diagnostic ratios, suggesting that the highest
contribution to the PAHs was from coal combustion and wood combustion, followed
by vehicular emissions. The PMF model yielded the following contributions to the
PAHs from gasoline combustion, diesel combustion, unburned petroleum emissions,
and wood combustion: 34.49, 24.61, 16.11, 13.01, and 11.78 %, respectively. The
PMF model produced more detailed source apportionment results for the PAHs than
the PCA-MLR and Unmix models.
PMID- 24920261
TI - Expression of biotransformation and oxidative stress genes in the giant
freshwater prawn Macrobrachium rosenbergii exposed to chlordecone.
AB - Chlordecone is a persistent organochlorine pesticide widely used between 1972 and
1993 in the French West Indies to control the root borer in banana fields.
Chlordecone use resulted in long-term pollution of soils, contamination of
waters, of aquatic organisms, and of fields. Chlordecone is known to be
neurotoxic, to increase prostate cancer, and to have negative effects on
cognitive and motor development during infancy. In Guadeloupe, most of the
freshwater species living in contaminated rivers exceed the French legal limit of
20 MUg.kg(-1) wet weight. In the present study, we chose a transcriptomic
approach to study the cellular effects of chlordecone in the giant freshwater
prawn Macrobrachium rosenbergii, an important economical species in Guadeloupe.
Quantitative PCR revealed an induction of genes involved in defense mechanism
against oxidative stress (catalase and selenium-dependent glutathione peroxidase)
in prawns exposed to low environmental concentrations of chlordecone after 12 and
24 h of exposure. In prawns reared in a contaminated farm, transcription of genes
involved in the biotransformation process (cytochrome P450 and glutathione-S
transferase (GST)) were induced after 8 days of exposure. Our results provide
information on the mechanims of defense induced by chlordecone in aquatic
crustacean species. This gene expression study of selected genes should be
further strengthened by proteomic analyses and enzymatic activity assays to
confirm the response of these biomarkers of stress in crustaceans and to give new
insights into the mechanism of toxicity by chlordecone.
PMID- 24920263
TI - Sodium arsenate induce changes in fatty acids profiles and oxidative damage in
kidney of rats.
AB - Six groups of rats (n = 10 per group) were exposed to 1 and 10 mg/l of sodium
arsenate for 45 and 90 days. Kidneys from treated groups exposed to arsenic
showed higher levels of trans isomers of oleic and linoleic acids as trans C181n
9, trans C18:1n-11, and trans C18:2n-6 isomers. However, a significant decrease
in eicosenoic (C20:1n-9) and arachidonic (C20:4n-6) acids were observed in
treated rats. Moreover, the "Delta5 desaturase index" and the
saturated/polyunsaturated fatty acids ratio were increased. There was a
significant increase in the level of malondialdehyde at 10 mg/l of treatment and
in the amount of conjugated dienes after 90 days (p < 0.05). Significant kidney
damage was observed at 10 mg/l by increase of plasma marker enzymes. Histological
studies on the ultrastructure changes of kidney supported the toxic effect of
arsenate exposure. Arsenate intoxication activates significantly the superoxide
dismutase at 10 mg/l for 90 days, whereas the catalase activity was markedly
inhibited in all treated groups (p < 0.05). In addition, glutathione peroxidase
activity was significantly increased at 45 days and dramatically declined after
90 days at 10 mg/l (p < 0.05). A significant increase in the level of glutathione
was marked for the groups treated for 45 and 90 days at 1 mg/l followed by a
significant decrease for rats exposed to 10 mg/l for 90 days. An increase in the
level of protein carbonyl was observed in all treated groups (p < 0.05). In
conclusion, the present study provides evidence for a direct effect of arsenate
on fatty acid (FA) metabolism which concerns the synthesis pathway of n-6
polyunsaturated fatty acids and leads to an increase in the trans FAs isomers.
Therefore, FA-induced arsenate kidney damage could contribute to trigger kidney
cancer.
PMID- 24920264
TI - Effect of water current on the distribution of polycyclic aromatic hydrocarbons,
heavy metals and benthic diatom community in sediments of Haihe estuary, China.
AB - The pollution loads continuously increased in Haihe estuary, of Tianjin, China,
due to intensive human activities, especially the construction of the Haihe Gate
and Lingang Industrial Area. In 2011, hydrological variability in Haihe estuary
was investigated and sediments were collected. Total organic carbon (TOC),
particle size, total polycyclic aromatic hydrocarbons (SigmaPAHs), heavy metals
(Cd, Cr, Cu, Ni, Pb, and Zn), and benthic diatom community were analyzed. The
highest concentrations of SigmaPAHs and heavy metals were found near the Haihe
Gate. The Shannon diversity index and the relative abundance of Coscinodiscus
perforatus (RC) indicated a decreasing trend seaward. Results of Pearson
correlation analysis illustrated significant relations between water current
velocity and SigmaPAHs (p < 0.01), Cr (p < 0.05), and RC (p < 0.05). Path
analysis further indicated that water current played an important role in the
distribution of PAH, Cr, and RC.
PMID- 24920267
TI - Urinary ACE2 in healthy adults and patients with uncomplicated type 1 diabetes.
AB - Angiotensin-converting enzyme 2 (ACE2) is expressed in the kidney and may be
renoprotective. We determined whether urinary ACE2 enzyme activity and protein
levels (ELISA), as well as angiotensinogen and ACE, are elevated during clamped
euglycemia (4-6 mmol.L(-1)) in patients with uncomplicated type 1 diabetes (T1D,
n = 58) compared with normoglycemic controls (n = 21). We also measured the
effect of clamped hyperglycemia (9-11 mmol.L(-1)) on each urinary factor in T1D
patients. Urinary ACE2 activity and protein levels were higher during clamped
euglycemia in T1D compared with the controls (p < 0.0001). In contrast, urinary
angiotensinogen levels (p = 0.27) and ACE excretion (p = 0.68) did not differ. In
response to clamped hyperglycemia in T1D, urinary ACE2 protein decreased (p <
0.0001), whereas urinary ACE2 activity as well as angiotensinogen and ACE levels
remained unchanged. Urinary ACE2 activity and protein expression are increased in
T1D patients prior to the onset of clinical complications. Further work is
required to determine the functional role of urinary ACE2 in early T1D.
PMID- 24920265
TI - Phylogenetic analysis of the microbial community in hypersaline petroleum
produced water from the Campos Basin.
AB - In this work the archaea and eubacteria community of a hypersaline produced water
from the Campos Basin that had been transported and discharged to an onshore
storage facility was evaluated by 16S recombinant RNA (rRNA) gene sequence
analysis. The produced water had a hypersaline salt content of 10 (w/v), had a
carbon oxygen demand (COD) of 4,300 mg/l and contains phenol and other aromatic
compounds. The high salt and COD content and the presence of toxic phenolic
compounds present a problem for conventional discharge to open seawater. In
previous studies, we demonstrated that the COD and phenolic content could be
largely removed under aerobic conditions, without dilution, by either addition of
phenol degrading Haloarchaea or the addition of nutrients alone. In this study
our goal was to characterize the microbial community to gain further insight into
the persistence of reservoir community members in the produced water and the
potential for bioremediation of COD and toxic contaminants. Members of the
archaea community were consistent with previously identified communities from
mesothermic reservoirs. All identified archaea were located within the phylum
Euryarchaeota, with 98 % being identified as methanogens while 2 % could not be
affiliated with any known genus. Of the identified archaea, 37 % were identified
as members of the strictly carbon-dioxide-reducing genus Methanoplanus and 59 %
as members of the acetoclastic genus Methanosaeta. No Haloarchaea were detected,
consistent with the need to add these organisms for COD and aromatic removal.
Marinobacter and Halomonas dominated the eubacterial community. The presence of
these genera is consistent with the ability to stimulate COD and aromatic removal
with nutrient addition. In addition, anaerobic members of the phyla Thermotogae,
Firmicutes, and unclassified eubacteria were identified and may represent
reservoir organisms associated with the conversion hydrocarbons to methane.
PMID- 24920268
TI - Tree mineral nutrition is deteriorating in Europe.
AB - The response of forest ecosystems to increased atmospheric CO2 is constrained by
nutrient availability. It is thus crucial to account for nutrient limitation when
studying the forest response to climate change. The objectives of this study were
to describe the nutritional status of the main European tree species, to identify
growth-limiting nutrients and to assess changes in tree nutrition during the past
two decades. We analysed the foliar nutrition data collected during 1992-2009 on
the intensive forest monitoring plots of the ICP Forests programme. Of the 22
significant temporal trends that were observed in foliar nutrient concentrations,
20 were decreasing and two were increasing. Some of these trends were alarming,
among which the foliar P concentration in F. sylvatica, Q. Petraea and P.
sylvestris that significantly deteriorated during 1992-2009. In Q. Petraea and P.
sylvestris, the decrease in foliar P concentration was more pronounced on plots
with low foliar P status, meaning that trees with latent P deficiency could
become deficient in the near future. Increased tree productivity, possibly
resulting from high N deposition and from the global increase in atmospheric CO2,
has led to higher nutrient demand by trees. As the soil nutrient supply was not
always sufficient to meet the demands of faster growing trees, this could partly
explain the deterioration of tree mineral nutrition. The results suggest that
when evaluating forest carbon storage capacity and when planning to reduce CO2
emissions by increasing use of wood biomass for bioenergy, it is crucial that
nutrient limitations for forest growth are considered.
PMID- 24920269
TI - Monitoring the AML1/ETO fusion transcript to predict outcome in childhood acute
myeloid leukemia.
AB - BACKGROUND: To determine the prognostic significance of the detection of the
minimal residual disease (MRD) in children with AML1/ETO AML, we compared the
results of reverse-transcription polymerase chain reaction (RT-PCR) and
quantitative reverse-transcription polymerase chain reaction (RQ-PCR). PROCEDURE:
Between January 2006 and February 2013, 70 patients (<=16 years of age) with
AML1/ETO AML were included in our study. Bone marrow samples were evaluated using
by both RT-PCR and RQ-PCR assays. AML1/ETO transcripts were normalized to 10(5)
ABL copies. RESULTS: When treated with fewer than four courses of therapy, no
association was found between positive RT-PCR results and relapse. After four
courses of therapy, a positive RT-PCR result was correlated with a probability of
relapse. After induction chemotherapy, a >1.8 log reduction in AML1/ETO
transcripts in BM determined by RQ-PCR may represent a subgroup of patients at
low risk for relapse. MRD levels after consolidation (Courses 2 and 3) were also
informative. CONCLUSION: Both RT-PCR and RQ-PCR can be used to detect MRD in
childhood AML1/ETO AML. RQ-PCR can identify patients who are at high risk of
relapse earlier than can RT-PCR.
PMID- 24920270
TI - Impact of daily Chlorella consumption on serum lipid and carotenoid profiles in
mildly hypercholesterolemic adults: a double-blinded, randomized, placebo
controlled study.
AB - BACKGROUND: High level of serum cholesterol is considered to be a major risk
factor for cardiovascular disease (CVD). A double-blinded, randomized, placebo
controlled trial was performed to test the hypothesis that a daily intake of
Chlorella may improve serum lipid profile through enhancement of serum carotenoid
concentration in mildly hypercholesterolemic subjects. METHODS: Eligible subjects
(n = 63) were randomized to either Chlorella (5 g/day) or placebo for a double
blinded trial with a 2-week lead-in period and a 4-week intervention period.
Serum triglycerides, total cholesterol, lipoproteins, apolipoproteins and
carotenoids were assessed at the beginning and the end of the trial. RESULTS:
Compared with the control group, the Chlorella group exhibited remarkable changes
in total cholesterol (Chlorella -1.6%; placebo 0.03%; P = 0.036), triglycerides
(Chlorella -10.3%; placebo 11.9%; P = 0.002), lutein/zeaxanthin (Chlorella 89.6%;
placebo -1.7%; P < 0.0001), and alpha-carotene (Chlorella 163.6%; placebo 15%; P
< 0.0001). Improvement of serum lipids was supported by significant reductions of
very low-density lipoprotein cholesterol (Chlorella -11%; placebo 11.8%; P =
0.006), apolipoprotein B (Chlorella -1.5%; placebo 1.7%; P = 0.044), non high
density lipoprotein (Chlorella -2.6%; placebo -0.5%; P = 0.032), and high-density
lipoprotein/triglycerides (Chlorella 4.0%; placebo -9.5%; P = 0.023), suggesting
an inhibitory effect of Chlorella on the intestinal absorption of dietary and
endogenous lipids. Further, the changes of serum lipids appeared to be associated
with the changes of serum carotenoids. CONCLUSION: Daily consumption of Chlorella
supplements provided the potential of health benefits reducing serum lipid risk
factors, mainly triglycerides and total cholesterol, in mildly
hypercholesterolemic subjects. The effect was related to carotenoid consumption.
TRIAL REGISTRATION: WHO International Clinical Trials Registry Platform
KCT0000259.
PMID- 24920271
TI - Dichotomisation of a continuous outcome and effect on meta-analyses: illustration
of the distributional approach using the outcome birthweight.
AB - BACKGROUND: Power and precision are greater in meta-analyses than individual
study analyses. However, dichotomisation of continuous outcomes in certain
studies poses a problem as estimates from primary studies can only be pooled if
they have a common outcome. Meta-analyses may include pooled summaries of either
or both the continuous and dichotomous forms, and potentially have a different
combination of studies for each depending on whether the outcome was dichotomised
in the primary studies or not. This dual-outcome issue can lead to loss of power
and/or selection bias. In this study we aimed to illustrate how dichotomisation
of a continuous outcome in primary studies may result in biased estimates of
pooled risk and odds ratios in meta-analysis using secondary analyses of
published meta-analyses with the outcome, birthweight, which is commonly analysed
both as continuous, and dichotomous (low birthweight: birthweight < 2,500 g).
METHODS: Meta-analyses published in January 2010 - December 2011 were obtained
using searches in PubMed, Embase, Web of Science, and Cochrane Database of
Systematic Reviews with the outcome birthweight. We used a distributional method
to estimate the pooled odds/risk ratio of low birthweight and its standard error
as a function of the data reported in the primary studies of the included meta
analyses where accessible. RESULTS: Seventy-six meta-analyses were identified.
Thirty-seven percent (28/76) of the meta-analyses reported only the dichotomous
form of the outcome while 26% (20/76) reported only the continuous form. In one
meta-analysis (1/76), birthweight was analysed as continuous for one intervention
and as binary for another and 36% (27/76) presented both dichotomous and
continuous birthweight summaries. In meta-analyses with a continuous outcome,
primary studies data were accessible in 39/48 and secondary analyses using the
distributional approach provided consistent inferences for both the continuous
and distributional estimates in 38/39. CONCLUSION: The distributional method
applied in primary studies allows both a continuous and dichotomous outcome to be
estimated providing consistent inferences. The use of this method in primary
studies may restrict selective outcome bias in meta-analyses.
PMID- 24920272
TI - Factors Affecting Job Satisfaction of Immigrant Korean Nurses.
AB - PURPOSE: An increasing number of foreign-born nurses are working in the United
States. Nurses' job satisfaction is a critical issue for quality patient care.
The purpose of this study was to examine factors affecting the job satisfaction
of immigrant Korean nurses. DESIGN: We used a cross-sectional mailed survey
design. A convenience sample (n = 105) of members of the Greater New York Korean
Nurses Association currently working or had previously worked in the United
States completed the questionnaires. We used hierarchical regression to test the
effects of acculturation and life satisfaction on job satisfaction. RESULTS: Most
participants were female (n = 98, 93.3%) aged 27 to 70 years (mean = 52.27 years,
SD = 10.67). In the regression model, life satisfaction, self-esteem, and
perceived stress predicted job satisfaction (F = 5.127, p < .001) and explained
44.5% of the variance of job satisfaction. DISCUSSION AND CONCLUSION: U.S. nurses
need to gain insight into factors influencing job satisfaction in Korean nurses
to promote retention and quality care.
PMID- 24920273
TI - Spontaneous running wheel improves cognitive functions of mouse associated with
miRNA expressional alteration in hippocampus following traumatic brain injury.
AB - Traumatic brain injury (TBI) is an insult to the brain that results in
impairments of cognitive and physical functioning. Both of human research and
animal studies demonstrate that spontaneous exercise can facilitate neuronal
plasticity and improve cognitive function in normal or TBI rodent models.
However, the possible mechanisms underlying are still not well known. We
postulated that spontaneous running wheel (RW) altered microRNA (miRNA)
expressions in hippocampus of mice following TBI, which might be associated with
the improvement in cognitive functions. In the present study, acquisition of
spatial learning and memory retention was assessed by using the Morris water maze
(MWM) test on days 15 post RW exercise. Then, microarray analyses in miRNA files
were employed, and the expressional changes of miRNAs in the hippocampus of mice
were detected. The results showed that spontaneous RW exercise (i) recovered the
hippocampus-related cognitive deficits induced by TBI, (ii) altered hippocampal
expressions of miRNAs in both of sham and TBI mice, and (iii) miR-21 or miR-34a
was associated with the recovery process. The present results indicated that an
epigenetic mechanism might be involved in voluntary exercise-induced cognitive
improvement of mice that suffered from TBI.
PMID- 24920274
TI - Meiotic long non-coding meiRNA accumulates as a dot at its genetic locus
facilitated by Mmi1 and plays as a decoy to lure Mmi1.
AB - Long non-coding RNAs (lncRNAs) play key roles in the formation of nuclear bodies.
In the fission yeast Schizosaccharomyces pombe, a lncRNA species termed meiRNA
forms a nuclear dot structure at its own genetic locus, the sme2 locus, with its
protein-binding partner Mei2. This dot structure, called Mei2 dot, promotes the
progression of meiosis by suppressing Mmi1, a crucial factor involved in the
selective elimination of meiosis-specific transcripts. The meiRNA itself is a
target of Mmi1-mediated elimination and is supposed to function as a decoy to
lure Mmi1. However, detailed mechanisms underlying the formation of Mei2 dot and
inactivation of Mmi1 remain ambiguous. Here, we show that the localization of
meiRNA, at its genetic locus sme2, depends on its association with Mmi1. We also
demonstrate that one of the multiple Mmi1 foci in mitotic cells localizes to the
sme2 locus. Furthermore, the overexpression of meiRNA promotes the accumulation
of Mmi1 to the sme2 locus even in the absence of Mei2 and reduces the activity of
Mmi1. These findings indicate that the retention of meiRNA at its genetic locus
is facilitated by Mmi1, which then attracts scattered Mmi1 to inhibit its
function.
PMID- 24920275
TI - Effect of erythropoietin on primed leucocyte expression profile.
AB - Resistance to erythropoietin (EPO) affects a significant number of anaemic
patients with end-stage renal disease. Previous reports suggest that inflammation
is one of the major independent predictors of EPO resistance, and the effects of
EPO treatment on inflammatory mediators are not well established. The aim of this
study was to investigate EPO-induced modification to gene expression in primary
cultured leucocytes. Microarray experiments were performed on primed ex vivo
peripheral blood mononuclear cells (PBMCs) and treated with human EPO-alpha. Data
suggested that EPO-alpha modulated genes involved in cell movement and
interaction in primed PBMCs. Of note, EPO-alpha exerts anti-inflammatory effects
inhibiting the expression of pro-inflammatory cytokine IL-8 and its receptor
CXCR2; by contrast, EPO-alpha increases expression of genes relating to promotion
of inflammation encoding for IL-1beta and CCL8, and induces de novo synthesis of
IL-1alpha, CXCL1 and CXCL5 in primed cells. The reduction in MAPK p38-alpha
activity is involved in modulating both IL-1beta and IL-8 expression. Unlike the
induction of MAPK, Erk1/2 activity leads to upregulation of IL-1beta, but does
not affect IL-8 expression and release. Furthermore, EPO-alpha treatment of
primed cells induces the activation of caspase-1 upstream higher secretion of IL
1beta, and this process is not dependent on caspase-8 activation. In conclusion,
our findings highlight new potential molecules involved in EPO resistance and
confirm the anti-inflammatory role for EPO, but also suggest a plausible in vivo
scenario in which the positive correlation found between EPO resistance and
elevated levels of some pro-inflammatory mediators is due to treatment with EPO
itself.
PMID- 24920276
TI - Differential regulation of aggressive features in melanoma cells by members of
the miR-17-92 complex.
AB - The various roles of microRNAs (miRNAs) in controlling the phenotype of cancer
cells are the focus of contemporary research efforts. We have recently shown that
miR-17 directly targets the ADAR1 gene and thereby enhances melanoma cell
aggressiveness. miR-17 and miR-20a belong to the miR-17/92 complex, and their
mature forms are identical except for two non-seed nucleotides. Nevertheless,
here we show that these two miRNAs carry markedly different effects on melanoma
cells. A strong positive correlation was observed between the expression of miR
17 and miR-20a among various melanoma cultures. Luciferase assays showed that miR
17 but not miR-20a directly targets the 3' untranslated region of the ADAR1 gene.
Ectopic expression of these miRNAs in melanoma cells differentially alters the
expression of five exemplar TargetScan-predicted target genes: ADAR1, ITGB8,
TGFBR2, MMP2 and VEGF-A. Whole-genome expression microarrays confirm a markedly
differential effect on the transcriptome. Functionally, over-expression of miR
20a but not of miR-17 in melanoma cells inhibits net proliferation in vitro. The
differential functional effect was observed following ectopic expression of the
mature miRNA or of the pre-miRNA sequences. This suggests that the two non-seed
nucleotides dictate target sequence recognition and overall functional relevance.
These miRNAs are clearly not redundant in melanoma cell biology.
PMID- 24920277
TI - Dapagliflozin added to glimepiride in patients with type 2 diabetes mellitus
sustains glycemic control and weight loss over 48 weeks: a randomized, double
blind, parallel-group, placebo-controlled trial.
AB - INTRODUCTION: Maintenance of drug efficacy and safety over the long term is
important to investigate for progressive conditions like type 2 diabetes mellitus
(T2DM). This study aimed to evaluate whether efficacy of dapagliflozin added to
glimepiride observed at 24 weeks was maintained at 48 weeks, and to provide
further safety and tolerability data in patients with T2DM. METHODS: This 24-week
randomized, double-blind, parallel-group, placebo-controlled trial with a 24-week
double-blind extension period enrolled adults whose T2DM was inadequately
controlled [glycated hemoglobin (HbA1c) 7.0-10.0%] on sulfonylurea monotherapy.
Patients were randomized to placebo (n = 146) or dapagliflozin 2.5 mg (n = 154),
5 mg (n = 145), or 10 mg (n = 151) per day added to open-label glimepiride 4
mg/day. RESULTS: In total, 519 patients (87.1%) completed the study. At 48 weeks,
HbA1c adjusted mean changes from baseline for the placebo versus dapagliflozin
2.5/5/10-mg groups were -0.04% versus -0.41%, -0.56% and -0.73%, respectively.
There were no meaningful differences in HbA1c changes from baseline from 24 to 48
weeks, indicating that glycemic efficacy was maintained. Improvements in fasting
plasma glucose and post-challenge plasma glucose were also observed with
dapagliflozin over 48 weeks. Dapagliflozin 2.5/5/10 mg produced sustained
reductions in weight (-1.36/-1.54/-2.41 kg) versus placebo (-0.77 kg). Adjusted
mean reductions from baseline in systolic blood pressure were also greater than
placebo for all dapagliflozin doses. In the placebo versus dapagliflozin groups,
serious adverse events were 8.9% versus 8.6-11.0%, hypoglycemic events were 6.8%
versus 9.7-11.3%, and events suggestive of genital infection were 1.4% versus 5.2
8.6%. CONCLUSION: Dapagliflozin added to glimepiride improved glycemic control
and body weight, with short-term findings maintained during the study's extension
period. Therapy was generally well tolerated over 48 weeks; hypoglycemic events
and events suggestive of genital infection were reported more often in patients
receiving dapagliflozin.
PMID- 24920278
TI - Design and implementation of an online systemic human anatomy course with
laboratory.
AB - Systemic Human Anatomy is a full credit, upper year undergraduate course with a
(prosection) laboratory component at Western University Canada. To meet
enrollment demands beyond the physical space of the laboratory facility, a fully
online section was developed to run concurrently with the traditional face to
face (F2F) course. Lectures given to F2F students are simultaneously broadcasted
to online students using collaborative software (Blackboard Collaborate). The
same collaborative software is used by a teaching assistant to deliver laboratory
demonstrations in which three-dimensional (3D) virtual anatomical models are
manipulated. Ten commercial software programs were reviewed to determine their
suitability for demonstrating the virtual models, resulting in the selection of
Netter's 3D Interactive Anatomy. Supplementary online materials for the central
nervous system were developed by creating 360 degrees images of plastinated
prosected brain specimens and a website through which they could be accessed.
This is the first description of a fully online undergraduate anatomy course with
a live, interactive laboratory component. Preliminary data comparing the online
and F2F student grades suggest that previous student academic performance, and
not course delivery format, predicts performance in anatomy. Future qualitative
studies will reveal student perceptions about their learning experiences in both
of the course delivery formats.
PMID- 24920279
TI - A genomic perspective on the important genetic mechanisms of upland adaptation of
rice.
AB - BACKGROUND: Cultivated rice consists of two important ecotypes, upland and
irrigated, that have respectively adapted to either dry land or irrigated
cultivation. Upland rice, widely adopted in rainfed upland areas in virtue of its
little water requirement, contains abundant untapped genetic resources, such as
genes for drought adaptation. With water shortage exacerbated and population
expanding, the need for breeding crop varieties with drought adaptation becomes
more and more urgent. However, a previous oversight in upland rice research
reveals little information regarding its genetic mechanisms for upland adaption,
greatly hindering progress in harnessing its genetic resources for breeding and
cultivation. RESULTS: In this study, we selected 84 upland and 82 irrigated
accessions from all over the world, phenotyped them under both irrigated and dry
land environments, and investigated the phylogenetic relations and population
structure of the upland ecotype using whole genome variation data. Further
comparative analysis yields a list of differentiated genes that may account for
the phenotypic and physiological differences between upland and irrigated rice.
CONCLUSIONS: This study represents the first genomic investigation in a large
sample of upland rice, providing valuable gene list for understanding upland rice
adaptation, especially drought-related adaptation, and its subsequent utilization
in modern agriculture.
PMID- 24920280
TI - Isolated hyperthyrotropinemia in childhood obesity and its relation with
metabolic parameters.
AB - PURPOSE: The aim of the presented study was to evaluate the prevalence of
isolated hyperthyrotropinemia (IH) in obese children and the relation between
anthropometric and metabolic parameters. METHODS: Hospital records of the
children, who presented to the Pediatric Endocrinology outpatient clinic of our
institution with obesity, and age and gender-matched healthy children, who had
undergone thyroid function test for any reason were retrospectively reviewed.
RESULTS: The prevalence of IH was significantly higher in the obese group than in
the controls (9.2 and 3.8 %, respectively). Body mass index-standard deviation
score (BMI-SDS), thyroid-stimulating hormone (TSH), lipid parameters were
significantly different in the obese group than in the control group. A positive
correlation between TSH and BMI-SDS and negative correlation between TSH and free
T4 (fT4) levels were found in obese subjects. Stepwise multiple linear regression
analysis confirmed that BMI-SDS, fT4 and triglyceride levels were the strongest
independent variables correlated with TSH level in obese subjects (r (2) = 0.046,
p = 0.001). CONCLUSIONS: IH prevalence is higher in obese children as compared to
healthy children and the increase in TSH level correlates negatively with serum
fT4 and positively with BMI-SDS and triglyceride levels in obese children.
PMID- 24920281
TI - Plasma nesfatin-1 levels are increased in patients with polycystic ovary
syndrome.
AB - BACKGROUND: Nesfatin-1 is a recently discovered neuropeptide derived from its
precursor nucleobindin-2 (NUCB2) and has been implicated in the regulation of
feeding and energy metabolism. It is located in the brain and also produced at
the periphery and present in the plasma. However, its pathophysiological role in
humans remains unknown. Polycystic ovary syndrome (PCOS) is commonly presented
with obesity, insulin resistance, hyperandrogenemia and hirsutism. AIM: To
characterize serum nesfatin-1 levels in PCOS women and determine association of
nesfatin-1 with metabolic parameters. MATERIALS AND METHODS: It is a cross
sectional study of 55 PCOS and 28 healthy women matched in age, in a university
hospital setting. Anthropometric, hormonal, metabolic parameters and nesfatin-1
blood levels were determined. RESULTS: Nesfatin-1 levels were significantly
higher in PCOS group compared with the controls 371.43 +/- 2.50 versus 275.55 +/-
1.74 pg/mL. Multivariate logistic regression analysis that contains: nesfatin-1,
body mass index and homeostasis model assessment index revealed significant
correlation of nesfatin-1 with the existence of PCOS (p < 0.05). CONCLUSIONS:
Higher nesfatin-1 levels in PCOS women compared to control group may suggest a
possibility that nesfatin-1 may play some role in the PCOS.
PMID- 24920282
TI - Postural balance in pregnancies complicated by hyperemesis gravidarum.
AB - OBJECTIVE: To assess postural balance in females with pregnancies complicated by
hyperemesis gravidarum (HG). METHODS: In this observational study, postural
balance during the first trimester was measured using the Biodex Balance System
(BBS) in 41 pregnant females (20 females with pregnancies complicated by HG and
21 healthy controls). The overall stability index (OA), anterior-posterior
stability index (APSI), medial-lateral stability index (MLSI) and fall risk test
(FRT) scores were obtained from the mean scores of three trials on the BSS. The
four measurements obtained from the BBS (OA, APSI, MLSI and FRT) were compared
between healthy pregnant females and those with pregnancies complicated by HG (HG
group). RESULTS: The mean OA and APSI scores were significantly higher in the HG
group compared to healthy pregnant controls (p < 0.01). There was no significant
difference in the MLSI between the two groups (p > 0.05). The FRT scores of HG
patients were higher than healthy pregnant females (p = 0.001). CONCLUSIONS:
Pregnant females with HG have poor postural stability/balance and high fall risk
test scores. HG causes decreased postural equilibrium in the first trimester of
pregnancy.
PMID- 24920283
TI - Oxytocin, its antagonist Atosiban, and preterm labor: a role for placental nitric
oxide.
AB - OBJECTIVE: The aim of the present study was to understand the role played by
Atosiban, an oxytocin receptor antagonist, on trophoblastic human cells, and the
molecular bases of its efficacy and safety in the treatment of preterm labor. NO,
peroxinitrite production and NOS expression have been evaluated on placenta
obtained from term and preterm labors. PATIENTS AND METHODS: We studied
trophoblast cells isolated from selected placental tissue from 20 controls and 20
preterm patients after cesarean sections. Each sample was studied at basal state
and after 2 hours incubation with oxytocin and Atosiban. RESULTS: Significant
variations of NO levels, peroxynitrite production and iNOS and eNOS expression
both in the preterm, term samples and in each of the considered groups were
observed. In the control group Atosiban re-established NO levels that were
reduced after incubation with oxytocin, while in preterm samples NO levels were
not only re-established but, after incubation with Atosiban, significantly
increased compared to basal levels. CONCLUSIONS: This confirms the beneficial
role of Atosiban in prolonging the pregnancy of spontaneous labor at very early
gestational periods. In conclusion, Atosiban might be an effective drug to
prevent preterm labor, in the therapeutic approach to this pathology.
PMID- 24920284
TI - The early bird catches the worm - predicting the onset of gestational diabetes in
the first trimester.
PMID- 24920285
TI - Processing of emotion words by patients with autism spectrum disorders: evidence
from reaction times and EEG.
AB - This study investigated processing of emotion words in autism spectrum disorders
(ASD) using reaction times and event-related potentials (ERP). Adults with (n =
21) and without (n = 20) ASD performed a lexical decision task on emotion and
neutral words while their brain activity was recorded. Both groups showed faster
responses to emotion words compared to neutral, suggesting intact early
processing of emotion in ASD. In the ERPs, the control group showed a typical
late positive component (LPC) at 400-600 ms for emotion words compared to
neutral, while the ASD group showed no LPC. The between-group difference in LPC
amplitude was significant, suggesting that emotion words were processed
differently by individuals with ASD, although their behavioral performance was
similar to that of typical individuals.
PMID- 24920288
TI - L-Dihydroxyphenylalanine induces melanin production by members of the genus
Trichosporon.
AB - Melanization of members of the genus Trichosporon is poorly described. In this
study, six strains, including two clinical isolates, from four different species
(Trichosporon asahii, T. asteroides, T. inkin, and T. mucoides) were grown in
culture media with or without L-dihydroxyphenylalanine (L-DOPA). Each strain
produced a brownish pigment compatible with melanin when cultured in presence of
L-DOPA, suggesting that these species are able to produce eumelanin. L-tyrosine
was not able to elicit any type of pigment production on cultures. As eumelanin
is produced by several fungi during parasitism, this pigment may contribute to
Trichosporon virulence.
PMID- 24920287
TI - Pregnant women with posttraumatic stress disorder and risk of preterm birth.
AB - IMPORTANCE: Posttraumatic stress disorder (PTSD) occurs in about 8% of pregnant
women. Stressful conditions, including PTSD, are inconsistently linked to preterm
birth. Psychotropic treatment has been frequently associated with preterm birth.
Identifying whether the psychiatric illness or its treatment is independently
associated with preterm birth may help clinicians and patients when making
management decisions. OBJECTIVE: To determine whether a likely diagnosis of PTSD
or antidepressant and benzodiazepine treatment during pregnancy is associated
with risk of preterm birth. We hypothesized that pregnant women who likely had
PTSD and women receiving antidepressant or anxiolytic treatment would be more
likely to experience preterm birth. DESIGN, SETTING, AND PARTICIPANTS:
Longitudinal, prospective cohort study of 2654 women who were recruited before 17
completed weeks of pregnancy from 137 obstetrical practices in Connecticut and
Western Massachusetts. EXPOSURES: Posttraumatic stress disorder, major depressive
episode, and use of antidepressant and benzodiazepine medications. MAIN OUTCOMES
AND MEASURES: Preterm birth, operationalized as delivery prior to 37 completed
weeks of pregnancy. Likely psychiatric diagnoses were generated through
administration of the Composite International Diagnostic Interview and the
Modified PTSD Symptom Scale. Data on medication use were gathered at each
participant interview. RESULTS: Recursive partitioning analysis showed elevated
rates of preterm birth among women with PTSD. A further split of the PTSD node
showed high rates for women who met criteria for a major depressive episode,
which suggests an interaction between these 2 exposures. Logistic regression
analysis confirmed risk for women who likely had both conditions (odds ratio
[OR], 4.08 [95% CI, 1.27-13.15]). For each point increase on the Modified PTSD
Symptom Scale (range, 0-110), the risk of preterm birth increased by 1% to 2%.
The odds of preterm birth are high for women who used a serotonin reuptake
inhibitor (OR, 1.55 [95% CI, 1.02-2.36]) and women who used a benzodiazepine
medication (OR, 1.99 [95% CI, 0.98-4.03]). CONCLUSIONS AND RELEVANCE: Women with
likely diagnoses of both PTSD and a major depressive episode are at a 4-fold
increased risk of preterm birth; this risk is greater than, and independent of,
antidepressant and benzodiazepine use and is not simply a function of mood or
anxiety symptoms.
PMID- 24920289
TI - GEP-NETS update: a review on surgery of gastro-entero-pancreatic neuroendocrine
tumors.
AB - The incidence of neuroendocrine tumors (NETs) has increased in the last decades.
Surgical treatment encompasses a panel of approaches ranging from conservative
procedures to extended surgical resection. Tumor size and localization usually
represent the main drivers in the choice of the most appropriate surgical
resection. In the presence of small (<2 cm) and asymptomatic nonfunctioning NETs,
a conservative treatment is usually recommended. For localized NETs measuring
above 2 cm, surgical resection represents the cornerstone in the management of
these tumors. As they are relatively biologically indolent, an extended resection
is often justified also in the presence of advanced NETs. Surgical options for
NET liver metastases range from limited resection up to liver transplantation.
Surgical choices for metastatic NETs need to consider the extent of disease, the
grade of tumor, and the presence of extra-abdominal disease. Any surgical
procedures should always be balanced with the benefit of survival or relieving
symptoms and patients' comorbidities.
PMID- 24920290
TI - Endocrinology and Adolescence: aerobic exercise reduces insulin resistance
markers in obese youth: a meta-analysis of randomized controlled trials.
AB - OBJECTIVE: The purpose of this meta-analysis was to examine the evidence for the
effectiveness of aerobic exercise interventions on reducing insulin resistance
markers in obese children and/or adolescents. A secondary outcome was change in
percentage of body fat. METHODS: A computerized search was made from seven
databases: CINAHL, Cochrane Central Register of Controlled Trials, EMBASE, ERIC,
MEDLINE, PsycINFO, and Science Citation Index. The analysis was restricted to
randomized controlled trials that examined the effect of aerobic exercise on
insulin resistance markers in obese youth. Two independent reviewers screened
studies and extracted data. Effect sizes (ES) and 95% confidence interval (CI)
were calculated, and the heterogeneity of the studies was estimated using
Cochran's Q-statistic. RESULTS: Nine studies were selected for meta-analysis as
they fulfilled the inclusion criteria (n=367). Aerobic exercise interventions
resulted in decreases in fasting glucose (ES=-0.39; low heterogeneity) and
insulin (ES=-0.40; low heterogeneity) and in percentage of body fat (ES=-0.35;
low heterogeneity). These improvements were specifically accentuated in
adolescents (only in fasting insulin), or through programs lasting more than 12
weeks, three sessions per week, and over 60 min of aerobic exercise per session.
CONCLUSIONS: This meta-analysis provides insights into the effectiveness of
aerobic exercise interventions on insulin resistance markers in the obese youth
population.
PMID- 24920292
TI - Plasma irisin levels progressively increase in response to increasing exercise
workloads in young, healthy, active subjects.
AB - BACKGROUND: Irisin, a recently discovered myokine, has been shown to induce
browning of white adipose tissue, enhancing energy expenditure and mediating some
of the beneficial effects of exercise. We aimed to estimate the time frame of
changes in irisin levels after acute exercise and the effect of different
exercise workloads and intensities on circulating irisin levels immediately post
exercise. METHODS: In a pilot study, four healthy subjects (22.5+/-1.7 years)
underwent maximal workload exercise (maximal oxygen consumption, VO2 max) and
blood was drawn at prespecified intervals to define the time frame of pre- and
post-exercise irisin changes over a 24-h period. In the main study, 35 healthy,
non-smoking (23.0+/-3.3 years) men and women (n=20/15) underwent three exercise
protocols >=48-h apart, in random order: i) maximal workload (VO2 max); ii)
relative workload (70% of VO2 max/10 min); and iii) absolute workload (75 W/10
min). Blood was drawn immediately pre-exercise and 3 min post-exercise. RESULTS:
In the pilot study, irisin levels increased by 35% 3 min post-exercise, then
dropped and remained relatively constant. In the main study, irisin levels post
exercise were significantly higher than those of pre-exercise after all workloads
(all, P<0.001). Post-to-pre-exercise differences in irisin levels were
significantly different between workloads (P=0.001), with the greatest increase
by 34% following maximal workload (P=0.004 vs relative and absolute).
CONCLUSIONS: Circulating irisin levels were acutely elevated in response to
exercise, with a greater increase after maximal workload. These findings suggest
that irisin release could be a function of muscle energy demand. Future studies
need to determine the underlying mechanisms of irisin release and explore
irisin's therapeutic potential.
PMID- 24920291
TI - Association between the p27 rs2066827 variant and tumor multiplicity in patients
harboring MEN1 germline mutations.
AB - OBJECTIVE: To date, no evidence of robust genotype-phenotype correlation or
disease modifiers for multiple endocrine neoplasia type 1 (MEN1) syndrome has
been described, leaving the highly variable clinical presentation of patients
unaccounted for. DESIGN: As the CDKN1B (p27) gene causes MEN4 syndrome and it is
transcriptionally regulated by the product of the MEN1 gene (menin), we sought to
analyze whether p27 influences the phenotype of MEN1-mutated patients. The cohort
consisted of 100 patients carrying germline MEN1 gene mutations and 855
population-matched control individuals. METHODS: Genotyping of the coding p27
c.326T>G (V109G) variant was performed by sequencing and restriction site
digestion, and the genotypes were associated with clinical parameters by
calculating odds ratios (ORs) and their 95% CIs using logistic regression.
RESULTS: There were significant differences in p27 V109G allele frequencies
between controls and MEN1-mutated patients (OR=2.55, P=0.019, CI=1.013-5.76).
Among patients who are >=30 years old carrying truncating MEN1 mutations, the T
allele was strongly associated with susceptibility to tumors in multiple glands
(three to four glands affected vs one to two glands affected; OR=18.33; P=0.002,
CI=2.88-16.41). This finding remained significant after the Bonferroni's multiple
testing correction, indicating a robust association. No correlations were
observed with the development of MEN1-related tumors such as hyperparathyroidism,
pituitary adenomas, and enteropancreatic and adrenocortical tumors. CONCLUSIONS:
Our study suggests that the p27 tumor suppressor gene acts as a disease modifier
for the MEN1 syndrome associated with MEN1 germline mutations. If confirmed in
independent patient cohorts, this finding could facilitate the management of this
clinically complex disease.
PMID- 24920293
TI - First-trimester exposure to bupropion and risk of cardiac malformations.
AB - PURPOSE: Bupropion is a drug uniquely used both to treat depression and as an aid
to smoking cessation. We investigated previously reported associations between
first-trimester exposure to bupropion and cardiac defects. METHODS: Using data
gathered since 2003 by the Slone Epidemiology Center's Case-control Birth Defects
Study, we classified subjects with cardiac defects into subgroups. Exposure
categories included first-trimester bupropion alone or in combination with other
antidepressants, first-trimester antidepressants other than bupropion, and no
exposure to any antidepressant at any time from 2 months prior to pregnancy
through delivery. We calculated odds ratios and 95% confidence intervals,
controlling for confounding using logistic regression. RESULTS: There were 8611
non-malformed infants and 7913 infants with cardiac defects. Eight cardiac
subgroups had sufficient subjects (two or more exposed cases) for analysis. The
adjusted odds ratio (aOR) for first-trimester bupropion use in relation to
ventricular septal defect (VSD) was slightly elevated (1.6, 95% confidence
interval 1.0-2.8); for exposure to bupropion alone, the aOR was 2.5 (95%
confidence interval 1.3-5.0). Risks were not materially elevated for bupropion in
relation to the other seven cardiac subgroups. CONCLUSIONS: We did not confirm
previously reported associations for left-sided defects overall but had too few
exposed cases to evaluate specific defects in this category. We did observe an
elevated risk of VSD following first-trimester bupropion use, particularly when
used without other antidepressants. This pattern for bupropion alone was observed
in all our risk comparisons and was not explained by higher doses or gestational
timing.
PMID- 24920295
TI - Bioterrorism: myth or reality?
PMID- 24920294
TI - Combination of hemoglobin and left ventricular ejection fraction as a new
predictor of contrast induced nephropathy in patients with non-ST elevation
myocardial infarction.
AB - BACKGROUND: Hemoglobin concentration (Hb) and left ventricular ejection fraction
(EF) are known predictors of contrast induced nephropathy (CIN). We hypothesized
that combination of Hb concentration and left ventricular EF is superior to
either variable alone in predicting contrast induced nephropathy in patients with
acute coronary syndrome (ACS). MATERIAL AND METHODS: Consecutive patients with
ACS were prospectively enrolled. Patients considered for invasive strategy were
included. Baseline creatinine levels were detected on admission and 24, 48 and 72
hours after coronary intervention. 25% or 0,5 umol/L increase in creatinine level
was considered as CIN. RESULTS: 268 patients with ACS (mean age 58+/-11 years,
77% male) were enrolled. Contrast induced nephropathy was observed in 26 (9.7%)
of patients. Baseline creatinine concentration, left ventricular EF, and
Hemoglobin was significantly different between two groups. Contrast volume to
estimated glomerular filtration rate ratio (OR: 1.310, 95% CI: 1.077-1.593,
p=0.007) and the combination of Hb and left ventricular EF (OR: 0.996, 95% CI:
0.994-0.998, p=0.001) were found to be independent predictors for CIN. Hb * LVEF
<=690 had 85% sensitivity and 57% specificity to predict CIN (area under curve:
0.724, 95% CI: 0.625-0.824, p<0.001). In addition, Hb * LVEF <=690 had a negative
predictive value of 97% in our analysis CONCLUSIONS: The combination of Hb and
left ventricular EF is better than either variable alone at predicting CIN in
patients with ACS that undergone percutaneous coronary intervention. The
prediction was independent of baseline renal function and volume of contrast
agent.
PMID- 24920296
TI - RIP3, a kinase promoting necroptotic cell death, mediates adverse remodelling
after myocardial infarction.
AB - AIMS: Programmed necrosis (necroptosis) represents a newly identified mechanism
of cell death combining features of both apoptosis and necrosis. Like apoptosis,
necroptosis is tightly regulated by distinct signalling pathways. A key
regulatory role in programmed necrosis has been attributed to interactions of the
receptor-interacting protein kinases, RIP1 and RIP3. However, the specific
functional role of RIP3-dependent signalling and necroptosis in the heart is
unknown. The aims of this study were thus to assess the significance of
necroptosis and RIP3 in the context of myocardial ischaemia. METHODS AND RESULTS:
Immunoblots revealed strong expression of RIP3 in murine hearts, indicating
potential functional significance of this protein in the myocardium. Consistent
with a role in promoting necroptosis, adenoviral overexpression of RIP3 in
neonatal rat cardiomyocytes and stimulation with TNF-alpha induced the formation
of a complex of RIP1 and RIP3. Moreover, RIP3 overexpression was sufficient to
induce necroptosis of cardiomyocytes. In vivo, cardiac expression of RIP3 was up
regulated upon myocardial infarction (MI). Conversely, mice deficient for RIP3
(RIP3(-/-)) showed a significantly better ejection fraction (45 +/- 3.6 vs. 32 +/
4.4%, P < 0.05) and less hypertrophy in magnetic resonance imaging studies 30
days after experimental infarction due to left anterior descending coronary
artery ligation. This was accompanied by a diminished inflammatory response of
infarcted hearts and decreased generation of reactive oxygen species. CONCLUSION:
Here, we show that RIP3-dependent necroptosis modulates post-ischaemic adverse
remodelling in a mouse model of MI. This novel signalling pathway may thus be an
attractive target for future therapies that aim to limit the adverse consequences
of myocardial ischaemia.
PMID- 24920297
TI - Right portal vein embolization with absolute ethanol in major hepatic resection
for hepatobiliary malignancy.
AB - BACKGROUND: This study aimed to evaluate the safety and efficacy of preoperative
right portal vein embolization (PVE) with absolute ethanol in patients with
hepatobiliary malignancies. METHODS: PVE was performed via a percutaneous
transhepatic ipsilateral approach, and the right portal branch was embolized with
absolute ethanol. Technical success and complications following PVE, and changes
in liver enzyme levels were evaluated. Changes in future liver remnant (FLR) and
FLR/total functional liver volume ratio were calculated. Complications following
hepatic resection were assessed. RESULTS: A total of 83 patients with
hepatobiliary malignancies (53 men, 30 women; mean age 68 years) underwent right
PVE. Tumour types were hilar cholangiocarcinoma (37), liver metastases (14),
gallbladder cancer (13), intrahepatic cholangiocellular carcinoma (10) and
hepatocellular carcinoma (HCC) (9). PVE was performed successfully in all
patients. Four patients (5 per cent) developed complications following PVE (liver
abscess 2, left portal vein thrombosis 1, pseudoaneurysm 1), but this did not
preclude hepatic resection. Liver enzyme levels rose transiently after PVE. The
mean FLR and FLR/total functional liver volume increased after PVE (from 366 to
513 cm(3) and from 31 to 43 per cent respectively; both P < 0.001). Changes in
the FLR and FLR/total functional liver volume ratio were comparable between
patients with HCC and those with other malignancies (42 and 44 per cent, and 12
and 12 per cent, respectively). Sixty-nine of 83 patients underwent hepatic
resection at a median of 25 days after PVE, with no postoperative mortality.
CONCLUSION: Preoperative right PVE with absolute ethanol is safe and effective
for induction of selective hepatic hypertrophy in patients with hepatobiliary
malignancy.
PMID- 24920298
TI - Meta-analysis of handsewn versus stapled reversal of loop ileostomy.
AB - BACKGROUND: The morbidity associated with closure of loop ileostomy (LI) may be
attributed to the various surgical techniques employed for the closure. The
purpose of this review was to review the hand-sutured (HS) versus the stapled
anastomosis (SA) techniques, used in the reversal of LI. METHODS: The MEDLINE,
PubMed, CINHAL, Cochrane library and Web of Knowledge databases were searched for
randomized controlled trials (RCTs) and case-control trials (CCTs), evaluating HS
and SA in reversal of LI. Data extraction with risk of bias assessment was
followed by subgroup and pooled data meta-analysis where applicable per outcome.
RESULTS: Four RCTs (HS: 321, SA: 328) and 10 CCTs (HS: 2808, SA: 1044) were
identified, with a total of 4508 patients. Regardless of subgroup analysis, no
difference was seen between the two techniques with regard to anastomotic leaks
(P = 0.24, odds ratio (OR): 1.37, 95% confidence interval (CI): 0.81-2.29) or re
operation. The stapled group showed a significantly lower rate of conservatively
managed small bowel obstruction (SBO)/ ileus at 30 days (P < 0.001, OR: 2.27, 95%
CI: 1.59-2.96) (P < 0.001) and SBO during combined short- and long-term follow-up
(P < 0.001). The SA also showed significant shorter operative time (P = 0.02; WMD
11.52 min), time to first bowel opening (P < 0.001; WMD 0.52 days) and length of
hospital stay (P = 0.03; WMD 0.70 days). CONCLUSION: The stapled technique offers
an advantage in terms of lower post-operative subacute SBO rates, a faster
operative technique and shorter hospitalization times. These perceived benefits
make it potentially superior to HS for the reversal of LI.
PMID- 24920299
TI - Identification of cardiac repercussions after intense and prolonged concentric
isokinetic exercise in young sedentary people.
AB - INTRODUCTION: Cardiopathies are the world's leading cause of mortality and
morbidity. Although rare, cardiovascular accidents can occur during intense and
infrequent sporting activity, particularly among those who are unaware of their
heart condition. The development of cardiospecific biochemical markers has led to
a reconsideration of the role of biology in the diagnosis of cardiovascular
illnesses. The aim of this study therefore was, through the use of cardiac
biomarker assays, to highlight the impact of sustained physical effort in the
form of intense and prolonged concentric isokinetic exercise and to research
potential cardiovascular risks. MATERIALS AND METHODS: Eighteen subjects
participated in a maximal concentric isokinetic exercise involving 30 knee
flexion-extensions for each leg. Five blood tests were taken to study the
kinetics of the cardiac biomarkers. Haemodynamic parameters were measured
continuously using a Portapres, and respiratory parameters were measured using a
Sensormedics Vmax 29C. RESULTS: The results showed significant increases in the
creatine kinase, myoglobin, homocysteine and haemoglobin cardiac markers.
Evolutionary trends were also observed for the following biomarkers: NT-proBNP,
myeloperoxydase and C-reactive protein. All the physiological parameters measured
presented statistically significant changes. CONCLUSION: Isokinetic effort leads
to the release of cardiac markers in the blood, but these do not exceed the
reference values in healthy subjects. Maximal concentric isokinetic exercise does
not, therefore, lead to an increased risk of cardiovascular pathologies.
PMID- 24920300
TI - Silicate deposition during decomposition of cyanobacteria may promote export of
picophytoplankton to the deep ocean.
AB - Marine particles transport organic matter through the water column to the
sediment where the organic matter can be buried. This pathway is one of the few
natural removal mechanisms of CO2 from the atmosphere over geological time.
Picophytoplankton, major primary producers in the ocean, have until recently been
thought unimportant regarding particle transport. Here we provide evidence that
silicate is deposited on extracellular polymeric substance (EPS) associated with
decomposing picophytoplankton. We also find that Si is enriched in a previously
unexplored group of marine particles (called micro-blebs) from the deep-water
column. The surprising similarity in morphology and composition between EPS-Si
and micro-blebs suggests that EPS-Si may be a precursor of micro-blebs observed
in the deep ocean. This previously unexplored source of silicon may be important
to silicon cycling and may further enhance export of picophytoplankton to the
deep ocean.
PMID- 24920301
TI - A microfluidic device for evaluating the dynamics of the metabolism-dependent
antioxidant activity of nutrients.
AB - Various food components are known for their health-promoting effects. However,
their biochemical effects are generally evaluated in vitro, and their actual in
vivo effect can vary significantly, depending on their metabolic profiles. To
evaluate the effect of the liver metabolism on the antioxidant activity, we have
developed a two-compartment microfluidic system that integrates the dynamics of
liver metabolism and the subsequent antioxidant activity of food components. In
the first compartment of the device, human liver enzyme fractions were
immobilized inside a poly(ethylene glycol) diacrylate (PEGDA) hydrogel to mimic
the liver metabolism. The radical scavenging activity was evaluated by the change
of the 2,2-diphenyl-1-picrylhydrazyl (DPPH) absorbance in the second compartment.
Reaction engineering and fluid mechanics principles were used to develop a
simplified analytical model and a more complex finite element model, which were
used to design the chip and determine the optimal flow conditions. For real-time
measurements of the reaction on a chip, we developed a custom-made
photospectrometer system with an LED light source. The developed microfluidic
system showed a linear and dose-dependent antioxidant activity in response to
increasing concentration of flavonoid. We also compared the antioxidant activity
of flavonoid after various liver metabolic reactions. This microfluidic system
can serve as a novel in vitro platform for predicting the antioxidant activity of
various food components in a more physiologically realistic manner, as well as
for studying the mechanism of action of such food components.
PMID- 24920302
TI - Neural basis of the stress response in a pufferfish, Takifugu obscurus.
AB - When faced with danger, pufferfish react with both a fast-start escape response
and inflation behavior. The neural basis of these stress responses in the
pufferfish has not been described. The present study reveals that during
inflation behavior, the buccal cavity expands and compresses as a pump to control
the direction of water flow and draws water in and out. The inflation involves a
series of major anatomical modifications of the head. The greatly enlarged first
branchiostegal ray and its associated hyohyoideus abductor muscle are the key
mechanisms responsible for this behavior. The nerve branch innervating the
hyohyoideus abductor muscle is highly developed, and its central origin at the
motor nucleus of the VIIth cranial nerve was revealed by tract-tracing using the
carbocyanine dye DiI. The central connections from its origin were found to be
several motor nuclei in the medulla and the spinal cord, the nuclei of cranial
nerve III and IV in the mesencephalon, and the pretectalis superficialis and
periventricular preoptic nuclei in the diencephalon. Both the sympathetic cells
and the parvocellular part of the periventricular preoptic nucleus might play a
neuro-endocrine role in the rapid movement of the buccal cavity during puffing
behavior in this species of pufferfish. The central circuit revealed by this
study is hypothesized to mediate the C-start escape behavior and puffing
behavior.
PMID- 24920303
TI - Controlling the motion of DNA in a nanochannel with transversal alternating
electric voltages.
AB - A nanofluidic channel, with a pair of perpendicularly aligned nanoelectrodes, is
proposed to electrically control the motion of DNA molecules. Using all-atom
molecular dynamics simulations, we studied electrostatic responses of a charged
DNA molecule in the nanochannel and investigated optimized operating conditions
for controlling the DNA molecule. When the transversal electric field was
periodically turned on and off, the DNA molecule was correspondingly immobilized
on and released from the channel surface. Under simultaneously applied
longitudinal biasing and transversal trapping electric fields, the DNA molecule
moved forward in a 'ratchet'-like fashion. It is expected that achieving the
controlled motion of DNA in the channel can advance studies and applications of a
nanochannel-based sensor for analyzing DNA (e.g., DNA sequencing).
PMID- 24920304
TI - A rice chloroplast transit peptide sequence does not alter the cytoplasmic
localization of sheep serotonin N-acetyltransferase expressed in transgenic rice
plants.
AB - Ectopic overexpression of melatonin biosynthetic genes of animal origin has been
used to generate melatonin-rich transgenic plants to examine the functional roles
of melatonin in plants. However, the subcellular localization of these proteins
expressed in the transgenic plants remains unknown. We studied the localization
of sheep (Ovis aries) serotonin N-acetyltransferase (OaSNAT) and a translational
fusion of a rice SNAT transit peptide to OaSNAT (TS:OaSNAT) in plants. Laser
confocal microscopy analysis revealed that both OaSNAT and TS:OaSNAT proteins
were localized to the cytoplasm even with the addition of the transit sequence to
OaSNAT. Transgenic rice plants overexpressing the TS:OaSNAT fusion transgene
exhibited high SNAT enzyme activity relative to untransformed wild-type plants,
but lower activity than transgenic rice plants expressing the wild-type OaSNAT
gene. Melatonin levels in both types of transgenic rice plant corresponded well
with SNAT enzyme activity levels. The TS:OaSNAT transgenic lines exhibited
increased seminal root growth relative to wild-type plants, but less than in the
OaSNAT transgenic lines, confirming that melatonin promotes root growth. Seed
specific OaSNAT expression under the control of a rice prolamin promoter did not
confer high levels of melatonin production in transgenic rice seeds compared with
seeds from transgenic plants expressing OaSNAT under the control of the
constitutive maize ubiquitin promoter.
PMID- 24920306
TI - 'This diarrhoea is not a disease ...' local illness concepts and their effects on
mothers' health seeking behaviour: a qualitative study, Shuhair, Yemen.
AB - BACKGROUND: Globally, about seven million children under the age of five died in
2011. Local illness concepts are thought to be related to inappropriate health
seeking behaviour, and therefore, lead to child mortality. The aim of this study
was to contribute to the definition of common local illness concepts with their
effects on health-seeking behaviour for common childhood illnesses. METHODS: A
qualitative focus group study was conducted between April 1 and 6, 2013.
Participants were drawn purposefully from the vaccination unit at Shuhair Health
Centre in Yemen. Four focus group discussions were conducted. The total number of
participants was 31 mothers with at least one child under the age of five with a
history of fever, diarrhoea, cough, or difficulty breathing during the 14 days
preceding the study. Data was collected and analysed using micro-interlocutor
analysis. RESULTS: The mean age of the participants was 31 years (SD +/- 4).
There was remarkable concordance in local illness concepts across the focus
groups. During focus group discussions, six local illness concepts (Senoon,
lafkha, halib, didan, raqaba, and ayn) were mentioned. Local illness concepts
determined the type of treatment. Most of these illnesses were not treated
medically. Lafkha, halib, raqaba, and ayn were always classified as "not for
medical treatment", whereas senoon and didan as sometimes "not for medical
treatment". For medical symptoms, i.e. fever, diarrhoea, cough, and difficulty
breathing, medical therapy was usually an option; these were classified as never
or sometimes "not for medical treatment". Mothers trust in traditional medicine
and believe that it is always beneficial and never harmful. The participants do
not disclose traditional medicine use with their doctors because doctors oppose
these practices and are not open enough to these types of treatment. CONCLUSIONS:
Local illness concepts for common child illnesses are widespread, and they
determine the type of treatment used. Interventions to improve children's health
should use local illness concepts to educate parents. Traditional medicine as a
treatment option in primary care should be considered.
PMID- 24920305
TI - On the identification of potential regulatory variants within genome wide
association candidate SNP sets.
AB - BACKGROUND: Genome wide association studies (GWAS) are a population-scale
approach to the identification of segments of the genome in which genetic
variations may contribute to disease risk. Current methods focus on the discovery
of single nucleotide polymorphisms (SNPs) associated with disease traits. As
there are many SNPs within identified risk loci, and the majority of these are
situated within non-coding regions, a key challenge is to identify and prioritize
variants affecting regulatory sequences that are likely to contribute to the
phenotype assessed. METHODS: We focused investigation on SNPs within lung and
breast cancer GWAS loci that reached genome-wide significance for potential roles
in gene regulation with a specific focus on SNPs likely to disrupt transcription
factor binding sites. Within risk loci, the regulatory potential of sub-regions
was classified using relevant open chromatin and epigenetic high throughput
sequencing data sets from the ENCODE project in available cancer and normal cell
lines. Furthermore, transcription factor affinity altering variants were
predicted by comparison of position weight matrix scores between disease and
reference alleles. Lastly, ChIP-seq data of transcription associated factors and
topological domains were included as binding evidence and potential gene target
inference. RESULTS: The sets of SNPs, including both the disease-associated
markers and those in high linkage disequilibrium with them, were significantly
over-represented in regulatory sequences of cancer and/or normal cells; however,
over-representation was generally not restricted to disease-relevant tissue
specific regions. The calculated regulatory potential, allelic binding affinity
scores and ChIP-seq binding evidence were the three criteria used to prioritize
candidates. Fitting all three criteria, we highlighted breast cancer
susceptibility SNPs and a borderline lung cancer relevant SNP located in cancer
specific enhancers overlapping multiple distinct transcription associated factor
ChIP-seq binding sites. CONCLUSION: Incorporating high throughput sequencing
epigenetic and transcription factor data sets from both cancer and normal cells
into cancer genetic studies reveals potential functional SNPs and informs
subsequent characterization efforts.
PMID- 24920307
TI - Diagnosing unexplained fever: can quick diagnosis units replace inpatient
hospitalization?
AB - BACKGROUND: Outpatient quick diagnosis units (QDUs) have become an increasingly
recognized alternative to hospitalization for the diagnosis of a number of
potentially serious diseases. No study has prospectively evaluated the usefulness
of QDU for the diagnosis of unexplained fever. MATERIALS AND METHODS: We
prospectively assessed patients referred to QDU due to fever of uncertain nature
(FUN), defined as a temperature > 38 degrees C during at least 1 week and no
diagnosis after a previous evaluation. We also evaluated consecutive patients
with FUN who were hospitalized during the same period. QDU and hospital costs
were analysed by micro-costing techniques. RESULTS: We evaluated 176 QDU patients
and 168 controls. QDU patients were younger and required fewer investigations
than controls. QDU patients had higher prevalence of viral infections (36% vs.
8%, P < 0.001) and lower prevalence of bacterial infections (6% vs. 46%, P <
0.001) and malignancies (2% vs. 14%, P < 0.001). While time-to-diagnosis of QDU
patients was longer than length-of-stay of controls (25.82 vs.12.89 days, P <
0.001), 56% of QDU patients only required up to two visits. Cost per QDU patient
was ?644.59, while it was ?4404.64 per hospitalized patient. CONCLUSIONS: QDU
patients with FUN were younger and had less serious diseases than controls
including more viral and less bacterial infections and fewer malignancies. Mainly
owing to untimely diagnostic reports, time-to-diagnosis was longer in QDU
patients. Cost-savings in QDU were substantial. Using objective tools to evaluate
the condition severity and general health status of FUN patients could help
decide the most appropriate setting for their diagnostic study.
PMID- 24920308
TI - Superiority of frailty over age in predicting outcomes among geriatric trauma
patients: a prospective analysis.
AB - IMPORTANCE: The Frailty Index (FI) is a known predictor of adverse outcomes in
geriatric patients. The usefulness of the FI as an outcome measure in geriatric
trauma patients is unknown. OBJECTIVE: To assess the usefulness of the FI as an
effective assessment tool in predicting adverse outcomes in geriatric trauma
patients. DESIGN, SETTING, AND PARTICIPANTS: A 2-year (June 2011 to February
2013) prospective cohort study at a level I trauma center at the University of
Arizona. We prospectively measured frailty in all geriatric trauma patients.
Geriatric patients were defined as those 65 years or older. The FI was calculated
using 50 preadmission frailty variables. Frailty in patients was defined by an FI
of 0.25 or higher. MAIN OUTCOMES AND MEASURES: The primary outcome measure was in
hospital complications. The secondary outcome measure was adverse discharge
disposition. In-hospital complications were defined as cardiac, pulmonary,
infectious, hematologic, renal, and reoperation. Adverse discharge disposition
was defined as discharge to a skilled nursing facility or in-hospital mortality.
Multivariate logistic regression was used to assess the relationship between the
FI and outcomes. RESULTS: In total, 250 patients were enrolled, with a mean (SD)
age of 77.9 (8.1) years, median Injury Severity Score of 15 (range, 9-18), median
Glasgow Coma Scale score of 15 (range, 12-15), and mean (SD) FI of 0.21 (0.10).
Forty-four percent (n = 110) of patients had frailty. Patients with frailty were
more likely to have in-hospital complications (odds ratio, 2.5; 95% CI, 1.5-6.0;
P = .001) and adverse discharge disposition (odds ratio, 1.6; 95% CI, 1.1-2.4; P
= .001). The mortality rate was 2.0% (n = 5), and all patients who died had
frailty. CONCLUSIONS AND RELEVANCE: The FI is an independent predictor of in
hospital complications and adverse discharge disposition in geriatric trauma
patients. This index should be used as a clinical tool for risk stratification in
this patient group.
PMID- 24920310
TI - Boronate affinity monolith with a gold nanoparticle-modified hydrophilic polymer
as a matrix for the highly specific capture of glycoproteins.
AB - As low abundance is the great obstacle for glycoprotein analysis, the development
of materials with high efficiency and selectivity for glycoprotein enrichment is
a prerequisite in glycoproteome research. Herein, we report a new kind of
hydrophilic boronate affinity monolith by attaching 4-mercaptophenylboronic acid
(MPBA) with 2-mercaptoethylamine (MPA) on the gold nanoparticle-modified
poly(glycidyl methacrylate-co-poly(ethylene glycol) diacrylate)) monolith for
glycoprotein enrichment. With poly(ethylene glycol) diacrylate as the cross
linker and the further modification of gold nanoparticles, the matrix has
advantages of good hydrophilicity and enhanced surface area, which are beneficial
to improve the enrichment selectivity and efficiency for glycoproteins. The
attachment of MPBA and MPA provide intramolecular B?N coordination, which could
further enhance the specificity of glycoprotein capture. Such a boronate affinity
monolith was applied to enrich horseradish peroxidase (HRP) from the mixture of
HRP and bovine serum albumin (BSA), and high selectivity was obtained even at a
mass ratio of 1:1000. In addition, the binding capacity of ovalbumin on such
monolith reached 390 MUg g(-1) . Furthermore, the average recovery of HRP on the
prepared affinity monoliths was (84.8+/-1.9) %, obtained in three times
enrichment with the same column. Finally, the boronate affinity monolith was
successfully applied for the human-plasma glycoproteome analysis. As a result,
160 glycoproteins were credibly identified from 9 MUg of human plasma,
demonstrating the great potential of such a monolith for large-scale
glycoproteome research.
PMID- 24920311
TI - Filaggrin gene mutations in African Americans with both ichthyosis vulgaris and
atopic dermatitis.
AB - Atopic dermatitis (AD) and ichthyosis vulgaris (IV) are two common disorders of
epidermal homeostasis resulting in dry skin. The profilaggrin gene, located on
chromosome 1q22, encodes a keratin filament aggregating protein (filaggrin) that
is essential to forming the epidermal barrier and maintaining hydration. Null
mutations in filaggrin have been found to underlie IV and are common in patients
with AD, but the minority of African Americans with AD or IV show these mutations
in filaggrin. We have selectively studied African Americans with both AD and IV
to maximize the possibility of finding filaggrin null mutations in this
population. DNA was collected using buccal swabs from 18 African American
children with both AD and IV and 17 African American controls without either of
these diseases. Purified genomic DNA was amplified using polymerase chain
reaction from three regions of the filaggrin gene, exon 3, including R501X,
2282del4, E2554X, R2447X, 1249insG, R826X, 2767insT, and E2422X. Of the African
American children with both AD and IV, 22.2% were heterozygous for filaggrin null
mutations. Out of the control group, one carried a null mutation and was later
discovered to have a history of asthma. Null mutations found in this population
included R501X (n = 1), 2282del4 (n = 2), and R826X (n = 2, including the control
patient). Our data demonstrate a prevalence of filaggrin mutations in the African
American population that exceeds previously published data, although the overall
prevalence is still lower than in other populations. It is likely that factors
other than known FLG mutations are involved in African American patients.
PMID- 24920309
TI - A role for human brain pericytes in neuroinflammation.
AB - BACKGROUND: Brain inflammation plays a key role in neurological disease. Although
much research has been conducted investigating inflammatory events in animal
models, potential differences in human brain versus rodent models makes it
imperative that we also study these phenomena in human cells and tissue. METHODS:
Primary human brain cell cultures were generated from biopsy tissue of patients
undergoing surgery for drug-resistant epilepsy. Cells were treated with pro
inflammatory compounds IFNgamma, TNFalpha, IL-1beta, and LPS, and chemokines IP
10 and MCP-1 were measured by immunocytochemistry, western blot, and qRT-PCR.
Microarray analysis was also performed on late passage cultures treated with
vehicle or IFNgamma and IL-1beta. RESULTS: Early passage human brain cell
cultures were a mixture of microglia, astrocytes, fibroblasts and pericytes.
Later passage cultures contained proliferating fibroblasts and pericytes only.
Under basal culture conditions all cell types showed cytoplasmic NFkappaB
indicating that they were in a non-activated state. Expression of IP-10 and MCP-1
were significantly increased in response to pro-inflammatory stimuli. The two
chemokines were expressed in mixed cultures as well as cultures of fibroblasts
and pericytes only. The expression of IP-10 and MCP-1 were regulated at the mRNA
and protein level, and both were secreted into cell culture media. NFkappaB
nuclear translocation was also detected in response to pro-inflammatory cues
(except IFNgamma) in all cell types. Microarray analysis of brain pericytes also
revealed widespread changes in gene expression in response to the combination of
IFNgamma and IL-1beta treatment including interleukins, chemokines, cellular
adhesion molecules and much more. CONCLUSIONS: Adult human brain cells are
sensitive to cytokine challenge. As expected 'classical' brain immune cells, such
as microglia and astrocytes, responded to cytokine challenge but of even more
interest, brain pericytes also responded to such challenge with a rich repertoire
of gene expression. Immune activation of brain pericytes may play an important
role in communicating inflammatory signals to and within the brain interior and
may also be involved in blood brain barrier (BBB) disruption . Targeting brain
pericytes, as well as microglia and astrocytes, may provide novel opportunities
for reducing brain inflammation and maintaining BBB function and brain
homeostasis in human brain disease.
PMID- 24920312
TI - Gold-catalyzed diastereoselective cycloisomerization of alkylidene-cyclopropane
bearing 1,6-diynes.
AB - An unprecedented gold-catalyzed diastereoselective cycloisomerization of 1,6
diynes bearing an alkylidene cyclopropane moiety has been developed. This
methodology enables rapid access to a variety of 1,2-trimethylenenorbornanes,
which are important building blocks in the preparations of abiotic and
sesquiterpene core structures.
PMID- 24920313
TI - Chronic hypoxia increases arterial blood pressure and reduces adenosine and ATP
induced vasodilatation in skeletal muscle in healthy humans.
AB - AIMS: To determine the role played by adenosine, ATP and chemoreflex activation
on the regulation of vascular conductance in chronic hypoxia. METHODS: The
vascular conductance response to low and high doses of adenosine and ATP was
assessed in ten healthy men. Vasodilators were infused into the femoral artery at
sea level and then after 8-12 days of residence at 4559 m above sea level. At sea
level, the infusions were carried out while the subjects breathed room air, acute
hypoxia (FI O2 = 0.11) and hyperoxia (FI O2 = 1); and at altitude (FI O2 = 0.21
and 1). Skeletal muscle P2Y2 receptor protein expression was determined in muscle
biopsies after 4 weeks at 3454 m by Western blot. RESULTS: At altitude, mean
arterial blood pressure was 13% higher (91 +/- 2 vs. 102 +/- 3 mmHg, P < 0.05)
than at sea level and was unaltered by hyperoxic breathing. Baseline leg vascular
conductance was 25% lower at altitude than at sea level (P < 0.05). At altitude,
the high doses of adenosine and ATP reduced mean arterial blood pressure by 9
12%, independently of FI O2 . The change in vascular conductance in response to
ATP was lower at altitude than at sea level by 24 and 38%, during the low and
high ATP doses respectively (P < 0.05), and by 22% during the infusion with high
adenosine doses. Hyperoxic breathing did not modify the response to vasodilators
at sea level or at altitude. P2Y2 receptor expression remained unchanged with
altitude residence. CONCLUSIONS: Short-term residence at altitude increases
arterial blood pressure and reduces the vasodilatory responses to adenosine and
ATP.
PMID- 24920314
TI - Transcriptomics assisted proteomic analysis of Nicotiana occidentalis infected by
Candidatus Phytoplasma mali strain AT.
AB - Phytoplasmas are pathogenic bacteria within the class of Mollicutes, which are
associated with more than 1000 plant diseases. In this study, we applied
quantitative mass spectrometry to analyse affected pathways of the model plant
tobacco (Nicotiana occidentalis) upon Candidatus Phytoplasma mali strain AT
infection. Using tissue obtained from leaf midribs, 1466 plant-assigned proteins
were identified. For 1019 of these proteins, we could reproducibly quantify the
expression changes of infected versus noninfected plants, of which 157 proteins
were up- and 173 proteins were downregulated. Differential expression took place
in a number of pathways, among others strong downregulation of porphyrin and
chlorophyll metabolism and upregulation of alpha-linolenic acid metabolism, which
was consistent with observed increased levels of jasmonic acid, a key signal
molecule of plant defence. Our data shed light on the molecular networks that are
involved in defence of plants against phytoplasma infection and provide a
resource for further studies.
PMID- 24920315
TI - ZnO-Ag hybrids for ultrasensitive detection of trinitrotoluene by surface
enhanced Raman spectroscopy.
AB - An efficient and green approach was used to fabricate novel and low-cost surface
enhanced Raman scattering (SERS) spectroscopy sensors based on 4-aminothiophenol
(4-ATP) functionalized ZnO-Ag hybrid nanoflowers for the detection of explosives.
Such SERS sensors exhibited high sensitivity to rhodamine 6G (R6G) at a low
concentration of 10(-12) M and an enhancement factor of over 4.12 * 10(6) was
achieved. Moreover, the Raman-inactive trinitrotoluene (TNT) initiated the high
Raman scattering of non-resonated 4-ATP through the formation of a pi-donor-pi
acceptor interaction between the pi-acceptor, TNT, and the pi-donor, the 4-ATP-Ag
ZnO complex, on the flower-like hybrids. Because this pi-donor-pi-acceptor
interaction could effectively induce the "hot spots" for SERS, TNT concentrations
as low as 5 * 10(-9) M could be detected. Furthermore, other derivatives of TNT
were also explored, and this sensor exhibited better selectivity for TNT than
other similarly structured explosives. The low-cost hybrid SERS substrates
presented good sensitivity and reproducibility for the analytes employed,
demonstrating promising application in forensic science and homeland security.
PMID- 24920317
TI - The derivative discontinuity of the exchange-correlation functional.
AB - The derivative discontinuity is a key concept in electronic structure theory in
general and density functional theory in particular. The electronic energy of a
quantum system exhibits derivative discontinuities with respect to different
degrees of freedom that are a consequence of the integer nature of electrons. The
classical understanding refers to the derivative discontinuity of the total
energy as a function of the total number of electrons (N), but it can also
manifest at constant N. Examples are shown in models including several hydrogen
systems with varying numbers of electrons or nuclear charge (Z), as well as the 1
dimensional Hubbard model (1DHM). Two sides of the problem are investigated:
first, the failure of currently used approximate exchange-correlation functionals
in DFT and, second, the importance of the derivative discontinuity in the exact
electronic structure of molecules, as revealed by full configuration interaction
(FCI). Currently, all approximate functionals, including hybrids, miss the
derivative discontinuity, leading to basic errors that can be seen in many ways:
from the complete failure to give the total energy of H2 and H2(+), to the
missing gap in Mott insulators such as stretched H2 and the thermodynamic limit
of the 1DHM, or a qualitatively incorrect density in the HZ molecule with two
electrons and incorrect electron transfer processes. Description of the exact
particle behaviour of electrons is emphasised, which is key to many important
physical processes in real systems, especially those involving electron transfer,
and offers a challenge for the development of new exchange-correlation
functionals.
PMID- 24920316
TI - Prevalence of anxiety disorders among Finnish primary care high utilizers and
validation of Finnish translation of GAD-7 and GAD-2 screening tools.
AB - OBJECTIVE: To analyse the prevalence of GAD and other anxiety disorders, as well
as sensitivity and specificity of GAD-7 among high utilizers of health care.
SETTING: Four municipal health centres in Northern Finland. SUBJECTS: A
psychiatric interview was conducted for 150 high utilizers of health care. MAIN
OUTCOME MEASURES: Prevalence of GAD as well as sensitivity and specificity of GAD
7. RESULTS: The prevalence of GAD was 4% in this study group of Finnish high
utilizers of health care. The sensitivity of GAD-7 was 100.0% (95% CI 54.1-100.0)
and the specificity of GAD-7 was 82.6% (95% CI 75.4-88.4) with a cut-off point of
7 or more. CONCLUSION: GAD is rather common among high utilizers of primary care,
although the prevalence of 4% is lower than that previously reported. GAD-7 is a
valid and useful tool for detecting GAD among primary health care patients.
PMID- 24920318
TI - Interfaces of dicationic ionic liquids and graphene: a molecular dynamics
simulation study.
AB - Molecular dynamics simulations were performed to investigate the interfacial
structure and capacitance of electrical double layers (EDLs) in dicationic ionic
liquids (DILs) 1-alkyl-3-dimethylimidazolium tetrafluoroborate [Cn(mim)2](BF4)2
(n = 3, 6, 9), with respect to a baseline of a monocationic ionic liquid
[C3mim][BF4], near planar carbon electrodes consisting of graphene sheets. The
simulation results show that an adsorbed layer with double peaks is exclusively
found for [C3(mim)2](BF4)2, while a single peak of the other three cations is
observed at the neutral electrode, due to the difference in ion-wall interaction
and cation-anion association. As the electrode becomes negatively charged, the
second peak of [C3(mim)2](2+) is dramatically reduced, whereas those of
[C6(mim)2](2+) and [C9(mim)2](2+) become non-trivial. The capacitance-potential
curve of EDLs in DILs manifests a transition from camel shape to bell shape as
the cation chain length increases, which is attributed to the enlargement of ion
adsorption (per unit charge) on the electrode and the decrease of attractive
interaction between ions.
PMID- 24920320
TI - Variations of algal communities cause darkening of a Greenland glacier.
AB - We have assessed the microbial ecology on the surface of Mittivakkat glacier in
SE-Greenland during the exceptional high melting season in July 2012 when the so
far most extreme melting rate for the Greenland Ice Sheet has been recorded. By
employing a complementary and multi-disciplinary field sampling and analytical
approach, we quantified the dramatic changes in the different microbial surface
habitats (green snow, red snow, biofilms, grey ice, cryoconite holes). The
observed clear change in dominant algal community and their rapidly changing cryo
organic adaptation inventory was linked to the high melting rate. The changes in
carbon and nutrient fluxes between different microbial pools (from snow to ice,
cryoconite holes and glacial forefronts) revealed that snow and ice algae
dominate the net primary production at the onset of melting, and that they have
the potential to support the cryoconite hole communities as carbon and nutrient
sources. A large proportion of algal cells is retained on the glacial surface and
temporal and spatial changes in pigmentation contribute to the darkening of the
snow and ice surfaces. This implies that the fast, melt-induced algal growth has
a high albedo reduction potential, and this may lead to a positive feedback
speeding up melting processes.
PMID- 24920319
TI - Inflammatory cues acting on the adult intestinal stem cells and the early onset
of cancer (review).
AB - The observation that cancer often arises at sites of chronic inflammation has
prompted the idea that carcinogenesis and inflammation are deeply interwoven. In
fact, the current literature highlights a role for chronic inflammation in
virtually all the steps of carcinogenesis, including tumor initiation, promotion
and progression. The aim of the present article is to review the current
literature on the involvement of chronic inflammation in the initiation step and
in the very early phases of tumorigenesis, in a type of cancer where adult stem
cells are assumed to be the cells of origin of neoplasia. Since the
gastrointestinal tract is regarded as the best-established model system to
address the liaison between chronic inflammation and neoplasia, the focus of this
article will be on intestinal cancer. In fact, the anatomy of the intestinal
epithelial lining is uniquely suited to study adult stem cells in their niche,
and the bowel crypt is an ideal developmental biology system, as proliferation,
differentiation and cell migration are all distributed linearly along the long
axis of the crypt. Moreover, crypt stem cells are regarded today as the most
likely targets of neoplastic transformation in bowel cancer. More specifically,
the present review addresses the molecular mechanisms whereby a state of chronic
inflammation could trigger the neoplastic process in the intestine, focusing on
the generation of inflammatory cues evoking enhanced proliferation in cells not
initiated but at risk of neoplastic transformation because of their stemness.
Novel experimental approaches, based on triggering an inflammatory stimulus in
the neighbourhood of adult intestinal stem cells, are warranted to address some
as yet unanswered questions. A possible approach, the targeted transgenesis of
Paneth cells, may be aimed at 'hijacking' the crypt stem cell niche from a status
characterized by the maintenance of homeostasis to local chronic inflammation,
with the prospect of initiating neoplastic transformation in that site.
PMID- 24920321
TI - Relationship Between Triglyceride Tolerance, Body Mass Index, and Fat Depots in
Hospitalized Patients Receiving Parenteral Nutrition.
AB - BACKGROUND: Hypertriglyceridemia has been associated with adverse outcomes in
patients receiving intravenous fat emulsions (IVFEs), but little is known about
its prevalence and causes. MATERIALS AND METHODS: The study investigated whether
a relationship exists between body mass index (BMI) and triglyceride tolerance in
parenterally fed patients. We conducted a retrospective analysis of 287 adults
receiving parenteral nutrition to determine whether patients with very low BMI
(VLBMI, <16 kg/m(2)) tolerate IVFEs better than do patients with low BMI (LBMI,
16-18.4 kg/m(2)), normal-weight patients (NBMI, 18.5-24.9 kg/m(2)), and
overweight/obese patients (HBMI, >=25 kg/m(2)). RESULTS: The median triglyceride
concentration during IVFE was significantly lower in VLBMI patients at 107 mg/dL
compared with 124 mg/dL in non-VLBMI patients (P = .016), despite higher lipid
infusion rates in the VLBMI group. There was a significant association between
triglycerides and BMI in the aggregate cohort (R = 0.2375, P < .0001), with the
highest frequency of hypertriglyceridemia occurring in HBMI patients despite
relatively lower lipid and energy supply. In a subset of VLBMI patients (n = 36)
who had an abdominal computed tomography scan, there was 25- to 100-fold
variability in the size of the abdominal adipose tissue depots. In this subgroup,
triglyceride concentrations correlated with visceral fat but not subcutaneous
abdominal fat. CONCLUSIONS: In summary, patients with VLBMI have lower
triglyceride concentrations during IVFEs than do other individuals, but there is
considerable variability in triglycerides and body fat in this group. Caution
should be employed with the use of IVFEs, especially in HBMI patients.
PMID- 24920322
TI - Coronary microcirculation-a neglected target of cardioprotection.
PMID- 24920323
TI - E1784K mutation in SCN5A and overlap syndrome.
PMID- 24920324
TI - A survey of enoldiazo nucleophilicity in selective C-C bond forming reactions for
the synthesis of natural product-like frameworks.
AB - A survey of in situ, catalytically generated carbocations for coupling with
enoldiazoacetate nucleophiles was performed. These couplings facilitate the rapid
assembly of complex organodiazo compounds that provide a template for the
synthesis of a variety of carbocyclic and heterocyclic ring systems.
PMID- 24920325
TI - Diagnosis and management of multiple paragangliomas of the head and neck.
AB - Paragangliomas (PGs) are slowly growing, usually benign neoplasms. The aim of the
study was to analyze the incidence, diagnostic and therapeutic management of
patients with multiple paragangliomas of the head and neck. A retrospective
review of the records of 84 patients with head and neck PGs, diagnosed and
treated in our institution was performed for the years 1983-2013 to identify
patients with multiple tumors. Fourteen (16.6 %) patients developed multiple PGs,
synchronous or metachronous, within 4-21 years of follow-up. Clinical data of
these patients were reviewed to evaluate the diagnosis, location, stage and
management strategy. There was a total number of 37 tumors in 14 patients. There
were 20/37 (54.0 %) carotid PGs, 9/37 (24.3 %) jugular PGs and 8/37 (21.7 %)
vagal PGs. Carotid PGs were observed in 12/14 (86 %) patients and in 8/14 (57 %)
cases bilateral tumors occurred. Vagal PGs developed in 7/14 (50 %) patients and
bilateral tumors were found in 1/14 (7 %) case. Jugular PGs occurred in 9/14 (64
%) patients. There were 30 synchronous tumors and seven metachronous PGs
diagnosed 2-18 years after removal of the first tumor. Single metachronous
mediastinal PG occurred. All patients had at least one tumor removed, with
histopathological confirmation of the diagnosis. One patient had positive history
of familial PGs. Carotid PGs are most common multiple paragangliomas.
Radiological survey of the head and neck is required to detect multicentric
tumors. Metachronous mediastinal and abdominal tumors may occur. Regular,
prolonged follow-up is essential to identify metachronous PGs and possible
postoperative gradual ICA occlusion.
PMID- 24920326
TI - Vocal outcome after endoscopic thyroarytenoid myoneurectomy in patients with
adductor spasmodic dysphonia.
AB - Spasmodic dysphonia (SD) remains one of the most difficult of laryngeal
pathologies to treat. With limited role for speech therapy, various surgical
modalities have been tried with various success rates. The objective of the study
is to report the results of vocal outcome after thyroarytenoid myoneurectomy in
patients of adductor spasmodic dysphonia (ASD). 15 patients of ASD were selected.
GRBAS, and voice handicap index (VHI) were used for perceptual evaluation of
voice. Thyroarytenoid myoneurectomy was performed by vaporizing the muscular
layer of the vocal fold with CO2 laser, at an intensity of 6 W with 1.2 mm
diameter in scanner mode. Voice analysis was repeated at 12, 24 and 48 months
follow-up. Preoperative GRBAS scores and VHI score of all the patients were poor.
At 12 months 12/15 (80 %) patients having strain score of 0. There was marked
improvement in VHI scores at 6 months. 10/15 (67 %) patients have been followed
up for 24 months. 5/10 (50 %) patients have strain (S) value of 0. VHI scoring of
5/10 (50 %) patients was <30. Two of the four patients completed 48 months follow
up had a strain (S) value of 0, one patient has strain value of 1 and one patient
had strain value of 2. 2/4 patients had VHI score of <30; one patient had that of
40. Trans-oral CO2 laser thyroarytenoid myoneurectomy shows significant long-term
improvement in voice quality in terms of reduced speech brakes, effort and strain
in voice.
PMID- 24920327
TI - Functional evolution of PLP-dependent enzymes based on active-site structural
similarities.
AB - Families of distantly related proteins typically have very low sequence identity,
which hinders evolutionary analysis and functional annotation. Slowly evolving
features of proteins, such as an active site, are therefore valuable for
annotating putative and distantly related proteins. To date, a complete
evolutionary analysis of the functional relationship of an entire enzyme family
based on active-site structural similarities has not yet been undertaken.
Pyridoxal-5'-phosphate (PLP) dependent enzymes are primordial enzymes that
diversified in the last universal ancestor. Using the comparison of protein
active site structures (CPASS) software and database, we show that the active
site structures of PLP-dependent enzymes can be used to infer evolutionary
relationships based on functional similarity. The enzymes successfully clustered
together based on substrate specificity, function, and three-dimensional-fold.
This study demonstrates the value of using active site structures for functional
evolutionary analysis and the effectiveness of CPASS.
PMID- 24920328
TI - Influence of air stability and metabolic rate on exhaled flow.
AB - The characteristics of contaminant transport and dispersion of exhaled flow from
a manikin are thoroughly studied in this article with respect to the influence of
two important factors: air stability conditions and metabolic rates. Four cases
with the combinations of stable and neutral conditions as well as lower (1.2 met)
and higher (2 met) metabolic rates for a breathing thermal manikin are employed.
The exhaled contaminant is simulated by smoke and N2 O to visualize and measure
the contaminant distribution both around and in front of the manikin. The results
show that the microenvironment around the manikin body can be affected by
different air distribution patterns and metabolic heating. Under stable
conditions, the exhaled contaminant from mouth or nose is locked and stratified
at certain heights, causing potentially high contaminant exposure to others. In
addition, velocity profiles of the pulsating exhaled flow, which are normalized
by mean peak velocities, present similar shapes to a steady jet. The outlet
velocity close to the mouth shows decrement with both exhalation temperature and
body plume. The velocity decay and concentration decay also show significant
dependence on air stability and metabolic level.
PMID- 24920329
TI - Rewiring Host Lipid Metabolism by Large Viruses Determines the Fate of Emiliania
huxleyi, a Bloom-Forming Alga in the Ocean.
AB - Marine viruses are major ecological and evolutionary drivers of microbial food
webs regulating the fate of carbon in the ocean. We combined transcriptomic and
metabolomic analyses to explore the cellular pathways mediating the interaction
between the bloom-forming coccolithophore Emiliania huxleyi and its specific
coccolithoviruses (E. huxleyi virus [EhV]). We show that EhV induces profound
transcriptome remodeling targeted toward fatty acid synthesis to support viral
assembly. A metabolic shift toward production of viral-derived sphingolipids was
detected during infection and coincided with downregulation of host de novo
sphingolipid genes and induction of the viral-encoded homologous pathway. The
depletion of host-specific sterols during lytic infection and their detection in
purified virions revealed their novel role in viral life cycle. We identify an
essential function of the mevalonate-isoprenoid branch of sterol biosynthesis
during infection and propose its downregulation as an antiviral mechanism. We
demonstrate how viral replication depends on the hijacking of host lipid
metabolism during the chemical "arms race" in the ocean.
PMID- 24920330
TI - A Scalable Open-Source Pipeline for Large-Scale Root Phenotyping of Arabidopsis.
AB - Large-scale phenotyping of multicellular organisms is one of the current
challenges in biology. We present a comprehensive and scalable pipeline that
allows for the efficient phenotyping of root growth traits on a large scale. This
includes a high-resolution, low-cost acquisition setup as well as the automated
image processing software BRAT. We assess the performance of this pipeline in
Arabidopsis thaliana under multiple growth conditions and show its utility by
performing genome-wide association studies on 16 root growth traits quantified by
BRAT each day during a 5-d time-course experiment. The most significantly
associated genome region for root growth rate is a locus encoding a calcium
sensing receptor. We find that loss of function and overexpression of this gene
can significantly alter root growth in a growth condition dependent manner and
that the minor natural allele of the Calcium Sensor Receptor locus is highly
significantly enriched in populations in coastal areas, demonstrating the power
of our approach to identify regulators of root growth that might have adaptive
relevance.
PMID- 24920331
TI - Polycomb-Group Proteins and FLOWERING LOCUS T Maintain Commitment to Flowering in
Arabidopsis thaliana.
AB - The switch from vegetative to reproductive growth is extremely stable even if
plants are only transiently exposed to environmental stimuli that trigger
flowering. In the photoperiodic pathway, a mobile signal, florigen, encoded by
FLOWERING LOCUS T (FT) in Arabidopsis thaliana, induces flowering. Because FT
activity in leaves is not maintained after transient photoperiodic induction, the
molecular basis for stable floral commitment is unclear. Here, we show that
Polycomb-group (Pc-G) proteins, which mediate epigenetic gene regulation,
maintain the identity of inflorescence and floral meristems after floral
induction. Thus, plants with reduced Pc-G activity show a remarkable increase of
cauline leaves under noninductive conditions and floral reversion when shifted
from inductive to noninductive conditions. These phenotypes are almost completely
suppressed by loss of FLOWERING LOCUS C (FLC) and SHORT VEGETATIVE PHASE, which
both delay flowering and promote vegetative shoot identity. Upregulation of FLC
in Pc-G mutants leads to a strong decrease of FT expression in inflorescences. We
find that this activity of FT is needed to prevent floral reversion.
Collectively, our results reveal that floral meristem identity is at least
partially maintained by a daylength-independent role of FT whose expression is
indirectly sustained by Pc-G activity.
PMID- 24920332
TI - REPRESSOR OF SILENCING5 Encodes a Member of the Small Heat Shock Protein Family
and Is Required for DNA Demethylation in Arabidopsis.
AB - In Arabidopsis thaliana, active DNA demethylation is initiated by the DNA
glycosylase REPRESSOR OF SILENCING1 (ROS1) and its paralogs DEMETER, DEMETER
LIKE2 (DML2), and DML3. How these demethylation enzymes are regulated, however,
is poorly understood. Here, using a transgenic Arabidopsis line harboring the
stress-inducible RESPONSIVE TO DEHYDRATION29A (RD29A) promoter-LUCIFERASE (LUC)
reporter gene and the cauliflower mosaic virus 35S promoter (35S)-NEOMYCIN
PHOSPHOTRANSFERASE II (NPTII) antibiotic resistance marker gene, we characterize
a ROS locus, ROS5, that encodes a protein in the small heat shock protein family.
ROS5 mutations lead to the silencing of the 35S-NPTII transgene due to DNA
hypermethylation but do not affect the expression of the RD29A-LUC transgene.
ROS5 physically interacts with the histone acetyltransferase ROS4/INCREASED DNA
METHYLATION1 (IDM1) and is required to prevent the DNA hypermethylation of some
genes that are also regulated by ROS1 and IDM1. We propose that ROS5 regulates
DNA demethylation by interacting with IDM1, thereby creating a chromatin
environment that facilitates the binding of ROS1 to erase DNA methylation.
PMID- 24920333
TI - The Chromatin-Remodeling Factor PICKLE Integrates Brassinosteroid and Gibberellin
Signaling during Skotomorphogenic Growth in Arabidopsis.
AB - Plant cell elongation is controlled by endogenous hormones, including
brassinosteroid (BR) and gibberellin (GA), and by environmental factors, such as
light/darkness. The molecular mechanisms underlying the convergence of these
signals that govern cell growth remain largely unknown. We previously showed that
the chromatin-remodeling factor PICKLE/ENHANCED PHOTOMORPHOGENIC1 (PKL/EPP1)
represses photomorphogenesis in Arabidopsis thaliana. Here, we demonstrated that
PKL physically interacted with PHYTOCHROME-INTERACTING FACTOR3 (PIF3) and
BRASSINAZOLE-RESISTANT1 (BZR1), key components of the light and BR signaling
pathways, respectively. Also, this interaction promoted the association of PKL
with cell elongation-related genes. We found that PKL, PIF3, and BZR1 coregulate
skotomorphogenesis by repressing the trimethylation of histone H3 Lys-27
(H3K27me3) on target promoters. Moreover, DELLA proteins interacted with PKL and
attenuated its binding ability. Strikingly, brassinolide and GA3 inhibited
H3K27me3 modification of histones associated with cell elongation-related loci in
a BZR1- and DELLA-mediated manner, respectively. Our findings reveal that the PKL
chromatin-remodeling factor acts as a critical node that integrates
light/darkness, BR, and GA signals to epigenetically regulate plant growth and
development. This work also provides a molecular framework by which hormone
signals regulate histone modification in concert with light/dark environmental
cues.
PMID- 24920335
TI - Deficient sucrose synthase activity in developing wood does not specifically
affect cellulose biosynthesis, but causes an overall decrease in cell wall
polymers.
AB - The biosynthesis of wood in aspen (Populus) depends on the metabolism of sucrose,
which is the main transported form of carbon from source tissues. The largest
fraction of the wood biomass is cellulose, which is synthesized from UDP-glucose.
Sucrose synthase (SUS) has been proposed previously to interact directly with
cellulose synthase complexes and specifically supply UDP-glucose for cellulose
biosynthesis. To investigate the role of SUS in wood biosynthesis, we
characterized transgenic lines of hybrid aspen with strongly reduced SUS activity
in developing wood. No dramatic growth phenotypes in glasshouse-grown trees were
observed, but chemical fingerprinting with pyrolysis-GC/MS, together with
micromechanical analysis, showed notable changes in chemistry and ultrastructure
of the wood in the transgenic lines. Wet chemical analysis showed that the dry
weight percentage composition of wood polymers was not changed significantly.
However, a decrease in wood density was observed and, consequently, the content
of lignin, hemicellulose and cellulose was decreased per wood volume. The
decrease in density was explained by a looser structure of fibre cell walls as
shown by increased wall shrinkage on drying. The results show that SUS is not
essential for cellulose biosynthesis, but plays a role in defining the total
carbon incorporation to wood cell walls.
PMID- 24920336
TI - Combination of aloe-emodin with radiation enhances radiation effects and improves
differentiation in human cervical cancer cells.
AB - The aim of the present study was to investigate the effects of aloe-emodin (AE)
on the radiosensitivity and differentiation of HeLa human cervical cancer cells.
Cell proliferation was assessed in the HeLa cervical cancer cell line by a
methylthiazolyldiphenyl-tetrazolium bromide assay. Radiosensitivity was
determined by a colony-forming assay. Flow cytometry was used for analysis of
cell cycle distribution and apoptosis. The expression of gamma-H2AX and cyclin B
was assessed by western blotting. Alkaline phosphatase (ALP) activity was
measured by an ALP activity kit. It was demonstrated that AE inhibited the
proliferation of HeLa cells in a concentration- and time-dependent manner,
induced G2/M and S phase cell cycle arrest and enhanced the radiosensitivity of
HeLa cells. The combination of AE and radiation induced apoptosis, upregulated
cyclin B and gamma-H2AX expression and further improved ALP activity compared
with treatment with AE or radiation alone. AE enhanced the radiosensitivity of
HeLa human cervical cancer cells in vitro, inhibited the proliferation of HeLa
cells, induced G2/M phase cell cycle arrest and, in combination with radiation,
induced the apoptosis and improved the differentiation of HeLa cells.
PMID- 24920334
TI - Intervention of Phytohormone Pathways by Pathogen Effectors.
AB - The constant struggle between plants and microbes has driven the evolution of
multiple defense strategies in the host as well as offense strategies in the
pathogen. To defend themselves from pathogen attack, plants often rely on
elaborate signaling networks regulated by phytohormones. In turn, pathogens have
adopted innovative strategies to manipulate phytohormone-regulated defenses.
Tactics frequently employed by plant pathogens involve hijacking, evading, or
disrupting hormone signaling pathways and/or crosstalk. As reviewed here, this is
achieved mechanistically via pathogen-derived molecules known as effectors, which
target phytohormone receptors, transcriptional activators and repressors, and
other components of phytohormone signaling in the host plant. Herbivores and sap
sucking insects employ obligate pathogens such as viruses, phytoplasma, or
symbiotic bacteria to intervene with phytohormone-regulated defenses. Overall, an
improved understanding of phytohormone intervention strategies employed by pests
and pathogens during their interactions with plants will ultimately lead to the
development of new crop protection strategies.
PMID- 24920337
TI - Global and regional kinematics of the cervical spine during upper cervical spine
manipulation: a reliability analysis of 3D motion data.
AB - Studies reporting spine kinematics during cervical manipulation are usually
related to continuous global head-trunk motion or discrete angular displacements
for pre-positioning. To date, segmental data analyzing continuous kinematics of
cervical manipulation is lacking. The objective of this study was to investigate
upper cervical spine (UCS) manipulation in vitro. This paper reports an inter-
and intra-rater reliability analysis of kinematics during high velocity low
amplitude manipulation of the UCS. Integration of kinematics into specific
subject 3D models has been processed as well for providing anatomical motion
representation during thrust manipulation. Three unembalmed specimens were
included in the study. Restricted dissection was realized to attach technical
clusters to each bone of interest (skull, C1-C4 and sternum). During
manipulation, bone motion data was computed using an optoelectronic system. The
reliability of manipulation kinematics was assessed for three experimented
practitioners performing two trials of 3 repetitions on two separate days. During
UCS manipulation, average global head-trunk motion ROM (+/-SD) were 14 +/- 5
degrees , 35 +/- 7 degrees and 14 +/- 8 degrees for lateral bending, axial
rotation and flexion-extension, respectively. For regional ROM (C0-C2),
amplitudes were 10 +/- 5 degrees , 30 +/- 5 degrees and 16 +/- 4 degrees for
the same respective motions. Concerning the reliability, mean RMS ranged from 1
degrees to 4 degrees and from 3 degrees to 6 degrees for intra- and inter
rater comparisons, respectively. The present results confirm the limited angular
displacement during manipulation either for global head-trunk or for UCS motion
components, especially for axial rotation. Additionally, kinematics variability
was low confirming intra- and inter-practitioners consistency of UCS manipulation
achievement.
PMID- 24920339
TI - Diversity and community structure of fungi through a permafrost core profile from
the Qinghai-Tibet Plateau of China.
AB - While a vast number of studies have addressed the prokaryotic diversity in
permafrost, characterized by subzero temperatures, low water activity, and
extremely low rates of nutrient and metabolite transfer, fungal patterns have
received surprisingly limited attention. Here, the fungal diversity and community
structure were investigated by culture-dependent technique combined with cloning
restriction fragment length polymorphism (RFLP) analysis of sediments in a 10-m
long permafrost core from the Qinghai-Tibet Plateau of China. A total of 62
fungal phylotypes related to 10 distinct classes representing three phyla were
recovered from 5031 clones generated in 13 environmental gene libraries. A large
proportion of the phylotypes (25/62) that were distantly related to described
fungal species appeared to be novel diversity. Ascomycota was the predominant
group of fungi, with respect to both clone and phylotype number. Our results
suggested there was the existence of cosmopolitan psychrophilic or
psychrotolerant fungi in permafrost sediments, the community composition of fungi
varied with increasing depth, while these communities largely distributed
according to core layers.
PMID- 24920338
TI - TDP-43 suppresses CGG repeat-induced neurotoxicity through interactions with
HnRNP A2/B1.
AB - Nucleotide repeat expansions can elicit neurodegeneration as RNA by sequestering
specific RNA-binding proteins, preventing them from performing their normal
functions. Conversely, mutations in RNA-binding proteins can trigger
neurodegeneration at least partly by altering RNA metabolism. In Fragile X
associated tremor/ataxia syndrome (FXTAS), a CGG repeat expansion in the 5'UTR of
the fragile X gene (FMR1) leads to progressive neurodegeneration in patients and
CGG repeats in isolation elicit toxicity in Drosophila and other animal models.
Here, we identify the amyotrophic lateral sclerosis (ALS)-associated RNA-binding
protein TAR DNA-binding protein (TDP-43) as a suppressor of CGG repeat-induced
toxicity in a Drosophila model of FXTAS. The rescue appears specific to TDP-43,
as co-expression of another ALS-associated RNA-binding protein, FUS, exacerbates
the toxic effects of CGG repeats. Suppression of CGG RNA toxicity was abrogated
by disease-associated mutations in TDP-43. TDP-43 does not co-localize with CGG
RNA foci and its ability to bind RNA is not required for rescue. TDP-43-dependent
rescue does, however, require fly hnRNP A2/B1 homologues Hrb87F and Hrb98DE.
Deletions in the C-terminal domain of TDP-43 that preclude interactions with
hnRNP A2/B1 abolish TDP-43-dependent rescue of CGG repeat toxicity. In contrast,
suppression of CGG repeat toxicity by hnRNP A2/B1 is not affected by RNAi
mediated knockdown of the fly TDP-43 orthologue, TBPH. Lastly, TDP-43 suppresses
CGG repeat-triggered mis-splicing of an hnRNP A2/B1-targeted transcript. These
data support a model in which TDP-43 suppresses CGG-mediated toxicity through
interactions with hnRNP A2/B1 and suggest a convergence of pathogenic cascades
between repeat expansion disorders and RNA-binding proteins implicated in
neurodegenerative disease.
PMID- 24920340
TI - Failure processes in embedded monolayer graphene under axial compression.
AB - Exfoliated monolayer graphene flakes were embedded in a polymer matrix and loaded
under axial compression. By monitoring the shifts of the 2D Raman phonons of
rectangular flakes of various sizes under load, the critical strain to failure
was determined. Prior to loading care was taken for the examined area of the
flake to be free of residual stresses. The critical strain values for first
failure were found to be independent of flake size at a mean value of -0.60%
corresponding to a yield stress up to -6 GPa. By combining Euler mechanics with a
Winkler approach, we show that unlike buckling in air, the presence of the
polymer constraint results in graphene buckling at a fixed value of strain with
an estimated wrinkle wavelength of the order of 1-2 nm. These results were
compared with DFT computations performed on analogue coronene/PMMA oligomers and
a reasonable agreement was obtained.
PMID- 24920341
TI - A cresyl violet-based fluorescent off-on probe for the detection and imaging of
hypoxia and nitroreductase in living organisms.
AB - A new cresyl violet-based fluorescent off-on probe has been developed through a
one-step synthesis for the detection of nitroreductase (NTR) and hypoxia. The
detection mechanism is based on the NTR-catalyzed reduction of the probe to
cresyl violet, accompanied with a large fluorescence enhancement at a long
wavelength of 625 nm. The probe can detect NTR in aqueous solution with high
selectivity and sensitivity, and the detection limit is 1 ng mL(-1) NTR. Most
importantly, the probe has been successfully used to image not only NTR and
hypoxia in living cells, but also the distribution of NTR in zebrafish in vivo.
PMID- 24920343
TI - Perceived HIV status is a key determinant of unprotected anal intercourse within
partnerships of men who have sex with men in Amsterdam.
AB - The practice of unprotected anal intercourse (UAI) involves at least two
partners. We examined the associations between insertive or receptive UAI and
perceived HIV seroconcordance and partnership type in self-perceived HIV-negative
and self-perceived HIV-positive men who have sex with men (MSM). MSM (age >= 18
years) were recruited for a cross-sectional survey at the sexually transmitted
infections clinic in Amsterdam, the Netherlands, in 2008-2009. Participants
completed a questionnaire concerning partnerships in the preceding 6 months.
Associations were quantified via multinomial logistic regression models using
generalized estimating equations. The outcomes were 'no, or safe anal
intercourse', 'insertive UAI', and 'receptive UAI'. We included 5,456
partnerships from 1,890 self-perceived HIV-negative men and 1,861 partnerships
from 558 self-perceived HIV-positive men. Within the partnerships, perceived HIV
status of the partner was an important determinant of UAI (p < 0.001). Among HIV
negative men, perceived HIV discordance was negatively associated with receptive
UAI compared with no or safe UAI (OR 0.57; 95 % CI 0.36-0.92); when the partners
were more familiar with each other, the risk of receptive UAI was increased
relative to no or safe anal intercourse. Among HIV-positive men, perceived HIV
discordance was negatively associated with insertive UAI (OR 0.05; 95 % CI 0.03
0.08). Within partnerships, perceived HIV status of the partner was one of the
strongest determinants of UAI among self-perceived HIV-negative and HIV-positive
MSM, and discordant serostatus was negatively associated with UAI. The findings
suggest that serosorting is one of the main strategies when engaging in UAI.
PMID- 24920345
TI - Between porphyrins and phthalocyanines: 10,20-diaryl-5,15
tetrabenzodiazaporphyrins.
AB - meso-Diaryltetrabenzo(opp)diazaporphyrins are made readily available via Curtius
rearrangement of azidocarbonyl derivatives of meso-aryldibenzodipyrromethenes,
readily generated with or without isolation from tert-butoxycarbonyl derivatives
of the same system. The new tetrapyrrolic dyes are isostructural with 5,15
diaryltetrabenzoporphyrins and resemble both phthalocyanines and
tetrabenzoporphyrins to afford an interesting new system for optical applications
and material research.
PMID- 24920344
TI - Earlier anal sexarche and co-occurring sexual risk are associated with current
HIV-related risk behaviors among an online sample of men who have sex with men in
Asia.
AB - Studies of heterosexual populations across the globe and men who have sex with
men (MSM) in a few developed countries showed that earlier sexual debut
(sexarche) was associated with higher levels of co-occurring and subsequent HIV
risk behaviors. We examined the relationships between earlier anal sexarche,
unprotected earlier anal sexarche and current HIV risks among MSM from Asia. A
cross-sectional online survey was conducted among MSM (N = 10,826) in Asia in
2010. Bivariate and multivariable logistic regressions were used to identify co
occurring (i.e., sexual experiences during sexarche) and current HIV-related risk
factors (i.e., past 6 months) associated with earlier anal sexarche (before the
age of 18) and unprotected earlier anal sexarche, respectively. Earlier anal
sexarche was significantly associated with lack of condom use, being anal
receptive or both receptive and insertive, and having a partner who were older
during sexarche. It was also associated with current HIV-related risk behaviors
including having multiple male sexual partners, having been paid for sex, and
increased frequencies of recreational drug use. Unprotected earlier anal sexarche
was significantly associated with inconsistent condom use in the past the 6
months. Improved and culturally sensitive sex education at schools should be
included in national and regional HIV/AIDS prevention programming and policies in
Asia. Such sex education programs should incorporate curriculum that address
sexuality, sexual orientation, and sexual behaviors beyond those related to
reproductive health.
PMID- 24920342
TI - Individual and socio-environmental factors associated with unsafe injection
practices among young adult injection drug users in San Diego.
AB - Unsafe injection practices significantly increase the risk of hepatitis C virus
(HCV) and human immunodeficiency virus (HIV) infection among injection drug users
(IDUs). We examined individual and socio-environmental factors associated with
unsafe injection practices in young adult IDUs in San Diego, California. Of 494
IDUs, 46.9 % reported receptive syringe sharing and 68.8 % sharing drug
preparation paraphernalia in the last 3 months. Unsafe injection practices were
associated with increased odds of having friends who injected drugs with used
syringes, injecting with friends or sexual partners, and injecting heroin.
Perceived high susceptibility to HIV and perceived barriers to obtaining sterile
syringes were associated with increased odds of receptive syringe sharing, but
not with sharing injection paraphernalia. Over half the IDUs reported unsafe
injection practices. Our results suggest that personal relationships might
influence IDUs' perceptions that dictate behavior. Integrated interventions
addressing individual and socio-environmental factors are needed to promote safe
injection practices in this population.
PMID- 24920346
TI - [Endoscopic vacuum therapy of perforations and anastomotic insufficiency of the
esophagus].
AB - BACKGROUND: The high morbidity and mortality of esophageal defects show that the
clinical challenge in the treatment of this disease still remains. An innovative
method which has been developed in recent years for esophageal leakage is
endoscopic vacuum therapy. OBJECTIVES: A retrospective analysis of all patients
treated for esophageal perforation with endoscopic vacuum therapy in our
department was carried out. METHODS: From November 2006 to October 2013 a total
of 35 patients were treated with this method and of these 21 had anastomotic
leakage, 7 had iatrogenic perforation due to flexible or rigid endoscopy and 7
patients had esophageal defects of various other origins. Drainage systems with
an open pore polyurethane tip were placed using a standard endoscope. The vacuum
drainage may be positioned either in the esophageal lumen onto the defect or
through the defect into the extraluminal wound cavity. The intraluminal or
intracavitary vacuum drainage is connected to an electronically controlled vacuum
device and a continuous negative pressure of 125 mmHg is maintained for several
days. The esophageal lumen or wound cavity collapses around the drainage
resulting in intraluminal evacuation and closure of the defect. Under endoscopic
monitoring the vacuum system is changed regularly until stable secondary healing
of the intracorporeal wound or closure of the transmural defect is achieved.
RESULTS: In 32 out of 35 patients (91.4 %) healing of defects was achieved after
median treatment duration of 11 days (range 4-78 days). The postoperative
anastomotic leakage healed in 20 out of 21 patients (95.2 %) after a median of 11
days (range 4-46 days) of therapy. The defects in the 7 patients who were treated
for iatrogenic perforation all healed (100 %) after a median treatment time of 5
days (range 4-7 days). There was one case of a recurrent fistula 75 days after
treatment. The 90-day mortality in this series of 35 patients was 5.7 %.
DISCUSSION: The results of this retrospective study emphasize the increasing
importance of endoscopic vacuum therapy in the current literature as an
endoscopic treatment method in the management of esophageal perforation and
anastomotic leakage.
PMID- 24920347
TI - Effects of acute hypoxia on heart rate variability, sample entropy and
cardiorespiratory phase synchronization.
AB - BACKGROUND: Investigating the responses of autonomic nervous system (ANS) in
hypoxia may provide some knowledge about the mechanism of neural control and
rhythmic adjustment. The integrated cardiac and respiratory system display
complicated dynamics that are affected by intrinsic feedback mechanisms
controlling their interaction. To probe how the cardiac and respiratory system
adjust their rhythms in different simulated altitudes, we studied heart rate
variability (HRV) in frequency domain, the complexity of heartbeat series and
cardiorespiratory phase synchronization (CRPS) between heartbeat intervals and
respiratory cycles. METHODS: In this study, twelve male subjects were exposed to
simulated altitude of sea level, 3000 m and 4000 m in a hypobaric chamber. HRV
was assessed by power spectral analysis. The complexity of heartbeat series was
quantified by sample entropy (SampEn). CRPS was determined by cardiorespiratory
synchrogram. RESULTS: The power spectral HRV indices at all frequency bands
depressed according to the increase of altitude. The SampEn of heartbeat series
increased significantly with the altitude (P < 0.01). The duration of CRPS epochs
at 3000 m was not significantly different from that at sea level. However, it was
significantly longer at 4000 m (P < 0.01). CONCLUSIONS: Our results suggest the
phenomenon of CRPS exists in normal subjects when they expose to acute hypoxia.
Further, the autonomic regulation has a significantly stronger influence on CRPS
in acute hypoxia. The changes of CRPS and HRV parameters revealed the different
regulatory mechanisms of the cardiac and respiratory system at high altitude.
PMID- 24920348
TI - Anterior fontanelle closure and size in full-term children based on head computed
tomography.
AB - INTRODUCTION: This study investigates radiographically acquired normative ranges
of anterior fontanelle closure (AFC) and surface area (SA) in healthy full-term
infants. METHODS: High-resolution head computed tomography (CT) scans were
retrospectively reviewed for AFC and AF dimensions to allow approximation of AF
SA. RESULTS: Between 15 and 23 head CT scans per monthly age-group (0-24 months)
were reviewed, totaling 464 scans. AFC frequency increased steadily from age 10
(16%) to 20 months (88%), reaching higher than 50% at age 16 months (53%). The AF
was closed in 3% to 5% of infants at 5 to 6 months. AF median SA increased from
769.3 mm(2) (age 0 months) to 1022.2 mm(2) (2 months), then declined steadily.
CONCLUSIONS: This study provides reference charts detailing AFC frequency and AF
SA as a function of age. Wide variability of AFC timing and AF size among healthy
infants suggest that early or delayed AFC may represent normal variants.
PMID- 24920349
TI - Efficacy and safety of the pulsed infusions of levosimendan in outpatients with
advanced heart failure (LevoRep) study: a multicentre randomized trial.
AB - AIMS: The aim of this study was to determine whether intermittent ambulatory
treatment with levosimendan would improve functional capacity, quality of life,
and event-free survival in patients with advanced heart failure. METHODS AND
RESULTS: This was a prospective, randomized, double-blind, placebo-controlled,
multicentre, parallel-group trial of pulsed infusions of levosimendan in 120
outpatients with advanced heart failure (EF <=35%, NYHA class III or IV). The
study was conducted at 11 centres in Austria, Greece, and Germany. Levosimendan
(0.2 ug/kg/min) or placebo was administered for 6 h at 2-week intervals over 6
weeks, in addition to standard care therapy. The primary outcome was the
proportion of patients with a >=20% improvement in the 6 min walk test and a
>=15% score increase on the Kansas City Cardiomyopathy Questionnaire at the end
of the 24-week study period. Secondary outcomes included event-free survival
after 24 weeks. Analyses were performed on an intention-to-treat basis. The
primary endpoint was reached in 19% of patients receiving levosimendan and 15.8%
of patients receiving placebo (odds ratio 1.25; 95% confidence interval 0.44
3.59; P = 0.810). Cardiac death (four vs. one), heart transplants (two vs. one),
and acute heart failure (14 vs. nine) were more frequent with placebo as compared
with levosimendan. The incidence of side effects was comparable between groups.
CONCLUSION: Intermittent ambulatory treatment with levosimendan in patients with
advanced heart failure did not improve significantly functional capacity or
quality of life as compared with placebo. An adequately powered, event-driven
trial is warranted to enlarge on our findings. TRIAL REGISTRATION: NCT01065194.
PMID- 24920350
TI - The career path choices of veterinary radiologists.
AB - Concerns of a shortage of board certified specialists willing to work in academia
have shadowed the medical and veterinary communities for decades. As a result, a
number of studies have been conducted to determine how to foster, attract, and
retain specialists in academia. More recently, there has been a growing
perception that it is difficult for academic institutions to hire board certified
veterinary radiologists. The objective of this study was to describe the career
paths (academia vs. private sector) of veterinary radiologists and to determine
what factors influenced their career path decisions. A mixed mode cross-sectional
survey was used to survey ACVR radiologists and residents-in-training, 48%
(255/529) of which responded. There was a near unidirectional movement of
radiologists from academia to the private sector: 45.7% (59/129) of the
respondents who began their careers in academia had switched to the private
sector while only 8% (7/88) had left the private sector for academia. If a
shortage of academic radiologists exists, then perhaps the issue should be framed
as a problem with retention vs. recruitment. The most influential factors in the
decision to leave academia were remuneration (wages and benefits), lack of
interest/enjoyment in research, geographical location, and family considerations.
It is salient that average salaries increased by twofold after leaving academia
for the private sector.
PMID- 24920351
TI - Magnetic resonance imaging in the evaluation of cognitive function.
AB - Image quality of magnetic resonance imaging (MRI) scans of the brain currently
approximate gross anatomy as would be viewed at autopsy. During the first decade
of the 21st Century incredible advances in image processing and quantification
have occurred permitting more refined methods for studying brain-behavior
cognitive functioning. The current presentation overviews the current status of
MRI methods for routine clinical assessment of brain pathology, how these
techniques identify neuropathology and how pathological findings are quantified.
Diffusion tensor imaging (DTI), functional MRI (fMRI), and resting state fMRI are
all reviewed, emphasizing how these techniques permit an examination of brain
function and connectivity. General regional relationships of brain function
associated with cognitive control will be highlighted.
PMID- 24920352
TI - Association between the lengths of GT dinucleotide repeat in the PIK3CA gene with
breast cancer risk.
AB - The phosphatidylinositol 3-kinase (PI3 K)/Akt signaling pathway is one of the
most commonly mutated pathways in breast cancer. To date, there has been no study
on polymorphism of phosphatidylinositol-3,4-bisphosphonate 3-kinase, catalytic
subunit alpha (PIK3CA) gene microsatellites and their link with breast cancer
risk. In the present study, we investigate the guanine-thymine (GT) dinucleotide
repeat polymorphism in intron 1 of PIK3CA gene in a cohort of 200 breast cancer
patients and 200 healthy individuals and its link to the risk of developing
breast cancer. The results of this study demonstrate that PIK3CA gene allele
distribution in Isfahan population varies between 13 and 20 repeats. GT14 and
GT16 were the most common allele present in patients, and GT17 was the most
common allele in controls. Women with one or two alleles shorter than 17 GT
repeat have a significantly higher risk of developing breast cancer [Odds ratio
(OR) 3.6, p = 0.00001 and OR 3.98, p = 0.000001, respectively], in contrast,
women with one or two alleles longer than 16 are at lower risk of breast cancer.
This result suggests a potential role for this microsatellite as a predictive
marker of breast cancer risk in Iranian women.
PMID- 24920353
TI - Pharmacokinetic study of rosuvastatin in males and females.
AB - Rosuvastatin is used to treat dyslipidemia and is metabolized by CYP2C9 that
shows variable metabolic activity in males and females. Pharmacokinetics (PK) of
drugs varies in males and females that may result in altered drug response and
therapeutic efficacy. In current study, PK of rosuvastatin has been evaluated in
males and females. A single oral dose (40 mg rosuvastatin), open-label and non
controlled PK study was arranged. A reversed phase HPLC method was applied for
quantification of rosuvastatin in serum samples. PK parameters of rosuvastatin
were compared in males and females by applying student t test at 95 % confidence
interval. The C max, [Formula: see text]and [Formula: see text]of rosuvastatin
was significantly higher (p < 0.05) in females compared with males. The Vd/F of
rosuvastatin was insignificantly higher (p > 0.05) in males compared with females
while CL/F was significantly (p < 0.05) faster in males when compared at 95 %
confidence interval. Rosuvastatin plasma level was significantly high in females
compared with males that may be a possible reason for higher incidence of cardiac
myopathy and other side effects in females. The variation in PK of drugs in males
and females may require dose adjustment for maximum therapeutic effectiveness and
safety.
PMID- 24920354
TI - Childhood Maltreatment and Educational Outcomes.
AB - Children (0-18 years) with maltreatment histories are vulnerable to experiencing
difficulties across multiple domains of functioning, including educational
outcomes that encompass not only academic achievement but also mental well-being.
The current literature review adopted Slade and Wissow's model to examine (1) the
link between childhood maltreatment and academic achievement, (2) the link
between childhood maltreatment and mental health outcomes (i.e., emotional and
behavioral difficulties), and (3) the bidirectional relationship between
childhood academic achievement and mental health. In addition, we reviewed
variables that might influence or help explain the link between childhood
maltreatment and educational outcomes, drawing on developmental perspectives and
Bronfenbrenner's ecological model. Finally, whenever possible, we presented
findings specific to maltreated children in out-of-home care to highlight the
unique challenges experienced by this population. Results indicated that children
with maltreatment histories often experience impairments in both their academic
performance (e.g., special education, grade retention, lower grades) and mental
well-being (e.g., anxiety, low mood, aggression, social skills deficits, poor
interpersonal relationships). These impairments appeared to be particularly
pronounced among maltreated children in out-of-home care. Findings, albeit
sparse, also indicated that mental health difficulties are negatively associated
with children's academic achievement and, similarly, that academic achievement
deficits are linked with mental health problems. The link between childhood
maltreatment and educational outcomes may be partly explained through the
disruption of key developmental processes in children, such as attachment,
emotion regulation, and sense of agency. As well, maltreatment characteristics
and the functioning of various systems in which children are embedded (e.g.,
family, school, child welfare) can serve to positively or negatively influence
the educational outcomes of maltreated children. The theoretical, research, and
applied implications stemming from the findings are considered.
PMID- 24920355
TI - Targeted small noncoding RNA-directed gene activation in human cells.
AB - A growing body of evidence suggests that noncoding RNA (ncRNA) transcripts play a
fundamental role in regulating gene expression via targeting epigenetic
modifications to particular loci in the genome. Classical examples of such
regulation are X-chromosome inactivation and genomic imprinting; however it is
now clear that ncRNAs exert their influence over a wider array of genes
throughout the metazoan genome. Accumulating evidence suggests that the ncRNAs
act as guides for epigenetic silencing complexes to specific sites within the
genome. Those ncRNAs involved in regulating the expression of particular protein
coding genes offer panoply of targets that when suppressed can result in
derepression or activation of the ncRNA-targeted locus. Recent work has
determined the underlying mechanisms involved in ncRNA-targeted epigenetic
regulation in a subset of genes. These findings have resulted in a paradigm shift
whereby targeted gene activation can be achieved, by targeting endogenous
regulatory ncRNAs, producing potential novel treatments for genetic and
infectious diseases where increases in gene expression are required.
PMID- 24920356
TI - Isolation of chromatoid bodies from mouse testis as a rich source of short RNAs.
AB - RNA-protein (RNP) complexes and granules are powerful composites of merged
functions and unique properties. The importance of RNPs in carrying out complex
tasks in RNA processing and regulation is being increasingly revealed. One of the
biggest RNP granules is the chromatoid body (CB) that is believed to orchestrate
the RNA posttranscriptional regulation in haploid male germ cells. Here, we
describe the CB isolation procedure, from mouse testis. After cross-linking and
lysing the cells, the CBs are enriched by slow-speed centrifugation and
immunoprecipitated using anti-MVH/DDX4 antibody. The method yields pure fractions
of CBs, and it is robust, reproducible and does not require special equipment or
abundant starting material. The CB is packed with large amounts of RNA,
especially small RNAs. Isolation of the CBs provides a tool to enrich these RNA
species.
PMID- 24920357
TI - Generation of endo-siRNAs in Xenopus laevis oocytes.
AB - Endogenous siRNAs (endo-siRNAs) are well documented and characterized in C.
elegans and Drosophila. Endo-siRNAs can also be found in vertebrates; however,
their biology is much less clear. They are thought to be produced by Dicer and to
contribute to transposon silencing. Because of their generally low abundance and
their similarity with miRNAs and products of physiological RNA turn-over, endo
siRNAs are difficult to investigate. Here, we report a system, oocytes from
Xenopus laevis, that allows for the generation and analysis of endo-siRNAs from
double-stranded RNA precursors.
PMID- 24920358
TI - Analysis of endo-siRNAs in Drosophila.
AB - The small RNA silencing system is an important player in the control of gene
expression. In particular analysis of the highly complex sequence repertoire of
piRNAs and endogenous siRNAs directed against repetitive elements and transposons
has been facilitated by the advent of next-generation sequencing tools. We are
providing a detailed protocol for the creation of deep sequencing libraries in
combination with a chemical modification step (periodate oxidation and beta
elimination) that enriches for 3'-terminal modified small RNAs. This step can
thus facilitate the detection and-since incorporation of the small RNA into the
effector complex precedes the terminal methylation-determine the Argonaute
loading state of certain small RNA species. Both, the oxidation as well as the
deep sequencing library preparation are adaptations of popular, previously
published protocols. The sequencing libraries described here can be sequenced on
all flow cell types (i.e., single-end and paired-end) of the Illumina sequencing
systems and are compatible with Illumina index reads. It is therefore also
possible to analyze the libraries on the MiSeq system, for which currently only
paired-end flow cells are available, and combine several libraries in multiplexed
experiments. The chapter concludes with considerations concerning quality control
and data analysis.
PMID- 24920359
TI - Methods for studying the biological consequences of endo-siRNA deficiency in
Drosophila melanogaster.
AB - Endogenous small interfering RNAs (endo-siRNAs) are a newly emerged class of
small regulatory RNAs. In Drosophila melanogaster, the production of endo-siRNAs
depends on the RNase III enzyme Dicer-2 (Dcr-2). Loss of dcr-2 function reduces
the resistance of adult flies to various stresses and shortens their life span.
The mutants also exhibit alterations in carbohydrate and lipid metabolism. These
findings suggest that the endo-siRNA pathway plays a protective role during
exposure to stress and aging in D. melanogaster, possibly by regulating metabolic
homeostasis. Here, we describe the methods that were used to discover the
phenotypes associated with endo-siRNA deficiency by using dcr-2 null mutants.
PMID- 24920360
TI - Small RNA library cloning procedure for deep sequencing of specific endogenous
siRNA classes in Caenorhabditis elegans.
AB - In recent years, distinct classes of small RNAs ranging in size from ~21 to 26
nucleotides have been discovered and shown to play important roles in a wide
array of cellular functions. Because of the abundance of these small RNAs,
library preparation from an RNA sample followed by deep sequencing provides the
identity and quantity of a particular class of small RNAs. In this chapter we
describe a detailed protocol for preparing small RNA libraries for deep
sequencing on the Illumina platform from the nematode C. elegans.
PMID- 24920361
TI - Assays for direct and indirect effects of C. elegans endo-siRNAs.
AB - Ever since the discovery of the first microRNAs in C. elegans, increasing numbers
of endogenous small RNAs have been discovered. Endogenous siRNAs (endo-siRNAs)
have emerged in the last few years as a largely independent class of small RNAs
that regulate endogenous gene expression, with mechanisms distinct from those of
piRNAs and miRNAs. Quantification of these small RNAs and their effect on target
RNAs is a powerful tool for the analysis of RNAi; however, detection of small
RNAs can be difficult due to their small size and relatively low abundance. Here,
we describe the novel FirePlex assay for directly detecting endo-siRNA levels in
bulk, as well as an optimized qPCR method for detecting the effect of endo-siRNAs
on gene targets. Intriguingly, the loss of endo-siRNAs frequently results in
enhanced experimental RNAi. Thus, we also present an optimized method to assess
the indirect impact of endo-siRNAs on experimental RNAi efficiency.
PMID- 24920362
TI - Extraction and nonradioactive detection of small RNA molecules.
AB - The emergence of small RNAs as key and potent regulators of gene expression has
prompted the need for robust detection and assay protocols to be developed for
investigating their generation and tissue distribution. The physicochemical
nature of these RNAs allows traditional assay methods to be employed; however,
due to the relatively small size of endo-siRNAs, key changes to these protocols
are required. Here, we present a method for the nonradioactive detection of endo
siRNAs in mouse tissue and microinjected Xenopus oocytes. The method comprises
steps for RNA extraction, PAGE, and low-stringency northern blotting using DIG
labelled RNA probes. Moreover, it includes a strategy to design and generate
cheap hybridization probes with greatly increased sensitivity. These methods may
be used as a simple and robust protocol for nonradioactive detection of small
RNAs or be combined with other strategies to potentially enhance signal
intensity.
PMID- 24920363
TI - p19-mediated enrichment and detection of siRNAs.
AB - p19 is an RNA binding protein originally isolated from the Carnation Italian ring
spot virus (CIRV). It has been shown that p19 is a plant RNA-silencing suppressor
that binds small interfering RNA (siRNA) with high affinity. A bifunctional p19
fusion protein, with an N-terminal maltose binding protein (MBP) and a C-terminal
chitin binding domain (CBD) allows protein purification and binding of p19 to
chitin magnetic beads via the chitin binding domain. The fusion p19 protein
recognizes and binds double-stranded RNAs (dsRNA) in the size range of 20-23
nucleotides, but does not bind single strand RNA (ssRNA) or dsDNA. Furthermore,
p19 can also bind mRNA, if there is a 19 bp blunt RNA duplex at the exact end of
the RNA. Binding specificity of the p19 fusion protein for small dsRNA allows for
detection of siRNAs derived either from exogenous or endogenous long dsRNA or
microRNAs when hybridized to a complementary RNA. Here we describe a robust
method using p19 and radioactive RNA probes to detect siRNAs in the sub-femtomole
range and in the presence of a million-fold excess of total RNA. Unlike most
nucleic acid detection methods, p19 selects for RNA hybrids of correct length and
structure. This chapter describes the potential of p19 fusion protein to detect
miRNAs, isolate exogenous or endogenous siRNAs, and purify longer RNAs that
contain a 19-bp terminal RNA duplex.
PMID- 24920364
TI - Detection of small noncoding RNAs by in situ hybridization using probes of 2'-O
methyl RNA + LNA.
AB - In situ hybridization is a powerful method to provide information about
contextual distribution and cellular origin of nucleic acids, e.g., in formalin
fixed paraffin-embedded (FFPE) samples of tissue. Particularly the recently
discovered classes of noncoding RNA (ncRNA) including endo-siRNAs and microRNAs
require such a technique to enable their study and visualization in natural
contexts, and in the last decade, many advances have been made, increasing our
ability to specifically detect small ncRNAs. One of the key developments has been
the demonstration of the superiority of using locked nucleic acid (LNA)-modified
DNA probes for the detection of ncRNA in tissue. Here, we describe an alternative
in situ hybridization protocol employing oligonucleotide probes consisting of
combinations of LNA and 2'-O-methyl RNAs that under optimized hybridization
buffer conditions can provide a highly sensitive assay performance with only 1 h
hybridization time.
PMID- 24920365
TI - Enhanced detection of small RNAs using a nonradioactive approach.
AB - Recent advancements in high-throughput sequencing have led to the identification
of many new classes of small noncoding RNAs such as endo-siRNAs. Unfortunately,
reliable quantification of RNAs by sequencing is difficult due to artifacts
arising from various factors involved in cDNA library preparation. Northern blot
is one of the leading methods used to confirm the presence of a given RNA
sequence because it can accurately quantify the cellular abundance, the size of
the small RNA and reveal the presence of potential precursors and RNA isoforms.
Here, we present a comprehensive description of LNA probe design along with a
recently developed highly sensitive and cost-effective nonradioactive northern
blot approach termed LED. LED combines a cross-linking method (EDC) and
digoxigenin (DIG) labeling, and it can detect small RNAs with concentrations as
low as 0.05 fmol and requires as little as a few seconds of membrane exposure for
signal generation.
PMID- 24920366
TI - Computing siRNA and piRNA overlap signatures.
AB - High-throughput sequencing approaches opened the possibility to precisely map
full populations of small RNAs to the genomic loci from which they originate. A
bioinformatic approach revealed a strong tendency of sense and antisense piRNAs
to overlap with each other over ten nucleotides and had a major role in
understanding the mechanisms of piRNA biogenesis. Using similar approaches, it is
possible to detect a tendency of sense and antisense siRNAs to overlap over 19
nucleotides. Thus, the so-called overlap signature which describes the tendency
of small RNA to map in a specific way relative to each other has become the
approach of choice to identify and characterize specific classes of small RNAs.
Although simple in essence, the bioinformatic methods used for this approach are
not easily accessible to biologists. Here we provide a python software that can
be run on most of desktop or laptop computers to compute small RNA signatures
from files of sequencing read alignments. Moreover, we describe and illustrate
step by step two different algorithms at the core of the software and which were
previously used in a number of works.
PMID- 24920367
TI - Isolation of small interfering RNAs using viral suppressors of RNA interference.
AB - The tombusvirus P19 VSR (viral suppressor of RNA interference) binds siRNAs with
high affinity, whereas the Flockhouse Virus (FHV) B2 VSR binds both long double
stranded RNA (dsRNA) and small interfering RNAs (siRNAs). Both VSRs are small
proteins and function in plant and animal cells. Fusing a Nuclear Localization
Signal (NLS) to the N-terminus shifts the localization of the VSR from
cytoplasmic to nuclear, allowing researchers to specifically probe the
subcellular distribution of siRNAs, and to investigate the function of nuclear
and cytoplasmic siRNAs. This chapter provides a detailed protocol for the
immunoprecipitation of siRNAs bound to epitope-tagged VSR and subsequent analysis
by 3'-end-labeling using cytidine-3',5'-bis phosphate ([5'-(32)P]pCp) and
northern blotting.
PMID- 24920368
TI - Computational analysis, biochemical purification, and detection of tRNA-derived
small RNA fragments.
AB - The rapidly growing list of small RNA species generated by next-generation
sequencing technologies has accelerated the development of new bioinformatics
tools for their detection. Small RNAs generated from tRNAs, transfer RNA-derived
fragments (tRFs), represent a novel challenge in accurately identifying and
distinguishing them from random degradation products of tRNAs. Here, we describe
a bioinformatics approach to detect tRFs in next-generation sequencing libraries.
We also present a biochemical purification protocol for enriching 5' tRFs and
separating them from miRNAs. And finally, we suggest reliable methods for
detecting and quantifying tRFs.
PMID- 24920369
TI - Differential DNA methylation patterns in endo-siRNAs mediated silencing of LINE-1
retrotransposons.
AB - Analyzing differences in DNA methylation is a powerful tool for assessing the
effect of endo-siRNAs expression in the human genome. Here, we present a simple
genome-wide DNA methylation assay that allows for a precise quantitative analysis
of differences in the promoter of human long interspersed nuclear element 1 (LINE
1 or L1) retrotransposons in response to endogenous and exogenous expression of
endo-siRNAs. Using the DNA bisulfite modification sequencing, we have optimized
the method to detect small changes in heterogeneously methylated L1 repeats at
multiple regions across the genome. We also provide guidance for analysis of
primary bisulfite sequencing data and interpretation of the methylation status
using the Web-based bisulfite sequencing DNA methylation (BISMA) analysis. This
refined and reproducible assay can be performed even using a small amount of
genomic DNA and is suitable for the analysis of clinical tissue samples.
PMID- 24920370
TI - Applied neuroanatomy elective to reinforce and promote engagement with
neurosensory pathways using interactive and artistic activities.
AB - One in six Americans is currently affected by neurologic disease. As the United
States population ages, the number of neurologic complaints is expected to
increase. Thus, there is a pressing need for more neurologists as well as more
neurology training in other specialties. Often interest in neurology begins
during medical school, so improving education in medical neural courses is a
critical step toward producing more neurologists and better neurology training in
other specialists. To this end, a novel applied neuroanatomy elective was
designed at the University of Texas Health Science Center at San Antonio
(UTHSCSA) to complement the traditional first-year medical neuroscience course
and promote engagement and deep learning of the material with a focus on
neurosensory pathways. The elective covered four neurosensory modalities
(proprioception/balance, vision, auditory, and taste/olfaction) over four
sessions, each with a short classroom component and a much longer activity
component. At each session, students reviewed the neurosensory pathways through
structured presentations and then applied them to preplanned interactive
activities, many of which allowed students to utilize their artistic talents.
Students were required to complete subjective pre-course and post-course surveys
and reflections. The survey results and positive student comments suggest that
the elective was a valuable tool when used in parallel with the traditional
medical neuroscience course in promoting engagement and reinforcement of the
neurosensory material.
PMID- 24920371
TI - Synthesis of bioactive beta-TCP coatings with tailored physico-chemical
properties on zirconia bioceramics.
AB - The objective of this work was to develop a synthesis procedure for the
deposition of beta-TCP coatings with tailored physico-chemical properties on
zirconia bioceramics. The synthesis procedure involved two steps: (i) a rapid wet
chemical deposition of a biomimetic CaP coating and (ii) a subsequent post
deposition processing of the biomimetic CaP coating, which included a heat
treatment between 800 and 1200 degrees C, followed by a short sonication in a
water bath. By regulating the heating temperature the topography of the beta-TCP
coatings could be controlled. The average surface roughness (Ra) ranged from 42
nm for the coating that was heated at 900 degrees C (TCP-900) to 630 nm for the
TCP-1200 coating. Moreover, the heating temperature also affected the dissolution
rate of the coatings in a physiological solution, their protein-adsorption
capacity and their bioactivity in a simulated body fluid.
PMID- 24920372
TI - The phenotypic and genetic structure of depression and anxiety disorder symptoms
in childhood, adolescence, and young adulthood.
AB - IMPORTANCE: The DSM-5 classifies mood and anxiety disorders as separate
conditions. However, some studies in adults find a unidimensional internalizing
factor that underpins anxiety and depression, while others support a
bidimensional model where symptoms segregate into distress (depression and
generalized anxiety) and fear factors (phobia subscales). However, little is
known about the phenotypic and genetic structure of internalizing psychopathology
in children and adolescents. OBJECTIVE: To investigate the phenotypic
associations between depression and anxiety disorder symptom subscales and to
test the genetic structures underlying these symptoms (DSM-5-related,
unidimensional and bidimensional) across 3 developmental stages: childhood,
adolescence, and early adulthood. DESIGN, SETTING, AND PARTICIPANTS: Two
population-based prospective longitudinal twin/sibling studies conducted in the
United Kingdom. The child sample included 578 twins (mean age, approximately 8
and 10 years at waves 1 and 2, respectively). The adolescent and early adulthood
sample included 2619 twins/siblings at 3 waves (mean age, 15, 17, and 20 years at
each wave). MAIN OUTCOMES AND MEASURES: Self-report symptoms of depression and
anxiety disorders. RESULTS: Phenotypically, when controlling for other anxiety
subscales, depression symptoms were only associated with generalized anxiety
disorder symptoms in childhood (r = 0.20-0.21); this association broadened to
panic and social phobia symptoms in adolescence (r = 0.17-0.24 and r = 0.14-0.16,
respectively) and all anxiety subscales in young adulthood (r = 0.06-0.19). The
genetic associations were in line with phenotypic results. In childhood, anxiety
subscales were influenced by a single genetic factor that did not contribute to
genetic variance in depression symptoms, suggesting largely independent genetic
influences on anxiety and depression. In adolescence, genetic influences were
significantly shared between depression and all anxiety subscales in agreement
with DSM-5 conceptualization. In young adulthood, a genetic internalizing factor
influencing depression and all anxiety subscales emerged, alongside a small
significant genetic fear factor. CONCLUSIONS AND RELEVANCE: These results provide
preliminary evidence for different phenotypic and genetic structures of
internalizing disorder symptoms in childhood, adolescence, and young adulthood,
with depression and anxiety becoming more associated from adolescence. The
results inform molecular genetics research and transdiagnostic treatment
approaches. The findings affirm the need to continue examining the classification
of mood and anxiety disorders in diagnostic systems.
PMID- 24920375
TI - Do individually ventilated cage systems generate a problem for genetic mouse
model research?
AB - Technological developments over recent decades have produced a novel housing
system for laboratory mice, so-called 'individually ventilated cage' (IVC)
systems. IVCs present a cage environment which is different to conventional
filter-top cages (FILTER). Nothing is known about the consequences of IVC housing
on genetic mouse models, despite studies reporting IVC-mediated changes to the
phenotypes of inbred mouse strains. Thus, in this study, we systematically
compared the established behavioural phenotype of a validated mouse model for the
schizophrenia risk gene neuregulin 1 (TM Nrg1 HET) kept in FILTER housing with
Nrg1 mutant mice raised in IVC systems. We found that particular schizophrenia
relevant endophenotypes of TM Nrg1 HETs which had been established and widely
published using FILTER housing were altered when mice were raised in IVC housing.
IVCs diminished the schizophrenia-relevant prepulse inhibition deficit of Nrg1
mutant males. Furthermore, IVC housing had a sex-dependent moderate effect on the
locomotive phenotype of Nrg1 mice across test paradigms. Behavioural effects of
IVC housing were less prominent in female mice. Thus, transferring the breeding
colony of mouse mutants from FILTER to IVC systems can shift disease-relevant
behaviours and therefore challenge the face validity of these mice. Researchers
facing an upgrade of their mouse breeding or holding facilities to IVC systems
must be aware of the potential impact this upgrade might have on their genetic
mouse models. Future publications should provide more details on the cage system
used to allow appropriate data comparison across research sites.
PMID- 24920376
TI - Prescriber response to unsolicited prescription drug monitoring program reports
in Massachusetts.
AB - PURPOSE: To describe prescriber response to unsolicited patient reports from the
Massachusetts prescription drug monitoring program (PDMP). METHODS: Prescribers
were surveyed upon receipt of unsolicited reports of their patients' prescription
history and three months later. We assessed prescribers' awareness of other
prescribers listed in the report, their clinical assessment of medical necessity
of all prescribed medications, actions taken by prescribers after receiving the
report, and usefulness of the report. RESULTS: Of the 333 respondents to the
initial survey, only 28 (8.4%) were aware of most, all, or nearly all other
prescribers. A total of 146 (43.8%) reported having sufficient knowledge to
determine whether the prescriptions were medically necessary, of whom 102 (69.6%)
felt the prescriptions were unwarranted. Of the 163 respondents to the follow-up
survey, 31.3% added the report to the patient's file, 22.7% discussed the report
with other prescribers on the report, 21.5% took no action, and 6% discussed the
report with the patient (representing two-thirds of respondents who saw the
patient after receiving the report). Most respondents felt that the report was
useful for their practice and easy to understand. CONCLUSIONS: Unsolicited
reporting of PDMP data has the potential to improve clinical practice by alerting
providers about patients with multiple prescribers and potentially medically
unnecessary prescriptions.
PMID- 24920373
TI - The pathogenesis-related protein PR-4b from Theobroma cacao presents RNase
activity, Ca(2+) and Mg(2+) dependent-DNase activity and antifungal action on
Moniliophthora perniciosa.
AB - BACKGROUND: The production and accumulation of pathogenesis-related proteins (PR
proteins) in plants in response to biotic or abiotic stresses is well known and
is considered as a crucial mechanism for plant defense. A pathogenesis-related
protein 4 cDNA was identified from a cacao-Moniliophthora perniciosa interaction
cDNA library and named TcPR-4b. RESULTS: TcPR-4b presents a Barwin domain with
six conserved cysteine residues, but lacks the chitin-binding site. Molecular
modeling of TcPR-4b confirmed the importance of the cysteine residues to maintain
the protein structure, and of several conserved amino acids for the catalytic
activity. In the cacao genome, TcPR-4b belonged to a small multigene family
organized mainly on chromosome 5. TcPR-4b RT-qPCR analysis in resistant and
susceptible cacao plants infected by M. perniciosa showed an increase of
expression at 48 hours after infection (hai) in both cacao genotypes. After the
initial stage (24-72 hai), the TcPR-4b expression was observed at all times in
the resistant genotypes, while in the susceptible one the expression was
concentrated at the final stages of infection (45-90 days after infection). The
recombinant TcPR-4b protein showed RNase, and bivalent ions dependent-DNase
activity, but no chitinase activity. Moreover, TcPR-4b presented antifungal
action against M. perniciosa, and the reduction of M. perniciosa survival was
related to ROS production in fungal hyphae. CONCLUSION: To our knowledge, this is
the first report of a PR-4 showing simultaneously RNase, DNase and antifungal
properties, but no chitinase activity. Moreover, we showed that the antifungal
activity of TcPR-4b is directly related to RNase function. In cacao, TcPR-4b
nuclease activities may be related to the establishment and maintenance of
resistance, and to the PCD mechanism, in resistant and susceptible cacao
genotypes, respectively.
PMID- 24920377
TI - Abnormalities of mental rotation of hands associated with speed of information
processing and executive function in chronic schizophrenic patients.
AB - AIM: Deficits in mental imagery ability have been reported in patients with
schizophrenia. However, there is scarce evidence about the correlation between
impairment in mental rotation and other cognitive deficits in the patients. The
aim of this study was to assess mental rotation ability, along with other
measures of cognitive function in patients with schizophrenia. METHODS: The
performance of 29 patients with schizophrenia was compared with 29 healthy
controls. Mental rotation was measured with the Hand Rotation Task, and cognitive
functions were measured with the Brief Assessment of Cognition in Schizophrenia
(BACS). RESULTS: On Hand Rotation Task, the patients were significantly slower
and less accurate compared to controls. Moreover, mental rotation accuracy was
significantly correlated with all the BACS domains except verbal memory. In
multiple regression analysis, the two BACS subscales, Tower of London and Symbol
Coding tasks, were significant predictors and accounted for 41% of the variance
in accuracy in the patients. CONCLUSION: These results support previous findings
showing dysfunction of the posterior parietal cortex in schizophrenia, which is
involved in general mental rotation, as well as other cognitive processes.
PMID- 24920378
TI - Development of a Japanese version of the reported and intended behaviour scale:
reliability and validity.
AB - AIM: The Reported and Intended Behaviour Scale (RIBS) was developed in the U.K.
to measure mental health-related behavior. The current study aimed to evaluate
the applicability, and reliability of a Japanese version of the RIBS (RIBS-J) in
a Japanese context, and further examine the construct validity of the RIBS-J.
METHODS: The sample included 224 undergraduate and postgraduate students at a
Japanese university. Cronbach's alpha was used to assess internal consistency.
Pearson's correlation coefficient was used to examine the divergent validity
between the RIBS-J and the Mental Health Knowledge Schedule and the convergent
validity between the second subscale of the RIBS-J and Japanese version of the
Social Distance Scale. Confirmatory factor analysis assessed the goodness of
model fit of the RIBS-J. We also examined test-retest reliability with another
undergraduate sample (n = 29). RESULTS: Most items exhibited no floor/ceiling
effect. High internal consistency (alpha = 0.83) was reported. The second
subscale of the RIBS-J, measuring intended behavior, correlated with the Mental
Health Knowledge Schedule (r = 0.33, P < 0.001) and the Japanese version of the
Social Distance Scale (r = -0.60, P < 0.001). In addition, confirmatory factor
analysis found good model fit for the RIBS-J (chi2 = 41.001, d.f. = 19, P =
0.002, goodness-of-fit index = 0.956, adjusted goodness-of-fit index = 0.916,
comparative fit index = 0.955, root mean square error of approximation = 0.072).
Overall test-retest reliability (rhoc) was 0.71. CONCLUSION: The RIBS-J is an
appropriate and psychometrically robust measure of behavior towards individuals
with mental health problems in Japan. Further studies using a community sample
could assess the generalizability of our findings.
PMID- 24920379
TI - Neural responses to various rewards and feedback in the brains of adolescent
Internet addicts detected by functional magnetic resonance imaging.
AB - AIM: This study aimed to examine differences in brain activation for various
types of reward and feedback in adolescent Internet addicts (AIA) and normal
adolescents (NA) using functional magnetic resonance imaging (fMRI). METHODS: AIA
(n = 15) and NA (n = 15) underwent fMRI while performing easy tasks for which
performance feedback (PF), social reward (SR) (such as compliments), or monetary
reward (MR) was given. Using the no reward (NR) condition, three types of
contrasts (PF-NR, SR-NR, and MR-NR) were analyzed. RESULTS: In NA, we observed
activation in the reward-related subcortical system, self-related brain region,
and other brain areas for the three contrasts, but these brain areas showed
almost no activation in AIA. Instead, AIA showed significant activation in the
dorsolateral prefrontal cortex for the PF-NR contrast and the negative
correlation was found between the level of activation in the left superior
temporal gyrus (BA 22) and the duration of Internet game use per day in AIA.
CONCLUSION: These findings suggest that AIA show reduced levels of self-related
brain activation and decreased reward sensitivity irrespective of the type of
reward and feedback. AIA may be only sensitive to error monitoring regardless of
positive feelings, such as sense of satisfaction or achievement.
PMID- 24920380
TI - Clinical characteristics and diagnostic confirmation of Internet addiction in
secondary school students in Wuhan, China.
AB - AIM: This study investigated the clinical characteristics of internet addiction
using a cross-sectional survey and psychiatric interview. METHODS: A structured
questionnaire consisted of demographics, Symptom Checklist 90, Self-Rating
Anxiety Scale, Self-Rating Depression Scale, and Young's Internet Addiction Test
(YIAT) was administered to students of two secondary schools in Wuhan, China.
Students with a score of 5 or higher on the YIAT were classified as having
Internet Addiction Disorder (IAD). Two psychiatrists interviewed students with
IAD to confirm the diagnosis and evaluate their clinical characteristics.
RESULTS: Of a total of 1076 respondents (mean age 15.4 +/- 1.7 years; 54.1%
boys), 12.6% (n = 136) met the YIAT criteria for IAD. Clinical interviews
ascertained the Internet addiction of 136 pupils and also identified 20 students
(14.7% of IAD group) with comorbid psychiatric disorders. Results from
multinomial logistic regression indicated that being male, in grade 7-9, poor
relationship between parents and higher self-reported depression scores were
significantly associated with the diagnosis of IAD. CONCLUSION: These results
advance our understanding of the clinical characteristics of Internet addiction
in Chinese secondary school students and may help clinicians, teachers, and other
stakeholders better manage this increasingly serious mental condition.
PMID- 24920381
TI - SAR studies on curcumin's pro-inflammatory targets: discovery of prenylated
pyrazolocurcuminoids as potent and selective novel inhibitors of 5-lipoxygenase.
AB - The anticarcinogenic and anti-inflammatory properties of curcumin have been
extensively investigated, identifying prostaglandin E2 synthase (mPGES)-1 and 5
lipoxygenase (5-LO), key enzymes linking inflammation with cancer, as high
affinity targets. A comparative structure-activity study revealed three
modifications dissecting mPGES-1/5-LO inhibition, namely (i) truncation of the
acidic, enolized dicarbonyl moiety and/or replacement by pyrazole, (ii)
hydrogenation of the interaryl linker, and (iii) (dihydro)prenylation. The
prenylated pyrazole analogue 11 selectively inhibited 5-LO, outperforming
curcumin by a factor of up to 50, and impaired zymosan-induced mouse peritonitis
along with reduced 5-LO product levels. Other pro-inflammatory targets of
curcumin (i.e., mPGES-1, cyclooxygenases, 12/15-LOs, nuclear factor-kappaB,
nuclear factor-erythroid 2-related factor-2, and signal transducer and activator
of transcription 3) were hardly affected by 11. The strict structural
requirements for mPGES-1 and 5-LO inhibition strongly suggest that specific
interactions rather than redox or membrane effects underlie the inhibition of
mPGES-1 and 5-LO by curcumin.
PMID- 24920382
TI - Using historical biogeography to test for community saturation.
AB - Saturation is the idea that a community is effectively filled with species, such
that no more can be added without extinctions. This concept has important
implications for many areas of ecology, such as species richness, community
assembly, invasive species and climate change. Here, we illustrate how
biogeography can be used to test for community saturation, when combined with
data on local species richness, phylogeny and climate. We focus on a clade of
frogs (Terrarana) and the impact of the Great American Biotic Interchange on
patterns of local richness in Lower Middle America and adjacent regions. We
analyse data on species richness at 83 sites and a time-calibrated phylogeny for
363 species. We find no evidence for saturation, and show instead that biotic
interchange dramatically increased local richness in the region. We suggest that
historical biogeography offers thousands of similar long-term natural experiments
that can be used to test for saturation.
PMID- 24920383
TI - Riboadenosine-substituted DNA probes for self-illuminating real-time monitoring
of exonuclease III activity and exonuclease III-assisted target recycling.
AB - We develop a riboadenosine-substituted DNA probe-based bioluminescence method for
real-time monitoring of exonuclease III (Exo III) activity and Exo III-assisted
target recycling. This method employs the target-dependent ATP as the
bioluminescence reporter without the involvement of any sophisticated luciferase
manipulations, and the glow-type reaction kinetics facilitates the performance of
imaging assay.
PMID- 24920384
TI - Functional analysis of limb transcriptional enhancers in the mouse.
AB - Transcriptional enhancers are genomic sequences bound by transcription factors
that act together with basal transcriptional machinery to regulate gene
transcription. Several high-throughput methods have generated large datasets of
tissue-specific enhancer sequences with putative roles in developmental
processes. However, few enhancers have been deleted from the genome to determine
their roles in development. To understand the roles of two enhancers active in
the mouse embryonic limb bud we deleted them from the genome. Although the genes
regulated by these enhancers are unknown, they were selected because they were
identified in a screen for putative limb bud-specific enhancers associated with
p300, an acetyltransferase that participates in protein complexes that promote
active transcription, and because the orthologous human enhancers (H1442 and
H280) drive distinct lacZ expression patterns in limb buds of embryonic day (E)
11.5 transgenic mice. We show that the orthologous mouse sequences, M1442 and
M280, regulate dynamic expression in the developing limb. Although significant
transcriptional differences in enhancer-proximal genes in embryonic limb buds
accompany the deletion of M1442 and M280 no gross limb malformations during
embryonic development were observed, demonstrating that M1442 and M280 are not
required for mouse limb development. However, M280 is required for the
development and/or maintenance of body size; M280 mice are significantly smaller
than controls. M280 also harbors an "ultraconserved" sequence that is identical
between human, rat, and mouse. This is the first report of a phenotype resulting
from the deletion of an ultraconserved element. These studies highlight the
importance of determining enhancer regulatory function by experiments that
manipulate them in situ and suggest that some of an enhancer's regulatory
capacities may be developmentally tolerated rather than developmentally required.
PMID- 24920387
TI - Selective fishing induces density-dependent growth.
AB - Over the last decades, views on fisheries management have oscillated between
alarm and trust in management progress. The predominant policy for remedying the
world fishing crisis aims at maximum sustainable yield (MSY) by adjusting gear
selectivity and fishing effort. Here we report a case study on how striving for
higher yields from the Eastern Baltic cod stock by increasing selectivity has
become exceedingly detrimental for its productivity. Although there is a
successive increase in numbers of undersized fish, growth potential is severely
reduced, and fishing mortality in fishable size has increased. Once density
dependent growth is introduced, the process is self-enforcing as long as the
recruitment remains stable. Our findings suggest that policies focusing on
maximum yield while targeting greater sizes are risky and should instead
prioritize catch rates over yield. Disregarding the underlying population
structure may jeopardize stock productivity, with dire consequences for the
fishing industry and ecosystem structure and function.
PMID- 24920388
TI - Phase-specific Raman spectroscopy for fast segmented microfluidic flows.
AB - An intensifier based Raman measuring strategy is introduced which allows for a
phase-specific signal detection of one single phase in segmented flows at droplet
generation frequencies of potentially up to several kHz.
PMID- 24920389
TI - Hearing characteristics of cephalopods: modeling and environmental impact study.
AB - Cephalopods (octopus, squid and cuttlefish) are some of the most intriguing
molluscs, and they represent economically important commercial marine species for
fisheries. Previous studies have shown that cephalopods are sensitive to
underwater particle motion, especially at low frequencies in the order of 10 Hz.
The present paper deals with quantitative modeling of the statocyst system in
three cephalopod species: Octopus vulgaris, Sepia officinalis and Loligo
vulgaris. The octopus's macula/statolith organ was modeled as a 2nd-order dynamic
oscillator using parameter values estimated from scanning electron micrograph
images. The modeling results agree reasonably well with experimental data
(acceleration threshold) in the three cephalopod species. Insights made from
quantitative modeling and simulating the particle motion sensing mechanism of
cephalopods elucidated their underwater particle motion detection capabilities.
Sensitivity to emerging environmental issues, such as low frequency noise caused
by near-shore wind farms and increasing levels of carbon dioxide in the ocean,
and sensitivity to sounds produced by impending landslides were investigated in
octopus using the model.
PMID- 24920390
TI - Hidden synaptic differences in a neural circuit underlie differential behavioral
susceptibility to a neural injury.
AB - Individuals vary in their responses to stroke and trauma, hampering predictions
of outcomes. One reason might be that neural circuits contain hidden variability
that becomes relevant only when those individuals are challenged by injury. We
found that in the mollusc, Tritonia diomedea, subtle differences between animals
within the neural circuit underlying swimming behavior had no behavioral
relevance under normal conditions but caused differential vulnerability of the
behavior to a particular brain lesion. The extent of motor impairment correlated
with the site of spike initiation in a specific neuron in the neural circuit,
which was determined by the strength of an inhibitory synapse onto this neuron.
Artificially increasing or decreasing this inhibitory synaptic conductance with
dynamic clamp correspondingly altered the extent of motor impairment by the
lesion without affecting normal operation. The results suggest that neural
circuit differences could serve as hidden phenotypes for predicting the
behavioral outcome of neural damage.
PMID- 24920391
TI - Three pools of plasma membrane cholesterol and their relation to cholesterol
homeostasis.
AB - When human fibroblasts take up plasma low density lipoprotein (LDL), its
cholesterol is liberated in lysosomes and eventually reaches the endoplasmic
reticulum (ER) where it inhibits cholesterol synthesis by blocking activation of
SREBPs. This feedback protects against cholesterol overaccumulation in the plasma
membrane (PM). But how does ER know whether PM is saturated with cholesterol? In
this study, we define three pools of PM cholesterol: (1) a pool accessible to
bind 125I-PFO*, a mutant form of bacterial Perfringolysin O, which binds
cholesterol in membranes; (2) a sphingomyelin(SM)-sequestered pool that binds
125I-PFO* only after SM is destroyed by sphingomyelinase; and (3) a residual pool
that does not bind 125I-PFO* even after sphingomyelinase treatment. When LDL
derived cholesterol leaves lysosomes, it expands PM's PFO-accessible pool and,
after a short lag, it also increases the ER's PFO-accessible regulatory pool.
This regulatory mechanism allows cells to ensure optimal cholesterol levels in PM
while avoiding cholesterol overaccumulation.
PMID- 24920392
TI - Localized increase of tissue oxygen tension by magnetic targeted drug delivery.
AB - Hypoxia is the major hindrance to successful radiation therapy of tumors.
Attempts to increase the oxygen (O2) tension (PO2) of tissue by delivering more
O2 have been clinically disappointing, largely due to the way O2 is transported
and released by the hemoglobin (Hb) within the red blood cells (RBCs). Systemic
manipulation of O2 transport increases vascular resistance due to metabolic
autoregulation of blood flow to prevent over oxygenation. This study investigates
a new technology to increase O2 delivery to a target tissue by decreasing the Hb
O2 affinity of the blood circulating within the targeted tissue. As the Hb-O2
affinity decreases, the tissue PO2 to satisfy tissue O2 metabolic needs increases
without increasing O2 delivery or extraction. Paramagnetic nanoparticles (PMNPs),
synthetized using gadolinium oxide, were coated with the cell permeable Hb
allosteric effector L35 (3,5-trichlorophenylureido-phenoxy-methylpropionic acid).
L35 decreases Hb affinity for O2 and favors the release of O2. The L35-coated
PMNPs (L35-PMNPs) were intravenously infused (10 mg kg(-1)) to hamsters
instrumented with the dorsal window chamber model. A magnetic field of 3 mT was
applied to localize the effects of the L35-PMNPs to the window chamber. Systemic
O2 transport characteristics and microvascular tissue oxygenation were measured
after administration of L35-PMNPs with and without magnetic field. The tissue PO2
in untreated control animals was 25.2 mmHg. L35-PMNPs without magnetic field
decreased tissue PO2 to 23.4 mmHg, increased blood pressure, and reduced blood
flow, largely due to systemic modification of Hb-O2 affinity. L35-PMNPs with
magnetic field increased tissue PO2 to 27.9 mmHg, without systemic or
microhemodynamic changes. These results indicate that localized modification of
Hb-O2 affinity can increase PO2 of target tissue without affecting systemic O2
delivery or triggering O2 autoregulation mechanisms. This technology can be used
to treat local hypoxia and to increase O2 in tumors, enhancing the efficacy of
radiation therapies.
PMID- 24920393
TI - Unanswered questions about the Middle East respiratory syndrome coronavirus (MERS
CoV).
AB - BACKGROUND: The Middle East respiratory syndrome coronavirus (MERS-CoV)
represents a current threat to the Arabian Peninsula, and potential pandemic
disease. As of June 3, 2014, MERS CoV has reportedly infected 688 people and
killed 282. We briefly summarize the state of the outbreak, and highlight
unanswered questions and various explanations for the observed epidemiology.
FINDINGS: The continuing but infrequent cases of MERS-CoV reported over the past
two years have been puzzling and difficult to explain. The epidemiology of MERS
CoV, with many sporadic cases and a few hospital outbreaks, yet no sustained
epidemic, suggests a low reproductive number. Furthermore, a clear source of
infection to humans remains unknown. Also puzzling is the fact that MERS-CoV has
been present in Saudi Arabia over several mass gatherings, including the 2012 and
2013 Hajj and Umrah pilgrimages, which predispose to epidemics, without an
epidemic arising. CONCLUSIONS: The observed epidemiology of MERS-CoV is quite
distinct and does not clearly fit either a sporadic or epidemic pattern. Possible
explanations of the unusual features of the epidemiology of MERS-CoV include
sporadic ongoing infections from a non-human source; human to human transmission
with a large proportion of undetected cases; or a combination of both. The virus
has been identified in camels; however the mode of transmission of the virus to
humans remains unknown, and many cases have no history of animal contact. In
order to gain a better understanding of the epidemiology of MERS CoV, further
investigation is warranted.
PMID- 24920394
TI - N-feruloylserotonin in preventive combination therapy with methotrexate reduced
inflammation in adjuvant arthritis.
AB - Many of disease-modifying anti-rheumatic drugs often have side effects at high
doses and/or during long-term administration. Increased efficacy without
increased toxicity is expected for combination therapy of rheumatoid arthritis
(RA). The aim of the study was to examine the effect of N-feruloylserotonin (N-f
5HT) and methotrexate (MTX) in monotherapy and in combination therapy on disease
progression and inflammation in arthritic rats. Adjuvant arthritis was induced by
intradermal injection of Mycobacterium butyricum in incomplete Freund's adjuvant
in Lewis rats. The experiment included healthy animals, arthritic animals without
any drug administration, arthritic animals with administration of N-f-5HT in the
oral daily dose of 15 mg/kg b.w., arthritic animals with administration of MTX in
the oral dose of 0.3 mg/kg b.w. twice a week and arthritic animals treated with
the combination of N-f-5HT and MTX. N-f-5HT in monotherapy reduced only
activation of NF-kappaB and did not have any significant effect on other
parameters monitored. Low-dose treatment of MTX decreased the level of IL-1beta
and MCP-1 on day 14 and activation of NF-kappaB in liver without significant
effect on other parameters. N-f-5HT and MTX combination showed both the anti
arthritic (hind paw volume and arthritic score) and anti-inflammatory effect
(plasmatic levels of IL-1beta, IL-17, MCP-1, CRP, and activation of NF-kappaB in
liver). In combination with MTX, N-f-5HT markedly potentiated the therapeutic
effect of MTX low dose, which resulted in significant improvement of all
parameters measured. The findings showed that the combination therapy
simultaneously decreased multiple markers of inflammation, a result crucial for
future therapy of RA.
PMID- 24920395
TI - Public perceptions of non-pharmaceutical interventions for reducing transmission
of respiratory infection: systematic review and synthesis of qualitative studies.
AB - BACKGROUND: Non-pharmaceutical public health interventions may provide simple,
low-cost, effective ways of minimising the transmission and impact of acute
respiratory infections in pandemic and non-pandemic contexts. Understanding what
influences the uptake of non-pharmaceutical interventions such as hand and
respiratory hygiene, mask wearing and social distancing could help to inform the
development of effective public health advice messages. The aim of this synthesis
was to explore public perceptions of non-pharmaceutical interventions that aim to
reduce the transmission of acute respiratory infections. METHODS: Five online
databases (MEDLINE, PsycINFO, CINAHL, EMBASE and Web of Science) were
systematically searched. Reference lists of articles were also examined. We
selected papers that used a qualitative research design to explore perceptions
and beliefs about non-pharmaceutical interventions to reduce transmission of
acute respiratory infections. We excluded papers that only explored how health
professionals or children viewed non-pharmaceutical respiratory infection
control. Three authors performed data extraction and assessment of study quality.
Thematic analysis and components of meta-ethnography were adopted to synthesise
findings. RESULTS: Seventeen articles from 16 studies in 9 countries were
identified and reviewed. Seven key themes were identified: perceived benefits of
non-pharmaceutical interventions, perceived disadvantages of non-pharmaceutical
interventions, personal and cultural beliefs about infection transmission,
diagnostic uncertainty in emerging respiratory infections, perceived
vulnerability to infection, anxiety about emerging respiratory infections and
communications about emerging respiratory infections. The synthesis showed that
some aspects of non-pharmaceutical respiratory infection control (particularly
hand and respiratory hygiene) were viewed as familiar and socially responsible
actions to take. There was ambivalence about adopting isolation and personal
distancing behaviours in some contexts due to their perceived adverse impact and
potential to attract social stigma. Common perceived barriers included beliefs
about infection transmission, personal vulnerability to respiratory infection and
concerns about self-diagnosis in emerging respiratory infections. CONCLUSIONS:
People actively evaluate non-pharmaceutical interventions in terms of their
perceived necessity, efficacy, acceptability, and feasibility. To enhance uptake,
it will be necessary to address key barriers, such as beliefs about infection
transmission, rejection of personal risk of infection and concern about the
potential costs and stigma associated with some interventions.
PMID- 24920396
TI - Visceral leishmaniasis is associated with marked changes in serum lipid profile.
AB - BACKGROUND: Infection is often accompanied by lipid profile alterations. The aim
of this study was to evaluate the lipid profile changes in patients with visceral
leishmaniasis (VL). MATERIALS AND METHODS: We included 15 patients [10 men, aged
50 (24-82) years old] with VL and 15 age- and sex-matched controls. The
parameters estimated at diagnosis and 4 months after VL resolution were total
cholesterol (TC), high-density lipoprotein cholesterol (HDL-C), triglycerides
(TGs), low-density lipoprotein cholesterol (LDL-C), apolipoproteins (apo) A-Iota,
B, E, C-II, C-III, lipoprotein (a) [Lp(a)], activities of lipoprotein-associated
phospholipase A2 (Lp-PLA2), HDL-Lp-PLA2, PON1 (paraoxonase 1) and cholesterol
ester transfer protein (CETP), cytokines (interleukins 1beta and 6 and tumour
necrosis factor alpha), as well as LDL subfraction profile. RESULTS: Patients
with VL at diagnosis had lower levels of TC, LDL-C, apoBeta and Lp(a), and higher
TG and apoE concentrations compared with 4 months after VL resolution. The
activities of Lp-PLA2, HDL-Lp-PLA2 and RhoOmicronNu1 were reduced at diagnosis
compared with post-treatment values. VL patients had decreased levels of both
large and sdLDL-C at diagnosis; no effect on mean LDL particle size was observed.
Patients with VL at diagnosis had decreased HDL-C and apoA-I concentrations;
these increased 4 months after VL resolution, but remained lower compared with
controls. The activities of HDL-Lp-PLA2 and PON1 remained lower in patients after
VL resolution compared with controls. CONCLUSIONS: Patients with VL exhibit
increased TG levels and decreased cholesterol subclasses at diagnosis. HDL-C,
apoA-I and associated enzymes remain lower 4 months after VL resolution compared
with controls.
PMID- 24920397
TI - Mosaic tetrasomy 13q and phylloid hypomelanosis: a case report and review of the
literature.
AB - A 6-year-old girl presented for evaluation of skin discoloration. Examination
revealed oval and oblong hypopigmented macules on her trunk and extremities.
Cytogenetic studies and immunohistochemistry of biopsies from normally pigmented
and hypopigmented skin revealed mosaicism for partial tetrasomy for 13q with low
melanocyte levels in lesional skin. The patient was diagnosed with phylloid
hypomelanosis (PH), a distinct clinical entity linked to abnormalities in
chromosome 13. This article reviews the literature regarding PH and supports the
notion that mosaicism of the melanocyte region of chromosome 13q is responsible
for PH.
PMID- 24920398
TI - The photo-Nazarov reaction: scope and application.
AB - The reaction conditions and scope of the photo-Nazarov reaction of aryl vinyl
ketones were investigated. In contrast to the conventional acid-catalyzed
methods, this photolytic electrocyclization proceeds in the neutral or basic
conditions. Irradiating substrates bearing various aromatic rings, acid-sensitive
groups, cyclohexenyl, cycloheptenyl, and unsaturated pyran with UV-light (254 nm)
smoothly yielded hexahydrofluorenones and related structures. This photo-Nazarov
reaction could also be applicable to the substrates carrying beta-alkyl groups on
the enone, which gave corresponding polycyclic rings containing quaternary
centers. These photo-electrocyclized products may prove useful for synthesizing a
variety of natural products and their derivatives. Further application of this
mild photo-Nazarov reaction in the synthesis of taiwaniaquinol B was achieved.
PMID- 24920399
TI - Health policy update: rethinking hospital readmission as a surgical quality
measure.
PMID- 24920400
TI - Minorities, men, and unmarried amyotrophic lateral sclerosis patients are more
likely to die in an acute care facility.
AB - Studies suggest that dying at home is a more favorable experience. This study
investigated where amyotrophic lateral sclerosis (ALS) patients die and the
patient demographics associated with dying in an acute care facility or nursing
home compared to home or hospice. Centers for Disease Control and Prevention
Multiple Cause Mortality Files from 2005 to 2010 were used to identify ALS
patients and to classify place of death. Multinomial logistic regression was used
to determine the association between patient demographics and place of death.
Between 2005 and 2010, 40,911 patients died of ALS in the United States. Place of
death was as follows: home or hospice facility 20,231 (50%), acute care facility
(25%), and nursing home (20%). African Americans (adjusted multinomial odds ratio
(aMOR) 2.56, CI 2.32-2.83), Hispanics (aMOR 1.44, CI 1.30-1.62), and Asians (aMOR
1.87, CI 1.57-2.22) were more likely to die in an acute care facility, whereas
females (aMOR 0.76, CI 0.72-0.80) and married individuals were less likely.
Hispanics (aMOR 0.68, CI 0.58-0.79) and married individuals were less likely to
die in a nursing home. In conclusion, minorities, men, and unmarried individuals
are more likely to die in an acute care facility. Further studies are needed to
better understand place of death preferences.
PMID- 24920401
TI - Negotiated control between the manual and visual systems for visually guided hand
reaching movements.
AB - BACKGROUND: Control of reaching movements for manual work, vehicle operation, or
interactions with manual interfaces requires concurrent gaze control for visual
guidance of the hand. We hypothesize that reaching movements are based on
negotiated strategies to resolve possible conflicting demands placed on body
segments shared by the visual (gaze) and manual (hand) control systems. Further,
we hypothesize that a multiplicity of possible spatial configurations
(redundancy) in a movement system enables a resolution of conflicting demands
that does not require sacrificing the goals of the two systems. METHODS: The
simultaneous control of manual reach and gaze during seated reaching movements
was simulated by solving an inverse kinematics model wherein joint trajectories
were estimated from a set of recorded hand and head movements. A secondary
objective function, termed negotiation function, was introduced to describe a
means for the manual reach and gaze directing systems to balance independent
goals against (possibly competing) demands for shared resources, namely the torso
movement. For both systems, the trade-off may be resolved without sacrificing
goal achievement by taking advantage of redundant degrees of freedom. Estimated
joint trajectories were then compared to joint movement recordings from ten
participants. Joint angles were predicted with and without the negotiation
function in place, and model accuracy was determined using the root-mean-square
errors (RMSEs) and differences between estimated and recorded joint angles.
RESULTS: The prediction accuracy was generally improved when negotiation was
included: the negotiated control reduced RMSE by 16% and 30% on average when
compared to the systems with only manual or visual control, respectively.
Furthermore, the RMSE in the negotiated control system tended to improve with
torso movement amplitude. CONCLUSIONS: The proposed model describes how multiple
systems cooperate to perform goal-directed human movements when those movements
draw upon shared resources. Allocation of shared resources can be undertaken by a
negotiation process that is aware of redundancies and the existence of multiple
solutions within the individual systems.
PMID- 24920404
TI - Surgical treatment of carotid body tumour: a report of 39 cases and a new
classification of carotid body tumour: our experience.
PMID- 24920405
TI - Quantitative analysis of cytochrome P450 isoforms in human liver microsomes by
the combination of proteomics and chemical probe-based assay.
AB - Cytochrome P450 (CYP) is one of the most important drug-metabolizing enzyme
families, which participates in the biotransformation of many endogenous and
exogenous compounds. Quantitative analysis of CYP expression levels is important
when studying the efficacy of new drug molecules and assessing drug-drug
interactions in drug development. At present, chemical probe-based assay is the
most widely used approach for the evaluation of CYP activity although there are
cross-reactions between the isoforms with high sequence homologies. Therefore,
quantification of each isozyme is highly desired in regard to meeting the ever
increasing requirements for carrying out pharmacokinetics and personalized
medicine in the academic, pharmaceutical, and clinical setting. Herein, an
absolute quantification method was employed for the analysis of the seven
isoforms CYP1A2, 2B6, 3A4, 3A5, 2C9, 2C19, and 2E1 using a proteome-derived
approach in combination with stable isotope dilution assay. The average absolute
amount measured from twelve human liver microsomes samples were 39.3, 4.3, 54.0,
4.6, 10.3, 3.0, and 9.3 (pmol/mg protein) for 1A2, 2B6, 3A4, 3A5, 2C9, 2C19, and
2E1, respectively. Importantly, the expression level of CYP3A4 showed high
correlation (r = 0.943, p < 0.0001) with the functional activity, which was
measured using bufalin-a highly selective chemical probe we have developed. The
combination of MRM identification and analysis of the functional activity, as in
the case of CYP3A4, provides a protocol which can be extended to other functional
enzyme studies with wide application in pharmaceutical research.
PMID- 24920407
TI - A microscopic view of the yielding transition in concentrated emulsions.
AB - We use a custom shear cell coupled to an optical microscope to investigate at the
particle level the yielding transition in concentrated emulsions subjected to an
oscillatory shear deformation. By performing experiments lasting thousands of
cycles on samples at several volume fractions and for a variety of applied strain
amplitudes, we obtain a comprehensive, microscopic picture of the yielding
transition. We find that irreversible particle motion sharply increases beyond a
volume-fraction dependent critical strain, which is found to be in close
agreement with the strain beyond which the stress-strain relation probed in
rheology experiments significantly departs from linearity. The shear-induced
dynamics are very heterogenous: quiescent particles coexist with two distinct
populations of mobile and 'supermobile' particles. Dynamic activity exhibits
spatial and temporal correlations, with rearrangements events organized in bursts
of motion affecting localized regions of the sample. Analogies with other sheared
soft materials and with recent work on the transition to irreversibility in
sheared complex fluids are briefly discussed.
PMID- 24920408
TI - Contemporary Infrapopliteal Intervention for Limb Salvage and Wound Healing.
AB - Infrapopliteal arterial disease is a significant cause of critical limb ischemia
(CLI), whether single-segment or multisegment disease. The collaboration between
the tremendous advancements in endovascular technology and the refinement of
endovascular techniques has renewed the classic infrapopliteal interventions
during the past decade. With this paradigm shift in the treatment of CLI, the
role of a comprehensive approach of different disciplines for tissue loss is
becoming greater. Given the increasing global burden of CLI, we review the
cutting-edge diagnostic and endovascular approaches to infrapopliteal artery
disease, and the importance of wound management in optimizing clinical outcomes.
PMID- 24920409
TI - Clinical Management of Takotsubo Cardiomyopathy.
AB - Takotsubo cardiomyopathy was first reported by Sato et al at Hiroshima City
Hospital in 1990 and has become increasingly recognized worldwide. In the
clinical setting, takotsubo cardiomyopathy is an important disease that must be
differentiated from AMI promptly for the appropriate management. Prognosis of
takotsubo cardiomyopathy is generally favorable, but serious complications can
occur, especially in the early stage. In this review, we summarize the current
knowledge on the clinical management of takotsubo cardiomyopathy.
PMID- 24920410
TI - Impact of rotational atherectomy on heavily calcified, unprotected left main
disease.
AB - BACKGROUND: There is little data on percutaneous coronary intervention (PCI)
using rotational atherectomy (Rota) for severely calcified unprotected left main
(ULM) lesions. METHODS AND RESULTS: Between January 2005 and August 2011, 64
consecutive patients who underwent drug-eluting stent implantation using Rota
were retrospectively evaluated. Of these, 54.7% and 20.3% patients had diabetes
and were undergoing hemodialysis (HD), respectively. The mean EuroSCORE and
SYNTAX score was 5.6 and 35.4, respectively. Procedural success, defined as
residual stenosis <30%, was achieved in 95.3% of patients without fatal
complications. Periprocedural myocardial infarction occurred in 7.8% patients. At
the 1-year follow up, cardiac death was observed in 6.3% of patients, and target
lesion revascularization (TLR) and TLR in the main branch (TLR-MB) were required
in 18.8% and 10.9% patients, respectively. Optimal stent expansion was achieved
in the majority of 33 patients with available intravascular ultrasound (IVUS)
data. However, 5 of 9 HD patients who underwent IVUS required TLR-MB despite
optimal stent expansion. The rate of TLR-MB was significantly lower in the non-HD
patients than in the HD patients (2.1% vs. 46.2%; P=0.003). CONCLUSIONS: PCI
using Rota for calcified ULM lesions might guarantee high procedural success and
a low complication rate. Although acceptable results were obtained at the 1-year
follow up for non-HD patients, the rate of TLR-MB was considerably high for HD
patients despite optimal stent expansion.
PMID- 24920411
TI - Structure of tetraalkylammonium ionic liquids in the interlayer of modified
montmorillonite.
AB - We perform molecular dynamics simulations of tetraalkylammonium ionic liquids
confined in the interlayer of montmorillonite (MMT). We study the structure and
energetics of the systems, which consist of cations with two different alkyl
chain lengths and several ionic liquid concentrations. The results we obtained
for the structure, namely the presence of a strong layering in all systems and
the formation of nonpolar domains with interdigitated alkyl chains in some cases,
are largely consistent with previous surface force balance experiments performed
on similar systems. Finally, we show that swelling of the organo-modified MMT by
a large amount of ionic liquid seems energetically favorable in all cases.
PMID- 24920406
TI - Towards combinatorial targeted therapy in melanoma: from pre-clinical evidence to
clinical application (review).
AB - Over the last few years, clinical trials with BRAF and mitogen-activated
protein/extracellular signal-regulated kinase (MEK) inhibitors have shown
significant clinical activity in melanoma, but only a fraction of patients
respond to these therapies, and development of resistance is frequent. This has
prompted a large set of preclinical studies looking at several new combinatorial
approaches of pathway- or target-specific inhibitors. At least five main drug
association strategies have been verified in vitro and in preclinical models. The
most promising include: i) vertical targeting of either MEK or phosphoinositide-3
kinase (PI3K)/mammalian target of rapamycin (mTOR) pathways, or their combined
blockade; ii) association of receptor tyrosine kinases (RTKs) inhibitors with
other pro-apoptotic strategies; iii) engagement of death receptors in combination
with MEK-, mTOR/PI3K-, histone deacetylase (HDAC)-inhibitors, or with anti
apoptotic molecules modulators; iv) strategies aimed at blocking anti-apoptotic
proteins belonging to B-cell lymphoma (Bcl-2) or inhibitors of apoptosis (IAP)
families associated with MEK/BRAF/p38 inhibition; v) co-inhibition of other
molecules important for survival [proteasome, HDAC and Signal transducers and
activators of transcription (Stat)3] and the major pathways activated in
melanoma; vi) simultaneous targeting of multiple anti-apoptotic molecules. Here
we review the anti-melanoma efficacy and mechanism of action of the above
mentioned combinatorial strategies, together with the potential clinical
application of the most promising studies that may eventually lead to therapeutic
benefit.
PMID- 24920412
TI - Reduction of Fe(III) oxides by phylogenetically and physiologically diverse
thermophilic methanogens.
AB - Three thermophilic methanogens (Methanothermobacter thermautotrophicus,
Methanosaeta thermophila, and Methanosarcina thermophila) were investigated for
their ability to reduce poorly crystalline Fe(III) oxides (ferrihydrite) and the
inhibitory effects of ferrihydrite on their methanogenesis. This study
demonstrated that Fe(II) generation from ferrihydrite occurs in the cultures of
the three thermophilic methanogens only when H2 was supplied as the source of
reducing equivalents, even in the cultures of Mst. thermophila that do not grow
on and produce CH4 from H2/CO2. While supplementation of ferrihydrite resulted in
complete inhibition or suppression of methanogenesis by the thermophilic
methanogens, ferrihydrite reduction by the methanogens at least partially
alleviates the inhibitory effects. Microscopic and crystallographic analyses on
the ferrihydrite-reducing Msr. thermophila cultures exhibited generation of
magnetite on its cell surfaces through partial reduction of ferrihydrite. These
findings suggest that at least certain thermophilic methanogens have the ability
to extracellularly transfer electrons to insoluble Fe(III) compounds, affecting
their methanogenic activities, which would in turn have significant impacts on
materials and energy cycles in thermophilic anoxic environments.
PMID- 24920413
TI - Classroom conditions and CO2 concentrations and teacher health symptom reporting
in 10 New York State Schools.
AB - This study assessed the relationship between teacher-reported symptoms and
classroom carbon dioxide (CO2 ) concentrations. Previous studies have suggested
that poor indoor ventilation can result in higher levels of indoor pollutants,
which may affect student and teacher health. Ten schools (9 elementary, 1
combined middle/high school) in eight New York State school districts were
visited over a 4-month period in 2010. Carbon dioxide concentrations were
measured in classrooms over 48-h, and teachers completed surveys assessing
demographic information and self-reported symptoms experienced during the current
school year. Data from 64 classrooms (ranging from 1 to 9 per school) were linked
with 68 teacher surveys (for four classrooms, two surveys were returned).
Overall, approximately 20% of the measured classroom CO2 concentrations were
above 1000 parts per million (ppm), ranging from 352 to 1591 ppm. In multivariate
analyses, the odds of reporting neuro-physiologic (i.e., headache, fatigue,
difficulty concentrating) symptoms among teachers significantly increased (OR =
1.30, 95% CI = 1.02-1.64) for every 100 ppm increase in maximum classroom CO2
concentrations and were non-significantly increased in classrooms with above
median proportions of CO2 concentrations greater than 1000 ppm (OR = 2.26, 95% CI
= 0.72-7.12).
PMID- 24920421
TI - Factors associated with systemic hypertension in asthma.
AB - PURPOSE: Asthmatics have unique characteristics that may influence cardiovascular
morbidity. We tested the association of lower airway caliber, obstructive sleep
apnea (OSA), and other asthma-related factors, with systemic hypertension (HTN).
METHODS: Asthma individuals at specialty clinics completed the Sleep Apnea scale
of the Sleep Disorders Questionnaire (SA-SDQ). Medical records were reviewed for
diagnosed HTN, OSA and comorbidities, spirometry, and current medications. FEV1%
predicted was categorized as >= 80 (reference), 70-79, 60-69, and < 60. SA-SDQ >=
36 for men and >= 32 for women defined high OSA risk. RESULTS: Among 812
asthmatics (mean age +/- standard deviation: 46 +/- 14 years), HTN was diagnosed
in 191 (24%), OSA in 65 (8%), and OSA or high OSA risk (combined OSA variable) in
239 (29%). HTN was more prevalent in lower FEV1% categories (p < 0.0001), in
subjects with OSA, and those with combined OSA variable (55 vs. 21% and 46 vs.
14%, respectively, both p < 0.0001). With adjustment for covariates, associations
with HTN remained significant for some FEV1% categories (70-79% odds ratio = 1.60
[95% CI 0.90-2.87]; 60-69% 2.73 [1.28-5.79]; < 60% 0.96 [0.43-2.14]), and for OSA
(2.20 [1.16-4.19]). The combined OSA variable in comparison with OSA alone
demonstrated a stronger association with HTN (3.17 [1.99-5.04]) in a reiteration
of this model. Inhaled corticosteroids (ICS) at lowest doses, in comparison to no
ICS use had an independent "protective" association with HTN (0.44 [0.22-0.90]).
CONCLUSIONS: In this young population, worse lower airways obstruction and OSA
were associated with HTN. In contrast, lower ICS doses attenuated likelihood for
HTN. Adequate control of airway inflammation at appropriate ICS doses, and
screening for OSA may reduce the burden of HTN in asthma.
PMID- 24920422
TI - Impact of dietary macronutrient distribution on BMI and cardiometabolic outcomes
in overweight and obese children and adolescents: a systematic review.
AB - The present systematic review examined the effectiveness of weight management
interventions comparing diets with varying macronutrient distributions on BMI and
cardiometabolic risk factors in overweight or obese children and adolescents. A
systematic search of seven databases for the period 1975-2013 identified 14
eligible randomized or quasi-randomized controlled trials conducted with 6-18
year-old subjects. Seven trials compared a low-fat (<= 33% energy or < 40 g/day)
to an isocaloric (n = 2) or ad libitum (n = 5) low-carbohydrate diet (< 20%
energy or < 60 g/day). Meta-analysis indicated a greater reduction in BMI in the
low-carbohydrate group immediately after dietary intervention; however, the
quality of the studies was limited and cardiometabolic benefits were
inconsistent. Six trials compared increased-protein diets (19-30% energy) to
isocaloric standard-protein diets (15-20% energy) and one compared an increased
fat diet (40% energy) to an isocaloric standard-fat diet (27% energy); there were
no differences in outcomes in these studies. Current evidence suggests that
improved weight status can be achieved in overweight or obese children and
adolescents irrespective of the macronutrient distribution of a reduced-energy
diet. Tailoring the macronutrient content to target specific cardiometabolic risk
factors, such as a low-carbohydrate diet to treat insulin resistance, may be
possible, but further research is needed before specific recommendations can be
made.
PMID- 24920423
TI - Aberrant hypomethylation-mediated AGR2 overexpression induces an aggressive
phenotype in ovarian cancer cells.
AB - The metastatic properties of cancer cells result from genetic and epigenetic
alterations that lead to the abnormal expression of key genes regulating tumor
phenotypes. Recent discoveries suggest that aberrant DNA methylation provides
cancer cells with advanced metastatic properties; however, the precise regulatory
mechanisms controlling metastasis-associated genes and their roles in metastatic
transformation are largely unknown. We injected SK-OV-3 human ovarian cancer
cells into the perineum of nude mice to generate a mouse model that mimics human
ovarian cancer metastasis. We analyzed the mRNA expression and DNA methylation
profiles in metastasized tumor tissues in the mice. The pro-oncogenic anterior
gradient 2 (AGR2) gene showed increased mRNA expression and hypomethylation at
CpG sites in its promoter region in the metastatic tumor tissues compared with
the cultured SK-OV-3 cells. We identified crucial cytosine residues at CpG sites
in the AGR2 promoter region. Treatment with the DNA methyltransferase inhibitor 5
aza-2'-deoxycytidine reduced the level of CpG methylation in the AGR2 promoter
and increased the level of AGR2 expression. Next, we explored the functional role
of AGR2 in the metastatic transformation of SK-OV-3 cells. SK-OV-3 cells
overexpressing AGR2 showed increased migratory and invasive activity. Our results
indicate that DNA methylation within the AGR2 promoter modulates more aggressive
cancer cell phenotypes.
PMID- 24920424
TI - Preliminary report on a new concept stent prototype designed for venous implant.
AB - Venous obstruction at iliocaval level in both forms, primary and secondary, is a
significant cause of severe chronic venous insufficiency. A new therapeutic
approach to this pathology emerged with the introduction of stenting procedures
that proved effective, leading to good long-term results. However, at present,
the majority of implanted stents have been designed for arterial implant and this
can pose a limit in particular districts. The purpose of this preliminary acute
study was to verify the deliverability and safety of a new stent specially
designed for venous-vessel implant. We assess the safety and deliverability of
two braided, self-expanding, nickel-titanium stents (Jotec GmbH, Hechingen,
Germany) specially designed for endovascular implant in veins. The two stents,
despite being based on the same concept, have a different design: stent A
presents a proximal tapering shape specially designed to reduce migration, while
stent B does not. Both of them are enlarged at their distal extremity and present
variable radial force the length of the stent itself, the said force becoming
very high in the intermediate segment. Stents were implanted in the internal
jugular vein of a sheep, showing optimal deliverability. The completion
venography showed the migration of stent B into the right atrium. Stent A
maintained its location, confirmed by intravascular ultrasound examination. No
scaffolding effect was detected and an adequate adherence and adaptability to the
vein wall was obtained. In conclusions, the stent A design matches the
characteristics required by vein implants. Stability is achieved even where
difficult anatomical conditions apply, such as in the jugular vein. Deployment is
easy and precise in a given landing zone. Radial resistive force is very high, as
required in specific vein districts, but is also associated with good
flexibility. Following this preliminary acute report, further studies are
required.
PMID- 24920425
TI - Preliminary study on retinal vascular and oxygen-related changes after long-term
silicone oil and foldable capsular vitreous body tamponade.
AB - Silicone oil has been the only long-term vitreous substitute used in the
treatment of retinal detachment since 1962 by Cibis. Nevertheless, its effects on
retinal vascular morphology and oxygen supply to the retina are ambiguous in
current research. We previously invented a foldable capsular vitreous body (FCVB)
to use as a new vitreous substitute in the treatment of severe retinal
detachment, but its effects on the retinal vessel were unknown. Therefore, in
this study, a standard three-port pars plana vitrectomy (PPV) was performed on
the right eye of each rabbit and then silicone oil and FCVB were injected into
the vitreous cavity as vitreous substitutes. After 180 days of retention, the
retinal vascular morphology did not display any distinct abnormalities, and
hypoxia-induced factor-1alpha (HIF-1alpha) and vascular endothelial growth factor
(VEGF) did not vary markedly during the observation period in silicone oil
tamponade- and FCVB-implanted eyes. This study may suggest that silicone oil and
FCVB tamponade in rabbit eyes did not cause retinal vascular pathologic changes
or retinal hypoxia for 180 days.
PMID- 24920426
TI - N-glycoprotein surfaceomes of four developmentally distinct mouse cell types.
AB - PURPOSE: Detailed knowledge of cell surface proteins present during early
embryonic development remains limited for most cell lineages. Due to the
relevance of cell surface proteins in their functional roles controlling cell
signaling and their utility as accessible, nongenetic markers for cell
identification and sorting, the goal of this study was to provide new information
regarding the cell surface proteins present during early mouse embryonic
development. EXPERIMENTAL DESIGN: Using the cell surface capture technology, the
cell surface N-glycoproteomes of three cell lines and one in vitro differentiated
cell type representing distinct cell fates and stages in mouse embryogenesis were
assessed. RESULTS: Altogether, more than 600 cell surface N-glycoproteins were
identified represented by >5500 N-glycopeptides. CONCLUSIONS AND CLINICAL
RELEVANCE: The development of new, informative cell surface markers for the
reliable identification and isolation of functionally defined subsets of cells
from early developmental stages will advance the use of stem cell technologies
for mechanistic developmental studies, including disease modeling and drug
discovery.
PMID- 24920427
TI - Acute toxicity of copper, lead, cadmium, and zinc to early life stages of white
sturgeon (Acipenser transmontanus) in laboratory and Columbia River water.
AB - Populations of white sturgeon (Acipenser transmontanus) are in decline in North
America. This is attributed, primarily, to poor recruitment, and white sturgeon
are listed as threatened or endangered in several parts of British Columbia,
Canada, and the United States. In the Columbia River, effects of metals have been
hypothesized as possible contributing factors. Previous work has demonstrated
that early life stage white sturgeon are particularly sensitive to certain
metals, and concerns over the level of protectiveness of water quality standards
are justified. Here we report results from acute (96-h) toxicity tests for copper
(Cu), cadmium (Cd), zinc (Zn), and lead (Pb) from parallel studies that were
conducted in laboratory water and in the field with Columbia River water. Water
effect ratios (WERs) and sensitivity parameters (i.e., median lethal
accumulations, or LA50s) were calculated to assess relative bioavailability of
these metals in Columbia River water compared to laboratory water, and to
elucidate possible differences in sensitivity of early life stage white sturgeon
to the same concentrations of metals when tested in the different water sources.
For Cu and Pb, white sturgeon toxicity tests were initiated at two life stages, 8
and 40 days post-hatch (dph), and median lethal concentrations (LC50s) ranged
between 9-25 MUg Cu/L and 177-1,556 MUg Pb/L. LC50s for 8 dph white sturgeon
exposed to Cd in laboratory water and river water were 14.5 and 72 MUg/L,
respectively. Exposure of 8 dph white sturgeon to Zn in laboratory and river
water resulted in LC50s of 150 and 625 MUg/L, respectively. Threshold
concentrations were consistently less in laboratory water compared with river
water, and as a result, WERs were greater than 1 in all cases. In addition, LA50s
were consistently greater in river water exposures compared with laboratory
exposures in all paired tests. These results, in combination with results from
the biotic ligand model, suggest that the observed differences in toxicity
between river water exposures and laboratory water exposures were not entirely
due to differences in water quality and metal bioavailability but rather in
combination with differences in fish sensitivity. It is hypothesized that
differences in concentrations of calcium in the different water sources might
have resulted in differences in acquired sensitivity of sturgeon to metals.
Canadian water quality guidelines, US national criteria for the protection of
aquatic life, and water quality criteria for the state of Washington were less
than LC50 values for all metals and life stages tested in laboratory and Columbia
River water. With the exception, however, that 40 dph white sturgeon exposed to
Cu in laboratory water resulted in threshold values that bordered US national
criteria and criteria for the state of Washington.
PMID- 24920428
TI - The effect of aerosol optical depth on rainfall with reference to meteorology
over metro cities in India.
AB - Rainfall is a key link in the global water cycle and a proxy for changing
climate; therefore, proper assessment of the urban environment's impact on
rainfall will be increasingly important in ongoing climate diagnostics and
prediction. Aerosol optical depth (AOD) measurements on the monsoon seasons of
the years 2008 to 2010 were made over four metro regional hotspots in India. The
highest average of AOD was in the months of June and July for the four cities
during 3 years and lowest was in September. Comparing the four regions, Kolkata
was in the peak of aerosol contamination and Chennai was in least. Pearson
correlation was made between AOD with climatic parameters. Some changes in the
parameters were found during drought year. Temperature, cloud parameters, and
humidity play an important role for the drought conditions. The role of aerosols,
meteorological parameters, and their impacts towards the precipitation during the
monsoon was studied.
PMID- 24920429
TI - Synergic degradation of diesel by Scirpus triqueter and its endophytic bacteria.
AB - The endophytic bacterium isolated from Scirpus triqueter was proved to be an oil
degraded bacterium. A pot experiment was conducted to investigate the removal
ratio of diesel under the combined effect of oil-degraded microorganism
(Pseudomonas sp. J4AJ) and S. triqueter. The effect of diesel on plant growth
parameters, soil enzymes and microbial community was assessed after 60 days. The
results showed that the soils which were planted with S. triqueter and inoculated
with J4AJ displayed the highest removal ratio (54.51 +/- 0.15%) after 60-day
experiment. However, the removal ratio of J4AJ-treated soils was 38.97 +/- 0.55%.
Diesel was toxic to S. triqueter, as evidenced by growth inhibition during the
experimental period. However, the plant height and stem biomass in the soils
inoculated with J4AJ significantly increased. The combined effect of S. triqueter
and J4AJ improved the enzyme activities of the catalase and dehydrogenase in the
contaminated soil. The diversity index in soils under the effect of S. triqueter
combined with J4AJ was lower than that of the other soil samples. The principal
analysis of phospholipid fatty acid signatures revealed that the combined effect
of S. triqueter and J4AJ increased the differences of soil microbial community
structure with the other treatments.
PMID- 24920430
TI - Antioxidant responses in clam Venerupis philippinarum exposed to environmental
pollutant hexabromocyclododecane.
AB - The objective of this study was to assess the potential toxic effects of
hexabromocyclododecane (HBCD) on tissues of clam Venerupis philippinarum using
parameters of antioxidant defenses and oxidative stress. Antioxidant biomarkers
including ethoxyresorufin-O-deethylase (EROD), glutathione S-transferase (GST),
superoxide dismutase (SOD), and glutathione (GSH), as well as DNA damage and
lipid peroxidation (LPO) in gills and digestive glands of V. philippinarum, were
analyzed after a 1-, 3-, 6-, 10-, and 15-day exposure to seawater containing HBCD
at environmentally related concentrations, respectively. The results showed that
the activity of most antioxidant enzymes increased, and different trends were
detected with exposure time extending. The oxidative stress could be obviously
caused in the gills and digestive glands under the experimental conditions. This
could provide useful information for toxic risk assessment of environmental
pollutant HBCD.
PMID- 24920431
TI - Toxic effect of metal cation binary mixtures to the seaweed Gracilaria
domingensis (Gracilariales, Rhodophyta).
AB - The macroalga Gracilaria domingensis is an important resource for the food,
pharmaceutical, cosmetic, and biotechnology industries. G. domingensis is at a
part of the food web foundation, providing nutrients and microelements to upper
levels. As seaweed storage metals in the vacuoles, they are considered the main
vectors to magnify these toxic elements. This work describes the evaluation of
the toxicity of binary mixtures of available metal cations based on the growth
rates of G. domingensis over a 48-h exposure. The interactive effects of each
binary mixture were determined using a toxic unit (TU) concept that was the sum
of the relative contribution of each toxicant and calculated using the ratio
between the toxicant concentration and its endpoint. Mixtures of Cd(II)/Cu(II)
and Zn(II)/Ca(II) demonstrated to be additive; Cu(II)/Zn(II), Cu(II)/Mg(II),
Cu(II)/Ca(II), Zn(II)/Mg(II), and Ca(II)/Mg(II) mixtures were synergistic, and
all interactions studied with Cd(II) were antagonistic. Hypotheses that explain
the toxicity of binary mixtures at the molecular level are also suggested. These
results represent the first effort to characterize the combined effect of
available metal cations, based on the TU concept on seaweed in a total controlled
medium. The results presented here are invaluable to the understanding of seaweed
metal cation toxicity in the marine environment, the mechanism of toxicity action
and how the tolerance of the organism.
PMID- 24920432
TI - Engineering the metabolism of the phenylurea herbicide chlortoluron in
genetically modified Arabidopsis thaliana plants expressing the mammalian
cytochrome P450 enzyme CYP1A2.
AB - Transgenic Arabidopsis thaliana plants were generated by introduction of the
human P450 CYP1A2 gene, which metabolizes a number of herbicides, insecticides
and industrial chemicals. Transgenic A. thaliana plants expressing CYP1A2 gene
showed remarkable resistance to the phenylurea herbicide chlortoluron (CTU)
supplemented either in plant growth medium or sprayed on foliar parts of the
plants. HPLC analyses showed a strong reduction in CTU accumulation in planta
supporting the tolerance of transgenic lines to high concentrations of CTU.
Besides increased herbicide tolerance, expression of CYP1A2 resulted in no other
visible phenotype in transgenic plants. Our data indicate that CYP1A2 can be used
as a selectable marker for plant transformation, allowing efficient selection of
transgenic lines in growth medium and/or in soil-grown plants. Moreover, these
transgenic plants appear to be useful for herbicide resistance as well as
phytoremediation of environmental contaminants.
PMID- 24920433
TI - [Majocchi granuloma. Advantages of optical brightener staining in a case report].
AB - A patient presented with Majocchi granuloma caused by T. rubrum. By the use of
optical brighteners, fungal elements in the deep dermis could be detected more
sensitive than with PAS staining. Healing was achieved by long-term use of oral
terbinafine (250 mg per day 12 weeks, followed by 250 mg once per week for
another 12 weeks).
PMID- 24920434
TI - [Acne inversa: influence of associated factors in the extent of the disease and
the result of surgery].
AB - BACKGROUND: Acne inversa is a chronic inflammatory disease with fistulas and
abscesses of unknown etiology. A number of trigger factors have been identified,
but their influence on pathogenesis and severity of the disease is controversial.
METHODS: In a retrospective study we analyzed 84 patients with AI who underwent
113 surgical procedures. Well-known external and internal trigger factors as well
as the surgical procedure and its outcome together with parameters of quality of
life and patient satisfaction were assessed. RESULTS: The median severity of
disease (Sartorius score) was 43.4 without any gender differences. The amount of
smokers was extraordinarily high with 93%, but there was no correlation between
smoking and disease severity. Obesity (77% in all patients), the age of primary
manifestation of AI, family history of AI, atypical locations, and history of
severe acne were significantly associated with the Sartorius score and therefore
with AI severity. Except for age of primary manifestation and history of severe
acne, the mentioned factors were independent predictors for disease severity in
multivariate analysis. The postsurgical recurrence rate was 12.4% and
significantly higher in heavy smokers. However, there was no relation between
recurrence rate and wound closure. The evaluation of patients' self- assessment
on life quality showed a postsurgical improvement of 70%. CONCLUSION: The wide
surgical excision of all lesions followed by spontaneous healing or split-skin
grafting leads to a reasonable recurrence rate and improves the affected
patients' quality of life for a prolonged period of time.
PMID- 24920435
TI - Use of IOTA simple rules for diagnosis of ovarian cancer: meta-analysis.
AB - OBJECTIVES: To present data on prospective evaluation of the International
Ovarian Tumor Analysis (IOTA) 'simple-rules' tool for the diagnosis of ovarian
cancer and to perform a meta-analysis of studies that utilized the same
diagnostic method. METHODS: In the present study a level-II ultrasound operator
systematically assessed the tumors of women with an ultrasound diagnosis of
adnexal tumor(s) according to the IOTA simple-rules protocol to determine the
risk of the tumor being malignant. The results of simple rules were compared with
the 'pattern recognition' method and with histological findings. This validation
study was included in the subsequent meta-analysis, for which we searched
MEDLINE, EMBASE and Cochrane from the publication of the first study in 2008. The
terms used were 'simple rules', 'simple rules ovarian', 'ovar tumor' and
'ultrasound'. Quality assessment was performed using the modified Quality
Assessment of the Diagnostic Accuracy of Studies (QUADAS-2) checklist. Random
effects meta-analysis was used to calculate pooled estimates of sensitivity and
specificity for the simple-rules tool, and meta-regression was used to
investigate heterogeneity across the studies. RESULTS: Three hundred and three
women were included in the validation study with 168 (55.4%) benign, 19 (6.3%)
borderline and 116 (38.3%) malignant tumors on histological examination. The
rules were applicable in 237 (78.2%) of the tumors and for these tumors,
sensitivity was 96.2% (95% CI, 90.5-99.0%) and specificity was 88.6% (95% CI,
82.0-93.5%). Six of the 88 discovered studies were included in the meta-analysis
along with the current validation study, which resulted in inclusion of a total
of 3568 patients. When the meta-analysis was performed the pooled sensitivity
(when the rules were applicable) was 93% (95% CI, 90-96%) (I(2) = 32.1%) and the
pooled specificity was 95% (95% CI, 93-97%) (I(2) = 78.1%). Heterogeneity was
observed across the studies. Sensitivity was higher and specificity lower in the
study populations in which the prevalence of malignant tumors was greatest.
CONCLUSION: The simple rules protocol could be used in 76-89% of tumors and is an
accurate test for the diagnosis of ovarian cancer. Assessment by an ultrasound
expert is required when the protocol cannot be applied.
PMID- 24920436
TI - Turning a water and oil insoluble cisplatin derivative into a nanoparticle
formulation for cancer therapy.
AB - The formulation of water insoluble organic compounds into nanoparticles has
become a widely established method for enhancing the delivery and efficacy of
cancer therapeutics. Therefore, a comparable approach when applied to water
insoluble inorganic compounds should also promote similar advantages. Herein, we
have successfully formulated insoluble iodinated cisplatin (CDDP-I) into an LPI
NPs (lipid-coated iodinated CDDP nanoparticles). Two separate microemulsions were
combined, each containing a precursor for the synthesis of CDDP-I. The resulting
CDDP-I precipitate was then coated with an anionic lipid and dispersed in water
with the help of an additional lipid. This method allows us to effectively
encapsulate CDDP-I and was able to achieve a considerable drug loading of 82 wt%.
Administered LPI NPs demonstrated high level accumulation in tumor tissues and
exhibited an anti-cancer activity comparable to free CDDP in two melanoma
xenograft models without inducing nephrotoxicity. The benefits offered through
this delivery formulation are not unique to CDDP-I, as this versatile platform
may be extended to the formulation of other inorganic compounds that are both
water and oil insoluble into nanoparticles for superior anti-cancer efficacy.
PMID- 24920438
TI - The sHunt for better breathing in heart failure with preserved ejection fraction.
PMID- 24920437
TI - Central changes associated with chronic pelvic pain and endometriosis.
AB - BACKGROUND: Chronic pelvic pain (CPP) is a significant public health problem with
1 million affected women in the UK. Although many pathologies are associated with
CPP, the pain experienced is often disproportionate to the extent of disease
identified and frequently no pathology is found (chronic pelvic pain syndrome).
The central nervous system (CNS) is central to the experience of pain and chronic
pain conditions in general are associated with alterations in both the structure
and function of the CNS. This review describes the available evidence for central
changes in association with conditions presenting with CPP. METHODS: A detailed
literature search was performed to identify relevant papers, however, this is not
a systematic review. RESULTS: CPP is associated with central changes similar to
those identified in other pain conditions. Specifically these include,
alterations in the behavioural and central response to noxious stimulation,
changes in brain structure (both increases and decreases in the volume of
specific brain regions), altered activity of both the hypothalamic-pituitary
adrenal axis and the autonomic nervous system (ANS) and psychological distress.
CONCLUSIONS: The evidence reviewed in this paper demonstrates that CPP is
associated with significant central changes when compared with healthy pain-free
women. Moreover, the presence of these changes has the potential to both
exacerbate symptoms and to predispose these women to the development of
additional chronic conditions. These findings support the use of adjunctive
medication targeting the CNS in these women.
PMID- 24920439
TI - Burden of post-herpetic neuralgia in a sample of UK residents aged 50 years or
older: findings from the Zoster Quality of Life (ZQOL) study.
AB - BACKGROUND: Post-herpetic neuralgia (PHN) is the most common complication of
herpes zoster (shingles). As a chronic condition, PHN can have a substantial
adverse impact on patients' lives. However, UK-specific data concerning the
burden of PHN on individual patients, healthcare systems and wider society, are
lacking. As the first UK-wide cross-sectional study of its kind, The Zoster
Quality of Life (ZQOL) study was designed to address these concerns. METHODS:
Patients (n = 152) with a confirmed diagnosis of PHN (defined as pain persisting
>= 3 months following rash onset) and aged >=50 years were recruited from primary
and secondary/tertiary care centres throughout the UK. All patients completed
validated questionnaires, including the Zoster Brief Pain Inventory (ZBPI), the
Medical Outcomes Study Short-Form 36 (SF-36), the EuroQol-5 Dimensions (EQ-5D)
and the Treatment Satisfaction with Medication (TSQM) questionnaire. Where
available, mean patient population scores on these questionnaires were compared
to scores derived from age-matched normative samples to quantify the burden
associated with PHN. RESULTS: Despite numerous consultations with healthcare
professionals and receiving multiple medications for the management of their PHN,
the majority of patients reported being in pain 'most of the time' or 'all of the
time'. A total of 59.9% (n = 91) of all PHN patients reported pain in the
preceding 24 hours to assessment at levels (ZBPI worst pain >= 5) typically
considered to have a significant impact on Health Related Quality of Life
(HRQoL). Accordingly, scores for SF-36 and EQ-5D indicated significant deficits
in HRQoL among PHN patients compared to age-matched norms (p < 0.05) and patients
reported being dissatisfied with the perceived efficacy of therapies received for
the management of PHN. Increased pain severity was observed among older
participants and higher levels of pain severity were associated with greater
HRQoL deficits. CONCLUSIONS: The inadequate relief provided by PHN therapies
available in the UK is associated with a significant burden among PHN patients in
terms of pain severity and deficits in HRQoL which may persist for years.
Therefore, alternative means such as prevention of shingles and PHN, are
essential for reducing the impact on individual patients, healthcare systems and
society as a whole.
PMID- 24920440
TI - In vitro comparison of the cytotoxicity and water sorption of two different
denture base systems.
AB - PURPOSE: Denture base resins have the potential to cause cytotoxicity in vivo,
and the mechanical properties of resins are affected by water sorption. There is
a correlation between residual monomer and water sorption. Thus, the purpose of
this study was to evaluate water sorption and cytotoxicity of light-activated
urethane dimethacrylate (UDMA) denture base resin compared to a conventional heat
activated polymethyl methacrylate (PMMA) resin. MATERIALS AND METHODS: Two
denture base resins, heat-activated PMMA (Meliodent) and light-activated UDMA
(Eclipse), were used in this study. Cytotoxicity (5 * 1 mm(2) ) and water
sorption (1 * 1 mm(2) ) specimens were made following the manufacturers'
instructions (n = 10). Cytotoxicity tests of denture base resins were performed
according to ISO10993-5:1999, and water sorption was evaluated according to ISO
1567:1997. ANOVA tests were employed for evaluating data (alpha = 0.05). RESULTS:
There was no cytotoxic effect in either the PMMA or UDMA group. In addition,
contrary to short-term water storage, a significantly lower water sorption value
was shown for UDMA resins compared to PMMA resins in both 3- and 6-month storage
periods (p = 0.043 and p = 0.002, respectively). CONCLUSION: The tested denture
base materials adhered to the ISO standards for both cytotoxicity and water
sorption. The cytotoxicity of the light-activated UDMA resin tested was
statistically similar to that of the heat-activated PMMA resin; however, the UDMA
resin exhibited decreased water sorption in long-term water storage.
PMID- 24920441
TI - Preschool wheeze is not asthma: a clinical dilemma.
AB - Recurrent wheezing is common in preschool children and often gets labelled as
asthma. It is important to differentiate preschool wheeze from asthma through
focused history, examination and exclusion of other serious conditions that may
present as wheeze. Two different pragmatic clinical phenotypes viz. episodic
viral wheeze (EVW) and multi-trigger wheeze (MTW) have been described although
categories do not remain fixed and cross over is often seen in clinical practice.
Episodic use of inhaled bronchodilators such as salbutamol when wheezy, is the
mainstay of treatment along with non-pharmacological measures such as avoidance
of environmental tobacco smoke and parental education. Inhaled corticosteroids
are the first choice for maintenance therapy in MTW whereas montelukast may be
useful when maintenance therapy is considered in EVW. Any maintenance therapy
should be viewed as a trial and need to be discontinued in cases where no benefit
has been demonstrated. Short term systemic steroid therapy should be reserved for
excaerbation of wheezy symptoms where hospitalization is necessary. Prognosis is
good in recurrent mild EVW although remission in atopic MTW is often not achieved
and the children in the latter group go on to develop asthma.
PMID- 24920443
TI - Predicting change in symptoms of depression during the transition to university:
the roles of BDNF and working memory capacity.
AB - Studies on depression risk emphasize the importance of both cognitive and genetic
vulnerability factors. The present study has provided the first examination of
whether working memory capacity, the BDNF Val66Met polymorphism, and their
interaction predict changes in symptoms of depression during the transition to
university. Early in the semester, students completed a self-report measure of
depressive symptoms and a modified version of the reading span task to assess
working memory capacity in the presence of both neutral and negative distractors.
Whole blood was genotyped for the BDNF Val66Met polymorphism. Students returned
at the end of the semester to complete additional self-report questionnaires.
Neither working memory capacity nor the BDNF Val66Met polymorphism predicted
change in depressive symptoms either independently or in interaction with self
reported semester difficulty. The BDNF Val66Met polymorphism, however, moderated
the association between working memory capacity and symptom change. Among met
carriers, lower working memory capacity in the presence of negative-but not
neutral-distractors was associated with increased symptoms of depression over the
semester. For the val/val group, working memory capacity did not predict symptom
change. These findings contribute directly to biological and cognitive models of
depression and highlight the importance of examining Gene * Cognition
interactions when investigating risk for depression.
PMID- 24920444
TI - Scaffold Function of Ca2+-Dependent Protein Kinase: Tobacco Ca2+-DEPENDENT
PROTEIN KINASE1 Transfers 14-3-3 to the Substrate REPRESSION OF SHOOT GROWTH
after Phosphorylation.
AB - A molecular mechanism to ensure signaling specificity is a scaffold. REPRESSION
OF SHOOT GROWTH (RSG) is a tobacco (Nicotiana tabacum) transcription factor that
is involved in gibberellin feedback regulation. The 14-3-3 proteins negatively
regulate RSG by sequestering it in the cytoplasm in response to gibberellins. The
N. tabacum Ca2+-dependent protein kinase NtCDPK1 was identified as an RSG kinase
that promotes 14-3-3 binding of RSG by phosphorylation of RSG. CDPKs are unique
sensor responders of Ca2+ that are only found in plants and some protozoans.
Here, we report a scaffolding function of CDPK. 14-3-3 proteins bound to NtCDPK1
by a new mode. Autophosphorylation of NtCDPK1 was necessary for the formation of
the binding between NtCDPK1 and 14-3-3 but not for its maintenance. NtCDPK1
formed a heterotrimer with RSG and 14-3-3. Furthermore, we found that NtCDPK1
transfers 14-3-3 to RSG after phosphorylation of RSG and that RSG dissociates
from NtCDPK1 as a complex with 14-3-3. These results suggest that NtCDPK1 is an
interesting scaffolding kinase that increases the specificity and efficiency of
signaling by coupling catalysis with scaffolding on the same protein.
PMID- 24920445
TI - Functional and Evolutionary Analysis of the CASPARIAN STRIP MEMBRANE DOMAIN
PROTEIN Family.
AB - CASPARIAN STRIP MEMBRANE DOMAIN PROTEINS (CASPs) are four-membrane-span proteins
that mediate the deposition of Casparian strips in the endodermis by recruiting
the lignin polymerization machinery. CASPs show high stability in their membrane
domain, which presents all the hallmarks of a membrane scaffold. Here, we
characterized the large family of CASP-like (CASPL) proteins. CASPLs were found
in all major divisions of land plants as well as in green algae; homologs outside
of the plant kingdom were identified as members of the MARVEL protein family.
When ectopically expressed in the endodermis, most CASPLs were able to integrate
the CASP membrane domain, which suggests that CASPLs share with CASPs the
propensity to form transmembrane scaffolds. Extracellular loops are not necessary
for generating the scaffold, since CASP1 was still able to localize correctly
when either one of the extracellular loops was deleted. The CASP first
extracellular loop was found conserved in euphyllophytes but absent in plants
lacking Casparian strips, an observation that may contribute to the study of
Casparian strip and root evolution. In Arabidopsis (Arabidopsis thaliana), CASPL
showed specific expression in a variety of cell types, such as trichomes,
abscission zone cells, peripheral root cap cells, and xylem pole pericycle cells.
PMID- 24920446
TI - Physiological correlates of positive symptoms in schizophrenia.
AB - Patients with schizophrenia have been hypothesized to have a functional
impairment in filtering irrelevant sensory information, which may result in
positive symptoms such as hallucinations or delusions. Many evidences suggest
that abnormalities in the event-related brain potentials (ERPs), resting state
electroencephalography (EEG) and synchronized oscillatory activity of neurons may
reflect core pathophysiological mechanisms of schizophrenia. Abnormalities in
amplitude and latency of the ERPs reflecting aberrations in gating and
difficulties in the detection of changes in auditory stimuli, as well as defects
in stimuli evaluation and integration of information are common in patients with
schizophrenia. This chapter highlights the findings of electrophysiological
studies in schizophrenia dealing with early sensory perception and attention,
automatic sensory detection of stimuli changes and cognitive evaluation and
integration of information, relevant to the pathophysiological mechanisms
underpinning hallucinations and delusions. Results of electrophysiological
studies investigating the neural correlates of positive symptoms suggest aberrant
intrinsic organization of functional brain networks.
PMID- 24920442
TI - Mechanisms of motivation-cognition interaction: challenges and opportunities.
AB - Recent years have seen a rejuvenation of interest in studies of motivation
cognition interactions arising from many different areas of psychology and
neuroscience. The present issue of Cognitive, Affective, & Behavioral
Neuroscience provides a sampling of some of the latest research from a number of
these different areas. In this introductory article, we provide an overview of
the current state of the field, in terms of key research developments and
candidate neural mechanisms receiving focused investigation as potential sources
of motivation-cognition interaction. However, our primary goal is conceptual: to
highlight the distinct perspectives taken by different research areas, in terms
of how motivation is defined, the relevant dimensions and dissociations that are
emphasized, and the theoretical questions being targeted. Together, these
distinctions present both challenges and opportunities for efforts aiming toward
a more unified and cross-disciplinary approach. We identify a set of pressing
research questions calling for this sort of cross-disciplinary approach, with the
explicit goal of encouraging integrative and collaborative investigations
directed toward them.
PMID- 24920447
TI - Physiological correlates of insomnia.
AB - Insomnia is a prevalent sleep disorder that is typically comorbid with medical,
psychiatric, and other sleep disorders. Yet, it is a disorder with its own course
and morbidity that can persist if untreated. This chapter describes the
physiological correlates of insomnia expressed during sleep and during the
daytime. Together, the data from nighttime and daytime electrophysiology, event
related brain potential recording, neuroimaging studies, sympathetic nervous
system, and HPA axis monitoring all suggest that insomnia is a 24 h disorder of
hyperarousal.
PMID- 24920449
TI - Early-return-to-work in the context of an intensification of working life and
changing employment relationships.
AB - PURPOSE: Many Western welfare states have introduced early-return-to-work
policies, in which getting sick-listed people back to work before they have fully
recovered is presented as a rather unproblematic approach. This reflects a belief
in the ability of employers and the labour market to solve sickness absence.
Against this background, the aim of this study was to analyse return-to-work
practice in local workplace contexts, in relation to Swedish early-return-to-work
policy. METHODS: Semi-structured interviews were conducted with 18 matched pairs
of workers and managers. The material, comprising a total of 36 interviews, was
analysed using qualitative content analysis. RESULTS: Three main themes were
identified: (1) intensive workplaces and work conditions (2) employer support-a
function of worker value and (3) work attachment and resistance to job
transition. The results reflected the intensity of modern working life, which
challenged return-to-work processes. Managers had different approaches to
workers' return-to-work, depending on how they valued the worker. While managers
used the discourse of 'new opportunities' and 'healthy change' to describe the
transition process (e.g. relocation, unemployment and retirement), workers
regularly experienced transitions as difficult and unjust. CONCLUSIONS: In the
context of early-return-to-work policy and the intensity of modern working life,
a great deal of responsibility was placed on workers to be adaptable to workplace
demands in order to be able to return and stay at work. Overall, this study
illustrates an emerging social climate where sick-listed workers are positioned
as active agents who must take responsibility for sick leave and return-to-work
process.
PMID- 24920450
TI - Particle-induced pulmonary acute phase response may be the causal link between
particle inhalation and cardiovascular disease.
AB - Inhalation of ambient and workplace particulate air pollution is associated with
increased risk of cardiovascular disease. One proposed mechanism for this
association is that pulmonary inflammation induces a hepatic acute phase
response, which increases risk of cardiovascular disease. Induction of the acute
phase response is intimately linked to risk of cardiovascular disease as shown in
both epidemiological and animal studies. Indeed, blood levels of acute phase
proteins, such as C-reactive protein and serum amyloid A, are independent
predictors of risk of cardiovascular disease in prospective epidemiological
studies. In this review, we present and review emerging evidence that inhalation
of particles (e.g., air diesel exhaust particles and nanoparticles) induces a
pulmonary acute phase response, and propose that this induction constitutes the
causal link between particle inhalation and risk of cardiovascular disease.
Increased levels of acute phase mRNA and proteins in lung tissues,
bronchoalveolar lavage fluid and plasma clearly indicate pulmonary acute phase
response following pulmonary deposition of different kinds of particles including
diesel exhaust particles, nanoparticles, and carbon nanotubes. The pulmonary
acute phase response is dose-dependent and long lasting. Conversely, the hepatic
acute phase response is reduced relative to lung or entirely absent. We also
provide evidence that pulmonary inflammation, as measured by neutrophil influx,
is a predictor of the acute phase response and that the total surface area of
deposited particles correlates with the pulmonary acute phase response. We
discuss the implications of these findings in relation to occupational exposure
to nanoparticles.
PMID- 24920451
TI - Childhood maltreatment, altered limbic neurobiology, and substance use relapse
severity via trauma-specific reductions in limbic gray matter volume.
AB - IMPORTANCE: Substance use disorders (SUDs) are among the most common sequelae of
childhood maltreatment, yet the independent contributions of SUDs and childhood
maltreatment to neurobiological changes and the effect of the latter on relapse
risk (a critical variable in addiction treatment) are relatively unknown.
OBJECTIVES: To identify structural neural characteristics independently
associated with childhood maltreatment (CM; a common type of childhood
adversity), comparing a sample with SUD with a demographically comparable control
sample, and to examine the relationship between CM-related structural brain
changes and subsequent relapse. DESIGN, SETTING, AND PARTICIPANTS: Structural
magnetic resonance imaging study comparing 79 treatment-engaged participants with
SUD in acute remission in inpatient treatment at a community mental health center
vs 98 healthy control participants at an outpatient research center at an
academic medical center. Both groups included individuals with a range of CM
experiences. Participants with SUD were followed up prospectively for 90 days to
assess relapse and relapse severity. INTERVENTION: Standard 12-step, recovery
based, inpatient addiction treatment for all participants with SUD. MAIN OUTCOMES
AND MEASURES: Gray matter volume (GMV), subsequent substance use relapse, days to
relapse, and severity of relapse. RESULTS: Controlling for SUD and psychiatric
comorbidity, CM (dichotomously classified) was uniquely associated with lower GMV
across all participants in the left hippocampus (cornu ammonis 1-3, dentate
gyrus), parahippocampus (presubiculum, parasubiculum, prosubiculum, subiculum,
and entorhinal cortex), and anterior fusiform gyrus (corrected P < .05;
uncorrected P = .001). Among the sample with SUD, CM prospectively predicted a
shorter relapse to use of any drug (P = .048), while CM-related GMV reductions
predicted severity of substance use relapse (P = .04). CONCLUSIONS AND RELEVANCE:
Findings indicate that CM was related to decreased GMV in limbic regions, which
in turn predicted increased risk of relapse in SUD. These results suggest that CM
may significantly affect the course of SUD treatment outcomes and that SUD
treatment planning may benefit from identifying and addressing CM.
PMID- 24920453
TI - Pediatricians manage anaphylaxis poorly regardless of episode severity.
AB - BACKGROUND: No information exists on how the knowledge or the practice of
pediatricians regarding anaphylaxis episodes vary with episode severity. The aim
of this study was to assess and compare pediatrician knowledge on the management
of mild and severe anaphylaxis using clinical scenarios and to determine factors
that affect their decisions. METHODS: A questionnaire consisting of eight
questions on the diagnosis and management of anaphylaxis was distributed at two
national congresses. A uniform answer box including possible response choices was
given below each question, and respondents were asked to check the answers that
they thought appropriate. The management of mild and severe anaphylaxis was
examined using two clinical case scenarios involving initial treatment,
monitoring, and discharge recommendations. RESULTS: Four hundred and ten
questionnaires were analyzed. The percentage of pediatricians who correctly
answered all questions on the management of mild and severe anaphylaxis scenarios
was 11.3% and 3.2%, respectively. Pediatricians did significantly better with
initial treatment, but they were less knowledgeable with respect to observation
time and discharge criteria in the mild anaphylaxis case scenario compared with
the severe one (both P < 0.001). Multiple logistic regression analysis identified
only working in an emergency department or intensive care unit as significantly
predicting correct diagnosis of anaphylaxis among pediatricians (P = 0.01, 95%
confidence interval: 0.11-0.57). No pediatrician-related factors predicted
physician knowledge on the management of anaphylaxis. CONCLUSIONS: Pediatricians
have difficulty with different steps in managing mild and severe anaphylaxis.
Their deficiencies in management may result in failure to prevent recurrences of
mild anaphylaxis and may increase mortality in severe anaphylaxis.
PMID- 24920452
TI - An Arabidopsis mutant impaired in intracellular calcium elevation is sensitive to
biotic and abiotic stress.
AB - BACKGROUND: Ca2+, a versatile intracellular second messenger in various signaling
pathways, initiates many responses involved in growth, defense and tolerance to
biotic and abiotic stress. Endogenous and exogenous signals induce cytoplasmic
Ca2+ ([Ca2+]cyt) elevation, which are responsible for the appropriate downstream
responses. RESULTS: Here we report on an ethyl-methane sulfonate-mediated
Arabidopsis mutant that fails to induce [Ca2+]cyt elevation in response to
exudate preparations from the pathogenic mibrobes Alternaria brassicae,
Rhizoctonia solani, Phytophthora parasitica var. nicotianae and Agrobacterium
tumefaciens. The cytoplasmic Ca2+elevation mutant1 (cycam1) is susceptible to
infections by A. brassicae, its toxin preparation and sensitive to abiotic stress
such as drought and salt. It accumulates high levels of reactive oxygen species
and contains elevated salicylic acid, abscisic acid and bioactive jasmonic acid
iso-leucine levels. Reactive oxygen species- and phytohormone-related genes are
higher in A. brassicae-treated wild-type and mutant seedlings. Depending on the
analysed response, the elevated levels of defense-related compounds are either
caused by the cycam mutation and are promoted by the pathogen, or they are mainly
due to the pathogen infection or application of pathogen-associated molecular
patterns. Furthermore, cycam1 shows altered responses to abscisic acid
treatments: the hormone inhibits germination and growth of the mutant.
CONCLUSIONS: We isolated an Arabidopsis mutant which fails to induce [Ca2+]cyt
elevation in response to exudate preparations from various microbes. The higher
susceptibility of the mutant to pathogen infections correlates with the higher
accumulation of defense-related compounds, such as phytohormones, reactive oxygen
species, defense-related mRNA levels and secondary metabolites. Therefore, CYCAM1
couples [Ca2+]cyt elevation to biotic, abiotic and oxidative stress responses.
PMID- 24920454
TI - Gender differences in childhood food preference: evaluation using a subjective
picture choice method.
AB - BACKGROUND: A preference for calorie-dense food in men seems to be closely linked
with a considerably higher incidence of obesity in adulthood for men than women,
but it is not clear in which life stage the gender differences in food preference
begin to appear. In order to clarify this, a picture choice method has been
developed that is designed to evaluate food preferences or interests in children
based on their subjective choices. METHODS: In total, 486 children aged 6-12
years were enrolled. To evaluate food interest, children were instructed to
choose any 10 from 36 pictures in the panel showing 10 different foods and 26
other things. The number of foods chosen was set as the food interest score. For
food preference, they were also instructed to choose any 10 from 36 pictures in
the other panel depicting 36 different foods. For the 10 foods chosen, Japanese
food score, energy density, fat energy content, and saturated fatty acid score
were calculated. These indices were compared for sex, age group and body mass
index. RESULTS: Indices reflecting food interest or fat preference were
significantly higher in boys than girls both in the 7-9- and 10-12-year-old age
groups. Positive correlations were found between food interest score and energy
density, fat energy content, and saturated fatty acid score. CONCLUSIONS: Using
the picture choice method, definite gender differences in food preference were
identified in early elementary school children. This information could be useful
for dietary therapy in childhood obesity.
PMID- 24920456
TI - Violence in the Lives of Rural, Southern, and Poor White Women.
AB - Poor White single mothers and their children in non-urban communities in the
American South experience high levels of domestic violence. We report selected
findings from a life history study among White, low-income, unmarried mothers in
South Carolina. Here, we examine how domestic violence in both childhood and
adulthood may inhibit asset development by diminishing low-income single mothers'
accumulation of human and social capital, thus compromising their well-being as
adults and parents.
PMID- 24920455
TI - Global phylogeography of pelagic Polynucleobacter bacteria: restricted geographic
distribution of subgroups, isolation by distance and influence of climate.
AB - The free-living planktonic freshwater bacterium Polynucleobacter necessarius
subspecies asymbioticus (> 99% 16S rRNA similarity) represents a taxon with a
cosmopolitan distribution and apparently ubiquitous occurrence in lentic
freshwater habitats. We tested for intra-taxon biogeographic patterns by
combining cultivation-independent and cultivation methods. A culture collection
of 204 strains isolated from globally distributed freshwater habitats (Arctic to
Antarctica) was investigated for phylogeographic patterns based on sequences of
two markers, the 16S-23S internal transcribed spacers and the glutamine
synthetase gene (glnA). Genetic distance between isolates showed significant
geographic distance-decay patterns for both markers, suggesting that an isolation
by-distance mechanism influences the global phylogeography. Furthermore, a couple
of subgroups showed restricted geographic distributions. Strains of one subgroup
were exclusively obtained from tropical sites on four continents (pantropical
subgroup). Cultivation-independent methods were used to confirm the restricted
geographic distributions of two subgroups. The pantropical taxon could be
detected in 63% of investigated tropical habitats but not in any of 121 European
freshwater samples. Physiological tests indicated that almost all strains of the
pantropical subgroup failed to grow at temperatures of 4 degrees C, while strains
affiliated with other subgroups showed good growth at this temperature. This
suggests that thermal adaptation is involved in phylogeographic structuring of
the global Polynucleobacter population.
PMID- 24920458
TI - Hidden genetic variation evolves with ecological specialization: the genetic
basis of phenotypic plasticity in Arctic charr ecomorphs.
AB - The genetic variance that determines phenotypic variation can change across
environments through developmental plasticity and in turn play a strong role in
evolution. Induced changes in genotype-phenotype relationships should strongly
influence adaptation by exposing different sets of heritable variation to
selection under some conditions, while also hiding variation. Therefore, the
heritable variation exposed or hidden from selection is likely to differ among
habitats. We used ecomorphs from two divergent populations of Arctic charr
(Salvelinus alpinus) to test the prediction that genotype-phenotype relationships
would change in relation to environment. If present over several generations this
should lead to divergence in genotype-phenotype relationships under common
conditions, and to changes in the amount and type of hidden genetic variance that
can evolve. We performed a common garden experiment whereby two ecomorphs from
each of two Icelandic lakes were reared under conditions that mimicked benthic
and limnetic prey to induce responses in craniofacial traits. Using
microsatellite based genetic maps, we subsequently detected QTL related to these
craniofacial traits. We found substantial changes in the number and type of QTL
between diet treatments and evidence that novel diet treatments can in some cases
provide a higher number of QTL. These findings suggest that selection on
phenotypic variation, which is both genetically and environmentally determined,
has shaped the genetic architecture of adaptive divergence in Arctic charr.
However, while adaptive changes are occurring in the genome there also appears to
be an accumulation of hidden genetic variation for loci not expressed in the
contemporary environment.
PMID- 24920460
TI - Tertiary montane origin of the Central Asian flora, evidence inferred from cpDNA
sequences of Atraphaxis (Polygonaceae).
AB - Atraphaxis has approximately 25 species and a distribution center in Central
Asia. It has been previously used to hypothesize an origin from montane forest.
We sampled 18 species covering three sections within the genus and sequenced five
cpDNA spacers, atpB-rbcL, psbK-psbI, psbA-trnH, rbcL, and trnL-trnF. BEAST was
used to reconstruct phylogenetic relationship and time divergences, and S-DIVA
and Lagrange were used, based on distribution area and ecotype data, for
reconstruction of ancestral areas and events. Our results appear compatible with
designation of three taxonomic sections within the genus. The generic stem and
crown ages were Eocene, approximately 47 Ma, and Oligocene 27 Ma, respectively.
The origin of Atraphaxis is confirmed as montane, with an ancestral area
consisting of the Junggar Basin and uplands of the Pamir-Tianshan-Alatau-Altai
mountain chains, and ancestral ecotype of montane forest. Two remarkable
paleogeographic events, shrinkage of the inland Paratethys Sea at the boundary of
the late Oligocene and early Miocene, and the time intervals of cooling and
drying of global climate from 24 (22) Ma onward likely facilitated early
diversification of Atraphaxis, while rapid uplift of the Tianshan Mountains
during the late Miocene may have promoted later diversification.
PMID- 24920457
TI - Sexual self-schema and depressive symptoms after prostate cancer.
AB - INTRODUCTION: The years following prostate cancer treatment are characterized by
changes in sexual functioning and risk for depressive symptoms. Sexual self
schema (SSS) is a cognitive generalization about sexual aspects of the self that
are associated with sexual behavior, affect, and the processing of sexually
relevant information. This study tested if men's SSS moderates the impact of
sexual morbidity on depressive symptoms. METHODS: Men (N = 66) treated for
localized prostate cancer in the preceding 2 years were assessed at T1 and 4
months later (T2). Questionnaires included the Center for Epidemiologic Studies
Depression Scale, Sexual Self-schema Scale for Men, Sexual Experience Scale, and
Expanded Prostate Cancer Index Composite. RESULTS: Regressions controlled for
age, sexual activity, and T1 depressive symptoms revealed no significant effect
of SSS on depressive symptoms; however, better sexual functioning was related to
fewer depressive symptoms (B = -0.25, p < 0.05). Results showed significant
interactions between SSS and sexual outcomes. Among men with high SSS, poor
sexual functioning was associated with increased depressive symptoms; loss of
sexual function was particularly distressing. There was no significant effect of
sexual functioning. Among men with high SSS, there was an inverse relationship
between sexual engagement and depressive symptoms. Among men with lower SSS,
greater frequency of sexual behavior was associated with increased depressive
symptoms. CONCLUSIONS: SSS may be an important individual difference in
determining the impact of sexual morbidity on psychological adjustment. Men high
on SSS are more vulnerable to psychological consequences of lower sexual
functioning and less engagement in sexual activities.
PMID- 24920461
TI - Future of portable devices for plant pathogen diagnosis.
AB - The demand for rapid and accurate diagnosis of plant diseases has risen in the
last decade. On-site diagnosis of single or multiple pathogens using portable
devices is the first step in this endeavour. Despite extensive attempts to
develop portable devices for pathogen detection, current technologies are still
restricted to detecting known pathogens with limited detection accuracy.
Developing new detection techniques for rapid and accurate detection of multiple
plant pathogens and their associated variants is essential. Recent single DNA
sequencing technologies are a promising new avenue for developing future portable
devices for plant pathogen detection. In this review, we detail the current
progress in portable devices and technologies used for detecting plant pathogens,
the current position of emerging sequencing technologies for analysis of plant
genomics, and the future of portable devices for rapid pathogen diagnosis.
PMID- 24920462
TI - Sound production in marbled rockfish (Sebastiscus marmoratus) and implications
for fisheries.
AB - Recently, several rockfish species (genus Sebastes) have been reported to be
soniferous. To determine whether an additional rockfish species produces sounds,
passive acoustic recordings were analyzed from captive marbled rockfish
(Sebastiscus marmoratus) during the non-spawning season. Three distinct sounds
were identified based on frequency features. The common characteristics among all
sounds were low frequency (below 300 Hz) and rapidly dampened pulses consisting
of 3-5 acoustic energy cycles. During free-swimming conditions in the canvas
tank, the fish produced voluntary sounds with lower frequencies than the
disturbance sounds produced by individuals during prodding. Two types of sounds
were identified in the disturbance context: one type consists of single or double
pulses with two peak frequencies, and another type consists of a series of pulses
with a single peak frequency. These results suggest that specific-species and
behavior-associated sounds are potentially useful in passive acoustical surveys
to monitor rockfish populations and distributions remotely.
PMID- 24920464
TI - Gro2mat: a package to efficiently read gromacs output in MATLAB.
AB - Molecular dynamics (MD) simulations are a state-of-the-art computational method
used to investigate molecular interactions at atomic scale. Interaction processes
out of experimental reach can be monitored using MD software, such as Gromacs.
Here, we present the gro2mat package that allows fast and easy access to Gromacs
output files from Matlab. Gro2mat enables direct parsing of the most common
Gromacs output formats including the binary xtc-format. No openly available
Matlab parser currently exists for this format. The xtc reader is orders of
magnitudes faster than other available pdb/ascii workarounds. Gro2mat is
especially useful for scientists with an interest in quick prototyping of new
mathematical and statistical approaches for Gromacs trajectory analyses. (c) 2014
Wiley Periodicals, Inc.
PMID- 24920463
TI - Stem cell dynamics in homeostasis and cancer of the intestine.
AB - Intestinal stem cells (ISCs) and colorectal cancer (CRC) biology are tightly
linked in many aspects. It is generally thought that ISCs are the cells of origin
for a large proportion of CRCs and crucial ISC-associated signalling pathways are
often affected in CRCs. Moreover, CRCs are thought to retain a cellular hierarchy
that is reminiscent of the intestinal epithelium. Recent studies offer
quantitative insights into the dynamics of ISC behaviour that govern homeostasis
and thereby provide the necessary baseline parameters to begin to apply these
analyses during the various stages of tumour development.
PMID- 24920466
TI - Highly efficient and stable Si nanowires array embedded into transparent polymer
for visible light photoelectrochemical cell.
AB - Photoelectrochemical (PEC) cell supports a renewable method for solving current
environmental and energy issues by combining solar energy collection and
photocatalysis in a single semiconductor photoelectrode. However, it is still
challenged by visible light photoelectrodes. The present work reports fabricating
highly efficient and stable Si nanowires (SiNWs) array as visible light
photoelectrodes. It involves embedding SiNWs arrays into a transparent polymer
substrate to build an axial carrier collection geometry. We demonstrated that
this strategy could significantly strengthen the chemical stability of SiNWs by
largely reducing their surface area. Moreover, this device structure can also
enhance visible light absorption efficiency through taking advantage of the
highly crystalline structure of vapor-liquid-solid (VLS) grown SiNWs. Thus it can
double the photodegradation ability of SiNWs.
PMID- 24920465
TI - Long-term survival of patients with pulmonary arterial hypertension recovering to
World Health Organization functional class I or II: a historical comparison
between intravenous epoprostenol and oral agents.
AB - BACKGROUND: Intravenous epoprostenol is the only drug proved in a randomized
study to reduce mortality in patients with idiopathic pulmonary arterial
hypertension (PAH). However, administration of this drug has procedural
difficulties and a risk of sepsis. Oral drugs provide simple treatment, but their
benefit for survival has not been proven. A recovery of patients with PAH to
World Health Organization functional class (WHO-FC) I or II may predict favorable
survival. METHODS: Survival analyses were performed on a historical cohort of 41
patients with PAH. The patients were 43 +/- 22 years old, 23 had idiopathic or
heritable PAH, and 18 had connective tissue disease-associated PAH. The baseline
was defined as the initial visit to a medical facility. RESULTS: The median
duration of follow-up was 1276 days (108 to 5389 days) and 21 patients died
during this period. The estimated survival times for patients who received
intravenous epoprostenol and did and did not recover to WHO-FC I or II were 4371
+/- 577 days and 1172 +/- 404 days, respectively. These times for patients who
were not treated with intravenous epoprostenol and did and did not recover to WHO
FC I or II were 4717 +/- 554 days and 925 +/- 230 days, respectively. A Cox
proportional hazard analysis gave a hazard ratio for death after recovery to WHO
FC I or II of 0.07 (P < 0.001). In contrast, use of intravenous epoprostenol was
not a significant factor affecting survival (P = 0.96). CONCLUSIONS: Patients
with PAH who achieve recovery to WHO-FC I or II without use of intravenous
epoprostenol have similar survival to those who reach the same WHO-FC with use of
intravenous epoprostenol. Benign survival of patients with PAH who have recovered
to WHO-FC I or II may extend for several years after onset of the disease.
PMID- 24920467
TI - Comparison of the effects of clonidine, loperamide and metoclopramide in two
models of gastric emptying in the rat.
AB - Several methods are used to evaluate gastric emptying (GE) in rats, which is an
important endpoint in preclinical drug development. Although phenol red model or
monitoring of plasma acetaminophen levels are well-established procedures for GE
assessment, their capacity to detect the effects of pharmacological agents has
rarely been compared. This study was therefore designed to evaluate clonidine
with loperamide and metoclopramide in the two test models. Rats were administered
phenol red or acetaminophen test meals. The remaining amount of phenol red in the
stomach or the time course of plasma acetaminophen levels was then measured. In
the phenol red test, loperamide (8 mg/kg, p.o.) and clonidine (100 MUg/kg, s.c.)
decreased GE (-88 and -42%, P < 0.001 and P < 0.01, respectively). Metoclopramide
(10 mg/kg, s.c.) accelerated GE (+42%, P < 0.01). Loperamide reduced
acetaminophen plasma levels (-45% at T15 min, P < 0.05), suggesting a delayed GE.
Clonidine and metoclopramide increased acetaminophen plasma levels (+115 and
+152% at T15 min, P < 0.05 and P < 0.001, respectively), suggesting an
accelerated GE. The three substances did not affect plasma acetaminophen levels
when acetaminophen was subcutaneously injected, thereby suggesting that
acetaminophen metabolism/excretion was not modified. Whereas the phenol red test
allows the evaluation of GE at a single time point, the measurement of plasma
acetaminophen levels over the time would appear more informative. Nevertheless,
the fact that clonidine, in contrast to expectation, increased plasma
acetaminophen levels, suggests that data obtained with the acetaminophen method
should be interpreted with caution for new chemical entities susceptible to
modify absorption of acetaminophen from the small intestine.
PMID- 24920468
TI - Test implementation of a school-oriented drug prevention program "Study without
Drugs": pre- and post-testing for effectiveness.
AB - BACKGROUND: In this article, the test implementation of a school-oriented drug
prevention program "Study without Drugs" is discussed. The aims of this study
were to determine the results of the process evaluation and to determine whether
the proposed school-oriented drug prevention program during a pilot project was
effective for the participating pupils. METHODS: Sixty second-grade pupils at a
junior high school in Paramaribo, Suriname participated in the test
implementation. They were divided into two classes. For the process evaluation
the students completed a structured questionnaire focusing on content and
teaching method after every lesson. Lessons were qualified with a score from 0
10. The process was also evaluated by the teachers through structured interviews.
Attention was paid to reach, dose delivered, dose received, fidelity, connection,
achieved effects/observed behaviors, areas for improvement, and lesson strengths.
The effect evaluation was conducted by using the General Liniair Model (repeated
measure). The research (-design) was a pre-experimental design with pre-and post
test. RESULTS: No class or sex differences were detected among the pupils with
regard to the assessment of content, methodology, and qualification of the
lessons. Post-testing showed that participating pupils obtained an increased
knowledge of drugs, their drug-resisting skills were enhanced, and behavior
determinants (attitude, subjective norm, self-efficacy, and intention) became
more negative towards drugs. CONCLUSIONS: From the results of the test
implementation can be cautiously concluded that the program "Study without Drugs"
may yield positive results when applied in schools). Thus, this pilot program can
be considered a step towards the development and implementation of an evidence
based school-oriented program for pupils in Suriname.
PMID- 24920469
TI - The family assessment device: an update.
AB - The current study set out to describe family functioning scores of a contemporary
community sample, using the Family Assessment Device (FAD), and to compare this
to a currently help-seeking sample. The community sample consisted of 151
families who completed the FAD. The help-seeking sample consisted of 46 families
who completed the FAD at their first family therapy appointment as part of their
standard care at an outpatient family therapy clinic at an urban hospital.
Findings suggest that FAD means from the contemporary community sample indicate
satisfaction with family functioning, while FAD scores from the help-seeking
sample indicate dissatisfaction with family functioning. In addition, the General
Functioning scale of the FAD continues to correlate highly with all other FAD
scales, except Behavior Control. The cut-off scores for the FAD indicating
satisfaction or dissatisfaction by family members with their family functioning
continue to be relevant and the FAD continues to be a useful tool to assess
family functioning in both clinical and research contexts.
PMID- 24920470
TI - Imatinib mesylate-induced pseudoporphyria in two children.
AB - Imatinib mesylate was the first of several tyrosine kinase inhibitors approved
for use in the treatment of a number of human cancers. Adverse cutaneous
reactions to imatinib are common. Pseudoporphyria has been infrequently reported
in adults undergoing imatinib therapy for chronic myeloid leukemia. We present
two children with pseudoporphyria induced by imatinib therapy for hematologic
malignancies. In view of the burgeoning use of imatinib in children, physicians
should be aware that pseudoporphyria may develop as a consequence of imatinib
therapy.
PMID- 24920471
TI - Mechanochromic behavior of aryl-substituted buta-1,3-diene derivatives with
aggregation enhanced emission.
AB - Three tetra-aryl substituted 1,3-butadiene derivatives with aggregation enhanced
emission (AEE) and mechanochromic fluorescence behavior have been rationally
designed and synthesized. The results suggest an effective design strategy for
developing diverse materials with aggregation induced emission (AIE) and
significant mechanochromic performance by employing D-pi-A structures with large
dipole moments.
PMID- 24920472
TI - Persistent idiopathic hypoglossal nerve palsy: A motor neuron disease-mimic
syndrome?
PMID- 24920473
TI - Nicotine exposure increases the complexity of dopamine neurons in the
parainterfascicular nucleus (PIF) sub-region of VTA.
AB - BACKGROUND: Recent publications highlight differences within the sub-regions of
the ventral tegmental area (VTA) including the parabrachial pigmented nucleus
(PBP), parainterfascicular nucleus (PIF) and paranigral nucleus (PN) in the
projections to the prefrontal cortex (PFC) and the glutamatergic pathway.
METHODS: In order to characterize the effects of prenatal nicotine exposure on
the mesocorticolimbic system of the rat offspring, local field potentials were
recorded from 27 sites across the VTA of 9 rats aged 40-55 days. The
extracellular VTA neural activities were analyzed using Approximate Entropy
(ApEn) method. Approximate entropy values were then grouped according to each
anatomic location including the PBP, PIF and PN. RESULTS: Our results have shown
that the local field potentials corresponding to the neurons located in the PIF
region of the VTA have ApEn values significantly higher (p = 2x10-4) in the
maternal nicotine cases when compared to the saline. CONCLUSION: Therefore, we
speculate that the dopamine neurons located in the PIF sub-region of the VTA are
very likely involved with the nicotine addiction.
PMID- 24920474
TI - How collective comparisons emerge without individual comparisons of the options.
AB - Collective decisions in animal groups emerge from the actions of individuals who
are unlikely to have global information. Comparative assessment of options can be
valuable in decision-making. Ant colonies are excellent collective decision
makers, for example when selecting a new nest-site. Here, we test the dependency
of this cooperative process on comparisons conducted by individual ants. We
presented ant colonies with a choice between new nests: one good and one poor.
Using individually radio-tagged ants and an automated system of doors, we
manipulated individual-level access to information: ants visiting the good nest
were barred from visiting the poor one and vice versa. Thus, no ant could
individually compare the available options. Despite this, colonies still
emigrated quickly and accurately when comparisons were prevented. Individual
level rules facilitated this behavioural robustness: ants allowed to experience
only the poor nest subsequently searched more. Intriguingly, some ants appeared
particularly discriminating across emigrations under both treatments, suggesting
they had stable, high nest acceptance thresholds. Overall, our results show how a
colony of ants, as a cognitive entity, can compare two options that are not both
accessible by any individual ant. Our findings illustrate a collective decision
process that is robust to differences in individual access to information.
PMID- 24920475
TI - From 'third pole' to north pole: a Himalayan origin for the arctic fox.
AB - The 'third pole' of the world is a fitting metaphor for the Himalayan-Tibetan
Plateau, in allusion to its vast frozen terrain, rivalling the Arctic and
Antarctic, at high altitude but low latitude. Living Tibetan and arctic mammals
share adaptations to freezing temperatures such as long and thick winter fur in
arctic muskox and Tibetan yak, and for carnivorans, a more predatory niche. Here,
we report, to our knowledge, the first evolutionary link between an Early
Pliocene (3.60-5.08 Myr ago) fox, Vulpes qiuzhudingi new species, from the
Himalaya (Zanda Basin) and Kunlun Mountain (Kunlun Pass Basin) and the modern
arctic fox Vulpes lagopus in the polar region. A highly hypercarnivorous
dentition of the new fox bears a striking resemblance to that of V. lagopus and
substantially predates the previous oldest records of the arctic fox by 3-4 Myr.
The low latitude, high-altitude Tibetan Plateau is separated from the nearest
modern arctic fox geographical range by at least 2000 km. The apparent connection
between an ancestral high-elevation species and its modern polar descendant is
consistent with our 'Out-of-Tibet' hypothesis postulating that high-altitude
Tibet was a training ground for cold-environment adaptations well before the
start of the Ice Age.
PMID- 24920476
TI - Of babies and birds: complex tool behaviours are not sufficient for the evolution
of the ability to create a novel causal intervention.
AB - Humans are capable of simply observing a correlation between cause and effect,
and then producing a novel behavioural pattern in order to recreate the same
outcome. However, it is unclear how the ability to create such causal
interventions evolved. Here, we show that while 24-month-old children can produce
an effective, novel action after observing a correlation, tool-making New
Caledonian crows cannot. These results suggest that complex tool behaviours are
not sufficient for the evolution of this ability, and that causal interventions
can be cognitively and evolutionarily disassociated from other types of causal
understanding.
PMID- 24920478
TI - Intraspecific phenotypic variation among alewife populations drives parallel
phenotypic shifts in bluegill.
AB - Evolutionary diversification within consumer species may generate selection on
local ecological communities, affecting prey community structure. However, the
extent to which this niche construction can propagate across food webs and shape
trait variation in competing species is unknown. Here, we tested whether niche
construction by different life-history variants of the planktivorous fish alewife
(Alosa pseudoharengus) can drive phenotypic divergence and resource use in the
competing species bluegill (Lepomis macrochirus). Using a combination of common
garden experiments and a comparative field study, we found that bluegill from
landlocked alewife lakes grew relatively better when fed small than large
zooplankton, had gill rakers better adapted for feeding on small-bodied prey and
selected smaller zooplankton compared with bluegill from lakes with anadromous or
no alewife. Observed shifts in bluegill foraging traits in lakes with landlocked
alewife parallel those in alewife, suggesting interspecific competition leading
to parallel phenotypic changes rather than to divergence (which is commonly
predicted). Our findings suggest that species may be locally adapted to prey
communities structured by different life-history variants of a competing dominant
species.
PMID- 24920477
TI - Demographic consequences of heavy metals and persistent organic pollutants in a
vulnerable long-lived bird, the wandering albatross.
AB - Seabirds are top predators of the marine environment that accumulate contaminants
over a long life-span. Chronic exposure to pollutants is thought to compromise
survival rate and long-term reproductive outputs in these long-lived organisms,
thus inducing population decline. However, the demographic consequences of
contaminant exposure are largely theoretical because of the dearth of long-term
datasets. This study aims to test whether adult survival rate, return to the
colony and long-term breeding performance were related to blood mercury (Hg),
cadmium (Cd) and persistent organic pollutants (POPs), by using a capture-mark
recapture dataset on the vulnerable wandering albatross Diomedea exulans. We did
not find evidence for any effect of contaminants on adult survival probability.
However, blood Hg and POPs negatively impacted long-term breeding probability,
hatching and fledging probabilities. The proximate mechanisms underlying these
deleterious effects are likely multifaceted, through physiological perturbations
and interactions with reproductive costs. Using matrix population models, we
projected a demographic decline in response to an increase in Hg or POPs
concentrations. This decline in population growth rate could be exacerbated by
other anthropogenic perturbations, such as climate change, disease and fishery
bycatch. This study gives a new dimension to the overall picture of environmental
threats to wildlife populations.
PMID- 24920479
TI - Trophic divergence despite morphological convergence in a continental radiation
of snakes.
AB - Ecological and phenotypic convergence is a potential outcome of adaptive
radiation in response to ecological opportunity. However, a number of factors may
limit convergence during evolutionary radiations, including interregional
differences in biogeographic history and clade-specific constraints on form and
function. Here, we demonstrate that a single clade of terrestrial snakes from
Australia--the oxyuranine elapids--exhibits widespread morphological convergence
with a phylogenetically diverse and distantly related assemblage of snakes from
North America. Australian elapids have evolved nearly the full spectrum of
phenotypic modalities that occurs among North American snakes. Much of the
convergence appears to involve the recurrent evolution of stereotyped
morphologies associated with foraging mode, locomotion and habitat use. By
contrast, analysis of snake diets indicates striking divergence in feeding
ecology between these faunas, partially reflecting regional differences in
ecological allometry between Australia and North America. Widespread phenotypic
convergence with the North American snake fauna coupled with divergence in
feeding ecology are clear examples of how independent continental radiations may
converge along some ecological axes yet differ profoundly along others.
PMID- 24920481
TI - King penguin demography since the last glaciation inferred from genome-wide data.
AB - How natural climate cycles, such as past glacial/interglacial patterns, have
shaped species distributions at the high-latitude regions of the Southern
Hemisphere is still largely unclear. Here, we show how the post-glacial warming
following the Last Glacial Maximum (ca 18 000 years ago), allowed the
(re)colonization of the fragmented sub-Antarctic habitat by an upper-level marine
predator, the king penguin Aptenodytes patagonicus. Using restriction site
associated DNA sequencing and standard mitochondrial data, we tested the
behaviour of subsets of anonymous nuclear loci in inferring past demography
through coalescent-based and allele frequency spectrum analyses. Our results show
that the king penguin population breeding on Crozet archipelago steeply increased
in size, closely following the Holocene warming recorded in the Epica Dome C ice
core. The following population growth can be explained by a threshold model in
which the ecological requirements of this species (year-round ice-free habitat
for breeding and access to a major source of food such as the Antarctic Polar
Front) were met on Crozet soon after the Pleistocene/Holocene climatic
transition.
PMID- 24920482
TI - Discovery of protein disulfide isomerase P5 inhibitors that reduce the secretion
of MICA from cancer cells.
AB - In order to regulate the activity of P5, which is a member of the protein
disulfide isomerase family, we screened a chemical compound library for P5
specific inhibitors, and identified two candidate compounds (anacardic acid and
NSC74859). Interestingly, anacardic acid inhibited the reductase activity of P5,
but did not inhibit the activity of protein disulfide isomerase (PDI), thiol
disulfide oxidoreductase ERp57, or thioredoxin. NSC74859 inhibited all these
enzymes. When we examined the effects of these compounds on the secretion of
soluble major histocompatibility complex class-I-related gene A (MICA) from
cancer cells, anacardic acid was found to decrease secretion. In addition,
anacardic acid was found to reduce the concentration of glutathione up-regulated
by the anticancer drug 17-demethoxygeldanamycin in cancer cells. These results
suggest that anacardic acid can both inhibit P5 reductase activity and decrease
the secretion of soluble MICA from cancer cells. It might be a novel and potent
anticancer treatment by targeting P5 on the surface of cancer cells.
PMID- 24920483
TI - Head and neck cancer recurrence: a prospective analysis of 401 follow-up visits
to an Australian cancer centre.
PMID- 24920484
TI - Development and performance evaluation of an ultralow flow nanoliquid
chromatography-tandem mass spectrometry set-up.
AB - LC-MS/MS is the most commonly used technique for the identification and
characterization of proteins. The efficiency of the electrospray process is a
critical factor in LC-MS/MS. Despite the benefits associated with very low flow
rates for the ionization efficiency, most LC-MS/MS platforms are operated at
relatively high flow rates. The purpose of this work was to develop a nano LC
system operable at a flow rate of 20 nL/min, applicable for routine analysis in
proteomics laboratories. Peptide separation was performed with an analytical
column packed with 2 MUm porous chromatographic beads, a length of 25 cm and an
inner diameter (i.d.) of 25 MUm. Practical usability, reproducibility, and
overall performance of the system were evaluated with a tryptic peptide mixture
generated from HeLa cells. Using 100 ng of sample, we identified on average 3721
protein groups based on 25,699 peptides. We demonstrate that the number of
peptides identified with this system increases with decreasing flow rates.
Probing the sensitivity of the set-up we analyzed only 10 ng of the sample,
identifying an average number of 2042 protein groups based on 11 424 peptides.
All MS data have been deposited in the ProteomeXchange with identifier PXD000396
(http://proteomecentral.proteomexchange.org/dataset/PXD000396).
PMID- 24920485
TI - Photoresponsive superhydrophobic coating for regulating boundary slippage.
AB - A photoresponsive copolymer containing catechol and azobenzene derivatives was
synthesized. The copolymer easily attached onto various substrates and showed a
photoresponsive characteristic because of its catechol and azobenzene functional
groups. The copolymer was successfully assembled on nanoparticles, plate mica,
and rough anodized aluminum surface. The rough anodized aluminum sheet retained
the Cassie-Baxter state after being modified with the copolymer. Moreover,
surface adhesion can be interchanged by changing the UV exposure time. The
sliding and adhesive states of water droplets were achieved by UV exposure and
dark storage. Boundary slip on the rough sheet was measured using a commercial
rheometer, and interchangeable slip length was also obtained after irradiation or
storage. The versatile, substrate-independent approach may be significant in the
development of new materials for smart fluid devices.
PMID- 24920480
TI - Comparative genomics of the mimicry switch in Papilio dardanus.
AB - The African Mocker Swallowtail, Papilio dardanus, is a textbook example in
evolutionary genetics. Classical breeding experiments have shown that wing
pattern variation in this polymorphic Batesian mimic is determined by the
polyallelic H locus that controls a set of distinct mimetic phenotypes. Using
bacterial artificial chromosome (BAC) sequencing, recombination analyses and
comparative genomics, we show that H co-segregates with an interval of less than
500 kb that is collinear with two other Lepidoptera genomes and contains 24
genes, including the transcription factor genes engrailed (en) and invected
(inv). H is located in a region of conserved gene order, which argues against any
role for genomic translocations in the evolution of a hypothesized multi-gene
mimicry locus. Natural populations of P. dardanus show significant associations
of specific morphs with single nucleotide polymorphisms (SNPs), centred on en. In
addition, SNP variation in the H region reveals evidence of non-neutral molecular
evolution in the en gene alone. We find evidence for a duplication potentially
driving physical constraints on recombination in the lamborni morph. Absence of
perfect linkage disequilibrium between different genes in the other morphs
suggests that H is limited to nucleotide positions in the regulatory and coding
regions of en. Our results therefore support the hypothesis that a single gene
underlies wing pattern variation in P. dardanus.
PMID- 24920486
TI - Concise and broadly applicable method for determining the genomic sequences of
North-American-type porcine reproductive and respiratory syndrome viruses in
various clusters.
AB - We developed a concise and broadly applicable method for accurate genomic
sequencing of North American genotype (NA-type) porcine reproductive and
respiratory syndrome viruses (PRRSVs) that overcomes high genetic variability of
the viruses. The method, designated "combination of consensus oligonucleotide
reverse transcription and multiple displacement amplification" (CORT-MDA),
involves reverse-transcription of viral RNA followed by shotgun sequencing after
amplification using only 11 degenerate oligonucleotide primers; these primers
were designed against consensus regions within the open reading frames of the 124
NA-type PRRSV strains with reported full-length genomic sequences. Sequencing of
the 192 shotgun clones generated per virus showed 80% to 94% coverage on the
reported PRRSV genomic sequence, such that only 2 or 3 unread regions had to be
resequenced after PCR amplification using custom primers. Direct sequencing of RT
PCR products confirmed absolute consistency between sequences determined by the
CORT-MDA method and those from RT-PCR. These results suggest that our method is
applicable to diverse NA-type viruses.
PMID- 24920487
TI - Molecular characterization of enterohemorrhagic Escherichia coli isolates from
cattle.
AB - A total of 21 (4.3%) enterohemorrhagic E. coli strains were isolated by
biochemical tests and identification of the eae(+)stx1(+)stx2(+) genotype from
490 stool samples obtained from calves with diarrhea during 1-year period from a
major farm in Tehran, Iran. All of the strains showed resistance to ampicillin,
ciprofloxacin, trimethoprim, streptomycin, chloramphenicol and tetracycline,
while 19% showed resistance to gentamicin. Out of 21 EHEC strains, 11 (53%)
harbored class 1 integron. Two different amplification products, which were
approximately 750 and 1,700 bp in size, were obtained from amplified variable
regions (in-F/in-R primers) in 3 (14.3%) and 4 (19%) of the EHEC isolates, which
corresponded to dfrA7(dihydrofolate reductase type I) and
dfrA1/aadA1(dihydrofolate reductase/aminoglycoside adenyltransferase) resistance
gene cassettes, respectively, and this was confirmed by sequencing. Genotyping
analysis revealed a total of 16 pulsotypes that corresponded to 16 isolates with
the similarity indices of 62% and 30% for the most and least similar isolates,
respectively, 9 of which harbored class 1 integron. Analysis of pulsotypes showed
an extensive diversity among the isolates harboring integron, which is indicative
of a lack of any significant genetic relatedness among the isolates. No obvious
relation could be deduced between integron content and special pulsotypes. The
little data available on the genotyping patterns of EHEC isolates from cattle and
their resistance gene contents emphasize the need to establish genotyping
databases in order to monitor and source track the source of emergence and spread
of new resistant and integron-carrying genotypes.
PMID- 24920488
TI - CD7 promotes extramedullary involvement of the B-cell acute lymphoblastic
leukemia line Tanoue by enhancing integrin beta2-dependent cell adhesiveness.
AB - Extramedullary involvement (EMI) is a factor that defines prognosis of acute
lymphoblastic leukemia; however, the molecular mechanism(s) remain elusive. Here,
we show that CD7 promotes EMI of the human B-cell acute lymphoblastic leukemia
cell line Tanoue. The Tanoue cell line expressing firefly luciferase, Luc-Tanoue,
was transplanted into non-obese diabetic/severe combined immunodeficient mice,
and cells infiltrated into the brain were cultured ex vivo. This process was
repeated 4 times to obtain the highly invasive line Luc-Tanoue-F4. Comparison of
the global gene expression signatures of Luc-Tanoue-F4 and Luc-Tanoue indicated
that the CD7 gene showed the largest increase in expression among EMI-related
genes in Luc-Tanoue-F4 cells. Overexpression of CD7 in Tanoue enhanced cell
invasiveness. Among cell migration, proliferation, adhesion and protease
activity, only cell adhesiveness showed enhancement in Luc-Tanoue-F4. Expression
of the intracellular domain, but not the extracellular domain, of CD7 enhanced
cell adhesiveness. Luc-Tanoue-F4 showed a higher level of integrin beta2
expression; overexpression of CD7 induced the expression of integrin beta2 in Luc
Tanoue. These results show that CD7 induces integrin beta2 and enhances cell
adhesiveness and invasiveness in Tanoue cells. This study highlights the role of
the CD7/integrin beta2 axis as a critical pathway in the process of EMI of human
B-cell acute lymphoblastic leukemia.
PMID- 24920489
TI - House dust-mite allergen exposure is associated with serum specific IgE but not
with respiratory outcomes.
AB - Exposure to house dust has been associated with asthma in adults, and this is
commonly interpreted as a direct immunologic response to dust-mite allergens in
those who are IgE sensitized to house dust-mite. Mattress house dust-mite
concentrations were measured in a population-based sample of 2890 adults aged
between 27 and 56 years living in 22 centers in 10 countries. Generalized linear
mixed models were employed to explore the association of respiratory symptoms
with house dust-mite concentrations, adjusting for individual and household
confounders. There was no overall association of respiratory outcomes with
measured house dust-mite concentrations, even in those who reported they had
symptoms on exposure to dust and those who had physician-diagnosed asthma.
However, there was a positive association of high serum specific IgE levels to
HDM (>3.5 kUA /l) with mattress house dust-mite concentrations and a negative
association of sensitization to cat with increasing house dust-mite
concentrations. In conclusion, there was no evidence that respiratory symptoms in
adults were associated with exposure to house dust-mite allergen in the mattress,
but an association of house mite with strong sensitization was observed.
PMID- 24920490
TI - Age, period, and cohort effects in perinatal epidemiology: implications and
considerations.
PMID- 24920491
TI - Determination of the electronic energy levels of colloidal nanocrystals using
field-effect transistors and Ab-initio calculations.
AB - Colloidal nanocrystals electronic energy levels are determined by strong size
dependent quantum confinement. Understanding the configuration of the energy
levels of nanocrystal superlattices is vital in order to use them in
heterostructures with other materials. A powerful method is reported to determine
the energy levels of PbS nanocrystal assemblies by combining the utilization of
electric-double-layer-gated transistors and advanced ab-initio theory.
PMID- 24920492
TI - Cerebral microbleeds in patients with Parkinson's disease.
AB - Cerebral microbleeds (CMBs) are known to be associated with cognitive impairments
in the elderly and in patients with various diseases; however, the nature of this
association has not yet been evaluated in Parkinson's disease (PD). In the
present study, we analyzed the incidence of CMBs in PD according to cognitive
status, and the impact of CMBs on cognitive performance was also evaluated. The
CMBs in PD with dementia (n = 36), mild cognitive impairment (MCI, n = 46), or
cognitively normal (n = 41) were analyzed using conventional T2*-weighted
gradient-recalled echo images. Additionally, the relationship between the
presence of CMBs and cognitive performance on individual tests of cognitive
subdomains was analyzed using a detailed neuropsychological test. CMBs occurred
more frequently in PD patients with dementia (36.1 %) compared to those with MCI
(15.2 %), those who are cognitively normal (14.6 %), and normal controls (12.2 %,
p = 0.025). However, the significant association of CMBs with PD dementia
disappeared after adjusting white matter hyperintensities (WMHs) as a covariate.
The frequencies of deep, lobar, and infratentorial CMBs did not differ among the
four groups. After adjusting for age, sex, years of education, and WMHs, PD
patients with CMBs had poorer performance in attention domain compared with those
without CMBs (34.9 vs 42.6, p = 0.018). The present data demonstrate that even
though CMBs were inseparably associated with the presence of WMHs, CMBs occur
more commonly in PD patients with dementia than in those without dementia.
Additionally, the burden of CMBs may contribute to further cognitive impairment
in PD.
PMID- 24920493
TI - Relapse of tardive dystonia after globus pallidus deep-brain stimulation
discontinuation.
PMID- 24920494
TI - Dietary educational interventions for management of hyperphosphatemia in
hemodialysis patients: a systematic review and meta-analysis.
AB - Strategies to enhance knowledge of and adherence to dietary guidelines for
management of hyperphosphatemia in hemodialysis patients have been studied
extensively over the past decade. This review is the first to compile all of them
(2003-2013) and conduct a meta-analysis through calculation of effect size, with
the aim of identifying the optimal nutrition education methods for effective
management of hyperphosphatemia in hemodialysis patients. The following
strategies were identified as being effective in changing dietary behavior: 1)
use of self-evaluation and self-regulation techniques within educational tools,
along with easy-to-apply skills; 2) individualized counseling by a renal
dietitian provided just before the hemodialysis session; 3) high-intensity
education; and 4) long duration of interventions. Future studies should focus on
conducting randomized controlled trials with powered samples to help generate
stronger evidence.
PMID- 24920495
TI - Characterization of chemical constituents and rats metabolites of Shuanghua Baihe
tablets by HPLC-Q-TOF-MS/MS.
AB - A high-performance liquid chromatography/quadrupole time-of-flight mass
spectrometry method was established to detect as many constituents in rat
biological fluids as possible after oral administration of Shuanghua Baihe
tablets (SBT). An Agilent Poroshell 120 EC-C18 column was adopted to separate the
samples, and mass spectra were acquired in positive and negative modes. First,
the fingerprints of SBT were established, resulting in 32 components being
detected within 40 min. Among these compounds, 12 were tentatively identified by
comparing the retention times and mass spectral data with those of reference
standards and the reference literature; the other 20 components were tentatively
assigned solely based on the MS data. Furthermore, metabolites in rat plasma and
urine after oral administration of SBT were also analyzed. A total of 19
compounds were identified, including 13 prototypes and six metabolites through
metabolic pathways of demethylation and glucuronide conjugation. Glucuronidated
alkaloids were the main constituents in the plasma, and were then excreted from
urine. This is the first systematic study on the metabolic profiling of SBT.
PMID- 24920496
TI - Nucleation and growth mechanisms of hcp domains in compressed iron.
AB - In our previous work, we have pointed out that the shock-induced phase transition
in iron occurs with the help of interface energy which reduces the potential
barrier between two phases. Here, through studying the nucleation and growth
mechanisms of hcp domains in compressed iron, we find that the flatted-octahedral
structure (FOS) is the primary structural unit of the embryo nucleus and phase
interface of hcp domains, and the interfacial energy is reduced via formation of
FOSs. The phase transition process can be described by the following four stages:
(i) Some atoms deviate from their equilibrium positions with the aid of thermal
fluctuations to form FOSs with two different deformation directions in the local
region; (ii) FOSs with different deformation directions aggregate to form a thin
stratified structure like twin-crystal configuration; (iii) The thin stratified
structure undergoes a relative slip to form the new hcp phase; (iv) The hcp phase
domain grows up through the formation of new FOSs along the phase boundary. In
addition, through comparing the time evolution curves of initial single phase
domain, we find that the growth rate of single phase domain depends on the
loading way and its occurrence time.
PMID- 24920498
TI - How does heart rate recovery after sub-maximal exercise correlate with maximal
exercise testing in children with CF?
AB - BACKGROUND: Disease progression in cystic fibrosis (CF) is marked by worsening
exercise tolerance. Further, maximal exercise capacity (VO2 peak) correlates with
survival in CF, but maximal tests are uncomfortable and resource-intensive. A
three-minute step test (STEP) has been validated in CF. Heart rate (HR) recovery
after exercise correlates with all-cause mortality in adult non-CF populations.
We compared HR recovery after the three-minute step test with VO2 peak in
children with CF. METHODS: Twenty-four children with CF performed STEP and a
maximal exercise test. Correlation between the tests was assessed. RESULTS:
Maximum HR on STEP was lower than on the maximal test (140 vs. 190, p<0.01). Peak
HR during STEP correlated inversely with VO2 peak. In subjects with mild lung
disease, faster HR recovery after STEP correlated with higher VO2 peak.
CONCLUSIONS: The three-minute step test is a feasible submaximal test in this
patient population. HR during and after a three-minute step test may reflect VO2
peak in children with CF.
PMID- 24920497
TI - Meconium ileus in cystic fibrosis is not linked to central repetitive region
length variation in MUC1, MUC2, and MUC5AC.
AB - BACKGROUND: Mucins are excellent candidates for contributing to the presence of
meconium ileus (MI) in cystic fibrosis (CF) due to their extensive genetic
variation and known function in intestinal physiology. The length of variants in
mucin central repetitive regions has not been explored as "risk" factors for MI
in CF. METHODS: We investigated the length polymorphisms in the central
repetitive regions of MUC1, MUC2, and MUC5AC by Southern blot and tested for
association with MI in CF subjects. RESULTS: No significant associations were
found for the allele sizes of any of the genes with respect to the prevalence of
MI (p values=0.33, 0.16, and 0.71 for MUC1, MUC2, and MUC5AC, respectively).
CONCLUSIONS: The genetic length variants in the central repetitive region of
three MUC genes studied are not associated with MI in subjects with CF.
PMID- 24920499
TI - The dineolignan from Saururus chinensis, manassantin B, inhibits tumor-induced
angiogenesis via downregulation of matrix metalloproteinases 9 in human
endothelial cells.
AB - Manassantin B (MB) is a neolignan isolated from Saururus chinensis that exhibits
a range of activities, including anti-inflammatory, antiseptic and antitumor
activity. MB was recently found to affect cell adhesion and expression of several
adhesion molecules. Based on the important roles of these adhesion molecules in
angiogenesis, we evaluated a possible role for MB in tumor-induced angiogenesis
in endothelial cells (ECs). In the present study, we found that MB blocked tumor
induced tube formation of ECs and significantly inhibited the invasion of ECs
through the reconstituted basement membrane. MB suppressed the activity of matrix
metalloproteinases (MMPs) and downregulated the expression of matrix
metalloproteinases 9. Western blotting showed reduction of RUNX2 activation by
MB. RUNX2 transcription factor assay and chromatin immunoprecipitation assay
showed that the interaction between RUNX2 and target sequences in the matrix
metalloproteinases 9 promoters was inhibited by MB. Our findings suggested that
the inhibitory effects of MB on tumor-induced angiogenesis were caused by matrix
metalloproteinases 9 inhibition, which was associated with the downregulation of
RUNX2 transcriptional activity.
PMID- 24920500
TI - Correction: High-order social interactions in groups of mice.
PMID- 24920502
TI - Share of mass transit miles traveled and reduced motor vehicle fatalities in
major cities of the United States.
AB - The USA leads the developed world in motor vehicle fatalities, presenting a
critical public health threat. We examined whether an increasing share of mass
transit use, relative to vehicle miles traveled on public roads, was associated
with reduced motor vehicle fatalities. We used annual city-level data for the USA
from 1982-2010 provided by the Fatality Accident Reporting System, the Texas A&M
Transportation Institute, the Census Bureau, and the National Oceanic and
Atmospheric Administration to estimate a structural equation model of the factors
associated with mass transit miles and motor vehicle fatalities. The final
analytic data included 2,900 observations from 100 cities over 29 years. After
accounting for climate, year, and the economic costs of driving, an increasing
share of mass transit miles traveled per capita was associated with reduced motor
vehicle fatalities. The costs of congestion to the average commuter and gas
prices were positively associated with increasing the share of mass transit miles
traveled. The economic costs of driving increased over time, while both the
fatality rate and the share of mass transit miles traveled decreased over time.
Increasing the share of mass transit miles traveled may be associated with fewer
motor vehicle miles traveled. Increasing mass transit uptake may be an effective
public health intervention to reduce motor vehicle fatalities in cities.
PMID- 24920504
TI - [Dance projects as an integral part of CI rehabilitation and their impact on
mental health: a pilot study].
AB - BACKGROUND: Alongside improvements in hearing and communication skills, the
rehabilitation of children, adolescents and adults with a cochlear implant (CI)
in recent years has increasingly taken into account mental health and quality of
life issues. In the context of the programs offered, this study assesses the
significance of dance for the mental health of adult clients with a CI. METHODS:
Eleven adult CI users participated in a dance project, which took place as a
cooperation between the ENT University Hospital Heidelberg and the Baden State
Theatre Karlsruhe. Participants were questioned at two different time points for
assessment with the mental health scales (SPG). These scales measure seven
different aspects of psychosocial well-being (including autonomy, willpower,
affirmation of life and meaningfulness). RESULTS: Significant positive changes in
the domains of affirmation of life, self-reflection and social integration were
revealed by before and after comparisons; tendencies toward positive change were
observed (p <= 0.10) in the domains of willpower, naturalness and meaningfulness.
No changes were observed in the autonomy domain. CONCLUSION: The results indicate
that the mental health of adult clients with a CI can be strengthened by dance as
a complementary rehabilitation module. Concepts of CI rehabilitation should
increasingly find anchor in the consideration of such arrangements for its range
of offers.
PMID- 24920503
TI - [Personalized molecular medicine: new paradigms in the treatment of cochlear
implant and cancer patients].
AB - OBJECTIVES: To evaluate present options for the indication of cochlear implants
(CI) and new forms of treatment for head and neck cancer, melanomas and basal
cell carcinomas, with emphasis on future perspectives. METHODS: A literature
search was performed in the PubMed database. Search parameters were "personalized
medicine", "individualized medicine" and "molecular medicine". RESULTS:
Personalized medicine based on molecular-genetic evaluation of functional
proteins such as otoferlin, connexin 26 and KCNQ4 or the Usher gene is becoming
increasingly important for the indication of CI in the context of infant
deafness. Determination of HER2/EGFR mutations in the epithelial growth factor
receptor (EGFR) gene may be an important prognostic parameter for therapeutic
decisions in head and neck cancer patients. In basal cell carcinoma therapy,
mutations in the Hedgehog (PCTH1) and Smoothened (SMO) pathways strongly
influence the indication of therapeutic Hedgehog inhibition, e.g. using small
molecules. Analyses of c-Kit receptor, BRAF-600E and NRAS mutations are required
for specific molecular therapy of metastasizing melanomas. The significant
advances in the field of specific molecular therapy are best illustrated by the
availability of the first gene therapeutic procedures for treatment of RPE65
induced infantile retinal degradation. CONCLUSION: The aim of personalized
molecular medicine is to identify patients who will respond particularly
positively or negatively (e.g. in terms of adverse side effects) to a therapy
using the methods of molecular medicine. This should allow a specific therapy to
be successfully applied or preclude its indication in order to avoid serious
adverse side effects. This approach serves to stratify patients for adequate
treatment.
PMID- 24920501
TI - Quantitative proteomics in cardiovascular research: global and targeted
strategies.
AB - Extensive technical advances in the past decade have substantially expanded
quantitative proteomics in cardiovascular research. This has great promise for
elucidating the mechanisms of cardiovascular diseases and the discovery of
cardiac biomarkers used for diagnosis and treatment evaluation. Global and
targeted proteomics are the two major avenues of quantitative proteomics. While
global approaches enable unbiased discovery of altered proteins via relative
quantification at the proteome level, targeted techniques provide higher
sensitivity and accuracy, and are capable of multiplexed absolute quantification
in numerous clinical/biological samples. While promising, technical challenges
need to be overcome to enable full utilization of these techniques in
cardiovascular medicine. Here, we discuss recent advances in quantitative
proteomics and summarize applications in cardiovascular research with an emphasis
on biomarker discovery and elucidating molecular mechanisms of disease. We
propose the integration of global and targeted strategies as a high-throughput
pipeline for cardiovascular proteomics. Targeted approaches enable rapid,
extensive validation of biomarker candidates discovered by global proteomics.
These approaches provide a promising alternative to immunoassays and other low
throughput means currently used for limited validation.
PMID- 24920505
TI - Maternal aspects of fetal cardiac intervention.
AB - OBJECTIVES: Fetal cardiac interventions have the potential to alter natural
disease progression and reduce morbidity and mortality in children. Although
there are already encouraging data on fetal outcome, information on maternal
morbidity and mortality after intervention is scarce. The aim of the present
study was to assess maternal aspects, pregnancy-associated risks and adverse
events in 53 intrauterine cardiac interventions. METHODS: Between October 2000
and December 2012, 53 fetal cardiac interventions were performed in 47 patients
(43 aortic valve dilations in 39 patients, seven pulmonary valve dilations in six
patients and three balloon atrioseptostomies in two patients). Median gestational
age was 26 + 4 (range, 20 + 3 to 33 + 1) weeks. Interventions were performed by
an ultrasound-guided percutaneous approach under general anesthesia. All medical
records and patient charts were analyzed retrospectively. RESULTS: All women were
considered to be healthy in the preoperative assessment; 39 (83%) patients
continued pregnancy until term and eight of 47 patients had an intrauterine fetal
death (IUFD) and were induced. Postoperative nausea was reported in 29.8% of
patients and abdominal pain in 36.2% of patients on the day of surgery. Preterm
contractions were observed in two patients; no preterm prelabor rupture of
membranes occurred. One severe postpartum hemorrhage was observed in a patient
with IUFD and subsequent induction; however, this was unrelated to the balloon
valvuloplasty. No intensive care unit admission and no major anesthesia
associated complications (aspiration, anaphylactic reaction, cardiovascular
collapse, damage to teeth, laryngeal damage, awareness or hypoxic brain damage)
were observed. Maternal mortality was zero. A significant learning curve was
observed in terms of duration of intervention. CONCLUSION: In our experience,
percutaneous needle-guided fetal cardiac intervention seems to be a safe
procedure for the mother. In 53 procedures no major maternal complication
directly related to the intervention was observed.
PMID- 24920506
TI - Ultrafast Cr(VI) removal from polluted water by microwave synthesized iron oxide
submicron wires.
AB - Iron oxide submicron wires are successfully synthesized via a microwave
irradiation method within a short period of 20 s. Upon reduction, this material
shows orders of magnitude faster Cr(VI) removal rate than conventional
adsorbents. A redox reaction based removal mechanism is revealed instead of
adsorption.
PMID- 24920507
TI - Chorioamniontis in preterm delivery is associated with degradation of decorin and
biglycan and depletion of hyaluronan in fetal membranes.
AB - OBJECTIVE: The proteoglycan decorin stabilizes collagen whereas biglycan and
hyaluronan disrupt well-organized collagen. The aim was to determine the
concentrations of these constituents in fetal membranes in relation to
gestational age, preterm labour, PPROM and chorioamnionitis. STUDY DESIGN:
Preterm fetal membranes (24-34 weeks gestation) were obtained from elective
caesarean deliveries (N = 4), from PPROM (N = 14), and from preterm labour (N =
14). Term fetal membranes from elective caesarean deliveries (N = 9) and
spontaneous vaginal deliveries (N = 11) were used for comparison.
Chorioamnionitis was assessed histologically. The proteoglycans were analysed
using alcian blue precipitation, SDS-PAGE and immunostaining. Hyaluronan was
estimated by a radioimmunoassay. RESULTS: Preterm amniotic membranes with
chorioamnionitis displayed a 8-fold decrease in hyaluronan concentration as well
as a pronounced (88%) degradation of decorin and biglycan (p < 0.05). The amnion
from preterm elective caesarean sections had higher decorin (3.2 vs. 1.7 MUg/mg,
p < 0.05) and lower biglycan (0.4 vs. 1.0 MUg/mg, p < 0.05) concentrations as
compared to similar term amnion (p < 0.05), whereas the hyaluronan concentrations
were not associated with gestational age. Also the chorio-decidua from preterm
caesarean sections had higher decorin concentrations (1.8 vs. 1.0 MUg/mg, p <
0.05) whereas the biglycan concentration was unchanged. Labour (term as well as
preterm) was characterized by increased hyaluronan and biglycan concentrations in
the amnion (not statistically significant). CONCLUSION: The biglycan/decorin
balance increases during third trimester of pregnancy and during active labour.
This relation might contribute to mechanical weakening of the membranes.
Chorioamnionitis induces dramatic degradation of both proteoglycans and
hyaluronan, which can explain the decreased biomechanical strength.
PMID- 24920508
TI - Solitary cystic cerebellar metastasis in a patient with invasive transitional
cell carcinoma of the bladder.
AB - Transitional cell carcinoma of the bladder (TCC) represents nearly 90% of
genitourinary malignancies and typically presents with locally invasive symptoms.
Metastasis to the central nervous system (CNS) is uncommon occurring in <5% of
patients. When present, metastatic lesions are typically solid, isolated and
located in the cerebrum. We report a case of a patient with a history of TCC who
presented with lethargy and ataxia and was found to have a solitary cystic
cerebellar lesion consistent with metastatic disease. Unfortunately, the
prognosis for patients with TCC and CNS metastases is poor. Treatment options
include debulking surgery, whole brain radiation, stereotactic radiosurgery and
chemotherapy. Unfortunately, treatment may not appreciably extend survival and
care is often supportive in previously reported cases. Though uncommon, TCC can
metastasise to the CNS and should be considered in the differential diagnosis of
patients, particularly those who were treated with aggressive surgery or
combination chemotherapy previously.
PMID- 24920509
TI - Exceptional localisation of an ectopic parathyroid adenoma at the level of the
hyoid bone.
AB - Primary hyperparathyroidism is characterised by high parathyroid hormone (PTH)
levels and concomitant hypercalcaemia. Ninety per cent is caused by solitary
parathyroid adenomas, 10% by multiple adenomas, hyperplasia and carcinomas. Less
than 0.1% of the inferior parathyroid glands are ectopically located. This case
is of a 54-year-old woman with hypercalcaemia 3.05 mmol/L and high PTH 22 pmol/L.
Scintigraphy and CT scan of the neck in 2009 showed no signs of ectopic tissue.
After treatment with Aredia (pamidronate disodium) infusion, calcium decreased to
2.73 mmol/L. In 2010 the serum calcium levels increased again to 3 mmol/L.
Repeated CT showed no ectopic tissue. However, revision of the CT by the
multidisciplinary team including an experienced endocrine surgeon revealed an
ectopic parathyroid gland at the level of the hyoid bone, which was surgically
removed in 2011. Preoperatively the PTH level was 16.8 mmol/L, postoperatively it
decreased to 2.9 mmol/L, proving a successful excision. In case of suspicion of a
parathyroid adenoma a CT of the neck should be thoroughly assessed by a dedicated
multidisciplinary team, including the head and neck surgeon, as clinical data and
radiological findings must be combined since ectopic parathyroid adenomas are a
rare entity. Thereafter the adenoma can be surgically removed and the patient
cured.
PMID- 24920510
TI - A rare benign odontogenic neoplasm: peripheral odontogenic fibroma.
AB - The peripheral odontogenic fibroma is a relatively rare, benign, unencapsulated
and gingival mass of fibrous connective tissue, considered to be the extraosseous
counterpart of the central odontogenic fibroma. Peripheral odontogenic fibroma
was earlier quite commonly confused with peripheral ossifying fibroma, until WHO
classification (1992), classified it under odontogenic tumours. Peripheral
odontogenic fibroma is seen so infrequently that little is known about this
neoplasm; the largest series of cases have been that of Farman who found five
cases in the literature and added another 10 cases. Through this case report we
try to clarify the features of this condition and attempt to clear its
distinction with other commonly occurring conditions.
PMID- 24920511
TI - Delayed onset panuveitis following intravitreal aflibercept injection.
AB - Aflibercept has been listed on the Australian Pharmaceutical Benefit Scheme for
the past year for neovascular age-related macular degeneration. Since that time
there have not been any reports of delayed onset panuveitis. We present two cases
of anterior and posterior uveitis that have occurred 4 weeks or more after first
intravitreal injection of aflibercept. Both patients had received other vascular
endothelial growth factor inhibitors prior to aflibercept administration without
signs of inflammation and both cases had sterile endophthalmitis. On resolution
of the inflammation the patients were recommenced on ranibizumab without further
incident.
PMID- 24920512
TI - Bilateral optic neuropathy associated with cryptococcal meningitis in an
immunocompetent patient.
AB - Cryptococcal meningitis is associated with significant morbidity and is rare
among immunocompetent patients. Clinical presentation as well as the course of
disease is usually indolent which may delay the diagnosis. We present the case of
a 52-year-old woman admitted with headaches, vomiting and fatigue for 3 weeks.
She was diagnosed with cryptococcal meningitis and treated with antifungal
therapy. She was referred for ophthalmological examination presenting with
decreased vision in the left eye (OS; count fingers), left relative afferent
pupillary defect and bilateral sixth nerve palsy. Funduscopy revealed florid
bilateral papilloedema. Cranial MRI showed indirect signs of intracranial
hypertension as well as multiple parenchymal lesions and optic nerve sheath
enhancement after contrast administration. A ventriculoperitoneal shunt was
placed. In spite of the control of intracranial pressure there was a decrease in
vision in the right eye (OD) and deterioration of visual fields. Intravenous
methylprednisolone was used to reverse optic neuropathy and to prevent OD visual
loss.
PMID- 24920513
TI - Coronary spasm as the cause of myocardial ischaemia in a patient with anomalous
origin of the left anterior descending artery from the proximal right coronary
artery.
AB - A 49-year-old woman developed angina at rest. A CT of the coronary artery
revealed that the left anterior descending artery arose from the right coronary
artery, and traversed between the aorta and pulmonary trunk. An exercise stress
myocardial scintigraphy did not reproduce myocardial ischaemia or anginal
symptoms. A coronary angiography did not show any atherosclerotic changes.
Finally, an ergotamine provocation test for vasospasm revealed diffuse severe
spasm in the right coronary artery and the left anterior descending artery.
Surgical correction of the anomaly was deferred and the patient was managed with
medications to control spasm with good clinical outcome.
PMID- 24920514
TI - Metastatic spinal abscesses from diabetic foot osteomyelitis.
AB - A 66-year-old man with long-standing type 2 diabetes, nephropathy and neuropathy
was admitted acutely with an infected left big toe neuropathic ulcer, with
underlying osteomyelitis. His condition rapidly deteriorated with sepsis and
right lobar pneumonia. Microbiology grew methicillin-sensitive Staphylococcus
aureus. Shortly into his admission, he developed flaccid paraparesis, and an MRI
showed multiple epidural abscesses with likely cord infarction, not amenable to
surgical intervention. His sepsis resolved, but his paraparesis remained severe,
requiring spinal rehabilitation.
PMID- 24920515
TI - Intracerebral bleed, right haemiparesis and seizures: an atypical presentation of
vivax malaria.
AB - Falciparum malaria is notoriously known to produce life-threatening
complications. Despite growing reports of chloroquine resistance and severe
disease, vivax malaria continues to be viewed as a benign disease. We report a
rare case of a 47-year-old healthy man from a malaria-endemic region, presenting
with intracerebral bleed, right haemiparesis, aphasia and seizures following
vivax malaria. This was successfully managed conservatively, without any
neurosurgical intervention, with combination therapy of intravenous artesunate,
oral hydroxychloquine and primaquine. In a country where Plasmodium vivax is
responsible for majority of cases of malaria, it is high time the national
malaria control programmes focus on the elimination of P. vivax in addition to
its more dangerous counterpart, P. falciparum.
PMID- 24920516
TI - Oesophageal inflammatory paediatric chylothorax.
AB - Paediatric chylothoraces are rare, particularly outside the operative setting.
Cases of spontaneous chylothorax are often demanding diagnostically and
frequently associated with patient morbidity. We present a challenging case of
paediatric chylothorax associated with inflammatory oesophageal perforation
likely related to foreign body ingestion.
PMID- 24920517
TI - Peptide nanotubes.
AB - The self-assembly of different classes of peptide, including cyclic peptides,
amyloid peptides and surfactant-like peptides into nanotube structures is
reviewed. The modes of self-assembly are discussed. Additionally, applications in
bionanotechnology and synthetic materials science are summarized.
PMID- 24920519
TI - Alumina-clay nanoscale hybrid filler assembling in cross-linked polyethylene
based nanocomposites: mechanics and thermal properties.
AB - Herein, investigation on XLPE-Al2O3-clay ternary hybrid systems of Al2O3 and clay
in 1 : 1 and 2 : 1 ratios, binary systems of XLPE-clay and XLPE-Al2O3
nanocomposites, with special reference to the hybrid filler effect and the
superior microstructural development in ternary systems is conducted. The ternary
hybrid composite of Al2O3 and clay in a 1 : 1 ratio exhibits the highest tensile
strength (100% increase) and Young's modulus (208% increase), followed by the
Al2O3 : clay = 2 : 1 system. The interaction between alumina and clay altered the
composite morphology, filler dispersion and gave rise to a unique filler
architecture leading to a substantial boost up in mechanics compared to
predictions based on the idealized filler morphology. Experimentally observed
much higher mechanics compared to theoretical predictions confirmed that the
dramatic improvement in mechanics is the outcome of the positive hybrid effect
and a second factor of synergism, i.e. filler-filler networks. Morphological
control of the hybrid filler network is realized by adjusting the ratio between
different fillers. For the Al2O3 : clay = 2 : 1 system, the microstructural
limitation of dispersion due to the steric effect of alumina clusters shifts the
properties to the negative hybrid effect region.
PMID- 24920518
TI - Development of a patient decision aid for people with refractory angina: protocol
for a three-phase pilot study.
AB - BACKGROUND: Refractory angina is a severe chronic disease, defined as angina
which cannot be controlled by usual treatments for heart disease. This disease is
frightening, debilitating, and difficult to manage. Many people suffering
refractory have inadequate pain relief, continually revisit emergency departments
for help, undergo repeated cardiac investigations, and struggle with obtaining
appropriate care. There is no clear framework to help people understand the risks
and benefits of available treatment options in Canada. Some treatments for
refractory angina are invasive, while others are not covered by provincial health
insurance plans. Effective care for refractory angina sufferers in Canada is
critically underdeveloped; it is important that healthcare professionals and
refractory angina sufferers alike understand the treatment options and their
implications. This proposal builds on the recent Canadian practice guidelines for
the management of refractory angina. We propose to develop a decision support
tool in order to help people suffering from refractory angina make well-informed
decisions about their healthcare and reduce their uncertainty about treatment
options. METHODS: This project will be conducted in three phases: a) development
of the support tool with input from clinical experts, the Canadian refractory
angina guidelines, and people living with refractory angina, b) pilot testing of
the usability of the tool, and c) formal preliminary evaluation of the
effectiveness of the support tool to help people make informed decisions about
treatment options. DISCUSSION: A decision support tool for refractory angina is
needed and the available data suggest that by developing such a tool, we may be
able to help refractory angina sufferers better understand their condition and
the effectiveness of available treatment options (in their respective clinical
settings) as well as their implications (e.g. risks vs. benefits). By virtue of
this tool, we may also be able to facilitate identification and inclusion of
patients' values and preferences in the decision making process. This is
particularly important as refractory angina is an intractable condition,
necessitating that the selected course of treatment be lifelong. This study will
yield a much needed patient decision aid for people living with refractory angina
and pilot data to support a subsequent effectiveness study.
PMID- 24920520
TI - Sound analysis of a musical performance to evaluate prosthodontic treatment for a
clarinet player.
AB - Some dental patients use the orofacial region to play wind instruments; however,
musical performance has not been objectively evaluated following prosthodontic
treatment in such patients. The purpose of this report was to describe
prosthodontic treatment for a clarinet player using sound analysis. The patient
required a removable partial denture for his maxillary anterior teeth. Sound
analysis was performed before and after denture adjustment, and the patient
completed a questionnaire regarding his perceptions while playing his clarinet.
After adjustment, the denture showed better performance, and patient satisfaction
increased compared with that before adjustment.
PMID- 24920521
TI - An epichlorohydrin-crosslinked semi-interpenetrating GG-PEO network as a xerogel
matrix for sustained release of sulpiride.
AB - The current study involved the development of a novel sustained release
crosslinked semi-IPN xerogel matrix tablet prepared by chemical crosslinking of
poly(ethylene) oxide (PEO) and gellan gum (GG) employing epichlorohydrin (EPI) as
crosslinker. A Box-Behnken design was employed for the statistical optimization
of the matrix system to ascertain the ideal combination of native polymeric and
crosslinking agents. Characterization studies were performed by employing
standard polymer characterization techniques such as Fourier transform infrared
spectrometry, differential scanning calorimetry, and scanning electron
microscopy. Formulated matrix tablets displayed zero-order release kinetics,
extending over 24 h. The mechanism of drug release was primarily by swelling and
surface erosion. Crosslinked semi-IPN xerogel matrix tablets were compared to non
crosslinked polymer blends; results from the study conducted showed that the
physiochemical properties of the PEO and GG were sufficiently modified to allow
for sustained release of sulpiride with a 100% drug release at 24 h in a
controlled manner as compared to non-crosslinked formulations which displayed
further release beyond the test period. Crosslinked formulations displayed water
uptake between 450 and 500% indicating a controlled rate of swelling and erosion
allowing for sustained release. Surface morphology of the crosslinked system
depicted a porous structure formed by interpenetrating networks of polymers,
allowing for a greater degree of controlled penetration into the system affording
it the ability to sustain drug release. Therefore, conclusively, based on the
study performed, crosslinked PEO-GG allows for the sustained release of sulpiride
from a hydrophilic semi-IPN xerogel matrix system.
PMID- 24920522
TI - Preclinical formulations: insight, strategies, and practical considerations.
AB - A lot of resources and efforts have been directed to synthesizing potentially
useful new chemical entities (NCEs) by pharmaceutical scientists globally.
Detailed physicochemical characterization of NCEs in an industrial setup begins
almost simultaneously with preclinical testing. Most NCEs possess poor water
solubility posing bioavailability issues during initial preclinical screening,
sometimes resulting in dropping out of an NCE with promising therapeutic
activity. Selection of right formulation approach for an NCE, based on its
physicochemical properties, can aid in improving its solubility-related
absorption and bioavailability issues. The review focuses on preclinical
formulations stressing upon different preclinical formulation strategies and
deciphers the understanding of formulation approaches that could be employed. It
also provides detailed information related to a vast pool of excipients available
today, which is of immense help in designing preclinical formulations. Few
examples mentioned, throw light on key aspects of preclinical formulation
development. The review will serve as an important guide for selecting the right
strategy to improve bioavailability of NCEs for academic as well as industrial
formulation scientists.
PMID- 24920523
TI - Effect of ascorbic acid on the degradation of cyanocobalamin and hydroxocobalamin
in aqueous solution: a kinetic study.
AB - The degradation kinetics of 5 * 10(-5) M cyanocobalamin (B12) and
hydroxocobalamin (B12b) in the presence of ascorbic acid (AH2) was studied in the
pH range of 1.0-8.0. B12 is degraded to B12b which undergoes oxidation to corrin
ring cleavage products. B12b alone is directly oxidized to the ring cleavage
products. B12 and B12b in degraded solutions were simultaneously assayed by a two
component spectrometric method at 525 and 550 nm without interference from AH2.
Both degrade by first-order kinetics and the values of the rate constants at pH
1.0-8.0 range from 0.08 to 1.05 * 10(-5) s(-1) and 0.22-7.62 * 10(-5) s(-1),
respectively, in the presence of 0.25 * 10(-3) M AH2. The t 1/2 values of B12 and
B12b range from 13.7 to 137.5 h and 2.5-87.5 h, respectively. The second-order
rate constants for the interaction of AH2 with B12 and B12b are 0.05-0.28 * 10(
2) and 1.10-30.08 * 10(-2) M(-1) s(-1), respectively, indicating a greater effect
of AH2 on B12b compared to that of B12. The k obs-pH profiles for both B12 and
B12b show the highest rates of degradation around pH 5. The degradation of B12
and B12b by AH2 is affected by the catalytic effect of phosphate ions on the
oxidation of AH2 in the pH range 6.0-8.0.
PMID- 24920524
TI - Combined use of crystalline sodium salt and polymeric precipitation inhibitors to
improve pharmacokinetic profile of ibuprofen through supersaturation.
AB - To maximize the pharmacological effect of a pain reliever such as ibuprofen,
early onset of action is critical. Unfortunately, the acidic nature of ibuprofen
minimizes the amount of drug that can be solubilized under gastric conditions and
would be available for immediate absorption upon entry into the intestine.
Although the sodium salt of ibuprofen has higher solubility, rapid conversion
from the salt to the poorly soluble free acid phase occurs under gastric
conditions. Therefore, the combination of the highly soluble sodium salt form of
ibuprofen with polymers was evaluated as an approach to prolong supersaturation
of ibuprofen during the disproportionation of the salt. Binary combinations of
ibuprofen sodium with polymers resulted in the identification of several
formulations that demonstrated high degrees and extended durations of
supersaturation during in vitro dissolution experiments. These formulations
included HPMC, polyvinyl pyrrolidone-vinyl acetate copolymer (PVP-VA64),
methylcellulose (MC), and hydroxypropyl cellulose (HPC). The in vitro
supersaturation observed with these ibuprofen-polymer formulations translated to
an increase in Cmax and an earlier Tmax for the PVP-VA64, MC, and HPC
formulations relative to ibuprofen only controls when administered orally to rats
under fasted conditions. Based on these observations, combining ibuprofen sodium
with polymers such as PVP-VA64, MC, or HPC is a viable formulation approach to
prolong supersaturation in the stomach and enable an optimized pharmacokinetic
profile in vivo where rapid onset of action is desired.
PMID- 24920525
TI - Skin findings in Williams syndrome.
AB - Previous examination in a small number of individuals with Williams syndrome
(also referred to as Williams-Beuren syndrome) has shown subtly softer skin and
reduced deposition of elastin, an elastic matrix protein important in tissue
recoil. No quantitative information about skin elasticity in individuals with
Williams syndrome is available; nor has there been a complete report of
dermatologic findings in this population. To fill this knowledge gap, 94 patients
with Williams syndrome aged 7-50 years were recruited as part of the skin and
vascular elasticity (WS-SAVE) study. They underwent either a clinical
dermatologic assessment by trained dermatologists (2010 WSA family meeting) or
measurement of biomechanical properties of the skin with the DermaLabTM suction
cup (2012 WSA family meeting). Clinical assessment confirmed that soft skin is
common in this population (83%), as is premature graying of the hair (80% of
those 20 years or older), while wrinkles (92%), and abnormal scarring (33%) were
detected in larger than expected proportions. Biomechanical studies detected
statistically significant differences in dP (the pressure required to lift the
skin), dT (the time required to raise the skin through a prescribed gradient), VE
(viscoelasticity), and E (Young's modulus) relative to matched controls. The RT
(retraction time) also trended longer but was not significant. The biomechanical
differences noted in these patients did not correlate with the presence of
vascular defects also attributable to elastin insufficiency (vascular stiffness,
hypertension, and arterial stenosis) suggesting the presence of tissue specific
modifiers that modulate the impact of elastin insufficiency in each tissue.
PMID- 24920526
TI - What you know can influence what you are going to know (especially for older
adults).
AB - Stimuli related to an individual's knowledge/experience are often more memorable
than abstract stimuli, particularly for older adults. This has been found when
material that is congruent with knowledge is contrasted with material that is
incongruent with knowledge, but there is little research on a possible graded
effect of congruency. The present study manipulated the degree of congruency of
study material with participants' knowledge. Young and older participants
associated two famous names to nonfamous faces, where the similarity between the
nonfamous faces and the real famous individuals varied. These associations were
incrementally easier to remember as the name-face combinations became more
congruent with prior knowledge, demonstrating a graded congruency effect, as
opposed to an effect based simply on the presence or absence of associations to
prior knowledge. Older adults tended to show greater susceptibility to the effect
than young adults, with a significant age difference for extreme stimuli, in line
with previous literature showing that schematic support in memory tasks
particularly benefits older adults.
PMID- 24920527
TI - Procedures for assessing psychological predictors of injuries in circus artists:
a pilot prospective study.
AB - BACKGROUND: Research on psychological risk factors for injury has focused on
stable traits. Our objective was to test the feasibility of a prospective
longitudinal study designed to examine labile psychological states as risk
factors of injury. METHODS: We measured psychological traits at baseline (mood,
ways of coping and anxiety), and psychological states every day (1-item questions
on anxiety, sleep, fatigue, soreness, self-confidence) before performances in
Cirque du Soleil artists of the show "O". Additional questions were added once
per week to better assess anxiety (20-item) and mood. Questionnaires were
provided in English, French, Russian and Japanese. Injury and exposure data were
extracted from electronic records that are kept as part of routine business
practices. RESULTS: The 43.9% (36/82) recruitment rate was more than expected.
Most artists completed the baseline questionnaires in 15 min, a weekly
questionnaire in <2 min and a daily questionnaire in <1 min. We improved the
formatting of some questions during the study, and adapted the wording of other
questions to improve clarity. There were no dropouts during the entire study,
suggesting the questionnaires were appropriate in content and length. Results for
sample size calculations depend on the number of artists followed and the minimal
important difference in injury rates, but in general, preclude a purely
prospective study with daily data collection because of the long follow-up
required. However, a prospective nested case-crossover design with data
collection bi-weekly and at the time of injury appears feasible. CONCLUSION: A
prospective study collecting psychological state data from subjects who train and
work regularly together is feasible, but sample size calculations suggest that
the optimal study design would use prospective nested case-crossover methodology.
PMID- 24920528
TI - Markers for visceral hypersensitivity in patients with irritable bowel syndrome.
AB - BACKGROUND: Irritable bowel syndrome (IBS) is a heterogenous disorder with
visceral hypersensitivity as important hallmark. It is not known whether IBS
patients with visceral hypersensitivity have different epidemiological and
clinical characteristics compared with IBS patients without visceral
hypersensitivity. Aim of our study was to compare in detail a large group of
hyper- vs normosensitive IBS patients with respect to epidemiological and
clinical characteristics. METHODS: IBS patients (Rome III criteria) have been
recruited for a large-scale cohort study. All patients from this cohort who
underwent a rectal barostat procedure were included and allocated based on those
with and without visceral hypersensitivity. Patient demographics, and symptoms
were collected using questionnaires (GSRS, HADS, SF-36) and a 14-day symptom
diary for IBS-related symptoms. A multivariate logistic regression model was used
to identify risk markers for having visceral hypersensitivity. KEY RESULTS:
Ninety-five normosensitive and 93 hypersensitive IBS patients participated in
this study. Hypersensitive patients had significantly higher scores for GSRS
abdominal pain (p < 0.05), indigestion, reflux and constipation syndrome (all p <
0.01), and IBS symptom intensity, discomfort (both p < 0.05) and mean symptom
composite score (p < 0.01). Age, female sex, and the use of SSRI medication were
significantly different between the normo- and the hypersensitive IBS patients.
However, after adjustment for other risk markers, only increasing age was found
to be significantly associated with lower odds for having hypersensitivity (OR
0.97 [95% CI: 0.94; 0.99]). CONCLUSIONS & INFERENCES: Apart from more severe
symptomatology, hypersensitive IBS patients are characterized by significantly
younger age compared with normosensitive IBS patients. The study has been
registered in the US National Library of Medicine (http://www.clinicaltrials.gov,
NCT00702026).
PMID- 24920529
TI - Revealing microbial functional activities in the Red Sea sponge Stylissa carteri
by metatranscriptomics.
AB - Sponges are important components of marine benthic environments and are
associated with microbial symbionts that carry out ecologically relevant
functions. Stylissa carteri is an abundant, low-microbial abundance species in
the Red Sea. We aimed to achieve the functional and taxonomic characterization of
the most actively expressed prokaryotic genes in S. carteri. Prokaryotic mRNA was
enriched from sponge total RNA, sequenced using Illumina HiSeq technology and
annotated using the metagenomics Rapid Annotation using Subsystem Technology (MG
RAST) pipeline. We detected high expression of archaeal ammonia oxidation and
photosynthetic carbon fixation by members of the genus Synechococcus. Functions
related to stress response and membrane transporters were among the most highly
expressed by S. carteri symbionts. Unexpectedly, gene functions related to
methylotrophy were highly expressed by gammaproteobacterial symbionts. The
presence of seawater-derived microbes is indicated by the phylogenetic proximity
of organic carbon transporters to orthologues of members from the SAR11 clade. In
summary, we revealed the most expressed functions of the S. carteri-associated
microbial community and linked them to the dominant taxonomic members of the
microbiome. This work demonstrates the applicability of metatranscriptomics to
explore poorly characterized symbiotic consortia and expands our knowledge of the
ecologically relevant functions carried out by coral reef sponge symbionts.
PMID- 24920530
TI - Identification of drug-induced myocardial infarction-related protein targets
through the prediction of drug-target interactions and analysis of biological
processes.
AB - Drug-induced myocardial infarction (DIMI) is one of the most serious adverse drug
effects that often lead to death. Therefore, the identification of DIMI at the
early stages of drug development is essential. For this purpose, the in vitro
testing and in silico prediction of interactions between drug-like substances and
various off-target proteins associated with serious adverse drug reactions are
performed. However, only a few DIMI-related protein targets are currently known.
We developed a novel in silico approach for the identification of DIMI-related
protein targets. This approach is based on the computational prediction of drug
target interaction profiles based on information from approximately 1738 human
targets and 828 drugs, including 254 drugs that cause myocardial infarction.
Through a statistical analysis, we revealed the 155 most significant associations
between protein targets and DIMI. Because not all of the identified associations
may lead to DIMI, an analysis of the biological functions of these proteins was
performed. The Random Walk with Restart algorithm based on a functional linkage
gene network was used to prioritize the revealed DIMI-related protein targets
according to the functional similarity between their genes and known genes
associated with myocardial infarction. The biological processes associated with
the 155 selected protein targets were determined by gene ontology and pathway
enrichment analysis. This analysis indicated that most of the processes leading
to DIMI are associated with atherosclerosis. The revealed proteins were manually
annotated with biological processes using functional and disease-related data
extracted from the literature. Finally, the 155 protein targets were classified
into three categories of confidence: (1) high (the protein targets are known to
be involved in DIMI via atherosclerotic progression; 50 targets), (2) medium (the
proteins are known to participate in biological processes related with DIMI; 65
targets), and (3) low (the proteins are indirectly involved in DIMI pathogenesis;
40 proteins).
PMID- 24920531
TI - Refining the Neuberger model: Uracil processing by activated B cells.
AB - During the immune response, B cells undergo a programed mutagenic cascade to
promote increased affinity and expanded antibody function. The two processes,
somatic hypermutation (SHM) and class switch recombination (CSR), are initiated
by the protein activation-induced deaminase (AID), which converts cytosine to
uracil in the immunoglobulin loci. The presence of uracil in DNA promotes DNA
mutagenesis though a subset of DNA repair proteins. Two distinct mechanisms have
been proposed to control uracil processing. The first is through base removal by
uracil DNA glycosylase (UNG), and the second is through detection by the mismatch
repair (MMR) complex MSH2/6. In a study published in this issue of European
Journal of Immunology, Dingler et al. [Eur. J. Immunol. 2014. 44: 1925-1935]
examine uracil processing in B cells in the absence of UNG and SMUG1
glycosylases. Similar to UNG, SMUG1 is an uracil glycosylase which can remove the
uracil base. While Smug1(-/-) mice show no clear deficiency in SHM or CSR, Ung(-/
) Smug1(-/-) mice display exacerbated phenotypes, suggesting a back-up role for
SMUG1 in antibody diversity. This new information expands the model of uracil
processing in B cells and raises several interesting questions about the dynamic
relationship between base excision repair and MMR.
PMID- 24920532
TI - Different imaging modalities in quantification of epicardial adipose tissue
thickness.
PMID- 24920533
TI - Genetic dissection of Iddm26 in the spontaneously diabetic BBDP rat.
AB - The 40 Mb T1D susceptibility locus Iddm26 was mapped to chromosome 2 through
linkage analysis of a conditioned cross-intercross between the diabetes-prone
BBDP and the diabetes-resistant ACI.BBDP-Iddm1,Iddm2 (ACI.1u.Lyp). It is flanked
by Iddm32 and Iddm33, which control the kinetics of disease progression. To fine
map Iddm26 and characterize immune phenotypes controlled by this locus, several
congenic sublines were generated carrying smaller, overlapping intervals spanning
Iddm26 and fragments of Iddm32 and 33. Analysis of disease susceptibility, age of
disease onset, and immune phenotypes in these sublines identified subloci
regulating these different parameters. Two ACI.1u.Lyp-derived subloci, Iddm26.1
and Iddm26.2, imparted significant protection from diabetes, decreasing the
cumulative incidence by as much as 57% and 28%, respectively. Iddm26.2, which
overlaps with the human PTPN22 locus, only affected disease susceptibility,
whereas Iddm26.1 also significantly affected disease kinetics, delaying T1D onset
by more than 10 days compared with the parental BBDP strain. These Iddm26 subloci
also regulated various immune phenotypes, including the proportion of splenic
macrophages by Iddm26.1, and the proportion of activated T-cells in secondary
lymphoid organs by Iddm26.2. The analysis of Iddm26 congenic animals in two
different SPF facilities demonstrated that the influence of this locus on T1D is
environment-dependent.
PMID- 24920535
TI - Structural and mechanistic insights into an Fe3+-triggered quinazoline based
molecular rotor.
AB - Highly fluorescent, multifunctional and thermoreversible conformational switching
(1) has been designed and developed by embedding two imidazo[1,2-c]quinazoline
(IQ) units in the pyridyl scaffold. The origin of the conformational and optical
switching of 1 to 1' has been established by various studies and by developing a
model compound.
PMID- 24920534
TI - Analysis and expansion of the eosinophilic esophagitis transcriptome by RNA
sequencing.
AB - Eosinophilic esophagitis (EoE) is an allergic inflammatory disorder of the
esophagus that is compounded by genetic predisposition and hypersensitivity to
environmental antigens. Using high-density oligonucleotide expression chips, a
disease-specific esophageal transcript signature was identified and was shown to
be largely reversible with therapy. In an effort to expand the molecular
signature of EoE, we performed RNA sequencing on esophageal biopsies from healthy
controls and patients with active EoE and identified a total of 1607
significantly dysregulated transcripts (1096 upregulated, 511 downregulated).
When clustered by raw expression levels, an abundance of immune cell-specific
transcripts are highly induced in EoE but expressed at low (or undetectable)
levels in healthy controls. Moreover, 66% of the gene signature identified by RNA
sequencing was previously unrecognized in the EoE transcript signature by
microarray-based expression profiling and included several long non-coding RNAs
(lncRNA), an emerging class of transcriptional regulators. The lncRNA BRAF
activated non-protein coding RNA (BANCR) was upregulated in EoE and induced in
interleukin-13 (IL-13)-treated primary esophageal epithelial cells. Repression of
BANCR significantly altered the expression of IL-13-induced proinflammatory
genes. Together, these data comprise new potential biomarkers of EoE and
demonstrate a novel role for lncRNAs in EoE and IL-13-associated responses.
PMID- 24920536
TI - Chromium resistance of dandelion (Taraxacum platypecidum Diels.) and bermudagrass
(Cynodon dactylon [Linn.] Pers.) is enhanced by arbuscular mycorrhiza in Cr(VI)
contaminated soils.
AB - In a greenhouse pot experiment, dandelion (Taraxacum platypecidum Diels.) and
bermudagrass (Cynodon dactylon[Linn.] Pers.), inoculated with and without
arbuscular mycorrhizal fungus (AMF) Rhizophagus irregularis, were grown in
chromium (Cr)-amended soils (0 mg/kg, 5 mg/kg, 10 mg/kg, and 20 mg/kg Cr[VI]) to
test whether arbuscular mycorrhizal (AM) symbiosis can improve Cr tolerance in
different plant species. The experimental results indicated that the dry weights
of both plant species were dramatically increased by AM symbiosis. Mycorrhizal
colonization increased plant P concentrations and decreased Cr concentrations and
Cr translocation from roots to shoots for dandelion; in contrast, mycorrhizal
colonization decreased plant Cr concentrations without improvement of P nutrition
in bermudagrass. Chromium speciation analysis revealed that AM symbiosis
potentially altered Cr species and bioavailability in the rhizosphere. The study
confirmed the protective effects of AMF on host plants under Cr contaminations.
PMID- 24920537
TI - Bay-type H...H "bonding" in cis-2-butene and related species: QTAIM versus NBO
description.
AB - We use comparative natural bond orbital (NBO) and quantum theory of atoms in
molecules (QTAIM) methods to analyze the proximal bay-type H...H interactions in
cis-2-butene and related species, which lead to controversial interpretation as
attractive "H?H bonding" in the QTAIM framework. We address the challenging
questions concerning well established structural, conformational, and vibrational
properties of such species that appear to be sharply at odds with the QTAIM
interpretation. In contrast to the purported "H?H bonding" of QTAIM theory, NBO
based evaluation of steric (donor-donor) and hyperconjugative (donor-acceptor)
interactions unambiguously portrays such H...H contacts as dominated by steric
clashes that are only partially softened by weak secondary hyperconjugative
interactions, contributing negligibly (bHH < 0.01) to H...H bond order.
Additional details of NBO-based versus QTAIM-based description are provided by
natural bond critical point analysis of topological bond critical point
properties, which further emphasizes the contrast between the problematic bay
type H...H contacts and remaining noncontroversial (consensus) chemical bonds.
NBO analysis is thereby shown to be fully consistent with the traditional
physical organic concept of repulsive bay-type H...H contacts, including the
corollary array of structural, conformational, and vibrational properties. (c)
2014 Wiley Periodicals, Inc.
PMID- 24920538
TI - Willingness to join community-based health insurance among rural households of
Debub Bench District, Bench Maji Zone, Southwest Ethiopia.
AB - BACKGROUND: Even though Ethiopia bears high burden of diseases, utilization of
modern health care services is limited. One of the reasons for low utilization of
healthcare services is the user-fee charges. Moving away from out-of-pocket
charges for healthcare at the time of use is an important step towards averting
the financial hardship associated with paying for health service. Prepaid plans
for health are not accustomed in Ethiopia. Therefore, social and community based
health insurance schemes were introduced since 2010.In this study, willingness of
rural households in Debub Bench District, to join community based health
insurance was assessed. METHOD: Cross-sectional community based study was
conducted in Debub Bench District in March 2013 using a pretested structured
questionnaire. Two stage sampling technique was used to select 845 households as
study units which were allocated to the kebeles proportionately. The sampled
households were selected using simple random sampling technique. Data were
entered into EPIDATA 3.0 and analyzed with SPSS version 20. RESULT: Among 845
sampled households, 808 were interviewed (95.6% response rate). About 78% of the
respondents were willing to join the scheme. Most of demographic, socioeconomic
variables and social capital were found to be significantly associated with
willingness to join community based health insurance. CONCLUSION: If the scheme
is initiated in the district, majority of the households will enroll in the
community based health insurance. Farmers, the married households, Bench ethnic
groups and illiterate, the dominant segments of the population, are more likely
to enroll the schemes. Therefore initiation of the scheme is beneficial in the
district.
PMID- 24920539
TI - Our child is not just a gestational age. A first-hand account of what parents
want and need to know before premature birth.
PMID- 24920540
TI - Catalytic self-propulsion of supramolecular capsules powered by polyoxometalate
cargos.
AB - Multicompartment, spherical microcontainers were engineered through a layer-by
layer polyelectrolyte deposition around a fluorescent core while integrating a
ruthenium polyoxometalate (Ru4POM), as molecular motor, vis-a-vis its oxygenic,
propeller effect, fuelled upon H2O2 decomposition. The resulting chemomechanical
system, with average speeds of up to 25 MUm s(-1), is amenable for integration
into a microfluidic set-up for mixing and displacement of liquids, whereby the
propulsion force and the resulting velocity regime can be modulated upon H2O2
controlled addition.
PMID- 24920541
TI - Recent advances in the genetics and management of harlequin ichthyosis.
AB - Harlequin ichthyosis (HI) is the most severe and devastating form of the
autosomal recessive congenital ichthyoses (ARCIs). Mutations in the ABCA12 gene
result in disruption of intercellular lipid deposition in the stratum corneum and
a major skin barrier defect. Patients present at birth, often premature, with
cutaneous thick, yellow, hyperkeratotic plates with deep erythematous fissures,
causing a typical facial appearance. Harlequin ichthyosis has often been
considered to be fatal, and management tends to be palliative, but follow-up of
45 affected infants has shown that with good neonatal care and early introduction
of oral retinoids, survival rates are improving. Because ABCA12 mutations have
been identified, known carriers are able to undergo preventative preimplantation
and prenatal genetic testing. Experimental studies have shown recovery of lipid
secretion in lamellar granules using corrective gene therapy. Further research is
needed to develop alternative therapies to retinoids in HI.
PMID- 24920542
TI - Antibodies against mucin-based glycopeptides affect Trypanosoma cruzi cell
invasion and tumor cell viability.
AB - This study describes the synthesis of glycopeptides NHAc[betaGal]-(Thr)2
[alphaGalNAc]-(Thr)2 -[alphaGlcNAc]-(Thr)2 Gly-OVA (1-OVA) and NHAc[betaGal
alphaGalNAc]-(Thr)3 -[alphaLacNAc]-(Thr)3 -Gly-OVA (2-OVA) as mimetics of both T.
cruzi and tumor mucin glycoproteins. These glycopeptides were obtained by solid
phase synthesis, which involved the prior preparation of the protected glycosyl
amino acids alphaGlcNAc-ThrOH (3), alphaGalNAc-ThrOH (4), betaGal-ThrOH (5),
alphaLacNAc-ThrOH (6), and betaGal-alphaGalNAc-ThrOH (7) through glycosylation
reactions. Immunizations of mice with glycopeptides 1-OVA and 2-OVA induced high
antibody titers (1:16 000), as verified by ELISA tests, whereas flow cytometry
assays showed the capacity of the obtained anti-glycopeptides 1-OVA and 2-OVA
antibodies to recognize both T. cruzi and MCF-7 tumor cells. In addition,
antisera induced by glycopeptides 1-OVA and 2-OVA were also able to inhibit T.
cruzi fibroblast cell invasion (70 %) and to induce antibody-mediated cellular
cytotoxicity (ADCC) against MCF-7 cells, with 50 % reduction of cell viability.
PMID- 24920543
TI - Signals and noise in the octavolateralis systems: what is the impact of human
activities on fish sensory function?
AB - The octavolateralis systems of fishes include the vestibular, auditory, lateral
line and electrosensory systems. They are united by common developmental and
neuro-computational features, including hair cell sensors and computations based
on cross-neuron analyses of differential hair cell stimulation patterns. These
systems also all use both spectral and temporal filters to separate signals from
each other and from noise, and the distributed senses (lateral line and
electroreception) add spatial filters as well. Like all sensory systems, these
sensors must provide the animal with guidance for adaptive behavior within a
sensory scene composed of multiple stimuli and varying levels of ambient noise,
including that created by human activities. In the extreme, anthropogenic
activities impact the octavolateralis systems by destroying or degrading the
habitats that provide ecological resources and sensory inputs. At slightly lesser
levels of effect, anthropogenic pollutants can be damaging to fish tissues, with
sensory organs often the most vulnerable. The exposed sensory cells of the
lateral line and electrosensory systems are especially sensitive to aquatic
pollution. At still lesser levels of impact, anthropogenic activities can act as
both acute and chronic stressors, activating hormonal changes that may affect
behavioral and sensory function. Finally, human activities are now a nearly
ubiquitous presence in aquatic habitats, often with no obvious effects on the
animals exposed to them. Ship noise, indigenous and industrial fishing
techniques, and all the ancillary noises of human civilization form a major part
of the soundscape of fishes. How fish use these new sources of information about
their habitat is a new and burgeoning field of study.
PMID- 24920545
TI - Deadly outbreak of iron storage disease (ISD) in Italian birds of the family
Turdidae.
AB - A widespread deadly outbreak occurred in captive birds belonging to the family
Turdidae in Italy. The present study was performed on 46 dead birds coming from 3
small decoy-bird breeders in central Italy. Only Turdus pilaris, Turdus iliacus,
Turdus philomelos and Turdus merula were affected. No other species of bird held
by these breeders died. A change of diet before the hunting season was reported
from all breeders. Full necropsy of the animals and histological investigations
of representative tissue samples were performed. Microscopical examination showed
marked iron deposits in liver samples. Bacteriological investigations and
molecular analysis to exclude bacterial and viral diseases were carried out.
Contamination of food pellet samples by mycotoxins and analysis to detect heavy
metal contaminants in food pellet samples were considered. An interesting result
was the high iron content found in food pellets. It was higher than that
considered suitable for birds, especially for species susceptible to development
iron storage disease (ISD). Taken together, the results suggested an outbreak of
ISD caused by the high iron content of food given to the birds before the hunting
season. The high mortality recorded only in species belonging to the family
Turdidae suggests a genetic predisposition in the affected birds.
PMID- 24920546
TI - Full genome sequences of zebra-borne equine herpesvirus type 1 isolated from
zebra, onager and Thomson's gazelle.
AB - A strain of equine herpesvirus type 1 (EHV-1) was isolated from zebra. This
strain, called "zebra-borne EHV-1", was also isolated from an onager and a
gazelle in zoological gardens in U.S.A. The full genome sequences of the 3
strains were determined. They shared 99% identities with each other, while they
shared 98% and 95% identities with the horse derived EHV-1 and equine herpesvirus
type 9, respectively. Sequence data indicated that the EHV-1 isolated from a
polar bear in Germany is one of the zebra-borne EHV-1 and not a recombinant
virus. These results indicated that zebra-borne EHV-1 is a subtype of EHV-1.
PMID- 24920547
TI - Molecular survey of Babesia gibsoni using Haemaphysalis longicornis collected
from dogs and cats in Japan.
AB - A nationwide survey of Babesia gibsoni using Haemaphysalis longicornis collected
from dogs and cats in Japan was conducted using molecular methods. A total of
1,341 H. longicornis, including 305 females, 14 males, 332 nymphs and 690 larvae
(153 pools) from 44 prefectures, were examined by B. gibsoni-targeted PCR.
Partial sequence analysis revealed that 12 of 13 positive samples sequenced,
including samples from Tottori, Hiroshima, Yamaguchi, Tokushima, Ehime and Oita
prefectures (all in western Japan), were identical to B. gibsoni, and 1 sample
from Kyoto Prefecture was most closely related to a Babesia species recently
detected from feral raccoons in Hokkaido. H. longicornis is a candidate for
transmission vector tick of the new Babesia species.
PMID- 24920548
TI - Effects of low-fat high-fibre diet and mitratapide on body weight reduction,
blood pressure and metabolic parameters in obese dogs.
AB - The aim of the present study was to compare the impact on blood pressure and
different metabolic parameters of a weight-loss program on obese dogs fed on a
low-fat high-fibre diet and treated with and without mitratapide. The study
sample consisted of 36 obese dogs, randomly assigned to a control group (n=17),
which were fed on a low-fat high-fibre diet, and an intervention group (n=19),
fed on the same diet and treated with mitratapide. Variables measured included
body condition score, body weight, heart rate, systolic and diastolic blood
pressures; total cholesterol, triglycerides and glucose levels; alanine
aminotransferase and alkaline phosphatase activity, measured both at baseline
(day 0) and at the end of the weight loss program (day 85). All the studied
parameters had decreased in both groups at the end of the study; these being
diastolic blood pressure, total cholesterol and alanine aminotransferase,
significantly lower in dogs treated with mitratapide. The use of mitrapide in
addition to low-fat high-fibre diet does not seem to offer any further useful
effect in the loss of weight during the treatment of canine obesity. On the other
hand, mitratapide seems to present certain beneficial effects on pathologies
associated with obesity, these being mainly related to blood pressure, lipids and
hepatic parameters.
PMID- 24920549
TI - Knockdown of hTERT by siRNA inhibits cervical cancer cell growth in vitro and in
vivo.
AB - Human telomerase reverse transcriptase (hTERT) is the catalytic component of
telomerase that facilitates tumor cell invasion and proliferation. It has been
reported that telomerase and hTERT are significantly upregulated in majority of
cancers including cervical cancer, thus, downregulation of hTERT is a promising
target in malignant tumor treatment. We established a short interfering RNA
(siRNA) targeting hTERT, and transfected it into HeLa cells (a cervical cancer
cell line) to investi-gate the effect of cell proliferation, apoptosis, migration
and invasion in cervical cancer cells. The results showed that siRNA targeting
hTERT could effectively knock down hTERT expression, remarkably suppress
telomerase activity, cell proliferation, migration and invasion, and induced cell
apoptosis of cervical cancers cells in vitro. In addition, we evaluated whether
siRNA targeting hTERT affects tumor growth in nude mice, and found that it
dramatically inhibited tumorigenesis and growth of mice injected with siRNA
targeting hTERT. Furthermore, we also found that knockdown of hTERT was able to
significantly suppress constitutive phosphorylation of Akt, PI3K, which might
imply that reduction of hTERT inhibited tumor growth via the PI3K/Akt signaling
pathway to some extent. These results suggest that the suppression of hTERT
expression by siRNA inhibits cervical cancer cell growth in vitro and in vivo,
and may provide a novel target for anticancer gene therapy.
PMID- 24920550
TI - The separate and combined effects of hypoxia and sustained recumbency/inactivity
on sleep architecture.
AB - PURPOSE: The objective was to determine the separate and combined effects of
hypoxia and inactivity/unloading on sleep architecture during a 10-day period of
confinement. METHODS: Ten subjects participated in three 10-day trials in random
order: hypoxic ambulatory (HAMB), hypoxic bedrest (HBR), and normoxic bedrest
(NBR). During the HAMB and HBR trials, subjects were confined to a hypoxic
facility. The hypoxia profile was: simulated altitude of 2,990 m on day 1, 3,380
m on day 2, and 3,881 m on day 3. In the NBR and HBR trials, subjects maintained
a horizontal position throughout the confinement period. During each trial, sleep
polysomnography was conducted one night prior to (baseline; altitude of facility
is 940 m) and on the first (NT1, altitude 2,990 m) and tenth (NT10, altitude
3,881 m) night of the 10-day intervention. RESULTS: Average time in sleep stage 1
decreased from NT1 to NT10 irrespective of trial. Overall incidence and time
spent in periodic breathing increased from NT1 to NT10 in both HAMB and HBR.
During NT1, both HAMB and HBR reduced slow-wave sleep and increased light sleep,
whereas NBR and HBR increased the number of awakenings/night. There were fewer
awakenings during HAMB than NBR. CONCLUSIONS: Acute exposure to both hypoxia and
bedrest (HBR) results in greater sleep fragmentation due to more awakenings
attributed to bedrest, and lighter sleep as a result of reduced slow wave sleep
caused by the hypoxic environment.
PMID- 24920551
TI - A new interpolation-free procedure for breath-by-breath analysis of oxygen uptake
in exercise transients.
AB - INTRODUCTION: Interpolation methods circumvent poor time resolution of breath-by
breath oxygen uptake (VO2) kinetics at exercise onset. We report an interpolation
free approach to the improvement of poor time resolution in the analysis of VO2
kinetics. METHODS: Noiseless and noisy (10% Gaussian noise) synthetic data were
generated by Monte Carlo method from pre-selected parameters (Exact Parameters).
Each data set comprised 10 (VO2)-on transitions with noisy breath distribution
within a physiological range. Transitions were superposed (no interpolation,
None), then analysed by bi-exponential model. Fitted model parameters were
compared with those from interpolation methods (average transition after Linear
or Step 1-s interpolations), applied on the same data. Experimental data during
cycling were also analysed. The 95% confidence interval around a line of
parameters' equality was computed to analyse agreement between exact parameters
and corresponding parameters of fitted functions. RESULTS: The line of
parameters' equality stayed within confidence intervals for noiseless synthetic
parameters with None, unlike Step and Linear, indicating that None reproduced
Exact Parameters. Noise addition reduced differences among pre-treatment
procedures. Experimental data provided lower phase I time constants with None
than with Step. CONCLUSION: In conclusion, None revealed better precision and
accuracy than Step and Linear, especially when phenomena characterized by time
constants of <30 s are to be analysed. Therefore, we endorse the utilization of
None to improve the quality of breath-by-breath [Formula: see text] data during
exercise transients, especially when a double exponential model is applied and
phase I is accounted for.
PMID- 24920552
TI - Bayesian Inference for Reliability of Systems and Networks Using the Survival
Signature.
AB - The concept of survival signature has recently been introduced as an alternative
to the signature for reliability quantification of systems. While these two
concepts are closely related for systems consisting of a single type of
component, the survival signature is also suitable for systems with multiple
types of component, which is not the case for the signature. This also enables
the use of the survival signature for reliability of networks. In this article,
we present the use of the survival signature for reliability quantification of
systems and networks from a Bayesian perspective. We assume that data are
available on tested components that are exchangeable with those in the actual
system or network of interest. These data consist of failure times and possibly
right-censoring times. We present both a nonparametric and parametric approach.
PMID- 24920553
TI - Simultaneous determination of linarin, naringenin and formononetin in rat plasma
by LC-MS/MS and its application to a pharmacokinetic study after oral
administration of Bushen Guchi Pill.
AB - A sensitive and reproducible liquid chromatography tandem mass spectrometry (LC
MS/MS) method was developed and validated for the simultaneous determination of
linarin, naringenin and formononetin in rat plasma after addition of
sulfamethoxazole as the internal standard (IS). Separation was carried out on a
Diamonsil C18 column (150 * 4.6 mm, 5 um) with liner gradient elution using
methanol (A) and 0.50/00 formic acid aqueous solution (B). Detection was
performed on a triple-quadrupole linear ion trap mass spectrometer with the
negative ion electrospray ionization in multiple-reaction monitoring (MRM) mode.
The MRM transitions were m/z 591.2 -> 283.2, 271.0 -> 150.9, 266.9 -> 252.0 and
252.0 -> 155.9 for linarin, naringenin, formononetin and IS, respectively. All
analytes showed good linearity within the concentration range (r > 0.9973). The
lower limits of quantitation of linarin, naringenin and formononetin were 0.64,
1.07 and 1.04 ng/mL, respectively. Intra-day and inter-day precisions of the
investigated components exhibited an RSD within 9.96%, and the accuracy (relative
error) ranged from -11.25 to 9.38% at all quality control levels. The developed
method was successfully applied to a pharmacokinetic study of linarin, naringenin
and formononetin in rats after oral administration of Bushen Guchi Pill.
PMID- 24920554
TI - Co-expression of CD133, CD44v6 and human tissue factor is associated with
metastasis and poor prognosis in pancreatic carcinoma.
AB - The metastasis-related molecules CD133, CD44v6 and human tissue factor (TF) have
been shown to be associated with tumor invasion and metastasis. This study aimed
to determine whether co-expression of these three molecules was associated with
metastasis and overall prognosis in pancreatic carcinoma. We analyzed the
expression profiles of these three molecules by immunohistochemistry and
evaluated the relationship of their expression profiles with metastasis and
prognosis in 109 pancreatic carcinomas. The results showed that the expression
levels of CD133, CD44v6 and TF were increased in pancreatic carcinoma. Co
expression of CD133, CD44v6 and TF (tri-expression) was also detected in
pancreatic carcinoma. Clinical analysis showed that individual expression of
CD133, CD44v6 or TF was associated with vessel invasion, lymph node metastasis
and liver metastasis, while tri-expression was associated with lymph node
metastasis. Survival analysis showed that patients with co-expression of CD133
and TF or tri-expression had lower and the lowest overall survival rates,
respectively. Univariate analysis showed that T-factor, lymph node metastasis,
TNM stage, and individual levels or tri-expression of CD133, CD44v6 and TF were
survival risk factors. Multivariate analysis showed that tri-expression of CD133,
CD44v6 and TF was an independent predictor of survival. These results suggest
that overexpression of CD133, CD44v6 and TF is associated with pancreatic
carcinoma metastasis. Tri-expression of these three molecules may be a useful
predictor for pancreatic carcinoma prognosis.
PMID- 24920556
TI - Atypical case of prenatal cystic epignathus teratoma.
PMID- 24920555
TI - Cardiac extracellular proteome profiling and membrane topology analysis using
glycoproteomics.
AB - PURPOSE: Extracellular proteins are easily accessible, which presents a
subproteome of molecular targets that have high diagnostic and therapeutic
potential. Efforts have been made to catalog the cardiac extracellular matridome
and analyze the topology of identified proteins for the design of therapeutic
targets. Although many bioinformatics tools have been developed to predict
protein topology, topology has been experimentally validated for only a very
small portion of membrane proteins. The aim of this study was to use a
glycoproteomics and MS approach to identify glycoproteins in the extracellular
matridome of the infarcted left ventricle (LV) and provide experimental evidence
for topological determination. EXPERIMENTAL DESIGN: Glycoproteomics analysis was
performed on eight biological replicates of LV samples from wild-type mice at 7
days following myocardial infarction using SPE of glycopeptides, followed by mass
spectrometric identification of N-linked glycosylation sites for topology
assessment. RESULTS: We identified hundreds of glycoproteins, and the identified
N-glycosylation sites provide novel information on the correct topology for
membrane proteins present in the infarct setting. CONCLUSIONS AND CLINICAL
RELEVANCE: Our data provide the foundation for future studies of the LV infarct
extracellular matridome, which may facilitate the discovery of drug targets and
biomarkers.
PMID- 24920557
TI - Algebraic moment closure for population dynamics on discrete structures.
AB - Moment closure on general discrete structures often requires one of the
following: (i) an absence of short-closed loops (zero clustering); (ii) existence
of a spatial scale; (iii) ad hoc assumptions. Algebraic methods are presented to
avoid the use of such assumptions for populations based on clumps and are applied
to both SIR and macroparasite disease dynamics. One approach involves a series of
approximations that can be derived systematically, and another is exact and based
on Lie algebraic methods.
PMID- 24920558
TI - A single exposure to a sublethal pediocin concentration initiates a resistance
associated temporal cell envelope and general stress response in Listeria
monocytogenes.
AB - Listeria monocytogenes can cause the potentially fatal food-borne disease
listeriosis, and the use of bacteriocin-producing lactic acid bacteria to control
L. monocytogenes holds great promise. However, the development of bacteriocin
resistance is a potential challenge, and the purpose of this study was to
determine if exposure to sublethal concentrations of pediocin-containing
Lactobacillus plantarum WHE 92 supernatant could prime L. monocytogenes for
resistance. By transcriptomic analysis, we found two, 55 and 539 genes
differentially expressed after 10, 60 and 180 min of exposure to L. plantarum WHE
92 supernatant as compared with control exposures. We observed temporal
expression changes in genes regulated by the two component system LisRK and the
alternative sigma factors SigB and SigL. Additionally, several genes involved in
bacteriocin resistance were induced. DeltalisR, DeltasigB and DeltasigL mutants
were all more resistant than wild types to L. plantarum WHE 92 supernatant.
Conclusively, LisRK, SigB and SigL regulation and genes associated with
resistance are involved in the temporal adaptive response to pediocin, and all
three regulatory systems affect pediocin resistance. Thus, a single exposure to a
sublethal pediocin concentration initiates a response pointing to resistance, and
indicates that further research exploring the link between adaptive responses and
resistance is needed.
PMID- 24920559
TI - Cardiac autonomic responses at onset of exercise: effects of aerobic fitness.
AB - Analyzes of cardiac autonomic responses at the initial transient of exercise have
been used for the investigation of the cardiovascular health. We evaluated the
influence of aerobic fitness on HR and HRV responses at the onset of exercise. 25
male subjects (22.3+/-2.4 years) were divided into 2 groups: 'low aerobic
fitness' (36.2+/-2.6ml.kg(-1).min(-1); n=10) and 'high aerobic fitness' (46.4+/
5.0ml.kg(-1).min(-1); n=15). The experimental session consisted of assessing the
beat-to-beat HR at rest and during submaximal exercise. The autonomic responses
at the onset of exercise were calculated by fitting the HR and HRV (rMSSD-index)
curves during the initial 300s of exercise into a first-order exponential
equation. The time constant of HR and of the rMSSD index (tauonHR and tauonrMSSD)
were calculated for analysis. We observed lower values of tauonrMSSD in the high
aerobic fitness group compared to the low aerobic fitness group (26.8+/-5s vs.
38.0+/-18s, respectively; p=0.02). The tauonHR (42.0+/-15 vs. 49.3+/-26s, p=0.38)
for the groups showed no difference. Aerobic fitness partially influenced the
autonomic responses during exercise, since individuals with higher fitness showed
faster decreases in beat-to-beat HRV at the onset of exercise.
PMID- 24920560
TI - Effects of local vibration on bone loss in -tail-suspended rats.
AB - We investigated the effects of vibration (35 Hz, 45 Hz and 55 Hz) as
countermeasure locally applied to unloading hind limbs on bone, muscle and
Achilles tendon. 40 female Sprague Dawley rats were divided into 5 groups (n=8,
each): tail-suspension (TS), TS plus 35 Hz/0.3 g vibration (TSV35), TS plus 45
Hz/0.3 g vibration (TSV45), TS plus 55 Hz/0.3 g vibration (TSV55) and control
(CON). After 21 days, bone mineral density (BMD) and the microstructure of the
femur and tibia were evaluated by MUCT in vivo. The biomechanical properties of
the femur and Achilles tendon were determined by a materials testing system. Ash
weight of bone, isotonic contraction and wet weight of soleus were also
investigated. 35 Hz and 45 Hz localized vibration were able to significantly
ameliorate the decrease in trabecular BMD (expressed as the percentage change
from TS, TSV35: 48.11%, TSV45: 31.09%), microstructure and ash weight of the
femur and tibia induced by TS. Meanwhile, 35 Hz vibration significantly improved
the biomechanical properties of the femur (57.24% bending rigidity and 41.66%
Young's modulus vs. TS) and Achilles tendon (45.46% maximum load and 66.67%
Young's modulus vs. TS). Additionally, Young's modulus of the femur was highly
correlated with microstructural parameters. Localized vibration was useful for
counteracting microgravity-induced musculoskeletal loss. In general, the efficacy
of 35 Hz was better than 45 Hz or 55 Hz in tail-suspended rats.
PMID- 24920561
TI - Oxidative stress and inflammation response following aerobic exercise: role of
ethnicity.
AB - African-Americans are at a significantly greater risk for developing several
diseases and conditions. These conditions often have underlying oxidative stress
mechanisms. Therefore the purpose of this investigation was to ascertain the post
exercise oxidative response to a single bout of aerobic exercise in African
American and Caucasian college-age females. A total of 10 African-American and 10
Caucasian females completed the study. Each subject had her VO2 max measured
while exercising on a treadmill. A week later, each subject returned to the
laboratory and performed a 30-min run at 70% of her VO2max. Blood samples were
taken immediately prior to and following exercise for analysis. Lipid
hydroperoxides, protein carbonyls, malondialdehyde, xanthine oxidase, glutathione
in the reduced (GSH) and oxidized (GSSG) forms, TNFalpha and interleukin 6 were
measured from blood taken before and after exercise. Significance was set at
p<=0.05 a priori. Xanthine oxidase was the only measure that did not
significantly increase following exercise. All other markers showed a significant
elevation in response to the exercise bout with no difference between groups
except that the Caucasian group had significantly higher malondialdehyde post
exercise compared to the African-American group. This cohort of college-age
African-American and Caucasian females showed little difference in their response
to a single 30-min run at 70% of their max in the markers of oxidative stress
within the blood.
PMID- 24920562
TI - Implantable cardioverter defibrillator in sport participation.
AB - Although athletic participation lowers cardiovascular risk and improves quality
of life, it may represent a hazard in high-risk group athletes such as those with
cardiac abnormalities receiving an implantable cardioverter defibrillator (ICD).
ICD sports participants are exposed to the potential risk of inappropriate shocks
due to sinus tachycardia and other supraventricular arrhythmias during exertion
as well as device injury. The safety of athletic participation of ICD-patients is
not completely defined and ICD efficacy in interrupting malignant arrhythmias
during intense exercise is partly unknown. This explains difficulties in current
recommendations made by physicians, given the associated potentially ischemic,
autonomic and metabolic conditions. The scope of this review is to underline
specific considerations including potential risks and recommendations for
athletic participation in this patient-group.
PMID- 24920563
TI - Determination of the optimal load setting for arm crank anaerobic testing in men
and women.
AB - This study compared different relative load factors for eliciting the highest
peak 5 s and mean 30 s absolute power output (watts) during an arm crank 30 s
Wingate anaerobic power test in 40 upper body trained and recreationally active
men and women. The relative load factor of 0.075 kg . kg(- 1) BM elicited a
higher peak 5 s power output than 0.070 and 0.080 kg . kg(- 1) for trained males,
and 0.070 was higher than 0.055 and 0.080 kg . kg(- 1) for active males (P<0.05).
In trained women, the peak 5 s power output was greatest at 0.065 kg . kg(- 1)
and 0.060 kg . kg(- 1) for active women. Mean 30 s power output at a relative
load factor of 0.060, 0.065 and 0.070 kg . kg(- 1) was higher than 0.080, 0.085
and 0.090 kg . kg(- 1) in trained men, and mean power output at 0.080 kg . kg(-
1) was lower than all other relative load factors in active men (P<0.05). Mean 30
s power was greatest at 0.050 kg . kg(- 1) for trained and active women. In
conclusion, the optimal relative load factor was different for eliciting peak 5 s
and mean 30 s power outputs during an arm crank Wingate anaerobic test and
depends on training status and gender.
PMID- 24920565
TI - Advances in the science of objective physical activity monitoring: 3rd
International Conference on Ambulatory Monitoring of Physical Activity and
Movement.
PMID- 24920564
TI - Allometric multilevel modelling of agility and dribbling speed by skeletal age
and playing position in youth soccer players.
AB - This study evaluates the contributions of age, skeletal maturation, body size and
composition, training and playing position to the development of agility and
dribbling speed in young male soccer players (10-18 years) followed
longitudinally. 83 players [defenders (n=35), midfielders (n=27), forwards
(n=21)] were followed annually over 5 years (average: 4.4 observations per
player). Skeletal age (SA), stature, body mass, triceps and subscapular
skinfolds, agility and dribbling speed were measured annually. Body composition
was estimated from the 2 skinfolds. Annual training volume was estimated from
weekly participation forms completed by coaches. The multiplicative allometric
models with the best statistical fit showed that statural growth of 1 cm predicts
1.334 s and 1.927 s of improvement in agility and dribbling speed, respectively.
Significant independent effects of fat-free mass and annual volume training were
found for agility and dribbling speed, respectively (P<0.05). Predicted agility
(from 12 to 18 years of SA) and dribbling speed (from 13 to 18 years of SA)
differed significantly among players by playing positions
(midfielders>forwards>defenders). The present results provide developmental
models for the interpretation of intra- and inter-individual variability in
agility and dribbling speed among youth soccer players across adolescence, and
may provide a framework for trainers and coaches to develop and evaluate
individualized training protocols.
PMID- 24920570
TI - Methods of dark signal determination for CCD array spectroradiometers used in
solar UVR measurements.
AB - The methods of the dark signal determination by direct contemporaneous
measurements using a light spectrum and modelling of the dark signal based on the
dark signal characterisation data were discussed. These techniques were tested
with two charge-couple detectors (CCD) array spectroradiometers used in solar UVR
measurements. The sensitivity of both instruments was significantly reduced when
shutters were used; the measured signal varied by up to 12% depending on the
orientation of the shutter. The shutters should be permanently attached to the
SSR, so that the orientation cannot be changed to prevent an increase in
uncertainty. The method of using blind pixels from the optically inactive part of
the CCD array in a light spectrum could be used to derive the dark signal with
some limitations for integration times <10 s for the QE65000. An alternative
method of deriving the dark signal from light measurements using out-of-range
pixels has been proved impossible due to out-of-range stray light in both
instruments. The dark signal was characterised for the range of integration times
and ambient temperatures of 15-35 degrees C. Based on these data, the model of
the dark signal was developed so that a single value of the dark signal can be
subtracted over the whole spectral range if the instrument temperature is known.
PMID- 24920569
TI - The alteration of the C-terminal region of human frataxin distorts its structural
dynamics and function.
AB - Friedreich's ataxia (FRDA) is linked to a deficiency of frataxin (FXN), a
mitochondrial protein involved in iron-sulfur cluster synthesis. FXN is a small
protein with an alpha/beta fold followed by the C-terminal region (CTR) with a
nonperiodic structure that packs against the protein core. In the present study,
we explored the impact of the alteration of the CTR on the stability and dynamics
of FXN. We analyzed several pathological and rationally designed CTR mutants
using complementary spectroscopic and biophysical approaches. The pathological
mutation L198R yields a global destabilization of the structure correlating with
a significant and highly localized alteration of dynamics, mainly involving
residues that are in contact with L198 in wild-type FXN. Variant FXN 90-195,
which is closely related to the FRDA-associated mutant FXN 81-193, conserves a
globular shape with a native-like structure. However, the truncation of the CTR
results in an extreme alteration of global stability and protein dynamics over a
vast range of timescales and encompassing regions far from the CTR, as shown by
proton-water exchange rates and (15) N-relaxation measurements. Increased
sensitivity to proteolysis, observed in vitro for both mutants, suggests a faster
degradation rate in vivo, whereas the enhanced tendency to aggregate exhibited by
the truncated variant may account for the loss of functional FXN, with both
phenomena providing an explanation as to why the alteration of the CTR causes
FRDA. These results contribute to understanding how stability and activity are
linked to protein motions and they might be useful for the design of target
specific ligands to control local protein motions for stability enhancement.
PMID- 24920571
TI - Skull counting in late stages after internal contamination by actinides.
AB - Monitoring preparation for internal contamination with actinides (e.g. Pu and Am)
is required to assess internal doses at nuclear fuel cycle-related facilities. In
this paper, the authors focus on skull counting in case of single-incident
inhalation of (241)Am and propose an effective procedure for skull counting with
an existing system, taking into account the biokinetic behaviour of (241)Am in
the human body. The predicted response of the system to skull counting under a
certain counting geometry was found to be only ~1.0 * 10(-5) cps Bq(-1) 1y after
intake. However, this disadvantage could be remedied by repeated measurements of
the skull during the late stage of the intake due to the predicted response
reaching a plateau at about the 1000th day after exposure and exceeding that in
the lung counting. Further studies are needed for the development of a new
detection system with higher sensitivity to perform reliable internal dose
estimations based on direct measurements.
PMID- 24920572
TI - Analysis of radon and thoron progeny measurements based on air filtration.
AB - Measuring of radon and thoron progeny concentrations in air, based on air
filtration, was analysed in order to assess the reliability of the method.
Changes of radon and thoron progeny activities on the filter during and after air
sampling were investigated. Simulation experiments were performed involving
realistic measuring parameters. The sensitivity of results (radon and thoron
concentrations in air) to the variations of alpha counting in three and five
intervals was studied. The concentration of (218)Po showed up to be the most
sensitive to these changes, as was expected because of its short half-life. The
well-known method for measuring of progeny concentrations based on air filtration
is rather unreliable and obtaining unrealistic or incorrect results appears to be
quite possible. A simple method for quick estimation of radon potential alpha
energy concentration (PAEC), based on measurements of alpha activity in a
saturation regime, was proposed. Thoron PAEC can be determined from the
saturation activity on the filter, through beta or alpha measurements.
PMID- 24920573
TI - Perceptions of plain packaging among young adult roll-your-own smokers in France:
a naturalistic approach.
AB - BACKGROUND: We explored, for the first time, young adult roll-your-own smokers'
response to using plain packaging in real-world settings. METHODS: Naturalistic
research was employed, where 133 French young adult smokers (18-25 years of age)
used plain roll-your-own packs for 10 days; the plain packs they were provided
with contained their usual brand of rolling tobacco and displayed the name of
their usual brand. Participants were recruited in five cities in France (Paris,
Marseille, Metz, Nantes, Toulouse) and completed two questionnaires to measure
their response to their own branded packs and the plain packs. Both
questionnaires assessed pack perceptions, brand attachment, product perceptions
(eg, taste, quality, natural), feelings about smoking (satisfying, pleasurable),
feelings when using the pack in front of others (embarrassment, image), warning
response (credibility, awareness of risks) and smoking-related behaviour (eg,
consumption, quitting). RESULTS: Compared to their own fully branded packs, plain
packs were associated with less positive pack and product perceptions, lower
brand attachment and less positive feelings about smoking and feelings when using
the pack in front of others. Participants were also more likely to report feeling
like reducing consumption and quitting when using the plain packs, and more
likely to feel like missing out on rolling a cigarette. No significant
differences between the two pack types (plain and branded) were found in terms of
credibility of warnings and perceptions of level of tar. CONCLUSIONS: The study
suggests that the impacts of plain packaging for roll-your-own cigarette smokers
are the same as for smokers of factory-made cigarettes.
PMID- 24920574
TI - The immediate and long-term changes in support of tobacco display ban after its
implementation in New Zealand.
PMID- 24920575
TI - An evaluation of usage patterns, effectiveness and cost of the national smoking
cessation quitline in Thailand.
AB - BACKGROUND: Telephone-based smoking cessation services (quitlines) offering
counselling for smoking cessation without nicotine replacement therapy may be
important components of tobacco control efforts in low and middle income
countries, but evaluations in such resource-limited settings are lacking. We
aimed to evaluate the usage, effectiveness and cost of the Thailand National
Quitline (TNQ). METHODS: Analysis of retrospective data for callers to the TNQ
between 2009 and 2012 and a follow-up survey in 1161 randomly selected callers.
RESULTS: Between 2009 and 2012 there were 116 862 callers to the TNQ; 36 927
received counselling and at least one follow-up call. Compared with smokers in
the general population, callers were younger, more highly educated, more likely
to be students, and more likely to smoke cigarettes rather than roll-your-own
tobacco. Continuous abstinence rates at 1, 3 and 6 months after calling were
49.9%, 38.0% and 33.1%. The predicted rate at 12 months was 19.54% (95% CI 14.55
to 26.24). Average cost per completed counselling was $31 and the average cost
per quitter was $253. Assuming all (and two-thirds) TNQ callers who succeed in
quitting would have failed to quit without the assistance of the TNQ, cumulative
life years saved (LYS) for the 4-year period were 57 238 (36 733) giving a cost
per LYS of $32 (50) (about 7.93 LYS per quitter) and an estimated return on
investment over 4 years of 9.01 (5.78). CONCLUSIONS: A low-cost quitline without
nicotine replacement therapy is a promising model for smoking cessation services
and likely to offer good value for money in Thailand.
PMID- 24920576
TI - Are the tobacco industry's claims about the size of the illicit cigarette market
credible? The case of South Africa.
AB - BACKGROUND: The tobacco industry claims that illicit cigarette trade in South
Africa is high and rising. This is often used as an argument not to increase the
tobacco excise tax or to regulate tobacco products. OBJECTIVES: To determine how
the tobacco industry's estimates of the size of the illicit cigarette market have
changed over time. METHODS: Published media articles were obtained from South
African Press Cuttings; published articles and press releases were sourced from
the internet. The period of interest is 1990-2012. RESULTS: Between 1990 and 2012
we found 90 newspaper articles and press statements that emphasised the tobacco
industry's view on illicit trade. Articles that reported on action taken against
illicit trade were excluded. Between 2006 and early 2011 the Tobacco Institute of
Southern Africa, a body representing the interests of large cigarette companies,
reported that South Africa's illicit cigarette market share was 20%. This share
increased to 25% in late 2011 and 30% in 2012. In a 2012 presentation by Tobacco
Institute of Southern Africa to National Treasury the illicit market share in
2008 was indicated as 7.9%, compared with claims in that year that the illicit
market share was 20%. Industry findings that the illicit market decreased in 2007
and 2008 were not reported in the press. CONCLUSIONS: The tobacco industry has
adjusted previous estimates of the illicit trade share downwards to create the
impression that illicit trade is high and rising. If previous estimates by the
tobacco industry were incorrect the credibility of current estimates should be
questioned.
PMID- 24920577
TI - Cigarette company trade secrets are not secret: an analysis of reverse
engineering reports in internal tobacco industry documents released as a result
of litigation.
AB - OBJECTIVES: Use previously secret tobacco industry documents to assess tobacco
companies' routine claims of trade secret protection for information on cigarette
ingredients, additives and construction made to regulatory agencies, as well as
the companies' refusal to publicly disclose this information. METHODS: We
analysed previously secret tobacco industry documents available at
(http://legacy.library.ucsf.edu) to identify 100 examples of seven major tobacco
companies' reverse engineering of their competitors' brands between 1937 and
2001. RESULTS: These reverse engineering reports contain detailed data for 142
different measurements for at least two companies, including physical parameters
of the cigarettes, tobacco types, humectants, additives, flavourings, and smoke
constituents of competitors' cigarettes. These 100 documents were distributed to
564 employees, including top managers in domestic and foreign offices across
multiple departments, including executive leadership, research and design,
product development, marketing and legal. These documents reported new
competitors' products, measured ingredient changes over time, and informed
companies' decisions regarding ingredients in their own products. CONCLUSIONS:
Because cigarette companies routinely analyse their competitors' cigarettes in
great detail, this information is neither secret nor commercially valuable and,
thus, does not meet the legal definition of a 'trade secret.' This information is
only being kept 'secret' from the people consuming cigarettes and the scientific
community. Public agencies should release this detailed information because it
would provide valuable information about how ingredients affect addictiveness and
toxicity, and would help the public health community and consumers better
understand the impact of cigarette design on human health.
PMID- 24920578
TI - Sirtuin to the rescue: SIRT2 extends life span of BubR1 mice.
PMID- 24920581
TI - Introductory paragraph - Volume 28, Issue 7.
PMID- 24920579
TI - Bicaudal-D1 regulates the intracellular sorting and signalling of neurotrophin
receptors.
AB - We have identified a new function for the dynein adaptor Bicaudal D homolog 1
(BICD1) by screening a siRNA library for genes affecting the dynamics of
neurotrophin receptor-containing endosomes in motor neurons (MNs). Depleting
BICD1 increased the intracellular accumulation of brain-derived neurotrophic
factor (BDNF)-activated TrkB and p75 neurotrophin receptor (p75(NTR)) by
disrupting the endosomal sorting, reducing lysosomal degradation and increasing
the co-localisation of these neurotrophin receptors with retromer-associated
sorting nexin 1. The resulting re-routing of active receptors increased their
recycling to the plasma membrane and altered the repertoire of signalling
competent TrkB isoforms and p75(NTR) available for ligand binding on the neuronal
surface. This resulted in attenuated, but more sustained, AKT activation in
response to BDNF stimulation. These data, together with our observation that
Bicd1 expression is restricted to the developing nervous system when neurotrophin
receptor expression peaks, indicate that BICD1 regulates neurotrophin signalling
by modulating the endosomal sorting of internalised ligand-activated receptors.
PMID- 24920582
TI - Grief, anger and despair in relatives of severely brain injured patients:
responding without pathologising.
AB - The training and expertise of healthcare professionals in diagnosing and treating
pathology can mean that every situation is treated as an instance of illness or
abnormality requiring treatment. This medicalised perspective is often evident in
clinical approaches to family members of people with prolonged disorders of
consciousness. This editorial was stimulated by reviewing an article (final
version now published in this issue) concerning the distress of families with
severely brain injured relatives,(2) and by reading the larger body of literature
to which that article contributes. It was also prompted by the recent publication
of national clinical guidelines in the UK about the management of prolonged
disorders of consciousness. In this editorial we highlight the depth and range of
emotional reactions commonly experienced by families with a severely brain
injured relative. We suggest that clinicians should understand such emotions as
normal responses to a terrible situation, and consider the ways in which clinical
practice can be adapted to avoid contributing to family trauma.
PMID- 24920580
TI - MiR-133 promotes cardiac reprogramming by directly repressing Snai1 and silencing
fibroblast signatures.
AB - Fibroblasts can be directly reprogrammed into cardiomyocyte-like cells (iCMs) by
overexpression of cardiac transcription factors or microRNAs. However, induction
of functional cardiomyocytes is inefficient, and molecular mechanisms of direct
reprogramming remain undefined. Here, we demonstrate that addition of miR-133a
(miR-133) to Gata4, Mef2c, and Tbx5 (GMT) or GMT plus Mesp1 and Myocd improved
cardiac reprogramming from mouse or human fibroblasts by directly repressing
Snai1, a master regulator of epithelial-to-mesenchymal transition. MiR-133
overexpression with GMT generated sevenfold more beating iCMs from mouse
embryonic fibroblasts and shortened the duration to induce beating cells from 30
to 10 days, compared to GMT alone. Snai1 knockdown suppressed fibroblast genes,
upregulated cardiac gene expression, and induced more contracting iCMs with GMT
transduction, recapitulating the effects of miR-133 overexpression. In contrast,
overexpression of Snai1 in GMT/miR-133-transduced cells maintained fibroblast
signatures and inhibited generation of beating iCMs. MiR-133-mediated Snai1
repression was also critical for cardiac reprogramming in adult mouse and human
cardiac fibroblasts. Thus, silencing fibroblast signatures, mediated by miR
133/Snai1, is a key molecular roadblock during cardiac reprogramming.
PMID- 24920583
TI - Is there more to preferences for activity partici-pation among children with
disabilities?
PMID- 24920584
TI - Diabetes, obesity, and the Affordable Care Act.
PMID- 24920585
TI - SGLT inhibition in patients with type 1 diabetes.
PMID- 24920587
TI - Characterization of plantaris tendon constructs for ankle ligament
reconstruction.
AB - BACKGROUND: Many techniques have been described for lateral ligament
reconstruction. One frequently overlooked autograft option is the plantaris
tendon, potentially due to the paucity of data on its mechanical characteristics.
This study examined the structural properties of double and quadruple plantaris
tendon constructs. METHODS: Plantaris tendons were harvested from 35 fresh-frozen
human cadaver specimens (mean age, 66 years [range, 43-89 years]; 17 female, 13
male). The tendon ends were sutured in a running locking technique and then woven
onto a template board to create double or quadruple graft constructs with a 20-mm
functional length. If additional tendon length remained, a single 40-mm specimen
was isolated to provide tissue material properties. Structural properties were
calculated from the results of cyclic and failure uniaxial tensile tests.
RESULTS: Quadruple-strand constructs had a tensile strength of 205.8 +/- 68.2 N
and a stiffness of 133.1 +/- 46.3 N/mm. Single strands had a tensile strength of
66.9 +/- 26.3 N and a stiffness of 43.8 +/- 14.7 N/mm. Material properties were
similar to a prior study. CONCLUSIONS: The average maximum tensile strength for
the quadrupled plantaris grafts exceeded the strength of the intact anterior
talofibular ligament of 139 to 161 N; therefore, the quadruple plantaris
construct may be a viable autograft for foot and ankle ligament reconstruction.
CLINICAL RELEVANCE: The tensile strength of the plantaris tendon is comparable
to, or stronger than, other grafts already in use and offers a donor site that
may result in negligible loss of strength.
PMID- 24920586
TI - Phase 1 study of twice-weekly ixazomib, an oral proteasome inhibitor, in
relapsed/refractory multiple myeloma patients.
AB - Ixazomib is the first investigational oral proteasome inhibitor to be studied
clinically. In this phase 1 trial, 60 patients with relapsed/refractory multiple
myeloma (median of 4 prior lines of therapy; bortezomib, lenalidomide,
thalidomide, and carfilzomib/marizomib in 88%, 88%, 62%, and 5%, respectively)
received single-agent ixazomib 0.24 to 2.23 mg/m(2) (days 1, 4, 8, 11; 21-day
cycles). Two dose-limiting toxicities (grade 3 rash; grade 4 thrombocytopenia)
occurred at 2.23 mg/m(2). The maximum tolerated dose was 2.0 mg/m(2), which 40
patients received in 4 expansion cohorts. Patients received a median of 4 cycles
(range, 1-39); 18% received >=12 cycles. Eighty-eight percent had drug-related
adverse events, including nausea (42%), thrombocytopenia (42%), fatigue (40%),
and rash (40%); drug-related grade >=3 events included thrombocytopenia (37%) and
neutropenia (17%). Grade 1/2 drug-related peripheral neuropathy occurred in 12%
(no grade >=3). Two patients died on the study (both considered unrelated to
treatment). The terminal half-life of ixazomib was 3.3 to 7.4 days; plasma
exposure increased proportionally with dose (0.48-2.23 mg/m(2)). Among 55
response-evaluable patients, 15% achieved partial response or better (76% stable
disease or better). These findings have informed the subsequent clinical
development of ixazomib in multiple myeloma. This trial was registered at
www.clinicaltrials.gov as #NCT00932698.
PMID- 24920588
TI - Number of moles could predict breast cancer risk.
PMID- 24920589
TI - An unusually powerful mode of low-frequency sound interference due to defective
hair bundles of the auditory outer hair cells.
AB - A detrimental perceptive consequence of damaged auditory sensory hair cells
consists in a pronounced masking effect exerted by low-frequency sounds, thought
to occur when auditory threshold elevation substantially exceeds 40 dB. Here, we
identified the submembrane scaffold protein Nherf1 as a hair-bundle component of
the differentiating outer hair cells (OHCs). Nherf1(-/-) mice displayed OHC hair
bundle shape anomalies in the mid and basal cochlea, normally tuned to mid- and
high-frequency tones, and mild (22-35 dB) hearing-threshold elevations restricted
to midhigh sound frequencies. This mild decrease in hearing sensitivity was,
however, discordant with almost nonresponding OHCs at the cochlear base as
assessed by distortion-product otoacoustic emissions and cochlear microphonic
potentials. Moreover, unlike wild-type mice, responses of Nherf1(-/-) mice to
high-frequency (20-40 kHz) test tones were not masked by tones of neighboring
frequencies. Instead, efficient maskers were characterized by their frequencies
up to two octaves below the probe-tone frequency, unusually low intensities up to
25 dB below probe-tone level, and growth-of-masker slope (2.2 dB/dB) reflecting
their compressive amplification. Together, these properties do not fit the
current acknowledged features of a hypersensitivity of the basal cochlea to lower
frequencies, but rather suggest a previously unidentified mechanism. Low
frequency maskers, we propose, may interact within the unaffected cochlear apical
region with midhigh frequency sounds propagated there via a mode possibly using
the persistent contact of misshaped OHC hair bundles with the tectorial membrane.
Our findings thus reveal a source of misleading interpretations of hearing
thresholds and of hypervulnerability to low-frequency sound interference.
PMID- 24920590
TI - DNA looping-dependent autorepression of LEE1 P1 promoters by Ler in
enteropathogenic Escherichia coli (EPEC).
AB - Ler, a homolog of H-NS in enteropathogenic Escherichia coli (EPEC), plays a
critical role in the expression of virulence genes encoded by the pathogenic
island, locus of enterocyte effacement (LEE). Although Ler acts as an
antisilencer of multiple LEE operons by alleviating H-NS-mediated silencing, it
represses its own expression from two LEE1 P1 promoters, P1A and P1B, that are
separated by 10 bp. Various in vitro biochemical methods were used in this study
to elucidate the mechanism underlying transcription repression by Ler. Ler acts
through two AATT motifs, centered at position -111.5 on the coding strand and at
+65.5 on the noncoding strand, by simultaneously repressing P1A and P1B through
DNA-looping. DNA-looping was visualized using atomic force microscopy. It is
intriguing that an antisilencing protein represses transcription, not by steric
exclusion of RNA polymerase, but by DNA-looping. We propose that the DNA-looping
prevents further processing of open promoter complex (RPO) at these promoters
during transcription initiation.
PMID- 24920591
TI - In pursuit of an inhibitory drug for the proton channel.
PMID- 24920592
TI - Predation reduces visual communication distance in an Anolis lizard.
PMID- 24920593
TI - Redemption of autoreactive B cells.
PMID- 24920595
TI - Rescuing US biomedical research: some comments on Alberts, Kirschner, Tilghman,
and Varmus.
PMID- 24920594
TI - Structure of an antibacterial peptide ATP-binding cassette transporter in a novel
outward occluded state.
AB - Enterobacteriaceae produce antimicrobial peptides for survival under nutrient
starvation. Microcin J25 (MccJ25) is an antimicrobial peptide with a unique lasso
topology. It is secreted by the ATP-binding cassette (ABC) exporter McjD, which
ensures self-immunity of the producing strain through efficient export of the
toxic mature peptide from the cell. Here we have determined the crystal structure
of McjD from Escherichia coli at 2.7-A resolution, which is to the authors'
knowledge the first structure of an antibacterial peptide ABC transporter. Our
functional and biochemical analyses demonstrate McjD-dependent immunity to MccJ25
through efflux of the peptide. McjD can directly bind MccJ25 and displays a basal
ATPase activity that is stimulated by MccJ25 in both detergent solution and
proteoliposomes. McjD adopts a new conformation, termed nucleotide-bound outward
occluded. The new conformation defines a clear cavity; mutagenesis and ligand
binding studies of the cavity have identified Phe86, Asn134, and Asn302 as
important for recognition of MccJ25. Comparisons with the inward-open MsbA and
outward-open Sav1866 structures show that McjD has structural similarities with
both states without the intertwining of transmembrane (TM) helices. The occluded
state is formed by rotation of TMs 1 and 2 toward the equivalent TMs of the
opposite monomer, unlike Sav1866 where they intertwine with TMs 3-6 of the
opposite monomer. Cysteine cross-linking studies on the McjD dimer in inside-out
membrane vesicles of E. coli confirmed the presence of the occluded state. We
therefore propose that the outward-occluded state represents a transition
intermediate between the outward-open and inward-open conformation of ABC
exporters.
PMID- 24920596
TI - Systemic addiction to research funding.
PMID- 24920597
TI - Systemic flaws cannot be fixed with minor tweaks.
PMID- 24920598
TI - High-power lithium-ion capacitor using LiMnBO3 -nanobead anode and polyaniline
nanofiber cathode with excellent cycle life.
AB - LiMnBO3 nanobeads (LMB-NB) with uniform size and distribution were synthesized
using a urea-assisted microwave/solvothermal method. The potential application of
LMB-NBs as an anode for a lithium-ion hybrid capacitor (Li-AHC) was tested with a
polyaniline-nanofiber (PANI-NF) cathode in a nonaqueous LiPF6 (1 M)-ethylene
carbonate/dimethyl carbonate electrolyte. Cyclic voltammetry (CV) and charge
discharge (C/DC) studies revealed that the PANI-NF/LMB-NB cell showed an
exceptional capacitance behavior between 0-3 V along with a prolonged cycle life.
A discharge capacitance of about 125 F g(-1) , and energy and power densities of
about 42 Wh kg(-1) and 1500 W kg(-1) , respectively, could be obtained at a
current density of 1 A g(-1) ; those Li-AHC values are higher relative to cells
containing various lithium intercalation materials in nonaqueous electrolytes. In
addition, the PANI-NF/LMB-NB cell also had an outstanding rate performance with a
capacitance of 54 F g(-1) and a power density of 3250 W kg(-1) at a current
density of 2.25 A g(-1) and maintained 94% of its initial value after 30000
cycles. This improved capacitive performance with an excellent electrochemical
stability could be the result of the morphological features and inherent
conductive nature of the electroactive species.
PMID- 24920600
TI - Acute mastoiditis in the pneumococcal conjugate vaccine era.
AB - Following the introduction of the 7- and 13-valent pneumococcal conjugate
vaccines, we observed an inverse relationship between the increasing rate of
immunized children and the proportion of middle ear fluid cultures collected
during acute mastoiditis episodes that tested positive for Streptococcus
pneumoniae among a subset of children 0 to 6 years old who had initially
presented with severe acute otitis media and had bacterial cultures collected
during tympanocentesis or from spontaneous otorrhea.
PMID- 24920599
TI - Nonneutralizing functional antibodies: a new "old" paradigm for HIV vaccines.
AB - Animal and human data from various viral infections and vaccine studies suggest
that nonneutralizing antibodies (nNAb) without neutralizing activity in vitro may
play an important role in protection against viral infection in vivo. This was
illustrated by the recent human immunodeficiency virus (HIV) RV144 vaccine
efficacy trial, which demonstrated that HIV-specific IgG-mediated nNAb directed
against the V2 loop of HIV type 1 envelope (Env) were inversely correlated with
risk for HIV acquisition, while Env-specific plasma IgA-mediated antibodies were
directly correlated with risk. However, tier 1 NAb in the subset of responders
with a low level of plasma Env-specific IgA correlated with decreased risk.
Nonhuman primate simian immunodeficiency virus (SIV) and simian-human
immunodeficiency virus (SHIV) challenge studies suggest that Env-mediated
antibodies are essential and sufficient for protection. A comparison of immune
responses generated in human efficacy trials reveals subtle differences in the
fine specificities of the antibody responses, in particular in HIV-specific IgG
subclasses. The underlying mechanisms that may have contributed to protection
against HIV acquisition in humans, although not fully understood, are possibly
mediated by antibody-dependent cell-mediated cytotoxicity (ADCC) and/or other
nonneutralizing humoral effector functions, such as antibody-mediated
phagocytosis. The presence of such functional nNAb in mucosal tissues and cervico
vaginal and rectal secretions challenges the paradigm that NAb are the
predominant immune response conferring protection, although this does not negate
the desirability of evoking neutralizing antibodies through vaccination. Instead,
NAb and nNAb should be looked upon as complementary or synergistic humoral
effector functions. Several HIV vaccine clinical trials to study these antibody
responses in various prime-boost modalities in the systemic and mucosal
compartments are ongoing. The induction of high-frequency HIV-specific functional
nNAb at high titers may represent an attractive hypothesis-testing strategy in
future HIV vaccine efficacy trials.
PMID- 24920601
TI - Rapeseed oil and ginseng saponins work synergistically to enhance Th1 and Th2
immune responses induced by the foot-and-mouth disease vaccine.
AB - Previous investigations demonstrated that saponins isolated from the root of
Panax ginseng C. A. Meyer (i.e., ginseng root saponin [GS-R]) had adjuvant
activity. In the present study, the combined effects of rapeseed oil (RO) and GS
R on the immune responses elicited by foot-and-mouth disease (FMD) vaccine were
investigated by measuring FMD virus (FMDV)-specific antibody levels, cytokine
levels, lymphocyte proliferation, and long-lived IgG-secreting plasma cells from
bone marrow in a mouse model. The results indicated that RO in combination with
GS-R significantly enhanced serum IgG and isotype concentrations, gamma
interferon (IFN-gamma) and interleukin 5 (IL-5) levels, splenocyte proliferative
responses to stimulations with concanavalin A (ConA), lipopolysaccharide (LPS),
and FMDV antigen, and the numbers of IgG-secreting plasma cells in the bone
marrow, suggesting that RO/GS-R enhanced both Th1 and Th2 immune responses. In
addition, no significant difference was found between RO/GS-R and the commercial
adjuvant oil ISA 206 in the promotion of FMD vaccine-induced immune responses.
Considering the vegetable origin of RO and GS-R and the potent adjuvant activity,
RO/GS-R should be studied further for the development of veterinary vaccines,
especially for use in food animals in order to promote food safety.
PMID- 24920602
TI - Role for Gr-1+ cells in the control of high-dose Mycobacterium bovis recombinant
BCG.
AB - Mycobacterium bovis bacillus Calmette-Guerin (BCG) is an attractive target for
development as a live vaccine vector delivering transgenic antigens from HIV and
other pathogens. Most studies aimed at defining the clearance of BCG have been
performed at doses between 10(2) and 10(4) CFU. Interestingly, however,
recombinant BCG (rBCG) administered at doses of >10(6) CFU effectively generates
antigen-specific T-cell responses and primes for heterologous boost responses.
Thus, defining clearance at high doses might aid in the optimization of rBCG as a
vector. In this study, we used bioluminescence imaging to examine the kinetics of
rBCG transgene expression and clearance in mice immunized with 5 * 10(7) CFU rBCG
expressing luciferase. Similar to studies using low-dose rBCG, our results
demonstrate that the adaptive immune response is necessary for long-term control
of rBCG beginning 9 days after immunizing mice. However, in contrast to these
reports, we observed that the majority of mycobacterial antigen was eliminated
prior to day 9. By examining knockout and antibody-mediated depletion mouse
models, we demonstrate that the rapid clearance of rBCG occurs in the first 24 h
and is mediated by Gr-1(+) cells. As Gr-1(+) granulocytes have been described as
having no impact on BCG clearance at low doses, our results reveal an
unappreciated role for Gr-1(+) neutrophils and inflammatory monocytes in the
clearance of high-dose rBCG. This work demonstrates the potential of applying
bioluminescence imaging to rBCG in order to gain an understanding of the immune
response and increase the efficacy of rBCG as a vaccine vector.
PMID- 24920603
TI - A Single B-repeat of Staphylococcus epidermidis accumulation-associated protein
induces protective immune responses in an experimental biomaterial-associated
infection mouse model.
AB - Nosocomial infections are the fourth leading cause of morbidity and mortality in
the United States, resulting in 2 million infections and ~100,000 deaths each
year. More than 60% of these infections are associated with some type of
biomedical device. Staphylococcus epidermidis is a commensal bacterium of the
human skin and is the most common nosocomial pathogen infecting implanted medical
devices, especially those in the cardiovasculature. S. epidermidis antibiotic
resistance and biofilm formation on inert surfaces make these infections hard to
treat. Accumulation-associated protein (Aap), a cell wall-anchored protein of S.
epidermidis, is considered one of the most important proteins involved in the
formation of S. epidermidis biofilm. A small recombinant protein vaccine
comprising a single B-repeat domain (Brpt1.0) of S. epidermidis RP62A Aap was
developed, and the vaccine's efficacy was evaluated in vitro with a biofilm
inhibition assay and in vivo in a murine model of biomaterial-associated
infection. A high IgG antibody response against S. epidermidis RP62A was detected
in the sera of the mice after two subcutaneous immunizations with Brpt1.0
coadministered with Freund's adjuvant. Sera from Brpt1.0-immunized mice inhibited
in vitro S. epidermidis RP62A biofilm formation in a dose-dependent pattern.
After receiving two immunizations, each mouse was surgically implanted with a
porous scaffold disk containing 5 * 10(6) CFU of S. epidermidis RP62A. Weight
changes, inflammatory markers, and histological assay results after challenge
with S. epidermidis indicated that the mice immunized with Brpt1.0 exhibited
significantly higher resistance to S. epidermidis RP62A implant infection than
the control mice. Day 8 postchallenge, there was a significantly lower number of
bacteria in scaffold sections and surrounding tissues and a lower residual
inflammatory response to the infected scaffold disks for the Brpt1.0-immunized
mice than for of the ovalbumin (Ova)-immunized mice.
PMID- 24920604
TI - Tonsils of the soft palate do not mediate the response of pigs to oral
vaccination with heat-inactivated Mycobacterium bovis.
AB - Mycobacterium bovis causes animal tuberculosis (TB) in cattle, humans, and other
mammalian species, including pigs. The goal of this study was to experimentally
assess the responses of pigs with and without a history of tonsillectomy to oral
vaccination with heat-inactivated M. bovis and challenge with a virulent M. bovis
field strain, to compare pig and wild boar responses using the same vaccination
model as previously used in the Eurasian wild boar (Sus scrofa), to evaluate the
use of several enzyme-linked immunosorbent assays (ELISAs) and lateral flow tests
for in vivo TB diagnosis in pigs, and to verify if these tests are influenced by
oral vaccination with inactivated M. bovis. At necropsy, the lesion and culture
scores were 20% to 43% higher in the controls than those in the vaccinated pigs.
Massive M. bovis growth from thoracic tissue samples was observed in 4 out of 9
controls but in none of the 10 vaccinated pigs. No effect of the presence or
absence of tonsils was observed on these scores, suggesting that tonsils are not
involved in the protective response to this vaccine in pigs. The serum antibody
levels increased significantly only after challenge. At necropsy, the estimated
sensitivities of the ELISAs and dual path platform (DPP) assays ranged from 89%
to 94%. In the oral mucosa, no differences in gene expression were observed in
the control group between the pigs with and without tonsils. In the vaccinated
group, the mRNA levels for chemokine (C-C motif) receptor 7 (CCR7), interferon
beta (IFN-beta), and methylmalonyl coenzyme A mutase (MUT) were higher in pigs
with tonsils. Complement component 3 mRNA levels in peripheral blood mononuclear
cells (PBMC) increased with vaccination and decreased after M. bovis challenge.
This information is relevant for pig production in regions that are endemic for
M. bovis and for TB vaccine research.
PMID- 24920605
TI - Eating more red meat is linked with raised risk of breast cancer.
PMID- 24920606
TI - HIV testing among sexually active Hispanic/Latino MSM in Miami-Dade County and
New York City: opportunities for increasing acceptance and frequency of testing.
AB - HIV testing behavior is important in understanding the high rates of undiagnosed
infection among Hispanic/Latino men who have sex with men (MSM). Correlates of
repeat/recent testing (within the past year and >=5 tests during lifetime) and
test avoidance (never or >5 years earlier) were examined among 608 sexually
active Hispanic/Latino MSM (Miami-Dade County and New York City). Those who
reported repeat/recent testing were more likely to have incomes over $30,000,
speak English predominately, and have visited and disclosed same-sex behavior to
a health care provider (HCP) in the past year. Those who were classified as test
avoiders were less likely to have incomes over $10,000 and to have seen an HCP in
the past year. The main reason for not testing (in both groups) was fear of HIV
positivity; however, twice as many test avoiders considered this their main
reason, and more test avoiders had confidentiality concerns. Results suggest that
messages to encourage testing among Hispanic/Latino MSM may be most effective if
past testing patterns and reasons for not testing are considered. HCPs can play
an important role by consistently offering HIV tests to MSM and tailoring
messages based on prior testing histories.
PMID- 24920607
TI - Affinity proteomics within rare diseases: a BIO-NMD study for blood biomarkers of
muscular dystrophies.
AB - Despite the recent progress in the broad-scaled analysis of proteins in body
fluids, there is still a lack in protein profiling approaches for biomarkers of
rare diseases. Scarcity of samples is the main obstacle hindering attempts to
apply discovery driven protein profiling in rare diseases. We addressed this
challenge by combining samples collected within the BIO-NMD consortium from four
geographically dispersed clinical sites to identify protein markers associated
with muscular dystrophy using an antibody bead array platform with 384
antibodies. Based on concordance in statistical significance and confirmatory
results obtained from analysis of both serum and plasma, we identified eleven
proteins associated with muscular dystrophy, among which four proteins were
elevated in blood from muscular dystrophy patients: carbonic anhydrase III (CA3)
and myosin light chain 3 (MYL3), both specifically expressed in slow-twitch
muscle fibers and mitochondrial malate dehydrogenase 2 (MDH2) and electron
transfer flavoprotein A (ETFA). Using age-matched sub-cohorts, 9 protein profiles
correlating with disease progression and severity were identified, which hold
promise for the development of new clinical tools for management of
dystrophinopathies.
PMID- 24920609
TI - Acute ischaemic stroke or transient ischaemic attack and the need for inpatient
echocardiography.
AB - OBJECTIVES: To determine the diagnostic yield of echocardiography and its utility
in changing medical management; and to derive a risk score to guide its use in
patients with in-hospital stroke or transient ischaemic attack (TIA). METHODS: We
carried out a retrospective chart review from January 2009 to June 2010 of
patients with acute ischaemic stroke or TIA who had undergone transthoracic
echocardiography (TTE) or transoesophageal echocardiography (TOE). Clinical and
imaging findings at baseline were noted and 'potential clinically relevant
findings' identified on TTE and TOE. A multivariable logistic regression was used
to identify predictors of potential clinically relevant findings on TTE or TOE
and derive a risk score. RESULTS: Of 370 patients, 307 (83.0%) had TTE and 63
(17.0%) had additional TOE. Potential clinically relevant findings on
echocardiography were noted in 28 (7.6%) patients. Change in medical management
was noted in 19/307 (6.2%) patients on TTE and in 7/63 (11.1%) patients on TOE.
Male sex (OR 3.05, 95% CI 1.19 to 7.84; p=0.021), abnormal admission ECG (OR
4.39, 95% CI 1.79 to 10.79; p=0.001), and embolic pattern imaging at baseline (OR
2.38, 95% CI 1.05 to 5.40; p=0.038) were independent predictors of findings on
TTE or TOE. A risk score including these three variables had modest
discrimination (c-statistic 0.69, 95% CI 0.59 to 0.80). CONCLUSIONS:
Echocardiography detected potential clinically relevant findings in a minority of
patients (7.6%), but these findings changed medical management 90.5% of the time.
A risk score using sex, ECG abnormality, and embolic pattern imaging at baseline
could help predict which patients are more likely to have these echo findings.
PMID- 24920608
TI - A novel genome-wide in vivo screen for metastatic suppressors in human colon
cancer identifies the positive WNT-TCF pathway modulators TMED3 and SOX12.
AB - The progression of tumors to the metastatic state involves the loss of metastatic
suppressor functions. Finding these, however, is difficult as in vitro assays do
not fully predict metastatic behavior, and the majority of studies have used
cloned cell lines, which do not reflect primary tumor heterogeneity. Here, we
have designed a novel genome-wide screen to identify metastatic suppressors using
primary human tumor cells in mice, which allows saturation screens. Using this
unbiased approach, we have tested the hypothesis that endogenous colon cancer
metastatic suppressors affect WNT-TCF signaling. Our screen has identified two
novel metastatic suppressors: TMED3 and SOX12, the knockdown of which increases
metastatic growth after direct seeding. Moreover, both modify the type of self
renewing spheroids, but only knockdown of TMED3 also induces spheroid cell
spreading and lung metastases from a subcutaneous xenograft. Importantly, whereas
TMED3 and SOX12 belong to different families involved in protein secretion and
transcriptional regulation, both promote endogenous WNT-TCF activity. Treatments
for advanced or metastatic colon cancer may thus not benefit from WNT blockers,
and these may promote a worse outcome.
PMID- 24920610
TI - Public communication of science 2.0: Is the communication of science via the "new
media" online a genuine transformation or old wine in new bottles?
PMID- 24920611
TI - Beyond eye movements: a role for frontal eye fields in evaluating decisions.
PMID- 24920612
TI - Dendrites impact the encoding capabilities of the axon.
AB - This study highlights a new and powerful direct impact of the dendritic tree (the
input region of neurons) on the encoding capability of the axon (the output
region). We show that the size of the dendritic arbors (its impedance load)
strongly modulates the shape of the action potential (AP) onset at the axon
initial segment; it is accelerated in neurons with larger dendritic surface area.
AP onset rapidness is key in determining the capability of the axonal spikes to
encode (phase lock to) rapid changes in synaptic inputs. Hence, our findings
imply that neurons with larger dendritic arbors have improved encoding
capabilities. This "dendritic size effect" was explored both analytically as well
as numerically, in simplified and detailed models of 3D reconstructed layer 2/3
cortical pyramidal cells of rats and humans. The cutoff frequency of spikes phase
locking to modulated inputs increased from 100 to 200 Hz in pyramidal cells of
young rats to 400-600 Hz in human cells. In the latter case, phase locking
reached close to 1 KHz in in vivo-like conditions. This work highlights new and
functionally profound cross talk between the dendritic tree and the axon initial
segment, providing new understanding of neurons as sophisticated nonlinear
input/output devices.
PMID- 24920613
TI - Deficits in predictive coding underlie hallucinations in schizophrenia.
AB - The neural mechanisms that produce hallucinations and other psychotic symptoms
remain unclear. Previous research suggests that deficits in predictive signals
for learning, such as prediction error signals, may underlie psychotic symptoms,
but the mechanism by which such deficits produce psychotic symptoms remains to be
established. We used model-based fMRI to study sensory prediction errors in human
patients with schizophrenia who report daily auditory verbal hallucinations
(AVHs) and sociodemographically matched healthy control subjects. We manipulated
participants' expectations for hearing speech at different periods within a
speech decision-making task. Patients activated a voice-sensitive region of the
auditory cortex while they experienced AVHs in the scanner and displayed a
concomitant deficit in prediction error signals in a similar portion of auditory
cortex. This prediction error deficit correlated strongly with increased activity
during silence and with reduced volumes of the auditory cortex, two established
neural phenotypes of AVHs. Furthermore, patients with more severe AVHs had more
deficient prediction error signals and greater activity during silence within the
region of auditory cortex where groups differed, regardless of the severity of
psychotic symptoms other than AVHs. Our findings suggest that deficient
predictive coding accounts for the resting hyperactivity in sensory cortex that
leads to hallucinations.
PMID- 24920615
TI - Adaptation to vocal expressions reveals multistep perception of auditory emotion.
AB - The human voice carries speech as well as important nonlinguistic signals that
influence our social interactions. Among these cues that impact our behavior and
communication with other people is the perceived emotional state of the speaker.
A theoretical framework for the neural processing stages of emotional prosody has
suggested that auditory emotion is perceived in multiple steps (Schirmer and
Kotz, 2006) involving low-level auditory analysis and integration of the acoustic
information followed by higher-level cognition. Empirical evidence for this
multistep processing chain, however, is still sparse. We examined this question
using functional magnetic resonance imaging and a continuous carry-over design
(Aguirre, 2007) to measure brain activity while volunteers listened to non-speech
affective vocalizations morphed on a continuum between anger and fear. Analyses
dissociated neuronal adaptation effects induced by similarity in perceived
emotional content between consecutive stimuli from those induced by their
acoustic similarity. We found that bilateral voice-sensitive auditory regions as
well as right amygdala coded the physical difference between consecutive stimuli.
In contrast, activity in bilateral anterior insulae, medial superior frontal
cortex, precuneus, and subcortical regions such as bilateral hippocampi depended
predominantly on the perceptual difference between morphs. Our results suggest
that the processing of vocal affect recognition is a multistep process involving
largely distinct neural networks. Amygdala and auditory areas predominantly code
emotion-related acoustic information while more anterior insular and prefrontal
regions respond to the abstract, cognitive representation of vocal affect.
PMID- 24920614
TI - Profilin 1 associates with stress granules and ALS-linked mutations alter stress
granule dynamics.
AB - Mutations in the PFN1 gene encoding profilin 1 are a rare cause of familial
amyotrophic lateral sclerosis (ALS). Profilin 1 is a well studied actin-binding
protein but how PFN1 mutations cause ALS is unknown. The budding yeast,
Saccharomyces cerevisiae, has one PFN1 ortholog. We expressed the ALS-linked
profilin 1 mutant proteins in yeast, demonstrating a loss of protein stability
and failure to restore growth to profilin mutant cells, without exhibiting gain
of-function toxicity. This model provides for simple and rapid screening of novel
ALS-linked PFN1 variants. To gain insight into potential novel roles for profilin
1, we performed an unbiased, genome-wide synthetic lethal screen with yeast cells
lacking profilin (pfy1Delta). Unexpectedly, deletion of several stress granule
and processing body genes, including pbp1Delta, were found to be synthetic lethal
with pfy1Delta. Mutations in ATXN2, the human ortholog of PBP1, are a known ALS
genetic risk factor and ataxin 2 is a stress granule component in mammalian
cells. Given this genetic interaction and recent evidence linking stress granule
dynamics to ALS pathogenesis, we hypothesized that profilin 1 might also
associate with stress granules. Here we report that profilin 1 and related
protein profilin 2 are novel stress granule-associated proteins in mouse primary
cortical neurons and in human cell lines and that ALS-linked mutations in
profilin 1 alter stress granule dynamics, providing further evidence for the
potential role of stress granules in ALS pathogenesis.
PMID- 24920616
TI - Specialized pathways from the primate amygdala to posterior orbitofrontal cortex.
AB - The primate amygdala sends dense projections to posterior orbitofrontal cortex
(pOFC) in pathways that are critical for processing emotional content, but the
synaptic mechanisms are not understood. We addressed this issue by investigating
pathways in rhesus monkeys (Macaca mulatta) from the amygdala to pOFC at the
level of the system and synapse. Terminations from the amygdala were denser and
larger in pOFC compared with the anterior cingulate cortex, which is also
strongly connected with the amygdala. Axons from the amygdala terminated most
densely in the upper layers of pOFC through large terminals. Most of these
terminals innervated spines of presumed excitatory neurons and many were
frequently multisynaptic and perforated, suggesting high synaptic efficacy. These
amygdalar synapses in pOFC exceeded in size and specialization even
thalamocortical terminals from the prefrontal-related thalamic mediodorsal
nucleus to the middle cortical layers, which are thought to be highly efficient
drivers of cortical neurons. Pathway terminals in the upper layers impinge on the
apical dendrites of neurons in other layers, suggesting that the robust amygdalar
projections may also activate neurons in layer 5 that project back to the
amygdala and beyond to autonomic structures. Among inhibitory neurons, the
amygdalar pathway innervated preferentially the neurochemical classes of
calbindin and calretinin neurons in the upper layers of pOFC, which are
synaptically suited to suppress noise and enhance signals. These features provide
a circuit mechanism for flexibly shifting focus and adjusting emotional drive in
processes disrupted in psychiatric disorders, such as phobias and obsessive
compulsive disorder.
PMID- 24920617
TI - Eye movements and the neural basis of context effects on visual sensitivity.
AB - The effects of context on visual sensitivity are well established (e.g.,
sensitivity to luminance flicker is substantially higher on mean-gray surrounds
than on white or black surrounds). The neural mechanisms generating context
effects, however, remain unresolved. In the absence of direct tests, some
theories invoke enhancement of edges by lateral inhibition, whereas others rely
on transients caused by miniature eye movements that maintain fixation. We first
replicated the luminance results on human observers and found unexpectedly that
sensitivity to red-green flicker is also affected by surround color, being
substantially higher on mean-gray surrounds than on red or green surrounds. To
identify the neural bases of both context effects, we used in vivo
electrophysiological recordings of primate magnocellular and parvocellular
ganglion cell responses to luminance and red-green modulations, respectively. To
test neuronal sensitivity to stationary edge contrast, neuronal responses were
measured at various distances from the modulation edge against various surrounds.
We found no evidence of enhanced responses to stationary edges on any surrounds,
ruling out lateral inhibition-type explanations. To simulate the effects of eye
movements, target patches were abruptly displaced while measuring responses.
Abruptly displaced edges evoked vigorous transient responses that were selective
for modulation-phase on mean-gray surrounds, but were phase-invariant on other
surrounds. Eye movements could thus enhance detection of flicker on mean-gray
surrounds, and neurometric analyses supported a primary role for eye movements in
enhancing sensitivity. In addition, the transformation of spatial edges to
transient neuronal responses by eye movements provides the signals for detecting
luminance and color edges in natural scenes.
PMID- 24920618
TI - Parallel midbrain microcircuits perform independent temporal transformations.
AB - The capacity to select the most important information and suppress distracting
information is crucial for survival. The midbrain contains a network critical for
the selection of the strongest stimulus for gaze and attention. In avians, the
optic tectum (OT; called the superior colliculus in mammals) and the GABAergic
nucleus isthmi pars magnocellularis (Imc) cooperate in the selection process. In
the chicken, OT layer 10, located in intermediate layers, responds to afferent
input with gamma periodicity (25-75 Hz), measured at the level of individual
neurons and the local field potential. In contrast, Imc neurons, which receive
excitatory input from layer 10 neurons, respond with tonic, unusually high
discharge rates (>150 spikes/s). In this study, we reveal the source of this high
rate inhibitory activity: layer 10 neurons that project to the Imc possess
specialized biophysical properties that enable them to transform afferent drive
into high firing rates (~130 spikes/s), whereas neighboring layer 10 neurons,
which project elsewhere, transform afferent drive into lower-frequency, periodic
discharge patterns. Thus, the intermediate layers of the OT contain parallel,
intercalated microcircuits that generate different temporal patterns of activity
linked to the functions of their respective downstream targets.
PMID- 24920619
TI - Suppression of microglial activation is neuroprotective in a mouse model of human
retinitis pigmentosa.
AB - Retinitis pigmentosa (RP) is a photoreceptor-degenerative disease caused by
various mutations and is characterized by death of rod photoreceptor cell
followed by gradual death of cone photoreceptors. The molecular mechanisms that
lead to rod and cone death are not yet fully understood. Neuroinflammation
contributes to the progression of many chronic neurodegenerative disorders.
However, it remains to be determined how microglia contribute to photoreceptor
disruption in RP. In this study, we explored the role of microglia as a
contributor to photoreceptor degeneration in the rd10 mouse model of RP. First,
we demonstrated that microglia activation was an early alteration in RP retinas.
Inhibition of microglia activation by minocycline reduced photoreceptor apoptosis
and significantly improved retinal structure and function and visual behavior in
rd10 mice. Second, we identified that minocycline exerted its neuroprotective
effects through both anti-inflammatory and anti-apoptotic mechanisms. Third, we
found that Cx3cr1 deficiency dysregulated microglia activation and subsequently
resulted in increased photoreceptor vulnerability in rd10 mice, suggesting that
the Cx3cl1/Cx3cr1 signaling pathway might protect against microglia
neurotoxicity. We concluded that suppression of neuroinflammatory responses could
be a potential treatment strategy aimed at improving photoreceptor survival in
human RP.
PMID- 24920620
TI - Identification and characterization of GABA(A) receptor autoantibodies in
autoimmune encephalitis.
AB - Autoimmune forms of encephalitis have been associated with autoantibodies against
synaptic cell surface antigens such as NMDA- and AMPA-type glutamate receptors,
GABA(B) receptor, and LGI1. However, it remains unclear how many synaptic
autoantigens are yet to be defined. Using immunoproteomics, we identified
autoantibodies against the GABA(A) receptor in human sera from two patients
diagnosed with encephalitis who presented with cognitive impairment and
multifocal brain MRI abnormalities. Both patients had antibodies directed against
the extracellular epitope of the beta3 subunit of the GABA(A) receptor. The beta3
subunit-containing GABA(A) receptor was a major target of the patients' serum
antibodies in rat hippocampal neurons because the serum reactivity to the
neuronal surface was greatly decreased by 80% when the beta3 subunit was knocked
down. Our developed multiplex ELISA testing showed that both patients had similar
levels of GABA(A) receptor antibodies, one patient also had a low level of LGI1
antibodies, and the other also had CASPR2 antibodies. Application of the
patients' serum at the time of symptom presentation of encephalitis to rat
hippocampal neuron cultures specifically decreased both synaptic and surface
GABA(A) receptors. Furthermore, treatment of neurons with the patients' serum
selectively reduced miniature IPSC amplitude and frequency without affecting
miniature EPSCs. These results strongly suggest that the patients' GABA(A)
receptor antibodies play a central role in the patients' symptoms. Therefore,
this study establishes anti-GABA(A) receptor encephalitis and expands the
pathogenic roles of GABA(A) receptor autoantibodies.
PMID- 24920621
TI - Submembrane assembly and renewal of rod photoreceptor cGMP-gated channel: insight
into the actin-dependent process of outer segment morphogenesis.
AB - The photoreceptor outer segment (OS) is comprised of two compartments: plasma
membrane (PM) and disk membranes. It is unknown how the PM renewal is coordinated
with that of the disk membranes. Here we visualized the localization and
trafficking process of rod cyclic nucleotide-gated channel alpha-subunit (CNGA1),
a PM component essential for phototransduction. The localization was visualized
by fusing CNGA1 to a fluorescent protein Dendra2 and expressing in Xenopus laevis
rod photoreceptors. Dendra2 allowed us to label CNGA1 in a spatiotemporal manner
and therefore discriminate between old and newly trafficked CNGA1-Dendra2 in the
OS PM. Newly synthesized CNGA1 was preferentially trafficked to the basal region
of the lateral OS PM where newly formed and matured disks are also added. Unique
trafficking pattern and diffusion barrier excluded CNGA1 from the PM domains,
which are the proposed site of disk membrane maturation. Such distinct
compartmentalization allows the confinement of cyclic nucleotide-gated channel in
the PM, while preventing the disk membrane incorporation. Cytochalasin D and
latrunculin A treatments, which are known to disrupt F-actin-dependent disk
membrane morphogenesis, prevented the entrance of newly synthesized CNGA1 to the
OS PM, but did not prevent the entrance of rhodopsin and peripherin/rds to the
membrane evaginations believed to be disk membrane precursors. Uptake of
rhodopsin and peripherin/rds coincided with the overgrowth of the evaginations at
the base of the OS. Thus F-actin is essential for the trafficking of CNGA1 to the
ciliary PM, and coordinates the formations of disk membrane rim region and OS PM.
PMID- 24920622
TI - Conditional ablation of astroglial CCL2 suppresses CNS accumulation of M1
macrophages and preserves axons in mice with MOG peptide EAE.
AB - Current multiple sclerosis (MS) therapies only partially prevent chronically
worsening neurological deficits, which are largely attributable to progressive
loss of CNS axons. Prior studies of experimental autoimmune encephalomyelitis
(EAE) induced in C57BL/6 mice by immunization with myelin oligodendrocyte
glycoprotein peptide 35-55 (MOG peptide), a model of MS, documented continued
axon loss for months after acute CNS inflammatory infiltrates had subsided, and
massive astroglial induction of CCL2 (MCP-1), a chemokine for CCR2(+) monocytes.
We now report that conditional deletion of astroglial CCL2 significantly
decreases CNS accumulation of classically activated (M1) monocyte-derived
macrophages and microglial expression of M1 markers during the initial CNS
inflammatory phase of MOG peptide EAE, reduces the acute and long-term severity
of clinical deficits and slows the progression of spinal cord axon loss. In
addition, lack of astroglial-derived CCL2 results in increased accumulation of
Th17 cells within the CNS in these mice, but also in greater confinement of
CD4(+) lymphocytes to CNS perivascular spaces. These findings suggest that
therapies designed to inhibit astroglial CCL2-driven trafficking of monocyte
derived macrophages to the CNS during acute MS exacerbations have the potential
to significantly reduce CNS axon loss and slow progression of neurological
deficits.
PMID- 24920623
TI - Astrocyte-derived BDNF supports myelin protein synthesis after cuprizone-induced
demyelination.
AB - It is well established that BDNF may enhance oligodendrocyte differentiation
following a demyelinating lesion, however, the endogenous sources of BDNF that
may be harnessed to reverse deficits associated with such lesions are poorly
defined. Here, we investigate roles of astrocytes in synthesizing and releasing
BDNF. These cells are known to express BDNF following injury in vivo. In culture,
they increase BDNF synthesis and release in response to glutamate metabotropic
stimulation. Following cuprizone-elicited demyelination in mice, astrocytes
contain BDNF and increase levels of metabotropic receptors. The metabotropic
agonist, trans-(1S,3R)-1-amino-1,3-cyclopentanedicarboxylic acid (ACPD), was
therefore injected into the demyelinating lesion. Increases in BDNF, as well as
myelin proteins, were observed. Effects of ACPD were eliminated by coinjection of
trkB-Fc to locally deplete BDNF and by deletion of astrocyte-derived BDNF. The
data indicate that astrocyte-derived BDNF may be a source of trophic support that
can be used to reverse deficits elicited following demyelination.
PMID- 24920624
TI - Synaptic properties of SOM- and CCK-expressing cells in dentate gyrus interneuron
networks.
AB - Hippocampal GABAergic cells are highly heterogeneous, but the functional
significance of this diversity is not fully understood. By using paired
recordings of synaptically connected interneurons in slice preparations of the
rat and mouse dentate gyrus (DG), we show that morphologically identified
interneurons form complex neuronal networks. Synaptic inhibitory interactions
exist between cholecystokinin (CCK)-expressing hilar commissural associational
path (HICAP) cells and among somatostatin (SOM)-containing hilar perforant path
associated (HIPP) interneurons. Moreover, both interneuron types inhibit
parvalbumin (PV)-expressing perisomatic inhibitory basket cells (BCs), whereas
BCs and HICAPs rarely target HIPP cells. HICAP and HIPP cells produce slow, weak,
and unreliable inhibition onto postsynaptic interneurons. The time course of
inhibitory signaling is defined by the identity of the presynaptic and
postsynaptic cell. It is the slowest for HIPP-HIPP, intermediately slow for HICAP
HICAP, but fast for BC-BC synapses. GABA release at interneuron-interneuron
synapses also shows cell type-specific short-term dynamics, ranging from multiple
pulse facilitation at HICAP-HICAP, biphasic modulation at HIPP-HIPP to depression
at BC-BC synapses. Although dendritic inhibition at HICAP-BC and HIPP-BC synapses
appears weak and slow, channelrhodopsin 2-mediated excitation of SOM terminals
demonstrates that they effectively control the activity of target interneurons.
They markedly reduce the discharge probability but sharpen the temporal precision
of action potential generation. Thus, dendritic inhibition seems to play an
important role in determining the activity pattern of GABAergic interneuron
populations and thereby the flow of information through the DG circuitry.
PMID- 24920625
TI - Is Parkinson's disease a vesicular dopamine storage disorder? Evidence from a
study in isolated synaptic vesicles of human and nonhuman primate striatum.
AB - The cause of degeneration of nigrostriatal dopamine (DA) neurons in idiopathic
Parkinson's disease (PD) is still unknown. Intraneuronally, DA is largely
confined to synaptic vesicles where it is protected from metabolic breakdown. In
the cytoplasm, however, free DA can give rise to formation of cytotoxic free
radicals. Normally, the concentration of cytoplasmic DA is kept at a minimum by
continuous pumping activity of the vesicular monoamine transporter (VMAT)2.
Defects in handling of cytosolic DA by VMAT2 increase levels of DA-generated oxy
radicals ultimately resulting in degeneration of DAergic neurons. Here, we
isolated for the first time, DA storage vesicles from the striatum of six
autopsied brains of PD patients and four controls and measured several indices of
vesicular DA storage mechanisms. We found that (1) vesicular uptake of DA and
binding of the VMAT2-selective label [(3)H]dihydrotetrabenazine were profoundly
reduced in PD by 87-90% and 71-80%, respectively; (2) after correcting for DA
nerve terminal loss, DA uptake per VMAT2 transport site was significantly reduced
in PD caudate and putamen by 53 and 55%, respectively; (3) the VMAT2 transport
defect appeared specific for PD as it was not present in Macaca fascicularis (7
MPTP and 8 controls) with similar degree of MPTP-induced nigrostriatal
neurodegeneration; and (4) DA efflux studies and measurements of acidification in
the vesicular preparations suggest that the DA storage impairment was localized
at the VMAT2 protein itself. We propose that this VMAT2 defect may be an early
abnormality promoting mechanisms leading to nigrostriatal DA neuron death in PD.
PMID- 24920626
TI - Role of small conductance Ca2+-activated K+ channels in controlling CA1 pyramidal
cell excitability.
AB - Small-conductance Ca(2+)-activated K(+) (SK or K(Ca)2) channels are widely
expressed in the CNS. In several types of neurons, these channels were shown to
become activated during repetitive firing, causing early spike frequency
adaptation. In CA1 pyramidal cells, SK channels in dendritic spines were shown to
regulate synaptic transmission. However, the presence of functional SK channels
in the somata and their role in controlling the intrinsic firing of these neurons
has been controversial. Using whole-cell voltage-clamp and current-clamp
recordings in acute hippocampal slices and focal applications of irreversible and
reversible SK channel blockers, we provide evidence that functional SK channels
are expressed in the somata and proximal dendrites of adult rat CA1 pyramidal
cells. Although these channels can generate a medium duration
afterhyperpolarizing current, they play only an auxiliary role in controlling the
intrinsic excitability of these neurons, secondary to the low voltage-activating,
noninactivating K(V)7/M channels. As long as K(V)7/M channels are operative,
activation of SK channels during repetitive firing does not notably affect the
spike output of CA1 pyramidal cells. However, when K(V)7/M channel activity is
compromised, SK channel activation significantly and uniquely reduces spike
output of these neurons. Therefore, proximal SK channels provide a "second line
of defense" against intrinsic hyperexcitability, which may play a role in
multiple conditions in which K(V)7/M channels activity is compromised, such as
hyposmolarity.
PMID- 24920628
TI - The basal thermal sensitivity of the TRPV1 ion channel is determined by
PKCbetaII.
AB - Peripheral nociceptors are excited by the activation of membrane receptors and
ion channels. The heat-sensitive TRPV1 ion channel responds to various noxious
chemical and thermal stimuli, causing pain and itch. Here, we show that TRPV1 is
coexpressed with PKCbetaII in a subset of mouse sensory neurons and that, in
these neurons, TRPV1 binds directly to PKCbetaII, leading to the activation and
translocation of PKCbetaII. Activated PKCbetaII, in turn, significantly increases
the responsiveness of TRPV1 by phosphorylating Thr705. The heat sensitivity of
TRPV1 is almost eliminated by either knocking down PKCbetaII or mutating Thr705;
however, neither of these manipulations affects the potentiation of TRPV1 caused
by the activation of PKCepsilon. PKCbetaII thus acts as an auxiliary subunit of
TRPV1 by forming a population-dependent TRPV1 ion channel complex controlling the
sensitivity of TRPV1 and setting the threshold for pain and itch.
PMID- 24920627
TI - Cerebellar zonal patterning relies on Purkinje cell neurotransmission.
AB - Cerebellar circuits are patterned into an array of topographic parasagittal
domains called zones. The proper connectivity of zones is critical for motor
coordination and motor learning, and in several neurological diseases cerebellar
circuits degenerate in zonal patterns. Despite recent advances in understanding
zone function, we still have a limited understanding of how zones are formed.
Here, we focused our attention on Purkinje cells to gain a better understanding
of their specific role in establishing zonal circuits. We used conditional mouse
genetics to test the hypothesis that Purkinje cell neurotransmission is essential
for refining prefunctional developmental zones into sharp functional zones. Our
results show that inhibitory synaptic transmission in Purkinje cells is necessary
for the precise patterning of Purkinje cell zones and the topographic targeting
of mossy fiber afferents. As expected, blocking Purkinje cell neurotransmission
caused ataxia. Using in vivo electrophysiology, we demonstrate that loss of
Purkinje cell communication altered the firing rate and pattern of their target
cerebellar nuclear neurons. Analysis of Purkinje cell complex spike firing
revealed that feedback in the cerebellar nuclei to inferior olive to Purkinje
cell loop is obstructed. Loss of Purkinje neurotransmission also caused ectopic
zonal expression of tyrosine hydroxylase, which is only expressed in adult
Purkinje cells when calcium is dysregulated and if excitability is altered. Our
results suggest that Purkinje cell inhibitory neurotransmission establishes the
functional circuitry of the cerebellum by patterning the molecular zones, fine
tuning afferent circuitry, and shaping neuronal activity.
PMID- 24920629
TI - Ischemic stroke injury is mediated by aberrant Cdk5.
AB - Ischemic stroke is one of the leading causes of morbidity and mortality.
Treatment options are limited and only a minority of patients receive acute
interventions. Understanding the mechanisms that mediate neuronal injury and
death may identify targets for neuroprotective treatments. Here we show that the
aberrant activity of the protein kinase Cdk5 is a principal cause of neuronal
death in rodents during stroke. Ischemia induced either by embolic middle
cerebral artery occlusion (MCAO) in vivo or by oxygen and glucose deprivation in
brain slices caused calpain-dependent conversion of the Cdk5-activating cofactor
p35 to p25. Inhibition of aberrant Cdk5 during ischemia protected dopamine
neurotransmission, maintained field potentials, and blocked excitotoxicity.
Furthermore, pharmacological inhibition or conditional knock-out (CKO) of Cdk5
prevented neuronal death in response to ischemia. Moreover, Cdk5 CKO dramatically
reduced infarctions following MCAO. Thus, targeting aberrant Cdk5 activity may
serve as an effective treatment for stroke.
PMID- 24920630
TI - Wnt-responsive Lgr5+ globose basal cells function as multipotent olfactory
epithelium progenitor cells.
AB - Persistent neurogenesis in the olfactory epithelium provides a unique model to
study neural stem cell self-renewal and fate determination. In the olfactory
neuroepithelium, globose basal cells (GBCs) are considered to be the direct
progenitors of olfactory neurons. However, the study of neurogenesis from GBCs
has been impeded by the paucity of GBC-specific markers. Here we report that
Lgr5, a recently discovered adult stem cell marker, is exclusively expressed in
GBCs in neonatal and adult mice. Lgr5(+) cells display characteristics of cycling
stem cells, including Ki67 expression and EdU incorporation. Lineage tracing
analysis demonstrates that Lgr5(+) GBCs regenerate multiple cell types under
normal turnover condition or after olfactory lesion. Furthermore, upregulation or
downregulation of Wnt signaling in vivo indicates a key role of Wnt signaling not
only in maintaining Lgr5(+) cell proliferation and promoting neuroregeneration,
but also in delaying sensory neuron maturation. Together, our observations
provided new insights into the dynamics of neurogenesis in the olfactory
epithelium.
PMID- 24920631
TI - Chronic GluN2B antagonism disrupts behavior in wild-type mice without protecting
against synapse loss or memory impairment in Alzheimer's disease mouse models.
AB - Extensive evidence implicates GluN2B-containing NMDA receptors (GluN2B-NMDARs) in
excitotoxic-insult-induced neurodegeneration and amyloid beta (Abeta)-induced
synaptic dysfunction. Therefore, inhibiting GluN2B-NMDARs would appear to be a
potential therapeutic strategy to provide neuroprotection and improve cognitive
function in Alzheimer's disease (AD). However, there are no reports of long-term
in vivo treatment of AD mouse models with GluN2B antagonists. We used
piperidine18 (Pip18), a potent and selective GluN2B-NMDAR antagonist with
favorable pharmacokinetic properties, for long-term dosing in AD mouse models.
Reduced freezing behavior in Tg2576 mice during fear conditioning was partially
reversed after subchronic (17 d) Pip18 treatment. However, analysis of freezing
behavior in different contexts indicated that this increased freezing likely
involves elevated anxiety or excessive memory generalization in both
nontransgenic (NTG) and Tg2576 mice. In PS2APP mice chronically fed with
medicated food containing Pip18 for 4 months, spatial learning and memory
deficits were not rescued, plaque-associated spine loss was not affected, and
synaptic function was not altered. At the same time, altered open field activity
consistent with increased anxiety and degraded performance in an active avoidance
task were observed in NTG after chronic treatment. These results indicate that
long-term treatment with a GluN2B-NMDAR antagonist does not provide a disease
modifying benefit and could cause cognitive liabilities rather than symptomatic
benefit in AD mouse models. Therefore, these results challenge the expectation of
the therapeutic potential for GluN2B-NMDAR antagonists in AD.
PMID- 24920632
TI - Learning redundant motor tasks with and without overlapping dimensions:
facilitation and interference effects.
AB - Prior learning of a motor skill creates motor memories that can facilitate or
interfere with learning of new, but related, motor skills. One hypothesis of
motor learning posits that for a sensorimotor task with redundant degrees of
freedom, the nervous system learns the geometric structure of the task and
improves performance by selectively operating within that task space. We tested
this hypothesis by examining if transfer of learning between two tasks depends on
shared dimensionality between their respective task spaces. Human participants
wore a data glove and learned to manipulate a computer cursor by moving their
fingers. Separate groups of participants learned two tasks: a prior task that was
unique to each group and a criterion task that was common to all groups. We
manipulated the mapping between finger motions and cursor positions in the prior
task to define task spaces that either shared or did not share the task space
dimensions (x-y axes) of the criterion task. We found that if the prior task
shared task dimensions with the criterion task, there was an initial facilitation
in criterion task performance. However, if the prior task did not share task
dimensions with the criterion task, there was prolonged interference in learning
the criterion task due to participants finding inefficient task solutions. These
results show that the nervous system learns the task space through practice, and
that the degree of shared task space dimensionality influences the extent to
which prior experience transfers to subsequent learning of related motor skills.
PMID- 24920633
TI - Gephyrin clusters are absent from small diameter primary afferent terminals
despite the presence of GABA(A) receptors.
AB - Whereas both GABA(A) receptors (GABA(A)Rs) and glycine receptors (GlyRs) play a
role in control of dorsal horn neuron excitability, their relative contribution
to inhibition of small diameter primary afferent terminals remains controversial.
To address this, we designed an approach for quantitative analyses of the
distribution of GABA(A)R-subunits, GlyR alpha1-subunit and their anchoring
protein, gephyrin, on terminals of rat spinal sensory afferents identified by
Calcitonin-Gene-Related-Peptide (CGRP) for peptidergic terminals, and by
Isolectin-B4 (IB4) for nonpeptidergic terminals. The approach was designed for
light microscopy, which is compatible with the mild fixation conditions necessary
for immunodetection of several of these antigens. An algorithm was designed to
recognize structures with dimensions similar to those of the microscope
resolution. To avoid detecting false colocalization, the latter was considered
significant only if the degree of pixel overlap exceeded that expected from
randomly overlapping pixels given a hypergeometric distribution. We found that
both CGRP(+) and IB4(+) terminals were devoid of GlyR alpha1-subunit and
gephyrin. The alpha1 GABA(A)R was also absent from these terminals. In contrast,
the GABA(A)R alpha2/alpha3/alpha5 and beta3 subunits were significantly expressed
in both terminal types, as were other GABA(A)R-associated-proteins (alpha
Dystroglycan/Neuroligin-2/Collybistin-2). Ultrastructural immunocytochemistry
confirmed the presence of GABA(A)R beta3 subunits in small afferent terminals.
Real-time quantitative PCR (qRT-PCR) confirmed the results of light microscopy
immunochemical analysis. These results indicate that dorsal horn inhibitory
synapses follow different rules of organization at presynaptic versus
postsynaptic sites (nociceptive afferent terminals vs inhibitory synapses on
dorsal horn neurons). The absence of gephyrin clusters from primary afferent
terminals suggests a more diffuse mode of GABA(A)-mediated transmission at
presynaptic than at postsynaptic sites.
PMID- 24920634
TI - Reducing glypican-4 in ES cells improves recovery in a rat model of Parkinson's
disease by increasing the production of dopaminergic neurons and decreasing
teratoma formation.
AB - The heparan sulfate proteoglycan Glypican 4 (Gpc4) is strongly expressed in mouse
embryonic stem (ES) cells where it controls the maintenance of self-renewal by
modulating Wnt/beta-catenin signaling activities. Here we show that mouse ES
cells carrying a hypomorphic Gpc4 allele, in a single-step neuronal
differentiation protocol, show increased differentiation into dopaminergic
neurons expressing tyrosine hydroxylase (TH) and nuclear receptor related-1
protein (Nurr1) 1. In contrast to wild-type cells, these differentiating Gpc4
mutant cells expressed high levels of DOPA decarboxylase and the dopamine
transporter, two markers expressed by fully mature dopaminergic neurons.
Intrastriatal transplantation of Gpc4 hypomorphic cells into a 6-OHDA rat model
for Parkinson's disease improved motor behavior in the cylinder test and
amphetamine-induced rotations at a higher level than transplanted wild-type
cells. Importantly, Gpc4 hypomorphic cell grafts, in contrast to wild-type cells,
did not generate teratomas in the host brains, leading to strongly enhanced
animal survival. Therefore, control of Gpc4 activity level represents a new
potential strategy to reduce ES cell tumorigenic features while at the same time
increasing neuronal differentiation and integration.
PMID- 24920636
TI - Anomalous transfer of syntax between languages.
AB - Each human language possesses a set of distinctive syntactic rules. Here, we show
that balanced Welsh-English bilinguals reading in English unconsciously apply a
morphosyntactic rule that only exists in Welsh. The Welsh soft mutation rule
determines whether the initial consonant of a noun changes based on the
grammatical context (e.g., the feminine noun cath--"cat" mutates into gath in the
phrase y gath--"the cat"). Using event-related brain potentials, we establish
that English nouns artificially mutated according to the Welsh mutation rule
(e.g., "goncert" instead of "concert") require significantly less processing
effort than the same nouns implicitly violating Welsh syntax. Crucially, this
effect is found whether or not the mutation affects the same initial consonant in
English and Welsh, showing that Welsh syntax is applied to English regardless of
phonological overlap between the two languages. Overall, these results
demonstrate for the first time that abstract syntactic rules transfer anomalously
from one language to the other, even when such rules exist only in one language.
PMID- 24920635
TI - Cannabinoid 1 and transient receptor potential vanilloid 1 receptors discretely
modulate evoked glutamate separately from spontaneous glutamate transmission.
AB - Action potentials trigger synaptic terminals to synchronously release vesicles,
but some vesicles release spontaneously. G-protein-coupled receptors (GPCRs) can
modulate both of these processes. At cranial primary afferent terminals, the GPCR
cannabinoid 1 (CB1) is often coexpressed with transient receptor potential
vanilloid 1 (TRPV1), a nonselective cation channel present on most afferents.
Here we tested whether CB1 activation modulates synchronous, action potential
evoked (eEPSCs) and/or spontaneous (sEPSCs) EPSCs at solitary tract nucleus
neurons. In rat horizontal brainstem slices, activation of solitary tract (ST)
primary afferents generated ST-eEPSCs that were rapidly and reversibly inhibited
from most afferents by activation of CB1 with arachidonyl-2'-chloroethylamide
(ACEA) or WIN 55,212-2 [R-(+)-(2,3-dihydro-5-methyl-3-[(4
morpholinyl)methyl]pyrrolo[1,2,3-de]-1,4-benzoxazin-6-yl)(1-naphthalenyl)
methanone monomethanesulfonate]. The CB1 antagonist/inverse agonist AM251 [N-1
(2,4-dichlorophenyl)-5-(4-iodophenyl)-4-methyl-N-1-piperidinyl-1H-pyrazole-3
carboxamide] blocked these responses. Despite profound depression of ST-eEPSCs
during CB1 activation, sEPSCs in these same neurons were unaltered. Changes in
temperature changed sEPSC frequency only from TRPV1(+) afferents (i.e., thermal
sEPSC responses only occurred in TRPV1(+) afferents). CB1 activation failed to
alter these thermal sEPSC responses. However, the endogenous arachidonate
metabolite N-arachidonyldopamine (NADA) promiscuously activated both CB1 and
TRPV1 receptors. NADA inhibited ST-eEPSCs while simultaneously increasing sEPSC
frequency, and thermally triggered sEPSC increases in neurons with TRPV1(+)
afferents. We found no evidence for CB1/TRPV1 interactions suggesting independent
regulation of two separate vesicle pools. Together, these data demonstrate that
action potential-evoked synchronous glutamate release is modulated separately
from TRPV1-mediated glutamate release despite coexistence in the same central
terminations. This two-pool arrangement allows independent and opposite
modulation of glutamate release by single lipid metabolites.
PMID- 24920637
TI - CNS amyloid-beta, soluble APP-alpha and -beta kinetics during BACE inhibition.
AB - BACE, a beta-secretase, is an attractive potential disease-modifying therapeutic
strategy for Alzheimer's disease (AD) as it results directly in the decrease of
amyloid precursor protein (APP) processing through the beta-secretase pathway and
a lowering of CNS amyloid-beta (Abeta) levels. The interaction of the beta
secretase and alpha-secretase pathway-mediated processing of APP in the rhesus
monkey (nonhuman primate; NHP) CNS is not understood. We hypothesized that CNS
inhibition of BACE would result in decreased newly generated Abeta and soluble
APPbeta (sAPPbeta), with increased newly generated sAPPalpha. A stable isotope
labeling kinetics experiment in NHPs was performed with a (13)C6-leucine infusion
protocol to evaluate effects of BACE inhibition on CNS APP processing by
measuring the kinetics of sAPPalpha, sAPPbeta, and Abeta in CSF. Each NHP
received a low, medium, or high dose of MBI-5 (BACE inhibitor) or vehicle in a
four-way crossover design. CSF sAPPalpha, sAPPbeta, and Abeta were measured by
ELISA and newly incorporated label following immunoprecipitation and liquid
chromatography-mass spectrometry. Concentrations, kinetics, and amount of newly
generated APP fragments were calculated. sAPPbeta and sAPPalpha kinetics were
similar, but both significantly slower than Abeta. BACE inhibition resulted in
decreased labeled sAPPbeta and Abeta in CSF, without observable changes in
labeled CSF sAPPalpha. ELISA concentrations of sAPPbeta and Abeta both decreased
and sAPPalpha increased. sAPPalpha increased by ELISA, with no difference by
labeled sAPPalpha kinetics indicating increases in product may be due to APP
shunting from the beta-secretase to the alpha-secretase pathway. These results
provide a quantitative understanding of pharmacodynamic effects of BACE
inhibition on NHP CNS, which can inform about target development.
PMID- 24920638
TI - Statistics of the vestibular input experienced during natural self-motion:
implications for neural processing.
AB - It is widely believed that sensory systems are optimized for processing stimuli
occurring in the natural environment. However, it remains unknown whether this
principle applies to the vestibular system, which contributes to essential brain
functions ranging from the most automatic reflexes to spatial perception and
motor coordination. Here we quantified, for the first time, the statistics of
natural vestibular inputs experienced by freely moving human subjects during
typical everyday activities. Although previous studies have found that the power
spectra of natural signals across sensory modalities decay as a power law (i.e.,
as 1/f(alpha)), we found that this did not apply to natural vestibular stimuli.
Instead, power decreased slowly at lower and more rapidly at higher frequencies
for all motion dimensions. We further establish that this unique stimulus
structure is the result of active motion as well as passive biomechanical
filtering occurring before any neural processing. Notably, the transition
frequency (i.e., frequency at which power starts to decrease rapidly) was lower
when subjects passively experienced sensory stimulation than when they actively
controlled stimulation through their own movement. In contrast to signals
measured at the head, the spectral content of externally generated (i.e.,
passive) environmental motion did follow a power law. Specifically,
transformations caused by both motor control and biomechanics shape the
statistics of natural vestibular stimuli before neural processing. We suggest
that the unique structure of natural vestibular stimuli will have important
consequences on the neural coding strategies used by this essential sensory
system to represent self-motion in everyday life.
PMID- 24920639
TI - Specialized postsynaptic morphology enhances neurotransmitter dilution and high
frequency signaling at an auditory synapse.
AB - Sensory processing in the auditory system requires that synapses, neurons, and
circuits encode information with particularly high temporal and spectral
precision. In the amphibian papillia, sound frequencies up to 1 kHz are encoded
along a tonotopic array of hair cells and transmitted to afferent fibers via
fast, repetitive synaptic transmission, thereby promoting phase locking between
the presynaptic and postsynaptic cells. Here, we have combined serial section
electron microscopy, paired electrophysiological recordings, and Monte Carlo
diffusion simulations to examine novel mechanisms that facilitate fast synaptic
transmission in the inner ear of frogs (Rana catesbeiana and Rana pipiens). Three
dimensional anatomical reconstructions reveal specialized spine-like contacts
between individual afferent fibers and hair cells that are surrounded by large,
open regions of extracellular space. Morphologically realistic diffusion
simulations suggest that these local enlargements in extracellular space speed
transmitter clearance and reduce spillover between neighboring synapses, thereby
minimizing postsynaptic receptor desensitization and improving sensitivity during
prolonged signal transmission. Additionally, evoked EPSCs in afferent fibers are
unaffected by glutamate transporter blockade, suggesting that transmitter
diffusion and dilution, and not uptake, play a primary role in speeding
neurotransmission and ensuring fidelity at these synapses.
PMID- 24920640
TI - Orientation decoding in human visual cortex: new insights from an unbiased
perspective.
AB - The development of multivariate pattern analysis or brain "decoding" methods has
substantially altered the field of fMRI research. Although these methods are
highly sensitive to whether or not decodable information exists, the information
they discover and make use of for decoding is often concealed within complex
patterns of activation. This opacity of interpretation is embodied in influential
studies showing that the orientation of visual gratings can be decoded from brain
activity in human visual cortex with fMRI. Although these studies provided a
compelling demonstration of the power of these methods, their findings were
somewhat mysterious as the scanning resolution was insufficient to resolve
orientation columns, i.e., orientation information should not have been
accessible. Two theories have been put forth to account for this result, the
hyperacuity account and the biased map account, both of which assume that small
biases in fMRI voxels are the source of decodable information. In the present
study, we use Hubel and Wiesel's (1972) classic ice-cube model of visual cortex
to show that the orientation of gratings can be decoded from an unbiased
representation. In our analysis, we identify patterns of activity elicited by the
edges of the stimulus as the source of the decodable information. Furthermore,
these activation patterns masquerade as a radial bias, a key element of the
biased map account. This classic model thus sheds new light on the mystery behind
orientation decoding by unveiling a new source of decodable information.
PMID- 24920641
TI - External validation of a multiplex urinary protein panel for the detection of
bladder cancer in a multicenter cohort.
AB - BACKGROUND: Because of the faltering sensitivity and/or specificity, urine-based
assays currently have a limited role in the management of patients with bladder
cancer. The aim of this study was to externally validate our previously reported
protein biomarker panel from multiple sites in the United States and Europe.
METHODS: This multicenter external validation study included a total of 320
subjects (bladder cancer = 183). The 10 biomarkers (IL8, MMP9, MMP10, SERPINA1,
VEGFA, ANG, CA9, APOE, SDC1, and SERPINE1) were measured using commercial ELISA
assays in an external laboratory. The diagnostic performance of the biomarker
panel was assessed using receiver operator curves (ROC) and descriptive
statistical values. RESULTS: Utilizing the combination of all 10 biomarkers, the
area under the ROC for the diagnostic panel was noted to be 0.847 (95% confidence
interval, 0.796-0.899), outperforming any single biomarker. The multiplex assay
at optimal cutoff value achieved an overall sensitivity of 0.79, specificity of
0.79, positive prediction value of 0.73, and negative prediction value of 0.84
for bladder cancer classification. Sensitivity values of the diagnostic panel for
high-grade bladder cancer, low-grade bladder cancer, muscle invasive bladder
cancer, and non-muscle invasive bladder cancer were 0.81, 0.90, 0.95, and 0.77,
respectively. CONCLUSIONS: Urinary levels of the biomarker panel enabled
discrimination of patients with bladder cancer and controls, and the levels of
biomarker subsets were associated with advancing tumor grade and stage. IMPACT:
If proven to be reliable, urinary diagnostic biomarker assays can detect bladder
cancer in a timely manner such that the patient can expect improvements in
overall survival and quality of life.
PMID- 24920643
TI - Sciatic nerve injection injury.
AB - Nerve injury is a common complication following intramuscular injection and the
sciatic nerve is the most frequently affected nerve, especially in children, the
elderly and underweight patients. The neurological presentation may range from
minor transient pain to severe sensory disturbance and motor loss with poor
recovery. Management of nerve injection injury includes drug treatment of pain,
physiotherapy, use of assistive devices and surgical exploration. Early
recognition of nerve injection injury and appropriate management are crucial in
order to reduce neurological deficit and to maximize recovery. Sciatic nerve
injection injury is a preventable event. Total avoidance of intramuscular
injection is recommended if other administration routes can be used. If the
injection has to be administered into the gluteal muscle, the ventrogluteal
region (gluteal triangle) has a more favourable safety profile than the
dorsogluteal region (the upper outer quadrant of the buttock).
PMID- 24920642
TI - Predicted 25(OH)D score and colorectal cancer risk according to vitamin D
receptor expression.
AB - BACKGROUND: Despite accumulating evidence for the preventive effect of vitamin D
on colorectal carcinogenesis, its precise mechanisms remain unclear. We
hypothesized that vitamin D was associated with a lower risk of colorectal cancer
with high-level vitamin D receptor (VDR) expression, but not with risk of tumor
with low-level VDR expression. METHODS: Among 140,418 participants followed from
1986 through 2008 in the Nurses' Health Study and the Health Professionals'
Follow-up Study, we identified 1,059 incident colorectal cancer cases with tumor
molecular data. The predicted 25-hydroxyvitamin D [25(OH)D] score was developed
using the known determinants of plasma 25(OH)D. We estimated the HR for cancer
subtypes using the duplication method Cox proportional hazards model. RESULTS: A
higher predicted 25(OH)D score was associated with a lower risk of colorectal
cancer irrespective of VDR expression level (P(heterogeneity) for subtypes =
0.75). Multivariate HRs (95% confidence intervals) comparing the highest with the
lowest quintile of predicted 25(OH)D scores were 0.48 (0.30-0.78) for VDR
negative tumor and 0.56 (0.42-0.75) for VDR-positive tumor. Similarly, the
significant inverse associations of the predicted 25(OH)D score with colorectal
cancer risk did not significantly differ by KRAS, BRAF, or PIK3CA status
(P(heterogeneity) for subtypes >= 0.22). CONCLUSIONS: A higher predicted vitamin
D score was significantly associated with a lower colorectal cancer risk,
regardless of VDR status and other molecular features examined. IMPACT: The
preventive effect of vitamin D on colorectal carcinogenesis may not totally
depend on tumor factors. Host factors (such as local and systemic immunity) may
need to be considered.
PMID- 24920645
TI - Commentary: Potential implications of non-specific effects of childhood vaccines.
PMID- 24920644
TI - The non-specific effects of vaccines and other childhood interventions: the
contribution of INDEPTH Health and Demographic Surveillance Systems.
AB - Most childhood interventions (vaccines, micronutrients) in low-income countries
are justified by their assumed effect on child survival. However, usually the
interventions have only been studied with respect to their disease/deficiency
specific effects and not for their overall effects on morbidity and mortality. In
many situations, the population-based effects have been very different from the
anticipated effects; for example, the measles-preventive high-titre measles
vaccine was associated with 2-fold increased female mortality; BCG reduces
neonatal mortality although children do not die of tuberculosis in the neonatal
period; vitamin A may be associated with increased or reduced child mortality in
different situations; effects of interventions may differ for boys and girls. The
reasons for these and other contrasts between expectations and observations are
likely to be that the immune system learns more than specific prevention from an
intervention; such training may enhance or reduce susceptibility to unrelated
infections. INDEPTH member centres have been in an ideal position to document
such additional non-specific effects of interventions because they follow the
total population long term. It is proposed that more INDEPTH member centres
extend their routine data collection platform to better measure the use and
effects of childhood interventions. In a longer perspective, INDEPTH may come to
play a stronger role in defining health research issues of relevance to low
income countries.
PMID- 24920646
TI - Commentary: Alcohol use disorder: as usual, prevention is better than cure.
PMID- 24920647
TI - Intervertebral disc characterization by shear wave elastography: An in vitro
preliminary study.
AB - Patient-specific numerical simulation of the spine is a useful tool both in
clinic and research. While geometrical personalization of the spine is no more an
issue, thanks to recent technological advances, non-invasive personalization of
soft tissue's mechanical properties remains a challenge. Ultrasound elastography
is a relatively recent measurement technique allowing the evaluation of soft
tissue's elastic modulus through the measurement of shear wave speed. The aim of
this study was to determine the feasibility of elastographic measurements in
intervertebral disc. An in vitro approach was chosen to test the hypothesis that
shear wave speed can be used to evaluate intervertebral disc mechanical
properties and to assess measurement repeatability. In total, 11 oxtail
intervertebral discs were tested in compression to determine their stiffness and
apparent elastic modulus at rest and at 400 N. Elastographic measurements were
performed in these two conditions and compared to these mechanical parameters.
The protocol was repeated six times to determine elastographic measurement
repeatability. Average shear wave speed over all samples was 5.3 +/- 1.0 m/s,
with a repeatability of 7% at rest and 4.6% at 400 N; stiffness and apparent
elastic modulus were 266.3 +/- 70.5 N/mm and 5.4 +/- 1.1 MPa at rest,
respectively, while at 400 N they were 781.0 +/- 153.8 N/mm and 13.2 +/- 2.4 MPa,
respectively. Correlations were found between elastographic measurements and
intervertebral disc mechanical properties; these preliminary results are
promising for further in vivo application.
PMID- 24920648
TI - User-generated quality standards for youth mental health in primary care: a
participatory research design using mixed methods.
AB - OBJECTIVES: To develop user-generated quality standards for young people with
mental health problems in primary care using a participatory research model.
METHODS: 50 young people aged 16-25 from community settings and primary care
participated in focus groups and interviews about their views and experiences of
seeking help for mental health problems in primary care, cofacilitated by young
service users and repeated to ensure respondent validation. A second group of
young people also aged 16-25 who had sought help for any mental health problem
from primary care or secondary care within the last 5 years were trained as focus
groups cofacilitators (n=12) developed the quality standards from the qualitative
data and participated in four nominal groups (n=28). RESULTS: 46 quality
standards were developed and ranked by young service users. Agreement was defined
as 100% of scores within a two-point region. Group consensus existed for 16
quality standards representing the following aspects of primary care: better
advertising and information (three); improved competence through mental health
training and skill mix within the practice (two); alternatives to medication
(three); improved referral protocol (three); and specific questions and
reassurances (five). Alternatives to medication and specific questions and
reassurances are aspects of quality which have not been previously reported.
CONCLUSIONS: We have demonstrated the feasibility of using participatory research
methods in order to develop user-generated quality standards. The development of
patient-generated quality standards may offer a more formal method of
incorporating the views of service users into quality improvement initiatives.
This method can be adapted for generating quality standards applicable to other
patient groups.
PMID- 24920649
TI - Optimal placement and angulation of a dorsal motion control mechanism to resist
heel rise in an orthosis.
AB - BACKGROUND: No studies have determined the optimal location and angular
orientation for orthotic dorsal control mechanisms (e.g. dorsal foot strap) for
use in lower limb orthoses to resist foot motion for maximum user function and
comfort. OBJECTIVES: To determine the optimal dorsal location and angular
orientation of an orthotic control mechanism to resist foot movements associated
with heel rise. STUDY DESIGN: An in vitro cadaveric study quantified the dorsal
force required to resist foot motion. METHODS: The study simulated heel rise and
quantified the force of a dorsal control mechanism in nine test conditions
comprising three angles (75 degrees , 90 degrees , and 105 degrees ) and three
longitudinal axis positions at 2.0 cm increments. RESULTS: The test condition
representing the longest lever arm (proximal location) applied at an obtuse angle
(105 degrees ) required the least force (55.6 N) to constrain foot motion,
whereas the shortest lever arm (distal location) at the acute angle (75 degrees )
required the greatest force (90.4 N) to constrain foot motion. CONCLUSION: To
resist foot motion relative to the orthosis, clinicians should aim for the most
proximal placement (longest lever arm) at an obtuse angle (105 degrees ) with the
intention that the resultant controlling force be perpendicular to the bony
structure. CLINICAL RELEVANCE: A dorsal foot control strap applies a critical
orthotic corrective force, as part of a force couple to restrict motion of the
foot and shank in lower limb orthoses. Foundational orthotic principles that
stipulate optimal clinical placement and angular orientation are necessary to
ensure maximum function and comfort to users.
PMID- 24920650
TI - Factor structure, evolution, and predictive power of emotional competencies on
physical and emotional health in the elderly.
AB - OBJECTIVE: Emotional competence (EC) has been found to be an important predictor
of individuals' health. While it is well known that EC predicts important
outcomes in young adults, its importance is less clear in the elderly. We aimed
to address this gap: Is the structure of EC the same in older as in younger
adults? How do EC evolve between 50 and 80 years old? Does the predictive power
of EC, regarding physical and emotional adjustment, increase or decrease with
age? METHOD: A total of 6,688 participants filled subjective health and EC
questionnaires. We gathered their medication consumption over the last 11 years,
from the database of health insurance. RESULTS: While the structure of ECs
remains stable in older adults, it generally declines as people get older, except
for emotion regulation, which improves with age. Results also show that EC
predicts both physical and emotional health. DISCUSSION: These results suggest
that the development of specific interventions to improve EC may be useful for
the elderly.
PMID- 24920651
TI - Nucleotide sequences of 16 transmissible plasmids identified in nine multidrug
resistant Escherichia coli isolates expressing an ESBL phenotype isolated from
food-producing animals and healthy humans.
AB - OBJECTIVES: Nine extended-spectrum beta-lactamase (ESBL)-producing Escherichia
coli isolated from healthy humans and food-producing animals were found to
transfer their cefotaxime resistance marker at high frequency in laboratory
conjugation experiments. The objective of this study was to completely
characterize 16 transmissible plasmids that were detected in these bacterial
isolates. METHODS: The nucleotide sequences of all 16 plasmids were determined
from transconjugants using next-generation sequencing technology. Open reading
frames were assigned using Rapid Annotation using Subsystem Technology and
analysed by BLASTn and BLASTp. The standard method was used for plasmid
multilocus sequence typing (pMLST) analysis. Plasmid structures were subsequently
confirmed by PCR amplification of selected regions. RESULTS: The complete
circularized nucleotide sequence of 14 plasmids was determined, along with that
of a further two plasmids that could not be confirmed as closed. These ranged in
size from 1.8 to 166.6 kb. Incompatibility groups and pMLSTs identified included
IncI1/ST3, IncI1/ST36, IncN/ST1, IncF and IncB/O, and those of the same Inc types
presented a similar backbone structure despite being isolated from different
sources. Eight plasmids contained bla(CTX-M-1) genes that were associated with
either ISEcp1 or IS26 insertion sequence elements. Six plasmids isolated from
humans and chickens were identical or closely related to the IncI1 reference
plasmid, R64. CONCLUSIONS: These data, based on comparative sequence analysis,
highlight the successful spread of blaESBL-harbouring plasmids of different Inc
types among isolates of human and food-producing animal origin and provide
further evidence for potential dissemination routes.
PMID- 24920652
TI - Clinical cure rates in subjects treated with azithromycin for community-acquired
respiratory tract infections caused by azithromycin-susceptible or azithromycin
resistant Streptococcus pneumoniae: analysis of Phase 3 clinical trial data.
AB - BACKGROUND: Community-acquired respiratory tract infections (CARTI) are commonly
caused by Streptococcus pneumoniae (SPN) and empirically treated with
azithromycin. This study assessed clinical cure rates in azithromycin-treated
subjects with CARTI caused by azithromycin-susceptible (Azi-S) or azithromycin
resistant (Azi-R) SPN. METHODS: 1127 subjects with CARTI (402 acute otitis media,
309 community-acquired pneumonia, 255 acute bacterial exacerbations of chronic
bronchitis and 161 acute bacterial sinusitis) in 13 Phase 3 clinical trials (1993
2007) had a confirmed pathogen, received azithromycin and were assessed for
clinical cure/failure. 34.4% of subjects (388/1127) had a positive culture for
SPN; 33.4% (376/1127) had Azi-S or Azi-R SPN. RESULTS: 28.9% (112/388) of
subjects with SPN had Azi-R SPN: 35.7% (40/112) were low-level Azi-R SPN (LLAR;
MIC 2-8 mg/L), while 64.3% (72/112) were high-level Azi-R SPN (HLAR; MIC >=16
mg/L). Among Azi-S and Azi-R SPN CARTI subjects, clinical cure rates were: 86.2%
(324/376) overall; 89.4% (236/264) for subjects with Azi-S SPN; 78.6% (88/112)
for subjects with Azi-R SPN (P = 0.003, versus Azi-S); 77.5% (31/40) for subjects
with LLAR SPN (P < 0.001); and 79.2% (57/72) for subjects with HLAR SPN (P =
0.122). CONCLUSIONS: Clinical cure rates in CARTI subjects treated with
azithromycin were higher for Azi-S SPN (89.4%) versus Azi-R SPN (78.6%; P =
0.003). However, cure rates were not different for subjects infected with LLAR
SPN versus HLAR-SPN. At the observed prevalence of Azi-R SPN of 28.9%, an
additional 3.1 clinical failures would be predicted, as a consequence of
azithromycin resistance (LLAR and HLAR), per 100 subjects treated empirically
with azithromycin.
PMID- 24920653
TI - An optimized method for corticosterone analysis in mouse plasma by ultra
performance liquid chromatography-full-scan high-resolution accurate mass
spectrometry.
AB - A simple method based on liquid chromatography-full-scan high-resolution accurate
mass spectrometry (LC-HRMS) using a quadrupole time-of-flight (TOF) mass
spectrometry was developed and optimized for corticosterone quantification in
mouse plasma. Mouse plasma (100 MUL) was extracted with methyl tert-butyl ether
using prednisone as internal standard. Separation was performed on a short C18
column using a methanol-water gradient. Full-scan data were acquired in the TOF
only mode, and extracted ion chromatograms were generated post-acquisition with
the extract masses of the analytes. Enhanced sensitivity and reproducibility were
acquired with optimized mass parameters. The calibration range was 8.24-412
ng/mL, and the limit of quantitation was 5.088 g/mL. Accuracy was between -5.9
and 8.6%. The precision of between-run (interday) and within-run (intraday) was
within 5.6 and 6.9%, respectively. The LC-HRMS method was applied for plasma
samples analysis from the stressed mice with and without ginseng treatment for
the stress state estimation.
PMID- 24920654
TI - Simultaneous determination of five constituents in Qinpijiegu capsule by high
performance liquid chromatography coupled with tandem mass spectrometry.
AB - A rapid high-performance liquid chromatography coupled with tandem mass
spectrometry method was developed for the simultaneous determination of five
constituents in Qinpijiegu capsule (QJC), a classical Tibetan prescription. The
separation of five compounds such as aesculin, aesculetin, fraxin, peimine and
peiminine was performed on a Purospher STAR LP RP-C18 (250 * 4.6 mm, 5 MUm)
column with linear gradient elution of acetonitrile-0.30/00 formic acid water in
13 min. Detection was carried out by multiple reaction monitoring mode using
electrospray ionization in the positive and negative ion switching mode. The
sample was prepared with ultrasound extraction with methanol, which could obtain
higher extraction efficiency and shorter extraction time comparing to reflux
extraction with alkalized chloroform-methanol. The proposed method was applied to
analyze three batches of samples with acceptable linearity (r(2) > 0.9977),
precision [relative standard deviation (RSD) < 7.40%], repeatability (RSD <
2.49%), stability [relative error (RE) < 9.15%] and recovery (RSD < 10.76%). This
is the first development of a multicomponent quantitation method for the quality
control of QJC. Furthermore, the new established method was proven to be highly
sensitive and effective in evaluating the quality of QJC.
PMID- 24920655
TI - Detection and chemical profiling of Ling-Gui-Zhu-Gan decoction by ultra
performance liquid chromatography-hybrid linear ion trap-Orbitrap mass
spectrometry.
AB - Ling-Gui-Zhu-Gan decoction (LGZGD), a well-known traditional Chinese medicine
(TCM) formula, has been extensively used for the treatment of cardiovascular
disease in clinic. However, the chemical constituents in LGZGD had not been
investigated so far. In this study, an ultra performance liquid chromatography
hybrid electrospray ionization linear ion trap-Orbitrap mass spectrometry (UPLC
LTQ-Oribitrap-MS/MS) method was established for rapid separation and structural
identification of the constituents in LGZGD. Separation was performed on an
ACQUITY(TM) UPLC BEH C18 column (50 * 2.1 mm, 1.7 MUm) by gradient elution mode,
using acetonitrile-water containing 0.1% formic acid as mobile phase at the flow
rate of 0.2 mL/min. Accurate mass measurement for molecular ions and
characteristic fragment ions could represent identification criteria for these
compounds. As a result, 95 compounds including triterpene acids, triterpene
saponins, flavonoids, coumarins, coumestans, benzofurans, phenylpropanoids and
sesquiterpenoid lactones were detected, and 90 of them were tentatively
identified. All compounds were further assigned in the individual raw material.
In conclusion, the UPLC-LTQ-Orbitrap-MS/MS is a highly efficient technique to
separate and identify constituents in complex matrices of TCMs. These results
obtained in this research will provide a basis for quality control and further in
vivo study of LGZGD.
PMID- 24920656
TI - Multivariate curve resolution-assisted determination of pseudoephedrine and
methamphetamine by HPLC-DAD in water samples.
AB - In the present study, a simple strategy based on solid-phase extraction (SPE)
with a cation exchange sorbent (Finisterre SCX) followed by fast high-performance
liquid chromatography (HPLC) with diode array detection coupled with chemometrics
tools has been proposed for the determination of methamphetamine and
pseudoephedrine in ground water and river water. At first, the HPLC and SPE
conditions were optimized and the analytical performance of the method was
determined. In the case of ground water, determination of analytes was
successfully performed through univariate calibration curves. For river water
sample, multivariate curve resolution and alternating least squares was
implemented and the second-order advantage was achieved in samples containing
uncalibrated interferences and uncorrected background signals. The calibration
curves showed good linearity (r(2) > 0.994).The limits of detection for
pseudoephedrine and methamphetamine were 0.06 and 0.08 MUg/L and the average
recovery values were 104.7 and 102.3% in river water, respectively.
PMID- 24920657
TI - From knowledge to policy: lessons from Africa.
PMID- 24920658
TI - RNAi therapies: drugging the undruggable.
AB - RNA interference (RNAi) therapy is a rapidly emerging platform for personalized
cancer treatment. Recent advances in small interfering RNA delivery and target
selection provide unprecedented opportunities for clinical translation. Here, we
discuss these advances and present strategies for making RNAi-based therapy a
viable part of cancer management.
PMID- 24920659
TI - Macrophage models of Gaucher disease for evaluating disease pathogenesis and
candidate drugs.
AB - Gaucher disease is caused by an inherited deficiency of glucocerebrosidase that
manifests with storage of glycolipids in lysosomes, particularly in macrophages.
Available cell lines modeling Gaucher disease do not demonstrate lysosomal
storage of glycolipids; therefore, we set out to develop two macrophage models of
Gaucher disease that exhibit appropriate substrate accumulation. We used these
cellular models both to investigate altered macrophage biology in Gaucher disease
and to evaluate candidate drugs for its treatment. We generated and characterized
monocyte-derived macrophages from 20 patients carrying different Gaucher disease
mutations. In addition, we created induced pluripotent stem cell (iPSC)-derived
macrophages from five fibroblast lines taken from patients with type 1 or type 2
Gaucher disease. Macrophages derived from patient monocytes or iPSCs showed
reduced glucocerebrosidase activity and increased storage of glucocerebroside and
glucosylsphingosine in lysosomes. These macrophages showed efficient phagocytosis
of bacteria but reduced production of intracellular reactive oxygen species and
impaired chemotaxis. The disease phenotype was reversed with a noninhibitory
small-molecule chaperone drug that enhanced glucocerebrosidase activity in the
macrophages, reduced glycolipid storage, and normalized chemotaxis and production
of reactive oxygen species. Macrophages differentiated from patient monocytes or
patient-derived iPSCs provide cellular models that can be used to investigate
disease pathogenesis and facilitate drug development.
PMID- 24920660
TI - Identification of a new modulator of the intercalated disc in a zebrafish model
of arrhythmogenic cardiomyopathy.
AB - Arrhythmogenic cardiomyopathy (ACM) is characterized by frequent cardiac
arrhythmias. To elucidate the underlying mechanisms and discover potential
chemical modifiers, we created a zebrafish model of ACM with cardiac myocyte
specific expression of the human 2057del2 mutation in the gene encoding
plakoglobin. A high-throughput screen identified SB216763 as a suppressor of the
disease phenotype. Early SB216763 therapy prevented heart failure and reduced
mortality in the fish model. Zebrafish ventricular myocytes that expressed
2057del2 plakoglobin exhibited 70 to 80% reductions in I(Na) and I(K1) current
densities, which were normalized by SB216763. Neonatal rat ventricular myocytes
that expressed 2057del2 plakoglobin recapitulated pathobiological features seen
in patients with ACM, all of which were reversed or prevented by SB216763. The
reverse remodeling observed with SB216763 involved marked subcellular
redistribution of plakoglobin, connexin 43, and Nav1.5, but without changes in
their total cellular content, implicating a defect in protein trafficking to
intercalated discs. In further support of this mechanism, we observed SB216763
reversible, abnormal subcellular distribution of SAP97 (a protein known to
mediate forward trafficking of Nav1.5 and Kir2.1) in rat cardiac myocytes
expressing 2057del2 plakoglobin and in cardiac myocytes derived from induced
pluripotent stem cells from two ACM probands with plakophilin-2 mutations. These
observations pinpoint aberrant trafficking of intercalated disc proteins as a
central mechanism in ACM myocyte injury and electrical abnormalities.
PMID- 24920661
TI - Alkylphosphocholine analogs for broad-spectrum cancer imaging and therapy.
AB - Many solid tumors contain an overabundance of phospholipid ethers relative to
normal cells. Capitalizing on this difference, we created cancer-targeted
alkylphosphocholine (APC) analogs through structure-activity analyses. Depending
on the iodine isotope used, radioiodinated APC analog CLR1404 was used as either
a positron emission tomography (PET) imaging ((124)I) or molecular
radiotherapeutic ((131)I) agent. CLR1404 analogs displayed prolonged tumor
selective retention in 55 in vivo rodent and human cancer and cancer stem cell
models. (131)I-CLR1404 also displayed efficacy (tumor growth suppression and
survival extension) in a wide range of human tumor xenograft models. Human PET/CT
(computed tomography) and SPECT (single-photon emission computed tomography)/CT
imaging in advanced-cancer patients with (124)I-CLR1404 or (131)I-CLR1404,
respectively, demonstrated selective uptake and prolonged retention in both
primary and metastatic malignant tumors. Combined application of these chemically
identical APC-based radioisosteres will enable personalized dual modality cancer
therapy of using molecular (124)I-CLR1404 tumor imaging for planning (131)I
CLR1404 therapy.
PMID- 24920663
TI - PARK7 protein translocating into spermatozoa mitochondria in Chinese
asthenozoospermia.
AB - PARK7 (DJ1) is a multifunctional oxidative stress response protein that protects
cells against reactive oxygen species (ROS) and mitochondrial damage. PARK7
defects are known to cause various physiological dysfunctions, including
infertility. Asthenozoospermia (AS), i.e. low-motile spermatozoa in the
ejaculate, is a common cause of human male infertility. In this study, we found
that downregulation of PARK7 resulted in increased levels of lipid peroxide and
ROS, decreased mitochondrial membrane potential, and reduced mitochondrial
complex I enzyme activity in the spermatozoa from AS patients. Furthermore, it
was observed that PARK7 was translocated into the mitochondria of damaged
spermatozoa in AS. Finally, we examined the oxidative state of PARK7 and the
results demonstrated the enhancement of oxidation, expressed by increased
sulfonic acid residues, the highest form of oxidation, as the sperm motility
decreased. Taken together, these results revealed that PARK7 deficiency may
increase the oxidative stress damage to spermatozoa. Our present findings open
new avenues of therapeutic intervention targeting PARK7 for the treatment of AS.
PMID- 24920664
TI - Activation of innate immune system in response to lipopolysaccharide in chicken
Sertoli cells.
AB - Sertoli cells (SCs) play an important physiological role in the testis, as they
support, nourish, and protect the germ cells. As protection of the developing
spermatozoa is an emerging aspect of reproductive physiology, this study examined
the expression pattern of innate immune-related genes, including avian beta
defensins (AvBDs), Toll-like receptors (TLRs), and cytokines, and investigated
the time course of an inflammatory response in rooster SCs triggered by exposure
to the bacterial endotoxin lipopolysaccharide (LPS). SCs were isolated from 6
week-old chicken, cultured in vitro, and stimulated with 1 MUg/ml LPS at
different time courses (0, 6, 12, 24, and 48 h). Data on expression analysis
revealed that all ten members of the chicken TLR family, nine members of the AvBD
family, as well as eight cytokine genes were expressed in SCs. Quantitative real
time PCR analysis revealed that LPS treatment resulted in significant induction
of the expression levels of six TLRs, six AvBDs, and four cytokine genes, while
two cytokine genes were downregulated and two other genes were unchanged. The
increasing interleukin 1beta (IL1beta) production was confirmed in the
conditioned medium. Furthermore, the phagocytosis of SCs was increased after LPS
treatment. In conclusion, these findings provide evidence that SCs express innate
immune-related genes and respond directly to bacterial ligands. These genes
represent an important component of the immune system, which could be integrated
into semen, and present a distinctive constituent of the protective repertoire of
the testis against ascending infections.
PMID- 24920662
TI - Chitinase 3-like 1 suppresses injury and promotes fibroproliferative responses in
Mammalian lung fibrosis.
AB - Epithelial injury, alternative macrophage accumulation, and fibroproliferation
coexist in the lungs of patients with idiopathic pulmonary fibrosis (IPF).
Chitinase 3-like 1 (CHI3L1) is a prototypic chitinase-like protein that has been
retained over species and evolutionary time. However, the regulation of CHI3L1 in
IPF and its ability to regulate injury and/or fibroproliferative repair have not
been fully defined. We demonstrated that CHI3L1 levels were elevated in patients
with IPF. High levels of CHI3L1 are associated with progression--as defined by
lung transplantation or death--and with scavenger receptor-expressing circulating
monocytes in an ambulatory IPF population. In preterminal acute exacerbations of
IPF, CHI3L1 levels were reduced and associated with increased levels of
apoptosis. We also demonstrated that in bleomycin-treated mice, CHI3L1 expression
was acutely and transiently decreased during the injury phase and returned toward
and eventually exceeded baseline levels during the fibrotic phase. In this model,
CHI3L1 played a protective role in injury by ameliorating inflammation and cell
death, and a profibrotic role in the repair phase by augmenting alternative
macrophage activation, fibroblast proliferation, and matrix deposition. Using
three-dimensional culture system of a human fibroblast cell line, we found that
CHI3L1 is sufficient to induce low grade myofibroblast transformation. In
combination, these studies demonstrate that CHI3L1 is stimulated in IPF, where it
represents an attempt to diminish injury and induce repair. They also demonstrate
that high levels of CHI3L1 are associated with disease progression in ambulatory
patients and that a failure of the CHI3L1 antiapoptotic response might contribute
to preterminal disease exacerbations.
PMID- 24920665
TI - Characterization of microRNAs differentially expressed during bovine follicle
development.
AB - Several different miRNAs have been proposed to regulate ovarian follicle
function; however, very limited information exists on the spatiotemporal patterns
of miRNA expression during follicle development. The objective of this study was
to identify, using microarray, miRNA profiles associated with growth and
regression of dominant-size follicles in the bovine monovular ovary and to
characterize their spatiotemporal distribution during development. The follicles
were collected from abattoir ovaries and classified as small (4-8 mm) or large
(12-17 mm); the latter were further classified as healthy or atretic based on
estradiol and CYP19A1 levels. Six pools of small follicles and individual large
healthy (n=6) and large atretic (n=5) follicles were analyzed using Exiqon's
miRCURY LNA microRNA Array 6th gen, followed by qPCR validation. A total of 17
and 57 sequences were differentially expressed (greater than or equal to twofold;
P<0.05) between large healthy and each of small and large atretic follicles
respectively. Bovine miRNAs confirmed to be upregulated in large healthy
follicles relative to small follicles (bta-miR-144, bta-miR-202, bta-miR-451, bta
miR-652, and bta-miR-873) were further characterized. Three of these miRNAs (bta
miR-144, bta-miR-202, and bta-miR-873) were also downregulated in large atretic
follicles relative to large healthy follicles. Within the follicle, these miRNAs
were predominantly expressed in mural granulosa cells. Further, body-wide
screening revealed that bta-miR-202, but not other miRNAs, was expressed
exclusively in the gonads. Finally, a total of 1359 predicted targets of the five
miRNAs enriched in large healthy follicles were identified, which mapped to
signaling pathways involved in follicular cell proliferation, steroidogenesis,
prevention of premature luteinization, and oocyte maturation.
PMID- 24920666
TI - Cross-sectional study of genital carcinogenic HPV infections in Paramaribo,
Suriname: prevalence and determinants in an ethnically diverse population of
women in a pre-vaccination era.
AB - OBJECTIVE: Cervical cancer is caused by carcinogenic human papillomavirus (HPV)
infections. Prior to the introduction of HPV vaccination in Suriname, we
performed a cross-sectional study to estimate the prevalence of and determinants
for genital carcinogenic HPV infections. METHODS: Women were recruited at a
family planning (FP) clinic and a sexually transmitted infections (STI) clinic.
Vaginal swabs were used for HPV genotyping by the SPF10 PCR-DEIA-LiPA25 system.
Logistic regression was used to identify determinants for carcinogenic HPV
infection. RESULTS: The prevalence of any HPV was 54.2% and of carcinogenic HPV
was 27.9% among 813 women attending the FP clinic. Among the 188 women attending
the STI clinic, the prevalence of any HPV (76.1%) and of carcinogenic HPV (40.4%)
was significantly higher. HPV52 was the most prevalent genotype in both clinics.
The prevalence of HPV16 and/or 18 was 6.4% in the FP clinic and 12.2% in the STI
clinic. The following determinants were independently associated with
carcinogenic HPV infection among women visiting the FP clinic: >=2 recent
partners (OR 1.53; 95% CI 1.13 to 2.06), Chlamydia trachomatis co-infection (OR
1.89; 95% CI 1.32 to 2.70), disassortative ethnic sexual mixing (OR 1.50; 95% CI
1.13 to 1.99) and ethnic group (OR 1.90; 95% CI 1.27 to 2.85 for Creole and OR
1.67; 95% CI 1.06 to 2.62 for mixed ethnicity, both compared with Hindustani). No
independent determinants were found among women visiting the STI clinic.
CONCLUSIONS: Carcinogenic HPV is highly prevalent among women in Suriname, and
not equally distributed among ethnic groups. These data provide a baseline to
assess possible shifts in the prevalence of HPV genotypes following vaccination.
PMID- 24920667
TI - Targeted leptin receptor blockade: role of ventral tegmental area and nucleus of
the solitary tract leptin receptors in body weight homeostasis.
AB - The present investigation examined whether leptin stimulation of ventral
tegmental area (VTA) or nucleus of the solitary tract (NTS) has a role in body
weight homeostasis independent of the medial basal hypothalamus (MBH). To this
end, recombinant adeno-associated viral techniques were employed to target leptin
overexpression or overexpression of a dominant negative leptin mutant (leptin
antagonist). Leptin antagonist overexpression in MBH or VTA increased food intake
and body weight to similar extents over 14 days in rats. Simultaneous
overexpression of leptin in VTA with antagonist in MBH resulted in food intake
and body weight gain that were less than with control treatment but greater than
with leptin alone in VTA. Notably, leptin overexpression in VTA increased P-STAT3
in MBH along with VTA, and leptin antagonist overexpression in the VTA partially
attenuated P-STAT3 levels in MBH. Interestingly, leptin antagonist overexpression
elevated body weight gain, but leptin overexpression in the NTS failed to
modulate either food intake or body weight despite increased P-STAT3. These data
suggest that leptin function in the VTA participates in the chronic regulation of
food consumption and body weight in response to stimulation or blockade of VTA
leptin receptors. Moreover, one component of VTA-leptin action appears to be
independent of the MBH, and another component appears to be related to leptin
receptor-mediated P-STAT3 activation in the MBH. Finally, leptin receptors in the
NTS are necessary for normal energy homeostasis, but mostly they appear to have a
permissive role. Direct leptin activation of NTS slightly increases UCP1 levels,
but has little effect on food consumption or body weight.
PMID- 24920668
TI - Unstable reaction intermediates and hysteresis during the catalytic cycle of 5
aminolevulinate synthase: implications from using pseudo and alternate substrates
and a promiscuous enzyme variant.
AB - 5-Aminolevulinate (ALA), an essential metabolite in all heme-synthesizing
organisms, results from the pyridoxal 5'-phosphate (PLP)-dependent enzymatic
condensation of glycine with succinyl-CoA in non-plant eukaryotes and alpha
proteobacteria. The predicted chemical mechanism of this ALA synthase (ALAS)
catalyzed reaction includes a short-lived glycine quinonoid intermediate and an
unstable 2-amino-3-ketoadipate intermediate. Using liquid chromatography coupled
with tandem mass spectrometry to analyze the products from the reaction of murine
erythroid ALAS (mALAS2) with O-methylglycine and succinyl-CoA, we directly
identified the chemical nature of the inherently unstable 2-amino-3-ketoadipate
intermediate, which predicates the glycine quinonoid species as its precursor.
With stopped-flow absorption spectroscopy, we detected and confirmed the
formation of the quinonoid intermediate upon reacting glycine with ALAS.
Significantly, in the absence of the succinyl-CoA substrate, the external
aldimine predominates over the glycine quinonoid intermediate. When instead of
glycine, L-serine was reacted with ALAS, a lag phase was observed in the progress
curve for the L-serine external aldimine formation, indicating a hysteretic
behavior in ALAS. Hysteresis was not detected in the T148A-catalyzed L-serine
external aldimine formation. These results with T148A, a mALAS2 variant, which,
in contrast to wild-type mALAS2, is active with L-serine, suggest that active
site Thr-148 modulates ALAS strict amino acid substrate specificity. The rate of
ALA release is also controlled by a hysteretic kinetic mechanism (observed as a
lag in the ALA external aldimine formation progress curve), consistent with
conformational changes governing the dissociation of ALA from ALAS.
PMID- 24920669
TI - Glutathione adducts on sarcoplasmic/endoplasmic reticulum Ca2+ ATPase Cys-674
regulate endothelial cell calcium stores and angiogenic function as well as
promote ischemic blood flow recovery.
AB - The sarco/endoplasmic reticulum Ca(2+) ATPase (SERCA) is key to Ca(2+)
homeostasis and is redox-regulated by reversible glutathione (GSH) adducts on the
cysteine (C) 674 thiol that stimulate Ca(2+) uptake activity and endothelial cell
angiogenic responses in vitro. We found that mouse hind limb muscle ischemia
induced S-glutathione adducts on SERCA in both whole muscle tissue and
endothelial cells. To determine the role of S-glutathiolation, we used a SERCA 2
C674S heterozygote knock-in (SKI) mouse lacking half the key thiol. Following
hind limb ischemia, SKI animals had decreased SERCA S-glutathione adducts and
impaired blood flow recovery. We studied SKI microvascular endothelial cells in
which total SERCA 2 expression was unchanged. Cultured SKI microvascular
endothelial cells showed impaired migration and network formation compared with
wild type (WT). Ca(2+) studies showed decreased nitric oxide (.NO)-induced
(45)Ca(2+) uptake into the endoplasmic reticulum (ER) of SKI cells, while Fura-2
studies revealed lower Ca(2+) stores and decreased vascular endothelial growth
factor (VEGF)- and .NO-induced Ca(2+) influx. Adenoviral overexpression of
calreticulin, an ER Ca(2+) binding protein, increased ionomycin-releasable
stores, VEGF-induced Ca(2+) influx and endothelial cell migration. Taken
together, these data indicate that the redox-sensitive Cys-674 thiol on SERCA 2
is required for normal endothelial cell Ca(2+) homeostasis and ischemia-induced
angiogenic responses, revealing a novel redox control of angiogenesis via Ca(2+)
stores.
PMID- 24920670
TI - RNA granule assembly and disassembly modulated by nuclear factor associated with
double-stranded RNA 2 and nuclear factor 45.
AB - RNA granules are large messenger ribonucleoprotein complexes that regulate
translation and mRNA translocation to control the timing and location of protein
synthesis. The regulation of RNA granule assembly and disassembly is a structural
basis of translational control, and its disorder is implicated in degenerative
disease. Here, we used proteomic analysis to identify proteins associated with
RNA granule protein 105 (RNG105)/caprin1, an RNA-binding protein in RNA granules.
Among the identified proteins, we focused on nuclear factor (NF) 45 and its
binding partner, nuclear factor associated with dsRNA 2 (NFAR2), and we
demonstrated that NF45 promotes disassembly of RNA granules, whereas NFAR2
enhances the assembly of RNA granules in cultured cells. The GQSY domain of NFAR2
was required to associate with messenger ribonucleoprotein complexes containing
RNG105/caprin1, and it was structurally and functionally related to the low
complexity sequence domain of the fused in sarcoma protein, which drives the
assembly of RNA granules. Another domain of NFAR2, the DZF domain, was
dispensable for association with the RNG105 complex, but it was involved in
positive and negative regulation of RNA granule assembly by being phosphorylated
at double-stranded RNA-activated kinase sites and by association with NF45,
respectively. These results suggest a novel molecular mechanism for the
modulation of RNA granule assembly and disassembly by NFAR2, NF45, and
phosphorylation at double-stranded RNA-activated kinase PKR sites.
PMID- 24920671
TI - Myopathy-causing mutations in an HSP40 chaperone disrupt processing of specific
client conformers.
AB - The molecular chaperone network protects against the toxic misfolding and
aggregation of proteins. Disruption of this network leads to a variety of protein
conformational disorders. One such example recently discovered is limb-girdle
muscular dystrophy type 1D (LGMD1D), which is caused by mutation of the HSP40
chaperone DNAJB6. All LGMD1D-associated mutations localize to the conserved G/F
domain of DNAJB6, but the function of this domain is largely unknown. Here, we
exploit the yeast HSP40 Sis1, which has known aggregation-prone client proteins,
to gain insight into the role of the G/F domain and its significance in LGMD1D
pathogenesis. Strikingly, we demonstrate that LGMD1D mutations in a Sis1-DNAJB6
chimera differentially impair the processing of specific conformers of two yeast
prions, [RNQ+] and [PSI+]. Importantly, these differences do not simply correlate
to the sensitivity of these prion strains to changes in chaperone levels.
Additionally, we analyzed the effect of LGMD1D-associated DNAJB6 mutations on TDP
43, a protein known to form inclusions in LGMD1D. We show that the DNAJB6 G/F
domain mutants disrupt the processing of nuclear TDP-43 stress granules in
mammalian cells. These data suggest that the G/F domain mediates chaperone
substrate interactions in a manner that extends beyond recognition of a
particular client and to a subset of client conformers. We propose that such
selective chaperone disruption may lead to the accumulation of toxic aggregate
conformers and result in the development of LGMD1D and perhaps other protein
conformational disorders.
PMID- 24920673
TI - The mechanism of dynein light chain LC8-mediated oligomerization of the Ana2
centriole duplication factor.
AB - Centrioles play a key role in nucleating polarized microtubule networks. In
actively dividing cells, centrioles establish the bipolar mitotic spindle and are
essential for genomic stability. Drosophila anastral spindle-2 (Ana2) is a
conserved centriole duplication factor. Although recent work has demonstrated
that an Ana2-dynein light chain (LC8) centriolar complex is critical for proper
spindle positioning in neuroblasts, how Ana2 and LC8 interact is yet to be
established. Here we examine the Ana2-LC8 interaction and map two LC8-binding
sites within the central region of Ana2, Ana2M (residues 156-251). Ana2 LC8
binding site 1 contains a signature TQT motif and robustly binds LC8 (KD of 1.1
MUm), whereas site 2 contains a TQC motif and binds LC8 with lower affinity (KD
of 13 MUm). Both LC8-binding sites flank a predicted ~34-residue alpha-helix. We
present two independent atomic structures of LC8 dimers in complex with Ana2 LC8
binding site 1 and site 2 peptides. The Ana2 peptides form beta-strands that
extend a central composite LC8 beta-sandwich. LC8 recognizes the signature TQT
motif in the first LC8 binding site of Ana2, forming extensive van der Waals
contacts and hydrogen bonding with the peptide, whereas the Ana2 site 2 TQC motif
forms a uniquely extended beta-strand, not observed in other dynein light chain
target complexes. Size exclusion chromatography coupled with multiangle static
light scattering demonstrates that LC8 dimers bind Ana2M sites and induce Ana2
tetramerization, yielding an Ana2M4-LC88 complex. LC8-mediated Ana2
oligomerization probably enhances Ana2 avidity for centriole-binding factors and
may bridge multiple factors as required during spindle positioning and centriole
biogenesis.
PMID- 24920672
TI - Insight into the architecture of the NuRD complex: structure of the RbAp48-MTA1
subcomplex.
AB - The nucleosome remodeling and deacetylase (NuRD) complex is a widely conserved
transcriptional co-regulator that harbors both nucleosome remodeling and histone
deacetylase activities. It plays a critical role in the early stages of ES cell
differentiation and the reprogramming of somatic to induced pluripotent stem
cells. Abnormalities in several NuRD proteins are associated with cancer and
aging. We have investigated the architecture of NuRD by determining the structure
of a subcomplex comprising RbAp48 and MTA1. Surprisingly, RbAp48 recognizes MTA1
using the same site that it uses to bind histone H4, showing that assembly into
NuRD modulates RbAp46/48 interactions with histones. Taken together with other
results, our data show that the MTA proteins act as scaffolds for NuRD complex
assembly. We further show that the RbAp48-MTA1 interaction is essential for the
in vivo integration of RbAp46/48 into the NuRD complex.
PMID- 24920674
TI - Molecular mechanisms for the p38-induced cellular senescence in normal human
fibroblast.
AB - We previously reported that TAK1, one of the mitogen-activated protein kinase
kinase kinases (MAP3Ks), represses the transcription of the human telomerase
reverse transcriptase (hTERT) gene in human cancer cells and induces cellular
senescence in normal diploid human cells. On the basis of these results, we
presumed a link between hTERT repression and the induction of cellular
senescence. In this study, we identified the MAPK p38 as a downstream mediator of
TAK1, which represses hTERT transcription. Further, we observed that hTERT
expression was repressed in senescent normal human fibroblast, and was attenuated
on treatment with SB203580, a p38-specific inhibitor, which suggests that p38
represses hTERT expression during cellular senescence. Next, we demonstrated that
repression of hTERT, irrespective of the activation status of p38, is important
for the induction of cellular senescence, by using hTERT-overexpressing cells and
hTERT-knockdown cells. Our results suggested that p38 is activated during the
serial passagings of normal human fibroblast, which results in the repression of
hTERT transcription and induction of cellular senescence.
PMID- 24920675
TI - Mitochondrial inefficiencies and anoxic ATP hydrolysis capacities in diabetic rat
heart.
AB - As ~80% of diabetic patients die from heart failure, an understanding of diabetic
cardiomyopathy is crucial. Mitochondria occupy 35-40% of the mammalian
cardiomyocyte volume and supply 95% of the heart's ATP, and diabetic heart
mitochondria show impaired structure, arrangement, and function. We predict that
bioenergetic inefficiencies are present in diabetic heart mitochondria;
therefore, we explored mitochondrial proton and electron handling by linking
oxygen flux to steady-state ATP synthesis, reactive oxygen species (ROS)
production, and mitochondrial membrane potential (DeltaPsi) within rat heart
tissues. Sprague-Dawley rats were injected with streptozotocin (STZ, 55 mg/kg) to
induce type 1 diabetes or an equivalent volume of saline (control, n = 12) and
fed standard rat chow for 8 wk. By coupling high-resolution respirometers with
purpose-built fluorometers, we followed Magnesium Green (ATP synthesis), Amplex
UltraRed (ROS production), and safranin-O (DeltaPsi). Relative to control rats,
the mass-specific respiration of STZ-diabetic hearts was depressed in oxidative
phosphorylation (OXPHOS) states. Steady-state ATP synthesis capacity was almost
one-third lower in STZ-diabetic heart, which, relative to oxygen flux, equates to
an estimated 12% depression in OXPHOS efficiency. However, with anoxic
transition, STZ-diabetic and control heart tissues showed similar ATP hydrolysis
capacities through reversal of the F1F0-ATP synthase. STZ-diabetic cardiac
mitochondria also produced more net ROS relative to oxygen flux (ROS/O) in
OXPHOS. While DeltaPsi did not differ between groups, the time to develop
DeltaPsi with the onset of OXPHOS was protracted in STZ-diabetic mitochondria.
ROS/O is higher in lifelike OXPHOS states, and potential delays in the time to
develop DeltaPsi may delay ATP synthesis with interbeat fluctuations in ADP
concentrations. Whereas diabetic cardiac mitochondria produce less ATP in
normoxia, they consume as much ATP in anoxic infarct-like states.
PMID- 24920676
TI - Degradation mechanism of a Golgi-retained distal renal tubular acidosis mutant of
the kidney anion exchanger 1 in renal cells.
AB - Distal renal tubular acidosis (dRTA) can be caused by mutations in the SLC4A1
gene encoding the anion exchanger 1 (AE1). Both recessive and dominant mutations
result in mistrafficking of proteins, preventing them from reaching the
basolateral membrane of renal epithelial cells, where their function is needed.
In this study, we show that two dRTA mutants are prematurely degraded. Therefore,
we investigated the degradation pathway of the kidney AE1 G701D mutant that is
retained in the Golgi. Little is known about degradation of nonnative membrane
proteins from the Golgi compartments in mammalian cells. We show that the kidney
AE1 G701D mutant is polyubiquitylated and degraded by the lysosome and the
proteosome. This mutant reaches the plasma membrane, where it is endocytosed and
degraded by the lysosome via a mechanism dependent on the peripheral quality
control machinery. Furthermore, we show that the function of the mutant is
rescued at the cell surface upon inhibition of the lysosome and incubation with a
chemical chaperone. We conclude that modulating the peripheral quality control
machinery may provide a novel therapeutic option for treatment of patients with
dRTA due to a Golgi-retained mutant.
PMID- 24920677
TI - Flow shear stress enhances intracellular Ca2+ signaling in pulmonary artery
smooth muscle cells from patients with pulmonary arterial hypertension.
AB - An increase in cytosolic Ca(2+) concentration ([Ca(2+)]cyt) in pulmonary arterial
smooth muscle cells (PASMC) is a major trigger for pulmonary vasoconstriction and
an important stimulus for pulmonary arterial medial hypertrophy in patients with
idiopathic pulmonary arterial hypertension (IPAH). Vascular smooth muscle cells
(SMC) sense the blood flow shear stress through interstitial fluid driven by
pressure or direct exposure to blood flow in case of endothelial injury.
Mechanical stimulus can increase [Ca(2+)]cyt. Here we report that flow shear
stress raised [Ca(2+)]cyt in PASMC, while the shear stress-mediated rise in
[Ca(2+)]cyt and the protein expression level of TRPM7 and TRPV4 channels were
significantly greater in IPAH-PASMC than in normal PASMC. Blockade of TRPM7 by 2
APB or TRPV4 by Ruthenium red inhibited shear stress-induced rise in [Ca(2+)]cyt
in normal and IPAH-PASMC, while activation of TRPM7 by bradykinin or TRPV4 by
4alphaPDD induced greater increase in [Ca(2+)]cyt in IPAH-PASMC than in normal
PASMC. The bradykinin-mediated activation of TRPM7 also led to a greater increase
in [Mg(2+)]cyt in IPAH-PASMC than in normal PASMC. Knockdown of TRPM7 and TRPV4
by siRNA significantly attenuated the shear stress-mediated [Ca(2+)]cyt increases
in normal and IPAH-PASMC. In conclusion, upregulated mechanosensitive channels
(e.g., TRPM7, TRPV4, TRPC6) contribute to the enhanced [Ca(2+)]cyt increase
induced by shear stress in PASMC from IPAH patients. Blockade of the
mechanosensitive cation channels may represent a novel therapeutic approach for
relieving elevated [Ca(2+)]cyt in PASMC and thereby inhibiting sustained
pulmonary vasoconstriction and pulmonary vascular remodeling in patients with
IPAH.
PMID- 24920678
TI - Microtubules are required for efficient epithelial tight junction homeostasis and
restoration.
AB - Epithelial tight junctions are critical for creating a barrier yet allowing
paracellular transport. Although it is well established that the actin
cytoskeleton is critical for preserving the dynamic organization of the tight
junction and maintaining normal tight junction protein recycling, contributions
of microtubules to tight junction organization and function remain undefined. The
aim of this study is to determine the role of microtubules in tight junction
homeostasis and restoration. Our data demonstrate that occludin traffics on
microtubules and that microtubule disruption perturbs tight junction structure
and function. Microtubules are also shown to be required for restoring barrier
function following Ca(2+) chelation and repletion. These processes are mediated
by proteins participating in microtubule minus-end-directed trafficking but not
plus-end-directed trafficking. These studies show that microtubules participate
in the preservation of epithelial tight junction structure and function and play
a vital role in tight junction restoration, thus expanding our understanding of
the regulation of tight junction physiology.
PMID- 24920679
TI - Histone deacetylase 8 regulates cortactin deacetylation and contraction in smooth
muscle tissues.
AB - Histone deacetylases (HDACs) are a family of enzymes that mediate nucleosomal
histone deacetylation and gene expression. Some members of the HDAC family have
also been implicated in nonhistone protein deacetylation, which modulates cell
cycle control, differentiation, and cell migration. However, the role of HDACs in
smooth muscle contraction is largely unknown. Here, HDAC8 was localized both in
the cytoplasm and the nucleus of mouse and human smooth muscle cells. Knockdown
of HDAC8 by lentivirus-encoding HDAC8 shRNA inhibited force development in
response to acetylcholine. Treatment of smooth muscle tissues with HDAC8
inhibitor XXIV (OSU-HDAC-44) induced relaxation of precontracted smooth muscle
tissues. In addition, cortactin is an actin-regulatory protein that undergoes
deacetylation during migration of NIH 3T3 cells. In this study, acetylcholine
stimulation induced cortactin deacetylation in mouse and human smooth muscle
tissues, as evidenced by immunoblot analysis using antibody against acetylated
lysine. Knockdown of HDAC8 by RNAi or treatment with the inhibitor attenuated
cortactin deacetylation and actin polymerization without affecting myosin
activation. Furthermore, expression of a charge-neutralizing cortactin mutant
inhibited contraction and actin dynamics during contractile activation. These
results suggest a novel mechanism for the regulation of smooth muscle
contraction. In response to contractile stimulation, HDAC8 may mediate cortactin
deacetylation, which subsequently promotes actin filament polymerization and
smooth muscle contraction.
PMID- 24920681
TI - Application of smoothed continuous labile haemoglobin A1c reference intervals for
identification of potentially spurious HbA1c results.
AB - AIMS: We aim to develop smoothed continuous 2.5th and 97.5th percentile values
for labile glycated haemoglobin A1c to glycated haemoglobin A1c (LHbA1c:HbA1c)
ratio against HbA1c, and apply them on our patient population for identification
of potentially spurious HbA1c measurements. METHODS: The LHbA1c and HbA1c were
measured using Bio-rad Variant II high-performance liquid chromatography system.
We recorded the LHbA1c and HbA1c values of 1555 patients who had normal
chromatograms. Using these results, the 2.5th and 97.5th percentile reference
limits of the LHbA1c:HbA1c ratio were described by LHbA1c:HbA1c=-0.0072*HbA1c
+0.2925 and LHbA1c:HbA1c=-0.0132*HbA1c +0.5327, respectively. RESULTS: When the
reference intervals were applied on a separate 1000 patients, 34 and 29 of them
had abnormally high and low LHbA1c:HbA1c ratios, respectively. Most of the
observed high ratios were associated concurrently with elevated plasma glucose,
anaemia, chronic liver and kidney diseases. A suppressed ratio was mostly
associated with haemoglobin variants. Patients with heterozygous HbE or HbS
variants tend to have lower LHbA1c:HbA1c ratios while the converse is true for
heterozygous HbJ. CONCLUSIONS: The continuous LHbA1c:HbA1c ratio may be used to
detect confounding factors or spurious HbA1c results, but its performance is
confounded and reduced by the ambient plasma glucose.
PMID- 24920680
TI - SMAD3 augments FoxO3-induced MuRF-1 promoter activity in a DNA-binding-dependent
manner.
AB - Muscle-specific RING finger-1 (MuRF-1), a ubiquitin ligase and key regulator of
proteasome-dependent protein degradation, is highly expressed during skeletal
muscle atrophy. The transcription factor forkhead box O3 (FoxO3) induces MuRF-1
expression, but the direct role of other major atrophy-related transcription
factors, such as SMAD3, is largely unknown. The goal of this study was to
determine whether SMAD3 individually regulates, or with FoxO3 coordinately
regulates, MuRF-1 expression. In cultured myotubes or human embryonic kidney
cells, MuRF-1 mRNA content and promoter activity were increased by FoxO3 but not
by SMAD3 overexpression. However, FoxO3 and SMAD3 coexpression synergistically
increased MuRF-1 mRNA and promoter activity. Mutation of the SMAD-binding element
(SBE) in the proximal MuRF-1 promoter or overexpression of a SMAD3 DNA-binding
mutant attenuated FoxO3-dependent MuRF-1 promoter activation, showing that SMAD
binding to DNA is required for optimal activation of FoxO3-induced transcription
of MuRF-1. Using chromatin immunoprecipitation, SMAD3 DNA binding increased FoxO3
abundance and SBE mutation reduced FoxO3 abundance on the MuRF-1 promoter.
Furthermore, SMAD3 overexpression dose-dependently increased FoxO3 protein
content, and coexpression of FoxO3 and SMAD3 synergistically increased FoxO
dependent gene transcription [assessed with a FoxO response element (FRE)-driven
reporter]. Collectively, these results show that SMAD3 regulates transcription of
MuRF-1 by increasing FoxO3 binding at a conserved FRE-SBE motif within the
proximal promoter region, and by increasing FoxO3 protein content and
transcriptional activity. These data are the first to indicate that two major
transcription factors regulating protein degradation, FoxO3 and SMAD3, converge
to coordinately and directly regulate transcription of MuRF-1.
PMID- 24920683
TI - NICE should publish numbers needed to treat and harm for statins.
PMID- 24920682
TI - A critical assessment of two real-time PCR assays targeting the (SSU) rRNA and
gdh genes for the molecular identification of Giardia intestinalis in a clinical
laboratory.
AB - INTRODUCTION: Giardiasis is an intestinal diarrhoeal illness caused by the
flagellate protozoan parasite Giardia intestinalis. Molecular techniques for the
identification of G. intestinalis have generally been shown to offer a better
detection rate of the parasite than the traditional faecal concentration and
microscopy techniques. AIM: The aim of this study was to critically assess the
performance of a commercial and a published real-time PCR assay for their
potential use as frontline tests for the diagnosis of giardiasis. METHODS: A
composite reference standard of enzyme immunoassay and rapid membrane test was
used in a diagnostic accuracy study to assess the performance of Primerdesign's,
and Verweij et al G. intestinalis real-time PCR assays, comparing them with the
traditional ova, cysts and parasite microscopy test (OCP-M). RESULTS: The Verweij
real-time PCR used primers for the (SSU) rRNA gene, and produced a diagnostic
sensitivity of 93.4% (95% CI 88.30% to 98.50%) and an efficiency of 100%.
Primerdesign's real-time PCR used primers for the glutamate dehydrogenase gene
and produced a diagnostic sensitivity of 61.5% (95% CI 51.50% to 71.50%) and an
efficiency of 203%. The OCP-M sensitivity was 83.5% (95% CI 75.87% to 91.13%).
CONCLUSIONS: The Verweij real-time PCR was robust and the most sensitive assay
suited for use as a first-line diagnostic test for giardiasis.
PMID- 24920684
TI - Doctors' financial interests: what about a publicly available list held by the
GMC?
PMID- 24920685
TI - Urgent need to establish the true incidence of the side effects of statins.
PMID- 24920686
TI - (Mis)interpreting studies on the adverse effects of statins.
PMID- 24920687
TI - Muscular adverse effects are common with statins.
PMID- 24920688
TI - Colorectal cancer metastases: evaluate current practice before introducing the
"next new thing".
PMID- 24920689
TI - Who pays for this conference? It's time patients and doctors knew.
PMID- 24920690
TI - Boys in the UK should be offered vaccination against human papillomavirus (HPV).
PMID- 24920691
TI - The proportion of true cases of autism is not changing.
PMID- 24920692
TI - The BMJ should extend its transparency protocol in light of example of King's
Fund report on Canterbury, New Zealand.
PMID- 24920693
TI - King's Fund reply to Gauld and colleagues.
PMID- 24920694
TI - Scottish public health conference was cancelled after Nestle emerged as sponsor.
PMID- 24920695
TI - End top-down changes to NHS, says think tank.
PMID- 24920696
TI - India's health minister commits government to improving healthcare access.
PMID- 24920697
TI - Massachusetts may be nearing universal health coverage, preliminary data show.
PMID- 24920699
TI - Open letter raises concerns about NICE guidance on statins.
PMID- 24920700
TI - Physicians show strong leadership in US accountable care organizations but
surgeons are largely left out.
PMID- 24920698
TI - Ceramide changes the mediator of flow-induced vasodilation from nitric oxide to
hydrogen peroxide in the human microcirculation.
AB - RATIONALE: Mitochondrial-derived hydrogen peroxide (H2O2) regulates flow-induced
dilation (FID) in microvessels from patients with coronary artery disease. The
relationship between ceramide, an independent risk factor for coronary artery
disease and a known inducer of mitochondrial reactive oxygen species, and FID is
unknown. OBJECTIVE: We examined the hypothesis that exogenous ceramide induces a
switch in the mediator of FID from nitric oxide to H2O2. METHODS AND RESULTS:
Internal diameter changes of resistance arterioles from human adipose and atrial
tissue were measured by video microscopy. Mitochondrial H2O2 production was
assayed in arterioles using mito peroxy yellow 1. Polyethylene glycol-catalase,
rotenone, and Mito-TEMPO impaired FID in healthy adipose arterioles pretreated
with ceramide, whereas N(omega)-nitro-l-arginine methyl ester had no effect.
Mitochondrial H2O2 production was induced in response to flow in healthy adipose
vessels pretreated with ceramide, and this was abolished in the presence of
polyethylene glycol-catalase. Immunohistochemistry demonstrated ceramide
accumulation in arterioles from both healthy patients and patients with coronary
artery disease. N(omega)-nitro-l-arginine methyl ester reduced vasodilation to
flow in adipose as well as atrial vessels from patients with coronary artery
disease incubated with GW4869, a neutral sphingomyelinase inhibitor, whereas
polyethylene glycol-catalase had no effect. CONCLUSIONS: Our data indicate that
ceramide has an integral role in the transition of the mediator of FID from
nitric oxide to mitochondrial-derived H2O2 and that inhibition of ceramide
production can revert the mechanism of dilation back to nitric oxide. Ceramide
may be an important target for preventing and treating vascular dysfunction
associated with atherosclerosis.
PMID- 24920701
TI - No need for urgent action on EMS, says RCVS.
PMID- 24920702
TI - BVA will not be supporting legal challenge to pilot badger culls.
PMID- 24920703
TI - Welfare at time of killing regulations withdrawn.
PMID- 24920704
TI - Call for the creation of an international panel on antimicrobial resistance.
PMID- 24920705
TI - Students get their teeth into dental techniques.
PMID- 24920706
TI - Veterinary nurse suspended for dishonestly creating prescriptions.
PMID- 24920707
TI - Encouraging peer support in veterinary schools.
PMID- 24920708
TI - Involving practitioners in TB eradication.
PMID- 24920709
TI - Badger culling: Defra gives details of gassing trials.
PMID- 24920710
TI - Posthumous recognition for army dog's 'lifesaving bravery in conflict'.
PMID- 24920711
TI - RCVS Knowledge seeks funds to digitise its archive collection.
PMID- 24920712
TI - Emerging infectious diseases: opportunities at the human-animal-environment
interface.
PMID- 24920713
TI - The value of clinical pathology in pet rabbit medicine.
PMID- 24920715
TI - Diagnosis of resistance to triclabendazole.
PMID- 24920716
TI - Chlamydia in cases of bovine abortion in Ireland.
PMID- 24920717
TI - Prestun checks and mis-stuns during conventional slaughter: welfare at slaughter.
PMID- 24920719
TI - Diclofenac and vulture populations.
PMID- 24920720
TI - Diclofenac and vulture populations.
PMID- 24920721
TI - Epigenome-wide association study of fasting blood lipids in the Genetics of Lipid
lowering Drugs and Diet Network study.
AB - BACKGROUND: Genetic research regarding blood lipids has largely focused on DNA
sequence variation; few studies have explored epigenetic effects. Genome-wide
surveys of DNA methylation may uncover epigenetic factors influencing lipid
metabolism. METHODS AND RESULTS: To identify whether differential methylation of
cytosine-(phosphate)-guanine dinucleotides (CpGs) correlated with lipid
phenotypes, we isolated DNA from CD4+ T cells and quantified the proportion of
sample methylation at >450 000 CpGs by using the Illumina Infinium
HumanMethylation450 Beadchip in 991 participants of the Genetics of Lipid
Lowering Drugs and Diet Network. We modeled the percentage of methylation at
individual CpGs as a function of fasting very-low-density lipoprotein cholesterol
and triglycerides (TGs) by using mixed linear regression adjusted for age, sex,
study site, cell purity, and family structure. Four CpGs (cg00574958, cg17058475,
cg01082498, and cg09737197) in intron 1 of carnitine palmitoyltransferase 1A
(CPT1A) were strongly associated with very-low low-density lipoprotein
cholesterol (P=1.8*10(-21) to 1.6*10(-8)) and TG (P=1.6*10(-26) to 1.5*10(-9)).
Array findings were validated by bisulfite sequencing. We performed quantitative
polymerase chain reaction experiments demonstrating that methylation of the top
CpG (cg00574958) was correlated with CPT1A expression. The association of
cg00574958 with TG and CPT1A expression were replicated in the Framingham Heart
Study (P=4.1*10(-14) and 3.1*10(-13), respectively). DNA methylation at CPT1A
cg00574958 explained 11.6% and 5.5% of the variation in TG in the discovery and
replication cohorts, respectively. CONCLUSIONS: This genome-wide epigenomic study
identified CPT1A methylation as strongly and robustly associated with fasting
very-low low-density lipoprotein cholesterol and TG. Identifying novel epigenetic
contributions to lipid traits may inform future efforts to identify new treatment
targets and biomarkers of disease risk.
PMID- 24920722
TI - Fibroblast growth factor-23 and incident atrial fibrillation: the Multi-Ethnic
Study of Atherosclerosis (MESA) and the Cardiovascular Health Study (CHS).
AB - BACKGROUND: Fibroblast growth factor-23 (FGF-23) is a hormone that promotes
urinary phosphate excretion and regulates vitamin D metabolism. Circulating FGF
23 concentrations increase markedly in chronic kidney disease and are associated
with increased risk of clinical cardiovascular events. FGF-23 may promote atrial
fibrillation (AF) by inducing left ventricular hypertrophy and diastolic and left
atrial dysfunction. METHODS AND RESULTS: We tested the associations of
circulating FGF-23 concentration with incident AF among 6398 participants in the
Multi-Ethnic Study of Atherosclerosis (MESA) and 1350 participants in the
Cardiovascular Health Study (CHS), all free of clinical cardiovascular disease at
baseline. Over a median of 7.7 and 8.0 years of follow-up, we observed 291 and
229 incident AF events in MESA and CHS, respectively. In multivariable Cox
proportional hazards models, each 2-fold-higher FGF-23 concentration was
associated with a 41% higher risk of incident AF in MESA (hazard ratio, 1.41; 95%
confidence interval, 1.13-1.76; P=0.003) and a 30% higher risk of incident AF in
CHS (hazard ratio, 1.30; 95% confidence interval, 1.05-1.61; P=0.016) after
adjustment for potential confounding characteristics, including kidney disease.
Serum phosphate concentration was significantly associated with incident AF in
MESA (hazard ratio, 1.15 per 0.5 mg/dL; 95% confidence interval, 1.02-1.31;
P=0.023) but not CHS. In MESA, an association of low estimated glomerular
filtration rate with incident AF was partially attenuated by adjustment for FGF
23. CONCLUSION: Higher circulating FGF-23 concentration is associated with
incident AF and may, in part, explain the link between chronic kidney disease and
AF.
PMID- 24920723
TI - Fibroblast growth factor 23: a novel key to find hidden substrates of atrial
fibrillation?
PMID- 24920724
TI - Structural proteins of West Nile virus are a major determinant of infectious
particle production and fitness in astrocytes.
AB - The molecular basis for the increased resistance of astrocytes to a non
neuropathogenic strain of West Nile virus (WNV), WNV-MAD78, compared with the
neuropathogenic strain WNV-NY remains unclear. Here, we demonstrated that the
reduced susceptibility of astrocytes to WNV-MAD78 is due to a combination of both
cellular activities as well as viral determinants. Analyses of the viral particle
indicated that astrocyte-derived WNV-MAD78 particles were less infectious than
those of WNV-NY. Additionally, inhibition of cellular furin-like proteases
increased WNV-MAD78 infectious particle production in astrocytes, suggesting that
high levels of furin-like protease activity within these cells acted in a cell-
and strain-specific manner to inhibit WNV-MAD78 replication. Moreover, analysis
of recombinant viruses indicated that the structural proteins of WNV-MAD78 were
responsible for decreased particle infectivity and the corresponding reduction in
infectious particle production compared with WNV-NY. Thus, the composition of the
WNV virion was also a major determinant for viral fitness within astrocytes and
may contribute to WNV propagation within the central nervous system. Whether the
WNV-MAD78 structural genes reduce virus replication and particle infectivity
through the same mechanism as the cellular furin-like protease activity or
whether these two determinants function through distinct pathways remains to be
determined.
PMID- 24920725
TI - Coxsackievirus B3 regulates T-cell infiltration into the heart by lymphocyte
function-associated antigen-1 activation via the cAMP/Rap1 axis.
AB - Coxsackievirus B3 (CVB3) infection can trigger myocarditis and can ultimately
lead to dilated cardiomyopathy. It is known that CVB3-induced T-cell infiltration
into cardiac tissues is one of the pathological factors causing cardiomyocyte
injury by inflammation. However, the underlying mechanism for this remains
unclear. We investigated the mechanism of T-cell infiltration by two types of
CVB3: the H3 WT strain and the YYFF attenuated strain. T-cell activation was
confirmed by changes in the distribution of lymphocyte function-associated
antigen-1 (LFA-1). Finally, we identified which viral gene was responsible for
LFA-1 activation. CVB3 could infect and activate T-cells in vivo and in vitro,
and activated T-cells were detected in CVB3-infected mouse hearts. LFA-1
expressed on the surface of these T-cells had been activated through the
cAMP/Rap1 pathway. Recombinant lentiviruses expressing VP2 of CVB3 could also
induce LFA-1 activation via an increase in cAMP, whilst VP2 of YYFF did not.
These results indicated that CVB3 infection increased cAMP levels and then
activated Rap1 in T-cells. In particular, VP2, among the CVB3 proteins, might be
critical for this activation. This VP2-cAMP-Rap1-LFA-1 axis could be a potential
therapeutic target for treating CVB3-induced myocarditis.
PMID- 24920726
TI - Evidence for immune activation in patients with residual hepatitis C virus RNA
long after successful treatment with IFN and ribavirin.
AB - Low-level hepatitis C virus (HCV) RNA may persist in PBMCs after successful
treatment of chronic hepatitis C, but the consequences of this phenomenon are
unclear. Forty-nine patients who achieved a sustained virological response (SVR)
after pegylated IFN and ribavirin therapy were analysed 52-66 months after the
SVR. HCV RNA was detected in PBMCs from 18 patients (47.4 %), and PBMCs in two
patients stained positive for non-structural protein 3 (NS3). Quantification of
various cytokine and chemokine transcripts in PBMCs revealed that levels of IL-6,
IL-8, IL-12, TNF-alpha and macrophage inflammatory protein 1beta were
significantly higher in HCV-positive patients than in HCV-negative individuals.
In conclusion, persistence of HCV RNA in PBMCs of patients with a SVR appears to
be associated with immune activation.
PMID- 24920727
TI - Role of decidual natural killer cells, interleukin-15, and interferon-gamma in
placental development and preeclampsia.
AB - Preeclampsia is a hypertensive, proteinuric disease that affects 5-10% of all
pregnancies and is a leading cause of maternal and perinatal morbidity/mortality
(Soto et al., J Matern Fetal Neonatal Med 25: 498-507, 2011). The primary
treatment for preeclampsia still is delivery of the fetus and placenta. The
underlying mechanisms remain elusive. One possibility is inadequate uterine
angiogenesis/vascularity (decidualization) at the time of implantation (Torry et
al., Am J Reprod Immunol 51: 257-268, 2004). Here, we review evidence for
dysregulation of decidual natural killer (dNK) cells, which secrete important
angiogenic factors during decidualization, as a contributing factor in
preeclampsia.
PMID- 24920728
TI - Toll-like receptors and hypertension.
AB - Hypertension and associated inflammatory processes that accelerate cardiovascular
damage are regulated by the innate immune system. Toll-like receptors (TLR) are
major components of the innate immune system that recognize endogenous damage
associated molecular patterns to activate prominent inflammatory signaling
including activation of nuclear factor-kappaB (NF-kappaB). However, the role of
TLR in the etiology of hypertension is not well understood. TLR signaling is
dependent on adaptor proteins that, along with the TLR expression patterns,
confer specificity of the inflammatory response and its pathological targets.
Here we review the conceptual framework of how TLR and their adaptor proteins may
differentially affect hypertension and cardiac hypertrophy by different stimuli.
PMID- 24920729
TI - Exposure of mice to chronic hypoxia attenuates pulmonary arterial contractile
responses to acute hypoxia by increases in extracellular hydrogen peroxide.
AB - Exposing mice to a chronic hypoxic treatment (10% oxygen, 21 days) that promotes
pulmonary hypertension was observed to attenuate the pulmonary vasoconstriction
response to acute hypoxia (HPV) both in vivo and in isolated pulmonary arteries.
Since catalase restored the HPV response in isolated arteries, it appeared to be
attenuated by extracellular hydrogen peroxide. Chronic hypoxia promoted the
detection of elevated lung superoxide, extracellular peroxide, extracellular SOD
expression, and protein kinase G (PKG) activation [based on PKG dimerization and
vasodilator-stimulated phosphoprotein (VASP) phosphorylation], suggesting
increased generation of extracellular peroxide and PKG activation may contribute
to the suppression of HPV. Aorta from mice exposed to 21 days of hypoxia also
showed evidence for extracellular hydrogen peroxide, suppressing the relaxation
response to acute hypoxia. Peroxide appeared to partially suppress contractions
to phenylephrine used in the study of in vitro hypoxic responses. Treatment of
mice with the heme precursor delta-aminolevulinic acid (ALA; 50 mg.kg(-1).day(
1)) during exposure to chronic hypoxia was examined as a pulmonary hypertension
therapy because it could potentially activate beneficial cGMP-mediated effects
through promoting a prolonged protoporphyrin IX (PpIX)-elicited activation of
soluble guanylate cyclase. ALA attenuated pulmonary hypertension, increases in
both superoxide and peroxide, and the suppression of in vitro and in vivo HPV
responses. ALA generated prolonged detectible increases in PpIX and PKG
associated phosphorylation of VASP, suggesting PKG activation may contribute to
suppression of pulmonary hypertension and prevention of alterations in
extracellular peroxide that appear to be attenuating HPV responses caused by
chronic hypoxia.
PMID- 24920730
TI - Differential regulation of GLUT1 and GLUT8 expression by hypoxia in mammary
epithelial cells.
AB - Glucose is a major substrate for milk synthesis and is taken up from the blood by
mammary epithelial cells (MECs) through facilitative glucose transporters
(GLUTs). The expression levels of GLUT1 and GLUT8 are upregulated dramatically in
the mammary gland from late pregnancy through early lactation stages. This study
aimed to test the hypothesis that this increase in GLUT1 and GLUT8 expression
involves hypoxia signaling through hypoxia inducible factor-1alpha (HIF-1alpha)
in MECs. Mouse mammary glands showed significantly more hypoxia in midpregnancy
through early lactation stages compared with in the virgin stage, as stained by
the hypoxia marker pimonidazole HCl. Treatment with hypoxia (2% O2) significantly
stimulated glucose uptake and GLUT1 mRNA and protein expression, but decreased
GLUT8 mRNA expression in bovine MECs. In MECs, hypoxia also increased the levels
of HIF-1alpha protein in the nuclei, and siRNA against HIF-1alpha completely
abolished the hypoxia-induced upregulation of GLUT1, while having no effect on
GLUT8 expression. A 5'-RCGTG-3' core HIF-1alpha binding sequence was identified
3.7 kb upstream of the bovine GLUT1 gene, and HIF-1alpha binding to this site was
increased during hypoxia. In conclusion, the mammary glands in pregnant and
lactating animals are hypoxic, and MECs respond to this hypoxia by increasing
GLUT1 expression and glucose uptake through a HIF-1alpha-dependent mechanism.
GLUT8 expression, however, is negatively regulated by hypoxia through a HIF
1alpha-independent pathway. The regulation of glucose transporters through
hypoxia-mediated gene transcription in the mammary gland may provide an important
physiological mechanism for MECs to meet the metabolic demands of mammary
development and lactation.
PMID- 24920732
TI - Effects of peripheral and spinal kappa-opioid receptor stimulation on the
exercise pressor reflex in decerebrate rats.
AB - The exercise pressor reflex is greater in rats with ligated femoral arteries than
it is in rats with freely perfused femoral arteries. The exaggerated reflex in
rats with ligated arteries is attenuated by stimulation of MU-opioid and delta
opioid receptors on the peripheral endings of thin-fiber muscle afferents. The
effect of stimulation of kappa-opioid receptors on the exercise pressor reflex is
unknown. We tested the hypothesis that stimulation of kappa-opioid receptors
attenuates the exercise pressor reflex in rats with ligated, but not freely
perfused, femoral arteries. The pressor responses to static contraction were
compared before and after femoral arterial or intrathecal injection of the kappa
opioid receptor agonist U62066 (1, 10, and 100 MUg). Femoral arterial injection
of U62066 did not attenuate the pressor responses to contraction in either group
of rats. Likewise, intrathecal injection of U62066 did not attenuate the pressor
response to contraction in rats with freely perfused femoral arteries. In
contrast, intrathecal injection of 10 and 100 MUg of U62066 attenuated the
pressor response to contraction in rats with ligated femoral arteries, an effect
that was blocked by prior intrathecal injection of the kappa-opioid receptor
antagonist nor-binaltorphimine. In rats with ligated femoral arteries, the
pressor response to stimulation of peripheral chemoreceptors by sodium cyanide
was not changed by intrathecal U62066 injections, indicating that these
injections had no direct effect on the sympathetic outflow. We conclude that
stimulation of spinal, but not peripheral, kappa-opioid receptors attenuates the
exaggerated exercise pressor reflex in rats with ligated femoral arteries.
PMID- 24920731
TI - Elevated maternal cortisol leads to relative maternal hyperglycemia and increased
stillbirth in ovine pregnancy.
AB - In normal pregnancy, cortisol increases; however, further pathological increases
in cortisol are associated with maternal and fetal morbidities. These experiments
were designed to test the hypothesis that increased maternal cortisol would
increase maternal glucose concentrations, suppress fetal growth, and impair
neonatal glucose homeostasis. Ewes were infused with cortisol (1 mg.kg(-1).day(
1)) from day 115 of gestation to term; maternal glucose, insulin, ovine placental
lactogen, estrone, progesterone, nonesterified free fatty acids (NEFA), beta
hydroxybutyrate (BHB), and electrolytes were measured. Infusion of cortisol
increased maternal glucose concentration and slowed the glucose disappearance
after injection of glucose; maternal infusion of cortisol also increased the
incidence of fetal death at or near parturition. The design of the study was
altered to terminate the study prior to delivery, and post hoc analysis of the
data was performed to test the hypothesis that maternal metabolic factors predict
the fetal outcome. In cortisol-infused ewes that had stillborn lambs, plasma
insulin was increased relative to control ewes or cortisol-infused ewes with live
lambs. Maternal cortisol infusion did not alter maternal food intake or plasma
NEFA, BHB, estrone, progesterone or placental lactogen concentrations, and it did
not alter fetal body weight, ponderal index, or fetal organ weights. Our study
suggests that the adverse effect of elevated maternal cortisol on pregnancy
outcome may be related to the effects of cortisol on maternal glucose
homeostasis, and that chronic maternal stress or adrenal hypersecretion of
cortisol may create fetal pathophysiology paralleling some aspects of maternal
gestational diabetes.
PMID- 24920733
TI - Apolipoprotein A-I mimetic peptide 4F attenuates kidney injury, heart injury, and
endothelial dysfunction in sepsis.
AB - Kidney injury, heart injury, and cytokine-induced vascular hyperpermeability are
associated with high rates of morbidity and mortality in sepsis. Although the
mechanism remains unknown, apolipoprotein A-I (apoA-I) mimetic peptide 4F reduces
inflammation and protects HDL levels, which are reduced in sepsis. We
hypothesized that 4F also protects kidneys and hearts in a rat model of cecal
ligation and puncture (CLP). We divided Wistar rats into groups: sham-operated
(control), CLP, and CLP+4F (10 mg/kg body wt ip, 6 h after CLP). At 24 h post
CLP, we evaluated cardiac function, mean arterial pressure (MAP), heart rate
(HR), baroreflex sensitivity, total cholesterol, LDL, HDL, serum cytokines, and
inulin clearance. We performed immunoblotting for protein regulators of vascular
permeability (Slit2 and Robo4) and endothelial nitric oxide synthase (eNOS) in
kidney tissue. We evaluated heart mitochondria with electron microscopy. Although
there was no difference in MAP, the HR was significantly higher in CLP rats than
in control and CLP+4F rats. In CLP+4F rats, baroreflex sensitivity and cardiac
function were completely protected from the effects of CLP, as was glomerular
filtration; heart mitochondria morphology was improved; sepsis-induced changes in
serum cholesterol, LDL, HDL, and apoA-I were less common; all cytokines were
lower than in CLP rats; and expression of Slit2, Robo4, and eNOS was completely
restored. Administration of 4F inhibits inflammatory responses and strengthens
the vascular barrier, protecting kidneys and hearts in an HDL-dependent manner.
To determine the extent of the protective effect of 4F, further studies are
needed.
PMID- 24920734
TI - Modulation of sheep ruminal urea transport by ammonia and pH.
AB - Ruminal fermentation products such as short-chain fatty acids (SCFA) and CO2
acutely stimulate urea transport across the ruminal epithelium in vivo, whereas
ammonia has inhibitory effects. Uptake and signaling pathways remain obscure. The
ruminal expression of SLC14a1 (UT-B) was studied using polymerase chain reaction
(PCR). The functional short-term effects of ammonia on cytosolic pH (pHi) and
ruminal urea transport across native epithelia were investigated using pH
sensitive microelectrodes and via flux measurements in Ussing chambers. Two
variants (UT-B1 and UT-B2) could be fully sequenced from ovine ruminal cDNA.
Functionally, transport was passive and modulated by luminal pH in the presence
of SCFA and CO2, rising in response to luminal acidification to a peak value at
pH 5.8 and dropping with further acidification, resulting in a bell-shaped curve.
Presence of ammonia reduced the amplitude, but not the shape of the relationship
between urea flux and pH, so that urea flux remained maximal at pH 5.8. Effects
of ammonia were concentration dependent, with saturation at 5 mmol/l. Clamping
the transepithelial potential altered the inhibitory potential of ammonia on urea
flux. Ammonia depolarized the apical membrane and acidified pHi, suggesting that,
at physiological pH (< 7), uptake of NH4 (+) into the cytosol may be a key
signaling event regulating ruminal urea transport. We conclude that transport of
urea across the ruminal epithelium involves proteins subject to rapid modulation
by manipulations that alter pHi and the cytosolic concentration of NH4 (+).
Implications for epithelial and ruminal homeostasis are discussed.
PMID- 24920735
TI - Renal neurohormonal regulation in heart failure decompensation.
AB - Decompensation in heart failure occurs when the heart fails to balance venous
return with cardiac output, leading to fluid congestion and contributing to
mortality. Decompensated heart failure can cause acute kidney injury (AKI), which
further increases mortality. Heart failure activates signaling systems that are
deleterious to kidneys such as renal sympathetic nerve activity (RSNA), renin
angiotensin-aldosterone system, and vasopressin secretion. All three reduce renal
blood flow (RBF) and increase tubular sodium reabsorption, which may increase
renal oxygen consumption causing AKI through renal tissue hypoxia. Vasopressin
contributes to venous congestion through aquaporin-mediated water retention.
Additional water retention may be mediated through vasopressin-induced medullary
urea transport and hyaluronan but needs further study. In addition, there are
several systems that could protect the kidneys and reduce fluid retention such as
natriuretic peptides, prostaglandins, and nitric oxide. However, the effect of
natriuretic peptides and nitric oxide are blunted in decompensation, partly due
to oxidative stress. This review considers how neurohormonal signaling in heart
failure drives fluid retention by the kidneys and thus exacerbates
decompensation. It further identifies areas where there is limited data, such as
signaling systems 20-HETE, purines, endothelin, the role of renal water retention
mechanisms for congestion, and renal hypoxia in AKI during heart failure.
PMID- 24920738
TI - [Primary CNS lymphoma: an update on its diagnosis and management].
PMID- 24920739
TI - [Characteristics of cerebral aneurysm clips based on measurements of the opening
pressures: basic research using a high-pressure perfusion circuit and a porcine
vessel].
AB - BACKGROUND: The closing force of cerebral aneurysm clips is measured according to
International Organization for Standardization guidelines. However, it is
difficult to predict the risk of a clip using only the closing force. To
investigate the clips' detailed characteristics and assess their limits, we used
a high-pressure perfusion circuit and measured the critical pressure to the
slipping point. METHODS: Opening pressures were measured for 3 types of Sugita
clips using a high-pressure perfusion circuit and a porcine vessel. The opening
pressures at different points on various blade lengths and shapes were also
measured. RESULTS: The opening pressure of a short blade clip was higher than
that of a long one. In a straight blade of the same length, the opening pressure
of the proximal segment of a blade was higher than that at the distal segment.
CONCLUSIONS: Our study showed the characteristics of various clips, such as blade
length. These findings suggested that clips should be chosen on a case-by-case
basis.
PMID- 24920740
TI - [Determining the tumor-cell density required for macroscopic observation of 5-ALA
induced fluorescence of protoporphyrin IX in cultured glioma cells and clinical
cases].
AB - We investigated the association between the cell density and intensity of 5
aminolevulinic acid-induced fluorescence of protoporphyrin IX in 3-dimensionally
cultured C6 glioma cells. The ratio between 636-nm red fluorescence excited by a
405-nm laser and 513-nm green autofluorescence of the tissue was measured as the
fluorescence intensity. A ratio exceeding 0.68 was macroscopically judged as
fluorescence-positive by observers, and the cell density at this fluorescence
intensity was 1*107< cells/mL. In clinical surgical fields, the fluorescence
ratio was about 0.65 in cases judged as fluorescence-positive, similarly to that
in cultured cells. Neurosurgeons and pathologists should recognize that tumor
cells are present in fluorescence-negative regions. It is necessary to develop a
device which measures fluorescence more simply than macroscopic observation for
cutting-edge brain surgery.
PMID- 24920741
TI - [Timing of helicopter transportation for patients presenting with subarachnoid
hemorrhage on isolated islands].
AB - Cerebral aneurysm re-rupture following subarachnoid hemorrhage(SAH)is a serious
problem that is related with poor outcome. It is generally said that re-rupture
occurs within 6 hours of the initial SAH;in the acute stage, strict management is
needed even in the period before hospitalization. The aim of this study was to
confirm whether patients on isolated islands should be transferred by helicopter
> 6 hours after the initial SAH. Here we reviewed 125 cases of SAH in the
isolated islands of Nagasaki prefecture between January 2007 and December 2012
who were transferred to Nagasaki Medical Center by helicopter as a result of
consultation via TeleStroke(41 men, 84 women;mean age, 65.76 years). Re-rupture
was observed in seven patients(5.6%), five of whom were diagnosed with re-rupture
in a prior hospital on the isolated island. No patients demonstrated clinical
deterioration during transport. Early helicopter transportation under adequate
sedation and control of blood pressure within 6 hours is safe, and patients
should be transferred as quickly as possible during the day. On the other hand,
at night, flight safety must first be considered. Patients in stable clinical
condition may be transferred the next day. We should pay special attention to
patients with SAH and intracerebral hemorrhage, severe SAH, or vertebral artery
dissecting aneurysm because their condition may gradually become more serious
even if initially stable.
PMID- 24920742
TI - [Pediatric medulloblastoma presenting as cerebellar hemorrhage: a case report].
AB - Medulloblastomas usually cause cerebellar ataxia and acute hydrocephalus owing to
their increase in size. Cerebellar hemorrhage is an extremely rare initial
clinical presentation of medulloblastoma. Herein, we report a case of
medulloblastoma in an 8-year-old girl who presented with initial cerebellar
intratumoral hemorrhage. The patient initially presented with mild headache;the
differential diagnosis by using the initial computed tomography and magnetic
resonance images was difficult, as bleeding from a cerebellar vascular
malformation(cavernous angioma or arteriovenous malformation)was considered more
likely. Hydrocephalus or typical findings indicative of medulloblastoma were not
observed. We initially only observed the patient at another institution because
the hematoma was relatively small(1.5*1*1cm). After follow-up imaging for
pathological diagnosis, surgical removal was performed at our institute 49 days
after the hemorrhage was observed. Complete tumor removal was achieved, and the
histopathological diagnosis was medulloblastoma. The patient received whole brain
and spinal irradiation(23.4Gy;posterior fossa local:50.4Gy)and
chemotherapy(cyclophosphamide, 1,000mg/m2/day on day 1;vincristine, 1.5mg/m2/day
on day 1;etoposide, 100mg/m2/day on days 1-3;cisplatin, 90mg/m2/day on day 2). No
recurrences or neurological deficits were observed during a 2-year follow-up.
This was a rare case of medulloblastoma presenting as cerebellar hemorrhage.
Cerebellar medulloblastoma is among the common pediatric brain tumors;therefore,
it should be diagnosed accurately and quickly.
PMID- 24920743
TI - [A case of lateral ventricle metastasis from gastric carcinoma].
AB - Metastatic brain tumors of the lateral ventricles are rare. Approximately half of
the reported cases have originated from renal carcinoma;to the best of our
knowledge, only 1 case originating from gastric carcinoma has been reported. A 57
year-old man presented with dull headache, left hemiparesis, and constructional
apraxia of the right upper extremity. He had undergone gastrectomy for gastric
carcinoma 8 years previously. Magnetic resonance imaging revealed an enhanced
tumor extending from the right caudate nucleus to the right lateral ventricle
with peritumoral edema. Complete resection of the tumor was achieved via the
right transcallosal approach. The histopathological diagnosis was metastasis from
the gastric carcinoma. After the resection, the patient temporarily showed a lack
of spontaneity and extrapyramidal signs of the right upper and lower limbs;his
condition improved after 3 weeks. He was discharged 2 months after the surgery,
without any neurological deficits. Patients with tumors of the lateral ventricles
may present with various characteristic neurological symptoms in the
perioperative period;therefore, a thorough neurological examination is necessary.
PMID- 24920744
TI - [A case of possible immunoglobulin G4-related disease (IgG4-RD) with
retroperitoneal fibrosis and central diabetes insipidus due to
infundibulohypophysitis].
AB - We report a case of possible immunoglobulin G4-related disease(IgG4-RD)that
resulted in complications such as retroperitoneal fibrosis and
infundibulohypophysitis. The patient was a 72-year-old male who presented with
polyuria and polydipsia. Magnetic resonance imaging(MRI)revealed a thickened
pituitary stalk and contrast enhancement with gadolinium. T1-weighted imaging
revealed that the posterior pituitary high-signal zone had disappeared. Central
diabetes insipidus was diagnosed on the basis of results of the hypertonic saline
test. In addition, pressure due to retroperitoneal fibrosis resulted in
hydronephrosis and elevated serum IgG4 levels. Because it was determined that the
patient could have IgG4-RD, he was administered prednisolone, following which a
decrease in the size of the pituitary stalk and retroperitoneal fibrosis was
observed. IgG4-RD is characterized by elevated serum IgG4 levels and the
infiltration of IgG4-positive plasma cells into various organs, including the
central nervous system. Recently, IgG4-RD research teams organized by the
Ministry of Health, Labour and Welfare established guidelines for the diagnosis
of IgG4-RD. According to these guidelines, this case would fall under the
category of "possible IgG4-RD." This case suggested that when
infundibulohypophysitis is detected by neuroradiology, further investigation into
the possibility of IgG4-RD should be recommended.
PMID- 24920745
TI - [Successful recanalization of intracranial internal carotid artery occlusion by
rapidly changing from the Penumbra System(r) to the Merci(r) Retrieval System to
retrieve a predicted white thrombus: a case report].
AB - This report describes a case of successful recanalization of intracranial
internal carotid artery occlusion by rapidly changing from the Penumbra System(r)
to the Merci(r) Retrieval System for the retrieval of a predicted white thrombus.
A 72-year-old man was consulted to our department with a consciousness
disturbance. The patient had undergone graft placement for the management of a
thoracic aortic aneurysm 14 days prior. Neurological examination revealed left
sided severe motor weakness and dysarthria. Magnetic resonance(MR)imaging showed
a cerebral infarction in the territory of the right internal carotid artery(ICA),
while MR angiography revealed occlusion of the right ICA. The systemic
intravenous injection of recombinant tissue plasminogen activator was
contraindicated, so a mechanical thrombectomy was performed. The use of the
Penumbra System(r) failed to achieve recanalization of the right ICA;therefore,
revascularization using the Merci(r) Retrieval System was performed. As a result,
complete recanalization was achieved approximately 2 hours and 45 minutes after
symptom onset. The retrieved specimen was elastic and hard, and histological
examination revealed a white thrombus without endovascular organization.
Postoperatively, the patient was restless for 1 day but did not show any
neurological abnormalities. His postoperative course was uneventful, and he was
discharged 7 days later without any neurological deficits.
PMID- 24920746
TI - [Bilateral internal carotid artery lesions associated with persistent primitive
trigeminal artery].
PMID- 24920747
TI - [Required knowledge for spinal surgeon(10)carpal tunnel syndrome].
PMID- 24920748
TI - Nitrergic Pathway Is the Major Mechanism for the Effect of DA-9701 on the Rat
Gastric Fundus Relaxation.
AB - BACKGROUND/AIMS: DA-9701 significantly improved gastric accommodation by
increasing the postprandial gastric volume. In this study, we investigated how DA
9701 affects the rat gastric fundus relaxation. METHODS: Gastric fundus muscle
strips (9 longitudinal and 7 circular muscles) were obtained from rats.
Electrical field stimulation (EFS) was performed at various frequencies (1, 5, 10
and 20 Hz) and train durations (1, 5, 10 and 20 seconds) to select optimal
condition for experiments. Isometric force measurements were performed in
response to EFS. Peak and nadir were observed during the first 1 minute after
initiation of EFS in control state and after sequential addition of atropine (1
MUM), DA-9701 (0.5, 5, 25 and 50 MUg), N-nitro-L-arginine (L-NNA, 100 MUM),
MRS2500 (1 MUM) and tetrodotoxin (TTX, 1 MUM) to the organ bath. RESULTS: The
optimal frequency and duration of EFS to evoke nerve-mediated relaxation was
determined as 5 Hz for 10 seconds. Addition of L-NNA in the presence of atropine
and DA-9701 (50 MUg) decreased nadir by inhibiting relaxation from -0.054 +/-
0.021 g to -0.022 +/- 0.015 g (P = 0.026) in longitudinal muscles. However,
subsequent application of MRS2500 in the presence of atropine, DA-9701 (50 MUg)
and L-NNA did not affect nadir. In circular muscles, subsequent addition of L-NNA
and MRS2500 in the presence of atropine and DA-9701 (50 MUg) did not show
significant change of nadir. CONCLUSIONS: Our data suggest that the effect of DA
9701 on the rat gastric fundus relaxation is mainly mediated by nitrergic rather
than purinergic pathway.
PMID- 24920749
TI - Vitellogenin RNAi halts ovarian growth and diverts reproductive proteins and
lipids in young grasshoppers.
AB - Reduced reproduction extends lifespan of females in many animals. To test the
effects of reproduction on storage of macronutrients, we block reproductive
output in the lubber grasshopper by injecting RNAi against the precursor to egg
yolk protein, vitellogenin, in early adulthood. Controls were injected with
either buffer or RNAi against the major storage protein in the hemolymph,
hexamerin-90. Vitellogenin RNAi greatly reduced both levels of mRNA for
vitellogenin and ovarian growth, in comparison to both controls. Fat body mass
was increased upon vitellogenin RNAi, but concentrations of the three hexameric
storage proteins from the hemolymph were not. Surprisingly, hemolymph
vitellogenin levels were increased upon vitellogenin RNAi. Total reproductive
protein (hemolymph vitellogenin plus ovarian vitellin) was unchanged by
vitellogenin RNAi, as reproductive protein was diverted to the hemolymph.
Similarly, the increased lipid storage upon vitellogenin RNAi was largely
attributable to the reduction in lipid in the ovary, due to decreased ovarian
growth. A BLAST search revealed that the 515 bp sequence of vitellogenin used for
RNAi had three 11 bp regions identical to the vitellogenin receptor of the
cockroach Leucophaea maderae. This suggests that our treatment, in addition to
reducing levels of vitellogenin transcript, may have also blocked transport of
vitellogenin from the hemolymph to the ovary. This would be consistent with
halted ovarian growth simultaneous with high levels of vitellogenin in the
hemolymph. Nonetheless, the accumulation of vitellogenin, instead of hexameric
storage proteins, is inconsistent with a simple model of the trade-off between
reproduction and storage. This was observed in young females; future studies will
address whether investment of proteins may shift to the soma as individuals age.
Overall, our results suggest that blockage of reproduction in young grasshoppers
redirects lipids to storage and reproductive proteins to the hemolymph.
PMID- 24920750
TI - Insights from stable isotopic tracers on reproductive allocation under stress.
AB - Fecundity is affected by changes in the nutritional and energetic environment, as
a result of changes in acquisition, assimilation, or allocation of macro
nutrients and micro-nutrients. Stable isotopes of carbon and nitrogen offer a
window into the processes underlying these changes. In insects that feed on
nectar as adults, carbon isotopes can be used to trace allocation of carbon to
eggs from larval (capital) and adult (income) sources. If adults are fed sugar
water, there is no source of nitrogen from the adult diet. Thus, nitrogen
isotopes in eggs reflect fractionation of larval nitrogen due to protein
catabolism and anabolism. We subjected adult females of two butterfly species,
Speyeria mormonia and Colias eurytheme, to dietary restriction (DR), larval
female S. mormonia to DR, and adult female S. mormonia to extra flight. Females
subjected to extra flight were previously found to eat more as adults and to have
a higher resting metabolic rate. As predicted, significantly less carbon obtained
by feeding as adults was incorporated into eggs in both species under DR when
adult. Speyeria mormonia eggs contained significantly more carbon derived from
adult feeding under DR as larvae and when subjected to extra flight as adult
females. Again as predicted, eggs from females of both species subjected to DR
when adults were enriched for (15)N, suggesting that increased protein catabolism
or anabolism generated additional carbon compounds. Speyeria mormonia eggs from
females subjected to DR when larvae or to additional flight as adults were
depleted for (15)N. The result for DR of larvae suggests minimization of protein
catabolism when protein reserves are relatively scarce. The results for flight
were not as predicted, and deserve further exploration. In most cases, isotopic
signature in eggs changed with females' age. Eggs were progressively more
enriched for the carbon signature of adults, consistent with a two-compartment
mixing model for the carbon sources of larvae and adults. Eggs laid across the
life of a female were progressively depleted for (15)N, followed by
stabilization. This could be due to high total investment in eggs early in life,
as the results are consistent with those for other growing animals. Overall,
these results indicate shifts in allocation of incoming and stored (capital)
carbon in response to various environmental stresses. The results for nitrogen
suggest hypotheses to be tested concerning nitrogen metabolism under
environmental stress.
PMID- 24920751
TI - The Drosophila egg chamber-a new spin on how tissues elongate.
AB - During development, tissues undergo complex cellular rearrangements and changes
in shape that produce a diversity of body plans and the functional organs
therein. The Drosophila egg chamber has emerged as an exciting and highly
tractable model in which to investigate novel mechanisms driving the elongation
of tissues. Egg chambers are multicellular assemblies within flies' ovaries that
will each give rise to a single egg. Although initially spherical, these simple
organ-like structures lengthen as they grow. This transformation depends on an
unusual form of planar polarity in the egg chamber's outer epithelial layer, in
which arrays of linear actin bundles and fibril-like structures in the basement
membrane both align perpendicular to the axis of elongation. The resulting
circumferential arrangement of structural molecules is then thought to act as a
"molecular corset" that directionally biases growth of the egg chamber. I will
explore four fundamental questions about this system: (1) How is the
circumferential pattern generated in the follicular epithelium? (2) What is the
physical nature of the corset? (3) How does a corset-type mechanism lead to the
cellular rearrangements necessary for the elongation of tissues? and (4) To what
extent are the cellular mechanisms controlling egg chamber elongation conserved
in other systems? For each topic, I will present insights gleaned from the recent
literature and highlight fertile areas for future investigation.
PMID- 24920752
TI - Does thermal ecology influence dynamics of side-blotched lizards and their micro
parasites?
AB - Hosts and parasites form interacting populations that influence each other in
multiple ways. Their dynamics can also be influenced by environmental and
ecological factors. We studied host-parasite dynamics in a previously unexplored
study system: side-blotched lizards and their micro-parasites. Compared with
uninfected lizards, the infected lizards elected to bask at lower temperatures
that were outside their range of preferred temperatures. Infected lizards also
were not as precise as uninfected lizards in maintaining their body temperatures
within a narrow range. At the ecological scale, areas with higher infection rates
coincided with more thermally heterogeneous microhabitats as well as with the
areas where lizards tended to live longer. Thermal heterogeneity of lizards'
microhabitats may provide important clues to the spatial and temporal
distribution of infections.
PMID- 24920753
TI - Blockade of cysteine-rich protein 61 attenuates renal inflammation and fibrosis
after ischemic kidney injury.
AB - Emerging data have suggested that acute kidney injury (AKI) is often incompletely
repaired and can lead to chronic kidney disease (CKD), which is characterized by
tubulointerstitial inflammation and fibrosis. However, the underlying mechanisms
linking AKI to CKD remain obscure. The present study aimed to investigate the
role of cysteine-rich protein 61 (Cyr61) after unilateral kidney ischemia
reperfusion injury (IRI) in mice. After IRI, increased expression of Cyr61 was
detected, predominately in the proximal tubular epithelium. This was confirmed by
in vitro experiments, which showed that hypoxia stimulated Cyr61 expression in
cultured proximal tubular epithelial cells. The proinflammatory property of Cyr61
was indicated by its ability to upregulate monocyte chemoattractant protein-1 and
IL-6. Additionally, we found elevated urinary Cyr61 excretion in patients with
AKI. Notably, treatment of mice with an anti-Cyr61 antibody attenuated the
upregulation of kidney monocyte chemoattractant protein-1, IL-6, IL-1beta, and
macrophage inflammatory protein-2 and reduced the infiltration of F4/80-positive
macrophages on days 7 and 14 after IRI. In addition, blockade of Cyr61 reduced
the mRNA expression of collagen, transforming growth factor-beta, and plasminogen
activator inhibitor-I as well as the degree of collagen fibril accumulation, as
evaluated by picrosirius red staining, and levels of alpha-smooth muscle actin
proteins by day 14. Concurrently, in the treated group, peritubular microvascular
density was more preserved on day 14. We conclude that Cyr61 blockade inhibits
the triad of inflammation, interstitial fibrosis, and capillary rarefaction after
severe ischemic AKI. The results of this study expand the knowledge of the
mechanisms underlying the AKI-to-CKD transition and suggest that Cyr61 is a
potential therapeutic target.
PMID- 24920754
TI - WNK3 abrogates the NEDD4-2-mediated inhibition of the renal Na+-Cl-
cotransporter.
AB - The serine/threonine kinase WNK3 and the ubiquitin-protein ligase NEDD4-2 are key
regulators of the thiazide-sensitive Na+-Cl- cotransporter (NCC), WNK3 as an
activator and NEDD2-4 as an inhibitor. Nedd4-2 was identified as an interacting
partner of WNK3 through a glutathione-S-transferase pull-down assay using the N
terminal domain of WNK3, combined with LC-MS/MS analysis. This was validated by
coimmunoprecipitation of WNK3 and NEDD4-2 expressed in HEK293 cells. Our data
also revealed that the interaction between Nedd4-2 and WNK3 does not involve the
PY-like motif found in WNK3. The level of WNK3 ubiquitylation did not change when
NEDD4-2 was expressed in HEK293 cells. Moreover, in contrast to SGK1, WNK3 did
not phosphorylate NEDD4-2 on S222 or S328. Coimmunoprecipitation assays showed
that WNK3 does not regulate the interaction between NCC and NEDD4-2.
Interestingly, in Xenopus laevis oocytes, WNK3 was able to recover the SGK1
resistant NEDD4-2 S222A/S328A-mediated inhibition of NCC and further activate
NCC. Furthermore, elimination of the SPAK binding site in the kinase domain of
WNK3 (WNK3-F242A, which lacks the capacity to bind the serine/threonine kinase
SPAK) prevented the WNK3 NCC-activating effect, but not the Nedd4-2-inhibitory
effect. Together, these results suggest that a novel role for WNK3 on NCC
expression at the plasma membrane, an effect apparently independent of the SPAK
kinase and the aldosterone-SGK1 pathway.
PMID- 24920755
TI - Angiotensin II stimulates fibronectin protein synthesis via a
Gbetagamma/arachidonic acid-dependent pathway.
AB - In rabbit proximal tubular cells, ANG II type 2-receptor (AT2)-induced
arachidonic acid release is PLA2 coupled and dependent of G protein betagamma
(Gbetagamma) subunits. Moreover, ANG II activates ERK1/2 and transactivates EGFR
via a c-Src-dependent mechanism. Arachidonic acid has been shown to mimic this
effect, at least in part, by an undetermined mechanism. In this study, we
determined the effects of ANG II on fibronectin expression in cultured rabbit
proximal tubule cells and elucidated the signaling pathways associated with such
expression. We found that ANG II and transfection of Gbetagamma subunits directly
increased fibronectin protein expression, and this increase was inhibited by
overexpression of beta-adrenergic receptor kinase (betaARK)-ct or DN-Src.
Moreover, ANG II-induced fibronectin protein expression was significantly
abrogated by the AT2 receptor antagonist PD123319. In addition, inhibition of
cystolic PLA2 diminished ANG II-induced fibronectin expression. Endogenous
arachidonic acid mimicked ANG II-induced fibronectin expression. We also found
that overexpression of Gbetagamma subunits induced c-Src, ERK1/2, and EGFR
tyrosine phosphorylation, which can be inhibited by overexpression of betaARK-ct
or DN-Src. Gbetagamma also induced c-Src SH2 domain association with the EGFR.
Supporting these findings, in rabbit proximal tubular epithelium, immunoblot
analysis indicated that betagamma expression was significant. Interestingly,
arachidonic acid- and eicosatetraenoic acid-induced responses were preserved in
the presence of betaARK-ct. This is the first report demonstrating the regulation
of EGFR, ERK1/2, c-Src, and fibronectin by Gbetagamma subunits in renal
epithelial cells. Moreover, this work demonstrates a role for Gbetagamma
heterotrimeric proteins in ANG II, but not arachidonic acid, signaling in renal
epithelial cells.
PMID- 24920756
TI - Evidence for pericyte origin of TSC-associated renal angiomyolipomas and
implications for angiotensin receptor inhibition therapy.
AB - Nearly all patients with tuberous sclerosis complex (TSC) develop renal
angiomyolipomas, although the tumor cell of origin is unknown. We observed
decreased renal angiomyolipoma development in patients with TSC2- polycystic
kidney disease 1 deletion syndrome and hypertension that were treated from an
early age with angiotensin-converting enzyme inhibitors or angiotensin receptor
blockers compared with patients who did not receive this therapy. TSC-associated
renal angiomyolipomas expressed ANG II type 1 receptors, platelet-derived growth
factor receptor-beta, desmin, alpha-smooth muscle actin, and VEGF receptor 2 but
did not express the adipocyte marker S100 or the endothelial marker CD31. Sera of
TSC patients exhibited increased vascular mural cell-secreted peptides, such as
VEGF-A, VEGF-D, soluble VEGF receptor 2, and collagen type IV. These findings
suggest that angiomyolipomas may arise from renal pericytes. ANG II treatment of
angiomyolipoma cells in vitro resulted in an exaggerated intracellular Ca(2+)
response and increased proliferation, which were blocked by the ANG II type 2
receptor antagonist valsartan. Blockade of ANG II signaling may have preventative
therapeutic potential for angiomyolipomas.
PMID- 24920757
TI - Renal blood flow and oxygenation drive nephron progenitor differentiation.
AB - During kidney development, the vasculature develops via both angiogenesis
(branching from major vessels) and vasculogenesis (de novo vessel formation). The
formation and perfusion of renal blood vessels are vastly understudied. In the
present study, we investigated the regulatory role of renal blood flow and O2
concentration on nephron progenitor differentiation during ontogeny. To elucidate
the presence of blood flow, ultrasound-guided intracardiac microinjection was
performed, and FITC-tagged tomato lectin was perfused through the embryo. Kidneys
were costained for the vasculature, ureteric epithelium, nephron progenitors, and
nephron structures. We also analyzed nephron differentiation in normoxia compared
with hypoxia. At embryonic day 13.5 (E13.5), the major vascular branches were
perfused; however, smaller-caliber peripheral vessels remained unperfused. By
E15.5, peripheral vessels started to be perfused as well as glomeruli. While the
interior kidney vessels were perfused, the peripheral vessels (nephrogenic zone)
remained unperfused. Directly adjacent and internal to the nephrogenic zone, we
found differentiated nephron structures surrounded and infiltrated by perfused
vessels. Furthermore, we determined that at low O2 concentration, little nephron
progenitor differentiation was observed; at higher O2 concentrations, more
differentiation of the nephron progenitors was induced. The formation of the
developing renal vessels occurs before the onset of blood flow. Furthermore,
renal blood flow and oxygenation are critical for nephron progenitor
differentiation.
PMID- 24920758
TI - Predictive ability of bleeding risk scores in the routine clinical practice.
AB - BACKGROUND: Previous predictive models of bleeding in acute coronary syndromes
(ACSs) used different definitions of bleeding and some of them come from
populations lacking important predictors of haemorrhagic complications. Our group
previously developed a predictive model of bleeding (PMB), including clinically
meaningful variables, providing an optimal predictive ability. We aimed to
compare the ability of this PMB with the main available bleeding risk scores for
predicting major bleeding according to different definitions in non-selected ACS
patients from daily clinical practice. METHODS: All ACS patients admitted to the
Coronary Care Unit were prospectively included. CRUSADE, Mehran and ACTION
bleeding risk scores were calculated for each patient. In-hospital bleeding was
recorded using the CRUSADE, TIMI, Mehran, ACTION and BARC definitions. For
reasons of clinical relevance, BARC 3 and 5 categories were considered severe
BARC bleeding for this study. The predictive ability of the PMB and other
bleeding risk scores was assessed by binary logistic regression, ROC curves and
areas under the curves (AUCs). RESULTS: We included 1976 patients. Mean age was
62.1 years. Almost all patients underwent angiography, 65% of them by the radial
approach. The incidence of major bleeding was: CRUSADE bleeding 3.9% (77/1976);
Mehran bleeding 4.8% (94/1976); ACTION bleeding 3.9% (78/1976); and BARC 3/5
bleeding 2.4% (48/1976). The PMB showed the best ability for predicting major
bleeding regardless of the definition used. The differences were specially
significant for predicting BARC 3/5 bleeding (AUC: PMB 0.87, Mehran score 0.68,
CRUSADE score 0.70 and ACTION score 0.70). The predictive ability of CRUSADE,
ACTION and Mehran scores was similar for all the definitions analysed.
CONCLUSIONS: Current bleeding risk scores showed a similar predictive ability for
major bleeding regardless of the definitions used. Including other clinically
meaningful predictors of bleeding into the new PMB significantly improved its
predictive ability in the clinical scenario of ACS.
PMID- 24920759
TI - Impact of the Japan earthquake disaster with massive Tsunami on emergency
coronary intervention and in-hospital mortality in patients with acute ST
elevation myocardial infarction.
AB - AIMS: The aims of this study were to evaluate reperfusion rate, therapeutic time
course and in-hospital mortality pre- and post-Japan earthquake disaster,
comparing patients with ST-elevation myocardial infarction (STEMI) treated in the
inland area or the Tsunami-stricken area of Iwate prefecture. METHOD AND RESULTS:
Subjects were 386 consecutive STEMI patients admitted to the four percutaneous
coronary intervention (PCI) centers in Iwate prefecture in 2010 and 2011.
Patients were divided into two groups: those treated in the inland or Tsunami
stricken area. We compared clinical characteristics, time course and in-hospital
mortality in both years in the two groups. PCI was performed in 310 patients
(80.3%). Door-to-balloon (D2B) time in the Tsunami-stricken area in 2011 was
significantly shorter than in 2010 in patients treated with PCI. However, the
rate of PCI performed in the Tsunami-stricken area in March-April 2011 was
significantly lower than that in March-April 2010 (41.2% vs 85.7%; p=0.03). In
hospital mortality increased three-fold from 7.1% in March-April 2010 to 23.5% in
March-April 2011 in the Tsunami-stricken area. Standardized mortality ratio (SMR)
in March-April 2011 in the Tsunami-stricken area was significantly higher than
the control SMR (SMR 4.72: 95% confidence interval (CI): 1.77-12.6: p=0.007).
CONCLUSIONS: The rate of PCI decreased and in-hospital mortality increased
immediately after the Japan earthquake disaster in the Tsunami-stricken area.
Disorder in hospitals and in the distribution systems after the disaster impacted
the clinical care and outcome of STEMI patients.
PMID- 24920760
TI - Late driveline left ventricular assist device infection treated with frozen-and
thawed allogeneic platelet gel.
AB - In this case report, we report the application of frozen-and-thawed allogeneic
platelet gel (PLT-gel) to treat a late driveline exit site infection in a 56-year
old patient supported by a Heartware-HVAD left ventricular assist device. The
treatment duration was 4 weeks and at the follow-up the skin around the exit site
was free from further infection. PLT-gel can be used to treat local infection of
the driveline exit site and to prevent further high-risk infections.
PMID- 24920761
TI - Do patients with haematological malignancy who need cardiopulmonary bypass have a
short-term higher mortality or a higher chance of disease progression?
AB - A best evidence topic in cardiac surgery was written according to a structured
protocol. The question addressed was whether patients with haematological
malignancy (HM) who need cardiopulmonary bypass (CPB) have a higher short-term
mortality or a higher chance of disease progression secondary to CPB. Altogether,
107 papers were found using the reported search terms, but ultimately only eight
were relevant to our subject. We found four case series and four case-control
series. Most of the found papers were only short series. The largest series
included 56 patients with HM and requiring CPB, suggesting that HM patients
rarely require CPB surgery and/or are not operated on. Most of these studies
showed that the overall postoperative morbidity rate was increased, reaching 50
60% of the patients. These comorbidities were mainly related to postoperative
infections, bleeding and blood transfusions, which were highly significant.
However, in most papers, the postoperative hospital stay was not different and
the in-hospital mortality rate of HM patients was similar to that of healthy
patients. One paper demonstrated that the time taken before initiating
chemotherapy was longer in patients who underwent CPB surgery. No paper reported
an acute change in blood disorders. Long-term mortality rates were not mentioned
in some papers, but when it was stated, the HM patients' long-term mortality
seemed not increased by using CPB surgery, with more than 80% survival at 3 years
and 20-25% progression of the disease at 3 years. Although these study
limitations are linked to the low-evidence levels in some of the papers used,
haematological malignancies should not be considered a contraindication for
cardiac CPB surgery.
PMID- 24920762
TI - Nonadaptive processes governing early stages of polyploid evolution: Insights
from a primary contact zone of relict serpentine Knautia arvensis
(Caprifoliaceae).
AB - * Premise of the study: Contact zones between polyploids and their diploid
progenitors may provide important insights into the mechanisms of sympatric
speciation and local adaptation. However, most published studies investigated
secondary contact zones where the effects of genome duplication can be confounded
by previous independent evolution of currently sympatric cytotypes. We compared
genetically close diploid and autotetraploid serpentine cytotypes of Knautia
arvensis (Caprifoliaceae) in a primary contact zone and evaluated the role of
adaptive and nonadaptive processes for cytotype coexistence.* Methods: DNA flow
cytometry was used to determine ploidy distribution at various spatial scales
(from across the entire contact zone to microgeographic). Habitat preferences of
diploids and polyploids were assessed by comparing vegetation composition of
nearby ploidy-uniform sites and by recording plant species immediately
surrounding both cytotypes in mixed-ploidy plots.* Key results: Tetraploids
considerably outnumbered their diploid progenitors in the contact zone. Both
cytotypes were segregated at all investigated spatial scales. This pattern was
not driven by ecological shifts, because both diploids and tetraploids inhabited
sites with nearly identical vegetation cover. Certain interploidy niche
differentiation was indicated only at the smallest spatial scale; ecologically
nonadaptive processes were most likely responsible for this difference.*
Conclusions: We conclude that a shift in ecological preferences (i.e., the
adaptive scenario) is not necessary for the establishment and evolutionary
success of autopolyploid derivatives in primary contact zones. Spatial
segregation that would support ploidy coexistence can also be achieved by
ecologically nonadaptive processes, including the founder effect, limited
dispersal ability, intense clonal growth, and triploid block.
PMID- 24920763
TI - Seed source, seed traits, and frugivore habits: Implications for dispersal
quality of two sympatric primates.
AB - * Premise of the study: Frugivore selection of fruits and treatment of seeds
together with seed deposition site are crucial for the population dynamics of
vertebrate-dispersed plants. However, frugivore species may influence dispersal
quality differently even when feeding on the same fruit species and, while
animals disperse some seeds, others simply fall beneath the parent plant.*
Methods: In southern Mexico, we investigated to see if within-species seed traits
(i.e., length, width, weight, and volume) and germination success differed
according to seed source. For five tropical tree species we obtained ingested
seeds from two sources, howler monkey (Alouatta pigra) and spider monkey (Ateles
geoffroyi) feces; and noningested seeds from two sources, the ground and tree
crowns (with predispersed seeds used as control).* Key results: A principal
components' analysis showed that traits of seeds ingested by howler monkeys
differed from other sources while seeds ingested by spider monkeys were similar
to noningested seeds. Howlers consumed on average the larger seeds in Ampelocera
hottlei, Brosimum lactescens, and Dialium guianense. Both primate species
consumed the smaller seeds in Spondias mombin, while no seed trait differences
among seed sources were found in Spondias radlkoferi. For all five tree species,
germination rate was greatest for seeds ingested by howler monkeys.* Conclusions:
For the studied plant species, seed ingestion by howler monkeys confers higher
dispersal quality than ingestion by spider monkeys or nondispersal. Dispersal
services of both primate species, however, are not redundant and may contribute
to germination heterogeneity within plant populations in tropical forests.
PMID- 24920764
TI - Lippia alba (Verbenaceae): A new tropical autopolyploid complex?
AB - * Premise of the study: Tropical regions have high species diversity, and
polyploidization is a major mechanism of speciation in plants. However, few cases
of natural polyploidy have been reported in tropical regions. Lippia alba, is a
tropical, aromatic shrub with a wide distribution, extensive morphological
plasticity, and several chemotypes. The species has long been recognized as a
diploid with 2n = 30 chromosomes. Recently, two variations in chromosome number
(2n = 60; 2n = 12-60) have been reported, suggesting the occurrence of polyploidy
within the species.* Methods: Flow cytometry was used to investigate the genome
size in 106 accessions from 14 Brazilian States. Conventional and molecular
cytogenetic techniques and pollen viability analysis were employed to
characterize each chromosome number observed.* Key results: The DNA 1C-value
varied from 1.17 to 3.45 pg, showing a large variation in genome size. Five
distinct chromosome numbers were observed (2n = 30, 38, 45, 60, 90); three are
cytogenetically described here for the first time. The 5S rDNA signals varied
proportionally according to each chromosome number, but 45S rDNA sites did not.
High rates of meiotic irregularity were observed, mainly in cytotypes with higher
chromosome numbers.* Conclusions: The data provide new support for the occurrence
of a polyploid series in Lippia alba. We provide a hypothesis for how this
complex may have arisen. Other cryptic polyploid complexes may remain
undiscovered in tropical regions.
PMID- 24920765
TI - Rapid detection and simultaneous antibiotic susceptibility analysis of Yersinia
pestis directly from clinical specimens by use of reporter phage.
AB - Yersinia pestis is a tier 1 agent due to its contagious pneumopathogenicity,
extremely rapid progression, and high mortality rate. As the disease is usually
fatal without appropriate therapy, rapid detection from clinical matrices is
critical to patient outcomes. We previously engineered the diagnostic phage
PhiA1122 with luxAB to create a "light-tagged" reporter phage. PhiA1122::luxAB
rapidly detects Y. pestis in pure culture and human serum by transducing a
bioluminescent signal response. In this report, we assessed the analytical
specificity of the reporter phage and investigated diagnostic utility (detection
and antibiotic susceptibility analysis) directly from spiked whole blood. The
bioreporter displayed 100% (n = 59) inclusivity for Y. pestis and consistent
intraspecific signal transduction levels. False positives were not obtained from
species typically associated with bacteremia or those relevant to plague
diagnosis. However, some non-pestis Yersinia strains and Enterobacteriaceae did
elicit signals, albeit at highly attenuated transduction levels. Diagnostic
performance was assayed in simple broth-enriched blood samples and standard
aerobic culture bottles. In blood, <10(2) CFU/ml was detected within 5 h. In
addition, Y. pestis was identified directly from positive blood cultures within
20 to 45 min without further processing. Importantly, coincubation of blood
samples with antibiotics facilitated simultaneous antimicrobial susceptibility
profiling. Consequently, the reporter phage demonstrated rapid detection and
antibiotic susceptibility profiling directly from clinical samples, features that
may improve patient prognosis during plague outbreaks.
PMID- 24920766
TI - Multidrug-resistant nontuberculous mycobacteria isolated from cystic fibrosis
patients.
AB - Worldwide, nontuberculous mycobacteria (NTM) have become emergent pathogens of
pulmonary infections in cystic fibrosis (CF) patients, with an estimated
prevalence ranging from 5 to 20%. This work investigated the presence of NTM in
sputum samples of 129 CF patients (2 to 18 years old) submitted to longitudinal
clinical supervision at a regional reference center in Rio de Janeiro, Brazil.
From June 2009 to March 2012, 36 NTM isolates recovered from 10 (7.75%) out of
129 children were obtained. Molecular identification of NTM was performed by
using PCR restriction analysis targeting the hsp65 gene (PRA-hsp65) and
sequencing of the rpoB gene, and susceptibility tests were performed that
followed Clinical and Laboratory Standards Institute recommendations. For
evaluating the genotypic diversity, pulsed-field gel electrophoresis (PFGE)
and/or enterobacterial repetitive intergenic consensus sequence PCR (ERIC-PCR)
was performed. The species identified were Mycobacterium abscessus subsp.
bolletii (n = 24), M. abscessus subsp. abscessus (n = 6), Mycobacterium fortuitum
(n = 3), Mycobacterium marseillense (n = 2), and Mycobacterium timonense (n = 1).
Most of the isolates presented resistance to five or more of the antimicrobials
tested. Typing profiles were mainly patient specific. The PFGE profiles indicated
the presence of two clonal groups for M. abscessus subsp. abscessus and five
clonal groups for M. abscesssus subsp. bolletii, with just one clone detected in
two patients. Given the observed multidrug resistance patterns and the
possibility of transmission between patients, we suggest the implementation of
continuous and routine investigation of NTM infection or colonization in CF
patients, including countries with a high burden of tuberculosis disease.
PMID- 24920767
TI - Time between collection and storage significantly influences bacterial sequence
composition in sputum samples from cystic fibrosis respiratory infections.
AB - Spontaneously expectorated sputum is traditionally used as the sampling method
for the investigation of lower airway infections. While guidelines exist for the
handling of these samples for culture-based diagnostic microbiology, there is no
comparable consensus on their handling prior to culture-independent analysis. The
increasing incorporation of culture-independent approaches in diagnostic
microbiology means that it is of critical importance to assess potential biases.
The aim of this study was to assess the impact of delayed freezing on culture
independent microbiological analyses and to identify acceptable parameters for
sample handling. Sputum samples from eight adult cystic fibrosis (CF) patients
were collected and aliquoted into sterile Bijou bottles. Aliquots were stored at
room temperature before being frozen at -80 degrees C for increasing intervals,
up to a 72-h period. Samples were treated with propidium monoazide to distinguish
live from dead cells prior to DNA extraction, and 16S rRNA gene pyrosequencing
was used to characterize their bacterial compositions. Substantial variation was
observed in samples with high-diversity bacterial communities over time, whereas
little variation was observed in low-diversity communities dominated by
recognized CF pathogens, regardless of time to freezing. Partitioning into common
and rare species demonstrated that the rare species drove changes in similarity.
The percentage abundance of anaerobes over the study significantly decreased
after 12 h at room temperature (P = 0.008). Failure to stabilize samples at -80
degrees C within 12 h of collection results in significant changes in the
detected community composition.
PMID- 24920768
TI - Assessment of ambiguous base calls in HIV-1 pol population sequences as a
biomarker for identification of recent infections in HIV-1 incidence studies.
AB - An increase in the proportion of ambiguous base calls in HIV-1 pol population
sequences during the course of infection has been demonstrated in different study
populations, and sequence ambiguity thresholds to classify infections as recent
or nonrecent have been suggested. The aim of our study was to evaluate sequence
ambiguities as a candidate biomarker for use in an HIV-1 incidence assay using
samples from antiretroviral treatment-naive seroconverters with known durations
of infection (German HIV-1 Seroconverter Study). We used 2,203 HIV-1 pol
population sequences derived from 1,334 seroconverters to assess the sequence
ambiguity method (SAM). We then compared the serological incidence BED capture
enzyme immunoassay (BED-CEIA) with the SAM for a subset of 723 samples from 495
seroconverters and evaluated a multianalyte algorithm that includes BED-CEIA
results, SAM results, viral loads, and CD4 cell counts for 453 samples from 325
seroconverters. We observed a significant increase in the proportion of sequence
ambiguities with the duration of infection. A sequence ambiguity threshold of
0.5% best identified recent infections with 76.7% accuracy. The mean duration of
recency was determined to be 208 (95% confidence interval, 196 to 221) days. In
the subset analysis, BED-CEIA achieved a significantly higher accuracy than the
SAM (84.6 versus 75.5%, P < 0.001) and results were concordant for 64.2%
(464/723) of the samples. Also, the multianalyte algorithm did not show better
accuracy than the BED-CEIA (83.4 versus 84.3%, P = 0.786). In conclusion, the SAM
and the multianalyte algorithm including SAM were inferior to the BED-CEIA, and
the proportion of sequence ambiguities is therefore not a preferable biomarker
for HIV-1 incidence testing.
PMID- 24920769
TI - Planctomycetes DNA in febrile aplastic patients with leukemia, rash, diarrhea,
and micronodular pneumonia.
AB - We found Planctomycetes DNA in 2 out of 100 blood samples from patients suffering
from leukemia with neutropenia induced by chemotherapy, as well as fever, rash,
pneumonia, and diarrhea. Antibiotic-resisting Planctomycetes may be pathogenic in
these patients.
PMID- 24920770
TI - Hypertrophic adenoid is a major infection site of human bocavirus 1.
AB - Human bocavirus 1 (HBoV1) is associated with respiratory infections worldwide,
mainly in children. Similar to other parvoviruses, it is believed that HBoV1 can
persist for long periods of time in humans, probably through maintaining
concatemers of the virus single-stranded DNA genome in the nuclei of infected
cells. Recently, HBoV-1 was detected in high rates in adenoid and palatine
tonsils samples from patients with chronic adenotonsillar diseases, but nothing
is known about the virus replication levels in those tissues. A 3-year
prospective hospital-based study was conducted to detect and quantify HBoV1 DNA
and mRNAs in samples of the adenoids (AD), palatine tonsils (PT), nasopharyngeal
secretions (NPS), and peripheral blood (PB) from patients undergoing
tonsillectomy for tonsillar hypertrophy or recurrent tonsillitis. HBoV1 was
detected in 25.3% of the AD samples, while the rates of detection in the PT, NPS,
and PB samples were 7.2%, 10.5%, and 1.7%, respectively. The viral loads were
higher in AD samples, and 27.3% of the patients with HBoV had mRNA detectable in
this tissue. High viral loads and detectable mRNA in the AD were associated with
HBoV1 detection in the other sample sites. The adenoids are an important site of
HBoV1 replication and persistence in children with tonsillar hypertrophy. The
adenoids contain high HBoV1 loads and are frequently positive for HBoV mRNA, and
this is associated with the detection of HBoV1 in secretions.
PMID- 24920771
TI - New sensitive one-step real-time duplex PCR method for group A and B HIV-2 RNA
load.
AB - The Agence Nationale de Recherche sur le Sida et les hepatites virales (ANRS)
previously developed a widely used method for HIV-1 RNA quantification
(Biocentric). Here, we report the development of a new specific and sensitive
method for HIV-2 RNA quantification, based on an adaptation of the existing HIV-1
protocol. The new test is based on TaqMan one-step reverse transcription
quantitative PCR (qRT-PCR) targeting two conserved consensus regions of HIV-2
(long terminal repeat [LTR] and gag). Analytic performances were determined in
three laboratories. Clinical performances were evaluated on 100 plasma samples
from HIV-2-infected patients (groups A, B, and H) by comparison with the assay
currently used for the ANRS HIV-2 cohort. The specificity was 100%. Sensitivity
was 50 copies/ml (cp/ml) and was optimized to 10 cp/ml. The within-run
coefficients of variation in the three laboratories varied from 0.54% to 1.61% at
4 log10 copies/ml and from 7.24% to 14.32% at 2 log10 cp/ml. The between-run
coefficients of variation varied from 2.28% to 6.43%. Of the 39 clinical samples
below 2 log10 in the current assay, the new test improved the detection or
quantification of 17 samples, including eight group B samples. For quantifiable
samples, similar loads were obtained with the two assays for group A samples. The
median difference between the two assays for group B samples was +0.18 but with
greater heterogeneity than for group A. The HIV-2 group H sample had similar
results with the two assays. This new assay is highly sensitive and accurately
quantifies the most prevalent HIV-2 groups. This test will be useful for
monitoring low viral loads in HIV-2-infected patients.
PMID- 24920772
TI - Vacuolating cytotoxin genotypes are strong markers of gastric cancer and duodenal
ulcer-associated Helicobacter pylori strains: a matched case-control study.
AB - The Helicobacter pylori virulence gene, cagA, and active forms of the vacuolating
cytotoxin gene, vacA, are major determinants of pathogenesis. However, previous
studies linking these factors to disease risk have often included patients using
aspirin/nonsteroidal anti-inflammatory agents (NSAIDs) or acid-suppressing drugs,
both of which may confound results. Also, particularly for gastric cancer (GC),
controls have often been of quite different ages. Here, we performed a careful
study in a "clean" Belgian population with gastric cancer cases age and sex
matched to 4 controls and with a parallel duodenal ulcer (DU) group. As in other
populations, there was a close association between the presence of cagA and the
vacA s1 genotype. For GC, associations were found for vacA s1-positive (P = 0.01,
odds ratio [OR], 9.37; 95% confidence interval [CI], 1.16 to 201.89), i1-positive
(P = 0.003; OR, 12.08; 95% CI, 1.50 to 259.64), and cagA-positive status (P <
0.05; OR, infinity; 95% CI, 0.76 to infinity). For DU, associations were found
with vacA s1 (P = 0.002; OR, 6.04; 95% CI, 1.52 to 27.87) and i1 (P = 0.004; OR,
4.35; 95% CI, 1.36 to 14.78) status but not with cagA status. Neither condition
showed independent associations with the vacA m1 allele or with more biologically
active forms of cagA with longer 3' variable regions. In this Belgian population,
the best markers of gastric cancer- and duodenal ulcer-associated strains are the
vacA s1 and i1 genotypes. This fits with experimental data showing that the s and
i regions are the key determinants of vacuolating cytotoxin activity.
PMID- 24920773
TI - Penicillin-susceptible group B streptococcal clinical isolates with reduced
cephalosporin susceptibility.
AB - We characterized penicillin-susceptible group B streptococcal (PSGBS) clinical
isolates exhibiting no growth inhibition zone around a ceftibuten disk (CTB(r)
PSGBS). The CTB(r) PSGBS isolates, for which augmented MICs of cefaclor and
ceftizoxime were found, shared a T394A substitution in penicillin-binding protein
2X (PBP 2X) and a T567I substitution in PBP 2B, together with an additional G429S
substitution in PBP 2X or a T145A substitution in PBP 1A, although the T145A
substitution in the transglycosidase domain of PBP 1A would have no effect on the
level of resistance to ceftibuten.
PMID- 24920774
TI - Prostatitis, steatitis, and diarrhea in a dog following presumptive flea-borne
transmission of Bartonella henselae.
AB - Bartonella henselae is increasingly associated with a variety of pathological
entities, which are often similar in dogs and human patients. Following an acute
flea infestation, a dog developed an unusual clinical presentation for canine
bartonellosis. Comprehensive medical, microbiological, and surgical interventions
were required for diagnosis and to achieve a full recovery.
PMID- 24920775
TI - Aerial prevalence of Aspergillus calidoustus isolates in and around a tertiary
care hospital in Kuwait and assessment of their pathogenicity.
AB - Seven Aspergillus calidoustus isolates from 486 Aspergillus spp. isolates (1.4%
overall prevalence) from outdoor/indoor air samples and one isolate from the
bronchoalveolar lavage fluid of a patient with pneumonia were obtained. These 8
isolates exhibited reduced susceptibility to triazoles. Preliminary pathogenicity
data from BALB/c mice suggest that A. calidoustus can persist in tissues for long
periods without causing mortality. Further studies using graded doses of inoculum
and immunosuppression models are warranted to gain an understanding of the
factors associated with its pathogenicity and virulence.
PMID- 24920777
TI - Quality control MIC ranges used for telavancin with application of a revised CLSI
reference broth microdilution method.
AB - The telavancin broth microdilution susceptibility testing method was revised,
which provides MIC results lower than those obtained by the previous method. This
study was performed to reestablish the quality control ranges for telavancin when
tested against the strains (updated MIC range) Staphylococcus aureus ATCC 29213
(0.03 to 0.12 MUg/ml), Enterococcus faecalis ATCC 29212 (0.03 to 0.12 MUg/ml),
and Streptococcus pneumoniae ATCC 49619 (0.004 to 0.015 MUg/ml).
PMID- 24920776
TI - Epidemic diffusion of OXA-23-producing Acinetobacter baumannii isolates in Italy:
results of the first cross-sectional countrywide survey.
AB - Carbapenem-resistant Acinetobacter baumannii (CRAb) is emerging worldwide as a
public health problem in various settings. The aim of this study was to
investigate the prevalence of CRAb isolates in Italy and to characterize their
resistance mechanisms and genetic relatedness. A countrywide cross-sectional
survey was carried out at 25 centers in mid-2011. CRAb isolates were reported
from all participating centers, with overall proportions of 45.7% and 22.2% among
consecutive nonreplicate clinical isolates of A. baumannii from inpatients (n =
508) and outpatients (n = 63), respectively. Most of them were resistant to
multiple antibiotics, whereas all remained susceptible to colistin, with MIC50
and MIC90 values of <= 0.5 mg/liter. The genes coding for carbapenemase
production were identified by PCR and sequencing. OXA-23 enzymes (found in all
centers) were by far the most common carbapenemases (81.7%), followed by OXA-58
oxacillinases (4.5%), which were found in 7 of the 25 centers. In 6 cases, CRAb
isolates carried both bla(OXA-23-like) and bla(OXA-58-like) genes. A repetitive
extragenic palindromic (REP)-PCR technique, multiplex PCRs for group
identification, and multilocus sequence typing (MLST) were used to determine the
genetic relationships among representative isolates (n = 55). Two different
clonal lineages were identified, including a dominant clone of sequence type 2
(ST2) related to the international clone II (sequence group 1 [SG1], SG4, and
SG5) and a clone of ST78 (SG6) previously described in Italy. Overall, our
results demonstrate that OXA-23 enzymes have become the most prevalent
carbapenemases and are now endemic in Italy. In addition, molecular typing
profiles showed the presence of international and national clonal lineages in
Italy.
PMID- 24920778
TI - Biographical feature: John Matsen, M.D.
PMID- 24920779
TI - When does 2 plus 2 equal 5? A review of antimicrobial synergy testing.
AB - In this age of emerging antibiotic resistance, limited therapeutic options exist
for treating multidrug-resistant organisms. Combination therapy is commonly
employed to manage these infections despite little laboratory guidance as to the
efficacy of this approach. Synergy testing methods have been used to assess the
interaction of antibiotic combinations in vitro. This review will discuss the
four primary methods used to assess synergy, as well as the data that exist for
testing of cystic fibrosis. In the final analysis, this review concludes that
there is not enough evidence to endorse synergy testing for routine clinical use.
PMID- 24920780
TI - Accidental exposure to Burkholderia pseudomallei in the laboratory in the era of
matrix-assisted laser desorption ionization-time of flight mass spectrometry.
PMID- 24920781
TI - Novel strategy for typing Mycoplasma pneumoniae isolates by use of matrix
assisted laser desorption ionization-time of flight mass spectrometry coupled
with ClinProTools.
AB - The typing of Mycoplasma pneumoniae mainly relies on the detection of nucleic
acid, which is limited by the use of a single gene target, complex operation
procedures, and a lengthy assay time. Here, matrix-assisted laser desorption
ionization-time of flight mass spectrometry (MALDI-TOF MS) coupled to
ClinProTools was used to discover MALDI-TOF MS biomarker peaks and to generate a
classification model based on a genetic algorithm (GA) to differentiate between
type 1 and type 2 M. pneumoniae isolates. Twenty-five M. pneumoniae strains were
used to construct an analysis model, and 43 Mycoplasma strains were used for
validation. For the GA typing model, the cross-validation values, which reflect
the ability of the model to handle variability among the test spectra and the
recognition capability value, which reflects the model's ability to correctly
identify its component spectra, were all 100%. This model contained 7 biomarker
peaks (m/z 3,318.8, 3,215.0, 5,091.8, 5,766.8, 6,337.1, 6,431.1, and 6,979.9)
used to correctly identify 31 type 1 and 7 type 2 M. pneumoniae isolates from 43
Mycoplasma strains with a sensitivity and specificity of 100%. The strain
distribution map and principle component analysis based on the GA classification
model also clearly showed that the type 1 and type 2 M. pneumoniae isolates can
be divided into two categories based on their peptide mass fingerprints. With the
obvious advantages of being rapid, highly accurate, and highly sensitive and
having a low cost and high throughput, MALDI-TOF MS ClinProTools is a powerful
and reliable tool for M. pneumoniae typing.
PMID- 24920782
TI - Interlaboratory comparison of sample preparation methods, database expansions,
and cutoff values for identification of yeasts by matrix-assisted laser
desorption ionization-time of flight mass spectrometry using a yeast test panel.
AB - An interlaboratory study using matrix-assisted laser desorption ionization-time
of flight mass spectrometry (MALDI-TOF MS) to determine the identification of
clinically important yeasts (n = 35) was performed at 11 clinical centers, one
company, and one reference center using the Bruker Daltonics MALDI Biotyper
system. The optimal cutoff for the MALDI-TOF MS score was investigated using
receiver operating characteristic (ROC) curve analyses. The percentages of
correct identifications were compared for different sample preparation methods
and different databases. Logistic regression analysis was performed to analyze
the association between the number of spectra in the database and the percentage
of strains that were correctly identified. A total of 5,460 MALDI-TOF MS results
were obtained. Using all results, the area under the ROC curve was 0.95 (95%
confidence interval [CI], 0.94 to 0.96). With a sensitivity of 0.84 and a
specificity of 0.97, a cutoff value of 1.7 was considered optimal. The overall
percentage of correct identifications (formic acid-ethanol extraction method,
score >= 1.7) was 61.5% when the commercial Bruker Daltonics database (BDAL) was
used, and it increased to 86.8% by using an extended BDAL supplemented with a
Centraalbureau voor Schimmelcultures (CBS)-KNAW Fungal Biodiversity Centre in
house database (BDAL+CBS in-house). A greater number of main spectra (MSP) in the
database was associated with a higher percentage of correct identifications (odds
ratio [OR], 1.10; 95% CI, 1.05 to 1.15; P < 0.01). The results from the direct
transfer method ranged from 0% to 82.9% correct identifications, with the results
of the top four centers ranging from 71.4% to 82.9% correct identifications. This
study supports the use of a cutoff value of 1.7 for the identification of yeasts
using MALDI-TOF MS. The inclusion of enough isolates of the same species in the
database can enhance the proportion of correctly identified strains. Further
optimization of the preparation methods, especially of the direct transfer
method, may contribute to improved diagnosis of yeast-related infections.
PMID- 24920783
TI - PCR-based detection and molecular characterization of shiga toxin-producing
Escherichia coli strains in a routine microbiology laboratory over 16 years.
AB - Shiga toxin-producing Escherichia coli (STEC) is a heterogeneous group of
bacteria causing disease ranging from asymptomatic carriage and mild infection to
hemolytic uremic syndrome (HUS). Here, we describe patients with STEC infection
and characterize the STEC strains detected in our laboratory by use of PCR for
stx1, stx2, and eae from 1996 through 2011. Patient information was collected
from referral forms and from the Norwegian Surveillance System for Communicable
Diseases. STEC isolates were characterized with respect to serogroup or serotype,
selected potential virulence genes, and multilocus variable-number tandem-repeat
analysis (MLVA) genotype. STEC strains were isolated from 138 (1.09%) of 12,651
patients tested. STEC strains of serogroups O26, O103, O121, O145, and O157 were
the most frequent. These serogroups, except non-sorbitol-fermenting O157, were
also the most frequent among the 11 patients (all <=5 years old) who developed
HUS. Twenty-four STEC strains were classified as being HUS associated based on an
epidemiological link to a HUS case, including an MLVA genotype identical to that
of the STEC strain. The age of the patient (<=5 years) and the genes eae and
stx2a were significantly associated with HUS-associated STEC (P < 0.05 for each
parameter), while stx1 was associated with non-HUS-associated STEC (P < 0.05).
All of the potential virulence genes analyzed, except ehxA, were significantly
more frequent among HUS-associated than non-HUS-associated strains (P < 0.05 for
each gene). However, these genes were also present in some non-HUS-associated
STEC strains and could therefore not reliably differentiate between HUS
associated and non-HUS-associated STEC strains.
PMID- 24920784
TI - Age at menarche and risks of all-cause and cardiovascular death: a systematic
review and meta-analysis.
AB - We conducted a systematic review and meta-analysis to investigate the
associations between menarcheal age and all-cause and cardiovascular death.
Medline, Embase, Scopus, and Web of Knowledge were searched for articles
published prior to March 2013 reporting on the associations between menarcheal
age and death from all causes or from cardiovascular disease (total
cardiovascular disease, ischemic heart disease (IHD), and stroke) in adult women.
Nine articles were eligible for inclusion; these reported 5 estimates each for
death from all causes and total cardiovascular death, 6 estimates for IHD, and 7
estimates for death from stroke. Our meta-analysis showed that each 1-year
increase in age at menarche was associated with a 3% lower relative risk of death
from all causes (pooled hazard ratio = 0.97, 95% confidence interval: 0.96, 0.98)
with low heterogeneity (I(2) = 32.2%). Meta-analysis of 2 cohorts showed a higher
risk of death from all causes for women who experienced early menarche (at <12
years of age) versus "not early" menarche (at >= 12 years of age) (pooled hazard
ratio = 1.23, 95% confidence interval: 1.10, 1.38; I(2) = 0%). An inverse
association between age at menarche and death from IHD was observed only among
nonsmoking populations or populations with low prevalence of smoking. We found no
evidence of association between age at menarche and death from all cardiovascular
diseases or stroke. Early menarche was consistently associated with higher risk
of death from all causes. Further studies are needed to clarify the role of
menarcheal age on cardiovascular outcomes and to investigate the potential
modifying role of smoking.
PMID- 24920785
TI - Snippets from the past: cohort analysis of disease rates-another piece in a
seemingly still incomplete puzzle.
AB - For almost a century, epidemiologists have stratified age-specific disease rates
by year of birth to better understand the distribution of a disease in a
population and its evolution across time. In the present article, I review the
contributions of John Brownlee, Kristian Feyer Andvord, and Wade Hampton Frost
and, to accentuate the similarities of their approaches, redraw their original
graphs of age-specific death rates of tuberculosis organized either by year of
death or year of birth. In addition, this article reports on an apparently
universally forgotten publication in the American Journal of Hygiene published in
1929, which both upsets the conventional history of the earliest reports of
disease rates stratified by birth cohorts and challenges the theory that Frost
discovered cohort analysis independently and gave it its name.
PMID- 24920786
TI - Reduced risk of lung cancer with metformin therapy in diabetic patients: a
systematic review and meta-analysis.
AB - Emerging evidence from epidemiologic studies and basic science suggests an
inverse association between metformin use and cancer risk in diabetic patients.
However, the association with lung cancer is not consistent. We summarized the
evidence currently available (2009-2013) and explored sources of heterogeneity.
Metformin therapy was associated with significantly lower risks of cancers of the
lung (4 studies; pooled relative risk = 0.71, 95% confidence interval (CI): 0.55,
0.95; P = 0.02) and respiratory system (6 studies; pooled relative risk = 0.85,
95% CI: 0.75, 0.96; P = 0.01). There was evidence of moderate heterogeneity (I(2)
> 50%). The major sources of heterogeneity were smoking adjustment status and
cancer site. The relative risk from studies that adjusted for smoking was 1.16
fold (95% CI: 1.00, 1.35) closer to the null than that from studies not adjusting
for smoking. The relative risk of respiratory cancer was 1.23-fold (95% CI: 1.02,
1.49) closer to the null than that for lung cancer. In conclusion, metformin use
appears to be associated with lower risks of lung and respiratory cancer in
diabetic patients. However, caution regarding overestimation is needed, since
adjustment for smoking attenuates the association.
PMID- 24920787
TI - 'The dilemmas of breast cancer treatment and increased fracture risk' by Malik.
PMID- 24920788
TI - The dilemmas of breast cancer treatment and increased fracture risk.
PMID- 24920789
TI - Early-stage lung cancer--what do the experts recommend?
PMID- 24920790
TI - Phase III trial of concurrent thoracic radiotherapy with either first- or third
cycle chemotherapy for limited-disease small-cell lung cancer.
PMID- 24920791
TI - Molecular mechanism of the airborne transmissibility of H9N2 avian influenza A
viruses in chickens.
AB - H9N2 avian influenza virus has been prevalent in poultry in many parts of the
world since the 1990s and occasionally crosses the host barrier, transmitting to
mammals, including humans. In recent years, these viruses have contributed genes
to H5N1 and H7N9 influenza viruses, threatening public health. To explore the
molecular mechanism for the airborne transmission of H9N2 virus, we compared two
genetically close strains isolated from chickens in 2001,
A/chicken/Shanghai/7/2001(SH7) and A/chicken/Shanghai/14/2001 (SH14). SH7 is
airborne transmissible between chickens, whereas SH14 is not. We used reverse
genetics and gene swapping to derive recombinant SH7 (rSH7), rSH14, and a panel
of reassortant viruses. Among the reassortant viruses, we identified segments HA
and PA as governing the airborne transmission among chickens. In addition, the NP
and NS genes also contributed to a lesser extent. Furthermore, the mutational
analyses showed the transmissibility phenotype predominantly mapped to the HA and
PA genes, with HA-K363 and PA-L672 being important for airborne transmissibility
among chickens. In addition, the viral infectivity and acid stability are related
to the airborne transmissibility. Importantly, airborne transmission studies of
18 arbitrarily chosen H9N2 viruses from our collections confirmed the importance
of both 363K in HA and 672L in PA in determining their levels of
transmissibility. Our finding elucidates the genetic contributions to H9N2
transmissibility in chickens and highlights the importance of their prevalence in
poultry. IMPORTANCE: Our study investigates the airborne transmissibility of H9N2
viruses in chickens and the subsequent epidemic. H9N2 virus is the donor for
several prevalent reassortant influenza viruses, such as H7N9/2013 and the H5N1
viruses. Poultry as the reservoir hosts of influenza virus is closely associated
with human society. Airborne transmission is an efficient pathway for influenza
virus transmission among flocks and individuals. Exploring the mechanism of the
airborne transmission of the H9N2 virus in chickens could provide essential data
regarding prevention and control of influenza endemics and pandemics.
PMID- 24920792
TI - Poly(I:C) treatment leads to interferon-dependent clearance of hepatitis B virus
in a hydrodynamic injection mouse model.
AB - We have previously shown that poly(I:C) activates murine hepatic cells to produce
interferon (IFN) and suppresses hepatitis B virus (HBV) replication in vitro.
Therefore, we addressed whether poly(I:C) is able to induce the clearance of HBV
in vivo. The chronic HBV replication mouse model was established by the
hydrodynamic injection (HI) of pAAV-HBV1.2 into the tail veins of wild-type and
IFN-alpha/betaR-, IFN-gamma-, and CXCR3-deficient C57BL/6 mice. Fourteen days
post-HI of pAAV-HBV1.2, mice were administered poly(I:C) by intraperitoneal
injection, intramuscular injection, or HI. Only treatment of poly(I:C) by HI led
to HBV clearance in wild-type C57BL/6 mice. Serum HBsAg disappeared within 40
days postinfection (dpi) in mice that received poly(I:C) by HI, and this was
accompanied by the appearance of anti-HBs antibodies. HBV-specific T-cell and
antibody responses were significantly enhanced by HI of poly(I:C). HBV
replication intermediates and HBcAg-positive hepatocytes were eliminated in the
liver. HI of poly(I:C) induced the production of IFNs in mice and enhanced the
levels of cytokines, IFN-stimulated genes, and T-cell markers in the liver.
Importantly, poly(I:C)-induced HBV clearance was impaired in IFN-alpha/betaR-,
IFN-gamma-, and CXCR3-deficient mice, indicating that the induction of type I IFN
and the stimulation and recruitment of T cells into the liver are essential for
HBV clearance in this model. Taken together, the application of poly(I:C) by HI
into the liver enhances innate and adaptive immune responses and leads to HBV
clearance in an HBV mouse model, implicating the potential of intrahepatic Toll
like receptor 3 (TLR3) activation for the treatment of chronic hepatitis B
patients. IMPORTANCE: It has become well accepted that immunomodulation is a
potentially useful approach to treat chronic viral infection. Recently,
combinations of antiviral treatment and therapeutic vaccinations were evaluated
for therapies of chronic hepatitis B virus (HBV) infection. Activation of the
innate immune branch may also be important for viral control and contributes to
HBV clearance. Our present study demonstrated that hepatic TLR3 activation led to
clearance of hepatitis B virus in an HBV mouse model. For the first time, we
showed that HBV clearance in this model is dependent not only on type I
interferon (IFN) but also on type II IFN, indicating a coordinated action of
innate and adaptive immune responses. T-cell recruitment appeared to be critical
for the success of TLR3-mediated antiviral action. These findings implicate the
potential of intrahepatic TLR3 activation for the treatment of chronic HBV
infection.
PMID- 24920793
TI - Intranasal adenovirus-vectored vaccine for induction of long-lasting humoral
immunity-mediated broad protection against influenza in mice.
AB - Influenza vaccines aimed at inducing antibody (Ab) responses against viral
surface hemagglutinin (HA) and neuraminidase (NA) provide sterile immunity to
infection with the same subtypes. Vaccines targeting viral conserved determinants
shared by the influenza A viruses (IAV) offer heterosubtypic immunity (HSI), a
broad protection against different subtypes. We proposed that vaccines targeting
both HA and the conserved ectodomain of matrix protein 2 (M2e) would provide
protection against infection with the same subtype and also HSI against other
subtypes. We report here that single intranasal immunization with a recombinant
adenovirus (rAd) vector encoding both HA of H5 virus and M2e (rAdH5/M2e) induced
significant HA- and M2e-specific Ab responses, along with protection against
heterosubtypic challenge in mice. The protection is superior compared to that
induced by rAd vector encoding either HA (rAdH5), or M2e (rAdM2e). While
protection against homotypic H5 virus is primarily mediated by virus-neutralizing
Abs, the cross-protection is associated with Abs directed to conserved stalk HA
and M2e that seem to have an additive effect. Consistently, adoptive transfer of
antisera induced by rAdH5/M2e provided the best protection against heterosubtypic
challenge compared to that provided by antisera derived from mice immunized with
rAdH5 or rAdM2e. These results support the development of rAd-vectored vaccines
encoding both H5 and M2e as universal vaccines against different IAV subtypes.
IMPORTANCE: Current licensed influenza vaccines provide protection limited to the
infection with same virus strains; therefore, the composition of influenza
vaccines has to be revised every year. We have developed a new universal
influenza vaccine that is highly efficient in induction of long-lasting cross
protection against different influenza virus strains. The cross-protection is
associated with a high level of vaccine-induced antibodies against the conserved
stalk domain of influenza virus hemagglutinin and the ectodomain of matrix
protein. The vaccine could be used to stimulate cross-protective antibodies for
the prevention and treatment of influenza with immediate effect for individuals
who fail to respond to or receive the vaccine in due time. The vaccine offers a
new tool to control influenza outbreaks, including pandemics.
PMID- 24920794
TI - Effect of HIV-1 integrase resistance mutations when introduced into SIVmac239 on
susceptibility to integrase strand transfer inhibitors.
AB - Studies on the in vitro susceptibility of SIV to integrase strand transfer
inhibitors (INSTIs) have been rare. In order to determine the susceptibility of
SIVmac239 to INSTIs and characterize the genetic pathways that might lead to drug
resistance, we inserted various integrase (IN) mutations that had been selected
with HIV under drug pressure with raltegravir (RAL), elvitegravir (EVG), and
dolutegravir (DTG) into the IN gene of SIV. We evaluated the effects of these
mutations on SIV susceptibility to INSTIs and on viral infectivity. Sequence
alignments of SIVmac239 IN with various HIV-1 isolates showed a high degree of
homology and conservation of each of the catalytic triad and the key residues
involved in drug resistance. Each of the G118R, Y143R, Q148R, R263K, and
G140S/Q148R mutations, when introduced into SIV, impaired infectiousness and
replication fitness compared to wild-type virus. Using TZM-bl cells, we
demonstrated that the Q148R and N155H mutational pathways conferred resistance to
EVG (36- and 62-fold, respectively), whereas R263K also displayed moderate
resistance to EVG (12-fold). In contrast, Y143R, Q148R, and N155H all yielded low
levels of resistance to RAL. The combination of G140S/Q148R conferred high-level
resistance to both RAL and EVG (>300- and 286-fold, respectively). DTG remained
fully effective against all site-directed mutants except G118R and R263K. Thus,
HIV INSTI mutations, when inserted into SIV, resulted in a similar phenotype.
These findings suggest that SIV and HIV may share similar resistance pathways
profiles and that SIVmac239 could be a useful nonhuman primate model for studies
of HIV resistance to INSTIs. IMPORTANCE: The goal of our project was to establish
whether drug resistance against integrase inhibitors in SIV are likely to be the
same as those responsible for drug resistance in HIV. Our data answer this
question in the affirmative and show that SIV can probably serve as a good animal
model for studies of INSTIs and as an early indicator for possible emergent
mutations that may cause treatment failure. An SIV-primate model remains an
invaluable tool for investigating questions related to the potential role of
INSTIs in HIV therapy, transmission, and pathogenesis, and the present study will
facilitate each of the above.
PMID- 24920795
TI - Impaired immune response to vaccination against infection with human respiratory
syncytial virus at advanced age.
AB - Elderly humans are prone to severe infection with human respiratory syncytial
virus (HRSV). The aging of today's human population warrants the development of
protective vaccination strategies aimed specifically at the elderly. This may
require special approaches due to deteriorating immune function. To design and
test vaccination strategies tailored to the elderly population, we need to
understand the host response to HRSV vaccination and infection at old age.
Moreover, the preclinical need for testing of candidate vaccines requires
translational models resembling susceptibility to the (unadapted) human pathogen.
Here, we explored the effects of aging on immunity and protection induced by a
model HRSV vaccine candidate in a translational aging model in cotton rats
(Sigmodon hispidus) and examined possibilities to optimize vaccination concepts
for the elderly. We immunized young and aged cotton rats with a live-attenuated
recombinant HRSV vaccine candidate and analyzed the induced immune response to
and protection against challenge with HRSV. In old cotton rats, HRSV infection
persisted longer, and vaccination induced less protection against infection. Aged
animals developed lower levels of vaccine-induced IgG, virus-neutralizing serum
antibodies, and IgA in lungs. Moreover, booster responses to HRSV challenge were
impaired in animals vaccinated at an older age. However, increased dose and
reduced attenuation of vaccine improved protection even in old animals. This
study shows that cotton rats provide a model for studying the effects of aging on
the immune response to the human respiratory pathogen HRSV and possibilities to
optimize vaccine concepts for the elderly. IMPORTANCE: HRSV infection poses a
risk for severe disease in the elderly. The aging of the population warrants
increased efforts to prevent disease at old age, whereas HRSV vaccines are only
in the developmental phase. The preclinical need for testing of candidate human
vaccines requires translational models resembling susceptibility to the natural
human virus. Moreover, we need to gain insight into waning immunity at old age,
as this is a special concern in vaccine development. In this study, we explored
the effect of age on protection and immunity against an experimental HRSV vaccine
in aged cotton rats (Sigmodon hispidus), a rodent species that provides a model
representing natural susceptibility to human viruses. Older animals generate
fewer antibodies upon vaccination and require a higher vaccine dose for
protection. Notably, during the early secondary immune response to subsequent
HRSV infection, older animals showed less protection and a slower increase of the
virus-neutralizing antibody titer.
PMID- 24920796
TI - Locking and blocking the viral landscape of an alphavirus with neutralizing
antibodies.
AB - Alphaviruses are serious, sometimes lethal human pathogens that belong to the
family Togaviridae. The structures of human Venezuelan equine encephalitis virus
(VEEV), an alphavirus, in complex with two strongly neutralizing antibody Fab
fragments (F5 and 3B4C-4) have been determined using a combination of cryo
electron microscopy and homology modeling. We characterize these monoclonal
antibody Fab fragments, which are known to abrogate VEEV infectivity by binding
to the E2 (envelope) surface glycoprotein. Both of these antibody Fab fragments
cross-link the surface E2 glycoproteins and therefore probably inhibit
infectivity by blocking the conformational changes that are required for making
the virus fusogenic. The F5 Fab fragment cross-links E2 proteins within one
trimeric spike, whereas the 3B4C-4 Fab fragment cross-links E2 proteins from
neighboring spikes. Furthermore, F5 probably blocks the receptor-binding site,
whereas 3B4C-4 sterically hinders the exposure of the fusion loop at the end of
the E2 B-domain. IMPORTANCE: Alphaviral infections are transmitted mainly by
mosquitoes. Venezuelan equine encephalitis virus (VEEV) is an alphavirus with a
wide distribution across the globe. No effective vaccines exist for alphaviral
infections. Therefore, a better understanding of VEEV and its associated
neutralizing antibodies will help with the development of effective drugs and
vaccines.
PMID- 24920797
TI - Intranasal P particle vaccine provided partial cross-variant protection against
human GII.4 norovirus diarrhea in gnotobiotic pigs.
AB - Noroviruses (NoVs) are the leading cause of nonbacterial acute gastroenteritis
worldwide in people of all ages. The P particle is a novel vaccine candidate
derived from the protruding (P) domain of the NoV VP1 capsid protein. This study
utilized the neonatal gnotobiotic pig model to evaluate the protective efficacies
of primary infection, P particles, and virus-like particles (VLPs) against NoV
infection and disease and the T cell responses to these treatments. Pigs either
were vaccinated intranasally with GII.4/1997 NoV (VA387)-derived P particles or
VLPs or were inoculated orally with a GII.4/2006b NoV variant. At postinoculation
day (PID) 28, pigs either were euthanized or were challenged with the GII.4/2006b
variant and monitored for diarrhea and virus shedding for 7 days. The T cell
responses in intestinal and systemic lymphoid tissues were examined. Primary NoV
infection provided 83% homologous protection against diarrhea and 49% homologous
protection against virus shedding, while the P particle and VLP vaccines provided
cross-variant protection (47% and 60%, respectively) against diarrhea. The
protection rates against diarrhea are significantly inversely correlated with T
cell expansion in the duodenum and are positively correlated with T cell
expansion in the ileum and spleen. The P particle vaccine primed for stronger
immune responses than VLPs, including significantly higher numbers of activated
CD4+ T cells in all tissues, gamma interferon-producing (IFN-gamma+) CD8+ T cells
in the duodenum, regulatory T cells (Tregs) in the blood, and transforming growth
factor beta (TGF-beta)-producing CD4+ CD25- FoxP3+ Tregs in the spleen
postchallenge, indicating that P particles are more immunogenic than VLPs at the
same dose. In conclusion, the P particle vaccine is a promising vaccine candidate
worthy of further development. IMPORTANCE: The norovirus (NoV) P particle is a
vaccine candidate derived from the protruding (P) domain of the NoV VP1 capsid
protein. P particles can be easily produced in Escherichia coli at high yields
and thus may be more economically viable than the virus-like particle (VLP)
vaccine. This study demonstrated, for the first time, the cross-variant
protection (46.7%) of the intranasal P particle vaccine against human NoV
diarrhea and revealed in detail the intestinal and systemic T cell responses by
using the gnotobiotic pig model. The cross-variant protective efficacy of the P
particle vaccine was comparable to that of the VLP vaccine in pigs (60%) and to
the homologous protective efficacy of the VLP vaccine in humans (47%). NoV is now
the leading cause of pediatric dehydrating diarrhea, responsible for
approximately 1 million hospital visits for U.S. children and 218,000 deaths in
developing countries. The P particle vaccine holds promise for reducing the
disease burden and mortality.
PMID- 24920798
TI - West nile virus-induced activation of mammalian target of rapamycin complex 1
supports viral growth and viral protein expression.
AB - Since its introduction in New York City, NY, in 1999, West Nile virus (WNV) has
spread to all 48 contiguous states of the United States and is now the leading
cause of epidemic encephalitis in North America. As a member of the family
Flaviviridae, WNV is part of a group of clinically important human pathogens,
including dengue virus and Japanese encephalitis virus. The members of this
family of positive-sense, single-stranded RNA viruses have limited coding
capacity and are therefore obligated to co-opt a significant amount of cellular
factors to translate their genomes effectively. Our previous work has shown that
WNV growth was independent of macroautophagy activation, but the role of the
evolutionarily conserved mammalian target of rapamycin (mTOR) pathway during WNV
infection was not well understood. mTOR is a serine/threonine kinase that acts as
a central cellular censor of nutrient status and exercises control of vital
anabolic and catabolic cellular responses such as protein synthesis and
autophagy, respectively. We now show that WNV activates mTOR and cognate
downstream activators of cap-dependent protein synthesis at early time points
postinfection and that pharmacologic inhibition of mTOR (KU0063794) significantly
reduced WNV growth. We used an inducible Raptor and Rictor knockout mouse
embryonic fibroblast (MEF) system to further define the role of mTOR complexes 1
and 2 in WNV growth and viral protein synthesis. Following inducible genetic
knockout of the major mTOR cofactors raptor (TOR complex 1 [TORC1]) and rictor
(TORC2), we now show that TORC1 supports flavivirus protein synthesis via cap
dependent protein synthesis pathways and supports subsequent WNV growth.
IMPORTANCE: Since its introduction in New York City, NY, in 1999, West Nile virus
(WNV) has spread to all 48 contiguous states in the United States and is now the
leading cause of epidemic encephalitis in North America. Currently, the mechanism
by which flaviviruses such as WNV translate their genomes in host cells is
incompletely understood. Elucidation of the host mechanisms required to support
WNV genome translation will provide broad understanding for the basic mechanisms
required to translate capped viral RNAs. We now show that WNV activates mTOR and
cognate downstream activators of cap-dependent protein synthesis at early time
points postinfection. Following inducible genetic knockout of the major mTOR
complex cofactors raptor (TORC1) and rictor (TORC2), we now show that TORC1
supports WNV growth and protein synthesis. This study demonstrates the
requirement for TORC1 function in support of WNV RNA translation and provides
insight into the mechanisms underlying flaviviral RNA translation in mammalian
cells.
PMID- 24920800
TI - Stabilizing the native trimer of HIV-1 Env by destabilizing the heterodimeric
interface of the gp41 postfusion six-helix bundle.
AB - The HIV-1 envelope glycoprotein (Env) is a trimer of gp120-gp41 heterodimers and
is essential for viral entry. The gp41 subunit in native, prefusion trimeric Env
exists in a metastable conformation and attains a stable six-helix bundle (6-HB)
conformation comprised of a trimer of N-heptad repeat (NHR) and C-heptad repeat
(CHR) heterodimers, that drives the fusion of viral and cellular membranes. We
attempted to stabilize native Env trimers by incorporation of mutations at the
NHR-CHR interface that disrupt the postfusion 6-HB of gp41. The mutations V570D
and I573D stabilize native Env of the HIV-1 JRFL strain and occlude
nonneutralizing epitopes to a greater extent than the previously identified I559P
mutation that is at the interface of the NHR trimers in the 6-HB. The mutations
prevent soluble-CD4 (sCD4)-induced gp120 shedding and 6-HB formation. In the
context of cell surface-expressed JRFL Env, introduction of a previously reported
additional disulfide between residues A501 and T605 perturbs the native
conformation, though this effect is partially alleviated by furin coexpression.
The data suggest that positions 570 and 573 are surface proximal in native Env
and that the NHR homotrimeric coiled coil in native Env terminates before or
close to residue 573. Aspartic acid substitutions at these positions stabilize
native trimers through destabilization of the postfusion 6-HB conformation. These
mutations can be used to stabilize Env in a DNA vaccine format. IMPORTANCE: The
major protein on the surface of HIV-1 is the envelope (Env) glycoprotein. Env is
a trimer of gp120-gp41 heterodimers. gp120 is involved in receptor/coreceptor
binding and gp41 in the fusion of viral and cellular membranes. Like many other
viral fusion proteins, the gp41 subunit in native trimeric Env exists in a
metastable conformation. gp41 readily forms a stable six-helix bundle (6-HB)
conformation comprised of a trimer of N-heptad repeat (NHR) and C-heptad repeat
(CHR) heterodimers that drives fusion of viral and cellular membranes. While it
is expected that native Env is a good immunogen, its metastability results in
exposure of immunodominant nonneutralizing epitopes. In the present study, we
stabilize native Env trimers by incorporation of a number of different mutations
at the NHR-CHR interface that disrupt the postfusion 6-HB of gp41. The stabilized
constructs described here can be incorporated into DNA vaccine candidates.
PMID- 24920799
TI - The hop-like stress-induced protein 1 cochaperone is a novel cell-intrinsic
restriction factor for mitochondrial tombusvirus replication.
AB - Recent genome-wide screens reveal that the host cells express an arsenal of
proteins that inhibit replication of plus-stranded RNA viruses by functioning as
cell-intrinsic restriction factors of viral infections. One group of cell
intrinsic restriction factors against tombusviruses contains tetratricopeptide
repeat (TPR) domains that directly interact with the viral replication proteins.
In this paper, we find that the TPR domain-containing Hop-like stress-inducible
protein 1 (Sti1p) cochaperone selectively inhibits the mitochondrial membrane
based replication of Carnation Italian ringspot tombusvirus (CIRV). In contrast,
Sti1/Hop does not inhibit the peroxisome membrane-based replication of the
closely related Tomato bushy stunt virus (TBSV) or Cucumber necrosis virus (CNV)
in a yeast model or in plants. Deletion of STI1 in yeast leads to up to a 4-fold
increase in CIRV replication, and knockdown of the orthologous Hop cochaperone in
plants results in a 3-fold increase in CIRV accumulation. Overexpression of Sti1p
derivatives in yeast reveals that the inhibitory function depends on the TPR1
domain known to interact with heat shock protein 70 (Hsp70), but not on the TPR2
domain interacting with Hsp90. In vitro CIRV replication studies based on
isolated mitochondrial preparations and purified recombinant proteins has
confirmed that Sti1p, similar to the TPR-containing Cyp40-like Cpr7p cyclophilin
and the Ttc4 oncogene-like Cns1 cochaperone, is a strong inhibitor of CIRV
replication. Sti1p interacts and colocalizes with the CIRV replication proteins
in yeast. Our findings indicate that the TPR-containing Hop/Sti1 cochaperone
could act as a cell-intrinsic virus restriction factor of the mitochondrial CIRV,
but not against the peroxisomal tombusviruses in yeast and plants. IMPORTANCE:
The host cells express various cell-intrinsic restriction factors that inhibit
the replication of plus-stranded RNA viruses. In this paper, the authors find
that the Hop-like stress-inducible protein 1 (Sti1p) cochaperone selectively
inhibits the mitochondrial membrane-based replication of Carnation Italian
ringspot tombusvirus (CIRV) in yeast. Deletion of STI1 in yeast or knockdown of
the orthologous Hop cochaperone in plants leads to increased CIRV replication. In
addition, overexpression of Sti1p derivatives in yeast reveals that the
inhibitory function depends on the TPR1 domain known to interact with heat shock
protein 70 (Hsp70), but not on the TPR2 domain interacting with Hsp90. In vitro
CIRV replication studies based on isolated mitochondrial preparations and
purified recombinant proteins have confirmed that Sti1p is a strong inhibitor of
CIRV replication. The authors' findings reveal that the Hop/Sti1 cochaperone
could act as a cell-intrinsic restriction factor against the mitochondrial CIRV,
but not against the related peroxisomal tombusviruses.
PMID- 24920801
TI - Limited type I interferons and plasmacytoid dendritic cells during neonatal
respiratory syncytial virus infection permit immunopathogenesis upon reinfection.
AB - Respiratory syncytial virus (RSV) infection is the number one cause of
bronchiolitis in infants, yet no vaccines are available because of a lack of
knowledge of the infant immune system. Using a neonatal mouse model, we
previously revealed that mice initially infected with RSV as neonates develop Th2
biased immunopathophysiologies during reinfection, and we demonstrated a role for
enhanced interleukin-4 receptor alpha (IL-4Ralpha) expression on T helper cells
in these responses. Here we show that RSV infection in neonates induced limited
type I interferon (IFN) and plasmacytoid dendritic cell (pDC) responses. IFN
alpha (IFN-alpha) treatment or adoptive transfer of adult pDCs capable of
inducing IFN-alpha prior to neonatal RSV infection decreased Th2-biased
immunopathogenesis during reinfection. A reduced viral load and downregulation of
IL-4Ralpha on Th2 cells were observed in IFN-alpha-treated neonatal mice,
suggesting dual mechanisms of action. IMPORTANCE: Respiratory syncytial virus
(RSV) is the most significant cause of lower respiratory tract infection in
infancy worldwide. Despite the dire need, we have failed to produce efficacious
RSV vaccines or therapeutics. Part of the reason for this failure is our lack of
understanding of how RSV interacts with the infant immune system to suppress the
development of protective immunity. In the study described in the present paper,
we used a neonatal mouse model, which more closely mimics human infants, to study
the role of the innate immune system, particularly type I interferons (IFNs) and
plasmacytoid dendritic cells (pDCs), in the pathogenesis of RSV infection. RSV
infection in neonates induced limited type I IFN and pDC responses. IFN-alpha
treatment or adoptive transfer of adult pDCs capable of producing IFN-alpha prior
to neonatal RSV infection decreased Th2-biased immunopathogenesis during
reinfection. These data suggest that IFN-alpha is a promising target for future
RSV vaccine design.
PMID- 24920802
TI - Rewiring of cellular membrane homeostasis by picornaviruses.
AB - Viruses are obligatory intracellular parasites and utilize host elements to
support key viral processes, including penetration of the plasma membrane,
initiation of infection, replication, and suppression of the host's antiviral
defenses. In this review, we focus on picornaviruses, a family of positive-strand
RNA viruses, and discuss the mechanisms by which these viruses hijack the
cellular machinery to form and operate membranous replication complexes. Studies
aimed at revealing factors required for the establishment of viral replication
structures identified several cellular-membrane-remodeling proteins and led to
the development of models in which the virus used a preexisting cellular-membrane
shaping pathway "as is" for generating its replication organelles. However, as
more data accumulate, this view is being increasingly questioned, and it is
becoming clearer that viruses may utilize cellular factors in ways that are
distinct from the normal functions of these proteins in uninfected cells. In
addition, the proteincentric view is being supplemented by important new studies
showing a previously unappreciated deep remodeling of lipid homeostasis,
including extreme changes to phospholipid biosynthesis and cholesterol
trafficking. The data on viral modifications of lipid biosynthetic pathways are
still rudimentary, but it appears once again that the viruses may rewire existing
pathways to generate novel functions. Despite remarkable progress, our
understanding of how a handful of viral proteins can completely overrun the
multilayered, complex mechanisms that control the membrane organization of a
eukaryotic cell remains very limited.
PMID- 24920803
TI - Human cytomegalovirus modulates monocyte-mediated innate immune responses during
short-term experimental latency in vitro.
AB - The ability of human cytomegalovirus (HCMV) to establish lifelong persistence and
reactivate from latency is critical to its success as a pathogen. Here we
describe a short-term in vitro model representing the events surrounding HCMV
latency and reactivation in circulating peripheral blood monocytes that was
developed in order to study the immunological consequence of latent virus
carriage. Infection of human CD14(+) monocytes by HCMV resulted in the immediate
establishment of latency, as evidenced by the absence of particular lytic gene
expression, the transcription of latency-associated mRNAs, and the maintenance of
viral genomes. Latent HCMV induced cellular differentiation to a macrophage
lineage, causing production of selective proinflammatory cytokines and myeloid
cell chemoattractants that most likely play a role in virus dissemination in the
host. Analysis of global cellular gene expression revealed activation of innate
immune responses and the modulation of protein and lipid synthesis to accommodate
latent HCMV infection. Remarkably, monocytes harboring latent virus exhibited
selective responses to secondary stimuli known to induce an antiviral state.
Furthermore, when challenged with type I and II interferon, latently infected
cells demonstrated a blockade of signaling at the level of STAT1 phosphorylation.
The data demonstrate that HCMV reprograms specific cellular pathways in
monocytes, most notably innate immune responses, which may play a role in the
establishment of, maintenance of, and reactivation from latency. The modulation
of innate immune responses is likely a viral evasion strategy contributing to
viral dissemination and pathogenesis in the host. IMPORTANCE: HCMV has the
ability to establish a lifelong infection within the host, a phenomenon termed
latency. We have established a short-term model system in human peripheral blood
monocytes to study the immunological relevance of latent virus carriage.
Infection of CD14(+) monocytes by HCMV results in the generation of latency
specific transcripts, maintenance of viral genomes, and the capacity to reenter
the lytic cycle. During short-term latency in monocytes the virus initiates a
program of differentiation to inflammatory macrophages that coincides with the
modulation of cytokine secretion and specific cellular processes. HCMV-infected
monocytes are hindered in their capacity to exert normal immunoprotective
mechanisms. Additionally, latent virus disrupts type I and II interferon
signaling at the level of STAT1 phosphorylation. This in vitro model system can
significantly contribute to our understanding of the molecular and inflammatory
factors that initiate HCMV reactivation in the host and allow the development of
strategies to eradicate virus persistence.
PMID- 24920804
TI - STAT4 deficiency fails to induce lung Th2 or Th17 immunity following primary or
secondary respiratory syncytial virus (RSV) challenge but enhances the lung RSV
specific CD8+ T cell immune response to secondary challenge.
AB - Immune-mediated lung injury is a hallmark of lower respiratory tract illness
caused by respiratory syncytial virus (RSV). STAT4 plays a critical role in CD4+
Th1 lineage differentiation and gamma interferon (IFN-gamma) protein expression
by CD4+ T cells. As CD4+ Th1 differentiation is associated with negative
regulation of CD4+ Th2 and Th17 differentiation, we hypothesized that RSV
infection of STAT4-/- mice would result in enhanced lung Th2 and Th17
inflammation and impaired lung Th1 inflammation compared to wild-type (WT) mice.
We performed primary and secondary RSV challenges in WT and STAT4-/- mice and
used STAT1-/- mice as a positive control for the development of RSV-specific lung
Th2 and Th17 inflammation during primary challenge. Primary RSV challenge of
STAT4-/- mice resulted in decreased T-bet and IFN-gamma expression levels in CD4+
T cells compared to those of WT mice. Lung Th2 and Th17 inflammation did not
develop in primary RSV-challenged STAT4-/- mice. Decreased IFN-gamma expression
by NK cells, CD4+ T cells, and CD8+ T cells was associated with attenuated weight
loss and enhanced viral clearance with primary challenge in STAT4-/- mice
compared to WT mice. Following secondary challenge, WT and STAT4-/- mice also did
not develop lung Th2 or Th17 inflammation. In contrast to primary challenge,
secondary RSV challenge of STAT4-/- mice resulted in enhanced weight loss, an
increased lung IFN-gamma expression level, and an increased lung RSV-specific
CD8+ T cell response compared to those of WT mice. These data demonstrate that
STAT4 regulates the RSV-specific CD8+ T cell response to secondary infection but
does not independently regulate lung Th2 or Th17 immune responses to RSV
challenge. IMPORTANCE: STAT4 is a protein critical for both innate and adaptive
immune responses to viral infection. Our results show that STAT4 regulates the
immune response to primary and secondary challenge with RSV but does not restrain
RSV-induced lung Th2 or Th17 immune responses. These findings suggest that STAT4
expression may influence lung immunity and severity of illness following primary
and secondary RSV infections.
PMID- 24920805
TI - CD40L-adjuvanted DNA/modified vaccinia virus Ankara simian immunodeficiency virus
SIV239 vaccine enhances SIV-specific humoral and cellular immunity and improves
protection against a heterologous SIVE660 mucosal challenge.
AB - It remains a challenge to develop a successful human immunodeficiency virus (HIV)
vaccine that is capable of preventing infection. Here, we utilized the benefits
of CD40L, a costimulatory molecule that can stimulate both dendritic cells (DCs)
and B cells, as an adjuvant for our simian immunodeficiency virus (SIV) DNA
vaccine in rhesus macaques. We coexpressed the CD40L with our DNA/SIV vaccine
such that the CD40L is anchored on the membrane of SIV virus-like particle (VLP).
These CD40L containing SIV VLPs showed enhanced activation of DCs in vitro. We
then tested the potential of DNA/SIV-CD40L vaccine to adjuvant the DNA prime of a
DNA/modified vaccinia virus Ankara (MVA) vaccine in rhesus macaques. Our results
demonstrated that the CD40L adjuvant enhanced the functional quality of anti-Env
antibody response and breadth of anti-SIV CD8 and CD4 T cell responses,
significantly delayed the acquisition of heterologous mucosal SIV infection, and
improved viral control. Notably, the CD40L adjuvant enhanced the control of viral
replication in the gut at the site of challenge that was associated with lower
mucosal CD8 immune activation, one of the strong predictors of disease
progression. Collectively, our results highlight the benefits of CD40L adjuvant
for enhancing antiviral humoral and cellular immunity, leading to enhanced
protection against a pathogenic SIV. A single adjuvant that enhances both humoral
and cellular immunity is rare and thus underlines the importance and practicality
of CD40L as an adjuvant for vaccines against infectious diseases, including HIV
1. IMPORTANCE: Despite many advances in the field of AIDS research, an effective
AIDS vaccine that can prevent infection remains elusive. CD40L is a key
stimulator of dendritic cells and B cells and can therefore enhance T cell and
antibody responses, but its overly potent nature can lead to adverse effects
unless used in small doses. In order to modulate local expression of CD40L at
relatively lower levels, we expressed CD40L in a membrane-bound form, along with
SIV antigens, in a nucleic acid (DNA) vector. We tested the immunogenicity and
efficacy of the CD40L-adjuvanted vaccine in macaques using a heterologous mucosal
SIV infection. The CD40L-adjuvanted vaccine enhanced the functional quality of
anti-Env antibody response and breadth of anti-SIV T cell responses and improved
protection. These results demonstrate that VLP-membrane-bound CD40L serves as a
novel adjuvant for an HIV vaccine.
PMID- 24920806
TI - A novel mode of poxvirus superinfection exclusion that prevents fusion of the
lipid bilayers of viral and cellular membranes.
AB - Superinfection exclusion is a widespread phenomenon that prevents secondary
infections by closely related viruses. The vaccinia virus A56 and K2 proteins in
the cell membrane can prevent superinfection by interacting with the entry-fusion
complex of subsequent viruses. Here, we described another form of exclusion that
is established earlier in infection and does not require the A56 or K2 protein.
Cells infected with one or more infectious virions excluded hundreds of
superinfecting vaccinia virus particles. A related orthopoxvirus, but neither a
flavivirus nor a rhabdovirus, was also excluded, indicating selectivity. Although
superinfecting vaccinia virus bound to cells, infection was inhibited at the
membrane fusion step, thereby preventing core entry into the cytoplasm and early
gene expression. In contrast, A56/K2 protein-mediated exclusion occurred
subsequent to membrane fusion. Induction of resistance to superinfection depended
on viral RNA and protein synthesis by the primary virus but did not require DNA
replication. Although superinfection resistance correlated with virus-induced
changes in the cytoskeleton, studies with mutant vaccinia viruses indicated that
the cytoskeletal changes were not necessary for resistance to superinfection.
Interferon-inducible transmembrane proteins, which can inhibit membrane fusion in
other viral systems, did not prevent vaccinia virus membrane fusion, suggesting
that these interferon-inducible proteins are not involved in superinfection
exclusion. While the mechanism remains to be determined, the early establishment
of superinfection exclusion may provide a "winner-take-all" reward to the first
poxvirus particles that successfully initiate infection and prevent the entry and
genome reproduction of defective or less fit particles. IMPORTANCE: The
replication of a virus usually follows a defined sequence of events: attachment,
entry into the cytoplasm or nucleus, gene expression, genome replication,
assembly of infectious particles, and spread to other cells. Although multiple
virus particles may enter a cell at the same time, mechanisms exist to prevent
infection by subsequent viruses. The latter phenomenon, known as superinfection
exclusion, can occur by a variety of mechanisms that are not well understood. We
showed that superinfection by vaccinia virus was prevented at the membrane fusion
step, which closely followed virion attachment. Thus, neither gene expression nor
genome replication of the superinfecting virus occurred. Expression of early
proteins by the primary virus was necessary and sufficient to induce the
superinfection-resistant state. Superinfection exclusion may be beneficial to
vaccinia virus by selecting particles that can infect cells rapidly, excluding
defective particles and synchronizing the replication cycle.
PMID- 24920807
TI - Cytokine/Chemokine responses in activated CD4+ and CD8+ T cells isolated from
peripheral blood, bone marrow, and axillary lymph nodes during acute simian
immunodeficiency virus infection.
AB - Understanding the cytokine/chemokine networks in CD4(+) and CD8(+) T cells during
the acute phase of infection is crucial to design therapies for the control of
early human immunodeficiency virus (HIV)/simian immunodeficiency virus (SIV)
replication. Here, we measured early changes in CD4(+) and CD8(+) T cells in the
peripheral blood (PB), bone marrow (BM), and axillary lymph node (ALN) tissue of
rhesus macaques infected with SIVMAC251. At 21 days after infection, all tissues
showed a statistically significant loss of CD4(+) T cells along with immune
activation of CD8(+) T cells in PB and ALN tissue. Twenty-eight different
cytokines/chemokines were quantified in either anti-CD3/28 antibody- or
staphylococcal enterotoxin B-stimulated single-positive CD4(+) and CD8(+) T
cells. PB CD4(+) T cells produced predominantly interleukin-2 (IL-2), whereas
CD4(+) and CD8(+) T-cell subsets in tissues produced beta-chemokines both before
and 21 days after SIV infection. Tissues generally exhibited massive upregulation
of many cytokines/chemokines following infection, possibly in an attempt to
mitigate the loss of CD4(+) T cells. There was no evidence of a T-helper 1 (TH1)
to-TH2 shift in CD4(+) T cells or a T-cytotoxic 1 (TC1)-to-TC2 cytokine shift in
CD8(+) T cells in PB, BM, and ALN T-cell subsets during the acute phase of SIV
infection. Despite the upregulation of several important effector
cytokines/chemokines (IL-2, IL-12, IL-17, gamma interferon, granulocyte
macrophage colony-stimulating factor) by CD4(+) and CD8(+) T cells, upregulation
of beta-chemokines (CCL2 and CCL22), basic fibroblast growth factor (FGF-basic),
hepatocyte growth factor (HGF), and migration inhibition factor (MIF) may provide
a poor prognosis either by inducing increased virus replication or by other
unknown mechanisms. Therefore, drugs targeting beta-chemokines (CCL2 and CCL22),
FGF-basic, HGF, or MIF might be important for developing effective vaccines and
therapeutics against HIV. IMPORTANCE: Human immunodeficiency virus (HIV)/simian
immunodeficiency virus (SIV) infection results in early depletion of CD4(+) T
cells and dysregulation of protective immune responses. Therefore, understanding
the cytokine/chemokine networks in CD4(+) and CD8(+) T cells in different tissues
during the acute phase of infection is crucial to the design of therapies for the
control of early viral replication. Here, we measured early changes in CD4(+) and
CD8(+) T cells in peripheral blood (PB), bone marrow (BM), and axillary lymph
node (ALN) tissue of rhesus macaques infected with SIVMAC251. There was no
evidence of a T-helper 1 (TH1)-to-TH2 shift in CD4(+) T cells or a T-cytotoxic 1
(TC1)-to-TC2 cytokine shift in CD8(+) T cells in PB, BM, and ALN T-cell subsets
during the acute phase of SIV infection. Despite the upregulation of several
important effector cytokines/chemokines by CD4(+) and CD8(+) T cells,
upregulation of beta-chemokines, fibroblast growth factor-basic, hepatocyte
growth factor, and migration inhibition factor may provide a poor prognosis.
PMID- 24920808
TI - Sumoylation of influenza A virus nucleoprotein is essential for intracellular
trafficking and virus growth.
AB - Viruses take advantage of host posttranslational modifications for their own
benefit. It was recently reported that influenza A virus proteins interact
extensively with the host sumoylation system. Thereby, several viral proteins,
including NS1 and M1, are sumoylated to facilitate viral replication. However, to
what extent sumoylation is exploited by influenza A virus is not fully
understood. In this study, we found that influenza A virus nucleoprotein (NP) is
a bona fide target of sumoylation in both NP-transfected cells and virus-infected
cells. We further found that NP is sumoylated at the two most N-terminal
residues, lysines 4 and 7, and that sumoylation at lysine 7 of NP is highly
conserved across different influenza A virus subtypes and strains, including the
recently emerged human H7N9 virus. While NP stability and polymerase activity are
little affected by sumoylation, the NP sumoylation-defective WSN-NPK4,7R virus
exhibited early cytoplasmic localization of NP. The growth of the WSN-NPK4,7R
virus was highly attenuated compared to that of the wild-type WSN virus, and the
lysine residue at position 7 is indispensable for the virus's survival, as
illustrated by the rapid emergence of revertant viruses. Thus, sumoylation of
influenza A virus NP is essential for intracellular trafficking of NP and for
virus growth, illustrating sumoylation as a crucial strategy extensively
exploited by influenza A virus for survival in its host. IMPORTANCE: Host
posttranslational modifications are heavily targeted by viruses for their own
benefit. We and others previously reported that influenza A virus interacts
extensively with the host sumoylation system. However, the functional outcomes of
viral sumoylation are not fully understood. Here we found that influenza A virus
nucleoprotein (NP), an essential component for virus replication, is a new target
of SUMO. This is the first study to find that NP from different influenza A
viruses, including recently emerged H7N9, is sumoylated at conserved lysine 7.
Our data further illustrated that sumoylation of influenza A virus NP is
essential for intracellular trafficking of NP and virus growth, indicating that
influenza A virus relies deeply on sumoylation to survive in host cells.
Strategies to downregulate viral sumoylation could thus be a potential antiviral
treatment.
PMID- 24920809
TI - Vaccine-induced HIV-1 envelope gp120 constant region 1-specific antibodies expose
a CD4-inducible epitope and block the interaction of HIV-1 gp140 with
galactosylceramide.
AB - Mucosal epithelial cell surface galactosylceramide (Galcer) has been postulated
to be a receptor for HIV-1 envelope (Env) interactions with mucosal epithelial
cells. Disruption of the HIV-1 Env interaction with such alternate receptors
could be one strategy to prevent HIV-1 entry through the mucosal barrier. To
study antibody modulation of HIV-1 Env-Galcer interactions, we used Galcer
containing liposomes to assess whether natural- and vaccine-induced monoclonal
antibodies can block HIV-1 Env binding to Galcer. HIV-1 Env gp140 proteins bound
to Galcer liposomes with Kds (dissociation constants) in the nanomolar range.
Several HIV-1 ALVAC/AIDSVAX vaccinee-derived monoclonal antibodies (MAbs)
specific for the gp120 first constant (C1) region blocked Galcer binding of a
transmitted/founder HIV-1 Env gp140. Among the C1-specific MAbs that showed
Galcer blocking, the antibody-dependent cellular cytotoxicity-mediating CH38 IgG
and its natural IgA isotype were the most potent blocking antibodies. C1-specific
IgG monoclonal antibodies that blocked Env binding to Galcer induced upregulation
of the gp120 CD4-inducible (CD4i) epitope bound by MAb 17B, demonstrating that a
conformational change in gp120 may be required for Galcer blocking. However, the
MAb 17B itself did not block Env-Galcer binding, suggesting that the C1 antibody
induced gp120 conformational changes resulted in alteration in a Galcer binding
site distant from the CD4i 17B MAb binding site. IMPORTANCE: Galactosyl ceramide,
a glycosphingolipid, has been postulated to be a receptor for the HIV-1 envelope
glycoprotein (Env) interaction with mucosal epithelial cells. Here, we have
mimicked this interaction by using an artificial membrane containing synthetic
Galcer and recombinant HIV-1 Env proteins to identify antibodies that would block
the HIV-1 Env-Galcer interaction. Our study revealed that a class of vaccine
induced human antibodies potently blocks HIV-1 Env-Galcer binding by perturbing
the HIV-1 Env conformation.
PMID- 24920810
TI - Modulation of Kaposi's sarcoma-associated herpesvirus interleukin-6 function by
hypoxia-upregulated protein 1.
AB - Kaposi's sarcoma-associated herpesvirus (KSHV, also called human herpesvirus 8)
is linked to the development of Kaposi's sarcoma (KS), primary effusion lymphoma
(PEL), and multicentric Castleman's disease (MCD). KSHV expresses several
proteins that modulate host cell signaling pathways. One of these proteins is
viral interleukin-6 (vIL-6), which is a homolog of human IL-6 (hIL-6). vIL-6 is
able to prevent apoptosis and promote proinflammatory signaling, angiogenesis,
and cell proliferation. Although it can be secreted, vIL-6 is mainly an
intracellular protein that is retained in the endoplasmic reticulum (ER). We
performed affinity purification and mass spectrometry to identify novel vIL-6
binding partners and found that a cellular ER chaperone, hypoxia-upregulated
protein 1 (HYOU1), interacts with vIL-6. Immunohistochemical staining reveals
that both PEL and KS tumor tissues express significant amounts of HYOU1. We also
show that HYOU1 increases endogenous vIL-6 protein levels and that HYOU1
facilitates vIL-6-induced JAK/STAT signaling, migration, and survival in
endothelial cells. Furthermore, our data suggest that HYOU1 also modulates vIL
6's ability to induce CCL2, a chemokine involved in cell migration. Finally, we
investigated the impact of HYOU1 on cellular hIL-6 signaling. Collectively, our
data indicate that HYOU1 is important for vIL-6 function and may play a role in
the pathogenesis of KSHV-associated cancers. IMPORTANCE: KSHV vIL-6 is detectable
in all KSHV-associated malignancies and promotes tumorigenesis and inflammation.
We identified a cellular protein, called hypoxia-upregulated protein 1 (HYOU1),
that interacts with KSHV vIL-6 and is present in KSHV-infected tumors. Our data
suggest that HYOU1 facilitates the vIL-6-induced signaling, migration, and
survival of endothelial cells.
PMID- 24920811
TI - Human and host species transferrin receptor 1 use by North American arenaviruses.
AB - At least five New World (NW) arenaviruses cause hemorrhagic fevers in South
America. These pathogenic clade B viruses, as well as nonpathogenic arenaviruses
of the same clade, use transferrin receptor 1 (TfR1) of their host species to
enter cells. Pathogenic viruses are distinguished from closely related
nonpathogenic ones by their additional ability to utilize human TfR1 (hTfR1).
Here, we investigate the receptor usage of North American arenaviruses, whose
entry proteins share greatest similarity with those of the clade B viruses. We
show that all six North American arenaviruses investigated utilize host species
TfR1 orthologs and present evidence consistent with arenavirus-mediated selection
pressure on the TfR1 of the North American arenavirus host species. Notably, one
of these viruses, AV96010151, closely related to the prototype Whitewater Arroyo
virus (WWAV), entered cells using hTfR1, consistent with a role for a WWAV-like
virus in three fatal human infections whose causative agent has not been
identified. In addition, modest changes were sufficient to convert hTfR1 into a
functional receptor for most of these viruses, suggesting that a minor alteration
in virus entry protein may allow these viruses to use hTfR1. Our data establish
TfR1 as a cellular receptor for North American arenaviruses, highlight an "arms
race" between these viruses and their host species, support the association of
North American arenavirus with fatal human infections, and suggest that these
viruses have a higher potential to emerge and cause human diseases than has
previously been appreciated. IMPORTANCE: hTfR1 use is a key determinant for a NW
arenavirus to cause hemorrhagic fevers in humans. All known pathogenic NW
arenaviruses are transmitted in South America by their host rodents. North
American arenaviruses are generally considered nonpathogenic, but some of these
viruses have been tentatively implicated in human fatalities. We show that these
North American arenaviruses use the TfR1 orthologs of their rodent host species
and identify TfR1 polymorphisms suggesting an ongoing "arms race" between these
viruses and their hosts. We also show that a close relative of a North American
arenavirus suggested to have caused human fatalities, the Whitewater Arroyo
species complex virus AV96010151, uses human TfR1. Moreover, we present data that
imply that modest changes in other North American arenaviruses might allow these
viruses to infect humans. Collectively, our data suggest that North American
arenaviruses have a higher potential to cause human disease than previously
assumed.
PMID- 24920812
TI - Hepatitis A virus 3C protease cleaves NEMO to impair induction of beta
interferon.
AB - NEMO (NF-kappaB essential modulator) is a bridging adaptor indispensable for
viral activation of interferon (IFN) antiviral response. Herein, we show that
hepatitis A virus (HAV) 3C protease (3Cpro) cleaves NEMO at the Q304 residue,
negating its signaling adaptor function and abrogating viral induction of IFN
beta synthesis via the retinoic acid-inducible gene I/melanoma differentiation
associated protein 5 (RIG-I/MDA5) and Toll-like receptor 3 (TLR3) pathways. NEMO
cleavage and IFN antagonism, however, were lost upon ablation of the catalytic
activity of 3Cpro. These data describe a novel immune evasion mechanism of HAV.
PMID- 24920814
TI - The herpes simplex virus 1 virion host shutoff protein enhances translation of
viral late mRNAs by preventing mRNA overload.
AB - We recently demonstrated that the virion host shutoff (vhs) protein, an mRNA
specific endonuclease, is required for efficient herpes simplex virus 1 (HSV-1)
replication and translation of viral true-late mRNAs, but not other viral and
cellular mRNAs, in many cell types (B. Dauber, J. Pelletier, and J. R. Smiley, J.
Virol. 85:5363-5373, 2011, http://dx.doi.org/10.1128/JVI.00115-11). Here, we
evaluated whether the structure of true-late mRNAs or the timing of their
transcription is responsible for the poor translation efficiency in the absence
of vhs. To test whether the highly structured 5' untranslated region (5'UTR) of
the true-late gC mRNA is the primary obstacle for translation initiation, we
replaced it with the less structured 5'UTR of the gamma-actin mRNA. However, this
mutation did not restore translation in the context of a vhs-deficient virus. We
then examined whether the timing of transcription affects translation efficiency
at late times. To this end, we engineered a vhs-deficient virus mutant that
transcribes the true-late gene US11 with immediate-early kinetics (IEUS11
DeltaSma). Interestingly, IEUS11-DeltaSma showed increased translational activity
on the US11 transcript at late times postinfection, and US11 protein levels were
restored to wild-type levels. These results suggest that mRNAs can maintain
translational activity throughout the late stage of infection if they are present
before translation factors and/or ribosomes become limiting. Taken together,
these results provide evidence that in the absence of the mRNA-destabilizing
function of vhs, accumulation of viral mRNAs overwhelms the capacity of the host
translational machinery, leading to functional exclusion of the last mRNAs that
are made during infection. IMPORTANCE: The process of mRNA translation accounts
for a significant portion of a cell's energy consumption. To ensure efficient use
of cellular resources, transcription, translation, and mRNA decay are tightly
linked and highly regulated. However, during virus infection, the overall amount
of mRNA may increase drastically, possibly overloading the capacity of the
translation apparatus. Our results suggest that the HSV-1 vhs protein, an mRNA
specific endoribonuclease, prevents mRNA overload during infection, thereby
allowing translation of late viral mRNAs. The requirement for vhs varies between
cell types. Further studies of the basis for this difference likely will offer
insights into how cells regulate overall mRNA levels and access to the
translational apparatus.
PMID- 24920813
TI - Genomic flexibility of human endogenous retrovirus type K.
AB - Human endogenous retrovirus type K (HERV-K) proviruses are scattered throughout
the human genome, but as no infectious HERV-K virus has been detected to date,
the mechanism by which these viruses replicated and populated the genome remains
unresolved. Here, we provide evidence that, in addition to the RNA genomes that
canonical retroviruses package, modern HERV-K viruses can contain reverse
transcribed DNA (RT-DNA) genomes. Indeed, reverse transcription of genomic HERV-K
RNA into the DNA form is able to occur in three distinct times and locations: (i)
in the virus-producing cell prior to viral release, yielding a DNA-containing
extracellular virus particle similar to the spumaviruses; (ii) within the
extracellular virus particle itself, transitioning from an RNA-containing
particle to a DNA-containing particle; and (iii) after entry of the RNA
containing virus into the target cell, similar to canonical retroviruses, such as
murine leukemia virus and HIV. Moreover, using a resuscitated HERV-K virus
construct, we show that both viruses with RNA genomes and viruses with DNA
genomes are capable of infecting target cells. This high level of genomic
flexibility historically could have permitted these viruses to replicate in
various host cell environments, potentially assisting in their many integration
events and resulting in their high prevalence in the human genome. Moreover, the
ability of modern HERV-K viruses to proceed through reverse transcription and
package RT-DNA genomes suggests a higher level of replication competency than was
previously understood, and it may be relevant in HERV-K-associated human
diseases. IMPORTANCE: Retroviral elements comprise at least 8% of the human
genome. Of all the endogenous retroviruses, HERV-K viruses are the most intact
and biologically active. While a modern infectious HERV-K has yet to be found,
HERV-K activation has been associated with cancers, autoimmune diseases, and HIV
1 infection. Thus, determining how this virus family became such a prevalent
member of our genome and what it is capable of in its current form are of the
utmost importance. Here, we provide evidence that HERV-K viruses currently found
in the human genome are able to proceed through reverse transcription and
historically utilized a life cycle with a surprising degree of genomic
flexibility in which both RNA- and DNA-containing viruses were capable of
mediating infection.
PMID- 24920815
TI - Newcastle disease virus vector producing human norovirus-like particles induces
serum, cellular, and mucosal immune responses in mice.
AB - Human norovirus infection is the most common cause of viral gastroenteritis
worldwide. Development of an effective vaccine is required for reducing norovirus
outbreaks. The inability to grow human norovirus in cell culture has hindered the
development of live-attenuated vaccines. To overcome this obstacle, we generated
a recombinant Newcastle disease virus (rNDV)-vectored experimental norovirus
vaccine by expressing the capsid protein (VP1) of norovirus strain VA387. We
compared two different NDV vectors, a conventional rNDV vector and a modified
rNDV vector, for their efficiencies in expressing VP1 protein. Our results showed
that the modified vector replicated to higher titers and expressed higher levels
of VP1 protein in DF1 cells and in allantoic fluid of embryonated chicken eggs
than did the conventional vector. We further demonstrated that the VP1 protein
produced by rNDVs was able to self-assemble into virus-like particles (VLPs) that
are morphologically similar to baculovirus-expressed VLPs. Evaluation of their
immunogenicity in mice showed that the modified rNDV vector induced a higher
level of IgG response than those induced by the conventional vector and by the
baculovirus-expressed VLPs. The rNDV vectors predominantly induced IgG2a subclass
antibody for the Th1 response, and specifically, high levels of gamma interferon
(IFN-gamma), tumor necrosis factor alpha (TNF-alpha), and interleukin-2 (IL-2)
were detected in splenocytes. In addition, the modified rNDV vector induced a
higher level of fecal IgA response in mice than did baculovirus-expressed VLPs.
Our findings suggest that the rNDV vector is an efficient system to produce cost
effective VLPs in embryonated chicken eggs and has the potential to be used as a
live-attenuated vaccine in humans. IMPORTANCE: Noroviruses are the major cause of
viral gastroenteritis worldwide. Currently, effective vaccines against norovirus
infection are not available. In this study, we have evaluated Newcastle disease
virus (NDV) as a vaccine vector for norovirus. Our results suggest that NDV can
be used not only as a cost-effective method for large-scale production of
norovirus-like particle vaccines but also as a live-attenuated vectored vaccine.
PMID- 24920816
TI - The tegument protein pp65 of human cytomegalovirus acts as an optional scaffold
protein that optimizes protein uploading into viral particles.
AB - The mechanisms that lead to the tegumentation of herpesviral particles are only
poorly defined. The phosphoprotein 65 (pp65) is the most abundant constituent of
the virion tegument of human cytomegalovirus (HCMV). It is, however, nonessential
for virion formation. This seeming discrepancy has not met with a satisfactory
explanation regarding the role of pp65 in HCMV particle morphogenesis. Here, we
addressed the question of how the overall tegument composition of the HCMV virion
depended on pp65 and how the lack of pp65 influenced the packaging of particular
tegument proteins. To investigate this, we analyzed the proteomes of pp65
positive (pp65pos) and pp65-negative (pp65neg) virions by label-free quantitative
mass spectrometry and determined the relative abundances of tegument proteins.
Surprisingly, only pUL35 was elevated in pp65neg virions. As the abundance of
pUL35 in the HCMV tegument is low, it is unlikely that it replaced pp65 as a
structural component in pp65neg virions. A subset of proteins, including the
third most abundant tegument protein, pUL25, as well as pUL43, pUL45, and pUL71,
were reduced in pp65neg or pp65low virions, indicating that the packaging of
these proteins was related to pp65. The levels of tegument components, like pp28
and the capsid-associated tegument proteins pp150, pUL48, and pUL47, were
unaffected by the lack of pp65. Our analyses demonstrate that deletion of pp65 is
not compensated for by other viral proteins in the process of virion
tegumentation. The results are concordant with a model of pp65 serving as an
optional scaffold protein that facilitates protein upload into the outer tegument
of HCMV particles. IMPORTANCE: The assembly of the tegument of herpesviruses is
only poorly understood. Particular proteins, like HCMV pp65, are abundant
tegument constituents. pp65 is thus considered to play a major role in tegument
assembly in the process of virion morphogenesis. We show here that deletion of
the pp65 gene leads to reduced packaging of a subset of viral proteins,
indicating that pp65 acts as an optional scaffold protein mediating protein
upload into the tegument.
PMID- 24920817
TI - Unfixed endogenous retroviral insertions in the human population.
AB - One lineage of human endogenous retroviruses (HERVs), HERV-K(HML2), is
upregulated in many cancers, some autoimmune/inflammatory diseases, and HIV
infected cells. Despite 3 decades of research, it is not known if these viruses
play a causal role in disease, and there has been recent interest in whether they
can be used as immunotherapy targets. Resolution of both these questions will be
helped by an ability to distinguish between the effects of different integrated
copies of the virus (loci). Research so far has concentrated on the 20 or so
recently integrated loci that, with one exception, are in the human reference
genome sequence. However, this viral lineage has been copying in the human
population within the last million years, so some loci will inevitably be present
in the human population but absent from the reference sequence. We therefore
performed the first detailed search for such loci by mining whole-genome
sequences generated by next-generation sequencing. We found a total of 17 loci,
and the frequency of their presence ranged from only 2 of the 358 individuals
examined to over 95% of them. On average, each individual had six loci that are
not in the human reference genome sequence. Comparing the number of loci that we
found to an expectation derived from a neutral population genetic model suggests
that the lineage was copying until at least ~250,000 years ago. IMPORTANCE: About
5% of the human genome sequence is composed of the remains of retroviruses that
over millions of years have integrated into the chromosomes of egg and/or sperm
precursor cells. There are indications that protein expression of these viruses
is higher in some diseases, and we need to know (i) whether these viruses have a
role in causing disease and (ii) whether they can be used as immunotherapy
targets in some of them. Answering both questions requires a better understanding
of how individuals differ in the viruses that they carry. We carried out the
first careful search for new viruses in some of the many human genome sequences
that are now available thanks to advances in sequencing technology. We also
compared the number that we found to a theoretical expectation to see if it is
likely that these viruses are still replicating in the human population today.
PMID- 24920818
TI - Comprehensive analysis of contributions from protein conformational stability and
major histocompatibility complex class II-peptide binding affinity to CD4+
epitope immunogenicity in HIV-1 envelope glycoprotein.
AB - Helper T-cell epitope dominance in human immunodeficiency virus type 1 (HIV-1)
envelope glycoprotein gp120 is not adequately explained by peptide binding to
major histocompatibility complex (MHC) proteins. Antigen processing potentially
influences epitope dominance, but few, if any, studies have attempted to
reconcile the influences of antigen processing and MHC protein binding for all
helper T-cell epitopes of an antigen. Epitopes of gp120 identified in both humans
and mice occur on the C-terminal flanks of flexible segments that are likely to
be proteolytic cleavage sites. In this study, the influence of gp120 conformation
on the dominance pattern in gp120 from HIV strain 89.6 was examined in CBA mice,
whose MHC class II protein has one of the most well defined peptide-binding
preferences. Only one of six dominant epitopes contained the most conserved
element of the I-Ak binding motif, an aspartic acid. Destabilization of the gp120
conformation by deletion of single disulfide bonds preferentially enhanced
responses to the cryptic I-Ak motif-containing sequences, as reported by T-cell
proliferation or cytokine secretion. Conversely, inclusion of CpG in the adjuvant
with gp120 enhanced responses to the dominant CD4+ T-cell epitopes. The gp120
destabilization affected secretion of some cytokines more than others, suggesting
that antigen conformation could modulate T-cell functions through mechanisms of
antigen processing. IMPORTANCE: CD4+ helper T cells play an essential role in
protection against HIV and other pathogens. Thus, the sites of helper T-cell
recognition, the dominant epitopes, are targets for vaccine design; and the
corresponding T cells may provide markers for monitoring infection and immunity.
However, T-cell epitopes are difficult to identify and predict. It is also
unclear whether CD4+ T cells specific for one epitope are more protective than T
cells specific for other epitopes. This work shows that the three-dimensional
(3D) structure of an HIV protein partially determines which epitopes are
dominant, most likely by controlling the breakdown of HIV into peptides.
Moreover, some types of signals from CD4+ T cells are affected by the HIV protein
3D structure; and thus the protectiveness of a particular peptide vaccine could
be related to its location in the 3D structure.
PMID- 24920819
TI - Influenza virus infectivity and virulence following ocular-only aerosol
inoculation of ferrets.
AB - Respiratory pathogens have traditionally been studied by examining the exposure
and infection of respiratory tract tissues. However, these studies typically
overlook the role of ocular surfaces, which represent both a potential site of
virus replication and a portal of entry for the establishment of a respiratory
infection. To model transocular virus entry in a mammalian species, we
established a novel inoculation method that delivers an aerosol inoculum
exclusively to the ferret ocular surface. Using influenza virus as a
representative respiratory pathogen, we found that both human and avian viruses
mounted productive respiratory infections in ferrets following ocular-only
aerosol inoculation, and we demonstrated that H5N1 virus can result in a fatal
infection at doses below 10 PFU or with exposure times as short as 2 min. Ferrets
inoculated by the ocular aerosol route with an avian (H7N7, H7N9) or human (H1N1,
H3N2v) virus were capable of transmitting the virus to naive animals in direct
contact or respiratory-droplet models, respectively. Our results reveal that
ocular-only exposure to virus-containing aerosols constitutes a valid exposure
route for a potentially fatal respiratory infection, even for viruses that do not
demonstrate an ocular tropism, underscoring the public health implications of
ocular exposure in clinical or occupational settings. IMPORTANCE: In the absence
of eye protection, the human ocular surface remains vulnerable to infection with
aerosolized respiratory viruses. In this study, we present a way to inoculate
laboratory mammals that excludes respiratory exposure, infecting ferrets only by
ocular exposure to influenza virus-containing aerosols. This study demonstrates
that the use of respiratory protection alone does not fully protect against
influenza virus exposure, infection, and severe disease.
PMID- 24920820
TI - Mapping of functional domains of the lipid kinase phosphatidylinositol 4-kinase
type III alpha involved in enzymatic activity and hepatitis C virus replication.
AB - The lipid kinase phosphatidylinositol 4-kinase III alpha (PI4KIIIalpha) is an
endoplasmic reticulum (ER)-resident enzyme that synthesizes phosphatidylinositol
4-phosphate (PI4P). PI4KIIIalpha is an essential host factor for hepatitis C
virus (HCV) replication. Interaction with HCV nonstructural protein 5A (NS5A)
leads to kinase activation and accumulation of PI4P at intracellular membranes.
In this study, we investigated the structural requirements of PI4KIIIalpha in HCV
replication and enzymatic activity. Therefore, we analyzed PI4KIIIalpha mutants
for subcellular localization, reconstitution of HCV replication in PI4KIIIalpha
knockdown cell lines, PI4P induction in HCV-positive cells, and lipid kinase
activity in vitro. All mutants still interacted with NS5A and localized in a
manner similar to that of the full-length enzyme, suggesting multiple regions of
PI4KIIIalpha are involved in NS5A interaction and subcellular localization.
Interestingly, the N-terminal 1,152 amino acids were dispensable for HCV
replication, PI4P induction, and enzymatic function, whereas further N-terminal
or C-terminal deletions were deleterious, thereby defining the minimal
PI4KIIIalpha core enzyme at a size of ca. 108 kDa. Additional deletion of
predicted functional motifs within the C-terminal half of PI4KIIIalpha also were
detrimental for enzymatic activity and for the ability of PI4KIIIalpha to rescue
HCV replication, with the exception of a proposed nuclear localization signal,
suggesting that the entire C-terminal half of PI4KIIIalpha is involved in the
formation of a minimal enzymatic core. This view was supported by structural
modeling of the PI4KIIIalpha C terminus, suggesting a catalytic center formed by
an N- and C-terminal lobe and an armadillo-fold motif, which is preceded by three
distinct alpha-helical domains probably involved in regulation of enzymatic
activity. IMPORTANCE: The lipid kinase PI4KIIIalpha is of central importance for
cellular phosphatidylinositol metabolism and is a key host cell factor of
hepatitis C virus replication. However, little is known so far about the
structure of this 240-kDa protein and the functional importance of specific
subdomains regarding lipid kinase activity and viral replication. This work
focuses on the phenotypic analysis of distinct PI4KIIIalpha mutants in different
biochemical and cell-based assays and develops a structural model of the C
terminal enzymatic core. The results shed light on the structural and functional
requirements of enzymatic activity and the determinants required for HCV
replication.
PMID- 24920821
TI - Endosomal trafficking of nanoformulated antiretroviral therapy facilitates drug
particle carriage and HIV clearance.
AB - Limitations of antiretroviral therapy (ART) include poor patient adherence, drug
toxicities, viral resistance, and failure to penetrate viral reservoirs. Recent
developments in nanoformulated ART (nanoART) could overcome such limitations. To
this end, we now report a novel effect of nanoART that facilitates drug depots
within intracellular compartments at or adjacent to the sites of the viral
replication cycle. Poloxamer 407-coated nanocrystals containing the protease
inhibitor atazanavir (ATV) were prepared by high-pressure homogenization. These
drug particles readily accumulated in human monocyte-derived macrophages (MDM).
NanoATV concentrations were ~1,000 times higher in cells than those that could be
achieved by the native drug. ATV particles in late and recycling endosome
compartments were seen following pulldown by immunoaffinity chromatography with
Rab-specific antibodies conjugated to magnetic beads. Confocal microscopy
provided cross validation by immunofluorescent staining of the compartments.
Mathematical modeling validated drug-endosomal interactions. Measures of reverse
transcriptase activity and HIV-1 p24 levels in culture media and cells showed
that such endosomal drug concentrations enhanced antiviral responses up to 1,000
fold. We conclude that late and recycling endosomes can serve as depots for
nanoATV. The colocalization of nanoATV at endosomal sites of viral assembly and
its slow release sped antiretroviral activities. Long-acting nanoART can serve as
a drug carrier in both cells and subcellular compartments and, as such, can
facilitate viral clearance. IMPORTANCE: The need for long-acting ART is
significant and highlighted by limitations in drug access, toxicity, adherence,
and reservoir penetrance. We propose that targeting nanoformulated drugs to
infected tissues, cells, and subcellular sites of viral replication may improve
clinical outcomes. Endosomes are sites for human immunodeficiency virus assembly,
and increasing ART concentrations in such sites enhances viral clearance. The
current work uncovers a new mechanism by which nanoART can enhance viral
clearance over native drug formulations.
PMID- 24920822
TI - Kinetochore-microtubule attachment throughout mitosis potentiated by the
elongated stalk of the kinetochore kinesin CENP-E.
AB - Centromere protein E (CENP-E) is a highly elongated kinesin that transports pole
proximal chromosomes during congression in prometaphase. During metaphase, it
facilitates kinetochore-microtubule end-on attachment required to achieve and
maintain chromosome alignment. In vitro CENP-E can walk processively along
microtubule tracks and follow both growing and shrinking microtubule plus ends.
Neither the CENP-E-dependent transport along microtubules nor its tip-tracking
activity requires the unusually long coiled-coil stalk of CENP-E. The biological
role for the CENP-E stalk has now been identified through creation of "Bonsai"
CENP-E with significantly shortened stalk but wild-type motor and tail domains.
We demonstrate that Bonsai CENP-E fails to bind microtubules in vitro unless a
cargo is contemporaneously bound via its C-terminal tail. In contrast, both full
length and truncated CENP-E that has no stalk and tail exhibit robust motility
with and without cargo binding, highlighting the importance of CENP-E stalk for
its activity. Correspondingly, kinetochore attachment to microtubule ends is
shown to be disrupted in cells whose CENP-E has a shortened stalk, thereby
producing chromosome misalignment in metaphase and lagging chromosomes during
anaphase. Together these findings establish an unexpected role of CENP-E
elongated stalk in ensuring stability of kinetochore-microtubule attachments
during chromosome congression and segregation.
PMID- 24920823
TI - Cdk1 promotes cytokinesis in fission yeast through activation of the septation
initiation network.
AB - In Schizosaccharomyces pombe, late mitotic events are coordinated with
cytokinesis by the septation initiation network (SIN), an essential spindle pole
body (SPB)-associated kinase cascade, which controls the formation, maintenance,
and constriction of the cytokinetic ring. It is not fully understood how SIN
initiation is temporally regulated, but it depends on the activation of the
GTPase Spg1, which is inhibited during interphase by the essential bipartite
GTPase-activating protein Byr4-Cdc16. Cells are particularly sensitive to the
modulation of Byr4, which undergoes cell cycle-dependent phosphorylation presumed
to regulate its function. Polo-like kinase, which promotes SIN activation, is
partially responsible for Byr4 phosphorylation. Here we show that Byr4 is also
controlled by cyclin-dependent kinase (Cdk1)-mediated phosphorylation. A Cdk1
nonphosphorylatable Byr4 phosphomutant displays severe cell division defects,
including the formation of elongated, multinucleate cells, failure to maintain
the cytokinetic ring, and compromised SPB association of the SIN kinase Cdc7. Our
analyses show that Cdk1-mediated phosphoregulation of Byr4 facilitates complete
removal of Byr4 from metaphase SPBs in concert with Plo1, revealing an unexpected
role for Cdk1 in promoting cytokinesis through activation of the SIN pathway.
PMID- 24920824
TI - Subcellular optogenetic inhibition of G proteins generates signaling gradients
and cell migration.
AB - Cells sense gradients of extracellular cues and generate polarized responses such
as cell migration and neurite initiation. There is static information on the
intracellular signaling molecules involved in these responses, but how they
dynamically orchestrate polarized cell behaviors is not well understood. A
limitation has been the lack of methods to exert spatial and temporal control
over specific signaling molecules inside a living cell. Here we introduce
optogenetic tools that act downstream of native G protein-coupled receptor
(GPCRs) and provide direct control over the activity of endogenous heterotrimeric
G protein subunits. Light-triggered recruitment of a truncated regulator of G
protein signaling (RGS) protein or a Gbetagamma-sequestering domain to a selected
region on the plasma membrane results in localized inhibition of G protein
signaling. In immune cells exposed to spatially uniform chemoattractants, these
optogenetic tools allow us to create reversible gradients of signaling activity.
Migratory responses generated by this approach show that a gradient of active G
protein alphai and betagamma subunits is sufficient to generate directed cell
migration. They also provide the most direct evidence so for a global inhibition
pathway triggered by Gi signaling in directional sensing and adaptation. These
optogenetic tools can be applied to interrogate the mechanistic basis of other
GPCR-modulated cellular functions.
PMID- 24920825
TI - Common regulatory control of CTP synthase enzyme activity and filament formation.
AB - The ability of enzymes to assemble into visible supramolecular complexes is a
widespread phenomenon. Such complexes have been hypothesized to play a number of
roles; however, little is known about how the regulation of enzyme activity is
coupled to the assembly/disassembly of these cellular structures. CTP synthase is
an ideal model system for addressing this question because its activity is
regulated via multiple mechanisms and its filament-forming ability is
evolutionarily conserved. Our structure-function studies of CTP synthase in
Saccharomyces cerevisiae reveal that destabilization of the active tetrameric
form of the enzyme increases filament formation, suggesting that the filaments
comprise inactive CTP synthase dimers. Furthermore, the sites responsible for
feedback inhibition and allosteric activation control filament length, implying
that multiple regions of the enzyme can influence filament structure. In
contrast, blocking catalysis without disrupting the regulatory sites of the
enzyme does not affect filament formation or length. Together our results argue
that the regulatory sites that control CTP synthase function, but not enzymatic
activity per se, are critical for controlling filament assembly. We predict that
the ability of enzymes to form supramolecular structures in general is closely
coupled to the mechanisms that regulate their activity.
PMID- 24920826
TI - ARH-seq: identification of differential splicing in RNA-seq data.
AB - The computational prediction of alternative splicing from high-throughput
sequencing data is inherently difficult and necessitates robust statistical
measures because the differential splicing signal is overlaid by influencing
factors such as gene expression differences and simultaneous expression of
multiple isoforms amongst others. In this work we describe ARH-seq, a discovery
tool for differential splicing in case-control studies that is based on the
information-theoretic concept of entropy. ARH-seq works on high-throughput
sequencing data and is an extension of the ARH method that was originally
developed for exon microarrays. We show that the method has inherent features,
such as independence of transcript exon number and independence of differential
expression, what makes it particularly suited for detecting alternative splicing
events from sequencing data. In order to test and validate our workflow we
challenged it with publicly available sequencing data derived from human tissues
and conducted a comparison with eight alternative computational methods. In order
to judge the performance of the different methods we constructed a benchmark data
set of true positive splicing events across different tissues agglomerated from
public databases and show that ARH-seq is an accurate, computationally fast and
high-performing method for detecting differential splicing events.
PMID- 24920827
TI - G-quadruplex conformation and dynamics are determined by loop length and
sequence.
AB - The quadruplex forming G-rich sequences are unevenly distributed throughout the
human genome. Their enrichment in oncogenic promoters and telomeres has generated
interest in targeting G-quadruplex (GQ) for an anticancer therapy. Here, we
present a quantitative analysis on the conformations and dynamics of GQ forming
sequences measured by single molecule fluorescence. Additionally, we relate these
properties to GQ targeting ligands and G4 resolvase 1 (G4R1) protein binding. Our
result shows that both the loop (non-G components) length and sequence contribute
to the conformation of the GQ. Real time single molecule traces reveal that the
folding dynamics also depend on the loop composition. We demonstrate that GQ
stabilizing small molecules, N-methyl mesoporphyrin IX (NMM), its analog, NMP and
the G4R1 protein bind selectively to the parallel GQ conformation. Our findings
point to the complexity of GQ folding governed by the loop length and sequence
and how the GQ conformation determines the small molecule and protein binding
propensity.
PMID- 24920828
TI - Structure of the N-glycosidase MilB in complex with hydroxymethyl CMP reveals its
Arg23 specifically recognizes the substrate and controls its entry.
AB - 5-Hydroxymethylcytosine (5hmC) is present in T-even phage and mammalian DNA as
well as some nucleoside antibiotics, including mildiomycin and bacimethrin,
during whose synthesis 5hmC is produced by the hydrolysis of 5-hydroxymethyl
cytidine 5'-monophosphate (hmCMP) by an N-glycosidase MilB. Recently, the MilB
CMP complex structure revealed its substrate specificity for CMP over dCMP.
However, hmCMP instead of CMP is the preferred substrate for MilB as supported by
that its KM for CMP is ~27-fold higher than that for hmCMP. Here, we determined
the crystal structures of MilB and its catalytically inactive E103A mutant in
complex with hmCMP. In the structure of the complex, Phe22 and Arg23 are
positioned in a cage-like active site resembling the binding pocket for the
flipped 5-methylcytosine (5mC) in eukaryotic 5mC-binding proteins. Van der Waals
interaction between the benzene ring of Phe22 and the pyrimidine ring of hmCMP
stabilizes its binding. Remarkably, upon hmCMP binding, the guanidinium group of
Arg23 was bent ~65 degrees toward hmCMP to recognize its 5-hydroxymethyl group,
inducing semi-closure of the cage-like pocket. Mutagenesis studies of Arg23 and
bioinformatics analysis demonstrate that the positively charged Arg/Lys at this
site is critical for the specific recognition of the 5-hydroxymethyl group of
hmCMP.
PMID- 24920829
TI - A deafness-associated tRNAHis mutation alters the mitochondrial function, ROS
production and membrane potential.
AB - In this report, we investigated the molecular genetic mechanism underlying the
deafness-associated mitochondrial tRNAHis 12201T>C mutation. The destabilization
of a highly conserved base-pairing (5A-68U) by the m.12201T>C mutation alters
structure and function of tRNAHis. Using cybrids constructed by transferring
mitochondria from lymphoblastoid cell lines derived from a Chinese family into
mtDNA-less (rhoo) cells, we showed ~70% decrease in the steady-state level of
tRNAHis in mutant cybrids, compared with control cybrids. The mutation changed
the conformation of tRNAHis, as suggested by slower electrophoretic mobility of
mutated tRNA with respect to the wild-type molecule. However, ~60% increase in
aminoacylated level of tRNAHis was observed in mutant cells. The failure in
tRNAHis metabolism was responsible for the variable reductions in seven mtDNA
encoded polypeptides in mutant cells, ranging from 37 to 81%, with the average of
~46% reduction, as compared with those of control cells. The impaired
mitochondrial translation caused defects in respiratory capacity in mutant cells.
Furthermore, marked decreases in the levels of mitochondrial ATP and membrane
potential were observed in mutant cells. These mitochondrial dysfunctions caused
an increase in the production of reactive oxygen species in the mutant cells. The
data provide the evidence for a mitochondrial tRNAHis mutation leading to
deafness.
PMID- 24920830
TI - The protein kinase TOUSLED facilitates RNAi in Arabidopsis.
AB - RNA silencing is an evolutionarily conserved mechanism triggered by double
stranded RNA that is processed into 21- to 24-nt small interfering (si)RNA or
micro (mi)RNA by RNaseIII-like enzymes called Dicers. Gene regulations by RNA
silencing have fundamental implications in a large number of biological processes
that include antiviral defense, maintenance of genome integrity and the
orchestration of cell fates. Although most generic or core components of the
various plant small RNA pathways have been likely identified over the past 15
years, factors involved in RNAi regulation through post-translational
modifications are just starting to emerge, mostly through forward genetic
studies. A genetic screen designed to identify factors required for RNAi in
Arabidopsis identified the serine/threonine protein kinase, TOUSLED (TSL).
Mutations in TSL affect exogenous and virus-derived siRNA activity in a manner
dependent upon its kinase activity. By contrast, despite their pleiotropic
developmental phenotype, tsl mutants show no defect in biogenesis or activity of
miRNA or endogenous trans-acting siRNA. These data suggest a possible role for
TSL phosphorylation in the specific regulation of exogenous and antiviral RNA
silencing in Arabidopsis and identify TSL as an intrinsic regulator of RNA
interference.
PMID- 24920831
TI - Detection and characterization of spacer integration intermediates in type I-E
CRISPR-Cas system.
AB - The adaptation against foreign nucleic acids by the CRISPR-Cas system (Clustered
Regularly Interspaced Short Palindromic Repeats and CRISPR-associated proteins)
depends on the insertion of foreign nucleic acid-derived sequences into the
CRISPR array as novel spacers by still unknown mechanism. We identified and
characterized in Escherichia coli intermediate states of spacer integration and
mapped the integration site at the chromosomal CRISPR array in vivo. The results
show that the insertion of new spacers occurs by site-specific nicking at both
strands of the leader proximal repeat in a staggered way and is accompanied by
joining of the resulting 5'-ends of the repeat strands with the 3'-ends of the
incoming spacer. This concerted cleavage-ligation reaction depends on the metal
binding center of Cas1 protein and requires the presence of Cas2. By acquisition
assays using plasmid-located CRISPR array with mutated repeat sequences, we
demonstrate that the primary sequence of the first repeat is crucial for cleavage
of the CRISPR array and the ligation of new spacer DNA.
PMID- 24920833
TI - Metabolic suppression in mammalian hibernation: the role of mitochondria.
AB - Hibernation evolved in some small mammals that live in cold environments,
presumably to conserve energy when food supplies are low. Throughout the winter,
hibernators cycle spontaneously between torpor, with low metabolism and near
freezing body temperatures, and euthermia, with high metabolism and body
temperatures near 37 degrees C. Understanding the mechanisms underlying this
natural model of extreme metabolic plasticity is important for fundamental and
applied science. During entrance into torpor, reductions in metabolic rate begin
before body temperatures fall, even when thermogenesis is not active, suggesting
active mechanisms of metabolic suppression, rather than passive thermal effects.
Mitochondrial respiration is suppressed during torpor, especially when measured
in liver mitochondria fuelled with succinate at 37 degrees C in vitro. This
suppression of mitochondrial metabolism appears to be invoked quickly during
entrance into torpor when body temperature is high, but is reversed slowly during
arousal when body temperature is low. This pattern may reflect body temperature
sensitive, enzyme-mediated post-translational modifications of oxidative
phosphorylation complexes, for instance by phosphorylation or acetylation.
PMID- 24920832
TI - GtrS and GltR form a two-component system: the central role of 2-ketogluconate in
the expression of exotoxin A and glucose catabolic enzymes in Pseudomonas
aeruginosa.
AB - In the human pathogen Pseudomonas aeruginosa, the GltR regulator is required for
glucose transport, whereas GtrS is a sensor kinase that plays a key role in
mediating bacteria-host interaction and pathogen dissemination in the host. We
show that GtrS and GltR form a two-component system that regulates the expression
from the promoters Pedd/gap-1, PoprB and Pglk, which control the expression of
genes involved in glucose metabolism and transport. In addition, the GtrS/GltR
pair regulates the expression of toxA that encodes exotoxin A, the primary
virulence factor. Microcalorimetry-based ligand screening of the recombinant GtrS
ligand-binding domain revealed specific binding of 2-ketogluconate (2-KG) (KD=5
MUM) and 6-phosphogluconate (KD=98 MUM). These effectors accelerate GtrS
autophosphorylation, with concomitant transphosphorylation of GltR leading to a
three-fold increase in transcription. Surprisingly, in vivo a similar increase in
expression from the above promoters was observed for the mutant deficient in GltR
regardless of the presence of effectors. The GltR operator site was found to
contain the consensus sequence 5'-tgGTTTTTc-3'. We propose that 2-KG is a key
metabolite in the stringent transcriptional control of genes involved in
virulence and glucose metabolism. We show that GltR is a transcriptional
repressor that is released from DNA upon phosphorylation.
PMID- 24920834
TI - Energetic demands of immature sea otters from birth to weaning: implications for
maternal costs, reproductive behavior and population-level trends.
AB - Sea otters (Enhydra lutris) have the highest mass-specific metabolic rate of any
marine mammal, which is superimposed on the inherently high costs of reproduction
and lactation in adult females. These combined energetic demands have been
implicated in the poor body condition and increased mortality of female sea
otters nearing the end of lactation along the central California coast. However,
the cost of lactation is unknown and currently cannot be directly measured for
this marine species in the wild. Here, we quantified the energetic demands of
immature sea otters across five developmental stages as a means of assessing the
underlying energetic challenges associated with pup rearing that may contribute
to poor maternal condition. Activity-specific metabolic rates, daily activity
budgets and field metabolic rates (FMR) were determined for each developmental
stage. Mean FMR of pre-molt pups was 2.29 +/- 0.81 MJ day(-1) and increased to
6.16 +/- 2.46 and 7.41 +/- 3.17 MJ day(-1) in post-molt pups and dependent
immature animals, respectively. Consequently, daily energy demands of adult
females increase 17% by 3 weeks postpartum and continue increasing to 96% above
pre-pregnancy levels by the average age of weaning. Our results suggest that the
energetics of pup rearing superimposed on small body size, marine living and
limited on-board energetic reserves conspire to make female sea otters
exceptionally vulnerable to energetic shortfalls. By controlling individual
fitness, maternal behavior and pup provisioning strategies, this underlying
metabolic challenge appears to be a major factor influencing current population
trends in southern sea otters (Enhydra lutris nereis).
PMID- 24920835
TI - Generalization and discrimination tasks yield concordant measures of perceived
distance between odours and their binary mixtures in larval Drosophila.
AB - Similarity between odours is notoriously difficult to measure. Widely used
behavioural approaches in insect olfaction research are cross-adaptation,
masking, as well as associative tasks based on olfactory learning and the
subsequent testing for how specific the established memory is. A concern with
such memory-based approaches is that the learning process required to establish
an odour memory may alter the way the odour is processed, such that measures of
perception taken at the test are distorted. The present study was therefore
designed to see whether behavioural judgements of perceptual distance are
different for two different memory-based tasks, namely generalization and
discrimination. We used odour-reward learning in larval Drosophila as a study
case. In order to challenge the larvae's olfactory system, we chose to work with
binary mixtures and their elements (1-octanol, n-amyl acetate, 3-octanol,
benzaldehyde and hexyl acetate). We determined the perceptual distance between
each mixture and its elements, first in a generalization task, and then in a
discrimination task. It turns out that scores of perceptual distance are
correlated between both tasks. A re-analysis of published studies looking at
element-to-element perceptual distances in larval reward learning and in adult
punishment learning confirms this result. We therefore suggest that across a
given set of olfactory stimuli, associative training does not grossly alter the
pattern of perceptual distances.
PMID- 24920836
TI - Fire ants actively control spacing and orientation within self-assemblages.
AB - To overcome obstacles and survive harsh environments, fire ants link their bodies
together to form self-assemblages such as rafts, bridges and bivouacs. Such
structures are examples of self-assembling and self-healing materials, as ants
can quickly create and break links with one another in response to changes in
their environment. Because ants are opaque, the arrangement of the ants within
these three-dimensional networks was previously unknown. In this experimental
study, we applied micro-scale computed tomography, or micro-CT, to visualize the
connectivity, arrangement and orientation of ants within an assemblage. We
identified active and geometric mechanisms that ants use to obtain favorable
packing properties with respect to well-studied packing of inert objects such as
cylinders. Ants use their legs to push against their neighbors, doubling their
spacing relative to random packing of cylinders. These legs also permit active
control of their orientation, an ability ants use to arrange themselves
perpendicularly rather than in parallel. Lastly, we found an important role of
ant polymorphism in promoting self-aggregation: a large distribution of ant sizes
permits small ants to fit between the legs of larger ants, a phenomenon that
increases the number of average connections per ant. These combined mechanisms
lead to low packing fraction and high connectivity, which increase raft buoyancy
and strength during flash floods.
PMID- 24920837
TI - Paradox of the drinking-straw model of the butterfly proboscis.
AB - Fluid-feeding Lepidoptera use an elongated proboscis, conventionally modeled as a
drinking straw, to feed from pools and films of liquid. Using the monarch
butterfly, Danaus plexippus (Linnaeus), we show that the inherent structural
features of the lepidopteran proboscis contradict the basic assumptions of the
drinking-straw model. By experimentally characterizing permeability and flow in
the proboscis, we show that tapering of the food canal in the drinking region
increases resistance, significantly hindering the flow of fluid. The calculated
pressure differential required for a suction pump to support flow along the
entire proboscis is greater than 1 atm (~101 kPa) when the butterfly feeds from a
pool of liquid. We suggest that behavioral strategies employed by butterflies and
moths can resolve this paradoxical pressure anomaly. Butterflies can alter the
taper, the interlegular spacing and the terminal opening of the food canal,
thereby controlling fluid entry and flow, by splaying the galeal tips apart,
sliding the galeae along one another, pulsing hemolymph into each galeal lumen,
and pressing the proboscis against a substrate. Thus, although physical
construction of the proboscis limits its mechanical capabilities, its
functionality can be modified and enhanced by behavioral strategies.
PMID- 24920838
TI - Water surface locomotion in tropical canopy ants.
AB - Upon falling onto the water surface, most terrestrial arthropods helplessly
struggle and are quickly eaten by aquatic predators. Exceptions to this outcome
mostly occur among riparian taxa that escape by walking or swimming at the water
surface. Here we document sustained, directional, neustonic locomotion (i.e.
surface swimming) in tropical arboreal ants. We dropped 35 species of ants into
natural and artificial aquatic settings in Peru and Panama to assess their
swimming ability. Ten species showed directed surface swimming at speeds >3 body
lengths s(-1), with some swimming at absolute speeds >10 cm s(-1). Ten other
species exhibited partial swimming ability characterized by relatively slow but
directed movement. The remaining species showed no locomotory control at the
surface. The phylogenetic distribution of swimming among ant genera indicates
parallel evolution and a trend toward negative association with directed aerial
descent behavior. Experiments with workers of Odontomachus bauri showed that they
escape from the water by directing their swimming toward dark emergent objects
(i.e. skototaxis). Analyses of high-speed video images indicate that Pachycondyla
spp. and O. bauri use a modified alternating tripod gait when swimming; they
generate thrust at the water surface via synchronized treading and rowing motions
of the contralateral fore and mid legs, respectively, while the hind legs provide
roll stability. These results expand the list of facultatively neustonic
terrestrial taxa to include various species of tropical arboreal ants.
PMID- 24920841
TI - Rituximab for minimal-change nephrotic syndrome in adulthood: predictive factors
for response, long-term outcomes and tolerance.
AB - BACKGROUND: Minimal-change nephrotic syndrome (MCNS) is a common cause of steroid
sensitive nephrotic syndrome (NS) with frequent relapse. Although steroids and
calcineurin inhibitors (CNIs) are the cornerstone treatments, the use of
rituximab (RTX), a monoclonal antibody targeting B cells, is an efficient and
safe alternative in childhood. METHODS: Because data from adults remain sparse,
we conducted a large retrospective and multicentric study that included 41 adults
with MCNS and receiving RTX. RESULTS: Complete (NS remission and withdrawal of
all immunosuppressants) and partial (NS remission and withdrawal of at least one
immunosuppressants) clinical responses were obtained for 25 and 7 patients,
respectively (overall response 78%), including 3 patients that only received RTX
and had a complete clinical response. After a follow-up time of 39 months (6-71),
relapses occurred in 18 responder patients [56%, median time 18 months (3-36)].
Seventeen of these received a second course of RTX and then had a complete (n =
13) or partial (n = 4) clinical response. From multivariate analysis, on-going
mycophenolate mofetil (MMF) therapy at the time of RTX was the only predictive
factor for RTX failure [HR = 0.07 95% CI (0.01-0.04), P = 0.003]. Interestingly,
nine patients were still in remission at 14 months (3-36) after B-cell recovery.
No significant early or late adverse event occurred after RTX therapy.
CONCLUSIONS: RTX is safe and effective in adult patients with MCNS and could be
an alternative to steroids or CNIs in patients with a long history of relapsing
MCNS.
PMID- 24920842
TI - A new clinical multivariable model that predicts postoperative acute kidney
injury: impact of endogenous ouabain.
AB - BACKGROUND: Acute kidney injury (AKI) is an important complication of cardiac
surgery. Recently, elevated levels of endogenous ouabain (EO), an adrenal stress
hormone with haemodynamic and renal effects, have been associated with worse
renal outcome after cardiac surgery. Our aim was to develop and evaluate a new
risk model of AKI using simple preoperative clinical parameters and to
investigate the utility of EO. METHODS: The primary outcome was AKI according to
Acute Kidney Injury Network stage II or III. We selected the Northern New England
Cardiovascular Disease Study Group (NNECDSG) as a reference model. We built a new
internal predictive risk model considering common clinical variables (CLIN-RISK),
compared this model with the NNECDSG model and determined whether the addition of
preoperative plasma EO improved prediction of AKI. RESULTS: All models were
tested on >800 patients admitted for elective cardiac surgery in our hospital.
Seventy-nine patients developed AKI (9.9%). Preoperative EO levels were strongly
associated with the incidence of AKI and clinical complication (total ICU stay
and in-hospital mortality). The NNECDSG model was confirmed as a good predictor
of AKI (AUC 0.74, comparable to the NNECDSG reference population). Our CLIN-RISK
model had improved predictive power for AKI (AUC 0.79, CI 95% 0.73-0.84).
Furthermore, addition of preoperative EO levels to both clinical models improved
AUC to 0.79 and to 0.83, respectively (DeltaAUC +0.05 and +0.04, respectively, P
< 0.01). CONCLUSION: In a population where the predictive power of the NNECDSG
model was confirmed, CLIN-RISK was more powerful. Both clinical models were
further improved by the addition of preoperative plasma EO levels. These new
models provide improved predictability of the relative risk for the development
of AKI following cardiac surgery and suggest that EO is a marker for renal
vascular injury.
PMID- 24920843
TI - IL-17 promotes murine lupus.
AB - The proinflammatory activity of IL-17-producing Th17 cells has been associated
with the pathogenesis of several autoimmune diseases. In this article, we provide
direct evidence for a role of IL-17 in the pathogenesis of systemic lupus
erythematosus (SLE). The induction of SLE by pristane in IL-17-sufficient wild
type mice did not occur in IL-17-deficient mice, which were protected from
development of lupus autoantibodies and glomerulonephritis. The protection from
SLE in IL-17-deficient mice was associated with a reduced frequency of CD3(+)CD4(
)CD8(-) double-negative T cells and an expansion of CD4(+) regulatory T cells,
and did not depend on Stat-1 signaling. These data affirm the key role of IL-17
in the pathogenesis of SLE and strengthen the support for IL-17 blockade in the
therapy of SLE.
PMID- 24920844
TI - Id1 expression promotes T regulatory cell differentiation by facilitating TCR
costimulation.
AB - T regulatory (Treg) cells play crucial roles in the regulation of cellular
immunity. The development of Treg cells depends on signals from TCRs and IL-2Rs
and is influenced by a variety of transcription factors. The basic helix-loop
helix proteins are known to influence TCR signaling thresholds. Whether this
property impacts Treg differentiation is not understood. In this study, we
interrogated the role of basic helix-loop-helix proteins in the production of
Treg cells using the CD4 promoter-driven Id1 transgene. We found that Treg cells
continued to accumulate as Id1 transgenic mice aged, resulting in a significant
increase in Treg cell counts in the thymus as well as in the periphery compared
with wild-type controls. Data from mixed bone marrow assays suggest that Id1 acts
intrinsically on developing Treg cells. We made a connection between Id1
expression and CD28 costimulatory signaling because Id1 transgene expression
facilitated the formation of Treg precursors in CD28(-/-) mice and the in vitro
differentiation of Treg cells on thymic dendritic cells despite the blockade of
costimulation by anti-CD80/CD86. Id1 expression also allowed in vitro Treg
differentiation without anti-CD28 costimulation, which was at least in part due
to enhanced production of IL-2. Notably, with full strength of costimulatory
signals, however, Id1 expression caused modest but significant suppression of
Treg induction. Finally, we demonstrate that Id1 transgenic mice were less
susceptible to the induction of experimental autoimmune encephalomyelitis, thus
illustrating the impact of Id1-mediated augmentation of Treg cell levels on
cellular immunity.
PMID- 24920845
TI - Elevated levels of mast cells are involved in pruritus associated with
polycythemia vera in JAK2V617F transgenic mice.
AB - Pruritus occurs frequently in patients with polycythemia vera (PV), and the
pathophysiology of PV-associated pruritus is unclear. We have previously
demonstrated that transgenic mice expressing JAK2V617F displayed clear PV-like
phenotypes. In the current study, we found frequent occurrence of pruritus with
aged JAK2V617F transgenic mice and further investigated the underlying mechanisms
by studying mast cells, key players in allergic reactions and anaphylaxis.
Massive accumulations of mast cells were observed in the skin of pruritic
JAK2V617F transgenic mice. In vitro culture yielded much higher mast cell counts
from the bone marrow, spleen, peripheral blood, and peritoneal cavity of
JAK2V617F transgenic mice than from controls. Cultured mast cells from JAK2V617F
transgenic mice exhibited enhanced proliferative signals, relative resistance to
cell death upon growth factor deprivation, and a growth advantage over control
cells under suboptimal growth conditions. However, these mast cells displayed
normal morphology and contained normal levels of mast cell proteases before and
after degranulation. Finally, the JAK2 inhibitor G6 effectively reduced mast cell
numbers and alleviated pruritus in JAK2V617F transgenic mice. Collectively, these
data demonstrate that mast cells are involved in PV-associated pruritogenesis and
that JAK2 inhibitors are potential antipruritus drugs.
PMID- 24920847
TI - Small strokes causing severe vertigo: frequency of false-negative MRIs and
nonlacunar mechanisms.
AB - OBJECTIVE: Describe characteristics of small strokes causing acute vestibular
syndrome (AVS). METHODS: Ambispective cross-sectional study of patients with AVS
(acute vertigo or dizziness, nystagmus, nausea/vomiting, head-motion intolerance,
unsteady gait) with at least one stroke risk factor from 1999 to 2011 at a single
stroke referral center. Patients underwent nonquantitative HINTS "plus"
examination (head impulse, nystagmus, test-of-skew plus hearing), neuroimaging to
confirm diagnoses (97% by MRI), and repeat MRI in those with initially normal
imaging but clinical signs of a central lesion. We identified patients with
diffusion-weighted imaging (DWI) strokes <=10 mm in axial diameter. RESULTS: Of
190 high-risk AVS presentations (105 strokes), we found small strokes in 15
patients (median age 64 years, range 41-85). The most common vestibular structure
infarcted was the inferior cerebellar peduncle (73%); the most common stroke
location was the lateral medulla (60%). Focal neurologic signs were present in
only 27%. The HINTS "plus" battery identified small strokes with greater
sensitivity than early MRI-DWI (100% vs 47%, p < 0.001). False-negative initial
MRIs (6-48 hours) were more common with small strokes than large strokes (53% [n
= 8/15] vs 7.8% [n = 7/90], p < 0.001). Nonlacunar stroke mechanisms were
responsible in 47%, including 6 vertebral artery occlusions or dissections.
CONCLUSIONS: Small strokes affecting central vestibular projections can present
with isolated AVS. The HINTS "plus" hearing battery identifies these patients
with greater accuracy than early MRI-DWI, which is falsely negative in half, up
to 48 hours after onset. We found nonlacunar mechanisms in half, suggesting
greater risk than might otherwise be assumed for patients with such small
infarctions.
PMID- 24920849
TI - Dopamine-dependent functional connectivity in Parkinson disease: a resting-state
diagnosis?
PMID- 24920846
TI - Cellular stress amplifies TLR3/4-induced CXCL1/2 gene transcription in
mononuclear phagocytes via RIPK1.
AB - The impact of environmental stressors on the magnitude of specific chemokine gene
expression was examined in mouse bone marrow-derived macrophages stimulated
through various TLRs. Levels of TLR-stimulated CXCL1 and CXCL2 but not CXCL10 or
CCL5 mRNAs were selectively enhanced (>10-fold) in stressed macrophages. The
amplification was also manifested for other proinflammatory cytokines, including
TNF-alpha, IL-1alpha, and IL-6. Responses through TLR3 and TLR4 exhibited the
greatest sensitivity, reflecting a requirement for Toll/IL-IR domain-containing
adaptor-inducing IFN-beta (TRIF), the adaptor protein selectively associated with
these TLRs. IFN regulatory factor 3, a transcription factor that is downstream of
TLR4/TRIF signaling, was not required for sensitivity to stress-induced chemokine
amplification. c/EBP homologous protein and X box binding protein 1 have been
reported to enhance inflammatory cytokine responses but are not required for
amplification of TLR3/4-induced CXCL1 expression. Rather, receptor-interacting
protein kinase 1, a kinase also linked with TLR3/4/TRIF signaling, is required
and involves a stress-dependent increase in its abundance and ubiquitination.
Whereas NF-kappaB activation is necessary for TLR-induced chemokine gene
transcription, this factor does not appear to be the primary mechanistic target
of environmental stress. The application of stress also enhanced chemokine
expression in macrophages infiltrating the peritoneal cavity but was not observed
in the resident peritoneal cells or in the liver. These findings identify novel
mechanisms for modulating the magnitude and duration of selective TLR-induced
chemokine and cytokine gene expression and further establish the importance of
cell stress pathways in coordinating the outcomes of cellular and tissue injury.
PMID- 24920848
TI - Antibodies to single glycolipids and glycolipid complexes in Guillain-Barre
syndrome subtypes.
AB - OBJECTIVE: To comprehensively investigate the relationship between antibodies to
single glycolipids and their complexes and Guillain-Barre syndrome subtypes and
clinical features. METHODS: In acute sera from 199 patients with Guillain-Barre
syndrome, immunoglobulin G (IgG) antibodies to glycolipids and ganglioside
complexes were tested using ELISA against individual antigens from single
glycolipids including gangliosides (LM1, GM1, GM1b, GD1a, GalNAc-GD1a, GD1b,
GT1a, GT1b, GQ1b) and a neutral glycolipid, asialo-GM1 (GA1), and antigens from
the combination of 2 different glycolipids. Based on serial nerve conduction
studies, the electrodiagnoses were as follows: 69 demyelinating subtype, 85
axonal subtypes, and 45 unclassified. RESULTS: Significant associations were
detected between acute motor axonal neuropathy subtype and IgG antibodies to GM1,
GalNAc-GD1a, GA1, or LM1/GA1 complex. Reversible conduction failure was
significantly associated with IgG antibodies to GM1, GalNAc-GD1a, GD1b, or
complex of LM1/GA1. No significant association was demonstrated between acute
inflammatory demyelinating polyneuropathy and any of the glycolipids or
ganglioside complexes. Anti-ganglioside complex antibodies alone were detected in
7 patients (5 axonal subtype). CONCLUSIONS: The current study demonstrates that
antibodies to single glycolipids and ganglioside complexes are associated with
acute motor axonal neuropathy or acute motor conduction block neuropathy but not
acute inflammatory demyelinating polyneuropathy. CLASSIFICATION OF EVIDENCE: This
study provides Class II evidence that antibodies to glycolipids are increased in
patients with acute motor axonal neuropathy and acute motor conduction block
neuropathy but not acute inflammatory demyelinating polyneuropathy.
PMID- 24920851
TI - Dietary protein and stroke prevention: is the Eskimo diet the answer to avoid
stroke?
PMID- 24920850
TI - Early developmental trajectories associated with ASD in infants with tuberous
sclerosis complex.
AB - OBJECTIVE: We performed a longitudinal cohort study of infants with tuberous
sclerosis complex (TSC), with the overarching goal of defining early clinical,
behavioral, and biological markers of autism spectrum disorder (ASD) in this high
risk population. METHODS: Infants with TSC and typically developing controls were
recruited as early as 3 months of age and followed longitudinally until 36 months
of age. Data gathered at each time point included detailed seizure history,
developmental testing using the Mullen Scales of Early Learning, and social
communication assessments using the Autism Observation Scale for Infants. At 18
to 36 months, a diagnostic evaluation for ASD was performed using the Autism
Diagnostic Observation Schedule. RESULTS: Infants with TSC demonstrated delays
confined to nonverbal abilities, particularly in the visual domain, which then
generalized to more global delays by age 9 months. Twenty-two of 40 infants with
TSC were diagnosed with ASD. Both 12-month cognitive ability and developmental
trajectories over the second and third years of life differentiated the groups.
By 12 months of age, the ASD group demonstrated significantly greater cognitive
delays and a significant decline in nonverbal IQ from 12 to 36 months.
CONCLUSIONS: This prospective study characterizes early developmental markers of
ASD in infants with TSC. The early delay in visual reception and fine motor
ability in the TSC group as a whole, coupled with the decline in nonverbal
ability in infants diagnosed with ASD, suggests a domain-specific pathway to ASD
that can inform more targeted interventions for these high-risk infants.
PMID- 24920852
TI - B-cell depletion in children with neuroimmunologic conditions: the learning
curve.
PMID- 24920853
TI - Alemtuzumab and multiple sclerosis: is it safe?
PMID- 24920854
TI - Alemtuzumab: the advantages and challenges of a novel therapy in MS.
AB - Our understanding of the pathogenesis of multiple sclerosis has increased
considerably, leading to the development of novel therapeutic approaches and
compounds. Several agents have undergone clinical testing and have recently
received market authorization or are being evaluated for approval. Alemtuzumab is
a humanized monoclonal antibody that rapidly depletes CD52+ cells of the lymphoid
lineage from peripheral blood, but spares lymphoid precursor cells. Clinical
efficacy and safety data from clinical phase II and III trials-all using
interferon-beta-1a as active comparator-are summarized and placed in perspective.
This review further analyzes the differential reconstitution of T and B cells as
a potential mode of action and the pathogenic link to treatment-emergent
secondary autoimmune conditions. Given recent positive opinions by regulatory
agencies, this new drug will be positioned for the treatment of active relapsing
remitting multiple sclerosis and enlarge our therapeutic armamentarium.
PMID- 24920855
TI - Quantitative analysis of dietary protein intake and stroke risk.
AB - OBJECTIVE: To perform a meta-analysis of prospective studies to evaluate the
relation between dietary protein intake and stroke risk. METHODS: Relevant
studies were identified by searching PubMed and Embase through November 2013, and
by reviewing the reference lists of retrieved articles. We included prospective
cohort studies that reported relative risks (RRs) with 95% confidence intervals
(CIs) for the association between dietary protein intake and stroke risk.
RESULTS: The meta-analysis included 7 prospective studies involving 254,489
participants. The pooled RR of stroke for the highest compared with the lowest
dietary protein intake was 0.80 (95% CI 0.66-0.99). Dose-response analysis
indicated that a 20-g/d increment in dietary protein intake was associated with a
26% reduction in stroke risk. Stratifying by protein type, the RR of stroke for
animal protein was 0.71 (95% CI 0.50-0.99). Sensitivity analysis restricted to
studies with control for common risk factors yielded similar results, and
omission of any single study did not change the overall result. CONCLUSION: These
findings suggest that moderate dietary protein intake may lower the risk of
stroke.
PMID- 24920856
TI - Functional connectivity in the basal ganglia network differentiates PD patients
from controls.
AB - OBJECTIVE: To examine functional connectivity within the basal ganglia network
(BGN) in a group of cognitively normal patients with early Parkinson disease (PD)
on and off medication compared to age- and sex-matched healthy controls (HC), and
to validate the findings in a separate cohort of participants with PD. METHODS:
Participants were scanned with resting-state fMRI (RS-fMRI) at 3T field strength.
Resting-state networks were isolated using independent component analysis. A BGN
template was derived from 80 elderly HC participants. BGN maps were compared
between 19 patients with PD on and off medication in the discovery group and 19
age- and sex-matched controls to identify a threshold for optimal group
separation. The threshold was applied to 13 patients with PD (including 5 drug
naive) in the validation group to establish reproducibility of findings. RESULTS:
Participants with PD showed reduced functional connectivity with the BGN in a
wide range of areas. Administration of medication significantly improved
connectivity. Average BGN connectivity differentiated participants with PD from
controls with 100% sensitivity and 89.5% specificity. The connectivity threshold
was tested on the validation cohort and achieved 85% accuracy. CONCLUSIONS: We
demonstrate that resting functional connectivity, measured with MRI using an
observer-independent method, is reproducibly reduced in the BGN in cognitively
intact patients with PD, and increases upon administration of dopaminergic
medication. Our results hold promise for RS-fMRI connectivity as a biomarker in
early PD. CLASSIFICATION OF EVIDENCE: This study provides Class III evidence that
average connectivity in the BGN as measured by RS-fMRI distinguishes patients
with PD from age- and sex-matched controls.
PMID- 24920857
TI - Microinfarct disruption of white matter structure: a longitudinal diffusion
tensor analysis.
AB - OBJECTIVE: To evaluate the local effect of small asymptomatic infarctions
detected by diffusion-weighted imaging (DWI) on white matter microstructure using
longitudinal structural and diffusion tensor imaging (DTI). METHODS: Nine acute
to subacute DWI lesions were identified in 6 subjects with probable cerebral
amyloid angiopathy who had undergone high-resolution MRI both before and after
DWI lesion detection. Regions of interest (ROIs) corresponding to the site of the
DWI lesion (lesion ROI) and corresponding site in the nonlesioned contralateral
hemisphere (control ROI) were coregistered to the pre- and postlesional scans.
DTI tractography was additionally performed to reconstruct the white matter
tracts containing the ROIs. DTI parameters (fractional anisotropy [FA], mean
diffusivity [MD]) were quantified within each ROI, the 6-mm lesion-containing
tract segments, and the entire lesion-containing tract bundle. Lesion/control FA
and MD ratios were compared across time points. RESULTS: The postlesional scans
(performed a mean 7.1 +/- 4.7 months after DWI lesion detection) demonstrated a
decrease in median FA lesion/control ROI ratio (1.08 to 0.93, p = 0.038) and
increase in median MD lesion/control ROI ratio (0.97 to 1.17, p = 0.015) relative
to the prelesional scans. There were no visible changes on postlesional high
resolution T1-weighted and fluid-attenuated inversion recovery images in 4 of 9
lesion ROIs and small (2-5 mm) T1 hypointensities in the remaining 5. No
postlesional changes in FA or MD ratios were detected in the 6-mm lesion
containing tract segments or full tract bundles. CONCLUSIONS: Asymptomatic DWI
lesions produce chronic local microstructural injury. The cumulative effects of
these widely distributed lesions may directly contribute to small-vessel-related
vascular cognitive impairment.
PMID- 24920859
TI - Ranvier revisited: novel nodal antigens stimulate interest in GBS pathogenesis.
PMID- 24920858
TI - Moesin is a possible target molecule for cytomegalovirus-related Guillain-Barre
syndrome.
AB - OBJECTIVE: Previous histochemical studies in the demyelinating form of Guillain
Barre syndrome (GBS), acute inflammatory demyelinating polyneuropathy (AIDP),
have shown complement deposition on the surface of Schwann cells, and therefore
unknown epitopes would be present on the outer surface of Schwann cells. METHODS:
We used a proteomic-based approach to search for the target molecules of AIDP in
the extracted proteins from schwannoma cells. Sera were obtained from 40 patients
with GBS, 31 controls with inflammatory disease, and 46 normal controls. RESULTS:
We found that patients with AIDP after cytomegalovirus (CMV) infection have serum
autoantibodies against membrane-organizing extension spike protein (moesin),
which is expressed in the Schwann cell processes at the nodes of Ranvier and is
crucial for myelination. Of the 40 patients with GBS, 6 had recent CMV infection
and 5 of them (83%) had high levels of serum immunoglobulin G antibodies against
moesin. The anti-moesin antibodies were found in none of the control subjects
with disease including 5 with CMV infection but no neuropathy, and only 2 (4%) of
the 46 normal control subjects. Immunocytochemistry showed that moesin was
stained at the distal tips of schwannoma cells by sera from the patients with CMV
related AIDP but not by sera from controls. CONCLUSION: Moesin is a possible
immunologic target molecule of pathogenic autoantibodies in patients with CMV
related AIDP. CLASSIFICATION OF EVIDENCE: This study provides Class II evidence
that levels of serum anti-moesin antibodies accurately distinguishes CMV-related
AIDP from non-CMV-related AIDP (sensitivity 83%, specificity 93%).
PMID- 24920860
TI - Comment: EEGs not likely helpful for behavioral assessments in Smith-Lemli-Opitz.
PMID- 24920863
TI - The DNA Data Deluge: Fast, efficient genome sequencing machines are spewing out
more data than geneticists can analyze.
PMID- 24920861
TI - Utility and safety of rituximab in pediatric autoimmune and inflammatory CNS
disease.
AB - OBJECTIVE: To assess the utility and safety of rituximab in pediatric autoimmune
and inflammatory disorders of the CNS. METHODS: Multicenter retrospective study.
RESULTS: A total of 144 children and adolescents (median age 8 years, range 0.7
17; 103 female) with NMDA receptor (NMDAR) encephalitis (n = 39), opsoclonus
myoclonus ataxia syndrome (n = 32), neuromyelitis optica spectrum disorders (n =
20), neuropsychiatric systemic lupus erythematosus (n = 18), and other
neuroinflammatory disorders (n = 35) were studied. Rituximab was given after a
median duration of disease of 0.5 years (range 0.05-9.5 years). Infusion adverse
events were recorded in 18/144 (12.5%), including grade 4 (anaphylaxis) in 3.
Eleven patients (7.6%) had an infectious adverse event (AE), including 2 with
grade 5 (death) and 2 with grade 4 (disabling) infectious AE (median follow-up of
1.65 years [range 0.1-8.5]). No patients developed progressive multifocal
leukoencephalopathy. A definite, probable, or possible benefit was reported in
125 of 144 (87%) patients. A total of 17.4% of patients had a modified Rankin
Scale (mRS) score of 0-2 at rituximab initiation, compared to 73.9% at outcome.
The change in mRS 0-2 was greater in patients given rituximab early in their
disease course compared to those treated later. CONCLUSION: While limited by the
retrospective nature of this analysis, our data support an off-label use of
rituximab, although the significant risk of infectious complications suggests
rituximab should be restricted to disorders with significant morbidity and
mortality. CLASSIFICATION OF EVIDENCE: This study provides Class IV evidence that
in pediatric autoimmune and inflammatory CNS disorders, rituximab improves
neurologic outcomes with a 7.6% risk of adverse infections.
PMID- 24920862
TI - Variations in EEG discharges predict ADHD severity within individual Smith-Lemli
Opitz patients.
AB - OBJECTIVE: We sought to examine the prevalence of EEG abnormalities in Smith
Lemli-Opitz syndrome (SLOS) as well as the relationship between interictal
epileptiform discharges (IEDs) and within-subject variations in attentional
symptom severity. METHODS: In the context of a clinical trial for SLOS, we
performed cross-sectional and repeated-measure observational studies of the
relationship between EEG findings and cognitive/behavioral factors on 23 children
(aged 4-17 years). EEGs were reviewed for clinical abnormalities, including IEDs,
by readers blinded to participants' behavioral symptoms. Between-group
differences in baseline characteristics of participants with and without IEDs
were analyzed. Within-subject analyses examined the association between the
presence of IEDs and changes in attention-deficit/hyperactivity disorder (ADHD)
symptoms. RESULTS: Of 85 EEGs, 43 (51%) were abnormal, predominantly because of
IEDs. Only one subject had documented clinical seizures. IEDs clustered in 13
subjects (57%), whereas 9 subjects (39%) had EEGs consistently free of IEDs.
While there were no significant group differences in sex, age, intellectual
disability, language level, or baseline ADHD symptoms, autistic symptoms tended
to be more prevalent in the "IED" group (according to Autism Diagnostic
Observation Schedule-2 criteria). Within individuals, the presence of IEDs on a
particular EEG predicted, on average, a 27% increase in ADHD symptom severity.
CONCLUSIONS: Epileptiform discharges are common in SLOS, despite a relatively low
prevalence of epilepsy. Fluctuations in the presence of epileptiform discharges
within individual children with a developmental disability syndrome may be
associated with fluctuations in ADHD symptomatology, even in the absence of
clinical seizures.
PMID- 24920864
TI - Reflections on the Dimensions of Segregation.
PMID- 24920865
TI - Tracking the Evil Eye: Trait Anger and Selective Attention within Ambiguously
Hostile Scenes.
AB - Previous research has shown that trait anger is associated with biases in
attention and interpretation, but the temporal relation between these two types
of biases remains unresolved. Indeed, two very different models can be derived
from the literature. One model proposes that interpretation biases emerge from
earlier biases in attention, whereas the other model proposes that hostile
interpretations occur quickly, even prior to the allocation of attention to
specific cues. Within the context of integrated visual scenes of ambiguously
intended harm, the two models make opposite predictions that can be examined
using an eye-tracking methodology. The present study (N = 45) therefore tracked
participants' allocation of attention to hostile and non-hostile cues in
ambiguous visual scenes, and found support for the idea that high anger
individuals make early hostile interpretations prior to encoding hostile and non
hostiles cues. The data are important in understanding associations between trait
anger and cognitive biases.
PMID- 24920866
TI - Parameter estimation in stochastic chemical kinetic models using derivative free
optimization and bootstrapping.
AB - Recent years have seen increasing popularity of stochastic chemical kinetic
models due to their ability to explain and model several critical biological
phenomena. Several developments in high resolution fluorescence microscopy have
enabled researchers to obtain protein and mRNA data on the single cell level. The
availability of these data along with the knowledge that the system is governed
by a stochastic chemical kinetic model leads to the problem of parameter
estimation. This paper develops a new method of parameter estimation for
stochastic chemical kinetic models. There are three components of the new method.
First, we propose a new expression for likelihood of the experimental data.
Second, we use sample path optimization along with UOBYQA-Fit, a variant of of
Powell's unconstrained optimization by quadratic approximation, for optimization.
Third, we use a variant of Efron's percentile bootstrapping method to estimate
the confidence regions for the parameter estimates. We apply the parameter
estimation method in an RNA dynamics model of E. coli. We test the parameter
estimates obtained and the confidence regions in this model. The testing of the
parameter estimation method demonstrates the efficiency, reliability, and
accuracy of the new method.
PMID- 24920867
TI - The Use of Europiumstearate to Trace Polyethylene Wear Debris in Joint Fluid
after Prosthetic Joint Replacement - A Feasibility Study.
AB - Ultra-high molecular weight polyethylene (UHMWPE) is the most common counterface
material against metals or ceramics in artificial hip or knee joints. Wear and
the resulting particulate debris, however, limit the life span of the implant. In
this study, the general feasibility of using Europium (Eu) as tracer material to
quantify UHMWPE wear in joint fluid is investigated. Using Inductively Coupled
Mass Spectrometry (ICP-MS), recovery experiments of Eu in artificial joint fluid
were performed. In order to dope polyethylene with 50 ppm Eu, nascent UHMWPE
powder was mixed with a solution of Eu-stearate. The heterogeneity of the mixture
was assessed by determining the coefficient of variation (CV) of the Eu content
in various weighted samples. After molding of the UHMWPE powder mixture,
cylindrical pins of 10 mm diameter were machined and worn against cobalt-chromium
metal disks submersed in artificial joint fluid. The Eu-content of fluid samples
taken at certain time intervals was measured and compared with UHMWPE weight loss
of the pins. A satisfactory homogenization of Eu in the UHMWPE powder was
achieved. Tracer-based and weight-loss determined wear rates were highly
correlated (Pearson correlation coefficients > 0.991). Also the detection bias
was within acceptable limits. Thus both methods demonstrated good agreement.
PMID- 24920868
TI - DF-4 Lead Connector: Innovative Technology, Unexpected Problems and Novel
Solutions.
PMID- 24920869
TI - Extensive Thrombosis Following Lead Extraction: Further Justification for Routine
Post-operative Anticoagulation.
AB - Lead extraction is becoming increasingly common as indications for pacing and ICD
insertion expand. Periop management varies between extraction centers, and no
clinical guidelines have addressed the need for perioperative anticoagulation. We
report a case of massive thrombosis which occurred shortly after laser lead
extraction and is undoubtedly related to the trauma of the extraction and ensuing
hypercoagulabiilty. Routine post-operative anticoagulation has been advocated as
a means to prevent access vein (subclavian) stenosis, but many centres do not
employ a routine post-extraction anticoagulation strategy. Pulmonary embolism
following lead extraction is a known complication of this procedure and late
mortality following lead extraction is a significant and underappreciated
problem. We propose that further research attention should be directed at
addressing the issue of routine post-extraction anticoagulation.
PMID- 24920870
TI - A Novel Solution for the High Defibrillation Threshold in Patients with a DF-4
Lead: Adding a High Voltage Adaptor/Splitter.
AB - A high defibrillation threshold occurs in approximately 6% of implants. The
defibrillation threshold can be improved by addition of a defibrillation lead.
However, the DF-4 high energy ICD header precludes the addition of a
defibrillation lead. Here we report on use of a new high voltage adaptor/splitter
that enables the addition of an extra defibrillation lead.
PMID- 24920871
TI - Left and Right Ventricle Leads Switch as a Solution for TWave Oversensing - How a
Good Idea Turned Out Bad.
AB - A 50-year-old male with a CRT defibrillator received inappropriate ICD shocks due
to T-wave oversensing. Decreasing the sensitivity to avoid T wave oversensing was
not an option due to a suboptimal R-wave sensing amplitude. We decided to re-plug
the LV lead in the RV port and the RV lead in the LV port. This however led to
intermittent phrenic nerve stimulation due to mandatory bipolar (tip-ring) or
unipolar (tip-can) pacing on the LV-lead from the RV port. Re-intervention was
necessary with the implantation of an additional pacing/sensing RV lead. A
software programmable choice to switch sensing and tachycardia detection from RV
to LV lead could be a valuable feature in future CRT devices.
PMID- 24920872
TI - Inappropriate Detection of a Supraventricular Tachycardia as Dual Tachycardia by
the PR LogicTM Algorithm.
AB - Tachycardia detection and therapy algorithms in Implantable Cardioverter
Defibrillators (ICD) reduce, but do not eliminate inappropriate ICD shocks.
Awareness of the pros and cons of a particular algorithm helps to predict its
utility in specific situations. We report a case where PR logicTM, an algorithm
commonly used in currently implanted ICDs to differentiate supraventricular
tachycardia (SVT) from ventricular tachycardia resulted in inappropriate
detection and shock for an SVT, and discuss several solutions to the problem.
PMID- 24920873
TI - CRT-D Implantation Through a Persistent Left Superior Vena Cava.
PMID- 24920874
TI - Magnetic Resonance Imaging of Stroke in the Rat.
AB - Magnetic resonance imaging (MRI) is now a routine neuroimaging tool in the
clinic. Throughout all phases of stroke from acute to chronic, MRI plays an
important role to diagnose, evaluate and monitor the cerebral tissue undergoing
stroke. This review provides a description of various MRI methods and an overview
of selected MRI studies, with an embolic stroke model of rat, performed in the
MRI laboratory of Department of Neurology, Henry Ford Hospital, Detroit,
Michigan, US.
PMID- 24920875
TI - Fixed and Random Effects Selection by REML and Pathwise Coordinate Optimization.
AB - We propose a two-stage model selection procedure for the linear mixed-effects
models. The procedure consists of two steps: First, penalized restricted log
likelihood is used to select the random effects, and this is done by adopting a
Newton-type algorithm. Next, the penalized log-likelihood is used to select the
fixed effects via pathwise coordinate optimization to improve the computation
efficiency. We prove that our procedure has the oracle properties. Both
simulation studies and a real data example are carried out to examine finite
sample performance of the proposed fixed and random effects selection procedure.
Supplementary materials including R code used in this article and proofs for the
theorems are available online.
PMID- 24920876
TI - The role of stigma and uncertainty in moderating the effect of procedural justice
on cooperation and resistance in police encounters with persons with mental
illnesses.
AB - Within social psychology, procedural justice theory has been used to understand
variations in compliance with legal authorities such as police. Thus, it may help
explain variation in cooperation and compliance in encounters between police
officers and people with serious mental illness (SMI), which are often fraught
with difficulty and risk. In this paper, we examine the extent to which
perceptions of procedural justice among persons with SMI are associated with self
reported levels of cooperation and resistance in encounters with police. We also
examine stigma and encounter type as potential moderators of the procedural
justice effect. 154 persons with serious mental illness who reported a police
contact within the past year were interviewed using the newly developed Police
Contact Experience Survey (PCES), which includes questions about the
characteristics of the contact, perceived procedural justice (PPJ) and degree of
cooperation and resistance. Participants also completed the Link Perceived
Devaluation and Discrimination Scale (PDS). Findings suggest that greater PPJ is
associated with more cooperation and less resistance. The effect on cooperation,
however, is moderated by both perceived stigma and the type of encounter. The
direct effect of perceived stigma (PDS) on cooperation was unexpected, with
higher perceived stigma associated with greater cooperation. Findings underline
the importance of both procedurally just treatment in police interactions with
vulnerable individuals and further efforts to reduce the stigma of mental
illness.
PMID- 24920877
TI - Inferior Mesenteric Artery Stenting as a Novel Treatment for Chronic Mesenteric
Ischemia in Patients with an Occluded Superior Mesenteric Artery and Celiac
Trunk.
AB - INTRODUCTION: Chronic mesenteric ischemia (CMI) is a challenging problem, with
revascularization the mainstay of treatment. Management of CMI is especially
challenging in the patient with superior mesenteric artery (SMA) and celiac
artery (CA) occlusions. REPORT: We report a case series of four patients with
chronic mesenteric ischemia who were not candidates for CA or SMA
revascularization who were successfully treated with inferior mesenteric artery
(IMA) angioplasty and stent placement to improve collateral circulation and
palliate symptoms. DISCUSSION: To our knowledge, this is the largest case series
to date reporting the use of an IMA stent to improve collateral circulation in
patients with CMI.
PMID- 24920878
TI - Role of argon laser as an adjunctive therapy for treatment of resistant infected
corneal ulcers.
AB - PURPOSE: To evaluate the role of argon laser as an adjunctive therapy in ten
patients with resistant infected corneal ulcers with or without hypopyon.
METHODS: The study included 20 patients, split into two groups of ten, with
resistant infected corneal ulcers with or without hypopyon. One group was
considered as the control group and treated with local and systemic specific
antimicrobial drugs guided with culture and sensitivity tests. The other group
started with the same specific therapy as the control group for 1 week with no
obvious improvement and then was further treated with argon laser. The ten
patients in the control group included five cases of fungal ulcers, three mixed
(fungal and bacterial) ulcers, and two viral ulcers. The ten patients in the
other group included three cases of fungal ulcers, three mixed (fungal and viral)
ulcers, three viral ulcers, and one bacterial ulcer as proven with microbial
culture and sensitivity tests. Eight cases of the control group and seven cases
of the other group were associated with hypopyon. Before laser treatment, a drop
of benoxinate hydrochloride 0.4% and a single drop of fluorescein sodium 0.25%
were instilled. Argon laser irradiation of the affected cornea was performed
using an argon 532 nm wavelength (Carl Zeiss LSL 532s AG; Carl Zeiss Meditec AG,
Jena, Germany). A spot size of 500 MUm, pulse duration of 0.2 seconds, and power
of 900 mW were used. All cases were followed up for 3 months after healing was
achieved. RESULTS: During the first 4 weeks after laser treatment, all patients
showed complete healing of the epithelial defect and resolution of stromal
infiltration with no adverse effects. In the control group, four cases needed an
amniotic membrane graft due to thinning and the other six cases were healed in a
duration that ranged from 3 to 7 weeks. CONCLUSION: Argon laser phototherapy is
useful as an adjunctive treatment for resistant infected corneal ulcers. More
cases are needed to get more reliable results and to confirm our findings.
PMID- 24920879
TI - An observational study of bimatoprost 0.01% in patients on prior intraocular
pressure-lowering therapy: the Canadian Lumigan((r)) RC Early Analysis Review
(CLEAR) trial.
AB - PURPOSE: To evaluate the ocular hyperemia and intraocular pressure (IOP)-lowering
efficacy of bimatoprost 0.01% in subjects with elevated IOP due to primary open
angle glaucoma (POAG) or ocular hypertension (OHT) in a real-world clinical
setting. SUBJECTS AND METHODS: This open-label, 12-week, observational study was
conducted at 67 centers in Canada. Subjects with elevated IOP due to POAG or OHT
instilled bimatoprost 0.01% as monotherapy once daily. Ocular hyperemia was
graded by the investigator at baseline, week 6, and week 12 using a standardized
photographic 5-point grading scale. Change in IOP from baseline was also
evaluated at these time points. This analysis includes the subgroup of 268
subjects who had been previously treated with latanoprost 0.005%, bimatoprost
0.03%, travoprost 0.004%, and travoprost 0.004% with SofZiaTM or nonselective
beta-adrenergic receptor blockers prior to the study. RESULTS: After 12 weeks of
treatment with 0.01% bimatoprost, ocular hyperemia was graded as none-to-mild
hyperemia (grades 0, +0.5, or +1) for 94.1% of subjects and as moderate-to-severe
hyperemia (grades +2 or +3) for 5.9%. No statistically significant shifts in
ocular hyperemia ratings were observed at week 12 for any of the prior IOP
lowering therapies except bimatoprost 0.03%, in which 20.8% of subjects
experienced an improvement. The mean percentage change from baseline IOP at week
12 following the switch to bimatoprost 0.01% monotherapy ranged from -2.3%+/
17.3% to -26.3%+/-12.4%. Furthermore, the decreased mean percentage change from
baseline IOP was statistically significant across all prior IOP-lowering
medications, except for bimatoprost 0.03% at the 6- and 12-week visits and
travoprost 0.004% at the 6-week visit. CONCLUSION: This observational study
demonstrates that bimatoprost 0.01% was well tolerated among POAG and OHT
subjects who switched from prior IOP-lowering medication. Furthermore, a switch
in ocular hypertensive treatment to bimatoprost 0.01% was associated with an
additional 10%-15% reduction in IOP.
PMID- 24920880
TI - Comparative study of Y-split recession versus bilateral medial rectus recession
for surgical management of infantile esotropia.
AB - AIM: This prospective study compares the results of bilateral medial rectus
recession versus (vs) Y-split recession of medial recti techniques for surgical
management of essential infantile esotropia. PATIENTS AND METHODS: Thirty
patients were included in this study and had preoperative infantile esotropia
with large angles (ie, >30 prism diopters [PD]). Patients were divided into Group
A, which underwent bilateral medial rectus (BMR) recession and Group B, which
underwent bilateral Y-split recession of medial recti muscles. All patients were
subjected to complete ophthalmologic examination and met the criteria for
inclusion in this study. The degrees of BMR recessions performed ranged from 6.0
7.5 mm. All operations were performed under general anesthesia. Follow-up visits
were conducted at 1 and 2 weeks, and 1, 3, and 6 months postoperatively. Rates of
reoperation for residual esotropia and consecutive exotropia were determined.
RESULTS: The patients' preoperative angles of deviation ranged from 30-80 PD.
Group A consumed 57% less operative time than Group B. Immediately
postoperatively, the Y-splitting technique showed satisfactory results (ie,
orthotropic or residual angles <=15 PD) in 73% of patients vs 67% only for the
BMR recession patients. By the end of six months of follow up; 13% of the BMR
technique patients vs 27% of the Y-splitting technique patients showed negative
change of PD but without reoperation. CONCLUSION: Our results suggest that,
although the Y-splitting technique is more difficult and time consuming, both
procedures are effective and have shown comparable results for the correction of
horizontal deviation <=70 PD.
PMID- 24920881
TI - Spontaneous simultaneous bilateral malignant glaucoma of a patient with no
antecedent history of medical or surgical eye diseases.
AB - PURPOSE: Malignant glaucoma, or aqueous misdirection syndrome, is a condition
characterized by sudden intraocular pressure (IOP) elevation, and it is usually
unilateral and induced by ocular surgical intervention or by medical therapy.
Here, we report a case of simultaneous bilateral malignant glaucoma in a young
patient with no history of any ocular diseases. CASE REPORT: A case of a 24-year
old female with no apparent previous history of ocular medical or surgical
conditions was referred to our hospital because of recent bilateral IOP elevation
associated with a severe drop in vision and shallow anterior chamber with no
posterior segment anomalies detected by ocular ultrasound in both eyes. Yttrium
aluminum garnet (YAG) laser iridotomy dropped the IOP only temporarily and the
patient received topical atropine treatment with combined trabeculectomy and
anterior vitrectomy. RESULTS: In this case, the patient had a typical
presentation of bilateral malignant glaucoma and her IOP dropped only temporarily
following laser iridotomy to rise again shortly thereafter. Also, deepening of
the anterior chamber and IOP decrease after topical atropine was very supportive
of the diagnosis of malignant glaucoma. Successful management with trabeculectomy
and limited vitrectomy also affirmed the diagnosis of malignant glaucoma.
CONCLUSION: This is a very rare case of bilateral malignant glaucoma in a young
adult without any prior eye conditions; only one similar case has been reported
in the literature. We propose our own theory regarding this simultaneous
occurrence of the pathology based on previously published studies about the
presence of communication between the two eyes along the cerebrospinal fluid
pathways.
PMID- 24920882
TI - Plasma membrane coenzyme Q: evidence for a role in autism.
AB - BACKGROUND: The Voltage Dependent Anion Channel (VDAC) is involved in control of
autism. Treatments, including coenzyme Q, have had some success on autism
control. DATA SOURCES: Correlation of porin redox activity and expression of
autism is based on extensive literature, especially studies of antibodies,
identification of cytosolic nicotinamide adenine dinucleotide reduced (NADH)
dehydrogenase activity in the VDAC, and evidence for extreme sensitivity of the
dehydrogenase to a mercurial. Evidence for a coenzyme Q requirement came from
extraction and analog inhibition of NADH ferricyanide reductase in the
erythrocyte plasma membrane, done in 1994, and reinterpreted when it was
identified in VDAC in 2004. The effects of ubiquinol (the QH2 - reduced form of
coenzyme Q) in children with autism were studied. RESULTS: A new role for
coenzyme Q in the porin channels has implications on autism. Ubiquinol, the more
active form of coenzyme Q, produces favorable response in children with autism.
Agents which affected electron transport in porin show parallel effects in
autism. CONCLUSION: We propose a hypothesis that autism is controlled by a
coenzyme Q-dependent redox system in the porin channels; this conclusion is based
on the effects of agents that positively or negatively affect electron transport
and the symptoms of autism. The full understanding of the mechanism of their
control needs to be established.
PMID- 24920883
TI - Cytoprotective effect of selective small-molecule caspase inhibitors against
staurosporine-induced apoptosis.
AB - Caspases are currently known as the central executioners of the apoptotic
pathways. Inhibition of apoptosis and promotion of normal cell survival by
caspase inhibitors would be a tremendous benefit for reducing the side effects of
cancer therapy and for control of neurodegenerative disorders such as
Parkinson's, Alzheimer's, and Huntington's diseases. The objective of this study
was to discover small-molecule caspase inhibitors with which to achieve
cytoprotective effect. We completed the high-throughput screening of Bionet's
37,500-compound library (Key Organics Limited, Camelford, Cornwall, UK) against
caspase-1, -3, and -9 and successfully identified 43 initial hit compounds. The
43 hit compounds were further tested for cytoprotective activity against
staurosporine-induced cell death in NIH3T3 cells. Nineteen compounds were found
to have significant cytoprotective effects in cell viability assays. One of the
compounds, RBC1023, was demonstrated to protect NIH3T3 cells from staurosporine
induced caspase-3 cleavage and activation. RBC1023 was also shown to protect
against staurosporine-induced impairment of mitochondrial membrane potential. DNA
microarray analysis demonstrated that staurosporine treatment induced broad
global gene expression alterations, and RBC1023 co-treatment significantly
restored these changes, especially of the genes that are related to cell growth
and survival signaling such as Egr1, Cdc25c, cdkn3, Rhob, Nek2, and Taok1.
Collectively, RBC1023 protects NIH3T3 cells against staurosporine-induced
apoptosis via inhibiting caspase activity, restoring mitochondrial membrane
potential, and possibly upregulating some cell survival-related gene expressions
and pathways.
PMID- 24920884
TI - Chronic obstructive pulmonary disease treated with inhaled medium- or high-dose
corticosteroids: a prospective and randomized study focusing on clinical efficacy
and the risk of pneumonia.
AB - PURPOSE: Complications of pneumonia development in patients with chronic
obstructive pulmonary disease (COPD) receiving inhaled corticosteroid (ICS)
therapy have been documented. The aim of this study was to focus on clinical
efficacy and the incidence of pneumonia between COPD patients receiving medium
and high doses of ICS. PATIENTS AND METHODS: This prospective, randomized study
included COPD patients identified from three tertiary medical centers from 2010
to 2012. The patients were randomized into two groups: high dose (HD; fluticasone
1,000 MUg + salmeterol 100 MUg/day) and medium dose (MD; fluticasone 500 MUg +
salmeterol 100 MUg/day). Lung function with forced expiratory volume in 1 second
(FEV1), forced vital capacity, and COPD-assessment test (CAT) were checked every
2 months. The frequency of acute exacerbations and number of pneumonia events
were measured. The duration of the study period was 1 year. RESULTS: In total,
237 COPD patients were randomized into the two treatment arms (115 in the HD
group, 122 in the MD group). The FEV1 level was significantly improved in the
patients in the HD group compared with those in the MD group (HD 103.9+/-26.6 mL
versus MD 51.4+/-19.7 mL, P<0.01) at the end of the study. CAT scores were
markedly improved in patients using an HD compared to those using an MD (HD 13+/
5 versus MD 16+/-7, P=0.05). There was a significant difference in the percentage
of annual rates in acute exacerbations (HD 0.16 versus MD 0.34, P<0.01) between
the two groups. The incidence of pneumonia was similar in the two groups (HD 0.08
versus MD 0.10, P=0.38). CONCLUSION: COPD patients treated with high doses of ICS
had more treatment benefits and no significant increases in the incidence in
pneumonia. Higher-dose ICS treatment may be suitable for COPD therapy.
PMID- 24920885
TI - Quinoxaline-substituted chalcones as new inhibitors of breast cancer resistance
protein ABCG2: polyspecificity at B-ring position.
AB - A series of chalcones substituted by a quinoxaline unit at the B-ring were
synthesized and tested as inhibitors of breast cancer resistance protein-mediated
mitoxantrone efflux. These compounds appeared more efficient than analogs
containing other B-ring substituents such as 2-naphthyl or 3,4
methylenedioxyphenyl while an intermediate inhibitory activity was obtained with
a 1-naphthyl group. In all cases, two or three methoxy groups had to be present
on the phenyl A-ring to produce a maximal inhibition. Molecular modeling
indicated both electrostatic and steric positive contributions. A higher potency
was observed when the 2-naphthyl or 3,4-methylenedioxyphenyl group was shifted to
the A-ring and methoxy substituents were shifted to the phenyl B-ring, indicating
preferences among polyspecificity of inhibition.
PMID- 24920886
TI - Anxiolytic and antidepressant-like activities of the novel and potent non
imidazole histamine H3 receptor antagonist ST-1283.
AB - Previous studies have suggested a potential link between histamine H3 receptors
(H3R) signaling and anxiolytic-like and antidepressant-like effects. The aim of
this study was to investigate the acute effects of ST-1283, a novel H3R
antagonist, on anxiety-related and depression-related behaviors in comparison
with those of diazepam and fluoxetine. The effects of ST-1283 were evaluated
using the elevated plus maze test, open field test, marbles burying test, tail
suspension test, novelty suppressed feeding test, and forced swim test in male
C57BL/6 mice. The results showed that, like diazepam, ST-1283 (7.5 mg/kg)
significantly modified all the parameters observed in the elevated plus maze
test. In addition, ST-1283 significantly increased the amount of time spent in
the center of the arena without altering general motor activity in the open field
test. In the same vein, ST-1283 reduced the number of buried marbles as well as
time spent digging in the marbles burying test. The tail suspension test and
forced swim test showed that ST-1283 was able to reduce immobility time, like the
recognized antidepressant drug fluoxetine. In the novelty suppressed feeding
test, treatment with ST-1283 decreased latency to feed with no effect on food
intake in the home cage. Importantly, pretreatment with the H3R agonist R-alpha
methylhistamine abrogated the anxiolytic and antidepressant effects of ST-1283.
Taken together, the present series of studies demonstrates the novel effects of
this newly synthesized H3R antagonist in a number of preclinical models of
psychiatric disorders and highlights the histaminergic system as a potential
therapeutic target for the treatment of anxiety-related and depression-related
disorders.
PMID- 24920887
TI - Neurotherapeutic activity of the recombinant heat shock protein Hsp70 in a model
of focal cerebral ischemia in rats.
AB - Recombinant 70 kDa heat shock protein (Hsp70) is an antiapoptotic protein that
has a cell protective activity in stress stimuli and thus could be a useful
therapeutic agent in the management of patients with acute ischemic stroke. The
neuroprotective and neurotherapeutic activity of recombinant Hsp70 was explored
in a model of experimental stroke in rats. Ischemia was produced by the occlusion
of the middle cerebral artery for 45 minutes. To assess its neuroprotective
capacity, Hsp70, at various concentrations, was intravenously injected 20 minutes
prior to ischemia. Forty-eight hours after ischemia, rats were sacrificed and
brain tissue sections were stained with 2% triphenyl tetrazolium chloride.
Preliminary treatment with Hsp70 significantly reduced the ischemic zone (optimal
response at 2.5 mg/kg). To assess Hsp70's neurotherapeutic activity, we
intravenously administered Hsp70 via the tail vein 2 hours after reperfusion (2
hours and 45 minutes after ischemia). Rats were then kept alive for 72 hours. The
ischemic region was analyzed using a high-field 11 T MRI scanner. Administration
of the Hsp70 decreased the infarction zone in a dose-dependent manner with an
optimal (threefold) therapeutic response at 5 mg/kg. Long-term treatment of the
ischemic rats with Hsp70 formulated in alginate granules with retarded release of
protein further reduced the infarct volume in the brain as well as apoptotic area
(annexin V staining). Due to its high neurotherapeutic potential, prolonged
delivery of Hsp70 could be useful in the management of acute ischemic stroke.
PMID- 24920888
TI - Patient adherence issues in the treatment of hepatitis C.
AB - The current standard-of-care treatments for chronic hepatitis C, based on a
bitherapy that combines peginterferon alpha-2a or -2b and ribavirin for all
genotypes, and on a triple therapy with the addition of an antiprotease
specifically for genotype 1, are associated with a limited adherence that
decreases their efficacy. The main factors limiting adherence are difficulties in
taking the treatment and side effects that worsen the quality of life of the
patients. Programs of therapeutic education are essential to improve adherence,
quality of life, likelihood of viral suppression, improvement of liver disease,
and decrease of late complications. Therapeutic education should be understood as
an acquisition of decisional, technical, and social competency with the purpose
of making the patient able to make health choices, realize their own life plans,
and use health care resources in the best manner. The patient should be placed in
the center of an organization, comprising various care workers who include social
service professionals and medical staff. For hepatitis C, therapeutic education
may be separated into three phases: a first phase corresponding to the educative
diagnosis; a second phase corresponding to support during treatment; and the
third phase corresponding to support after treatment. Therapeutic education is
performed using various instruments and methods specifically adapted to the needs
and expectations of individual patients. Upcoming treatments for hepatitis C,
with evidence for high efficacy, few side effects, and shorter duration, will
certainly change the landscape of adherence and the management of therapeutic
education.
PMID- 24920889
TI - The effect of medical device dose-memory functions on patients' adherence to
treatment, confidence, and disease self-management.
AB - BACKGROUND: Adherence to treatment is an important issue in chronic disease
management and an indicator of patients' ability to self-manage their condition
and treatment. Some drug-dispensing and drug-delivery devices have been designed
to support patients' medication-taking behavior by including dose-memory and
combined dose-memory and dose-reminder functions, which electronically store, and
visually display dose-history information, enabling the patient to review,
monitor, and/or be actively reminded about their medication doses. PURPOSE: This
literature review explored the role and impact of these devices on patients'
treatment adherence, confidence with, and self-management of their condition and
treatment. MATERIALS AND METHODS: A search of MEDLINE, Embase, and PsycINFO was
performed to identify articles published in English from 2003-2013 that studied
the effect of devices with dose-memory and combined dose-memory and dose-reminder
functions on treatment adherence and users' (patients, health care professionals
[HCPs], and caregivers) confidence, self-management behavior, and attitudes.
RESULTS: The database searches yielded 940 abstracts from which 13 articles met
the inclusion criteria and were retained. Devices with dose-memory and combined
dose-memory and dose-reminder functions were found to improve self-reported and
electronically monitored treatment adherence in chronic conditions such as
asthma, diabetes, and HIV. The ability of the devices to provide dose-history
information and active medication reminders was considered valuable in disease
management by patients, caregivers, and HCPs. The devices were found to enhance
patients' confidence in, and motivation to manage their medication and condition,
and help reduce forgotten or incorrect medication dosing. CONCLUSION: The
incorporation of dose-memory and combined dose-memory and dose-reminder functions
in drug-delivery devices can improve patients' adherence, confidence, and self
management behavior. They can target non-intentional barriers to adherence and
can provide a means of improving disease control and clinical outcomes, thereby
offering clinical and economic value. This review highlights the importance of
conducting further qualitative and quantitative research to further understand
the value and impact of these types of devices on patients' long-term adherence
to, and self-management of treatment.
PMID- 24920890
TI - Pulmonary adenocarcinoma mutation profile in smokers with smoking-related
interstitial fibrosis.
AB - Cigarette smoking is an established cause of lung cancer. However, pulmonary
fibrosis is also an independent risk factor for the development of lung cancer.
Smoking-related interstitial fibrosis (SRIF) has recently been reported. We
hypothesized that adenocarcinomas in lungs with SRIF might show distinct
molecular changes and examined the molecular phenotype of 168 resected lung
adenocarcinomas in lungs with and without SRIF. The diagnosis of SRIF was
determined by histological examination, based on the presence of alveolar septal
thickening, due to pauci-inflamed, hyalinized, "ropy" collagen, in areas of lung
greater than 1 cm away from the tumor. Tumors were concomitantly examined
genotypically for mutations in genes frequently altered in cancer, including EGFR
and KRAS, by SNaPshot and by fluorescence in situ hybridization for possible ALK
rearrangements. Fluorescence in situ hybridization for ROS1 rearrangement (n=36)
and/or MET amplification (n=31) were performed when no mutation was identified by
either SNaPshot or ALK analysis. Sixty-five cases (38.7%) showed SRIF, which was
distributed in all lobes of the lungs examined. No differences were observed in
sex, average age, or smoking history in patients with and without SRIF. There was
no difference in either the percent or types of adenocarcinoma genetic mutations
in patients with SRIF versus those without. This data suggests that SRIF does not
represent an independent risk factor for the development of the major known and
targeted mutations seen in pulmonary adenocarcinoma. However, additional research
is required to investigate the potential significance of SRIF in the pathogenesis
of lung cancer.
PMID- 24920891
TI - A randomized controlled trial of inhaled corticosteroids (ICS) on markers of
epithelial-mesenchymal transition (EMT) in large airway samples in COPD: an
exploratory proof of concept study.
AB - BACKGROUND: We recently reported that epithelial-mesenchymal transition (EMT) is
active in the airways in chronic obstructive pulmonary disease (COPD), suggesting
presence of an active profibrotic and promalignant stroma. With no data available
on potential treatment effects, we undertook a blinded analysis of inhaled
corticosteroids (ICS) effects versus placebo on EMT markers in previously
obtained endobronchial biopsies in COPD patients, as a "proof of concept" study.
METHODS: Assessment of the effects of inhaled fluticasone propionate (FP; 500 MUg
twice daily for 6 months) versus placebo in 34 COPD patients (23 on fluticasone
propionate and eleven on placebo). The end points were epidermal growth factor
receptor (EGFR; marker of epithelial activation) and the biomarkers of EMT:
reticular basement membrane (Rbm) fragmentation ("hallmark" structural marker),
matrix metalloproteinase-9 (MMP-9) cell expression, and S100A4 expression in
basal epithelial and Rbm cells (mesenchymal transition markers). RESULTS:
Epithelial activation, "clefts/fragmentation" in the Rbm, and changes in the
other biomarkers all regressed on ICS, at or close to conventional levels of
statistical significance. From these data, we have been able to nominate primary
and secondary end points and develop power calculations that would be applicable
to a definitive prospective study. CONCLUSION: Although only a pilot "proof of
concept" study, this trial provided strong suggestive support for an anti-EMT
effect of ICS in COPD airways. A larger and fully powered prospective study is
now indicated as this issue is likely to be extremely important. Such studies may
clarify the links between ICS use and better clinical outcomes and protection
against lung cancer in COPD.
PMID- 24920892
TI - Increased serum levels of lipocalin-1 and -2 in patients with stable chronic
obstructive pulmonary disease.
AB - Despite a number of studies on biomarkers in chronic obstructive pulmonary
disease (COPD), only a few disease-related markers have been identified, yet we
still have no satisfactory markers specific to innate immune system and
neutrophil activation, which is essential in airway inflammation in COPD. Recent
biological studies indicated that lipocalins (LCNs) might be involved in airway
inflammation and innate immunity; however, results from available studies on the
association of LCNs with COPD are not consistent. We carried out a multicenter
prospective observational cohort study to investigate the differences in serum
levels of LCN1 and LCN2 between subjects with COPD (n=58) and healthy controls
(n=29). Several validated inflammatory markers, including C-reactive protein,
tumor necrosis factor-alpha, interleukin-6, and interleukin-8, were measured. The
correlation of LCN1 and LCN2 with clinical features such as smoking habits, lung
function, symptoms, and disease category was also analyzed. When comparing with
healthy controls, serum levels of LCN1 (66.35+/-20.26 ng/mL versus 41.16+/-24.19
ng/mL, P<0.001) and LCN2 (11.29+/-3.92 ng/mL versus 6.09+/-5.13 ng/mL, P<0.001)
were both elevated in subjects with COPD after adjusting for age, sex, smoking
habits, and inflammatory biomarkers. Smoking history and tobacco exposure, as
quantified by pack-year, had no impact on systemic expressions of LCN1 and LCN2
in our study. Blood levels of LCN1 and LCN2, respectively, were negatively
correlated to COPD Assessment Test and Modified Medical British Research Council
score (P<0.001). Disease category by Global Initiative for Chronic Obstructive
Lung Disease grade 1-4 or group A-D was not associated with levels of LCNs.
Patient-reported exacerbations and body mass index were also tested, but no
relationship with LCNs was found. In summary, serum concentrations of LCN1 and
LCN2 were both elevated in patients with COPD, with their levels correlating to
COPD Assessment Test and Modified Medical British Research Council score. These
findings warrant large-scale and longitudinal studies to validate LCNs as
circulating biomarkers for COPD.
PMID- 24920893
TI - Real-world characterization and differentiation of the Global Initiative for
Chronic Obstructive Lung Disease strategy classification.
AB - BACKGROUND: This study aimed to characterize and differentiate the Global
Initiative for Chronic Obstructive Lung Disease (GOLD) strategy 2011 cut points
through the modified Medical Research Council dyspnea scale (mMRC) and chronic
obstructive pulmonary disease (COPD) assessment test (CAT). METHODS: Analysis of
COPD patient data from the 2012 Adelphi Respiratory Disease Specific Program was
conducted in Europe and US. Matched data from physicians and patients included
CAT and mMRC scores. Receiver operating characteristic curves and kappa analysis
determined a cut point for CAT and mMRC alignment and thus defined patient
movement ("movers") within GOLD groups A-D, depending on the tool used. Logistic
regression analysis, with a number of physician- and patient-reported covariates,
characterized those movers. RESULTS: Comparing GOLD-defined high-symptom patients
using mMRC and CAT cut points (>=2 and >=10, respectively), there were 890
(53.65%) movers; 887 of them (99.66%) moved from less symptomatic GOLD groups A
and C (using mMRC) to more symptomatic groups B and D (using CAT). For receiver
operating characteristic (area under the curve: 0.82, P<0.001) and kappa
(maximized: 0.45) recommended CAT cut points of >=24 and >=26, movers reduced to
429 and 403 patients, respectively. Logistic regression analysis showed variables
significantly associated with movers were related to impact on normal life, age,
cough, and sleep (all P<0.05). Within movers, direction of movement was
significantly associated with the same variables (all P<0.05). CONCLUSION: Use of
current mMRC or CAT cut points leads to inconsistencies for COPD assessment
classification. It is recommended that cut points are aligned and both tools
administered simultaneously for optimal patient care and to allow for closer
management of movers. Our research may suggest an opportunity to investigate a
combined score approach to patient management based on the worst result of mMRC
and CAT. The reduced number of remaining movers may then identify patients who
have greater impact of disease and may require a more personalized treatment
plan.
PMID- 24920894
TI - Prevalence of airflow limitation in outpatients with cardiovascular diseases in
Japan.
AB - BACKGROUND AND OBJECTIVES: Cardiovascular disease (CVD) and chronic obstructive
pulmonary disease (COPD) commonly coexist and share common risk factors. The
prevalence of COPD in outpatients with a smoking history and CVD in Japan is
unknown. The aim of this study was to determine the proportion of Japanese
patients with a smoking history being treated for CVD who have concurrent airflow
limitation compatible with COPD. A secondary objective was to test whether the
usage of lung function tests performed in the clinic influenced the diagnosis
rate of COPD in the patients identified with airflow limitation. METHODS: In a
multicenter observational prospective study conducted at 17 centers across Japan,
the prevalence of airflow limitation compatible with COPD (defined as forced
expiratory volume (FEV)1/FEV6 <0.73, by handheld spirometry) was investigated in
cardiac outpatients >=40 years old with a smoking history who routinely visited
the clinic for their CVD. Each patient completed the COPD Assessment Test prior
to spirometry testing. RESULTS: Data were available for 995 patients with a mean
age of 66.6+/-10.0 years, of whom 95.5% were male. The prevalence of airflow
limitation compatible with COPD was 27.0% (n=269), and 87.7% of those patients
(n=236) did not have a prior diagnosis of COPD. The prevalence of previously
diagnosed airflow limitation was higher in sites with higher usage of lung
function testing (14.0%, 15.2% respectively) compared against sites where it is
performed seldom (11.1%), but was still low. CONCLUSION: The prevalence of
airflow limitation in this study indicates that a quarter of outpatients with CVD
have COPD, almost all of whom are undiagnosed. This suggests that it is important
to look routinely for COPD in CVD outpatients.
PMID- 24920895
TI - Interaction of gelatin with polyenes modulates antifungal activity and
biocompatibility of electrospun fiber mats.
AB - Topical application of antifungals does not have predictable or well-controlled
release characteristics and requires reapplication to achieve therapeutic local
concentration in a reasonable time period. In this article, the efficacy of five
different US Food and Drug Administration-approved antifungal-loaded
(amphotericin B, natamycin, terbinafine, fluconazole, and itraconazole)
electrospun gelatin fiber mats were compared. Morphological studies show that
incorporation of polyenes resulted in a two-fold increase in fiber diameter and
the mats inhibit the growth of yeasts and filamentous fungal pathogens.
Terbinafine-loaded mats were effective against three filamentous fungal species.
Among the two azole antifungals compared, the itraconazole-loaded mat was potent
against Aspergillus strains. However, activity loss was observed for fluconazole
loaded mats against all of the test organisms. The polyene-loaded mats displayed
rapid candidacidal activities as well. Biophysical and rheological measurements
indicate strong interactions between polyene antifungals and gelatin matrix. As a
result, the polyenes stabilized the triple helical conformation of gelatin and
the presence of gelatin decreased the hemolytic activity of polyenes. The polyene
loaded fiber mats were noncytotoxic to primary human corneal and sclera
fibroblasts. The reduction of toxicity with complete retention of activity of the
polyene antifungal-loaded gelatin fiber mats can provide new opportunities in the
management of superficial skin infections.
PMID- 24920896
TI - Manganese-containing Prussian blue nanoparticles for imaging of pediatric brain
tumors.
AB - Pediatric brain tumors (PBTs) are a leading cause of death in children. For an
improved prognosis in patients with PBTs, there is a critical need to develop
molecularly-specific imaging agents to monitor disease progression and response
to treatment. In this paper, we describe manganese-containing Prussian blue
nanoparticles as agents for molecular magnetic resonance imaging (MRI) and
fluorescence-based imaging of PBTs. Our core-shell nanoparticles consist of a
core lattice structure that incorporates and retains paramagnetic Mn(2+) ions,
and generates MRI contrast (both negative and positive). The biofunctionalized
shell is comprised of fluorescent avidin, which serves the dual purpose of
enabling fluorescence imaging and functioning as a platform for the attachment of
biotinylated ligands that target PBTs. The surfaces of our nanoparticles are
modified with biotinylated antibodies targeting neuron-glial antigen 2 or
biotinylated transferrin. Both neuron-glial antigen 2 and the transferrin
receptor are protein markers overexpressed in PBTs. We describe the synthesis,
biofunctionalization, and characterization of these multimodal nanoparticles.
Further, we demonstrate the MRI and fluorescence imaging capabilities of
manganese-containing Prussian blue nanoparticles in vitro. Finally, we
demonstrate the potential of these nanoparticles as PBT imaging agents by
measuring their organ and brain biodistribution in an orthotopic mouse model of
PBTs using ex vivo fluorescence imaging.
PMID- 24920897
TI - Enhanced antifungal efficacy of tebuconazole using gated pH-driven mesoporous
nanoparticles.
AB - pH-sensitive gated mesoporous silica nanoparticles have been synthesized.
Increased extracellular pH and internalization into living yeast cells triggered
molecular gate aperture and cargo release. Proper performance of the system was
demonstrated with nanodevices loaded with fluorescein or with the antifungal
agent tebuconazole. Interestingly, nanodevices loaded with tebuconazole
significantly enhanced tebuconazole cytotoxicity. As alterations of acidic
external pH are a key parameter in the onset of fungal vaginitis, this nanodevice
could improve the treatment for vaginal mycoses.
PMID- 24920898
TI - In situ precipitation: a novel approach for preparation of iron-oxide
magnetoliposomes.
AB - BACKGROUND: Conventional methods of preparing magnetoliposomes are complicated
and inefficient. A novel approach for magnetoliposomes preparation was
investigated in the study reported here. METHODS: FeCl3/FeCl2 solutions were
hydrated with lipid films to obtain liposome-encapsulated iron ions by ultrasonic
dispersion. Non-encapsulated iron ions were removed by dialysis. NH3.H2O was
added to the system to adjust the pH to a critical value. Four different systems
were prepared. Each was incubated at a different temperature for a different
length of time to facilitate the permeation of NH3.H2O into the inner phase of
the liposomes and the in situ formation of magnetic iron-oxide cores in the
liposomes. Single-factor analysis and orthogonal-design experiments were applied
to determinate the effects of alkalization pH, temperature, duration, and initial
Fe concentration on encapsulation efficiency and drug loading. RESULTS: The
magnetoliposomes prepared by in situ precipitation had an average particle size
of 168+/-14 nm, zeta potential of -26.2+/-1.9 mV and polydispersity index of
0.23+/-0.06. The iron-oxide cores were confirmed as Fe3O4 by X-ray diffraction
and demonstrated a superparamagnetic response. Encapsulation efficiency ranged
from 3% to 22%, while drug loading ranged from 0.2 to 1.58 mol Fe/mol lipid. The
optimal conditions for in situ precipitation were found to be an alkalization pH
of 12, temperature of 60 degrees C, time of 60 minutes, and initial Fe
concentration of 100 mM Fe(3+) + 50 mM Fe(2+). CONCLUSION: In situ precipitation
could be a simple and efficient approach for the preparation of iron-oxide
magnetoliposomes.
PMID- 24920899
TI - Amplified voltammetric detection of glycoproteins using 4-mercaptophenylboronic
acid/biotin-modified multifunctional gold nanoparticles as labels.
AB - Ultrasensitive detection of protein biomarkers is essential for early diagnosis
and therapy of many diseases. Glycoproteins, differing from other types of
proteins, contain carbohydrate moieties in the oligosaccharide chains. Boronic
acid can form boronate ester covalent bonds with diol-containing species. Herein,
we present a sensitive and cost-effective electrochemical method for glycoprotein
detection using 4-mercaptophenylboronic acid (MBA)/biotin-modified gold
nanoparticles (AuNPs) (MBA-biotin-AuNPs) as labels. To demonstrate the
feasibility and sensitivity of this method, recombinant human erythropoietin
(rHuEPO) was tested as a model analyte. Specifically, rHuEPO was captured by the
anti-rHuEPO aptamer-covered electrode and then derivatized with MBA-biotin-AuNPs
through the boronic acid-carbohydrate interaction. The MBA-biotin-AuNPs
facilitated the attachment of streptavidin-conjugated alkaline phosphatase for
the production of electroactive p-aminophenol from p-aminophenyl phosphate
substrate. A detection limit of 8 fmol L(-1) for rHuEPO detection was achieved.
Other glycosylated and non-glycosylated proteins, such as horseradish peroxidase,
prostate specific antigen, metallothionein, streptavidin, and thrombin showed no
interference in the detection assay.
PMID- 24920900
TI - Liposomes versus metallic nanostructures: differences in the process of knowledge
translation in cancer.
AB - This research maps the knowledge translation process for two different types of
nanotechnologies applied to cancer: liposomes and metallic nanostructures (MNs).
We performed a structural analysis of citation networks and text mining supported
in controlled vocabularies. In the case of liposomes, our results identify
subnetworks (invisible colleges) associated with different therapeutic
strategies: nanopharmacology, hyperthermia, and gene therapy. Only in the
pharmacological strategy was an organized knowledge translation process
identified, which, however, is monopolized by the liposomal doxorubicins. In the
case of MNs, subnetworks are not differentiated by the type of therapeutic
strategy, and the content of the documents is still basic research. Research on
MNs is highly focused on developing a combination of molecular imaging and
photothermal therapy.
PMID- 24920901
TI - Rapid efficient synthesis and characterization of silver, gold, and bimetallic
nanoparticles from the medicinal plant Plumbago zeylanica and their application
in biofilm control.
AB - BACKGROUND: Nanoparticles (NPs) have gained significance in medical fields due to
their high surface-area-to-volume ratio. In this study, we synthesized NPs from a
medicinally important plant - Plumbago zeylanica. MATERIALS AND METHODS: Aqueous
root extract of P. zeylanica (PZRE) was analyzed for the presence of flavonoids,
sugars, and organic acids using high-performance thin-layer chromatography
(HPTLC), gas chromatography-time of flight-mass spectrometry (GC-TOF-MS), and
biochemical methods. The silver NPs (AgNPs), gold NPs (AuNPs), and bimetallic NPs
(AgAuNPs) were synthesized from root extract and characterized using ultraviolet
visible spectra, X-ray diffraction (XRD), energy-dispersive spectrometry (EDS),
transmission electron microscopy (TEM), and dynamic light scattering (DLS). The
effects of these NPs on Acinetobacter baumannii, Staphylococcus aureus, and
Escherichia coli biofilms were studied using quantitative biofilm inhibition and
disruption assays, as well as using fluorescence, scanning electron microscopy,
and atomic force microscopy. RESULTS: PZRE showed the presence of phenolics, such
as plumbagin, and flavonoids, in addition to citric acid, sucrose, glucose,
fructose, and starch, using HPTLC, GC-TOF-MS, and quantitative analysis.
Bioreduction of silver nitrate (AgNO3) and chloroauric acid (HAuCl4) were
confirmed at absorbances of 440 nm (AgNPs), 570 nm (AuNPs), and 540 nm (AgAuNPs),
respectively. The maximum rate of synthesis at 50 degrees C was achieved with 5
mM AgNO3 within 4.5 hours for AgNPs; and with 0.7 mM HAuCl4 within 5 hours for
AuNPs. The synthesis of AgAuNPs, which completed within 90 minutes with 0.7 mM
AgNO3 and HAuCl4, was found to be the fastest. Fourier-transform infrared
spectroscopy confirmed bioreduction, while EDS and XRD patterns confirmed purity
and the crystalline nature of the NPs, respectively. TEM micrographs and DLS
showed about 60 nm monodispersed Ag nanospheres, 20-30 nm Au nanospheres adhering
to form Au nanotriangles, and about 90 nm hexagonal blunt-ended AgAuNPs. These
NPs also showed antimicrobial and antibiofilm activity against E. coli, A.
baumannii, S. aureus, and a mixed culture of A. baumannii and S. aureus. AgNPs
inhibited biofilm in the range of 96%-99% and AgAuNPs from 93% to 98% in single
culture biofilms. AuNPs also showed biofilm inhibition, with the highest of 98%
in S. aureus. AgNPs also showed good biofilm disruption, with the highest of 88%
in A. baumannii. CONCLUSION: This is the first report on rapid and efficient
synthesis of AgNPs, AuNPs and AgAuNPs from P. zeylanica and their effect on
quantitative inhibition and disruption of bacterial biofilms.
PMID- 24920902
TI - Preparation and evaluation of tilmicosin-loaded hydrogenated castor oil
nanoparticle suspensions of different particle sizes.
AB - Three tilmicosin-loaded hydrogenated castor oil nanoparticle (TMS-HCO-NP)
suspensions of different particle sizes were prepared with different polyvinyl
alcohol surfactant concentrations using a hot homogenization and ultrasonic
technique. The in vitro release, in vitro antibacterial activity, mammalian
cytotoxicity, acute toxicity in mice, and stability study were conducted to
evaluate the characteristics of the suspensions. The in vitro tilmicosin release
rate, antibacterial activity, mammalian cytotoxicity, acute toxicity in mice, and
stability of the suspensions were evaluated. When prepared with polyvinyl alcohol
concentrations of 0.2%, 1%, and 5%, the mean diameters of the nanoparticles in
the three suspensions were 920+/-35 nm, 452+/-10 nm, and 151+/-4 nm,
respectively. The three suspensions displayed biphasic release profiles similar
to that of freeze-dried TMS-HCO-NP powders, with the exception of having a faster
initial release. Moreover, suspensions of smaller-sized particles showed faster
initial release, and lower minimum inhibitory concentrations and minimum
bactericidal concentrations. Time-kill curves showed that within 12 hours, the
suspension with the 151 nm particles had the most potent bactericidal activity,
but later, the suspensions with larger-sized particles showed increased
antibacterial activity. None of the three suspensions were cytotoxic at clinical
dosage levels. At higher drug concentrations, all three suspensions showed
similar concentration-dependent cytotoxicity. The suspension with the smallest
sized particle showed significantly more acute toxicity in mice, perhaps due to
faster drug release. All three suspensions exhibited good stability at 4 degrees
C and at room temperature for at least 6 months. These results demonstrate that
TMS-HCO-NP suspensions can be a promising formulation for tilmicosin, and that
nanoparticle size can be an important consideration for formulation development.
PMID- 24920903
TI - Mesoporous magnesium silicate-incorporated poly(epsilon-caprolactone)
poly(ethylene glycol)-poly(epsilon-caprolactone) bioactive composite beneficial
to osteoblast behaviors.
AB - Mesoporous magnesium silicate (m-MS) and poly(epsilon-caprolactone)-poly(ethylene
glycol)-poly(epsilon-caprolactone) (PCL-PEG-PCL) composite (m-MPC) was
synthesized by solvent casting method. The results suggest that the mechanical
properties of compressive strength and elastic modulus, as well as
hydrophilicity, of the m-MPC increased with increase of m-MS content in the
composites. In addition, the weight loss of the m-MPC improved significantly with
the increase of m-MS content during composite soaking in phosphate-buffered
saline for 10 weeks, indicating that incorporation of m-MS into PCL-PEG-PCL could
enhance the degradability of the m-MPC. Moreover, the m-MPC with 40 w% m-MS could
induce a dense and continuous apatite layer on its surface after soaking in
simulated body fluid for 5 days, which was better than m-MPC 20 w% m-MS,
exhibiting excellent in vitro bioactivity. In cell cultural experiments, the
results showed that the attachment and viability ratio of MG63 cells on m-MPC
increased significantly with the increase of m-MS content, showing that the
addition of m-MS into PCL-PEG-PCL could promote cell attachment and
proliferation. The results suggest that the incorporation of m-MS into PCL-PEG
PCL could produce bioactive composites with improved hydrophilicity,
degradability, bioactivity, and cytocompatibility.
PMID- 24920904
TI - Increased antiparkinson efficacy of the combined administration of VEGF- and GDNF
loaded nanospheres in a partial lesion model of Parkinson's disease.
AB - Current research efforts are focused on the application of growth factors, such
as glial cell line-derived neurotrophic factor (GDNF) and vascular endothelial
growth factor (VEGF), as neuroregenerative approaches that will prevent the
neurodegenerative process in Parkinson's disease. Continuing a previous work
published by our research group, and with the aim to overcome different
limitations related to growth factor administration, VEGF and GDNF were
encapsulated in poly(lactic-co-glycolic acid) nanospheres (NS). This strategy
facilitates the combined administration of the VEGF and GDNF into the brain of 6
hydroxydopamine (6-OHDA) partially lesioned rats, resulting in a continuous and
simultaneous drug release. The NS particle size was about 200 nm and the
simultaneous addition of VEGF NS and GDNF NS resulted in significant protection
of the PC-12 cell line against 6-OHDA in vitro. Once the poly(lactic-co-glycolic
acid) NS were implanted into the striatum of 6-OHDA partially lesioned rats, the
amphetamine rotation behavior test was carried out over 10 weeks, in order to
check for in vivo efficacy. The results showed that VEGF NS and GDNF NS
significantly decreased the number of amphetamine-induced rotations at the end of
the study. In addition, tyrosine hydroxylase immunohistochemical analysis in the
striatum and the external substantia nigra confirmed a significant enhancement of
neurons in the VEGF NS and GDNF NS treatment group. The synergistic effect of
VEGF NS and GDNF NS allows for a reduction of the dose by half, and may be a
valuable neurogenerative/neuroreparative approach for treating Parkinson's
disease.
PMID- 24920905
TI - Calcifying nanoparticles promote mineralization in vascular smooth muscle cells:
implications for atherosclerosis.
AB - BACKGROUND: Nano-sized complexes of calcium phosphate mineral and proteins
(calcifying nanoparticles [CNPs]) serve as mineral chaperones. Thus, CNPs may be
both a result and cause of soft tissue calcification processes. This study
determined if CNPs could augment calcification of arterial vascular smooth muscle
cells in vitro. METHODS: CNPs 210 nm in diameter were propagated in vitro from
human serum. Porcine aortic smooth muscle cells were cultured for up to 28 days
in medium in the absence (control) or presence of 2 mM phosphate ([P] positive
calcification control) or after a single 3-day exposure to CNPs. Transmission
electron-microscopy was used to characterize CNPs and to examine their cellular
uptake. Calcium deposits were visualized by light microscopy and von Kossa
staining and were quantified by colorimetry. Cell viability was quantified by
confocal microscopy of live-/dead-stained cells and apoptosis was examined
concurrently by fluorescent labeling of exposed phosphatidylserine. RESULTS:
CNPs, as well as smaller calcium crystals, were observed by transmission electron
microscopy on day 3 in CNP-treated but not P-treated cells. By day 28, calcium
deposits were visible in similar amounts within multicellular nodules of both CNP
and P-treated cells. Apoptosis increased with cell density under all treatments.
CNP treatment augmented the density of apoptotic bodies and cellular debris in
association with mineralized multicellular nodules. CONCLUSION: Exogenous CNPs
are taken up by aortic smooth muscle cells in vitro and potentiate accumulation
of smooth-muscle-derived apoptotic bodies at sites of mineralization. Thus, CNPs
may accelerate vascular calcification.
PMID- 24920906
TI - Effect of additive particles on mechanical, thermal, and cell functioning
properties of poly(methyl methacrylate) cement.
AB - The most common bone cement material used clinically today for orthopedic surgery
is poly(methyl methacrylate) (PMMA). Conventional PMMA bone cement has several
mechanical, thermal, and biological disadvantages. To overcome these problems,
researchers have investigated combinations of PMMA bone cement and several
bioactive particles (micrometers to nanometers in size), such as magnesium oxide,
hydroxyapatite, chitosan, barium sulfate, and silica. A study comparing the
effect of these individual additives on the mechanical, thermal, and cell
functional properties of PMMA would be important to enable selection of suitable
additives and design improved PMMA cement for orthopedic applications. Therefore,
the goal of this study was to determine the effect of inclusion of magnesium
oxide, hydroxyapatite, chitosan, barium sulfate, and silica additives in PMMA on
the mechanical, thermal, and cell functional performance of PMMA. American
Society for Testing and Materials standard three-point bend flexural and fracture
tests were conducted to determine the flexural strength, flexural modulus, and
fracture toughness of the different PMMA samples. A custom-made temperature
measurement system was used to determine maximum curing temperature and the time
needed for each PMMA sample to reach its maximum curing temperature. Osteoblast
adhesion and proliferation experiments were performed to determine cell viability
using the different PMMA cements. We found that flexural strength and fracture
toughness were significantly greater for PMMA specimens that incorporated silica
than for the other specimens. All additives prolonged the time taken to reach
maximum curing temperature and significantly improved cell adhesion of the PMMA
samples. The results of this study could be useful for improving the union of
implant-PMMA or bone-PMMA interfaces by incorporating nanoparticles into PMMA
cement for orthopedic and orthodontic applications.
PMID- 24920908
TI - Preclinical studies of N3-O-toluyl-fluorouracil-loaded lipid-based
nanosuspensions in H22-bearing mice.
AB - PURPOSE: N3-O-toluyl-fluorouracil (TFU) is a potential antitumor prodrug of 5
fluorouracil (5-FU), but its poor solubility has limited its use in clinic. This
study aimed to improve the bioavailability of TFU by preparing TFU-loaded lipid
based nanosuspensions (TFU-LNS) and perform a preclinical evaluation. METHODS:
TFU-LNS were prepared through high-pressure homogenization and were lyophilized
afterwards. For in vitro test, the physicochemical properties and cytotoxicity
against HegG2 cells were conducted. For in vivo evaluation, the pharmacokinetics,
tissue distribution, and antitumor efficacy were investigated in H22-bearing
Kunming mice. RESULTS: TFU showed different degradability in four media; in
particular, nearly all of it converted to an equimolar amount of 5-FU in blank
plasma of Wistar rats. The lyophilized TFU-LNS had a mean particle size of
180.03+/-3.11 nm and zeta potential of -8.02+/-1.43 mV and showed no discernible
changes after storage at 4 degrees C for 3 months. In the in vivo antitumor
study, the antitumor efficacy of TFU-LNS was consistent with that of 5-FU
injection. Furthermore, TFU-LNS released a lower concentration of 5-FU in heart
and kidney throughout the tissue distribution studies. CONCLUSION: TFU-LNS
exhibited convincing antitumor activity and easy scale-up opportunity, which
suggests that TFU-LNS might be a promising drug delivery system for cancer
therapy.
PMID- 24920907
TI - Multifunctional materials for bone cancer treatment.
AB - The purpose of this review is to present the most recent findings in bone tissue
engineering. Special attention is given to multifunctional materials based on
collagen and collagen-hydroxyapatite composites used for skin and bone cancer
treatments. The multi-functionality of these materials was obtained by adding to
the base regenerative grafts proper components, such as ferrites (magnetite being
the most important representative), cytostatics (cisplatin, carboplatin,
vincristine, methotrexate, paclitaxel, doxorubicin), silver nanoparticles,
antibiotics (anthracyclines, geldanamycin), and/or analgesics (ibuprofen,
fentanyl). The suitability of complex systems for the intended applications was
systematically analyzed. The developmental possibilities of multifunctional
materials with regenerative and curative roles (antitumoral as well as pain
management) in the field of skin and bone cancer treatment are discussed. It is
worth mentioning that better materials are likely to be developed by combining
conventional and unconventional experimental strategies.
PMID- 24920909
TI - Mussel-inspired human gelatin nanocoating for creating biologically adhesive
surfaces.
AB - Recombinant human gelatin was conjugated with dopamine using carbodiimide as a
surface modifier. This dopamine-coupled human gelatin (D-rhG) was characterized
by (1)H-nuclear magnetic resonance, mass spectroscopy, and circular dichroism. D
rhG-coated surface properties were analyzed by physicochemical methods.
Additionally, cell attachment and growth on the modified surfaces was assessed
using human umbilical endothelial cells. Binding of gelatin onto titanium was
significantly enhanced by dopamine conjugation. The thickness of the D-rhG
coating depended on the treatment pH; thicker layers were formed at higher pH
values, with a maximum thickness of 30 nm. D-rhG enhanced the binding of collagen
binding vascular endothelial growth factor and cell adhesion as compared with
gelatin alone, even at the same surface concentration. The D-rhG surface modifier
enhanced substrate binding by creating an adhesive nanointerface that increased
specific protein binding and cell attachment.
PMID- 24920910
TI - Epileptic and cognitive changes in children with cerebral palsy: an Egyptian
study.
AB - BACKGROUND: Cerebral palsy (CP) is the most frequent cause of motor handicap
among children. AIM OF THE STUDY: We aim to study the relation of epilepsy in
children with CP to various risk factors that affect the development of seizures.
PATIENTS AND METHODS: In a cross-sectional, descriptive, population-based, case
control study, 98 children with CP (48 children with CP with epilepsy, and 50
children with CP without epilepsy) were compared with 180 children without CP or
seizures. The children lived in two regions in Egypt: the Al-Kharga District-New
Valley and El-Quseir city-Red Sea. These cases were subjected to meticulous
neurological assessment, brain magnetic resonance imaging,
electroencephalography, and Stanford-Binet (4th edition) examination. Multinomial
logistic regression was used to assess the risk factors. RESULTS: Epilepsy was
diagnosed in 48.9% of all cases of CP. Mental subnormality was observed more
frequently in children with epilepsy than in those without epilepsy (84.6% versus
66.7%). The frequency of epilepsy was highest in patients with the spastic
quadriplegic type of illness (58.3%). Multinomial logistic regression revealed
that prematurity (<32 weeks of pregnancy), low birth weight (<2.5 kg at birth),
neonatal seizures, jaundice, and cyanosis were significantly associated with CP
with epilepsy. CONCLUSION: CP is associated with a high percentage of seizure
disorders. Prematurity, low birth weight, neonatal seizures, cyanosis, and
jaundice are significant risk factors among patients with CP with epilepsy
compared to patients with CP without epilepsy or a healthy control group.
PMID- 24920911
TI - Evolving treatment approaches for the management of metastatic castration
resistant prostate cancer - role of radium-223.
AB - Radium-223 is a first-in-class alpha particle-emitting radiopharmaceutical
approved for the treatment of bone metastatic castration-resistant prostate
cancer. Radium-223 is administered intravenously with no requirement for complex
shielding and specifically targets areas of bone metastasis. In a randomized
placebo-controlled Phase III study, treatment with radium-223 was shown to
improve overall survival, time to skeletal-related events, and health-related
quality of life. Apart from radium-223, the cytotoxic chemotherapy agents
docetaxel and cabazitaxel, androgen biosynthesis inhibitor abiraterone acetate,
novel anti-androgen enzalutamide, and immunotherapy sipuleucel-T have also been
shown to improve survival of men with advanced prostate cancer in Phase III
trials. This review will outline current treatment approaches for advanced
prostate cancer with a focus on the role of radium-223 in changing treatment
paradigms.
PMID- 24920912
TI - The impact of intrahepatic cholestasis of pregnancy with hepatitis B virus
infection on perinatal outcomes.
AB - INTRODUCTION: To investigate the impact of intrahepatic cholestasis of pregnancy
(ICP) with hepatitis B virus (HBV) infection on perinatal outcomes. METHODS: In
the study, 200 pregnant women were divided into four groups, including 50 cases
with ICP and HBV infection, 50 cases with ICP, 50 cases with HBV infection, and
50 healthy pregnancies. The delivery process and perinatal outcomes were analyzed
among different groups. RESULTS: When compared to the healthy pregnancy group,
significantly increased rates of premature rupture of membranes, meconium-stained
amniotic fluid, and cesarean section were observed in cases of ICP, HBV
infection, or ICP patients with HBV (P<0.05). Specifically, the rates of HBV
infection in the newborn, fetal distress, neonatal asphyxia, and birth defects in
the newborn, and infant Apgar scores were higher in ICP pregnancies with HBV
(56%, 48%, 16%, and 48%, respectively) than in the other groups (P<0.05).
CONCLUSION: ICP combined with HBV infection has a clear influence on perinatal
infant outcomes.
PMID- 24920913
TI - New treatments for genotype 1 chronic hepatitis C - focus on simeprevir.
AB - Chronic hepatitis C virus (HCV) infection causes end-stage liver diseases and
hepato cellular carcinoma. In the USA, Canada, and Japan, simeprevir - one of the
second-generation HCV NS3/4A protease inhibitors - in combination with
peginterferon alpha-2a or 2b plus ribavirin has recently been approved for HCV
genotype 1-infected patients and is now used in daily clinical practice. This
review summarizes the mechanism of action of simeprevir and the results of
clinical trials of simeprevir and peginterferon plus ribavirin for HCV genotype 1
patients. In general, the simeprevir and peginterferon plus ribavirin treatment
is highly effective and its adverse events are similar to those of peginterferon
plus ribavirin only, the exception being milder, reversible jaundice. In the near
future, the development of interferon-free regimens with simeprevir is expected.
Careful attention should be paid to new results of clinical trials with
simeprevir.
PMID- 24920914
TI - Cabozantinib for progressive metastatic medullary thyroid cancer: a review.
AB - Medullary thyroid cancer is uncommon and patients typically present with advanced
disease. Treatment options for patients with progressive, metastatic medullary
thyroid cancer had been limited until recently. Tyrosine kinase inhibitors have
garnered increasing interest in this subset of patients. The US Food and Drug
Administration recently approved cabozantinib, a tyrosine kinase inhibitor, after
promising results were shown in a large Phase III clinical trial. This review
summarizes the clinical pharmacology, clinical trials, and safety data for
cabozantinib and concludes with a discussion of possible future directions for
the treatment of medullary thyroid cancer.
PMID- 24920915
TI - A double-blind, randomized trial, including frequent patient-physician contacts
and Ramadan-focused advice, assessing vildagliptin and gliclazide in patients
with type 2 diabetes fasting during Ramadan: the STEADFAST study.
AB - BACKGROUND: Several observational studies were conducted with vildagliptin in
patients with type 2 diabetes mellitus (T2DM) fasting during Ramadan, showing
significantly lower incidences of hypoglycemia with vildagliptin versus
sulfonylureas, including gliclazide. It was of interest to complement the
existing real-life evidence with data from a randomized, double-blind, clinical
trial. CLINICAL TRIALS IDENTIFIER: NCT01758380. METHODS: This multiregional,
double-blind study randomized 557 patients with T2DM (mean glycated hemoglobin
[HbA1c], 6.9%), previously treated with metformin and any sulfonylurea to receive
either vildagliptin (50 mg twice daily) or gliclazide plus metformin. The study
included four office visits (three pre-Ramadan) and multiple telephone contacts,
as well as Ramadan-focused advice. Hypoglycemic events were assessed during
Ramadan; HbA(1c) and weight were analyzed before and after Ramadan. RESULTS: The
proportion of patients reporting confirmed (<3.9 mmol/L and/or severe)
hypoglycemic events during Ramadan was 3.0% with vildagliptin and 7.0% with
gliclazide (P=0.039; one-sided test), and this was 6.0% and 8.7%, respectively,
for any hypoglycemic events (P=0.173). The adjusted mean change pre- to post
Ramadan in HbA(1c) was 0.05%+/-0.04% with vildagliptin and -0.03%+/-0.04% with
gliclazide, from baselines of 6.84% and 6.79%, respectively (P=0.165). In both
groups, the adjusted mean decrease in weight was -1.1+/-0.2 kg (P=0.987). Overall
safety was similar between the treatments. CONCLUSION: In line with the results
from previous observational studies, vildagliptin was shown in this
interventional study to be an effective, safe, and well-tolerated treatment in
patients with T2DM fasting during Ramadan, with a consistently low incidence of
hypoglycemia across studies, accompanied by good glycemic and weight control. In
contrast, gliclazide showed a lower incidence of hypoglycemia in the present
interventional than the previous observational studies. This is suggested to be
linked to the specific circumstances of this study, including frequent patient
physician contacts, Ramadan-focused advice, a recent switch in treatment, and
very well-controlled patients, which is different from what is often seen in real
life.
PMID- 24920916
TI - Sensory impairments in community health care: a descriptive study of hearing and
vision among elderly Norwegians living at home.
AB - BACKGROUND: Hearing and vision impairments increase with age and are common risk
factors for functional decline reduced social participation and withdrawal.
OBJECTIVE: Describe the hearing and vision of home care patients older than 80
years. METHODS: Ninety-three older adults (80+ years) receiving home care were
screened for hearing and vision in their homes. Data were collected using a HEINE
Mini 3000((r)) Otoscope to examine the eardrum and presence of earwax, an Entomed
SA201-IV portable pure-tone audiometer to measure the pure-tone average (PTAV), a
logarithm of the minimum angle of resolution chart to measure visual acuity (VA),
and the Combined Serious Sensory Impairment interview guide. RESULTS: Slight and
moderate hearing impairments were found in 41% and 47% of the population,
respectively (mean PTAV =40.4 dB for the better ear), and 40% and 56% had
impaired and slightly impaired vision, respectively (mean VA =0.45 for the better
eye). The participants' self-assessments of hearing and vision were only weakly
correlated with PTAV and VA values. The visual function was significantly worse
in men than in women (P=0.033). Difficulty in performing instrumental activities
of daily living because of hearing and vision impairments was experienced by 17%
of the participants, whereas 76% experienced no difficulties. When many people
were present, 72% of the participants found it difficult to understand speech.
Nearly 30% found it tiring to read, and 41% could not read very small print.
CONCLUSION: The patients' self-assessments of their hearing and vision did not
correlate strongly with their VA and PTAV scores. Asking the elderly about their
overall hearing and vision ability is not sufficient for detecting sensory
impairment, and asking more specific questions about what they could not hear and
see was not an adequate indicator of the patients' hearing and vision problems.
To detect hearing and vision impairments among elderly home care patients,
standardized measurements of their hearing and vision are necessary.
PMID- 24920917
TI - Overcoming obstacles to establish a multidisciplinary team approach to
hepatobiliary diseases: a working model in a Caribbean setting.
AB - INTRODUCTION: By providing a structured forum to exchange information and ideas,
multidisciplinary team meetings improve working relationships, expedite
investigations, promote evidence-based treatment, and ultimately improve clinical
outcomes. METHODS: This discursive paper reports the introduction of a
multidisciplinary team approach to manage hepatobiliary diseases in Jamaica,
focusing on the challenges encountered and the methods used to overcome these
obstacles. CONCLUSION: Despite multiple challenges in resource-limited
environments, a multidisciplinary team approach can be incorporated into clinical
practice in developing nations. Policy makers should make it a priority to
support clinical, operational, and governance aspects of the multidisciplinary
teams.
PMID- 24920918
TI - Australian physiotherapists and their engagement with people with chronic pain:
do their emotional responses affect practice?
AB - This study explores the experiences of Australian physiotherapists who see people
with chronic pain as part of their daily practice. It has been established in the
literature that Australian physiotherapists do not manage people with chronic
pain well; however, the reasons for this are not well understood. This study
aimed to explore this phenomenon through a qualitative approach that generated
data about the perceptions of physiotherapists in regard to caring for people
with chronic pain. Fourteen physiotherapists were interviewed using a semi
structured interview approach. The results indicate that the therapists
experience emotional responses to people with chronic pain, which lead to
difficulties in being able to successfully provide effective care. These findings
also provide the beginnings of a framework that may support physiotherapists in
engaging more successfully with people with chronic pain.
PMID- 24920919
TI - Positron emission tomographic monitoring of dual phosphatidylinositol-3-kinase
and mTOR inhibition in anaplastic large cell lymphoma.
AB - BACKGROUND: Dual phosphatidylinositol-3-kinase (PI3K)/mammalian target of
rapamycin (mTOR) inhibition offers an attractive therapeutic strategy in
anaplastic large cell lymphoma depending on oncogenic nucleophosmin-anaplastic
lymphoma kinase (NPM-ALK) signaling. We tested the efficacy of a novel dual
PI3K/mTOR inhibitor, NVP-BGT226 (BGT226), in two anaplastic large cell lymphoma
cell lines in vitro and in vivo and performed an early response evaluation with
positron emission tomography (PET) imaging using the standard tracer, 2-deoxy-2
[(18)F]fluoro-D-glucose (FDG) and the thymidine analog, 3'-deoxy-3'-[(18)F]
fluorothymidine (FLT). METHODS: The biological effects of BGT226 were determined
in vitro in the NPM-ALK positive cell lines SU-DHL-1 and Karpas299 by 3-[4,5
Dimethylthiazol-2-yl]-2,5-diphenyltetrazolium bromide assay, propidium iodide
staining, and biochemical analysis of PI3K and mTOR downstream signaling. FDG-PET
and FLT-PET were performed in immunodeficient mice bearing either SU-DHL-1 or
Karpas299 xenografts at baseline and 7 days after initiation of treatment with
BGT226. Lymphomas were removed for immunohistochemical analysis of proliferation
and apoptosis to correlate PET findings with in vivo treatment effects. RESULTS:
SU-DHL-1 cells showed sensitivity to BGT226 in vitro, with cell cycle arrest in
G0/G1 phase and an IC50 in the low nanomolar range, in contrast with Karpas299
cells, which were mainly resistant to BGT226. In vivo, both FDG-PET and FLT-PET
discriminated sensitive from resistant lymphoma, as indicated by a significant
reduction of tumor-to-background ratios on day 7 in treated SU-DHL-1 lymphoma
bearing animals compared with the control group, but not in animals with
Karpas299 xenografts. Imaging results correlated with a marked decrease in the
proliferation marker Ki67, and a slight increase in the apoptotic marker, cleaved
caspase 3, as revealed by immunostaining of explanted lymphoma tissue.
CONCLUSION: Dual PI3K/mTOR inhibition using BGT226 is effective in ALK-positive
anaplastic large cell lymphoma and can be monitored with both FDG-PET and FLT-PET
early on in the course of therapy.
PMID- 24920920
TI - Prior EGFR tyrosine-kinase inhibitor therapy did not influence the efficacy of
subsequent pemetrexed plus platinum in advanced chemonaive patients with EGFR
mutant lung adenocarcinoma.
AB - BACKGROUND: Tumor cells before and after epidermal growth-factor receptor (EGFR)
tyrosine-kinase inhibitor (TKI) therapy might display different characteristics.
The aim of this study was to evaluate the influence of prior EGFR TKI therapy on
the efficacy of subsequent pemetrexed plus platinum (PP) in advanced chemonaive
patients with EGFR-mutant lung adenocarcinoma. MATERIALS AND METHODS: Advanced
chemonaive patients with EGFR-mutant lung adenocarcinoma receiving PP as first
line chemotherapy were enrolled retrospectively in two medical centers of Taiwan.
The objective of this study was to compare objective response rate (ORR), disease
control rates (DCR), progression-free survival (PFS), and overall survival (OS)
of PP in patients with and without prior EGFR TKI therapy. RESULTS: In total, 105
patients were analyzed. Sixty-one patients (58.1%) had prior EGFR TKI therapy and
used PP as second-line treatment. The other 44 patients (41.9%) received PP as
first-line therapy. ORRs of PP in patients with and without prior EGFR TKI
therapy were 24.6% and 38.6%, respectively (P=0.138). DCRs of the two groups were
62.3% and 65.9%, respectively (P=0.837). The median PFS (6.1 versus 6.1 months,
P=0.639) and OS (34.4 versus 32.3 months, P=0.394) were comparable between the
groups with and without prior EGFR TKI therapy. In a subgroup analysis of
patients with prior EGFR TKI therapy, there was no significant association
between the efficacy of first-line EGFR TKI and the outcome of subsequent PP
therapy. CONCLUSION: Our results suggested that prior EGFR TKI therapy would not
influence the efficacy of subsequent PP therapy in advanced chemonaive patients
with EGFR-mutant lung adenocarcinoma.
PMID- 24920921
TI - Preliminary analysis of the risk factors for radiation pneumonitis in patients
with non-small-cell lung cancer treated with concurrent erlotinib and thoracic
radiotherapy.
AB - PURPOSE: The aim of this study was to investigate radiation pneumonitis and its
associated risk factors in patients with non-small-cell lung cancer treated with
concurrent erlotinib and thoracic radiotherapy. MATERIALS AND METHODS: We
conducted an analysis of patients with nonoperable stage IIIA-IV non-small-cell
lung cancer who were treated with concurrent thoracic radiotherapy and erlotinib
(ClinicalTrials.gov identifier: NCT00973310). The Common Terminology Criteria for
Adverse Events version 3.0 grading system was applied to evaluate the incidence
of radiation pneumonitis. The lung dosimetric parameters were recorded in
accordance with the treatment plan, and the study endpoint was radiation
pneumonitis at grade 2 or more. RESULTS: Among the 24 selected clinical cases,
nine were identified with radiation pneumonitis of grade 2 or above (37.5%). This
included four cases with grade 2 (16.7%), two cases with grade 3 (8.3%), and
three cases with grade 5 (12.5%). The results showed that the planning target
volume was a significant factor affecting the incidence of radiation pneumonitis.
All lung dosimetric parameters exhibited statistically significant differences
between patients with pneumonitis and patients without pneumonitis. The receiver
operating characteristic (ROC) curve analysis showed that all lung dosimetric
parameters were useful in predicting the incidence of radiation pneumonitis. In
addition, the threshold values of V5, V10, V15, V20, V30, and mean lung dose were
>44%, >29%, >27%, >22%, >17% and >1,027 cGy, respectively. CONCLUSION: Special
attention should be paid to the adverse effects of radiation pneumonitis in
concurrent thoracic radiotherapy and erlotinib treatment. Lung dosimetric
parameters are important predictive factors in radiation pneumonitis.
PMID- 24920923
TI - Comprehensive clinical and pathological analysis of aggressive renal epithelioid
angiomyolipoma: report of three cases.
AB - Renal angiomyolipoma (AML) is recognized as a benign hamartomatous lesion arising
in the kidney with no obvious malignant potential. However, epithelioid AML
(EAML), a rare variant of AML, is potentially malignant, with aggressive clinical
features. It can occur in patients with or without tuberous sclerosis. Because
EAML may mimic renal cell carcinoma in imaging studies, differentiation of this
tumor from renal cell carcinoma preoperatively is difficult. At times, the
lesions may extend into the renal vein and inferior vena cava or metastasize to
other organs such as the lung and liver. To clarify the biological nature of
EAML, three specific cases that we encountered in clinical practice are analyzed
and reported in detail.
PMID- 24920922
TI - Prevalence and relationship between major depressive disorder and lung cancer: a
cross-sectional study.
AB - OBJECTIVE: The aims of this study were to estimate the prevalence and examine the
factors associated with major depressive disorder (MDD) in lung cancer patients.
MATERIALS AND METHODS: This cross-sectional study was carried out in the oncology
clinic of the University Hospital, Chiang Mai University, Thailand. Patients with
all stages of lung cancer were included in this study. Demographic data of
eligible patients were gathered. The Mini-International Neuropsychiatric
Interview, Thai version 5.0.0 was used to identify MDD. The Thai version of the
Personal Health Questionnaire Depression Scale was used to assess depression
severity. RESULTS: A total of 146 lung cancer patients from the outpatient clinic
from July to December 2012 were approached. The 104 patients were included and
analyzed in this study. Based on the Mini-International Neuropsychiatric
Interview, 14.4% of them were defined as having MDD. Multiple linear regression
analysis revealed that Chalder Fatigue Scale, Functional Assessment of Cancer
Therapy - Lung, and Pittsburgh Sleep Quality Index scores were significantly
correlated with MDD in lung cancer patients. CONCLUSION: The results suggest that
MDD is more prevalent in lung cancer patients. In addition, fatigue, poor quality
of life, and sleep disturbance may increase associated MDD. Because of the small
sample size, further studies should be conducted to confirm these results.
PMID- 24920924
TI - Serial changes of clinical parameters in a patient with advanced hepatocellular
carcinoma with portal vein thrombosis achieving complete response after treatment
with sorafenib.
AB - The prognosis is usually poor in advanced hepatocellular carcinoma (HCC).
Sorafenib is approved for Child-Pugh class A patients with unresectable and
advanced HCC. We report here a rare case of a patient with advanced HCC with
right portal vein thrombosis (PVT) who achieved a complete response after
treatment with sorafenib. This 74-year-old man was a case of non-hepatitis B and
C virus-related cirrhosis. Multiphase liver computed tomography showed an 8 cm
tumor with early enhance, early wash out, and right PVT at segment 8 of the right
lobe. A liver tumor biopsy confirmed the diagnosis of poorly differentiated HCC.
Blood tests showed Child-Pugh class A cirrhosis and an alpha-fetoprotein level of
33,058 ng/mL. Sorafenib was initiated at 800 mg/day but was eventually reduced to
400 mg every other day because of a grade 3 hand-foot skin reaction. The alpha
fetoprotein (AFP) level decreased rapidly with a linear trend after treatment.
After log transformation, the calculated half-life of AFP was 6.84 days. There
was no more tumor arterial enhancement, and tumor size was decreased to 3.7 cm on
day 42. PVT shrank gradually and localized to the right anterior branch at month
9. There was no recurrence of tumor at the end of follow-up in month 19. Typical
serial changes of clinical parameters were demonstrated in this patient.
PMID- 24920925
TI - Cerium oxide nanoparticles in cancer.
AB - With the development of many nanomedicines designed for tumor therapy, the
diverse abilities of cerium oxide nanoparticles (CONPs) have encouraged
researchers to pursue CONPs as a therapeutic agent to treat cancer. Research data
have shown CONPs to be toxic to cancer cells, to inhibit invasion, and to
sensitize cancer cells to radiation therapy and chemotherapy. CONPs also display
minimal toxicity to normal tissues and provide protection from various forms of
reactive oxygen species generation. Differential cytotoxicity is important for
anticancer drugs to distinguish effectively between tumor cells and normal cells.
The antioxidant capabilities of CONPs, which enable cancer therapy protection,
have also resulted in the exploration of these particles as a potential
anticancer treatment. Taken together, CONPs might be a potential nanomedicine for
cancer therapy and this review highlights the current research into CONPs as a
novel therapeutic for the treatment of cancer.
PMID- 24920926
TI - Critical appraisal of the role of gefitinib in the management of locally advanced
or metastatic non-small cell lung cancer.
AB - Past studies have demonstrated that epidermal growth factor receptor (EGFR)
tyrosine kinase inhibitors can significantly improve clinical outcomes in
patients with locally advanced or metastatic non-small cell lung cancer (NSCLC)
and sensitive EGFR gene mutations. Gefitinib (Iressa((r))), the first oral EGFR
tyrosine kinase inhibitor, has been shown to be more effective and better
tolerated than chemotherapy either in first-line or second-line treatment for
patients with advanced NSCLC harboring sensitive EGFR mutations. Conversely,
among patients with wild-type EGFR, gefitinib is inferior to standard
chemotherapy in both the first-line and second-line settings. Further, gefitinib
is effective in patients with brain metastases because of its low molecular
weight and excellent penetration of the blood-brain barrier. In this review, we
summarize the current data from clinical trials with gefitinib and appraise its
role in the management of locally advanced or metastatic NSCLC.
PMID- 24920927
TI - Clinical significance of promoter region hypermethylation of microRNA-148a in
gastrointestinal cancers.
AB - BACKGROUND: MicroRNAs are associated with tumor genesis and progression in
various carcinomas. MicroRNA-148a (miR-148a) was reported to have low expression
in gastrointestinal cancers, and might be regulated by promoter region DNA
methylation. METHODS: Bisulfite-modified sequencing was used to determine the
promoter region DNA methylation status of human gastrointestinal cancer cell
lines. Expression levels of miR-148a in cell lines treated with 5-aza-2'
deoxycytidine were determined by quantitative real-time polymerase chain
reaction. Total DNA was extracted from the tissues of 64 patients with gastric
cancer and 51 patients with colorectal cancer. Methylation status was determined
by methylation-specific polymerase chain reaction. All statistical analyses were
performed with SPSS 17.0 software. RESULTS: The promoter regions of genes in
human gastrointestinal cancer cell lines were all hypermethylated, except for HT
29, and the expression of miR-148a tended to be higher than in controls after
treatment with 5-aza-2'-deoxycytidine. The methylation-specific polymerase chain
reaction results showed that 56.25% of gastric cancer tissues and 19.61% of
colorectal cancer tissues were hypermethylated. A strong correlation was found
between the expression of miR-148a and the methylation status of promoter regions
(P<0.001, chi-square test and Pearson's correlation). Furthermore, promoter
region CpG site hypermethylation of miR-148a was correlated with increased tumor
size (P=0.01) in gastric cancer after analyzing the correlation between
methylation status and clinicopathologic characteristics. CONCLUSION: The
promoter region CpG sites were hypermethylated in gastrointestinal cancers.
Promoter region hypermethylation status was associated with the expression of miR
148a and tumor invasiveness in gastric cancer, and may prove to be a new
biomarker and method for treating gastric cancer.
PMID- 24920928
TI - Elimination diets in the management of eosinophilic esophagitis.
AB - Eosinophilic esophagitis, an increasingly recognized chronic inflammatory
disorder isolated to the esophagus, is triggered by an abnormal allergic response
to dietary antigens. Current treatment includes swallowed topical steroids and
dietary modification, which aim to resolve symptoms and prevent long-term
complications such as formation of strictures. The dietary approach has become
more widely accepted because long-term steroid therapy is associated with
potential risks. Dietary treatment includes elemental and elimination diets. An
exclusive elemental diet, which requires replacement of all intact protein with
amino acid-based formula, offers the best response of all available therapies,
with remission in up to 96% of subjects proving it to be superior to all other
available therapies including topical steroids. However, compliance with this
approach is challenging because of poor taste and monotony. The high cost of
formula and the associated psychosocial problems are additional drawbacks of this
approach. Empiric and allergy test-directed elimination diets have gained
popularity given that elimination of a limited number of foods is much easier and
as such is more readily acceptable. There is a growing body of literature
supporting this type of therapy in both children and adults. This paper reviews
the evidence for all types of dietary therapy in eosinophilic esophagitis.
PMID- 24920929
TI - Holistic approach to prevention and management of type 2 diabetes mellitus in a
family setting.
AB - Diabetes mellitus (DM) is a chronic, progressive metabolic disorder with several
complications that affect virtually all the systems in the human body. Type 2 DM
(T2DM) is a major risk factor for cardiovascular disease (CVD). The management of
T2DM is multifactorial, taking into account other major modifiable risk factors,
like obesity, physical inactivity, smoking, blood pressure, and dyslipidemia. A
multidisciplinary team is essential to maximize the care of individuals with DM.
DM self-management education and patient-centered care are the cornerstones of
management in addition to effective lifestyle strategies and pharmacotherapy with
individualization of glycemic goals. Robust evidence supports the effectiveness
of this approach when implemented. Individuals with DM and their family members
usually share a common lifestyle that, not only predisposes the non-DM members to
developing DM but also, increases their collective risk for CVD. In treating DM,
involvement of the entire family, not only improves the care of the DM individual
but also, helps to prevent the risk of developing DM in the family members.
PMID- 24920931
TI - Regulation of dipeptidyl peptidase 4 production in adipocytes by glucose.
AB - OBJECTIVE: Type 1 and 2 diabetes are characterized by elevated blood glucose
levels and increased dipeptidyl peptidase 4 (DPP4) activity levels in the serum.
However, previous studies reported a negative correlation between glucose
concentrations and DPP4 levels. The purpose of this study was to elucidate the
connection between glucose and DPP4 in adipocytes under physiological and
diabetic conditions, because DPP4 is an adipokine. METHODS: Blood glucose and
serum DPP4 levels were measured, and adipocytes were collected from mice under
normal, high-fat diet fed, and diabetic conditions. The adipocytes obtained were
incubated for 24 hours in medium containing 5.5 or 25 mM glucose, and 3T3-L1
preadipocytes were differentiated under 5.5 or 25 mM glucose. Adipocytes from
mice and 3T3-L1 were stimulated by tumor necrosis factor-alpha (TNF-alpha) for 24
hours. The levels of released and intracellular DPP4 were determined by enzyme
linked immunosorbent assay. RESULTS: Mice fed high-fat diet had lower serum DPP4
levels in the first and second week than controls. However, this difference
gradually disappeared over 6 weeks. The differentiation of 3T3-L1 adipocytes
under 25 mM glucose produced lower DPP4 levels than those differentiated under
5.5 mM; this was also observed in isolated adipocytes from mice. However, these
effects of glucose were lost in adipocytes from diabetic mice, and an increase in
total DPP4 levels was observed. The stimulation of adipocytes with TNF-alpha
increased the release of DPP4 irrespective of glucose concentration. CONCLUSION:
The production of DPP4 in adipocytes was negatively regulated by 25 mM glucose
under physiological conditions, but not in diabetic mice. Our results suggest
that the observed increase in serum DPP4 levels may be attributed to increased
production of DPP4 in adipocytes and an enhancement in TNF-alpha-induced release.
PMID- 24920930
TI - Importance of cardiovascular disease risk management in patients with type 2
diabetes mellitus.
AB - Type 2 diabetes mellitus (T2DM) is commonly accompanied by other cardiovascular
disease (CVD) risk factors, such as hypertension, obesity, and dyslipidemia.
Furthermore, CVD is the most common cause of death in people with T2DM. It is
therefore of critical importance to minimize the risk of macrovascular
complications by carefully managing modifiable CVD risk factors in patients with
T2DM. Therapeutic strategies should include lifestyle and pharmacological
interventions targeting hyperglycemia, hypertension, dyslipidemia, obesity,
cigarette smoking, physical inactivity, and prothrombotic factors. This article
discusses the impact of modifying these CVD risk factors in the context of T2DM;
the clinical evidence is summarized, and current guidelines are also discussed.
The cardiovascular benefits of smoking cessation, increasing physical activity,
and reducing low-density lipoprotein cholesterol and blood pressure are well
established. For aspirin therapy, any cardiovascular benefits must be balanced
against the associated bleeding risk, with current evidence supporting this
strategy only in certain patients who are at increased CVD risk. Although
overweight, obesity, and hyperglycemia are clearly associated with increased
cardiovascular risk, the effect of their modification on this risk is less well
defined by available clinical trial evidence. However, for glucose-lowering
drugs, further evidence is expected from several ongoing cardiovascular outcome
trials. Taken together, the evidence highlights the value of early intervention
and targeting multiple risk factors with both lifestyle and pharmacological
strategies to give the best chance of reducing macrovascular complications in the
long term.
PMID- 24920932
TI - Use of tazarotene foam for the treatment of acne vulgaris.
AB - Acne vulgaris is a common inflammatory chronic disease of the pilosebaceous unit.
It often requires long-term treatment, resulting in increased demand for topical
medications that are popular with patients in order to achieve long-term
compliance. Tazarotene foam 0.1% is a novel formulation of tazarotene. We review
efficacy and tolerability studies of the new formulation, and suggest a possible
place for the product in the management of acne vulgaris.
PMID- 24920933
TI - Predictability of the individual clinical outcome of extracorporeal shock wave
therapy for cellulite.
AB - BACKGROUND: Extracorporeal shock wave therapy has been successfully introduced
for the treatment of cellulite in recent years. However, it is still unknown
whether the individual clinical outcome of cellulite treatment with
extracorporeal shock wave therapy can be predicted by the patient's individual
cellulite grade at baseline, individual patient age, body mass index (BMI),
weight, and/or height. METHODS: Fourteen Caucasian females with cellulite were
enrolled in a prospective, single-center, randomized, open-label Phase II study.
The mean (+/- standard error of the mean) cellulite grade at baseline was 2.5+/
0.09 and mean BMI was 22.8+/-1.17. All patients were treated with radial
extracorporeal shock waves using the Swiss DolorClast((r)) device (Electro
Medical Systems, S.A., Nyon, Switzerland). Patients were treated unilaterally
with 2 weekly treatments for 4 weeks on a randomly selected side (left or right),
totaling eight treatments on the selected side. Treatment was performed at 3.5
4.0 bar, with 15,000 impulses per session applied at 15 Hz. Impulses were
homogeneously distributed over the posterior thigh and buttock area (resulting in
7,500 impulses per area). Treatment success was evaluated after the last
treatment and 4 weeks later by clinical examination, photographic documentation,
contact thermography, and patient satisfaction questionnaires. RESULTS: The mean
cellulite grade improved from 2.5+/-0.09 at baseline to 1.57+/-0.18 after the
last treatment (ie, mean delta-1 was 0.93 cellulite grades) and 1.68+/-0.16 at
follow-up (ie, mean delta-2 was 0.82 cellulite grades). Compared with baseline,
no patient's condition worsened, the treatment was well tolerated, and no
unwanted side effects were observed. No statistically significant (ie, P<0.05)
correlation was found between individual values for delta-1 and delta-2 and
cellulite grade at baseline, BMI, weight, height, or age. CONCLUSION: Radial
shock wave therapy is a safe and effective treatment option for cellulite. The
individual clinical outcome cannot be predicted by the patient's individual
cellulite grade at baseline, BMI, weight, height, or age.
PMID- 24920936
TI - Satisfaction and health-related quality of life in women with heavy menstrual
bleeding; results from a non-interventional trial of the levonorgestrel-releasing
intrauterine system or conventional medical therapy.
AB - PURPOSE: To evaluate the patient satisfaction and health related quality of life
(HRQoL) for levonorgestrel-releasing intrauterine system (LNG-IUS) versus
conventional medical treatments ([CMTs] combined oral contraceptives, oral
progestins, and antifibrinolytics, alone or in combination) in Asian women with
heavy menstrual bleeding (HMB). PATIENTS AND METHODS: A total of 647 patients
diagnosed with HMB were recruited to this non-interventional study from the eight
participating countries in Asia. Patient satisfaction was recorded at the last
visit (at 12 months or premature discontinuation). At each visit (at 3, 6, and 12
months), patients completed the menorrhagia multi-attribute scale (MMAS) to
assess HRQoL. RESULTS: A total of 83.5% of patients on the LNG-IUS were "very
satisfied" or at least "satisfied" with the therapeutic effect of HMB treatment,
compared with 59.2% of patients with CMTs (P<0.05). The mean (+/- standard
deviation) MMAS score increased from 41.4+/-24.5 to 87.7+/-21.4 in the LNG-IUS
arm, and from 44.1+/-24.9 to 73.1+/-25.3 in the CMTs arm. This increase was
significantly higher in patients on the LNG-IUS, as compared with those on CMTs
(P<0.05). The improvement in HRQoL in both treatment groups correlated with the
body mass index of the patient, with larger improvement obtained in women with a
higher body mass index. CONCLUSION: The majority of women using the LNG-IUS or
CMTs for HMB were satisfied with their treatment, and both treatment modalities
were associated with significant improvements in HRQoL over time. The improvement
was greater with the LNG-IUS, compared with CMTs.
PMID- 24920935
TI - Clinical epidemiology of epithelial ovarian cancer in the UK.
AB - Epithelial ovarian cancer is the fifth commonest cancer among women and the
leading cause of gynecological cancer death in the UK. Most women present with
advanced disease, mainly because the nonspecific nature of the symptoms lead to
diagnostic delays. Recent data have shown a fall in ovarian cancer mortality
rates in the UK, but rates are still higher when compared to other European
countries or the USA. In addition, surgeons in the UK achieve on average lower
optimal surgical cytoreduction rates in patients with advanced ovarian cancer.
Despite a wealth of information on epidemiological risk factors, the pathogenesis
of epithelial ovarian cancer remains largely unknown. This review presents the
most recent data on incidence, mortality, and survival for epithelial ovarian
cancer in the UK. Time trends, trends by age, international comparisons, and
regional variation in incidence, survival, and mortality are presented within the
context of a major reorganization of cancer services that took place in the UK
over 10 years ago. Centralization of cancer services has meant that women with
ovarian cancer receive treatment in specialist Cancer Centers.
PMID- 24920934
TI - Pain control following inguinal herniorrhaphy: current perspectives.
AB - Inguinal hernia repair is one of the most common surgeries performed worldwide.
With the success of modern hernia repair techniques, recurrence rates have
significantly declined, with a lower incidence than the development of chronic
postherniorrhaphy inguinal pain (CPIP). The avoidance of CPIP is arguably the
most important clinical outcome and has the greatest impact on patient
satisfaction, health care utilization, societal cost, and quality of life. The
etiology of CPIP is multifactorial, with overlapping neuropathic and nociceptive
components contributing to this complex syndrome. Treatment is often challenging,
and no definitive treatment algorithm exists. Multidisciplinary management of
this complex problem improves outcomes, as treatment must be individualized.
Current medical, pharmacologic, interventional, and surgical management
strategies are reviewed.
PMID- 24920937
TI - Brachytherapy in the treatment of cervical cancer: a review.
AB - Dramatic advances have been made in brachytherapy for cervical cancer. Radiation
treatment planning has evolved from two-dimensional to three-dimensional,
incorporating magnetic resonance imaging and/or computed tomography into the
treatment paradigm. This allows for better delineation and coverage of the tumor,
as well as improved avoidance of surrounding organs. Consequently, advanced
brachytherapy can achieve very high rates of local control with a reduction in
morbidity, compared with historic approaches. This review provides an overview of
state-of-the-art gynecologic brachytherapy, with a focus on recent advances and
their implications for women with cervical cancer.
PMID- 24920938
TI - Key determinants of induced abortion in women seeking postabortion care in
hospital facilities in Ouagadougou, Burkina Faso.
AB - INTRODUCTION: Despite the universal recognition of unsafe abortion as a major
public health problem, very little research has been conducted to document its
precipitating factors in Burkina Faso. Our aim was to investigate the key
determinants of induced abortion in a sample of women who sought postabortion
care. MATERIALS AND METHODS: A cross-sectional household survey was carried out
from February to September 2012 in Ouagadougou, Burkina Faso. Data of 37 women
who had had an induced abortion and 267 women who had had a spontaneous abortion
were prospectively collected on sociodemographic characteristics, pregnancy and
birth history, abortion experience, including previous abortion experience, and
selected clinical information, including the type of abortion. A two-step
regression analysis consisting of a univariate and a multivariate logistic
regression was run on Stata version 11.2 in order to identify the key
determinants of induced abortion. RESULTS: The findings indicated that 12% of all
abortions were certainly induced. Three key factors were significantly and
positively associated with the probability of having an induced abortion: whether
the woman reported that her pregnancy was unwanted (odds ratio [OR] 10.45, 95%
confidence interval [CI] 3.59-30.41); whether the woman reported was living in a
household headed by her parents (OR 6.83, 95% CI 2.42-19.24); and if the woman
reported was divorced or widowed (OR 3.47, 95% CI 1.08-11.10). On the contrary,
being married was protective against induced abortion, with women who reported
being married having an 83% (OR 0.17, CI 0.03-0.89) lower chance of having an
induced abortion, even when the pregnancy was unwanted. CONCLUSION: This study
has identified three major determinants of induced abortion in Ouagadougou,
Burkina Faso. Improved targeted programs on family planning counseling, methods
of contraception, and availability of contraceptives should be widely promoted.
PMID- 24920939
TI - Rationale, design, and cohort enrolment of a prospective observational study of
the clinical performance of the new contraceptive implant (Femplant) in Pakistan.
AB - INTRODUCTION: The use of hormonal implants has gained positive traction in family
planning programs in recent times. Compared to other popular methods, such as
long-term reversible intrauterine devices, the use of hormonal implants as a
family planning method has distinct advantages in terms of long-term efficiency
and better user compliance and availability. This paper presents a study protocol
to document and evaluate the efficacy, safety, and acceptability of Femplant
(contraceptive implant) in Pakistan during the first year of its use among
married women of reproductive age (18-44 years) at clinics in two provinces of
Pakistan (Sindh and Punjab). MATERIALS AND METHODS: A total of 724 married women
were enrolled in a noncomparative prospective observational study. The study
involved six government clinics from the Population Welfare Department in Sindh
Province and 13 clinics run by the Marie Stopes Society (a local nongovernmental
organization) in both provinces. The participation of women was subject to
voluntary acceptance and medical eligibility. All respondents were interviewed at
baseline and subsequently at each scheduled visit during the study period. Side
effects, complications and adverse events, if any, were recorded for every
participant at each visit to the facility. DISCUSSION: Over the next 5-year
period (2013-2018), 27 million hormonal implants will be made available in lower-
to middle-income countries by international donors and agencies. The evidence
generated from this study will identify factors affecting the acceptability and
satisfaction of end users with Femplant (Sino-implant II). This will help to
guide policies to enhance access to and the use of long-acting contraceptive
implants in Pakistan and similar developing countries.
PMID- 24920940
TI - Critical evaluation of stents in the peripheral arterial disease of the
superficial femoral artery - focus on the paclitaxel eluting stent.
AB - The endovascular management of obstructive disease of the superficial femoral
artery (SFA) is challenging due to unique anatomical and biomechanical forces.
Obstructive lesions of the SFA make up the largest proportion of lesions leading
to symptomatic peripheral arterial disease. Accordingly, endovascular treatment
of SFA disease is becoming increasingly common and, in many cases, is the
preferred initial therapy. The use of self-expanding nitinol stents have proven
superior to percutaneous transluminal balloon angioplasty in the treatment of
intermediate length SFA stenosis. However, achieving durable results, as well as
attaining adequate therapy for long occlusions typically seen in clinical
practice, remains problematic. Newer technologies, such as paclitaxel eluting
stents, seem promising in improving outcomes.
PMID- 24920941
TI - Obstructive sleep apnea and psychomotor vigilance task performance.
AB - BACKGROUND: Obstructive sleep apnea (OSA) is a highly prevalent disorder with
considerable morbidity and mortality. Vigilance and attentiveness are often
impaired in OSA patients. In occupational medicine settings, subjective reports
of sleepiness are notoriously inaccurate, making the identification of objective
measures of vigilance potentially important for risk assessments of fitness for
duty. In order to evaluate the effects of OSA on attentiveness and vigilance, we
conducted a cross-sectional study to examine the association between OSA and
psychomotor vigilance task (PVT) performance. METHODS: Patients attending sleep
clinics for evaluation of possible sleep apnea were recruited. The subjects
underwent either a standard overnight laboratory polysomnography or home sleep
study. Subjective daytime sleepiness was assessed by Epworth sleepiness scale,
and vigilance was tested using a portable device. The participants were asked to
respond to the PVT signals using their dominant hand. Each PVT administration
lasted 10 minutes, with stimuli signals appearing randomly at variable intervals
of 2-10 seconds. RESULTS: Mean age of the participants was 46+/-15 years, and
mean body mass index was 34.3+/-9.8 kg/m(2). Participants with higher Epworth
scores had worse PVT performance (P<0.05). In multivariate analyses, age, body
mass index, and poor sleep efficiency (measured by Pittsburgh sleep quality index
score) were associated with worse PVT performance (P<0.05). In contrast, PVT
performance did not differ significantly across categories of apnea hypopnea
index severity. Subgroup analysis demonstrated that women had worse performance
on all PVT measures (P<0.05). CONCLUSION: PVT performance can be utilized for
risk assessments of sleepiness and may be particularly useful among populations
where subjective reports are unreliable.
PMID- 24920942
TI - Influence of the timing of administration of crystalloid on maternal hypotension
during spinal anesthesia for cesarean delivery: preload versus coload.
AB - BACKGROUND: Prophylactic fluid preloading before spinal anesthesia has been a
routine procedure to prevent maternal hypotension during cesarean delivery.
Unlike colloid, timing of infusion of crystalloid may be important because of its
short stay in intravascular space. We hypothesized that crystalloid loading just
after intrathecal injection compared to preload would be more effective in
preventing maternal hypotension. METHODS: In this prospective controlled study,
sixty parturients were randomized to receive 15 ml/kg of crystalloid before
(preload group) or after (coload group) intrathecal drug injection for spinal
anesthesia. Hypotension was defined if systolic arterial pressure decreased below
80% of baseline and ephedrine was administered to treat hypotension. The
incidence of hypotension and the total dose of ephedrine were checked. Blood
pressure, heart rate and nausea before childbirth were assessed. Neonatal
outcomes were evaluated with Apgar scores and umbilical blood gas analysis.
RESULTS: The incidence of hypotension was lower in the coload group compared to
the preload group (53% vs. 83%, P = 0.026). The blood pressure showed the bigger
drop during spinal anesthesia in the preload group (34 +/- 13 vs. 25 +/- 10 mmHg,
P = 0.002) and smaller dose of ephedrine was required in the coload group (7.5 [0
30] vs. 15 [0-40] mg, P = 0.015). The incidence of nausea was also lower in the
coload group (27% vs. 60%, P = 0.019). Neonatal outcome measures were comparable
between two groups. CONCLUSIONS: In case of using crystalloids for cesarean
delivery, coload is more effective than preload for the prevention of maternal
hypotension after spinal anesthesia. TRIAL REGISTRATION: Clinical Research
Information Service KCT0000324 (Jan 12(th), 2012).
PMID- 24920943
TI - Chemokines in the balance: maintenance of homeostasis and protection at CNS
barriers.
AB - In the adult central nervous system (CNS), chemokines and their receptors are
involved in developmental, physiological and pathological processes. Although
most lines of investigation focus on their ability to induce the migration of
cells, recent studies indicate that chemokines also promote cellular interactions
and activate signaling pathways that maintain CNS homeostatic functions. Many
homeostatic chemokines are expressed on the vasculature of the blood brain
barrier (BBB) including CXCL12, CCL19, CCL20, and CCL21. While endothelial cell
expression of these chemokines is known to regulate the entry of leukocytes into
the CNS during immunosurveillance, new data indicate that CXCL12 is also involved
in diverse cellular activities including adult neurogenesis and neuronal
survival, having an opposing role to the homeostatic chemokine, CXCL14, which
appears to regulate synaptic inputs to neural precursors. Neuronal expression of
CX3CL1, yet another homeostatic chemokine that promotes neuronal survival and
communication with microglia, is partly regulated by CXCL12. Regulation of CXCL12
is unique in that it may regulate its own expression levels via binding to its
scavenger receptor CXCR7/ACKR3. In this review, we explore the diverse roles of
these and other homeostatic chemokines expressed within the CNS, including the
possible implications of their dysfunction as a cause of neurologic disease.
PMID- 24920944
TI - The intergenerational multiple deficit model and the case of dyslexia.
AB - Which children go on to develop dyslexia? Since dyslexia has a multifactorial
etiology, this question can be restated as: what are the factors that put
children at high risk for developing dyslexia? It is argued that a useful
theoretical framework to address this question is Pennington's (2006) multiple
deficit model (MDM). This model replaces models that attribute dyslexia to a
single underlying cause. Subsequently, the generalist genes hypothesis for
learning (dis)abilities (Plomin and Kovas, 2005) is described and integrated with
the MDM. Next, findings are presented from a longitudinal study with children at
family risk for dyslexia. Such studies can contribute to testing and specifying
the MDM. In this study, risk factors at both the child and family level were
investigated. This led to the proposed intergenerational MDM, in which both
parents confer liability via intertwined genetic and environmental pathways.
Future scientific directions are discussed to investigate parent-offspring
resemblance and transmission patterns, which will shed new light on disorder
etiology.
PMID- 24920945
TI - Contingent capture of involuntary visual attention interferes with detection of
auditory stimuli.
AB - The involuntary capture of attention by salient visual stimuli can be influenced
by the behavioral goals of an observer. For example, when searching for a target
item, irrelevant items that possess the target-defining characteristic capture
attention more strongly than items not possessing that feature. Such contingent
capture involves a shift of spatial attention toward the item with the target
defining characteristic. It is not clear, however, if the associated decrements
in performance for detecting the target item are entirely due to involuntary
orienting of spatial attention. To investigate whether contingent capture also
involves a non-spatial interference, adult observers were presented with streams
of visual and auditory stimuli and were tasked with simultaneously monitoring for
targets in each modality. Visual and auditory targets could be preceded by a
lateralized visual distractor that either did, or did not, possess the target
defining feature (a specific color). In agreement with the contingent capture
hypothesis, target-colored distractors interfered with visual detection
performance (response time and accuracy) more than distractors that did not
possess the target color. Importantly, the same pattern of results was obtained
for the auditory task: visual target-colored distractors interfered with sound
detection. The decrement in auditory performance following a target-colored
distractor suggests that contingent capture involves a source of processing
interference in addition to that caused by a spatial shift of attention.
Specifically, we argue that distractors possessing the target-defining
characteristic enter a capacity-limited, serial stage of neural processing, which
delays detection of subsequently presented stimuli regardless of the sensory
modality.
PMID- 24920946
TI - Diagnostic tools of pleural effusion.
AB - Pleural effusion is not a rare disease in Korea. The diagnosis of pleural
effusion is very difficult, even though the patients often complain of typical
symptoms indicating of pleural diseases. Pleural effusion is characterized by the
pleural cavity filled with transudative or exudative pleural fluids, and it is
developed by various etiologies. The presence of pleural effusion can be
confirmed by radiological studies including simple chest radiography,
ultrasonography, or computed tomography. Identifying the causes of pleural
effusions by pleural fluid analysis is essential for proper treatments. This
review article provides information on the diagnostic approaches of pleural
effusions and further suggested ways to confirm their various etiologies, by
using the most recent journals for references.
PMID- 24920947
TI - Malignant pleural effusion: medical approaches for diagnosis and management.
AB - Malignant pleural effusions (MPEs) are the second leading cause of exudative
pleural effusions after parapneumonic effusions. In the vast majority of cases, a
MPE signifies incurable disease associated with high morbidity and mortality.
Considerable advances have been made for the diagnosis of MPEs, through the
development of improved methods in the specialized cytological and imaging
studies. The cytological or histological confirmation of malignant cells is
currently important in establishing a diagnosis. Furthermore, despite major
advancements in cancer treatment for the past two decades, management of MPE
remains palliative. This article presents a comprehensive review of the medical
approaches for diagnosis and management of MPE.
PMID- 24920948
TI - Progression-free survival: an important prognostic marker for long-term survival
of small cell lung cancer.
AB - BACKGROUND: Small cell lung cancer (SCLC) is an extremely aggressive tumor with a
poor clinical course. Although many efforts have been made to improve patients'
survival rates, patients who survive longer than 2 years after chemotherapy are
still very rare. We examined the baseline characteristics of patients with long
term survival rates in order to identify the prognostic factors for overall
survivals. METHODS: A total of 242 patients with cytologically or histologically
diagnosed SCLC were enrolled into this study. The patients were categorized into
long- and short-term survival groups by using a survival cut-off of 2 years after
diagnosis. Cox's analyses were performed to identify the independent factors.
RESULTS: The mean patient age was 65.66 years, and 85.5% were males; among the
patients, 61 of them (25.2%) survived longer than 2 years. In the multivariate
analyses, CRP (hazard ratio [HR], 2.75; 95% confidence interval [CI], 1.25-6.06;
p=0.012), TNM staging (HR, 3.29; 95% CI, 1.59-6.80; p=0.001), and progression
free survival (PFS) (HR, 11.14; 95% CI, 2.98-41.73; p<0.001) were independent
prognostic markers for poor survival rates. CONCLUSION: In addition to other well
known prognostic factors, this study discovered relationships between the long
term survival rates and serum CRP levels, TNM staging, and PFS. In situations
with unfavorable conditions, the PFS would be particularly helpful for managing
SCLC patients.
PMID- 24920949
TI - Influence of environmental exposures on patients with chronic obstructive
pulmonary disease in Korea.
AB - BACKGROUND: Chronic obstructive pulmonary disease (COPD) is characterized by
airflow limitation and results from environmental factors and genetic factors.
Although cigarette smoking is a major risk factor, other environmental exposures
can influence COPD. The purpose of this study is to investigate the clinical
characteristics of COPD according to the history of environmental exposure.
METHODS: The study population comprised of 347 subjects with COPD who were
recruited from the pulmonary clinics of 14 hospitals within the Korean
Obstructive Lung Disease Study Group. We classified environmental exposures
according to history of living near factory, and direct exposure history to
firewood or briquette. According to living environmental exposures, we compared
the frequency of respiratory symptoms, pulmonary function, quality of life,
exercise capacity, and computed tomography phenotypes. RESULTS: Thirty-one
subjects (8.9%) had history of living near factory, 271 (78.3%) had exposure
history to briquette, and 184 (53.3%) had exposure history to firewood. Patients
with history of living near a factory had a significantly longer duration of
sputum, while patients with exposure to firewood tended to have lower forced
expiratory volume in one second, and patients with exposure to briquette tended
to have lower six minute walk distance. CONCLUSION: COPD subjects with the
history of living near factory had more frequent respiratory symptoms such as
sputum. Our data suggest that environmental exposure may influence clinical
phenotype of COPD.
PMID- 24920950
TI - A case of catamenial hemoptysis treated by bronchial artery embolization.
AB - Catamenial hemoptysis is a rare condition, characterized by recurrent hemoptysis
associated with the presence of intrapulmonary or endobronchial endometrial
tissue. Therapeutic strategies proposed for intrapulmonary endometriosis with
catamenial hemoptysis consist of medical treatments and surgery. Bronchial artery
embolization is a well-established modality in the management of massive or
recurrent hemoptysis, but has seldom been used for the treatment of catamenial
hemoptysis. We report a case of catamenial hemoptysis associated with pulmonary
parenchymal endometriosis, which was successfully treated by a bronchial artery
embolization.
PMID- 24920951
TI - Tracheobronchial polyps following thermal inhalation injury.
AB - The early pulmonary consequences of inhalation injury are well documented;
however, little is known about delayed pulmonary complications following thermal
inhalation injury. Although thermal injury below the vocal cords is rare because
of effective heat dissipation in the upper airway, inflammatory endobronchial
polyps have previously been reported as a delayed complication associated with
inhalation injury. We report an extraordinary case of tracheobronchial polyps in
patients with smoke inhalation injury. This report shows the delayed development
and natural course of tracheobronchial polyps following thermal injury.
PMID- 24920952
TI - Pancreaticothoracic fistula presenting with hemoptysis and pneumothorax in a
chronic alcoholic patient.
AB - Pancreaticothoracic fistula is a rare complication of acute or chronic alcoholic
pancreatitis. It may present with various symptoms, like dyspnea, abdominal pain,
cough, chest pain, fever, back pain, hemoptysis, fatigue, or orthopnea.
Pancreaticothoracic fistula can be detected by magnetic resonance
cholangiopancreatography (MRCP), endoscopic retrograde cholangiopancreatography
(ERCP), or computed tomography. MRCP has high sensitivity and fewer side effects,
and thus it has recently been recommended as the first choice for the detection
of pancreaticothoracic fistula. On the other hand, ERCP enables the detection and
treatment of pancreaticothoracic fistula and allows for stent insertion; for this
reason it is a commonly used modality in pancreaticothoracic fistula cases.
Herein, the authors describe a case of pancreaticothoracic fistula detected by
ERCP and MRCP that manifested only respiratory symptoms, namely hemoptysis and
pneumothorax without abdominal pain, which commonly accompanies pancreatitis.
PMID- 24920953
TI - Multidrug-Resistant Tuberculosis Presenting as Miliary Tuberculosis without
Immune Suppression: A Case Diagnosed Rapidly with the Genotypic Line Probe Assay
Method.
AB - Miliary tuberculosis (TB) is a rare extrapulmonary form of TB, and there have
been only two reports of miliary TB associated with infection with multidrug
resistant (MDR)-TB pathogen in an immunocompetent host. A 32-year-old woman was
referred to our hospital because of abnormal findings on chest X-ray. The patient
was diagnosed with MDR-TB by a line probe assay and was administered proper
antituberculous drugs. After eight weeks, a solid-media drug sensitivity test
revealed that the pathogen was resistant to ethambutol and streptomycin in
addition to isoniazid and rifampicin. The patient was then treated with effective
antituberculous drugs without delay after diagnosis of MDR-TB. To the best of our
knowledge, this is the first case of miliary TB caused by MDR-TB pathogen in
Korea.
PMID- 24920954
TI - Co-infection with Influenza: Do Not Forget Aspergillus in the Immunosuppressed
Neutropenic Host.
PMID- 24920955
TI - An analysis of early developmental trauma in social anxiety disorder and
posttraumatic stress disorder.
AB - BACKGROUND: The early contributions of childhood trauma (emotional, physical,
sexual, and general) have been hypothesized to play a significant role in the
development of anxiety disorders, such as posttraumatic stress disorder (PTSD)
and social anxiety disorder (SAD). The aim of this study was to assess childhood
trauma differences between PTSD and SAD patients and healthy controls, as
measured by the Early Trauma Inventory. METHODS: We examined individuals (N =
109) with SAD with moderate/severe early developmental trauma (EDT) (n = 32),
individuals with SAD with low/no EDT (n = 29), individuals with PTSD with EDT (n
= 17), and healthy controls (n = 31). The mean age was 34 years (SD = 11).
Subjects were screened with the Mini-International Neuropsychiatric Interview
(MINI), Liebowitz Social Anxiety Scale (LSAS), Clinician-Administered PTSD Scale
(CAPS), and Childhood Trauma Questionnaire (CTQ). Analysis of variance was
performed to assess group differences. Correlations were calculated between
childhood traumas. RESULTS: Although not statistically significant, individuals
with PTSD endorsed more physical and sexual childhood trauma compared with
individuals with SAD with moderate/severe EDT who endorsed more emotional trauma.
For all groups, physical and emotional abuse occurred between ages 6 and 11,
while the occurrence of sexual abuse in individuals with PTSD was at 6-11 years
and later (13-18 years) in individuals with SAD with moderate/severe EDT. For
emotional abuse in all groups, the perpetrator was mostly a primary female
caregiver; for sexual abuse, it was mostly a nonfamilial adult male, while for
physical abuse, it was mostly a caregiver (male in PTSD and female in SAD with
moderate/severe EDT). CONCLUSIONS: The contribution of childhood abuse to the
development of PTSD and SAD and the differences between these groups and other
anxiety disorders should not be ignored and attention should be given to the
frequency and severity of these events. The relationship of the perpetrator(s)
and the age of onset of childhood abuse are also important considerations as they
provide a useful starting point to assess impact over the life course. This can,
in turn, guide clinicians on the optimal timing for the delivery of interventions
for the prevention of PTSD and SAD.
PMID- 24920956
TI - An Enduring Somatic Threat Model of Posttraumatic Stress Disorder Due to Acute
Life-Threatening Medical Events.
AB - Posttraumatic stress disorder (PTSD) occurs in 12-25% of survivors of acute life
threatening medical events such as heart attack, stroke, and cancer, and is
associated with recurrence of cardiac events and mortality in heart attack
survivors. This article reviews the current state of knowledge about PTSD after
such events, and proposes an Enduring Somatic Threat (EST) model of PTSD due to
acute life-threatening medical events to address underappreciated differences
between PTSD due to past, discrete/external traumatic events (such as combat) and
PTSD due to acute manifestations of chronic disease that are enduring/internal in
nature (such as heart attack and stroke). The differences include the external
versus internal/somatic source of the threat, the past versus present/future
temporal focus of threatening cognitions, the different types and consequences of
avoidance behavior, and the different character and consequences of hyperarousal.
Although important differences between the two types of PTSD exist, the EST model
proposes that the underlying fear of mortality maintains PTSD symptoms due to
both discrete/external and ongoing/somatic events. Finally, this article offers a
research agenda for testing the EST model, with a particular focus on areas that
may improve cardiovascular prognosis and health behaviors in survivors of heart
attack and stroke.
PMID- 24920957
TI - Superior triacylglycerol (TAG) accumulation in starchless mutants of Scenedesmus
obliquus: (I) mutant generation and characterization.
AB - BACKGROUND: Microalgae are a promising platform for producing neutral lipids, to
be used in the application for biofuels or commodities in the feed and food
industry. A very promising candidate is the oleaginous green microalga
Scenedesmus obliquus, because it accumulates up to 45% w/w triacylglycerol (TAG)
under nitrogen starvation. Under these conditions, starch is accumulated as well.
Starch can amount up to 38% w/w under nitrogen starvation, which is a substantial
part of the total carbon captured. When aiming for optimized TAG production,
blocking the formation of starch could potentially increase carbon allocation
towards TAG. In an attempt to increase TAG content, productivity and yield,
starchless mutants of this high potential strain were generated using UV
mutagenesis. Previous studies in Chlamydomonas reinhardtii have shown that
blocking the starch synthesis yields higher TAG contents, although these TAG
contents do not surpass those of oleaginous microalgae yet. So far no starchless
mutants in oleaginous green microalgae have been isolated that result in higher
TAG productivities. RESULTS: Five starchless mutants have been isolated
successfully from over 3,500 mutants. The effect of the mutation on biomass and
total fatty acid (TFA) and TAG productivity under nitrogen-replete and nitrogen
depleted conditions was studied. All five starchless mutants showed a decreased
or completely absent starch content. In parallel, an increased TAG accumulation
rate was observed for the starchless mutants and no substantial decrease in
biomass productivity was perceived. The most promising mutant showed an increase
in TFA productivity of 41% at 4 days after nitrogen depletion, reached a TAG
content of 49.4% (% of dry weight) and had no substantial change in biomass
productivity compared to the wild type. CONCLUSIONS: The improved S. obliquus TAG
production strains are the first starchless mutants in an oleaginous green
microalga that show enhanced TAG content under photoautotrophic conditions. These
results can pave the way towards a more feasible microalgae-driven TAG production
platform.
PMID- 24920958
TI - Effects of agitation on particle-size distribution and enzymatic hydrolysis of
pretreated spruce and giant reed.
AB - BACKGROUND: Mixing is an energy demanding process which has been previously shown
to affect enzymatic hydrolysis. Concentrated biomass slurries are associated with
high and non-Newtonian viscosities and mixing in these systems is a complex task.
Poor mixing can lead to mass and/or heat transfer problems as well as
inhomogeneous enzyme distribution, both of which can cause possible yield
reduction. Furthermore the stirring energy dissipation may impact the particle
size which in turn may affect the enzymatic hydrolysis. The objective of the
current work was to specifically quantify the effects of mixing on particle-size
distribution (PSD) and relate this to changes in the enzymatic hydrolysis. Two
rather different materials were investigated, namely pretreated Norway spruce and
giant reed. RESULTS: Changes in glucan hydrolysis and PSD were measured as a
function of agitation during enzymatic hydrolysis at fiber loadings of 7 or 13%
water-insoluble solids (WIS). Enzymatic conversion of pretreated spruce was
strongly affected by agitation rates at the higher WIS content. However, at low
WIS content the agitation had almost no effect on hydrolysis. There was some
effect of agitation on the hydrolysis of giant reed at high WIS loading, but it
was smaller than that for spruce, and there was no measurable effect at low WIS
loading. In the case of spruce, intense agitation clearly affected the PSD and
resulted in a reduced mean particle size, whereas for giant reed the decrease in
particle size was mainly driven by enzymatic action. However, the rate of
enzymatic hydrolysis was not increased after size reduction by agitation.
CONCLUSIONS: The impact of agitation on the enzymatic hydrolysis clearly depends
not only on feedstock but also on the solids loading. Agitation was found to
affect the PSD differently for the examined pretreated materials spruce and giant
reed. The fact that the reduced mean particle diameter could not explain the
enhanced hydrolysis rates found for spruce at an elevated agitation suggests that
mass transfer at sustained high viscosities plays an important role in
determining the rate of enzymatic hydrolysis.
PMID- 24920960
TI - Single-step bioconversion of lignocellulose to hydrogen using novel moderately
thermophilic bacteria.
AB - BACKGROUND: Consolidated bioprocessing (CBP) of lignocellulosic biomass to
hydrogen offers great potential for lower cost and higher efficiency compared to
processes featuring dedicated cellulase production. Current studies on CBP-based
hydrogen production mainly focus on using the thermophilic cellulolytic bacterium
Clostridium thermocellum and the extremely thermophilic cellulolytic bacterium
Caldicellulosiruptor saccharolyticus. However, no studies have demonstrated that
the strains in the genus Thermoanaerobacterium could be used as the sole
microorganism to accomplish both cellulose degradation and H2 generation.
RESULTS: We have specifically screened for moderately thermophilic cellulolytic
bacteria enabling to produce hydrogen directly from conversion of lignocellulosic
materials. Three new strains of thermophilic cellulolytic bacteria in the genus
Thermoanaerobacterium growing at a temperature of 60 degrees C were isolated. All
of them grew well on various plant polymers including microcrystalline cellulose,
filter paper, xylan, glucose, and xylose. In particular, the isolated bacterium,
designated as Thermoanaerobacterium thermosaccharolyticum M18, showed high
cellulolytic activity and a high yield of H2. When it was grown in 0.5%
microcrystalline cellulose, approximately 82% cellulose was consumed, and the H2
yield and maximum production rate reached 10.86 mmol/g Avicel and 2.05 mmol/L/h,
respectively. Natural lignocellulosic materials without any physicochemical or
biological pretreatment also supported appreciable growth of strain M18, which
resulted in 56.07% to 62.71% of insoluble cellulose and hemicellulose polymer
degradation in corn cob, corn stalk, and wheat straw with a yield of 3.23 to 3.48
mmol H2/g substrate and an average production rate of 0.10 to 0.13 mmol H2/L/h.
CONCLUSIONS: The newly isolated strain T. thermosaccharolyticum M18 displayed
effective degradation of lignocellulose and produced large amounts of hydrogen.
This is the first report of a Thermoanaerobacterium species presenting
cellulolytic characteristics, and this species thus represents a novel
cellulolytic bacterium distinguished from all other known cellulolytic bacteria.
In comparison, the extraordinary yield and specific rate of hydrogen for strain
M18 obtained from lignocellulose make it more attractive in monoculture
fermentation. T. thermosaccharolyticum M18 is thus a potential candidate for
rapid conversion of lignocellulose to biohydrogen in a single step.
PMID- 24920959
TI - Regulation of the cholesterol biosynthetic pathway and its integration with fatty
acid biosynthesis in the oleaginous microalga Nannochloropsis oceanica.
AB - BACKGROUND: Sterols are vital structural and regulatory components in eukaryotic
cells; however, their biosynthetic pathways and functional roles in microalgae
remain poorly understood. RESULTS: In the oleaginous microalga Nannochloropsis
oceanica, the sterol biosynthetic pathway produces phytosterols as minor products
and cholesterol as the major product. The evidence together with their deduced
biosynthetic pathways suggests that N. oceanica exhibits features of both higher
plants and mammals. Temporal tracking of sterol profiles and sterol-biosynthetic
transcripts in response to changes in light intensity and nitrogen supply reveal
that sterols play roles in cell proliferation, chloroplast differentiation, and
photosynthesis. Furthermore, the dynamics of fatty acid (FA) and FA-biosynthetic
transcripts upon chemical inhibitor-induced sterol depletion reveal possible co
regulation of sterol production and FA synthesis, in that the squalene epoxidase
inhibitor terbinafine reduces sterol content yet significantly elevates free FA
production. Thus, a feedback regulation of sterol and FA homeostasis is proposed,
with the 1-deoxy-D-xylulose 5-phosphate synthase (DXS, the committed enzyme in
isoprenoid and sterol biosynthesis) gene potentially subject to feedback
regulation by sterols. CONCLUSION: These findings reveal features of sterol
function and biosynthesis in microalgae and suggest new genetic engineering or
chemical biology approaches for enhanced oil production in microalgae.
PMID- 24920961
TI - Semi-supervised consensus clustering for gene expression data analysis.
AB - BACKGROUND: Simple clustering methods such as hierarchical clustering and k-means
are widely used for gene expression data analysis; but they are unable to deal
with noise and high dimensionality associated with the microarray gene expression
data. Consensus clustering appears to improve the robustness and quality of
clustering results. Incorporating prior knowledge in clustering process (semi
supervised clustering) has been shown to improve the consistency between the data
partitioning and domain knowledge. METHODS: We proposed semi-supervised consensus
clustering (SSCC) to integrate the consensus clustering with semi-supervised
clustering for analyzing gene expression data. We investigated the roles of
consensus clustering and prior knowledge in improving the quality of clustering.
SSCC was compared with one semi-supervised clustering algorithm, one consensus
clustering algorithm, and k-means. Experiments on eight gene expression datasets
were performed using h-fold cross-validation. RESULTS: Using prior knowledge
improved the clustering quality by reducing the impact of noise and high
dimensionality in microarray data. Integration of consensus clustering with semi
supervised clustering improved performance as compared to using consensus
clustering or semi-supervised clustering separately. Our SSCC method outperformed
the others tested in this paper.
PMID- 24920962
TI - Angiotensin II receptor blocker telmisartan attenuates aortic stiffening and
remodelling in STZ-diabetic rats.
AB - BACKGROUND: Prevention or attenuation of diabetic vascular complications includes
anti-hypertensive treatment with renin-angiotensin system inhibitors on account
of their protective effects beyond blood pressure reduction. The present study
aimed to investigate the effects of telmisartan, an angiotensin II type 1
receptor blocker (ARB), on blood pressure, aortic stiffening, and aortic
remodelling in experimental type 1 diabetes in rats. METHODS: Diabetes was
induced by streptozotocin (STZ) (65 mg/kg) in male Wistar rats. One diabetic
group was treated for 10 weeks with telmisartan (10 mg/kg/day p/o). Pressure
independent aortic pulse wave velocity (PWV) was measured under anaesthesia after
intravenous infusion of phenylephrine and nitroglycerine. Aortic wall samples
were collected for histomorphometrical analysis. RESULTS: Untreated diabetes
imposed differential effects on aortic stiffening, as demonstrated by increased
isobaric PWV over a range of high blood pressures, but not at lower blood
pressures. This was associated with loss and disruption of elastin fibres and an
increase in collagen fibres in the aortic media. Treatment with telmisartan
decreased resting blood pressure, reduced aortic stiffness, and partially
prevented the degradation of elastin network within the aortic wall. CONCLUSIONS:
Telmisartan improved the structural and functional indices of aortic stiffening
induced by untreated STZ-diabetes, demonstrating the importance of ARBs in the
therapeutic approach to diabetic vascular complications.
PMID- 24920964
TI - Depicting estimates using the intercept in meta-regression models: The moving
constant technique.
AB - In any scientific discipline, the ability to portray research patterns
graphically often aids greatly in interpreting a phenomenon. In part to depict
phenomena, the statistics and capabilities of meta-analytic models have grown
increasingly sophisticated. Accordingly, this article details how to move the
constant in weighted meta-analysis regression models (viz. "meta-regression") to
illuminate the patterns in such models across a range of complexities. Although
it is commonly ignored in practice, the constant (or intercept) in such models
can be indispensible when it is not relegated to its usual static role. The
moving constant technique makes possible estimates and confidence intervals at
moderator levels of interest as well as continuous confidence bands around the
meta-regression line itself. Such estimates, in turn, can be highly informative
to interpret the nature of the phenomenon being studied in the meta-analysis,
especially when a comparison with an absolute or a practical criterion is the
goal. Knowing the point at which effect size estimates reach statistical
significance or other practical criteria of effect size magnitude can be quite
important. Examples ranging from simple to complex models illustrate these
principles. Limitations and extensions of the strategy are discussed. Copyright
(c) 2011 John Wiley & Sons, Ltd.
PMID- 24920965
TI - Lower-dose CT urography (CTU) with iterative reconstruction technique in children
- initial experience and examination protocol.
AB - BACKGROUND: Conventional X-ray urography is one of the basic imaging techniques
in urinary tract diseases in children. CT urography (CTU) employing standard
Filtered Back Projection (FBP) reconstruction algorithms is connected with higher
radiation dose. Advanced iterative reconstruction techniques enable lowering the
radiation dose to the level comparable with conventional X-ray urography with
better visualization of the urinary tract. Study protocol and indications for
this modified technique should be discussed. MATERIAL/METHODS: Introduction of
iterative image reconstruction techniques allowed to significantly reduce the
radiation dose delivered during examinations performed at our Department,
including CT examinations of urinary tract in children. During the last two
years, CT urography replaced conventional X-ray urography and became the basic
imaging technique in our Department. We discuss the study protocol regarding
pediatric CTU examinations. The main goal is to receive an optimal image quality
at reduced radiation dose. RESULTS: CTU examinations performed using the standard
filtered back projection (FBP) reconstruction technique are associated with
radiation doses about 1.5 times higher than those in conventional X-ray
urography. Implementation of iterative reconstruction algorithms in advanced CT
scanners allow to reduce the radiation dose to a level comparable or even lower
than that in X-ray urography. In addition, urinary tract can be evaluated more
precisely in multiplanar reformatted (MPR) and volume rendered (VR) images.
CONCLUSIONS: 1. Advanced iterative reconstruction techniques allow to reduce
radiation dose in CT examinations and to extend indications for CT urography in
children. 2. Urinary tract can be evaluated more precisely in multiplanar
reformatted and volume rendered images. 3. CTU may replace conventional X-ray
urography in children.
PMID- 24920963
TI - Determinants of intensive insulin therapeutic regimens in patients with type 1
diabetes: data from a nationwide multicenter survey in Brazil.
AB - BACKGROUND: To evaluate the determinants of intensive insulin regimens (ITs) in
patients with type 1 diabetes (T1D). METHODS: This multicenter study was
conducted between December 2008 and December 2010 in 28 public clinics in 20
Brazilian cities. Data were obtained from 3,591 patients (56.0% female, 57.1%
Caucasian). Insulin regimens were classified as follows: group 1, conventional
therapy (CT) (intermediate human insulin, one to two injections daily); group 2
(three or more insulin injections of intermediate plus regular human insulin);
group 3 (three or more insulin injections of intermediate human insulin plus
short-acting insulin analogues); group 4, basal-bolus (one or two insulin
injections of long-acting plus short-acting insulin analogues or regular
insulin); and group 5, basal-bolus with continuous subcutaneous insulin infusion
(CSII). Groups 2 to 5 were considered IT groups. RESULTS: We obtained complete
data from 2,961 patients. Combined intermediate plus regular human insulin was
the most used therapeutic regimen. CSII was used by 37 (1.2%) patients and IT by
2,669 (90.2%) patients. More patients on IT performed self-monitoring of blood
glucose and were treated at the tertiary care level compared to CT patients (p <
0.001). The majority of patients from all groups had HbA1c levels above the
target. Overweight or obesity was not associated with insulin regimen. Logistic
regression analysis showed that economic status, age, ethnicity, and level of
care were associated with IT (p < 0.001). CONCLUSIONS: Given the prevalence of
intensive treatment for T1D in Brazil, more effective therapeutic strategies are
needed for long term-health benefits.
PMID- 24920966
TI - Introducing IJTMB's Trigger Points: Topical Dialogue amongst Therapeutic Massage
& Bodywork Practitioners and Educators.
AB - The International Journal of Therapeutic Massage and Bodywork (IJTMB) has as its
core a key interest in and mission to develop the practice of therapeutic massage
and bodywork by contributing to the field's body of knowledge. The IJTMB
Editorial Board understands that one's daily practice provides the initial
testing ground for the development of practice-based knowledge and, ultimately,
expertise. Consequently, this practice-based knowledge and experience provides
fertile ground for research ideas that further refine our understanding and come
full circle to enhance clinical efficacy. Ultimately, without discourse and
knowledge transference, the possibility of growing the body of knowledge for
therapeutic massage and bodywork or stimulating research in the field is lost. To
facilitate discourse and transference of knowledge within the field of
therapeutic massage and bodywork, the IJTMB is launching a new journal component
entitled Trigger Points: Topical Dialogue amongst Therapeutic Massage & Bodywork
Practitioners and Educators. The purpose of IJTMB's Trigger Points is to
"trigger" the sharing and discussion of knowledge and expertise among therapeutic
massage and bodywork practitioners, educators, and researchers about different
approaches to treating specific conditions or addressing specific population
issues. Each IJTMB issue, starting with this issue, will include a call for
responses to the next Trigger Points topic. Publication of the results for each
topic will be in the second issue after the initial call for submissions. With
your participation, these IJTMB Trigger Points columns will spark dynamic
dialogue, perhaps even collaborations, in the therapeutic massage and bodywork
field across practitioners, educators, and researchers.
PMID- 24920967
TI - Assessing the effectiveness of massage therapy for bilateral cleft lip
reconstruction scars.
AB - BACKGROUND AND OBJECTIVE: Bilateral cleft lips occur when the bones that form the
upper lip fail to fuse at birth. Surgical reconstruction creates scars, which may
lead to the following impairments: adhesions, decreased oral range of motion,
decreased strength of orbicularis oris muscle, and asymmetry of oral region
leading to poor self-esteem. The purpose of this case study is to determine the
effectiveness of massage therapy in its ability to improve these impairments.
METHODS: A five-week treatment plan consisting of fascial release, kneading, and
intraoral techniques. Subjective information was assessed on two scales:
restriction of scar and clients attitudes and acceptance of scar. Objective
information was cataloged through photographs, a palpatory chart, and a self
created "Pen Test". RESULTS: Results included increase range of motion and
strength, decrease restrictions (palpable and subjective), and increase of
symmetry. Client's perceived confidence of scar and its appearance increased.
CONCLUSIONS: The evidence suggests that massage therapy helped with the
impairments associated with scars formed by bilateral cleft lip reconstruction.
PMID- 24920968
TI - The Intersection of Massage Practice and Research: Community Massage Therapists
as Research Personnel on an NIH-funded Effectiveness Study.
AB - INTRODUCTION: Few NIH funded studies give community massage therapists the
opportunity to become study personnel. A recent NIH/NCCAM-funded study
investigating chronic low back pain (CLBP) recruited, trained, and utilized
community massage practitioners (CMPs) as study personnel. This study's aim was
to determine whether health-related outcomes for CLBP improve when patients are
referred from primary care to select CAM modalities including massage therapy
(MT). The purpose of this paper is to report the results of the study's three
massage practice-driven study objectives which were to: 1) identify challenges
and solutions to recruiting and retaining ample CMPs, 2) develop a practice
informed protocol reflecting real-world MT, and 3) determine the extent to which
CMPs comply with rigorous research methodology in their clinical practices as
study personnel. METHODS: Eligible CMPs in urban and rural Kentucky counties were
identified through licensure board records, professional organizations, and
personal contact opportunities. Interested CMPs completed 6 CE hours of research
and Human Subjects Protection training and agreed to comply with a study protocol
reflecting MT as practiced. Once trained, study CMPs were matched with study
participants to provide and document up to 10 MT sessions per participant.
RESULTS: Utilizing prominent MT community members proved invaluable to CMP
recruitment and protocol development. CMP recruitment challenges included mixed
interest, low number of available rural CMPs, busy clinic schedules, and
compensation. Ethics CE credits were offered to encourage CMP interest. A total
of 28 Kentucky licensed massage therapists with 5-32 years of experience
completed study training. A total of 127 CLBP patients consented to participate
(n = 104 for MT). Twenty-five CMPs were assigned CLBP patients and provided 1-10
treatments for 94 study participants. Treatment documentation was provided by
CMPs for 97% of treatments provided. CONCLUSIONS: When recruitment, retention,
and protocol compliance challenges are met, CMPs are valuable study personnel for
practice-based research reflecting real-world MT practice.
PMID- 24920970
TI - Quantitative trait locus analysis of resistance to panicle blast in the rice
cultivar Miyazakimochi.
AB - BACKGROUND: Rice blast is a destructive disease caused by Magnaporthe oryzae, and
it has a large impact on rice production worldwide. Compared with leaf blast
resistance, our understanding of panicle blast resistance is limited, with only
one panicle blast resistance gene, Pb1, isolated so far. The japonica cultivar
Miyazakimochi shows resistance to panicle blast, yet the genetic components
accounting for this resistance remain to be determined. RESULTS: In this study,
we evaluated the panicle blast resistance of populations derived from a cross
between Miyazakimochi and the Bikei 22 cultivar, which is susceptible to both
leaf and panicle blast. The phenotypic analyses revealed no correlation between
panicle blast resistance and leaf blast resistance. Quantitative trait locus
(QTL) analysis of 158 recombinant inbred lines using 112 developed genome-wide
and 35 previously reported polymerase chain reaction (PCR) markers revealed the
presence of two QTLs conferring panicle blast resistance in Miyazakimochi: a
major QTL, qPbm11, on chromosome 11; and a minor QTL, qPbm9, on chromosome 9. To
clarify the contribution of these QTLs to panicle blast resistance, 24 lines
homozygous for each QTL were selected from 2,818 progeny of a BC2F7 backcrossed
population, and characterized for disease phenotypes. The panicle blast
resistance of the lines harboring qPbm11 was very similar to the resistant donor
parental cultivar Miyazakimochi, whereas the contribution of qPbm9 to the
resistance was small. Genotyping of the BC2F7 individuals highlighted the overlap
between the qPbm11 region and a locus of the panicle blast resistance gene, Pb1.
Reverse transcriptase PCR analysis revealed that the Pb1 transcript was absent in
the panicles of Miyazakimochi, demonstrating that qPbm11 is a novel genetic
component of panicle blast resistance. CONCLUSIONS: This study revealed that
Miyazakimochi harbors a novel panicle blast resistance controlled mainly by the
major QTL qPbm11. qPbm11 is distinct from Pb1 and could be a genetic source for
breeding panicle blast resistance, and will improve understanding of the
molecular basis of host resistance to panicle blast.
PMID- 24920971
TI - Gene targeting using the Agrobacterium tumefaciens-mediated CRISPR-Cas system in
rice.
AB - BACKGROUND: The type II clustered, regularly interspaced, short palindromic
repeat (CRISPR)/ CRISPR-associated protein 9 (Cas9) system is a novel molecular
tool for site-specific genome modification. The CRISPR-Cas9 system was recently
introduced into plants by transient or stable transformation. FINDINGS: Here, we
report gene targeting in rice via the Agrobacterium tumefaciens-mediated CRISPR
Cas9 system. Three 20-nt CRISPR RNAs were designed to pair with diverse sites
followed by the protospacer adjacent motif (PAM) of the rice herbicide resistance
gene BEL. After integrating the single-guide RNA (sgRNA) and Cas9 cassette in a
single binary vector, transgenic rice plants harboring sgRNA:Cas9 were generated
by A. tumefaciens-mediated stable transformation. By analyzing the targeting site
on the genome of corresponding transgenic plants, the mutations were determined.
The mutagenesis efficiency was varied from ~2% to ~16%. Furthermore, phenotypic
analysis revealed that the biallelic mutated transgenic plant was sensitive to
bentazon. CONCLUSIONS: Our results indicate that the agricultural trait could be
purposely modified by sgRNA:Cas9-induced gene targeting. CRISPR-Cas9 system could
be exploited as a powerful tool for trait improvements in crop breeding.
PMID- 24920969
TI - International consensus on (ICON) anaphylaxis.
AB - ICON: Anaphylaxis provides a unique perspective on the principal evidence-based
anaphylaxis guidelines developed and published independently from 2010 through
2014 by four allergy/immunology organizations. These guidelines concur with
regard to the clinical features that indicate a likely diagnosis of anaphylaxis -
a life-threatening generalized or systemic allergic or hypersensitivity
reaction. They also concur about prompt initial treatment with intramuscular
injection of epinephrine (adrenaline) in the mid-outer thigh, positioning the
patient supine (semi-reclining if dyspneic or vomiting), calling for help, and
when indicated, providing supplemental oxygen, intravenous fluid resuscitation
and cardiopulmonary resuscitation, along with concomitant monitoring of vital
signs and oxygenation. Additionally, they concur that H1-antihistamines, H2
antihistamines, and glucocorticoids are not initial medications of choice. For
self-management of patients at risk of anaphylaxis in community settings, they
recommend carrying epinephrine auto-injectors and personalized emergency action
plans, as well as follow-up with a physician (ideally an allergy/immunology
specialist) to help prevent anaphylaxis recurrences. ICON: Anaphylaxis describes
unmet needs in anaphylaxis, noting that although epinephrine in 1 mg/mL ampules
is available worldwide, other essentials, including supplemental oxygen,
intravenous fluid resuscitation, and epinephrine auto-injectors are not
universally available. ICON: Anaphylaxis proposes a comprehensive international
research agenda that calls for additional prospective studies of anaphylaxis
epidemiology, patient risk factors and co-factors, triggers, clinical criteria
for diagnosis, randomized controlled trials of therapeutic interventions, and
measures to prevent anaphylaxis recurrences. It also calls for facilitation of
global collaborations in anaphylaxis research. IN ADDITION TO CONFIRMING THE
ALIGNMENT OF MAJOR ANAPHYLAXIS GUIDELINES, ICON: Anaphylaxis adds value by
including summary tables and citing 130 key references. It is published as an
information resource about anaphylaxis for worldwide use by healthcare
professionals, academics, policy-makers, patients, caregivers, and the public.
PMID- 24920972
TI - Heat-tolerant rice cultivars retain grain appearance quality under free-air CO2
enrichment.
AB - BACKGROUND: Heat-tolerant rice cultivars have been developed as a countermeasure
to poor grain appearance quality under high temperatures. Recent studies showed
that elevated CO2 concentrations (E-[CO2]) also reduce grain quality. To
determine whether heat-tolerant cultivars also tolerate E-[CO2], we conducted a
free-air CO2 enrichment (FACE) experiment with 12 rice cultivars differing in
heat tolerance. RESULTS: The percentage of undamaged grains of five standard
cultivars (Akitakomachi, Kinuhikari, Koshihikari, Matsuribare, Nipponbare)
averaged 61.7% in the ambient [CO2] (AMB) plot and 51.7% in the FACE plot,
whereas that of heat-tolerant cultivars (Eminokizuna, Wa2398, Kanto 257, Toyama
80, Mineharuka, Kanto 259, Saikai 290) averaged 73.5% in AMB and 71.3% in FACE.
This resulted in a significant [CO2] by cultivar interaction. The percentage of
white-base or white-back grains increased from 8.4% in AMB to 17.1% in FACE in
the sensitive cultivars, but from only 2.1% in AMB to only 4.4% in FACE in the
heat-tolerant cultivars. CONCLUSION: Heat-tolerant cultivars retained their grain
appearance quality at E-[CO2] under present air temperatures. Further
improvements in appearance quality under present conditions will be needed to
achieve improvements under E-[CO2], because E-[CO2] will likely lower the
threshold temperature for heat stress.
PMID- 24920973
TI - Genetic and physiological analysis of tolerance to acute iron toxicity in rice.
AB - BACKGROUND: Fe toxicity occurs in lowland rice production due to excess ferrous
iron (Fe(2+)) formation in reduced soils. To contribute to the breeding for
tolerance to Fe toxicity in rice, we determined quantitative trait loci (QTL) by
screening two different bi-parental mapping populations under iron pulse stresses
(1,000 mg L(-1) = 17.9 mM Fe(2+) for 5 days) in hydroponic solution, followed by
experiments with selected lines to determine whether QTLs were associated with
iron exclusion (i.e. root based mechanisms), or iron inclusion (i.e. shoot-based
mechanisms). RESULTS: In an IR29/Pokkali F8 recombinant inbred population, 7 QTLs
were detected for leaf bronzing score on chromosome 1, 2, 4, 7 and 12,
respectively, individually explaining 9.2-18.7% of the phenotypic variation. Two
tolerant recombinant inbred lines carrying putative QTLs were selected for
further experiments. Based on Fe uptake into the shoot, the dominant tolerance
mechanism of the tolerant line FL510 was determined to be exclusion with its root
architecture being conducive to air transport and thus the ability to oxidize
Fe(2+) in rhizosphere. In line FL483, the iron tolerance was related mainly to
shoot-based mechanisms (tolerant inclusion mechanism). In a
Nipponbare/Kasalath/Nipponbare backcross inbred population, 3 QTLs were mapped on
chromosomes 1, 3 and 8, respectively. These QTLs explained 11.6-18.6% of the
total phenotypic variation. The effect of QTLs on chromosome 1 and 3 were
confirmed by using chromosome segment substitution lines (SL), carrying Kasalath
introgressions in the genetic background on Nipponbare. The Fe uptake in shoots
of substitution lines suggests that the effect of the QTL on chromosome 1 was
associated with shoot tolerance while the QTL on chromosome 3 was associated with
iron exclusion. CONCLUSION: Tolerance of certain genotypes were classified into
shoot- and root- based mechanisms. Comparing our findings with previously
reported QTLs for iron toxicity tolerance, we identified co-localization for some
QTLs in both pluse and chronic stresses, especially on chromosome 1.
PMID- 24920974
TI - Dining at the health care buffet.
PMID- 24920975
TI - One and the same.
PMID- 24920976
TI - Barbed suture: a review of the technology and clinical uses in obstetrics and
gynecology.
AB - Surgical knots are simply a necessary evil needed to anchor smooth suture to
allow it to function in its role in tissue reapproximation. Surgical knots reduce
the tensile strength of all sutures by thinning and stretching the material. The
tying of surgical knots introduces the potential of human error and interuser
variability. Knot-secured smooth suture must create an uneven distribution of
tension across the wound with the higher tension burdens placed at the knots.
Given the excessive relative wound tension on the knot and the reasonable
concerns of surgeons for suture failure due to knot slippage, there is a natural
tendency toward overcoming these concerns by over-tightening knots; however,
tighter knots may be worse for wound healing and strength than looser knots. In
minimally invasive laparoscopic surgeries, the ability to quickly and properly
tie surgical knots presents a new challenge. In cases in which knot tying is
difficult, the use of knotless barbed suture can securely reapproximate tissues
with less time, cost, and aggravation. This article reviews the technology behind
barbed sutures with a focus on understanding how they differ from traditional
smooth sutures and how barbed sutures have performed in in vitro and animal model
testing, as well as in human clinical trials.
PMID- 24920977
TI - Screening for intimate partner violence during pregnancy.
AB - Intimate partner violence (IPV) is defined as an actual or threatened abuse by an
intimate partner that may be physical, sexual, psychological, or emotional in
nature. Each year approximately 1.5 million women in the United States report
some form of sexual or physical assault by an intimate partner; it is estimated
that approximately 324,000 women are pregnant when violence occurs. Pregnancy may
present a unique opportunity to identify and screen for patients experiencing
IPV. This article provides health care practitioners and clinicians with the most
current valid assessment and screening tools for evaluating pregnant women for
IPV.
PMID- 24920978
TI - Modern Risk Assessment for Individualizing Treatment Concepts in Early-stage
Breast Cancer.
AB - Validated prognostic and predictive factors currently play an important role in
treatment planning for patients with early-stage breast cancer. The role of
personalized medicine has led to the search for markers that can be applied to
individual patients to optimize treatment regimens. In addition to traditional
clinicopathologic measures, scores and gene tests have been developed to
independently predict risk of patients in the neoadjuvant and adjuvant settings.
The discovery of these markers provides the opportunity to identify patients at
such low risk of recurrence that toxic therapy side effects are not justified.
Selection and management of patients with early-stage, hormone receptor-positive
breast cancer who are appropriately treated with endocrine therapy alone after
receiving locoregional therapy but do not necessarily require adjuvant
chemotherapy is currently problematic. This article reviews the current state-of
theart biomarker assessment methods and discusses the potential role for the
prediction of chemotherapy benefit focusing on endocrine sensitive disease.
PMID- 24920979
TI - Use of thrombolytic agents to treat pulmonary embolism in pregnancy.
AB - Pulmonary embolism in pregnancy is a major cause of maternal mortality and
morbidity. We describe the case of a 27-year-old pregnant woman who underwent
successful thrombolysis. Our patient presented to the emergency department after
a fainting episode and complaining of shortness of breath. A computed tomography
pulmonary angiogram revealed a pulmonary embolism, which was found to be causing
significant right ventricular strain. After examination by our gynecologic and
medical teams, she underwent successful thrombolysis, made a successful recovery,
and carried an uneventful pregnancy.
PMID- 24920980
TI - Paradoxical increase in stroke mortality among Asian Indians in the United
States.
AB - OBJECTIVE: To better characterize the stroke mortality and risk factors among
Asian Indians by using U.S. multiple-cause-of-death and National Health and
Interview Survey data. METHODS: Age-adjusted fatal stroke incidence, stroke rate
ratio with 95% confidence interval, and average annual percentage change (APC)
over 10 years were calculated. RESULTS: The annual incidence of stroke mortality
in 2000 was lowest among Asian Indians (88 per 100,000) followed by American
Indians and Alaska Natives (112 per 100,000), whites (301 per 100,000) and
African Americans (312 per 100,000). Significantly lower rates of hypertension
and cigarette smoking in Asian Indians in 2000-2001 (compared with whites)
explained the lower rates of stroke mortality. The APC increase over subsequent
10 years was 13.5%, 0.9%, -2.5%, and -2.9% for Asian Indians, American Indians
and Alaska Natives, whites, and African Americans, respectively. CONCLUSIONS:
There is a paradoxical increase in stroke mortality among Asian Indians over the
last 10 years in contrast to other population subsets.
PMID- 24920981
TI - Endovascular treatment of basilar artery stenosis due to cerebral vasculopathy
related to neurofibromatosis (NF1).
AB - BACKGROUND: Cerebrovascular lesions are uncommon in neurofibromatosis type 1
(NF1). CASE DESCRIPTION: We report a case of 34-year-old man with NF1 who
developed posterior circulation stroke. Diffusion-weighted imaging showed acute
infarcts in the right vertebra basilar artery territory. Digital subtraction
angiography demonstrated significant stenosis of the basilar artery in the mid
segment that was identified as the etiology of the symptoms. The vertebral
arteries were tortuous and the basilar artery was ectatic. Subsequently endeavour
resolute stent was placed across the lesion and post-procedure angiogram showed
resolution of stenosis. CONCLUSION: Selective stenotic involvement of the basilar
artery with ectatic vertebrobasilar circulation associated with NF1, which was
successfully treated with endovascular method, was not been reported previously
to our knowledge.
PMID- 24920982
TI - Recurrent cerebral venous sinus thrombosis in a patient with increased factor
VIII activity, increased lipoprotein (a) level and leukocytosis: a case report.
AB - In this paper, we describe the case of recurrent cerebral venous sinus thrombosis
in a patient with increased factor VIII activity, lipoprotein (a) level, and
leukocytosis. In addition, we discuss the diagnosis of increased factor VIII
activity in patients with cerebral venous thrombosis. With regard to therapy,
studies need to be done to establish the duration of therapy with phenprocoumon
and the possible use of new oral anticoagulant drugs (i.e., rivaroxaban and
dabigatran) in first time and recurrent venous thrombosis.
PMID- 24920983
TI - Factors Associated with Increased Rates of Post-procedural Stroke or Death
following Carotid Artery Stent Placement: A Systematic Review.
AB - BACKGROUND AND PURPOSE: We provide an assessment of clinical, angiographic, and
procedure related risk factors associated with stroke and/or death in patients
undergoing carotid artery stent placement which will assist in patient
stratification and identification of high-stent risk patients. METHODS: A
comprehensive search of Medline from January 1st 1996 to December 31st 2011 was
performed with key words "carotid artery stenosis", " carotid artery stenting",
"carotid artery stent placement", "death" , " mortality", "stroke", "outcome",
"clinical predictors", "angiographic predictors", was performed in various
combinations. We independently abstracted data and assessed the quality of the
studies. This analysis led to the selection of 71 articles for review. RESULTS:
Clinical factors including age>=80 years, symptomatic status, procedure within 2
weeks of symptoms, chronic renal failure, diabetes mellitus, and hemispheric TIA
were associated with stroke (ischemic or hemorrhagic) and death within 1 month
after carotid artery stent placement. Angiographic factors including left carotid
artery intervention, stenosis > 90%, ulcerated and calcified plaques, lesion
length > 10mm, thrombus at the site, ostial involvement, predilation without EPD,
ICA-CCA angulation > 60%, aortic arch type III, and aortic arch calcification
were also associated with 1 month stroke and/or death. Intra-procedural platelet
GP IIb/IIIa inhibitors, protamine use, multiple stents, predilatation prior to
stent placement were associated with stroke (ischemic or hemorrhagic) and death
after carotid artery stent placement. Intraprocedural use of embolic protection
devices and stent design (open versus closed cell design) did not demonstrate a
consistent relationship with 1 month stroke and/or death. Procedural statin use,
and operator and center experience of more than 50 procedures per year were
protective for 1 month stroke and/or death. CONCLUSIONS: Our review identified
risk factors for stroke, death, and MI within 1 month in patients undergoing
carotid artery stent placement. Such information will result in better patient
selection for carotid artery stent placement particularly in those who are also
candidates for carotid endarterectomy.
PMID- 24920984
TI - Is intravenous recombinant tissue plasminogen activator (r-tPA) safe in patients
on Dabigatran?
AB - INTRODUCTION: Dabigatran etexilate is a newly approved oral anticoagulant
indicated for stroke prevention in nonvalvular atrial fibrillation. There are no
reliable, rapidly available laboratory markers to assess its anticoagulant
activity. There is no data on the safety of r-tPA on patients who are on
dabigatran and it is not known whether r-tPA is safe in patients who are on
dabigatran with a normal activated partial thromboplastin time (aPTT). CASE
REPORT: We report the case of a 59-year-old male who is reported with right
hemiparesis and global aphasia. Two days prior to admission he underwent elective
cardioversion for atrial fibrillation. He had begun dabigatran at 150 mg BID 3
days before cardioversion. Five days after commencing dabigatran, and 10 h after
the last oral dose he presented with these symptoms. Patient fulfilled the
criteria for r-tPA including a normal aPTT (30 s), normal prothrombin time (INR =
1.0) and a normal creatinine clearance (glomerular filtration rate >60
mL/min/1.73 m(2)). A brain CT without contrast was normal. After extensive
discussion with the family, with clear understanding of the risks and benefits of
such an approach in a patient who has been on dabigatran, consent was obtained,
and r-tPA (0.9 mg/kg alteplase) was given. Patient's hospital course remained
uncomplicated and he was discharged 4 days after the initial symptoms to an acute
rehabilitation facility and is currently on coumadin with INR therapeutic goal
between 2 and 3. CONCLUSION: More studies are needed to asses whether r-tPA might
be safe in patients who are on dabigatran with a normal activated partial
thromboplastin time and more than 10 h after the last dose.
PMID- 24920985
TI - Vitamin D deficiency and osteoporosis in stroke survivors: an analysis of
National Health and Nutritional Examination Survey (NHANES).
AB - BACKGROUND AND PURPOSE: An inverse association between 25-hydroxyvitamin D
(25[OH]D) levels and stroke was emphasized in recent studies. Our objective was
to determine the rate of Vitamin D deficiency and risk of associated osteoporosis
among stroke survivors in a nationally representative population. METHODS:
Participants from the National Health and Nutritional Examination Survey (NHANES)
from 2001 to 2006 were included. Stroke survivors were then divided into two
groups depending on serum 25(OH)D levels: <30 ng/dl as Vitamin D deficiency and
>=30 ng/dl as normal. Comparisons of demographics and risk factors between two
groups were performed using SAS software. Multivariate analysis was performed to
determine the association between Vitamin D deficiency and osteopororis in stroke
survivors after adjusting for potential confounding factors. RESULTS: There were
415 (4.0%) stroke survivors among 10,255 participants in NHANES. The mean age (+/
SD) of stroke survivors was 67.6 (+/-17.3) years and 211 (50.8%) were men. Mean
25(OH)D concentrations were not significantly different in patients with stroke
(20.3 versus 21.8 ng/ml, p = 0.65) although the rate of osteoporosis was
significantly higher among stroke survivors (17.9% versus 6.9%, p < 0.0001). Out
of 415 stroke patients, Vitamin D deficiency was seen in 71.0% of patients. The
rates of osteoporosis were similar between patients with or without Vitamin D
deficiency. After adjusting for potential confounders, there was no association
between Vitamin D deficiency and osteoporosis. CONCLUSIONS: Vitamin D deficiency
and osteoporosis are highly prevalent among stroke survivors; however, there does
not appear to be a relationship between the two entities.
PMID- 24920986
TI - Instent restenosis after carotid stenting: treatment using an off-label cardiac
scoring balloon.
AB - BACKGROUND: Treatment of instent restenosis after carotid artery stenting because
of circumferential or calcified lesions can be difficult and refractory to
conventional balloon angioplasty. We describe the off-label use of a cardiac
scoring balloon that was used for lesions refractory to angioplasty with other
balloons. CASE DESCRIPTIONS: Two patients with a history of carotid artery
stenting 6 and 8 years ago, presented with symptomatic carotid instent restenosis
caused by circumferential and calcified lesions, respectively. Angioplasty with
conventional compliant and noncompliant balloons was unsuccessful. An AngioSculpt
percutaneous transluminal coronary angioplasty (PTCA) scoring balloon catheter
(AngioScore, Fremont CA, USA) was successful in achieving vessel recanalization
despite the refractory nature of these lesions. No further conventional balloons
or use of cutting balloons was required. CONCLUSION: The AngioSculpt PTCA scoring
balloon catheter can be a useful option for treatment of refractory calcified or
circumferential carotid instent restenosis.
PMID- 24920987
TI - Dural venous sinuses distortion and compression with supratentorial mass lesions:
a mechanism for refractory intracranial hypertension?
AB - OBJECTIVE: To determine the effect of supratentorial intraparenchymal mass
lesions of various volumes on dural venous sinuses structure and transluminal
pressures. METHODS: Three set of preparations were made using adult isolated head
derived from fresh human cadaver. A supratentorial intraparenchymal balloon was
introduced and inflated at various volumes and effect on dural venous sinuses was
assessed by serial intravascular ultrasound, computed tomographic (CT), and
magnetic resonance (MR) venograms. Contrast was injected through a catheter
placed in sigmoid sinus for both CT and MR venograms. Serial trasluminal
pressures were measured from middle part of superior sagittal sinus in another
set of experiments. RESULTS: At intraparenchymal balloon inflation of 90 cm(3),
there was attenuation of contrast enhancement of superior sagittal sinus with
compression visualized in posterior part of the sinus without any evidence of
compression in the remaining sinus. At intraparenchymal balloon inflation of 180
and 210 cm(3), there was compression and obliteration of superior sagittal sinus
throughout the length of the sinus. In the coronal sections, at intraparenchymal
balloon inflations of 90 and 120 cm(3), compression and obliteration of the
posterior part of superior sagittal sinus were visualized. In the axial images,
basal veins were not visualized with intraparenchymal balloon inflation of 90
cm(3) or greater although straight sinus was visualized at all levels of
inflation. Trasluminal pressure in the middle part of superior sagittal sinus
demonstrated a mild increase from 0 cm H(2)O to 0.4 cm H(2)O and 0.5 cm H(2)O
with inflation of balloon to volume of 150 and 180 cm(3), respectively. There was
a rapid increase in transluminal pressure from 6.8 cm H(2)O to 25.6 cm H(2)O as
the supratentorial mass lesion increased from 180 to 200 cm(3). CONCLUSIONS: Our
experiments identified distortion and segmental and global obliteration of dural
venous sinuses secondary to supratentorial mass lesion and increase in
transluminal pressure with large volume lesions. The secondary involvement of
dural venous sinuses may represent a mechanism for refractory intracranial
hypertension.
PMID- 24920988
TI - Endoluminal stent reconstruction of low-grade, symptomatic carotid plaques: a
treatment alternative-report of two cases.
AB - INTRODUCTION: Medical treatment of low-grade (<50% luminal narrowing) symptomatic
carotid stenosis has been the treatment of choice because trial data showed no
evident benefit to carotid endarterectomy for these patients. Such patients may
have recurrent neurological symptoms despite adequate medical therapy owing to
recurrent plaque rupture. In such cases, carotid stenting may represent an option
for treatment but has not been tested in trials because of previous failure of
carotid endarterectomy to demonstrate any benefit for patients with low-grade
carotid stenosis. The cases presented here illustrate the perioperative safety
and potential benefit of carotid stenting for such patients with persistent
neurological symptoms despite adequate medical therapy. CASE MATERIAL: Two
patients with low-grade stenosis and recurrent transient ischemic attack or
stroke despite antiplatelet therapy were treated with carotid stenting. Both
patients were treated after recent ipsilateral neurological events in the absence
of an evident cardioembolic source. Carotid plaque ulceration thought to be
related to the ischemic events was present in both cases. No perioperative
complications were noted. On followup, the patients showed resolution of symptoms
and had no new neurological events. CONCLUSION: Carotid stenting of low-grade but
symptomatic carotid plaque refractory to medical management represents a surgical
option for treatment. Further studies may be warranted to evaluate stenting as a
suitable treatment option.
PMID- 24920989
TI - Visualization of a small hidden intracranial aneurysm during endovascular
thrombectomy for acute MCA occlusion.
AB - Spontaneous aneurysmal thrombosis as a cause for acute ischemic stroke is a
rarely described complication of small unruptured intracranial aneurysms. We
present an interesting case of a stroke in a woman with an occult acutely
thrombosed middle cerebral artery bifurcation aneurysm that was found during
successful thrombus aspiration of the occluded parent vessel. Although rare,
small aneurysms have to be considered as a possible cause for a thrombotic vessel
occlusion. Catheters and thrombectomy devices have to be used carefully while
performing mechanical revascularization.
PMID- 24920990
TI - Brief Report: Concurrent cervical giant perimedullary arteriovenous fistula,
aneurysm on a feeding artery of fistula and unilateral congenital carotid
aplasia.
AB - Giant perimedullary arteriovenous fistulae (GPAVFs) are extremely rare,
particularly cervical GPAVFs whose incidence has not been tabulated. The
occurrence of aneurysm on an artery feeding a GPAVF has previously not been
described. Internal carotid artery aplasia is also very rare (0.01%). The
concurrence of these disorders has previously not been recorded. We report a case
of a 5-year-old female with increasing headaches, who was found to have
intraventricular hemorrhage and above anomalies. Coil embolization of GPAVF and
the adjacent aneurysm was attempted. Treatment was complicated by stroke and
death. Embryological and anatomical factors underlying these anomalies as well
as, management options are discussed.
PMID- 24920992
TI - Cocaine use and the likelihood of cardiovascular and all-cause mortality: data
from the Third National Health and Nutrition Examination Survey Mortality Follow
up Study.
AB - BACKGROUND: Numerous case series have implicated cocaine use as a cause of both
myocardial infarction (MI) and stroke on the basis of the temporal relationship
between drug use and event onset. The relatively high prevalence of cocaine use
in the US population, especially in younger individuals, mandates a more
extensive investigation of this relationship. METHODS: We determined the
relationship between cocaine use and cardiovascular and all-cause mortality in a
nationally representative sample of 9013 US adults aged 18 to 45 years who
participated in the Third National Health and Nutrition Examination Survey
Mortality Follow-up Study using Cox proportional hazards analyses. We categorized
the participants as nonusers if they responded to the lifetime cocaine use
question as never used, as infrequent users if they responded as using <10 times,
and as frequent or regular users if they reported using 10-99 times or >100
times, respectively. Potential confounding factors in the association between
cocaine use and death (cardiovascular and all cause) included age, sex,
race/ethnicity, cigarette smoking, hypertension, diabetes mellitus,
hyperlipidemia, educational attainment, body mass index, and insurance status. To
estimate the impact of cocaine use on MI or stroke, we calculated the population
attributable risk (PAR) percent for cocaine use with cardiovascular and all-cause
mortality. We also estimated the years of life lost and total annual financial
cost due to premature deaths in persons who reported regular use of cocaine.
RESULTS: A total of 60 cardiovascular deaths and 384 all causes deaths were
reported during a mean follow-up period of 14.7 +/- 2.6 years. After adjusting
for differences in potential confounders, persons who reported regular lifetime
cocaine use had a significantly higher likelihood of all-cause mortality
(relative risk [RR], 1.9; 95% confidence interval [CI], 1.2-3.0 for >=100 times
in lifetime) but not cardiovascular mortality (RR, 0.6; 95% CI, 0.1-4.7 for >=100
times in lifetime). The PAR of regular cocaine use for all cause mortality among
was 1.79%. The years of life lost due to regular cocaine use was 10.3 years for
an adult aged 31 years. The overall yearly cost incurred due to premature deaths
related to regular cocaine use was $1.1 billion. CONCLUSION: Regular cocaine use
was associated with an increased risk of all cause mortality but this effect was
not mediated through cardiovascular events. Behavior modification by public
awareness and education may reduce the mortality and financial burden associated
with cocaine use.
PMID- 24920993
TI - Desolvation Costs of Salt Bridges across Protein Binding Interfaces: Similarities
and Differences between Implicit and Explicit Solvent Models.
AB - The prevalence of salt bridges across protein binding interfaces is surprising
given the significant costs of desolvating the two charged groups upon binding.
These desolvation costs, which are difficult to examine using laboratory
experiments, have been computed in previous studies using the Poisson-Boltzmann
(PB) implicit solvent model. Here, for the first time, we directly compare the PB
implicit solvent model with several explicit water models in computing the
desolvation penalties of salt bridges across protein-protein interfaces. We
report both overall agreement as well as significant differences between the
implicit and explicit solvent results. These differences highlight challenges to
be faced in the application of implicit solvent methods.
PMID- 24920991
TI - Endovascular treatment for acute ischemic stroke patients: implications and
interpretation of IMS III, MR RESCUE, and SYNTHESIS EXPANSION trials: A report
from the Working Group of International Congress of Interventional Neurology.
AB - OBJECTIVE: The results of Interventional Management of Stroke (IMS) III, Magnetic
Resonance and REcanalization of Stroke Clots Using Embolectomy (MR RESCUE), and
SYNTHESIS EXPANSION trials are expected to affect the practice of endovascular
treatment for acute ischemic stroke. The purpose of this report is to review the
components of the designs and methods of these trials and to describe the
influence of those components on the interpretation of trial results. METHODS: A
critical review of trial design and conduct of IMS III, MR RESCUE, and SYNTHESIS
EXPANSION is performed with emphasis on patient selection, shortcomings in
procedural aspects, and methodology of data ascertainment and analysis. The
influence of each component is estimated based on published literature including
multicenter clinical trials reporting on endovascular treatment for acute
ischemic stroke and myocardial infarction. RESULTS: We critically examined the
time interval between symptom onset and treatment and rates of angiographic
recanalization to differentiate between "endovascular treatment" and "parameter
optimized endovascular treatment" as it relates to the IMS III, MR RESCUE, and
SYNTHESIS EXPANSION trials. All the three trials failed to effectively test
"parameter optimized endovascular treatment" due to the delay between symptom
onset and treatment and less than optimal rates of recanalization. In all the
three trials, the magnitude of benefit with endovascular treatment required to
reject the null hypothesis was larger than could be expected based on previous
studies. The IMS III and SYNTHESIS EXPANSION trials demonstrated that rates of
symptomatic intracerebral hemorrhages subsequent to treatment are similar between
IV thrombolytics and endovascular treatment in matched acute ischemic stroke
patients. The trials also indirectly validated the superiority/equivalence of IV
thrombolytics (compared with endovascular treatment) in patients with minor
neurological deficits and those without large vessel occlusion on computed
tomographic/magnetic resonance angiography. CONCLUSIONS: The results do not
support a large magnitude benefit of endovascular treatment in subjects
randomized in all the three trials. The possibility that benefits of a smaller
magnitude exist in certain patient populations cannot be excluded. Large
magnitude benefits can be expected with implementation of "parameter optimized
endovascular treatment" in patients with ischemic stroke who are candidates for
IV thrombolytics.
PMID- 24920994
TI - Anomalous Optoelectronic Properties of Chiral Carbon Nanorings...and One Ring to
Rule Them All23.
AB - Carbon nanorings are hoop-shaped, pi-conjugated macrocycles that form the
fundamental annular segments of single-walled carbon nanotubes (SWNTs). In a very
recent report, the structures of chiral carbon nanorings (which may serve as
chemical templates for synthesizing chiral nanotubes) were experimentally
synthesized and characterized for the first time. Here in our Letter we show that
the excited-state properties of these unique chiral nanorings exhibit anomalous
and extremely interesting optoelectronic properties with excitation energies
growing larger as a function of size (in contradiction with typical quantum
confinement effects). Although the first electronic excitation in armchair
nanorings is forbidden with a weak oscillator strength, we find that the same
excitation in chiral nanorings is allowed because of a strong geometric symmetry
breaking. Most importantly, among all the possible nanorings synthesized in this
fashion, we show that only one ring, corresponding to a SWNT with chiral indices
(n+3,n+1), is extremely special with large photoinduced transitions that are most
readily observable in spectroscopic experiments.
PMID- 24920995
TI - Ether-Bond-Containing Ionic Liquids as Supercapacitor Electrolytes.
AB - Electrochemical capacitors (ECs) are electrical energy storage devices that have
the potential to be very useful in a wide range of applications, especially where
there is a large disparity between peak and average power demands. The use of
ionic liquids (ILs) as electrolytes in ECs can increase the energy density of
devices; however, the viscosity and conductivity of ILs adversely influence the
power density of the device. We present experimental results where several ILs
containing different cations have been employed as the electrolyte in cells
containing mesoporous carbon electrodes. Specifically, the behavior of ILs
containing an ether bond in an alkyl side chain are compared with those of a
similar structure and size but containing purely alkyl side chains. Using
electrochemical impedance spectroscopy and constant current cycling, we show that
the presence of the ether bond can dramatically increase the specific capacitance
and reduce device resistance. These results have the important implication that
such ILs can be used to tailor the physical properties and electrochemical
performance of IL-based electrolytes.
PMID- 24920996
TI - Quantum Effects in the Diffusion of Hydrogen on Ru(0001).
AB - An understanding of hydrogen diffusion on metal surfaces is important not only
for its role in heterogeneous catalysis and hydrogen fuel cell technology but
also because it provides model systems where tunneling can be studied under well
defined conditions. Here we report helium spin-echo measurements of the atomic
scale motion of hydrogen on the Ru(0001) surface between 75 and 250 K. Quantum
effects are evident at temperatures as high as 200 K, while below 120 K we
observe a tunneling-dominated temperature-independent jump rate of 1.9 * 109 s-1,
many orders of magnitude faster than previously seen. Quantum transition-state
theory calculations based on ab initio path-integral simulations reproduce the
temperature dependence of the rate at higher temperatures and predict a crossover
to tunneling-dominated diffusion at low temperatures. However, the tunneling rate
is underestimated, highlighting the need for future experimental and theoretical
studies of hydrogen diffusion on this and other well-defined surfaces.
PMID- 24920997
TI - Electron Diffraction of Superfluid Helium Droplets.
AB - We present experimental results of electron diffraction of superfluid helium
droplets and droplets doped with phthalocyanine gallium chloride and discuss the
possibility of performing the same experiment with a laser aligned sample. The
diffraction profile of pure droplets demonstrates dependence on the nozzle
temperature, that is, on the average size of the droplets. Larger clusters
demonstrate faster decay with increasing momentum transfer, whereas smaller
clusters converge to isolated gas phase molecules at source temperatures of 18 K
and higher. Electron diffraction of doped droplets shows similar modified
molecular scattering intensity as that of the corresponding gas phase molecules.
On the basis of fittings of the scattering profile, the number of remaining
helium atoms of the doped droplets is estimated to be on the order of hundreds.
This result offers guidance in assessing the possibility of electron diffraction
from laser aligned molecules doped in superfluid helium droplets.
PMID- 24920998
TI - Solvent-Induced Proton Hopping at a Water-Oxide Interface.
AB - Despite widespread interest, a detailed understanding of the dynamics of proton
transfer at interfaces is lacking. Here, we use ab initio molecular dynamics to
unravel the connection between interfacial water structure and proton transfer
for the widely studied and experimentally well-characterized water-ZnO(1010)
interface. We find that upon going from a single layer of adsorbed water to a
liquid multilayer, changes in the structure are accompanied by a dramatic
increase in the proton-transfer rate at the surface. We show how hydrogen bonding
and rather specific hydrogen-bond fluctuations at the interface are responsible
for the change in the structure and proton-transfer dynamics. The implications of
this for the chemical reactivity and for the modeling of complex wet oxide
interfaces in general are also discussed.
PMID- 24920999
TI - Deubiquitinating enzyme regulation of the p53 pathway: A lesson from Otub1.
AB - Deubiquitination has emerged as an important mechanism of p53 regulation. A
number of deubiquitinating enzymes (DUBs) from the ubiquitin-specific protease
family have been shown to regulate the p53-MDM2-MDMX networks. We recently
reported that Otub1, a DUB from the OTU-domain containing protease family, is a
novel p53 regulator. Interestingly, Otub1 abrogates p53 ubiquitination and
stabilizes and activates p53 in cells independently of its deubiquitinating
enzyme activity. Instead, it does so by inhibiting the MDM2 cognate ubiquitin
conjugating enzyme (E2) UbcH5. Otub1 also regulates other biological signaling
through this non-canonical mechanism, suppression of E2, including the inhibition
of DNA-damage-induced chromatin ubiquitination. Thus, Otub1 evolves as a unique
DUB that mainly suppresses E2 to regulate substrates. Here we review the current
progress made towards the understanding of the complex regulation of the p53
tumor suppressor pathway by DUBs, the biological function of Otub1 including its
positive regulation of p53, and the mechanistic insights into how Otub1
suppresses E2.
PMID- 24921000
TI - Oxidation of KCNB1 K(+) channels in central nervous system and beyond.
AB - KCNB1, a voltage-gated potassium (K(+)) channel that conducts a major delayed
rectifier current in the brain, pancreas and cardiovascular system is a key
player in apoptotic programs associated with oxidative stress. As a result, this
protein represents a bona fide drug target for limiting the toxic effects of
oxygen radicals. Until recently the consensus view was that reactive oxygen
species trigger a pro-apoptotic surge in KCNB1 current via phosphorylation and
SNARE-dependent incorporation of KCNB1 channels into the plasma membrane.
However, new evidence shows that KCNB1 can be modified by oxidants and that
oxidized KCNB1 channels can directly activate pro-apoptotic signaling pathways.
Hence, a more articulated picture of the pro-apoptotic role of KCNB1 is emerging
in which the protein induces cell's death through distinct molecular mechanisms
and activation of multiple pathways. In this review article we discuss the
diverse functional, toxic and protective roles that KCNB1 channels play in the
major organs where they are expressed.
PMID- 24921002
TI - Review of application of mass spectrometry for analyses of anterior eye proteome.
AB - Proteins have important functional roles in the body, which can be altered in
disease states. The eye is a complex organ rich in proteins; in particular, the
anterior eye is very sophisticated in function and is most commonly involved in
ophthalmic diseases. Proteomics, the large scale study of proteins, has greatly
impacted our knowledge and understanding of gene function in the post-genomic
period. The most significant breakthrough in proteomics has been mass
spectrometric identification of proteins, which extends analysis far beyond the
mere display of proteins that classical techniques provide. Mass spectrometry
functions as a "mass analyzer" which simplifies the identification and
quantification of proteins extracted from biological tissue. Mass spectrometric
analysis of the anterior eye proteome provides a differential display for protein
comparison of normal and diseased tissue. In this article we present the key
proteomic findings in the recent literature related to the cornea, aqueous humor,
trabecular meshwork, iris, ciliary body and lens. Through this we identified
unique proteins specific to diseases related to the anterior eye.
PMID- 24921001
TI - Regulation of cell survival and death during Flavivirus infections.
AB - Flaviviruses, ss(+) RNA viruses, include many of mankind's most important
pathogens. Their pathogenicity derives from their ability to infect many types of
cells including neurons, to replicate, and eventually to kill the cells.
Flaviviruses can activate tumor necrosis factor alpha and both intrinsic (Bax
mediated) and extrinsic pathways to apoptosis. Thus they can use many approaches
for activating these pathways. Infection can lead to necrosis if viral load is
extremely high or to other types of cell death if routes to apoptosis are
blocked. Dengue and Japanese Encephalitis Virus can also activate autophagy. In
this case the autophagy temporarily spares the infected cell, allowing a longer
period of reproduction for the virus, and the autophagy further protects the cell
against other stresses such as those caused by reactive oxygen species. Several
of the viral proteins have been shown to induce apoptosis or autophagy on their
own, independent of the presence of other viral proteins. Given the versatility
of these viruses to adapt to and manipulate the metabolism, and thus to control
the survival of, the infected cells, we need to understand much better how the
specific viral proteins affect the pathways to apoptosis and autophagy. Only in
this manner will we be able to minimize the pathology that they cause.
PMID- 24921003
TI - Role of PRMTs in cancer: Could minor isoforms be leaving a mark?
AB - Protein arginine methyltransferases (PRMTs) catalyze the methylation of a variety
of protein substrates, many of which have been linked to the development,
progression and aggressiveness of different types of cancer. Moreover, aberrant
expression of PRMTs has been observed in several cancer types. While the link
between PRMTs and cancer is a relatively new area of interest, the functional
implications documented thus far warrant further investigations into its
therapeutic potential. However, the expression of these enzymes and the
regulation of their activity in cancer are still significantly understudied.
Currently there are nine main members of the PRMT family. Further, the existence
of alternatively spliced isoforms for several of these family members provides an
additional layer of complexity. Specifically, PRMT1, PRMT2, CARM1 and PRMT7 have
been shown to have alternative isoforms and others may be currently unrealized.
Our knowledge with respect to the relative expression and the specific functions
of these isoforms is largely lacking and needs attention. Here we present a
review of the current knowledge of the known alternative PRMT isoforms and
provide a rationale for how they may impact on cancer and represent potentially
useful targets for the development of novel therapeutic strategies.
PMID- 24921004
TI - What have we learned about the kallikrein-kinin and renin-angiotensin systems in
neurological disorders?
AB - The kallikrein-kinin system (KKS) is an intricate endogenous pathway involved in
several physiological and pathological cascades in the brain. Due to the
pathological effects of kinins in blood vessels and tissues, their formation and
degradation are tightly controlled. Their components have been related to several
central nervous system diseases such as stroke, Alzheimer's disease, Parkinson's
disease, multiple sclerosis, epilepsy and others. Bradykinin and its receptors
(B1R and B2R) may have a role in the pathophysiology of certain central nervous
system diseases. It has been suggested that kinin B1R is up-regulated in
pathological conditions and has a neurodegenerative pattern, while kinin B2R is
constitutive and can act as a neuroprotective factor in many neurological
conditions. The renin angiotensin system (RAS) is an important blood pressure
regulator and controls both sodium and water intake. AngII is a potent
vasoconstrictor molecule and angiotensin converting enzyme is the major enzyme
responsible for its release. AngII acts mainly on the AT1 receptor, with
involvement in several systemic and neurological disorders. Brain RAS has been
associated with physiological pathways, but is also associated with brain
disorders. This review describes topics relating to the involvement of both
systems in several forms of brain dysfunction and indicates components of the KKS
and RAS that have been used as targets in several pharmacological approaches.
PMID- 24921005
TI - "Stop Ne(c)king around": How interactomics contributes to functionally
characterize Nek family kinases.
AB - Aside from Polo and Aurora, a third but less studied kinase family involved in
mitosis regulation is the never in mitosis-gene A (NIMA)-related kinases (Neks).
The founding member of this family is the sole member NIMA of Aspergillus
nidulans, which is crucial for the initiation of mitosis in that organism. All 11
human Neks have been functionally assigned to one of the three core functions
established for this family in mammals: (1) centrioles/mitosis; (2) primary
ciliary function/ciliopathies; and (3) DNA damage response (DDR). Recent
findings, especially on Nek 1 and 8, showed however, that several Neks
participate in parallel in at least two of these contexts: primary ciliary
function and DDR. In the core section of this in-depth review, we report the
current detailed functional knowledge on each of the 11 Neks. In the discussion,
we return to the cross-connections among Neks and point out how our and other
groups' functional and interactomics studies revealed that most Neks interact
with protein partners associated with two if not all three of the functional
contexts. We then raise the hypothesis that Neks may be the connecting regulatory
elements that allow the cell to fine tune and synchronize the cellular events
associated with these three core functions. The new and exciting findings on the
Nek family open new perspectives and should allow the Neks to finally claim the
attention they deserve in the field of kinases and cell cycle biology.
PMID- 24921006
TI - Value of a newly sequenced bacterial genome.
AB - Next-generation sequencing (NGS) technologies have made high-throughput
sequencing available to medium- and small-size laboratories, culminating in a
tidal wave of genomic information. The quantity of sequenced bacterial genomes
has not only brought excitement to the field of genomics but also heightened
expectations that NGS would boost antibacterial discovery and vaccine
development. Although many possible drug and vaccine targets have been
discovered, the success rate of genome-based analysis has remained below
expectations. Furthermore, NGS has had consequences for genome quality, resulting
in an exponential increase in draft (partial data) genome deposits in public
databases. If no further interests are expressed for a particular bacterial
genome, it is more likely that the sequencing of its genome will be limited to a
draft stage, and the painstaking tasks of completing the sequencing of its genome
and annotation will not be undertaken. It is important to know what is lost when
we settle for a draft genome and to determine the "scientific value" of a newly
sequenced genome. This review addresses the expected impact of newly sequenced
genomes on antibacterial discovery and vaccinology. Also, it discusses the
factors that could be leading to the increase in the number of draft deposits and
the consequent loss of relevant biological information.
PMID- 24921007
TI - Activated protein C: A regulator of human skin epidermal keratinocyte function.
AB - Activated protein C (APC) is a physiological anticoagulant, derived from its
precursor protein C (PC). Independent of its anticoagulation, APC possesses
strong anti-inflammatory, anti-apoptotic and barrier protective properties which
appear to be protective in a number of disorders including chronic wound healing.
The epidermis is the outermost skin layer and provides the first line of defence
against the external environment. Keratinocytes are the most predominant cells in
the epidermis and play a critical role in maintaining epidermal barrier function.
PC/APC and its receptor, endothelial protein C receptor (EPCR), once thought to
be restricted to the endothelium, are abundantly expressed by skin epidermal
keratinocytes. These cells respond to APC by upregulating proliferation,
migration and matrix metalloproteinase-2 activity and inhibiting
apoptosis/inflammation leading to a wound healing phenotype. APC also increases
barrier function of keratinocyte monolayers by promoting the expression of tight
junction proteins and re-distributing them to cell-cell contacts. These
cytoprotective properties of APC are mediated through EPCR, protease-activated
receptors, epidermal growth factor receptor or Tie2. Future preventive and
therapeutic uses of APC in skin disorders associated with disruption of barrier
function and inflammation look promising. This review will focus on APC's
function in skin epidermis/keratinocytes and its therapeutical potential in skin
inflammatory conditions.
PMID- 24921010
TI - FBW7-mediated ubiquitination and degradation of KLF5.
AB - Kruppel-like factor (KLF) family proteins are transcription factors that regulate
numerous cellular functions, such as cell proliferation, differentiation, and
cell death. Posttranslational modification of KLF proteins is important for their
transcriptional activities and biological functions. One KLF family member with
important roles in cell proliferation and tumorigenesis is KLF5. The function of
KLF5 is tightly controlled by post-translational modifications, including
SUMOylation, phosphorylation, and ubiquitination. Recent studies from our lab and
others' have demonstrated that the tumor suppressor FBW7 is an essential E3
ubiquitin ligase that targets KLF5 for ubiquitination and degradation. KLF5
contains functional Cdc4 phospho-degrons (CPDs), which are required for its
interaction with FBW7. Mutation of CPDs in KLF5 blocks the ubiquitination and
degradation of KLF5 by FBW7. The protein kinase Glycogen synthase kinase 3beta is
involved in the phosphorylation of KLF5 CPDs. In both cancer cell lines and mouse
models, it has been shown that FBW7 regulates the expression of KLF5 target genes
through the modulation of KLF5 stability. In this review, we summarize the
current progress on delineating FBW7-mediated KLF5 ubiquitination and
degradation.
PMID- 24921009
TI - Ceruloplasmin-ferroportin system of iron traffic in vertebrates.
AB - Safe trafficking of iron across the cell membrane is a delicate process that
requires specific protein carriers. While many proteins involved in iron uptake
by cells are known, only one cellular iron export protein has been identified in
mammals: ferroportin (SLC40A1). Ceruloplasmin is a multicopper enzyme endowed
with ferroxidase activity that is found as a soluble isoform in plasma or as a
membrane-associated isoform in specific cell types. According to the currently
accepted view, ferrous iron transported out of the cell by ferroportin would be
safely oxidized by ceruloplasmin to facilitate loading on transferrin. Therefore,
the ceruloplasmin-ferroportin system represents the main pathway for cellular
iron egress and it is responsible for physiological regulation of cellular iron
levels. The most recent findings regarding the structural and functional features
of ceruloplasmin and ferroportin and their relationship will be described in this
review.
PMID- 24921011
TI - Extracellular O-linked beta-N-acetylglucosamine: Its biology and relationship to
human disease.
AB - The O-linked beta-N-acetylglucosamine (O-GlcNAc)ylation of cytoplasmic and
nuclear proteins regulates basic cellular functions and is involved in the
etiology of neurodegeneration and diabetes. Intracellular O-GlcNAcylation is
catalyzed by a single O-GlcNAc transferase, O-GlcNAc transferase (OGT). Recently,
an atypical O-GlcNAc transferase, extracellular O-linked beta-N-acetylglucosamine
(EOGT), which is responsible for the modification of extracellular O-GlcNAc, was
identified. Although both OGT and EOGT are regulated through the common
hexosamine biosynthesis pathway, EOGT localizes to the lumen of the endoplasmic
reticulum and transfers GlcNAc to epidermal growth factor-like domains in an OGT
independent manner. In Drosophila, loss of Eogt gives phenotypes similar to those
caused by defects in the apical extracellular matrix. Dumpy, a membrane-anchored
apical extracellular matrix protein, was identified as a major O-GlcNAcylated
protein, and EOGT mediates Dumpy-dependent cell adhesion. In mammals,
extracellular O-GlcNAc was detected on extracellular proteins including heparan
sulfate proteoglycan 2, Nell1, laminin subunit alpha-5, Pamr1, and transmembrane
proteins, including Notch receptors. Although the physiological function of O
GlcNAc in mammals has not yet been elucidated, exome sequencing identified
homozygous EOGT mutations in patients with Adams-Oliver syndrome, a rare
congenital disorder characterized by aplasia cutis congenita and terminal
transverse limb defects. This review summarizes the current knowledge of
extracellular O-GlcNAc and its implications in the pathological processes in
Adams-Oliver syndrome.
PMID- 24921008
TI - Endoglin in liver fibrogenesis: Bridging basic science and clinical practice.
AB - Endoglin, also known as cluster of differentiation CD105, was originally
identified 25 years ago as a novel marker of endothelial cells. Later it was
shown that endoglin is also expressed in pro-fibrogenic cells including mesangial
cells, cardiac and scleroderma fibroblasts, and hepatic stellate cells. It is an
integral membrane-bound disulfide-linked 180 kDa homodimeric receptor that acts
as a transforming growth factor-beta (TGF-beta) auxiliary co-receptor. In humans,
several hundreds of mutations of the endoglin gene are known that give rise to an
autosomal dominant bleeding disorder that is characterized by localized
angiodysplasia and arteriovenous malformation. This disease is termed hereditary
hemorrhagic telangiectasia type I and induces various vascular lesions, mainly on
the face, lips, hands and gastrointestinal mucosa. Two variants of endoglin
(i.e., S- and L-endoglin) are formed by alternative splicing that distinguishes
from each other in the length of their cytoplasmic tails. Moreover, a soluble
form of endoglin, i.e., sol-Eng, is shedded by the matrix metalloprotease-14 that
cleaves within the extracellular juxtamembrane region. Endoglin interacts with
the TGF-beta signaling receptors and influences Smad-dependent and -independent
effects. Recent work has demonstrated that endoglin is a crucial mediator during
liver fibrogenesis that critically controls the activity of the different Smad
branches. In the present review, we summarize the present knowledge of endoglin
expression and function, its involvement in fibrogenic Smad signaling, current
models to investigate endoglin function, and the diagnostic value of endoglin in
liver disease.
PMID- 24921012
TI - Regulation and function of signal transducer and activator of transcription 3.
AB - Signal transducer and activator of transcription 3 (STAT3), a member of the STAT
family, is a key regulator of many physiological and pathological processes.
Significant progress has been made in understanding the transcriptional control,
posttranslational modification, cellular localization and functional regulation
of STAT3. STAT3 can translocate into the nucleus and bind to specific promoter
sequences, thereby exerting transcriptional regulation. Recent studies have shown
that STAT3 can also translocate into mitochondria, participating in aerobic
respiration and apoptosis. In addition, STAT3 plays an important role in
inflammation and tumorigenesis by regulating cell proliferation, differentiation
and metabolism. Conditional knockout mouse models make it possible to study the
physiological function of STAT3 in specific tissues and organs. This review
summarizes the latest advances in the understanding of the expression, regulation
and function of STAT3 in physiological and tumorigenic processes.
PMID- 24921013
TI - Functional analysis of human Na(+)/K(+)-ATPase familial or sporadic hemiplegic
migraine mutations expressed in Xenopus oocytes.
AB - AIM: Functional characterization of ATP1A2 mutations that are related to familial
or sporadic hemiplegic migraine (FHM2, SHM). METHODS: cRNA of human Na(+)/K(+)
ATPase alpha2- and beta1-subunits were injected in Xenopus laevis oocytes. FHM2
or SHM mutations of residues located in putative alpha/beta interaction sites or
in the alpha2-subunit's C-terminal region were investigated. Mutants were
analyzed by the two-electrode voltage-clamp (TEVC) technique on Xenopus oocytes.
Stationary K(+)-induced Na(+)/K(+) pump currents were measured, and the voltage
dependence of apparent K(+) affinity was investigated. Transient currents were
recorded as ouabain-sensitive currents in Na(+) buffers to analyze kinetics and
voltage-dependent pre-steady state charge translocations. The expression of
constructs was verified by preparation of plasma membrane and total membrane
fractions of cRNA-injected oocytes. RESULTS: Compared to the wild-type enzyme,
the mutants G900R and E902K showed no significant differences in the voltage
dependence of K(+)-induced currents, and analysis of the transient currents
indicated that the extracellular Na(+) affinity was not affected. Mutant G855R
showed no pump activity detectable by TEVC. Also for L994del and Y1009X, pump
currents could not be recorded. Analysis of the plasma and total membrane
fractions showed that the expressed proteins were not or only minimally targeted
to the plasma membrane. Whereas the mutation K1003E had no impact on K(+)
interaction, D999H affected the voltage dependence of K(+)-induced currents.
Furthermore, kinetics of the transient currents was altered compared to the wild
type enzyme, and the apparent affinity for extracellular Na(+) was reduced.
CONCLUSION: The investigated FHM2/SHM mutations influence protein function
differently depending on the structural impact of the mutated residue.
PMID- 24921014
TI - Binding of rhodopsin and rhodopsin analogues to transducin, rhodopsin kinase and
arrestin-1.
AB - AIM: To investigate the interaction of reconstituted rhodopsin, 9-cis-retinal
rhodopsin and 13-cis-retinal-rhodopsin with transducin, rhodopsin kinase and
arrestin-1. METHODS: Rod outer segments (ROS) were isolated from bovine retinas.
Following bleaching of ROS membranes with hydroxylamine, rhodopsin and rhodopsin
analogues were generated with the different retinal isomers and the concentration
of the reconstituted pigments was calculated from their UV/visible absorption
spectra. Transducin and arrestin-1 were purified to homogeneity by column
chromatography, and an enriched-fraction of rhodopsin kinase was obtained by
extracting freshly prepared ROS in the dark. The guanine nucleotide binding
activity of transducin was determined by Millipore filtration using beta,gamma
imido-((3)H)-guanosine 5'-triphosphate. Recognition of the reconstituted pigments
by rhodopsin kinase was determined by autoradiography following incubation of ROS
membranes containing the various regenerated pigments with partially purified
rhodopsin kinase in the presence of (gamma-(32)P) ATP. Binding of arrestin-1 to
the various pigments in ROS membranes was determined by a sedimentation assay
analyzed by sodium dodecyl sulphate-polyacrylamide gel electrophoresis. RESULTS:
Reconstituted rhodopsin and rhodopsin analogues containing 9-cis-retinal and 13
cis-retinal rendered an absorption spectrum showing a maximum peak at 498 nm, 486
nm and about 467 nm, respectively, in the dark; which was shifted to 380 nm, 404
nm and about 425 nm, respectively, after illumination. The percentage of
reconstitution of rhodopsin and the rhodopsin analogues containing 9-cis-retinal
and 13-cis-retinal was estimated to be 88%, 81% and 24%, respectively. Although
only residual activation of transducin was observed in the dark when
reconstituted rhodopsin and 9-cis-retinal-rhodopsin was used, the rhodopsin
analogue containing the 13-cis isomer of retinal was capable of activating
transducin independently of light. Moreover, only a basal amount of the
reconstituted rhodopsin and 9-cis-retinal-rhodopsin was phosphorylated by
rhodopsin kinase in the dark, whereas the pigment containing the 13-cis-retinal
was highly phosphorylated by rhodopsin kinase even in the dark. In addition,
arrestin-1 was incubated with rhodopsin, 9-cis-retinal-rhodopsin or 13-cis
retinal-rhodopsin. Experiments were performed using both phosphorylated and non
phosphorylated regenerated pigments. Basal amounts of arrestin-1 interacted with
rhodopsin, 9-cis-retinal-rhodopsin and 13-cis-retinal-rhodopsin under dark and
light conditions. Residual arrestin-1 was also recognized by the phosphorylated
rhodopsin and phosphorylated 9-cis-retinal-rhodopsin in the dark. However,
arrestin-1 was recognized by phosphorylated 13-cis-retinal-rhodopsin in the dark.
As expected, all reformed pigments were capable of activating transducin and
being phosphorylated by rhodopsin kinase in a light-dependent manner.
Additionally, all reconstituted photolyzed and phosphorylated pigments were
capable of interacting with arrestin-1. CONCLUSION: In the dark, the rhodopsin
analogue containing the 13-cis isomer of retinal appears to fold in a pseudo
active conformation that mimics the active photointermediate of rhodopsin.
PMID- 24921015
TI - The status and future challenges of tobacco control policy in Korea.
AB - Tobacco use is the most important preventable risk factor for premature death.
The World Health Organization (WHO) Framework Convention on Tobacco Control
(FCTC), the first international public health treaty, came into force in 2005.
This paper reviews the present status of tobacco control policies in Korea
according to the WHO FCTC recommendations. In Korea, cigarette use is high among
adult males (48.2% in 2010), and cigarette prices are the lowest among the
Organization for Economic Cooperation and Development countries with no tax
increases since 2004. Smoke-free policies have shown incremental progress since
1995, but smoking is still permitted in many indoor public places. More than 30%
of non-smoking adults and adolescents are exposed to second-hand smoke. Public
education on the harmful effects of tobacco is currently insufficient and the
current policies have not been adequately evaluated. There is no comprehensive
ban on tobacco advertising, promotion, or sponsorship in Korea. Cigarette
packages have text health warnings on only 30% of the main packaging area, and
misleading terms such as "mild" and "light" are permitted. There are nationwide
smoking cessation clinics and a Quitline service, but cessation services are not
covered by public insurance schemes and there are no national treatment
guidelines. The sale of tobacco to minors is prohibited by law, but is poorly
enforced. The socioeconomic inequality of smoking prevalence has widened,
although the government considers inequality reduction to be a national goal. The
tobacco control policies in Korea have faltered recently and priority should be
given to the development of comprehensive tobacco control policies.
PMID- 24921016
TI - Burden of disease in Japan: using national and subnational data to inform local
health policy.
AB - The Global Burden of Disease (GBD) study has been instrumental in guiding global
health policy development since the early 1990s. The GBD 2010 project provided
rich information about the key causes of mortality, disability-adjusted life
years, and their associated risk factors in Japan and provided a unique
opportunity to incorporate these data into health planning. As part of the latest
update of this project, GBD 2013, the Japanese GBD collaborators plan to update
and refine the available burden of disease data by incorporating sub-national
estimates of the burden of disease at the prefectural level. These estimates will
provide health planners and policy makers at both the national and prefectural
level with new, more refined tools to adapt local public health initiatives to
meet the health needs of local populations. Moreover, they will enable the
Japanese health system to better respond to the unique challenges in their
rapidly aging population and as a complex combination of non-communicable disease
risk factors begin to dominate the policy agenda. Regional collaborations will
enable nations to learn from the experiences of other nations that may be at
different stages of the epidemiological transition and have different exposure
profiles and associated health effects. Such analyses and improvements in the
data collection systems will further improve the health of the Japanese, maintain
Japan's excellent record of health equity, and provide a better understanding of
the direction of health policy in the region.
PMID- 24921017
TI - Airborne nicotine concentrations in the workplaces of tobacco farmers.
AB - OBJECTIVES: Nicotine is a natural alkaloid and insecticide in tobacco leaves.
Green tobacco sickness (GTS) is known as a disease of acute nicotine intoxication
among tobacco farmers. Until now, GTS has been recognized globally as a disease
that results from nicotine absorption through the skin. However, we assumed that
GTS might also result from nicotine inhalation as well as absorption. We aimed to
measure the airborne nicotine concentrations in various work environments of
Korean tobacco farmers. METHODS: We measured the nicotine concentrations in the
tobacco fields, private curing barns, and joint curing barns of farmers from July
to October 2010. All sampling and analyses of airborne nicotine were conducted
according to the National Institute for Occupational Safety and Health manual of
analytic methods. RESULTS: The airborne nicotine concentrations (geometric mean
[geometric standard deviation]) in the tobacco field were 83.4 mg/m(3) (1.2) in
the upper region and 93.3 mg/m(3) (1.2) in the lower region. In addition, the
nicotine concentration by personal sampling was 150.1 mg/m(3). Similarly, the
nicotine concentrations in the private curing barn, workers in curing barns, the
front yard of the curing barn, and in the joint curing barn were 323.7 mg/m(3)
(2.0), 121.0 mg/m(3) (1.5), 73.7 mg/m(3) (1.7), and 610.3 mg/m(3) (1.0),
respectively. CONCLUSIONS: The nicotine concentration in the workplaces of
tobacco farmers was very high. Future studies should measure the environmental
concentration of nicotine that is inhaled by tobacco farmers.
PMID- 24921018
TI - The effect of sleep duration on the risk of unintentional injury in Korean
adults.
AB - OBJECTIVES: The decrease or increase in sleep duration has recently been
recognized as a risk factor for several diseases, including hypertension and
obesity. Many studies have explored the relationship of decreased sleep durations
and injuries, but few have examined the relationship between increased sleep
duration and injury. The objective of this research is to identify the risk for
injury associated with both decreased and increased sleep durations. METHODS:
Data from the 2010 Community Health Survey were used in this study. We conducted
logistic regression with average sleep duration as the independent variable,
injury as a dependent variable, and controlling for age, sex, occupation,
education, region (cities and provinces), smoking, alcohol use, body mass index,
hypertension, diabetes, arthritis, and depression. Seven categories of sleep
duration were established: <=4, 5, 6, 7, 8, 9, and >=10 hours. RESULTS: Using 7
hours of sleep as the reference, the adjusted injury risk (odds ratio) for those
sleeping a total of <=4 h/d was 1.53; 1.28 for 5 hours, for 1.11 for 6 hours,
0.98 for 8 hours, 1.12 for 9 hours, and 1.48 for >=10 hours. The difference in
risk was statistically significant for each category except for the 8 and 9
hours. In this study, risk increased as the sleep duration decreased or
increased, except for the 8 and 9 hours. CONCLUSIONS: This research found that
either a decrease or increase in sleep duration was associated with an increased
risk for injury. The concept of proper sleep duration can be evaluated by its
associated injury risk.
PMID- 24921019
TI - Variation in meal-skipping rates of Korean adolescents according to socio
economic status: results of the Korea Youth Risk Behavior Web-based Survey.
AB - OBJECTIVES: To identify and evaluate the trend of meal-skipping rates among
Korean adolescents with their contributing causes and the influence of household
income level on meal skipping. METHODS: Using 2008, 2010, and 2012 data from the
Korea Youth Risk Behavior Web-based Survey of 222 662 students, a cross-sectional
study with subgroup analysis was performed. We calculated odds ratios for
skipping each meal 5 or more times in a week by household socio-economic status
using a multiple logistic regression model. The secular change in the meal
skipping rates by the students' family affluence scale was analyzed by comparing
the meal-skipping students within each subgroup and odds ratios for the same
event over time. RESULTS: Through 2008 to 2012, most of the meal-skipping rates
generally showed a continuous increase or were almost unchanged in both sexes,
except for breakfast skipping in several subgroups. Students in low-income
households not living with both parents had the highest meal-skipping rates and
odds ratios for frequent meal skipping. In a time-series subgroup analysis, the
overall odds ratios for the same event increased during 2008 to 2012, with a
slight reduction in the gap between low and higher income levels with regard to
meal skipping during 2010 to 2012. CONCLUSIONS: Household socio-economic status
and several other factors had a significant influence on Korean adolescent meal
skipping rates. Although the gap in eating behavior associated with household
socio-economic differences is currently decreasing, further study and appropriate
interventions are needed.
PMID- 24921020
TI - Assessment of occupational symptoms and chemical exposures for nail salon
technicians in Daegu City, Korea.
AB - OBJECTIVES: This study aimed to evaluate occupational symptoms and chemical
exposures of nail salon technicians. METHODS: Work-related symptoms of nail salon
technicians in Daegu City were surveyed using a researcher-administered
questionnaire, and responses were compared to those of non-exposed office workers
as controls. Personal exposure level of airborne volatile organic compounds was
also monitored using passive samplers. RESULTS: A total of 159 subjects in 120
salons were interviewed. Average work-shift concentrations of 13 chemicals were
measured for 50 workers from 30 salons using personal passive samplers. The most
frequently reported respiratory or neurologic symptoms by nail shop technicians
compared to controls were nose irritation (odds ratio [OR], 54.0; confidence
interval [CI], 21.6 to 134.8), followed by headache (OR, 9.3; CI, 4.7 to 18), and
throat irritation (OR, 4.3; CI, 2.2 to 8.5). For eyes and skin, 92% of
respondents complained eye irritation (OR, 13.1; CI, 5.7 to 30.1). In
musculoskeletal symptoms, workers reported pain or discomfort in shoulders (OR,
20.3; CI, 7.7 to 54) and neck (OR, 19.7; CI, 8.9 to 43.6). From personal
measurements, the proportion of exceeding the Korean Occupational Exposure Limit
was the highest for acetone with 64%, followed by toluene (50%), butyl acetate
(46%), and methyl methacrylate (12%). However, the service was being provided
without a proper ventilation system in most surveyed shops. CONCLUSIONS: Based on
these findings, it is warranted to have appropriate local exhaust ventilation
place to ensure adequate health protection of nail shop technicians as well as
customers. At the same time, greater policy interests are warranted in nail care
business to protect health of both workers and customers.
PMID- 24921021
TI - Epidemiological investigation of an outbreak of salmonellosis in Gyeongju, Korea.
AB - OBJECTIVES: A salmonellosis outbreak occurred within a community of Gyeongju
residents who ingested catered food from a wedding in June 2009. We aimed to
epidemiologically investigate the probable vehicle of the infection. METHODS: We
conducted a retrospective cohort study on 34 local residents who ingested the
wedding food. RESULTS: Among the 34 residents, 31 (91.2%) reported symptoms of
infection after eating the food. Among all of the wedding foods, pan-fried foods
were highly associated with the diarrheal attack rate. On bacteriological
examination, Salmonella species were detected in the pan-fried foods among the
leftover foods and in 17 of the 31 stool specimens from the cases. There were
five different types of pan-fried foods, but the onset of symptoms was
independent of the ingredients used. We found that the pan-fried food was
prepared at a food store in Seoul and that eggs were a common ingredient.
CONCLUSIONS: The major cause of the salmonellosis in this population was presumed
to be the pan-fried food prepared with contaminated eggs. These food items might
have been partially undercooked because of their irregular shape, which allowed
the Salmonella species to survive and multiply before ingestion.
PMID- 24921022
TI - Comparative Study between Intravenous and Intraperitoneal Stem Cell Therapy in
Amiodarone Induced Lung Injury in Rat.
AB - BACKGROUND AND OBJECTIVES: The fibrosing form of lung injury (occupational,
environmental, infective or drug induced) is associated with significant
morbidity and mortality. Amiodarone (AM), often prescribed for control of
arrhythmias is considered a potential cause. No effective treatment was
confirmed, except lung transplantation. Intravenous (IV) stem cell therapy may
produce pulmonary emboli or infarctions. Despite being commonly used in clinical
practice, the intraperitoneal (IP.) route has been rarely used for cell delivery.
The present study aimed at investigating and comparing the possible effect of IP
stem cell therapy (SCT) on pulmonary toxicity versus the intravenous route in a
rat model of amiodarone induced lung damage. METHODS AND RESULTS: 36 adult male
albino rats were divided into 4 groups. Rats of AM group were given 30 mg/kg
daily orally for 4 weeks. Rats of IV SCT group were injected with stem cells in
the tail vein. Rats of IP SCT group received IP cell therapy. Histological,
histochemical, immunohistochemical and morphometric studies were performed.
Obstructed bronchioles, overdistended alveoli, reduced type I pneumocytes,
increased thickness of alveolar septa and vessels wall besides increased area% of
collagen fibers regressed in response to IV and IP SCT. The improvement was more
obvious in IV group. The area% of Prussion blue +ve and CD105 +ve cells was
significantly higher in IV group. CONCLUSIONS: Cord blood MSC therapy proved
definite amelioration of lung injury ending in fibrosis. The effect of IP SCT was
slightly inferior to that of IV SCT, which may be overwhelmed by repeated IP
injection.
PMID- 24921023
TI - Myelo-enhancement by astragalus membranaceus in male albino rats with
chemotherapy myelo-suppression. Histological and immunohistochemical study.
AB - BACKGROUND AND OBJECTIVES: Myelosuppression is the most common toxicity
encountered in the oncology clinic today. This study was planned to investigate
the possible protective and therapeutic role of the traditional Chinese Medicinal
Herb; Astragalus Membranaceus (AM), on chemotherapy-induced myelosuppression.
METHODS AND RESULTS: This study was carried out on thirty six adult male albino
rats. They were divided into: Group I Control Group (n=6) received a vehicle of
phosphate buffered saline (PBS) solution. Group II (n=12) were injected I.P. with
cyclophosphamide (CY) for 3 days (gIIa n =6) and continued for one more week to
receive AM orally (gIIb n=6). Group III (n=6) received CY I.P. together with AM
orally for 3 days. Group IV (n=12) received AM orally for one week (gIVa n=6) and
continued for extra three days receiving CY I.P. with AM orally (gIVb n=6). Blood
samples were analysed for Total Leucocytic Count and Lymphocytic Count. Counting
of CD34 +ve cells in bone marrow was performed by flowcytometry. Bone marrow
sections were subjected to H&E stain as well as immunohistochemical staining for
anti- CD20 antibody. The mean area % of cellular bone marrow regions occupied by
developing haemopoietic cells, mean area of fat cells and mean number of CD20
immunopositive B lymphocytes in the bone marrow were measured by
histomorphometric studies and statistically compared. AM proved to have a myelo
protective and myelo-therapeutic capacity, evidenced at both laboratory and
morphological levels. CONCLUSIONS: The greatest myelo-potentiating effect of AM
was achieved when supplied before and together with CY therapy.
PMID- 24921024
TI - Translational Research: Palatal-derived Ecto-mesenchymal Stem Cells from Human
Palate: A New Hope for Alveolar Bone and Cranio-Facial Bone Reconstruction.
AB - The management of facial defects has rapidly changed in the last decade.
Functional and esthetic requirements have steadily increased along with the
refinements of surgery. In the case of advanced atrophy or jaw defects, extensive
horizontal and vertical bone augmentation is often unavoidable to enable patients
to be fitted with implants. Loss of vertical alveolar bone height is the most
common cause for a non primary stability of dental implants in adults. At
present, there is no ideal therapeutic approach to cure loss of vertical alveolar
bone height and achieve optimal pre-implantological bone regeneration before
dental implant placement. Recently, it has been found that specific populations
of stem cells and/or progenitor cells could be isolated from different dental
resources, namely the dental follicle, the dental pulp and the periodontal
ligament. Our research group has cultured palatal-derived stem cells (paldSCs) as
dentospheres and further differentiated into various cells of the neuronal and
osteogenic lineage, thereby demonstrating their stem cell state. In this
publication will be shown whether paldSCs could be differentiated into the
osteogenic lineage and, if so, whether these cells are able to regenerate
alveolar bone tissue in vivo in an athymic rat model. Furthermore, using these
data we have started a proof of principle clinical- and histological controlled
study using stem cell-rich palatal tissues for improving the vertical alveolar
bone augmentation in critical size defects. The initial results of the study
demonstrate the feasibility of using stem cell-mediated tissue engineering to
treat alveolar bone defects in humans.
PMID- 24921025
TI - Stem cells and niemann pick disease.
AB - BACKGROUND AND OBJECTIVES: Niemann Pick A disease causes a progressive
accumulation of sphyngomyelin in several organs and the survival of the patients
is usually limited to three years. We describe the outcome of a patient suffering
from Niemann Pick A disease, who first underwent an haploidentical bone marrow
transplantation, and then intrathecal and I.V injections of mesenchymal cells.
METHODS AND RESULTS: While the outcome of bone marrow transplantation was a
complete failure, one month after the treatment with the mesenchymal cells the
patient improved from the psychomotor and the parenchymal storage perspective.
When hypersplenism was solved platelets rose quickly from 20,000 to
120,000/microliter. CONCLUSIONS: Therefore cellular therapy should be considered
as a possible choice of treatment of NPA disease.
PMID- 24921026
TI - Histolgical and immunohistochemical study on the effect of stem cell therapy on
bleomycin induced pulmonary fibrosis in albino rat.
AB - AIM OF WORK: To demonstrate the bleomycin induced histological changes in the
lung and the possible protective and/or therapeutic effect of stem cell therapy.
MATERIALS AND METHODS: Study was carried out on 36 adult male albino rats,
classified into 4 groups: group I (control), group II (bleomycin treated group),
group III (early stem cell treated group: immediately after bleomycin), group IV
(late stem cell treated group: 7 days after bleomycin). Sections were taken at
the 14(th) day of experiment. stained with Hematoxylin and Eosin, Masson's
trichrome, immunohistochemichal stains for alpha-SMA & PCNA. Sections were
examined by light & immunofluroscent microscopy. Area percent of collagen fibers,
area percent & optical density of alpha-SMA immunopositive cells were measured as
well as the number of H&E and PCNA stained pneumocytes type II was counted.
RESULTS: Group II showed, thickening of septa, extravasation of blood, dividing
pneumocytes type II cells with acinar formation, cellular infiltration,
fibroblast cells, almost complete loss of normal lung architecture in certain
fields, consolidation and replacement of the lung tissue with fibrous tissue in
other fields. Restoring of lung tissue with significant decrease in mean area %
of collagen fibers, alpha-SMA immunopositive cells were detected in group III.
CONCLUSIONS: Early treatment with bone marrow derived mesenchymal stem cells
(BMSCs) immediately after bleomycin administration showed a significant reduction
in fibrotic changes, however the late treatment with BMSCs (7 days) after
bleomycin administration showed non significant results.
PMID- 24921027
TI - In Vivo Roles of a Patient-Derived Induced Pluripotent Stem Cell Line (HD72-iPSC)
in the YAC128 Model of Huntington's Disease.
AB - Induced pluripotent stem cells (iPSCs) generated from somatic cells of patients
can provide immense opportunities to model human diseases, which may lead to
develop novel therapeutics. Huntington's disease (HD) is a devastating
neurodegenerative genetic disease, with no available therapeutic options at the
moment. We recently reported the characteristics of a HD patient-derived iPSC
carrying 72 CAG repeats (HD72-iPSC). In this study, we investigated the in vivo
roles of HD72-iPSC in the YAC128 transgenic mice, a commonly used HD mouse model
carrying 128 CAG repeats. To do this, we transplanted HD72-iPSC-derived neural
precursors into the striatum of YAC128 mice bilaterally and observed a
significant behavioral improvement in the grafted mice. Interestingly, the
transplanted HD72-iPSC-derived neural precursors formed GABAeric neurons
efficiently, but no EM48-positive protein aggregates were detected at 12 weeks
after transplantation. Taken together, these results indicate no HD pathology was
developed from the grafted cells, or no transmission of HD pathology from the
host to the graft occurred at 12 weeks post-transplantation.
PMID- 24921028
TI - The Interrelationship between Diabetes and Depression.
PMID- 24921029
TI - A primary care approach to myelodysplastic syndromes.
AB - Myelodysplastic syndromes (MDS) are probably the most common hematologic
malignancies in adults over the age of 60 and are a major source of morbidity and
mortality among older age groups. Diagnosis and management of this chronic blood
cancer has evolved significantly in recent years and there are Food and Drug
Administration-approved therapies that can extend patients' life expectancy and
improve quality of life. Primary care physicians (PCPs) are often involved in the
process of diagnosis and follow-up of MDS patients, especially those in low-risk
groups. They can therefore play an important role in improving patient care and
quality of life by ensuring early referral and participating in supportive
management. There is also a shortage of oncologists which increases the
importance of the role of PCPs in management of MDS patients. In the face of
limited resources, PCPs can improve access and quality of care in MDS patients.
This article provides an overview of the common manifestations, diagnostic
approaches, and therapeutic modalities of MDS for PCPs, with a focus on when to
suspect MDS, when a referral is appropriate, and how to provide appropriate
supportive care for patients diagnosed with MDS.
PMID- 24921030
TI - The effect of exercise on prevention of the common cold: a meta-analysis of
randomized controlled trial studies.
AB - BACKGROUND: Because there is no specific treatment for the common cold, many
previous studies have focused on prevention of the common cold. There were some
studies reporting that regular, moderate-intensity exercise increases immunity
and prevents the common cold. We conducted a meta-analysis to determine the
effects of exercise on prevention of the common cold. METHODS: We searched
MEDLINE, EMBASE, the Cochrane Central Register of Controlled Trials (CENTRAL),
CINAHL for studies released through June 2013. We manually searched the
references. Two authors independently extracted the data. To assess the risk of
bias of included literature, Cochrane Collaboration's tool for assessing risk of
bias was used. Review Manager ver. 5.2 (RevMan, Cochrane Collaboration) was used
for statistical analysis. RESULTS: Four randomized controlled trials were
identified. A total of 281 participants, 134 in the exercise group and 147 in the
control group, were included. The effect of exercise on the prevention of the
common cold had a relative risk (RR) of 0.73 (95% confidence interval [CI], 0.56
to 0.95; I(2) = 7%). The mean difference of mean illness days between exercise
group and control group was -3.50 (95% CI, -6.06 to -0.94; I(2) = 93%). In the
subgroup analysis, the RR of under 16 weeks exercise was 0.79 (95% CI, 0.58 to
1.08). CONCLUSION: In this meta-analysis, regular, moderate-intensity exercise
may have an effect on the prevention of the common cold. But numbers of included
studies and participants were too small and quality of included studies was
relatively poor. Subsequent well-designed studies with larger sample size are
needed to clarify the association.
PMID- 24921031
TI - Diabetes and depressive symptoms in korean women: the fifth korean national
health and nutrition examination survey (2010-2011).
AB - BACKGROUND: The purpose of this study was to investigate the association between
diabetes and depressive symptoms among Korean women. METHODS: We performed an
analysis of data for 6,572 women aged 30 or over obtained from the Fifth Korean
National Health and Nutrition Examination Survey conducted in 2010 to 2011. We
examined the presence of depressive symptoms and the treatment of depression
according to diabetes status. RESULTS: The presence of depressive symptoms was
observed in 22.6% of subjects with diabetes. In the multiple logistic regression
model, diabetes was associated with an increased risk of depressive symptoms
(odds ratio [OR], 1.21; 95% confidence interval [CI], 1.20 to 1.21) but the
treatment of depression among diabetics was less common (OR, 0.54; 95% CI, 0.54
to 0.55). Uncontrolled diabetes (glycosylated hemoglobin >= 7%) was associated
with an increased risk of depressive symptoms (OR, 1.71; 95% CI, 1.69 to 1.73)
among diabetics. CONCLUSION: Physicians should manage individuals with diabetes
in consideration of the presence of depressive symptoms, especially in those with
uncontrolled diabetes.
PMID- 24921032
TI - Gallstones are Associated with Intima-Media Thickness of Common Carotid Arteries
in Men.
AB - BACKGROUND: The prevalence of gallstone disease is increasing in Korea. Gallstone
disease and atherosclerosis share common risk factors. Ultrasonographic carotid
intima-media thickness is an independent predictor of atherosclerosis. We
measured the carotid intima-media thickness among men who had gallstones to
establish the association between atherosclerosis and gallstones. METHODS: A
total of 330 men who visited the health promotion center of the IS Hallym
Hospital from January 2011 to July 2012 were recruited. Both abdomen and carotid
ultrasonographies were performed. Demographic, anthropometric, and biochemical
data were collected. RESULTS: The prevalence of gallstones was 6.6%. The carotid
intima-media thickness, age, and body mass index were higher in subjects with
gallstones (P < 0.05 for all). After Pearson correlation analysis, gallstone,
age, body mass index, and fasting blood sugar were significantly associated with
carotid intima-media thickness. A statistically positive association between
gallstone and carotid intima-media thickness was demonstrated in a multivariate
analysis adjusted for age, body mass index, and fasting blood sugar. CONCLUSION:
Carotid intima-media thickness is higher in male subjects with gallstones,
indicating that they are at greater risk for atherosclerosis.
PMID- 24921033
TI - Association between Dyslipidemia and the Prevalence of Colon Polyps Based on a
Health Evaluation of Subjects at a Hospital.
AB - BACKGROUND: Colonic neoplasm is associated with western diet intake and physical
inactivity. These life styles are also risk factors for dyslipidemia and
metabolic syndrome. The aim of this study was to evaluate the association between
dyslipidemia and the prevalence of colon polyps including colon adenoma as a
precancerous lesion of colonic neoplasms. METHODS: We selected subjects
undergoing a colonoscopy for health screening at the Health Promotion Center of
Eulji General Hospital from January 2006 to June 2010. Subjects with histories of
cancers, dyslipidemia treatment, and other intestinal diseases like Crohn's
disease and ulcerative colitis were excluded. The total numbers of subjects
included in the study was 605. Chi-square test and t-test and were used for the
analysis. Additionally we used multivariate logistic regression to adjust for
sex, age, smoking, drinking, and other risk factors. RESULTS: The prevalence of
colon polyps was 48.70% and 28.05% in males and females, respectively. When
adjusting for variables that included age, body mass index, hypertension,
diabetes mellitus, smoking, drinking, and exercise, dyslipidemia was not
significantly associated with the prevalence of colon polyps. However upon
analyzing adenomatous colon polyps in men, dyslipidemias due to triglycerides and
high density lipoproteins were significant factors (odds ratio [OR], 2.13;
confidence interval [CI], 1.14 to 3.98; OR, 2.24; CI, 1.15 to 4.34,
respectively). CONCLUSION: Dyslipidemia was not a significant factor in the
prevalence of colon polyps. However it had a significant association with the
prevalence of adenomatous colon polyps in men.
PMID- 24921034
TI - Association between Physical Activity and Health-Related Quality of Life in
Korean: The Korea National Health and Nutrition Examination Survey IV.
AB - BACKGROUND: Numerous studies have identified that physical activity (PA) has
positive influences on quality of life. However, there has been little domestic
research about the relation of PA to health-related quality of life (HRQoL) among
the general population. The purpose of this study was to evaluate the effect of
PA on HRQoL in Korean adults aged 40 years or over. METHODS: This cross-sectional
study was based on data acquired from the Korean National Health and Nutrition
Examination Survey IV. Final study subjects were 10,392 adults aged 40 years or
over. According to the severity and frequency of PA, the subjects were divided
into three PA groups as follows: high-activity, mid-activity, and low-activity
group. We compared the HRQoL estimated by the EuroQoL 5-dimension (EQ-5D), the EQ
visual analogue scale (EQ-VAS), and EQ-5D index between three PA groups. RESULTS:
The odds ratios for mid-activity group and high activity group compared with low
activity group were significantly decreased in the dimension of mobility of EQ-5D
(mid-activity group, 0.81 [0.69-0.94]; high activity group, 0.81 [0.67-0.99]). EQ
VAS was decreased as PA level was lowered from high activity group and mid
activity to low activity group. EQ-5D index was the highest in mid-activity group
and the lowest in low activity group. CONCLUSION: PA above mid-activity group
showed better HRQoL compared than low activity group.
PMID- 24921035
TI - Epiglottic cyst incidentally discovered during screening endoscopy: a case report
and review of literature.
AB - From the endoscopists' point of view, although the main focus of upper
gastrointestinal endoscopic examination is the esophagus, stomach, and duodenum
(usually bulb and 2nd portion including ampulla of Vater), the portions of the
upper airway may also be observed during insertion and withdrawal of the
endoscope, such as pharynx and larynx. Thus, a variety of pathologic lesions of
the upper airway can be encountered during upper endoscopy. Among these lesions,
an epiglottic cyst is relatively uncommon. The cyst has no malignant potential
and mostly remains asymptomatic in adults. However, if large enough, epiglottic
cysts can compromise the airway and can be potentially life-threatening when an
emergency endotracheal intubation is needed. Thus, patients may benefit from
early detection and treatment of these relatively asymptomatic lesions. In this
report, we present a case of epiglottic cyst in an asymptomatic adult
incidentally found by family physician during screening endoscopy, which was
successfully removed without complication, using a laryngoscopic carbon dioxide
laser.
PMID- 24921036
TI - Comments on statistical issues in may 2014.
PMID- 24921038
TI - Disubstituted Sialic Acid Ligands Targeting Siglecs CD33 and CD22 Associated with
Myeloid Leukaemias and B Cell Lymphomas.
AB - The siglec family of sialic acid-binding proteins are endocytic immune cell
receptors that are recognized as potential targets for cell directed therapies.
CD33 and CD22 are prototypical members and are validated candidates for targeting
acute myeloid leukaemia and non-Hodgkin's lymphomas due to their restricted
expression on myeloid cells and B-cells, respectively. While nanoparticles
decorated with high affinity siglec ligands represent an attractive platform for
delivery of therapeutic agents to these cells, a lack of ligands with suitable
affinity and/or selectivity has hampered progress. Herein we describe selective
ligands for both of these siglecs, which when displayed on liposomal
nanoparticles, can efficiently target the cells expressing them in peripheral
human blood. Key to their identification was the development of a facile method
for chemo-enzymatic synthesis of disubstituted sialic acid analogues, combined
with iterative rounds of synthesis and rapid functional analysis using glycan
microarrays.
PMID- 24921040
TI - A Regioselective Synthesis of the Dephospho DIthiolene Protected Molybdopterin.
AB - The molybdopterin (MPT) is a complex molecule, made out of three distinctly
different components. A retrosynthetic analysis provides a possible route for its
synthesis that utilizes the coupling of a diamine with an osone analog. A
regioselective condensation of the diamine with an osone affords the dephospho
MPT, which has been characterized by NMR and IR spectroscopies, as well as high
resolution mass spectrometry.
PMID- 24921039
TI - Area-dependent time courses of brain activation during video-induced symptom
provocation in social anxiety disorder.
AB - BACKGROUND: Previous functional imaging studies using symptom provocation in
patients with social anxiety disorder (SAD) reported inconsistent findings, which
might be at least partially related to different time-dependent activation
profiles in different brain areas. In the present functional magnetic resonance
imaging study, we used a novel video-based symptom provocation design in order to
investigate the magnitude and time course of activation in different brain areas
in 20 SAD patients and 20 healthy controls. RESULTS: The disorder-related videos
induced increased anxiety in patients with SAD as compared to healthy controls.
Analyses of brain activation to disorder-related versus neutral video clips
revealed amygdala activation during the first but not during the second half of
the clips in patients as compared to controls. In contrast, the activation in the
insula showed a reversed pattern with increased activation during the second but
not during the first half of the video clips. Furthermore, a cluster in the
anterior dorsal anterior cingulate cortex showed a sustained response for the
entire duration of the videos. CONCLUSIONS: The present findings suggest that
different regions of the fear network show differential temporal response
patterns during video-induced symptom provocation in SAD. While the amygdala is
involved during initial threat processing, the insula seems to be more involved
during subsequent anxiety responses. In accordance with cognitive models of SAD,
a medial prefrontal region engaged in emotional-cognitive interactions is
generally hyperactivated.
PMID- 24921041
TI - Moving in extreme environments: what's extreme and who decides?
AB - Humans work, rest and play in immensely varied extreme environments. The term
'extreme' typically refers to insufficiency or excess of one or more stressors,
such as thermal energy or gravity. Individuals' behavioural and physiological
capacity to endure and enjoy such environments varies immensely. Adverse effects
of acute exposure to these environments are readily identifiable (e.g. heat
stroke or bone fracture), whereas adverse effects of chronic exposure (e.g.
stress fractures or osteoporosis) may be as important but much less discernable.
Modern societies have increasingly sought to protect people from such stressors
and, in that way, minimise their adverse effects. Regulations are thus
established, and advice is provided on what is 'acceptable' exposure. Examples
include work/rest cycles in the heat, hydration regimes, rates of ascent to and
duration of stay at altitude and diving depth. While usually valuable and well
intentioned, it is important to realise the breadth and importance of limitations
associated with such guidelines. Regulations and advisories leave less room for
self-determination, learning and perhaps adaptation. Regulations based on stress
(e.g. work/rest cycles relative to WBGT) are more practical but less direct than
those based on strain (e.g. core temperature), but even the latter can be
substantively limited (e.g. by lack of criterion validation and allowance for
behavioural regulation in the research on which they are based). Extreme
Physiology & Medicine is publishing a series of reviews aimed at critically
examining the issues involved with self- versus regulation-controlled human
movement acutely and chronically in extreme environments. These papers, arising
from a research symposium in 2013, are about the impact of people engaging in
such environments and the effect of rules and guidelines on their safety,
enjoyment, autonomy and productivity. The reviews will cover occupational heat
stress, sporting heat stress, hydration, diving, extreme loading, chronic
unloading and high altitude. Ramifications include factors such as health and
safety, productivity, enjoyment and autonomy, acute and chronic protection and
optimising adaptation.
PMID- 24921042
TI - Moving in extreme environments: open water swimming in cold and warm water.
AB - Open water swimming (OWS), either 'wild' such as river swimming or competitive,
is a fast growing pastime as well as a part of events such as triathlons. Little
evidence is available on which to base high and low water temperature limits.
Also, due to factors such as acclimatisation, which disassociates thermal
sensation and comfort from thermal state, individuals cannot be left to monitor
their own physical condition during swims. Deaths have occurred during OWS; these
have been due to not only thermal responses but also cardiac problems. This
paper, which is part of a series on 'Moving in Extreme Environments', briefly
reviews current understanding in pertinent topics associated with OWS. Guidelines
are presented for the organisation of open water events to minimise risk, and it
is concluded that more information on the responses to immersion in cold and warm
water, the causes of the individual variation in these responses and the
precursors to the cardiac events that appear to be the primary cause of death in
OWS events will help make this enjoyable sport even safer.
PMID- 24921043
TI - Randomized controlled trials in frontotemporal dementia: cognitive and behavioral
outcomes.
AB - Progress has been made in understanding the genetics and molecular biology of
frontotemporal dementia (FTD). Targets for intervention have been identified,
therapies are being developed, and clinical trials are advancing. A major
challenge for FTD research is that multiple underlying pathologies can be
associated with heterogeneous phenotypes. The neuropsychological profiles
associated with FTD spectrum disorders often include executive dysfunction,
language impairments and behavioral disturbance. Behavioral variant FTD is
characterized by an initial presentation of changes in personality, behavior
and/or emotion, which are often difficult to objectively capture using
traditional neuropsychological measures. The two principal language variants of
FTD are Progressive Nonfluent Aphasia (PNFA) with predominant agrammatic/non
fluent impairments and Semantic Dementia (SD) with semantic impairments and
visual agnosia. Selection of appropriate endpoints for clinical trials is
critical to ensure that the measures are adequately sensitive to detect change,
yet specific enough to isolate signal from noise, and acceptable to regulatory
agencies. Given the anticipated potential for small effect sizes, measures must
be able to identify small incremental changes over time. It is also imperative
that the measures provide adequate coverage of the constructs or behaviors of
interest. Selected outcome measures should be suitable for repeat administration,
yet relatively robust to practice effects to ensure that observed changes reflect
true signal variance and not residual effects due to repeated measurement or poor
reliability. To facilitate widespread adoption as an endpoint, measures should be
readily accessible. We provide several examples of potential global, composite,
and individual cognitive measures, as well as behavioral measures promising for
FTD trials. Development and application of appropriate trial outcomes is
critically important to success in advancing new treatments for FTD patients.
PMID- 24921044
TI - Adherence to Immunoprophylaxis Regimens for Respiratory Syncytial Virus Infection
in Insured and Medicaid Populations.
AB - BACKGROUND: Immunoprophylaxis is the only pharmaceutical intervention for
mitigating respiratory syncytial virus (RSV) infection. Patient level data on
adherence to American Academy of Pediatrics (AAP) immunoprophylaxis
recommendations are limited. This study characterizes adherence to AAP guidelines
in privately insured and Medicaid populations. METHODS: We performed a
retrospective birth cohort study of 211 174 privately insured children in
Northern California; and 458 837 publicly insured children in Tennessee born
between January 1, 1996 and December 31, 2008. Adherence to the AAP guideline was
defined for eligible infants as the number of doses of RSV immunoprophylaxis
administered over the number recommended for 4 mutually exclusive eligibility
groups: chronic lung disease, prematurity <29 weeks, prematurity <32 weeks, and
other eligibility. RESULTS: We identified 3456 California (Kaiser Permanente
Northern California [KPNC]) and 12 251 Tennessee (Tennessee Medicaid [TennCare])
infants meeting AAP eligibility criteria. Immunoprophylaxis administration
increased over the study period, from 15% for all eligible groups in 1998 to 54%
in 2007. Adherence was highest among babies with chronic lung disease (KPNC 67%
and TennCare 55%). Nonadherence (0% adherence) was greatest among infants of
African American mothers (adjusted odds ratio [AOR] = 1.32; 95% confidence
interval [CI] = .98-1.78); those with mothers with less than a high school
education (AOR = 1.58; CI = 1.09-2.30) in KPNC; and in infants of Hispanic
mothers in TennCare (AOR = 1.65; CI = 1.24-2.20). In KPNC, 0.11% of ineligible
term infants and 5% of ineligible premature infants received immunoprophylaxis;
the corresponding proportions in TennCare were 1% and 11%. CONCLUSIONS: Overall
adherence with AAP guidelines has increased over time. Considerable overuse and
underuse of immunoprophylaxis are evident with identifiable risk groups to target
for improvement.
PMID- 24921045
TI - Amino acid digestibility in low-fat distillers dried grains with solubles fed to
growing pigs.
AB - The objective of this experiment was to determine the standardized ileal
digestibility (SID) of amino acids (AA) in 3 sources of distillers dried grains
with solubles (DDGS) with different concentrations of fat. Twelve growing barrows
(initial body weight: 76.1 [Formula: see text] 6.2 kg) were randomly allotted to
a replicated 6 * 4 Youden square design with 6 diets and 4 periods. The fat
content of the 3 sources of DDGS were 11.5, 7.5, and 6.9% respectively. Diets
contained 60% DDGS and fat concentration of the diets were 7.5, 5.2, and 5.2%,
respectively. Two additional diets containing the 2 sources of DDGS with 7.5 and
6.9% fat were also formulated, and corn oil was added to these diets to increase
the concentration of fat in the diets to levels that were calculated to be
similar to the diet containing conventional DDGS with 11.5% fat. A N-free diet
was also formulated to calculate endogenous losses of crude protein (CP) and AA
from the pigs. Pigs were fed experimental diets during four 7-d periods. The
first 5 d of each period were an adaptation period and ileal digesta were
collected on d 6 and 7 of each period. The apparent ileal digestibililty (AID)
and SID of CP and all indispensable AA, except AID Pro and SID of Trp, were
greater (P < 0.01) in conventional DDGS than in the 2 sources of DDGS with
reduced fat. Adding oil to the diets containing the 2 sources of DDGS with
reduced fat did not consistently increase SID of AA. In conclusion, conventional
DDGS has greater SID values for most AA compared with DDGS that contains less fat
and inclusion of additional oil to diets containing low-fat DDGS does not
increase AID or SID of AA. The lower AA digestibility in low-fat DDGS could not
be overcome by the inclusion of additional fat to the diets.
PMID- 24921046
TI - Focused ultrasound to displace renal calculi: threshold for tissue injury.
AB - BACKGROUND: The global prevalence and incidence of renal calculi is reported to
be increasing. Of the patients that undergo surgical intervention, nearly half
experience symptomatic complications associated with stone fragments that are not
passed and require follow-up surgical intervention. In a clinical simulation
using a clinical prototype, ultrasonic propulsion was proven effective at
repositioning kidney stones in pigs. The use of ultrasound to reposition smaller
stones or stone fragments to a location that facilitates spontaneous clearance
could therefore improve stone-free rates. The goal of this study was to determine
an injury threshold under which stones could be safely repositioned. METHODS:
Kidneys of 28 domestic swine were treated with exposures that ranged in duty
cycle from 0%-100% and spatial peak pulse average intensities up to 30 kW/cm(2)
for a total duration of 10 min. The kidneys were processed for morphological
analysis and evaluated for injury by experts blinded to the exposure conditions.
RESULTS: At a duty cycle of 3.3%, a spatial peak intensity threshold of 16,620
W/cm(2) was needed before a statistically significant portion of the samples
showed injury. This is nearly seven times the 2,400-W/cm(2) maximum output of the
clinical prototype used to move the stones effectively in pigs. CONCLUSIONS: The
data obtained from this study show that exposure of kidneys to ultrasonic
propulsion for displacing renal calculi is well below the threshold for tissue
injury.
PMID- 24921047
TI - Ultrasound-enhanced ocular delivery of dexamethasone sodium phosphate: an in vivo
study.
AB - BACKGROUND: The eye's unique anatomy and its physiological and anatomical
barriers can limit effective drug delivery into the eye. METHODS: An in vivo
study was designed to determine the effectiveness and safety of ultrasound
application in enhancing drug delivery in a rabbit model. Permeability of a
steroid ophthalmic drug, dexamethasone sodium phosphate, was investigated in
ultrasound- and sham-treated cases. For this study, an eye cup filled with
dexamethasone sodium phosphate was placed on the cornea. Ultrasound was applied
at intensity of 0.8 W/cm(2) and frequency of 400 or 600 kHz for 5 min. The drug
concentration in aqueous humor samples, collected 90 min after the treatment, was
determined using chromatography methods. Light microscopy observations were done
to determine the structural changes in the cornea as a result of ultrasound
application. RESULTS: An increase in drug concentration in aqueous humor samples
of 2.8 times (p < 0.05) with ultrasound application at 400 kHz and 2.4 times (p <
0.01) with ultrasound application at 600 kHz was observed as compared to sham
treated samples. Histological analysis showed that the structural changes in the
corneas exposed to ultrasound predominantly consisted of minor epithelial
disorganization. CONCLUSIONS: Ultrasound application enhanced the delivery of an
anti-inflammatory ocular drug, dexamethasone sodium phosphate, through the cornea
in vivo. Ultrasound-enhanced ocular drug delivery appears to be a promising area
of research with a potential future application in a clinical setting.
PMID- 24921048
TI - Magnetic resonance-guided focused ultrasound treatment of facet joint pain:
summary of preclinical phase.
AB - STUDY DESIGN: A phantom experiment, two thermocouple experiments, three in vivo
pig experiments, and a simulated treatment on a healthy human volunteer were
conducted to test the feasibility, safety, and efficacy of magnetic resonance
guided focused ultrasound (MRgFUS) for treating facet joint pain. OBJECTIVE: The
goal of the current study was to develop a novel method for accurate and safe
noninvasive facet joint ablation using MRgFUS. SUMMARY OF BACKGROUND DATA: Facet
joints are a common source of chronic back pain. Direct facet joint interventions
include medial branch nerve ablation and intra-articular injections, which are
widely used, but limited in the short and long term. MRgFUS is a breakthrough
technology that enables accurate delivery of high-intensity focused ultrasound
energy to create a localized temperature rise for tissue ablation, using MR
guidance for treatment planning and real-time feedback. METHODS: We validated the
feasibility, safety, and efficacy of MRgFUS for facet joint ablation using the
ExAblate 2000(r) System (InSightec Ltd., Tirat Carmel, Israel) and confirmed the
system's ability to ablate the edge of the facet joint and all terminal nerves
innervating the joint. A phantom experiment, two thermocouple experiments, three
in vivo pig experiments, and a simulated treatment on a healthy human volunteer
were conducted. RESULTS: The experiments showed that targeting the facet joint
with energies of 150-450 J provides controlled and accurate heating at the facet
joint edge without penetration to the vertebral body, spinal canal, or root
foramina. Treating with reduced diameter of the acoustic beam is recommended
since a narrower beam improves access to the targeted areas. CONCLUSIONS: MRgFUS
can safely and effectively target and ablate the facet joint. These results are
highly significant, given that this is the first study to demonstrate the
potential of MRgFUS to treat facet joint pain.
PMID- 24921050
TI - Photoactive Electrospun Polymeric Meshes: Spatiotemporally Wetting of Textured 3
Dimensional Structures.
AB - The preparation, characterization, and use of a UV responsive non-woven nanofiber
polymeric mesh is reported that transitions from being hydrophobic to
hydrophilic. Three distinct wetting profiles are observed during the wetting
process. 3D hydrophilic cavities were created within the hydrophobic bulk
material by using a photo mask to control the geometry and UV exposure time to
control the depth of the region.
PMID- 24921051
TI - Biodegradation of cyanide by a new isolated strain under alkaline conditions and
optimization by response surface methodology (RSM).
AB - BACKGROUND: Biodegradation of free cyanide from industrial wastewaters has been
proven as a viable and robust method for treatment of wastewaters containing
cyanide. RESULTS: Cyanide degrading bacteria were isolated from a wastewater
treatment plant for coke-oven-gas condensate by enrichment culture technique.
Five strains were able to use cyanide as the sole nitrogen source under alkaline
conditions and among them; one strain (C2) was selected for further studies on
the basis of the higher efficiency of cyanide degradation. The bacterium was able
to tolerate free cyanide at concentrations of up to 500 ppm which makes it a good
potentially candidate for the biological treatment of cyanide contaminated
residues. Cyanide degradation corresponded with growth and reached a maximum
level 96% during the exponential phase. The highest growth rate (1.23 * 10(8))
was obtained on day 4 of the incubation time. Both glucose and fructose were
suitable carbon sources for cyanotrophic growth. No growth was detected in media
with cyanide as the sole carbon source. Four control factors including, pH,
temperature, agitation speed and glucose concentration were optimized according
to central composite design in response surface method. Cyanide degradation was
optimum at 34.2 degrees C, pH 10.3 and glucose concentration 0.44 (g/l).
CONCLUSIONS: Bacterial species degrade cyanide into less toxic products as they
are able to use the cyanide as a nitrogen source, forming ammonia and carbon
dioxide as end products. Alkaliphilic bacterial strains screened in this study
evidentially showed the potential to possess degradative activities that can be
harnessed to remediate cyanide wastes.
PMID- 24921049
TI - Rare Earth Nanoprobes for Functional Biomolecular Imaging and Theranostics.
AB - Contrast agents designed to visualize the molecular mechanisms underlying cancer
pathogenesis and progression have deepened our understanding of disease
complexity and accelerated the development of enhanced drug strategies targeted
to specific biochemical pathways. For the next generation probes and imaging
systems to be viable, they must exhibit enhanced sensitivity and robust
quantitation of morphologic and contrast features, while offering the ability to
resolve the disease-specific molecular signatures that may be critical to
reconstitute a more comprehensive portrait of pathobiology. This feature article
provides an overview on the design and advancements of emerging biomedical
optical probes in general and evaluates the promise of rare earth nanoprobes, in
particular, for molecular imaging and theranostics. Combined with new
breakthroughs in nanoscale probe configurations, and improved dopant
compositions, and multimodal infrared optical imaging, rare-earth nanoprobes can
be used to address a wide variety of biomedical challenges, including deep tissue
imaging, real-time drug delivery tracking and multispectral molecular profiling.
PMID- 24921052
TI - The digital version of JPIS offers more than ever.
PMID- 24921053
TI - Long-term implant success at the Academy for Oral Implantology: 8-year follow-up
and risk factor analysis.
AB - PURPOSE: Rehabilitation of the incomplete dentition by means of osseointegrated
dental implants represents a highly predictable and widespread therapy; however,
little is known about potential risk factors that may impair long-term implant
success. METHODS: From 2004 to 2012, a total of 13,147 implants were placed in
4,316 patients at the Academy for Oral Implantology in Vienna. The survival rates
after 8 years of follow-up were computed using the Kaplan-Meier method, and the
impact of patient- and implant-related risk factors was assessed. RESULTS:
Overall implant survival was 97% and was not associated with implant length
(P=0.930), implant diameter (P=0.704), jaw location (P=0.545), implant position
(P=0.450), local bone quality (P=0.398), previous bone augmentation surgery
(P=0.617), or patient-related factors including osteoporosis (P=0.661), age
(P=0.575), or diabetes mellitus (P=0.928). However, smoking increased the risk of
implant failure by 3 folds (P<0.001) and a positive history of periodontal
disease doubled the failure risk (P=0.001). CONCLUSIONS: Summing up the long-term
results of well over 10,000 implants at the Academy for Oral Implantology in
Vienna it can be concluded that there is only a limited number of patients that
do not qualify for implant therapy and may thus not benefit from improved quality
of life associated with fixed implant-retained prostheses.
PMID- 24921054
TI - Improvement in periodontal healing after periodontal surgery supported by
nutritional supplement drinks.
AB - PURPOSE: The aim of this study was to determine the effects of nutritional
supplements on periodontal health and tooth mobility after surgery. METHODS:
Patients were randomly assigned to an intervention group who consumed nutritional
supplement drinks for 8 weeks, while the placebo group did not receive any such
supplements. The gingival index (GI) and tooth mobility were measured at baseline
and at 1, 4, and 8 weeks. In addition, the oral health impact profile-14 and
anthropometric measurements along with loss of appetite and dietary intake were
assessed at baseline and 8 weeks. RESULTS: At 1 week, GI values were reduced in
the intervention group (P<0.05), and tooth mobility had increased, but to a
lesser extent in the intervention group (P<0.05). At 8 weeks, the intakes of
protein, vitamins A and B1, and niacin were increased in the intervention group.
CONCLUSIONS: These results demonstrate that nutritional supplementation improves
early periodontal healing after surgery.
PMID- 24921055
TI - Effects of scaling and root planing with or without a local drug delivery system
on the gingival crevicular fluid leptin level in chronic periodontitis patients:
a clinico-biochemical study.
AB - PURPOSE: The present split mouth study evaluates the effect of nonsurgical
periodontal treatment on the gingival crevicular fluid (GCF) leptin level in
chronic periodontitis. METHODS: Ninety sites from 30 nonobese chronic
periodontitis patients were selected and divided as follows: group I, 30 healthy
sites receiving no treatment; group II, 30 periodontitis sites receiving scaling
and root planing (SRP); and group III, 30 periodontitis sites receiving SRP with
tetracycline local drug delivery. At baseline, after GCF sampling and clinical
parameter recording, the assigned treatment was performed for the study groups.
During recall visits, GCF sampling followed by clinical parameter recording was
done for groups II and III. RESULTS: Reductions in the probing depth and the
clinical attachment level (CAL) were highly significant at different time
intervals (except between day 0 and 45) in both groups II and III. Upon
comparison, group III showed significant gain in CAL between day 0 and 15 and
between day 0 and 45. After treatment, the reduction in the GCF leptin level was
more significant in group III than in group II at day 15 but re-elevated almost
to the pretreatment levels at day 45. CONCLUSIONS: Nonsurgical periodontal
therapies were not effective in maintaining stable reduction in the GCF leptin
level during the study period.
PMID- 24921056
TI - Evaluation of interleukin-1beta and 8 in gutka chewers with periodontitis among a
rural Indian population.
AB - PURPOSE: Smokeless tobacco-based oral-use products like gutka are popular in
India. Gutka usage leads to increased periodontal destruction and inflammation;
however, the relevant mechanism remains unknown. This study aimed to elucidate
the role of gutka in periodontitis by examining its effect on the levels of
interleukin (IL) 1beta and IL-8 from the gingival crevicular fluid (GCF).
METHODS: A total of 45 patients were enrolled in this study. Thirty patients with
periodontitis (15 gutka chewers [GCP] and 15 nongutka chewers [NGC]) and 15
periodontally healthy controls (HC) were selected. The full-mouth plaque index
(PI), gingival index (GI), probing depth (PD), clinical attachment level (CAL),
and recession (RC) were recorded. The IL-1beta and IL-8 levels in the GCF of all
subjects were assessed through an enzyme-linked immunosorbent assay (Quantikine).
RESULTS: The IL-1beta and IL-8 levels were not significantly higher in the GCP
group (IL-1beta, 369.01+/-273.44 uL; IL-8, 205.97+/-196.78 uL) as compared to
those in the NGC group (IL-1beta, 195.57+/-96.85 uL; IL-8, 178.61+/-149.35 uL).
More gingival RC and loss of attachment was seen among the GCP group (RC: 2.02+/
0.31, P=0.013; CAL: 4.60+/-0.56, P<0.001) than among the NGC group (RC, 1.21+/
1.15; CAL, 3.70+/-0.32); however, PD was deeper among the NGC subjects (P=0.002).
PI and GI were significantly higher for the periodontitis group (P<0.001) when
compared to the HC, but there was no difference among gutka chewers and non
chewers (P=0.22 and P=0.89). A positive correlation was found between the IL-8
levels and the duration of gutka chewing (r=-0.64, P<0.01). CONCLUSIONS: Gutka
chewing leads to increased gingival RC and clinical loss of attachment. There was
no effect seen in the proinflammatory cytokine levels in the GCF of gutka users.
PMID- 24921057
TI - A randomized clinical trial to evaluate and compare the efficacy of triphala
mouthwash with 0.2% chlorhexidine in hospitalized patients with periodontal
diseases.
AB - PURPOSE: Triphala is a combination of three medicinal plants, extensively used in
Ayurveda since ancient times. Triphala mouthwash is used in the treatment of
periodontal diseases because of its antimicrobial and antioxidant properties. The
aim of this study is to compare the efficacy of triphala mouthwash with 0.2%
chlorhexidine in hospitalized periodontal disease patients. METHODS: In this
double-blind, randomized, multicenter clinical trial, 120 patients were equally
divided into three groups. Patients in group A were advised to rinse their mouths
with 10 mL of distilled water, group B with 0.2% chlorhexidine, and group C with
triphala mouthwash for 1 minute twice daily for two weeks. The plaque index (PI)
and the gingival index (GI) were recorded on the first and the fifteenth day.
RESULTS: There was no significant difference when the efficacy of triphala was
compared with 0.2% chlorhexidine in hospitalized patients with periodontal
disease. However, a statistically significant difference was observed in PI and
GI when both group B and group C were compared with group A and also within
groups B and C, after 15 days (P<0.05). CONCLUSIONS: The triphala mouthwash
(herbal) is an effective antiplaque agent like 0.2% chlorhexidine. It is
significantly useful in reducing plaque accumulation and gingival inflammation,
thereby controlling periodontal diseases in every patient. It is also cost
effective, easily available, and well tolerable with no reported side effects.
PMID- 24921058
TI - Receptor activator of nuclear factor kappa-B gene polymorphisms in Iranian
periodontitis and peri-implantitis patients.
AB - PURPOSE: Peri-implantitis and periodontitis are inflammatory and infectious
diseases of implant and tooth-supporting tissues. Recently, the role of gene
polymorphisms of immune response components in the relevant pathogenesis has been
investigated. The present study was the first to evaluate the relationship
between two known single nucleotide polymorphisms (SNPs) of the receptor
activator of nuclear factor kappa-beta (RANK) gene (rs3018362 and rs35211496) in
chronic periodontitis and peri-implantitis patients in an Iranian population.
METHODS: Eighty-one periodontally healthy patients, 38 patients with peri
implantitis, and 74 patients with chronic periodontitis were enrolled in this
study. DNA was extracted from blood arm vein samples by using Miller's salting
out technique according to the manufacturer's instructions given in the
extraction kit. The concentration of DNA samples was measured using a
spectrophotometer. The genetic polymorphisms of the RANK gene were evaluated
using a competitive allele specific polymerase chain reaction (KBioscience allele
specific PCR) technique. Differences in the frequencies of genotypes and alleles
in the diseased and healthy groups were analyzed using chi-squared statistical
tests (P<0.05). RESULTS: Analysis of rs35211496 revealed statistically
significant differences in the expression of the TT, TC, and CC genotypes among
the three groups (P=0.00). No statistically significant difference was detected
in this respect between the control group and the chronic periodontitis group.
The expression of the GG, GA, and AA genotypes and allele frequencies (rs3018362)
showed no statistically significant difference among the three groups (P=0.21).
CONCLUSIONS: The results of this study indicate that the CC genotype of the
rs35211496 RANK gene polymorphism was significantly associated with peri
implantitis and may be considered a genetic determinant for peri-implantitis, but
this needs to be confirmed by further studies in other populations.
PMID- 24921059
TI - Anterior maxillary defect reconstruction with a staged bilateral rotated palatal
graft.
AB - PURPOSE: In the anterior maxilla, hard and soft tissue augmentations are
sometimes required to meet esthetic and functional demands. In such cases,
primary soft tissue closure after bone grafting procedures is indispensable for a
successful outcome. This report describes a simple method for soft tissue
coverage of a guided bone regeneration (GBR) site using the double-rotated
palatal subepithelial connective tissue graft (RPSCTG) technique for a maxillary
anterior defect. METHODS: We present a 60-year-old man with a defect in the
anterior maxilla requiring hard and soft tissue augmentations. The bone graft
materials were filled above the alveolar defect and a titanium-reinforced
nonresorbable membrane was placed to cover the graft materials. We used the
RPSCTG technique to achieve primary soft tissue closure over the graft materials
and the barrier membrane. Additional soft tissue augmentation using a
contralateral RPSCTG and membrane removal were simultaneously performed 7 weeks
after the stage 1 surgery to establish more abundant soft tissue architecture.
RESULTS: Flap necrosis occurred after the stage 1 surgery. Signs of infection or
suppuration were not observed in the donor or recipient sites after the stage 2
surgery. These procedures enhanced the alveolar ridge volume, increased the
amount of keratinized tissue, and improved the esthetic profile for restorative
treatment. CONCLUSIONS: The use of RPSCTG could assist the soft tissue closure of
the GBR sites because it provides sufficient soft tissue thickness, an ample
vascular supply, protection of anatomical structures, and patient comfort. The
treatment outcome was acceptable, despite membrane exposure, and the RPSCTG
allowed for vitalization and harmonization with the recipient tissue.
PMID- 24921060
TI - Maternal differences and birth outcome disparities: Diversity within a high risk
prenatal clinic.
AB - BACKGROUND: We examined the influence of race/ethnicity on appointment
attendance, maternal psychiatric and medical diagnoses, and birth outcomes within
a diverse, low income, high risk pregnant population to determine whether birth
outcome disparities would be lessened in a sample with high biopsychosocial risk
across all groups. METHODS: Data were retrospectively obtained on all women
scheduled for appointments in the San Francisco Genera Hospital (SFGH) High-Risk
Obstetrics (HROB) clinic during a three-month period. General linear model and
logistic regression procedures were used to examine the associations of
race/ethnicity with maternal characteristics, clinic attendance, and birth
outcomes. RESULTS: Our sample included 202 maternal-infant pairs (Hispanic 57%,
Black 16%, Asian 15%, White 12%). Racial/ethnic differences were seen in language
(p < .001), gravidity (p < .001), parity (p = .005), appointment attendance (p <
.001), diabetes (p = .005), psychiatric diagnosis (p = .02), illicit drug use (p
< .001), smoking (p < .001). These maternal characteristics, including rate of
attendance at specialized prenatal appointments, did not predict birth outcomes
with the exception of an association between diabetes and earlier gestational age
(p = .03). In contrast, Black maternal race/ethnicity was associated with earlier
gestational age at birth (p = .004) and lower birth weight (p < .001) compared to
Whites. CONCLUSIONS: Within a diverse maternal population of high biopsychosocial
risk, racial/ethnic disparities in birth outcomes persist. These disparities have
implications for infant health trajectory throughout the lifecourse and for
intervention implementation in high risk groups.
PMID- 24921061
TI - Novel Drugs of Abuse: A Snapshot of an Evolving Marketplace.
AB - BACKGROUND & OBJECTIVES: Over the past decade, non-medical use of novel drugs has
proliferated worldwide. In most cases these are synthetic drugs first synthesized
in academic or pharmaceutical laboratories for research or drug development
purposes, but also include naturally occurring substances that do not fit the
typical pharmacological or behavioral profile of traditional illicit substances.
Perhaps most unique to this generation of new drugs is that they are being sold
over the counter and on the Internet as "legal highs" or substitutes for
traditional illicit drugs such as cannabis, cocaine, amphetamines, MDMA, and LSD.
The purpose of this review is to provide an overview of novel drugs in current
use, including the epidemiology of use and toxicologic and pharmacological
properties, and to offer some guidelines to clinicians who see patients
experiencing adverse effects from these drugs. METHOD: We review the known
scientific literature on recently introduced synthetic drug types, synthetic
cannabinoids and synthetic cathinones, and the hallucinogen Salvia divinorum.
RESULTS: These substances comprise part of a rapidly evolving and controversial
drug market that has challenged definitions of what is legal and illegal, has
benefitted from open commercial sales without regulatory oversight, and is
noteworthy for the pace at which new substances are introduced. CONCLUSIONS: This
emerging trend in substance use presents significant and unique public health and
criminal justice challenges. At this time, these substances are not detected in
routine drug screens and substance-specific treatment for cases of use-related
toxicity are not available. Clinicians are encouraged to learn characteristic
signs associated with misuse of novel drugs to recognize cases in their practice,
and are recommended to use a symptom-specific approach for treatment in each
case.
PMID- 24921062
TI - Nonlinear photoacoustic signal amplification from single targets in absorption
background.
AB - Photoacoustic (PA) detection of single absorbing targets such as nanoparticles or
cells can be limited by absorption background. We show here that this problem can
be overcome by using the nonlinear photoacoustics based on the differences in PA
signal dependences on the laser energy from targets and background. Among
different nonlinear phenomena, we focused on laser generation of nanobubbles as
more efficient PA signal amplifiers from strongly absorbing, highly localized
targets in the presence of spatially homogenous absorption background generating
linear signals only. This approach was demonstrated by using nonlinear PA flow
cytometry platform for label-free detection of circulating melanoma cells in
blood background in vitro and in vivo. Nonlinearly amplified PA signals from
overheated melanin nanoclusters in melanoma cells became detectable above still
linear blood background. Nonlinear nanobubble-based photoacoustics provide new
opportunities to significantly (5-20-fold) increase PA contrast of single
nanoparticles, cells, viruses and bacteria in complex biological environments.
PMID- 24921063
TI - Building a flagellum in biological outer space.
AB - Flagella, the rotary propellers on the surface of bacteria, present a paradigm
for how cells build and operate complex molecular 'nanomachines'. Flagella grow
at a constant rate to extend several times the length of the cell, and this is
achieved by thousands of secreted structural subunits transiting through a
central channel in the lengthening flagellum to incorporate into the nascent
structure at the distant extending tip. A great mystery has been how flagella can
assemble far outside the cell where there is no conventional energy supply to
fuel their growth. Recent work published by Evans et al. [Nature (2013) 504: 287
290], has gone some way towards solving this puzzle, presenting a simple and
elegant transit mechanism in which growth is powered by the subunits them selves
as they link head-to-tail in a chain that is pulled through the length of the
growing structure to the tip. This new mechanism answers an old question and may
have resonance in other assembly processes.
PMID- 24921064
TI - Estimating causal effects in observational studies using Electronic Health Data:
Challenges and (some) solutions.
AB - Electronic health data sets, including electronic health records (EHR) and other
administrative databases, are rich data sources that have the potential to help
answer important questions about the effects of clinical interventions as well as
policy changes. However, analyses using such data are almost always non
experimental, leading to concerns that those who receive a particular
intervention are likely different from those who do not, in ways that may
confound the effects of interest. This paper outlines the challenges in
estimating causal effects using electronic health data, and offers some
solutions, with particular attention paid to propensity score methods that help
ensure comparisons between similar groups. The methods are illustrated with a
case study describing the design of a study using Medicare and Medicaid
administrative data to estimate the effect of the Medicare Part D prescription
drug program among individuals with serious mental illness.
PMID- 24921065
TI - Methylerythritol 4-phosphate (MEP) pathway metabolic regulation.
AB - Covering: up to February 2014. The methylerythritol 4-phosphate (MEP) pathway is
the recently discovered source of isoprenoid precursors isopentenyl diphosphate
(IDP) and dimethylallyl diphosphate (DMADP) in most bacteria, some eukaryotic
parasites, and the plastids of plant cells. The precursors lead to the formation
of various isoprenoids having diverse roles in different biological processes.
Some isoprenoids have important commercial uses. Isoprene, which is made in
surprising abundance by some trees, plays a significant role in atmospheric
chemistry. The genetic regulation of this pathway has been discussed but
information about metabolic regulation is just now becoming available. This
review covers metabolic regulation of the MEP pathway starting from the inputs of
carbon, ATP, and reducing power. A number of different regulatory mechanisms
involving intermediate metabolites and/or enzymes are discussed. Some recent data
indicate that methylerythritol cyclodiphosphate (MEcDP), the fifth intermediate
of this pathway, is a key metabolite. It has been found to play diverse roles in
regulation within the pathway as well as coordinating other biological processes
by acting as a stress regulator in bacteria and possibly a retrograde signal from
plastids to the nucleus in plants. In this review we focus on the role of the MEP
pathway in photosynthetic leaves during isoprene emission and more generally the
metabolic regulation of the MEP pathway in both plants and bacteria.
PMID- 24921066
TI - 3 Tesla intraoperative MRI for brain tumor surgery.
AB - Implementation of intraoperative magnetic resonance imaging (iMRI) has been shown
to optimize the extent of resection and safety of brain tumor surgery. In
addition, iMRI can help account for the phenomenon of brain shift and can help to
detect complications earlier than routine postoperative imaging, which can
potentially improve patient outcome. The higher signal-to-noise ratio offered by
3 Tesla (T) iMRI compared with lower field strength systems is particularly
advantageous. The purpose of this article is to review the imaging protocols,
imaging findings, and technical considerations related to 3T iMRI. To maximize
efficiency, iMRI sequences can be tailored to particular types of tumors and
procedures, including nonenhancing brain tumor surgery, enhancing brain tumor
surgery, transsphenoidal pituitary tumor surgery, and laser ablation. Unique
imaging findings on iMRI include the presence of surgically induced enhancement,
which can be a potential confounder for residual enhancing tumor, and hyperacute
hemorrhage, which tends to have intermediate signal on T1-weighted sequences and
high signal on T2-weighted sequences due to the presence of oxyhemoglobin. MR
compatibility and radiofrequency shielding pose particularly stringent technical
constraints at 3T and influence the design and usage of the surgical suite with
iMRI.
PMID- 24921067
TI - Actin expression in germinating seeds of Phaseolus vulgaris L.
AB - Actin was present at very low levels in the seeds of common bean (Phaseolus
vulgaris L.) compared with those from other species, and was observed mostly in
the embryo. A time-course of actin expression in germinating bean seeds revealed
an induced expression of both the mRNA and protein. Initially, the actin mRNA in
seeds was barely detectable by northern blot analysis. However, there was a
substantial increase in the expression of the actin mRNA at 24, 48 and 72 h after
imbibition, compared with an internal control consisting of a late-embryogenesis
abundant (LEA) type IV gene from P. vulgaris. An increase in the amount of actin
in total seed extracts that parallelled that of the mRNA was detected by western
blotting starting at 24 h after imbibition. This increase was more apparent when
the embryo alone was analyzed. Two-dimensional west-ern blots initially revealed
three actin isoforms with isoelectric points (pIs) of approximately 5.6, 5.7 and
5.8,the amounts of which increased within a 48-h period,when a new minor isoform
of pI approximately 5.5 appeared; however, after 72 h, the pI-5.8 isoform had
almost disappeared and the pI-5.5 isoform had disappeared completely, indicating
that these two minor isoforms are expressed transiently. These results indicate
that actin is at very low levels in the dry seed but undergoes an increased and
differential expression during imbibition, an event probably required to carry
out all the necessary functions for germination.
PMID- 24921068
TI - A systematic evaluation of protocols for a proteomics analysis of (lyophilized)
fruit tissues.
AB - This study represents a systematic evaluation of protocols for protein extraction
and cleanup for fruit proteomic analysis. Procedures were optimized using pooled
lyophilized banana fruit pulp, which is known to be particularly tricky due to
high concentrations of soluble polysaccharides, phenolics, and other substances
that interfere with protein extraction and purification. A total of 18
combinations of three protein extraction procedures (SDS-based, Triton X-100
based, and phenol-based), three protein precipitating agents (ammonium
acetate/methanol, TCA/acetone, and acetone), and two resolubilization buffers
(classical Rabilloud and the so-called R2D2) were compared for total protein
yields and efficiency of recovery. The results demonstrate that while losses in
total recovered protein are unavoidable, the degree of these losses depends on
the method combinations used. Combinations based on buffer-saturated phenol
always gave the highest yields, and overall recovery and purity was highest when
acetone was combined with the R2D2 buffer for protein purification and
concentration. Comparative 2D-PAGE analysis confirmed that this method
combination produced high-quality and reproducible gels and the largest numbers
of spots per gel. The usefulness of this methodology was demonstrated on ripe
fruits from several other species and shown to give excellent results.
PMID- 24921069
TI - [Young doctors: reconciling work and private life].
PMID- 24921070
TI - A review of overgeneral memory in child psychopathology.
AB - OBJECTIVES: Overgeneral autobiographical memory (OGM) refers to the impaired
retrieval of specific events from autobiographical memory. This review examined
OGM in children and adolescents to answer three main questions. First, do
children demonstrate OGM? Second, how does the experience of OGM relate to
childhood trauma and associated psychopathology? Third, is the CaR-FA-X model
(Williams et al., 2007) able to explain OGM in child psychopathology once
developmental issues have been considered? METHOD: Articles were identified in
PsycINFO and PubMed searches using the terms overgeneral memory AND children,
autobiographical memory specificity AND children, and autobiographical memory AND
children. The authors reviewed 21 articles that examined OGM in young people aged
7-18 years. Effect sizes were calculated for each study. RESULTS: The review
demonstrated consistent support for a relationship with trauma exposure and
depression symptoms in childhood. Furthermore, OGM was found to predict
depression symptoms. Limited support was provided for the efficacy of the CaR-FA
X model in young people. CONCLUSIONS: Future research will need to examine the
influence of trauma characteristics on OGM development, along with the
relationship of OGM to depression prognosis. Further investigation of the CaR-FA
X model is required and developmental aspects will need to be taken into account.
PMID- 24921071
TI - EIC editorial.
PMID- 24921072
TI - Guest editorial: Computational solutions to large-scale data management and
analysis in translational and personalized medicine.
PMID- 24921073
TI - Communicating about opioids for chronic pain: a qualitative study of patient
attributions and the influence of the patient-physician relationship.
AB - BACKGROUND: Chronic pain poses numerous challenges for patients and providers,
particularly when opioid treatment is discussed. Despite accounts of antagonistic
patient-provider communication, little is known about how communication about
opioids unfolds during clinic visits and, importantly, how the relationship
history of a patient and physician shapes this communication. This study's
objective was to advance understanding of communication about opioid treatment by
recording primary care clinic visits and conducting in-depth interviews with
patients to gain insight into the patient-provider relationship and its influence
on clinical communication. METHOD: Forty patients with chronic pain were audio
recorded during their primary care clinic appointments and then interviewed about
their pain care and relationships with their providers. Ten patients were
excluded from analysis because pain was not discussed during the clinic visit.
RESULTS: Qualitative analysis revealed that patients responded in markedly
different ways to similar physician treatment decisions about opioids. Some
patients attributed limiting or denying opioids to physicians' distrust or lack
of caring. Others attributed these limitations to acting out of genuine concern
for patients' health. These attributions appeared to be shaped by features of the
patient-physician relationship as described by patients. Results are discussed
within the framework of attribution theory. CONCLUSIONS: Understanding how
patients and providers discuss opioid treatment is critical for optimal pain
treatment. Physicians might be able to improve communication by re-framing
treatment discussions about opioids around external factors, such as benefits and
harms, and engaging in communication that fosters a strong therapeutic alliance
and emphasizes concern for the patient.
PMID- 24921074
TI - Ecological momentary assessment for chronic pain in fibromyalgia using a
smartphone: a randomized crossover study.
AB - BACKGROUND: Daily diaries are a useful way of measuring fluctuations in pain
related symptoms. However, traditional diaries do not assure the gathering of
data in real time, not solving the problem of retrospective assessment.
Ecological momentary assessment (EMA) by means of electronic diaries helps to
improve repeated assessment. However, it is important to test its feasibility in
specific populations in order to reach a wider number of people who could benefit
from these procedures. METHODS: The present study compares the compliance and
acceptability of an electronic diary running on a smartphone using a crossover
design for a sample with a specific pain condition, fibromyalgia and low
familiarity with technology. Forty-seven participants were randomly assigned to
one of two conditions: (1) paper diary - smartphone diary and (2) smartphone
diary - paper diary, using each assessment method for 1 week. RESULTS: The
findings of this study showed that the smartphone diary made it possible to
gather more accurate and complete ratings. Besides, this method was well accepted
by a sample of patients with fibromyalgia referred by a public hospital, with an
important proportion of participants with low level of education and low
familiarity with technology. CONCLUSIONS: The findings of this study support the
use of smartphones for EMA even in specific populations with a specific pain
condition, fibromyalgia and with low familiarity with technology. These methods
could help clinicians and researchers to gather more accurate ratings of relevant
pain-related variables even in populations with low familiarity with technology.
PMID- 24921076
TI - From right brain to left brain.
PMID- 24921077
TI - A case of translational research: calcium transport in cardiomyocytes suggests
novel approaches to treating arrhythmias.
PMID- 24921078
TI - Carl Machover, 1927-2012.
PMID- 24921075
TI - Polarised clathrin-mediated endocytosis of EGFR during chemotactic invasion.
AB - Directed cell migration is critical for numerous physiological processes
including development and wound healing. However chemotaxis is also exploited
during cancer progression. Recent reports have suggested links between vesicle
trafficking pathways and directed cell migration. Very little is known about the
potential roles of endocytosis pathways during metastasis. Therefore we performed
a series of studies employing a previously characterised model for chemotactic
invasion of cancer cells to assess specific hypotheses potentially linking
endocytosis to directed cell migration. Our results demonstrate that clathrin
mediated endocytosis is indispensable for epidermal growth factor (EGF) directed
chemotactic invasion of MDA-MB-231 cells. Conversely, caveolar endocytosis is not
required in this mode of migration. We further found that chemoattractant
receptor (EGFR) trafficking occurs by clathrin-mediated endocytosis and is
polarised towards the front of migrating cells. However, we found no role for
clathrin-mediated endocytosis in focal adhesion disassembly in this migration
model. Thus, this study has characterised the role of endocytosis during
chemotactic invasion and has identified functions mechanistically linking
clathrin-mediated endocytosis to directed cell motility.
PMID- 24921079
TI - Extreme-scale visual analytics.
PMID- 24921080
TI - GAP-AF study helps to define optimal approach for atrial fibrillation ablation.
PMID- 24921081
TI - Study of Ablation versus AntiaRrhythmic Drugs in Persistent Atrial Fibrillation
study shows ablation superior to drugs for patients with persistent atrial
fibrillation.
PMID- 24921082
TI - Catheter ablation of atrial fibrillation as first-line treatment: is the future
here now?
PMID- 24921084
TI - A saturation of firsts: Lillian F. Schwartz.
PMID- 24921083
TI - Use of clinical practice guidelines and factors related to their uptake: a survey
of health professionals in Spain.
AB - RATIONALE, AIMS AND OBJECTIVE: This study aims to assess the use of clinical
practice guidelines (CPGs) among health professionals and factors related to
their uptake in clinical practice. METHODS: Cross-sectional study based on an
online survey conducted among primary care (PC) and hospital-based care (HC)
doctors in Spain in 2011. Questionnaire development included adaptation of
similar surveys and contextualization through a qualitative study. After a pilot
study and review, the final survey contained five domains: demographics,
involvement in CPGs, consultation of CPGs, perceptions and attitudes regarding
CPGs and Spanish NHS CPGs Programme. Professionals from selected health care
centres in seven regions were contacted by email with an invitation and link to
the Web-based questionnaire. We analysed between-group differences and explored
potential predictors of CPGs use by means of a logistic regression. RESULTS: Six
hundred seventy-six doctors responded to the survey (27.7% response rate). 47.1%
were PC and 49.5% were HC doctors. 32.5% stated previous involvement in CPGs and
56.5% stated training in research methodology. 67.5% of the surveyed
professionals reported using CPGs more than one time per week. The use of a
system for classifying the quality of evidence (62.3%) and for grading the
strength of the recommendations (58.6%), as well as the use of a rigorous
methodology (49.6%), were the most frequently reported aspects related to CPG
credibility. The lack of time (56.4%), especially in PC (65.3% versus 49.5% in
HC; P < 0.001), and the absence of brief and easily accessible format (42.2%)
were the main reported barriers to using CPGs. None of the studied factors showed
statistically significant association in the logistic regression model.
CONCLUSIONS: Study results suggest that, in general, Spanish doctors trust and
use CPGs frequently. To improve uptake by health professionals and to overcome
existing barriers, CPGs should be rigorously developed and made accessible at the
point-of-care in user-friendly electronic formats. Due to the low response rate,
findings should be extrapolated with caution.
PMID- 24921085
TI - Biomedical applications: from data capture to modeling.
PMID- 24921086
TI - Exceptionally long-term persistence of DNA adducts formed by carcinogenic
aristolochic acid I in renal tissue from patients with aristolochic acid
nephropathy.
AB - Aristolochic acid (AA) causes aristolochic acid nephropathy (AAN), first
described in women in Belgium accidently prescribed Aristolochia fangchi in a
slimming treatment, and also Balkan endemic nephropathy (BEN), through probable
dietary contamination with Aristolochia clematitis seeds. Both nephropathies have
a high risk of urothelial cancer, with AA being the causative agent. In tissues
of AAN and BEN patients, a distinct DNA adduct, 7-(deoxyadenosin-N6-yl)
aristolactam I (dA-AAI), has been detected. DNA adducts can be removed through
DNA repair, they can result in mutations through erroneous DNA replication or
they can cause cell death. The dA-AAI adduct induces AT to TA transversions in
the tumor-suppressor TP53 gene in experimental systems, matching TP53 mutations
observed in urothelial tumors from AAN cancer cases. Using thin-layer
chromatography 32P-postlabeling and mass spectrometric analysis we report the
detection of dA-AAI in renal DNA from 11 Belgian AAN patients over 20 years after
exposure to AA had ceased. Our results showed that dA-AAI is an established
biomarker of AA exposure, and that this biomarker can be demonstrated to be
persistent decades after a distinct AA exposure. Further, the persistence of dA
AAI adducts appears to be a critical determinant for the AA mutational
fingerprint frequently found in oncogenes and tumor suppressor genes recently
identified by whole genome sequencing of AA-associated urothelial tumors. The
potential for exposure to AA worldwide is high; the unprecedented long-term
persistence of dA-AAI provides a useful long-term biomarker of exposure and
attests to the role of AA in human urothelial malignancy.
PMID- 24921087
TI - Improving the TNM classification: findings from a 10-year continuous literature
review.
AB - The Union for International Cancer Control's (UICC) TNM classification is a
globally accepted system to describe the anatomic extent of malignant tumors.
Since its development seventy years ago, the TNM classification has undergone
significant revisions to reflect the current understanding of extent of disease
and its role in prognosis. To ensure that revisions are evidence-based, the UICC
implemented a process for continuous improvement of the TNM classification that
included a formalized system for submitting proposals for revisions directly to
the UICC and an annual review of the scientific literature on staging that
assessed, criticized or made suggestions for changes. The process involves review
of the proposals and literature by a group of international, multidisciplinary
Expert Panels. The process has been in place for 10 years and informed the
development of the 7th edition of the TNM classification published in 2009. The
purpose of this article is to provide a description of the annual literature
review process, including the search strategy, article selection process and the
roles and requirements of the Expert Panels in the review of the literature.
Since 2002, 147 Expert Panel members in 11 cancer sites have reviewed over 770
articles. The results of the annual literature reviews, Expert Panel feedback and
documentation and dissemination of results are described.
PMID- 24921088
TI - Something out of nothing.
PMID- 24921090
TI - Interactive public displays.
PMID- 24921089
TI - Epigenetic clustering of lung adenocarcinomas based on DNA methylation profiles
in adjacent lung tissue: Its correlation with smoking history and chronic
obstructive pulmonary disease.
AB - The aim of this study was to clarify the significance of DNA methylation
alterations during lung carcinogenesis. Infinium assay was performed using 139
paired samples of non-cancerous lung tissue (N) and tumorous tissue (T) from a
learning cohort of patients with lung adenocarcinomas (LADCs). Fifty paired N and
T samples from a validation cohort were also analyzed. DNA methylation
alterations on 1,928 probes occurred in N samples relative to normal lung tissue
from patients without primary lung tumors, and were inherited by, or strengthened
in, T samples. Unsupervised hierarchical clustering using DNA methylation levels
in N samples on all 26,447 probes subclustered patients into Cluster I (n = 32),
Cluster II (n = 35) and Cluster III (n = 72). LADCs in Cluster I developed from
the inflammatory background in chronic obstructive pulmonary disease (COPD) in
heavy smokers and were locally invasive. Most patients in Cluster II were non
smokers and had a favorable outcome. LADCs in Cluster III developed in light
smokers were most aggressive (frequently showing lymphatic and blood vessel
invasion, lymph node metastasis and an advanced pathological stage), and had a
poor outcome. DNA methylation levels of hallmark genes for each cluster, such as
IRX2, HOXD8, SPARCL1, RGS5 and EI24, were again correlated with
clinicopathological characteristics in the validation cohort. DNA methylation
profiles reflecting carcinogenetic factors such as smoking and COPD appear to be
established in non-cancerous lung tissue from patients with LADCs and may
determine the aggressiveness of tumors developing in individual patients, and
thus patient outcome.
PMID- 24921092
TI - Shrinking hardware, expanding interfaces.
PMID- 24921091
TI - PhotoCloud: Interactive remote exploration of joint 2D and 3D datasets.
AB - PhotoCloud is a real-time client-server system for interactive visualization and
exploration of large datasets comprising thousands of calibrated 2D photographs
of a scene and a complex 3D description of the scene. The system isn't tailored
to any specific data acquisition process; it aims at generality and flexibility.
PhotoCloud achieves scalability through a multiresolution dynamic hierarchical
representation of the data, which is remotely stored and accessed by the client
through an efficient cache system. The system includes a compact image browser
and a multiresolution model renderer. PhotoCloud employs iconic visualization of
the images in the 3D space and projects images onto the 3D scene on the fly.
Users can navigate the 2D and 3D spaces with smooth, integrated, seamless
transitions between them. A study with differently skilled users confirms
PhotoCloud's effectiveness and communication power. The Web extras at
http://www.youtube.com/playlist?list=PLHJB2bhmgB7cmYD0ST9CEDMRv1JlX4xPH are
videos demonstrating PhotoCloud, a real-time client-server system for interactive
exploration of large datasets comprising 2D photos and 3D models.
PMID- 24921093
TI - Creation and deconstruction.
AB - This issue's article examines the digital artwork of Edwin van Munster.
PMID- 24921094
TI - Scattering: acquisition, modeling, and rendering.
PMID- 24921095
TI - Big-data visualization.
PMID- 24921096
TI - Computational aspects of fabrication modeling, design, and 3D printing.
PMID- 24921097
TI - Dreams, tea, and science.
PMID- 24921098
TI - 2012 IEEE Visualization Contest winner: Visualizing polarization domains in
barium titanate.
PMID- 24921099
TI - 3D for the Web.
PMID- 24921100
TI - The need to start research education early.
PMID- 24921102
TI - Marie T. Filbin: in memoriam.
PMID- 24921103
TI - Historians and their sources.
PMID- 24921104
TI - John Ray in Italy: lost manuscripts rediscovered.
AB - This paper discloses the content of two manuscripts of John Ray that have
hitherto been unknown to Ray scholars. The manuscripts survive in the Hampshire
Record Office, having descended through the Prideaux-Brune family. They record
information about Ray's tour of Italy in the 1660s that does not appear in his
Observations... made in a journey through... the Low-countries, Germany, Italy
and France (1673), including a visit to the museum of Athanasius Kircher in Rome,
and provide clues concerning the composition of Ray's 1673 book.
PMID- 24921105
TI - The scholar as craftsman: Derek de Solla Price and the reconstruction of a
medieval instrument.
AB - The Royal Society Conversaziones were biannual social evenings at which
distinguished guests could learn about the latest scientific developments. The
Conversazione in May 1952 featured an object that came to be called King Arthur's
Table. It was a planetary equatorium, made in Cambridge's Cavendish Laboratory at
the behest of Sir Lawrence Bragg. Conceived by the historian of science Derek de
Solla Price as a huge, tangible realization of Chaucerian astronomy, it was
displayed at the new Whipple Museum of the History of Science, discarded, stored
incognito, catalogued with that whimsical name, and finally re-identified in
2012. This article examines the biography of that object and, through it, the
early, inchoate years of the discipline of history of science in Cambridge. The
process of disciplinary establishment involved a range of actors beyond well
known figures such as Herbert Butterfield and Joseph Needham; the roles of Price
and Bragg are highlighted here. Study of these individuals, and of the
collaboration that brought about the reconstruction, reveals much about the
establishment of a discipline, as well as changing scholarly and curatorial
attitudes towards replicas.
PMID- 24921106
TI - Observing the skies of Lisbon. Isaac de Sequeira Samuda, an estrangeirado in the
Royal Society.
AB - Elected in 1723, Isaac de Sequeira Samuda (1681-1729) was the first Jewish Fellow
of the Royal Society. He had arrived in London just a few years earlier, escaping
from the Portuguese Inquisition. Despite his past, he had no difficulty in
establishing links with his country's diplomatic representatives in London. A
physician and adviser on scientific subjects, he became a conduit between the
emerging world of Portuguese astronomy and the British scientific community. He
reported to the Royal Society on astronomical observations made in the new
observatories in Lisbon and helped with the acquisition of scientific instruments
and books destined for Portugal. These activities were facets of Samuda's unusual
career and the diverse though often converging associations that he established
until his death. As the member of a network active in the diffusion of new ideas
and in the modernization of Portuguese science, Samuda can be regarded as an
estrangeirado, as this term has come to be used in the modern literature.
PMID- 24921108
TI - Wallace, Darwin and Ternate 1858.
AB - Recent debates on the mailing date of Alfred Russel Wallace's 'Ternate essay' to
Charles Darwin in the spring of 1858 have ignored certain details that, once
taken into account, alter the matter considerably. Here, a closer look is taken
at the critical question of whether Wallace's manuscript-accompanying letter
represented a reply to the Darwin letter that arrived in Ternate on 9 March; it
is concluded that it very probably did not.
PMID- 24921107
TI - John Tyndall and the Royal Medal that was never struck.
AB - Just once in its long history has a Royal Medal been awarded but not presented.
John Tyndall FRS (1820-93) was the chosen recipient in 1853 for his early work on
diamagnetism but declined to accept it. The story of why Tyndall felt compelled
to turn down this considerable honour sheds light on the scientific politics and
personal relationships of the time, on the importance given to the study of
magnetism, and on Tyndall's own character and career.
PMID- 24921109
TI - Lord Justice of Appeal John Fletcher Moulton and explosives production in World
War I: 'the mathematical mind triumphant'.
AB - At the end of November 1914 Lord Moulton (1844-1921) became the director of
explosives production in the War Office. A 70-year-old jurist may seem an
extraordinary choice, but he was an extraordinary man. He was Senior Wrangler at
Cambridge, was elected to the Royal Society for research on electricity, and
learned about chemistry as a barrister for dye and explosives manufacturers. He
assembled an able team of administrators and chemists who designed and managed
mammoth new national explosives factories. They could not make enough TNT and
picric acid from obtainable precursors, so Moulton persuaded the reluctant armed
services to adopt mixtures of TNT and ammonium nitrate, which enabled them to
make even more than was needed. In mid-1915 they moved to the new Ministry of
Munitions, where they also became responsible for fertilizers and poison gases.
In 1917 they produced explosives at a higher rate than was attained in World War
II.
PMID- 24921110
TI - An attenuated philosophical gentleman.
AB - Dr. Joseph Black had at one time, a house near us to the west. He was a striking
and beautiful person; tall, very thin, and cadaverously pale; his hair carefully
powdered, though there was little of it except what was collected in a long thin
queue; his eyes dark, clear and large, like deep pools of pure water. He wore
black speckless clothes, silk stockings, silver buckles, and either a slim green
umbrella, or a genteel brown cane. The general frame and air were feeble and
slender. The wildest boy respected Black. No lad could be irreverent toward a man
so pale, so gentle, so elegant and so illustrious. So he glided, like a spirit,
through our rather mischievous sportiveness, unharmed. He died seated, with a
bowl of milk upon his knee, of which his ceasing to be did not spill a drop; a
departure which it seemed, after the event, might have been foretold of this
attenuated philosophical gentleman.
PMID- 24921119
TI - Design of a GaP/Si composite waveguide for CW terahertz wave generation via
difference frequency mixing.
AB - We design a GaP/Si composite waveguide to achieve efficient terahertz (THz) wave
generation under collinear phase-matched difference frequency mixing (DFM)
between near-infrared light sources. This waveguide structure provides a strong
mode confinement of both near-infrared sources and THz wave, resulting in an
efficient mode overlapping. The numerical results show that the waveguide can
produce guided THz wave (5.93 THz) with a power conversion efficiency of 6.6*10(
4) W(-1). This value is larger than previously obtained with the bulk GaP
crystal: 0.5*10(-9) W(-1) [J. Lightwave Technol.27, 3057 (2009)]. Our proposed
composite waveguide can be achieved by bridging the telecom wavelength and THz
frequency region.
PMID- 24921120
TI - Interferometric investigation of the influence of argon buffer gas on the
characteristics of laser-induced aluminum plasmas.
AB - An interferometric analysis was performed to investigate the influence of argon
(Ar) buffer gas on the characteristics of laser-induced aluminum (Al) plasma at
atmospheric pressure. The plasma was produced by focusing a Q-switched Nd:YAG
laser pulse (lambda=1064 nm, pulse duration ~5 ns, E=6.0 mJ) onto an Al
target. The interference patterns were constructed using a Nomarski
interferometer incorporated with a frequency-doubled, Q-switched Nd:YAG laser
(lambda=532 nm, pulse duration ~10 ns) that generates an interferometric probe
beam. The interferometric measurements were carried out as a function of the
elapsed time after the onset of breakdown under the conditions of open air and an
Ar gas jet flow (5 l/min). With the injection of an Ar buffer gas jet in the
ablation process, an increase in electron density and a preferential axial plasma
expansion of the plasma plume were observed during the early stages of plasma
formation as a consequence of increased inverse-Bremsstrahlung (IB) absorption
efficiency.
PMID- 24921121
TI - Comparisons of three NILU-UV instruments deployed at the same site in the New
York area.
AB - The Norwegian Institute for Air Research ultraviolet (NILU-UV) irradiance meter
is a ground-based, multichannel, moderate bandwidth filter instrument that
measures irradiances at ultraviolet (UV) and visible wavelengths with five
channels in the UV (302, 312, 320, 340, and 380 nm) and one channel in the
visible (400-700 nm) part of the spectrum. Minute-by-minute irradiances recorded
in these channels are used to infer the total ozone column (TOC) amount, and a
radiation modification factor (RMF) designed to have a value close to 100 under
cloud-free conditions. The performance of three NILU-UV instruments deployed side
by-side in the New York area (40.74 degrees N, -74.03 degrees E) is assessed, and
derived TOC values are compared with those derived from the ozone monitoring
instrument (OMI) deployed on NASA's AURA satellite. Based on about three years of
data, it was found that the three instruments yielded similar TOC values that
were in close agreement with those derived from the OMI. The relative difference
in TOC values derived from the three NILU-UV instruments was generally less than
2.5%. Cloud cover affects the accuracy of the inferred TOC, but reliable values
can be obtained in the presence of clouds, although the accuracy deteriorates
under heavy overcast conditions with RMF values smaller than 65 (low cloud
transmittance).
PMID- 24921122
TI - Random wandering of laser beams with orbital angular momentum during propagation
through atmospheric turbulence.
AB - The propagation of laser beams having orbital angular momenta (OAM) in the
turbulent atmosphere is studied numerically. The variance of random wandering of
these beams is investigated with the use of the Monte Carlo technique. It is
found that, among various types of vortex laser beams, such as the Laguerre
Gaussian (LG) beam, modified Bessel-Gaussian beam, and hypergeometric Gaussian
beam, having identical initial effective radii and OAM, the LG beam occupying the
largest effective volume in space is the most stable one.
PMID- 24921123
TI - Aluminum-thin-film packaged fiber Bragg grating probes for monitoring the maximum
tensile strain of composite materials.
AB - In this paper, new fiber Bragg grating (FBG) sensor probes are designed to
intermittently detect the maximum tensile strain of composite materials, so as to
evaluate the structural health status. This probe is fabricated by two thin Al
films bonded to an FBG optical fiber and two supporting brackets, which are fixed
on the surface of composite materials. The residual strain of the Al packaged FBG
sensor probe is induced by the strain of composite materials. This residual
strain can indicate the maximum strain of composite materials. Two types of
sensor probes are prepared-one is an FBG with 18 MUm thick Al films, and the
other is an FBG with 36 MUm thick Al films-to compare the thickness effect on the
detection sensitivity. These sensor probes are bonded on the surfaces of carbon
fiber reinforced plastics composite specimens. In order to determine the strain
sensitivity between the residual strain of the FBG sensor probe and the maximum
strain of the composite specimen, tensile tests are performed by universal
testing machine, under the loading-unloading test condition. The strain
sensitivities of the probes, which have the Al thicknesses of 18 and 36 MUm, are
determined as 0.13 and 0.23, respectively.
PMID- 24921124
TI - Beyond the interference problem: hierarchical patterns for multiple-projector
structured light system.
AB - Three-dimensional reconstruction of a dynamic object based on the structured
light (SL) technique has attracted intensive research. Since a single projector
only covers a limited area of the scene, multiple projectors should be employed
simultaneously to extend the imaging area for a 3D object. However, patterns
projected by different projectors superpose each other in a light-intersected
area, which makes it difficult to recognize the original patterns and obtain the
correct depth maps. To solve such a problem, we propose a method to design
hierarchical patterns that can be separated from each other. In the proposed
patterns, each pixel in binary patterns based on the de Bruijn sequence is
replaced by a different bin with limited size. Then the proposed patterns can be
separated by identifying distributions of colors in each bin in superposed
patterns, and depth maps are obtained by decoding the separated patterns. To
verify the performance of the proposed method, we design two hierarchical
patterns and conduct several experiments in different scenes. Experimental
results demonstrate that the proposed patterns can be separated in a multiple
projector SL system to obtain accurate depth maps, and they are robust for
different conditions.
PMID- 24921125
TI - Optically isotropic switchable microlens arrays based on liquid crystal.
AB - We present an optically isotropic switchable microlens array (MLA) based on
liquid crystals (LCs) using the Joule heating electrode structure. The LC
molecules were initially aligned vertically on the lens and electrode surfaces.
By applying voltage to the transparent electrodes, the temperature of the LC
layer could be changed. Above the clearing point temperature of LCs, the LC layer
shows an averaged refractive index that differs from the nematic state refractive
index. The MLA could have switching characteristics by index matching between the
LC layer and polymer lens structure. The proposed switchable MLA shows high light
efficiency with truly optically isotropic properties.
PMID- 24921126
TI - Influence of Al fraction on photoemission performance of AlGaN photocathode.
AB - To research the photoemission performance of a transmission-mode Al(1-x)Ga(x)N
photocathode, Al0.24Ga0.76N and GaN photocathodes with the same structure were
activated, their spectral responses were measured using a multi-information
measurement system at room temperature, and the photocathode parameters were
obtained by fitting quantum efficiency curves. The results showed that both the
reflective-mode and transmission-mode spectral responses of the AlGaN
photocathode were lower than those of the GaN photocathode. Compared with the GaN
photocathode, the short-wavelength spectral response of the Al0.24Ga0.76N
photocathode was less seriously affected by lattice defects between the buffer
and emission layers. The Al atom at the AlGaN photocathode surface could affect
the optimal Cs adsorption position, which mainly affects the surface electron
escape probability of the photocathode.
PMID- 24921127
TI - Significantly increased surface plasmon polariton mode excitation using a
multilayer insulation structure in a metal-insulator-metal plasmonic waveguide.
AB - In this paper, we propose a novel multilayer insulation structure in a metal
insulator-metal (MIM) plasmonic waveguide to explore the possibility of
increasing surface plasmon polariton (SPP) mode excitation. Numerical
investigations show that the effective refractive index of the multilayer
insulation structure affects symmetric SPP mode excitation. The significant
enhancement of electric field intensity in horizontal and vertical profiles with
a dipole in SiO2 compared with in Al2O3 is observed in the proposed MIM plasmonic
waveguides due to a combination of the improved optical density and dipole
radiation intensities under a low refractive index. The Au/SiO2/Al2O3/SiO2/Au
geometry shows the best enhancement performances, which can serve as an excellent
guideline for designing and optimizing a high-performance SPP source using a
multilayer insulation structure.
PMID- 24921128
TI - Retroreflective imaging system for optical labeling and detection of
microorganisms.
AB - A retroreflective imaging system for imaging microscopic targets over macroscopic
sampling areas is introduced. Detection of microorganism-bound retroreflector
(RR) targets across millimeter-scale samples is implemented according to
retroreflection directionality, collimation, and contrast design characteristics.
Retroreflection directionality is considered for corner-cube (CC) and spherical
geometries. Spherical-RRs improve directionality and reliability. Retroreflection
collimation is considered for spherical-RRs. Retroreflective images for micro-CC
RRs and micro-spherical-RRs with varying refractive indices show optimal results
for high refractive index BaTiO3 micro-spherical-RRs. A differential imaging
technique improves retroreflection contrast by 35 dB. High refractive index micro
spherical-RRs and differential imaging, together, can detect microscopic RR
targets across macroscopic areas.
PMID- 24921129
TI - Polarization-independent light-dispersing optical device consisting of two
diffraction gratings and a waveplate.
AB - We report on a light-dispersing device consisting of two transmission gratings
and a waveplate. The gratings separate two orthogonal polarization components of
light incident at the Bragg angle. The waveplate, which is sandwiched between the
gratings, functions as a polarization converter for oblique light incidence. With
these optical parts suitably integrated, the resulting device efficiently
diffracts unpolarized light with high spectral resolution. Using coupled-wave
theories and Mueller matrix analysis, we constructed a device for a wavelength
range of 680+/-50 nm with a 400 nm grating period. From the characterization of
this optical device, we validated the proposed polarization-independent, light
dispersing concept.
PMID- 24921130
TI - Real-time compensation of phase distortions by digital phase conjugation using
CCD and liquid crystal panel.
AB - A video-rate optical phase conjugator using an image sensor and a liquid crystal
panel that operated at 30 Hz was developed and its temporal characteristic was
studied. Strong air turbulence of atmospheric structure constant, Cn=6.3*10(-7)
[m(-1/3)], was successfully compensated, reducing beam dancing by a factor of 2.
To our knowledge, this is the first report demonstrating real-time wavefront
correction by digital phase conjugation using commercial devices.
PMID- 24921131
TI - Photonic-crystal fiber-based pressure sensor for dual environment monitoring.
AB - In this paper the development of a side-hole photonic-crystal fiber (SH-PCF)
pressure sensor for dual environment monitoring is reported. SH-PCF properties
(phase and group birefringence, sensitivity to pressure variations) are measured
and compared to simulated data. In order to probe two environments, two sections
of the SH-PCF with different lengths are spliced and set in a Solc filter-like
configuration. This setup allows obtaining the individual responses of the first
and second fiber independently, which is useful for a space-multiplexed
measurement. As the employed fiber is sensitive to pressure variations, we report
the use of this configuration for dual environment pressure sensing.
PMID- 24921132
TI - Antireflection efficiency comparison of single- and double-layered structures for
photovoltaic glass covers.
AB - For single-layer antireflection (AR) on glass, a low refractive index (n) AR
layer is required to achieve high AR efficiency, which limits the selection of
materials. The double-layered AR structure has a lower requirement on materials'
n but is typically used for narrow waveband AR, and photovoltaic glass covers
require broadband AR to increase the whole-spectrum solar energy transmittance.
With the help of a multilayered optical simulation, we optimized the n and
thickness of the single and double layered AR structure and found that, for
broadband AR, double-layered structure only showed AR efficiency advantages in
very high or low top layers' n compared to single AR layer structure. For a
n=1.45 top layer of the double layer structure, the optimized reflectance is
2.57% (single side), while the optimized reflectance of a single AR layer with
n=1.45 is 2.87%, which is a negligible AR efficiency advantage (0.30%) when
considering production costs. Moreover, in our experiment, using SiO2 and SiO2
and TiO2 composite layers, the absorption of short wavelengths by TiO2 ostensibly
cancelled this advantage out (92.87% compare to the single layer's 92.98% for
single side AR).
PMID- 24921133
TI - Simple fabrication of liquid crystalline grating cells with homogeneous and
twisted nematic structures and effects of orientational relaxation on diffraction
properties.
AB - We have substantiated a simple yet efficient, competitive, and practical method
to automatically fabricate liquid crystalline grating cells with homogeneous or
twisted nematic (TN) structures by one-step ultraviolet holographic exposure on
an empty glass cell coated with a photocrosslinkable polymer liquid crystal with
4-(4-methoxycinnamoyloxy)biphenyl side group (P6CB) films. The polarization
diffraction properties in the resultant liquid crystalline grating cells have
also been investigated extensively by varying the grating pitch. The theoretical
considerations on the basis of elastic continuum theory revealed that
accumulation of elastic free-energy density due to the TN structure affected the
diffraction properties, although the strong anchoring of our photoalignment
material contributed effectively to form the expected spatial distribution of
liquid crystalline directors in the grating cells.
PMID- 24921134
TI - Microwave photonic mixer with high spurious-free dynamic range.
AB - A new linearized photonic mixer structure, which can fully eliminate the third
order intermodulation distortion, is presented. It is based on an integrated dual
parallel Mach-Zehnder modulator to which an optimized RF split and an optimized
optical phase shift are applied, in series with a Mach-Zehnder modulator driven
by the LO. The mixer achieves a very high spurious-free dynamic range
performance, it enables essentially infinite isolation between the RF and LO
ports, and it has the ability to function over a multioctave frequency range.
Experimental results demonstrate a record measured spurious free dynamic range
performance of 127 dB.Hz(4/5), which is over 22 dB higher than that of the
conventional dual-series Mach-Zehnder modulator-based microwave photonic mixer.
PMID- 24921135
TI - Wide-angle camera with multichannel architecture using microlenses on a curved
surface.
AB - We propose a multichannel imaging system that combines the principles of an
insect's compound eye and the human eye. The optical system enables a reduction
in track length of the imaging device to achieve miniaturization. The
multichannel structure is achieved by a curved microlens array, and a Hypergon
lens is used as the main lens to simulate the human eye, achieving large field of
view (FOV). With this architecture, each microlens of the array transmits a
segment of the overall FOV. The partial images are recorded in separate channels
and stitched together to form the final image of the whole FOV by image
processing. The design is 2.7 mm thick, with 59 channels; the 100 degrees *80
degrees full FOV is optimized using ZEMAX ray-tracing software on an image
plane. The image plane size is 4.53 mm*3.29 mm. Given the recent progress in
the fabrication of microlenses, this image system has the potential to be
commercialized in the near future.
PMID- 24921136
TI - Generation of azimuthally polarized beams in fast axial flow CO2 laser with
hybrid circular subwavelength grating mirror.
AB - A hybrid circular subwavelength grating mirror is proposed and fabricated as a
rear mirror in a fast axial flow CO2 laser system to generate azimuthally
polarized beams (APBs). This grating mirror, with particular gold-covered ridges
and nanopillar-stuffed grooves, performs wideband TE wave reflectivity and high
polarization selectivity. It shows that the polarization selectivity mechanism
lies in the gold ridge's high reflectivity to the TE wave and the lower TM wave
reflectivity, which are the result of the mode leaking into substrate through the
dielectric-like nanopillar layer. Finally, a high-quality 550 W APB is obtained
in subsequent experiments, which provides potential applications in drilling and
welding.
PMID- 24921137
TI - Inverse solutions for tilting orthogonal double prisms.
AB - An analytical reverse solution and actual examples are given to show how to
direct a laser beam from a pair of orthogonal prisms to given targets in free
space. Considering the influences of double-prism structural parameters, a lookup
table method to seek the numerical reverse solution of each prism's tilting angle
is also proposed for steering the double-prism orientation to track a target
position located in the near field. Some case studies, as well as a specified
elliptical target trajectory scanned by the cam-based driving double prisms,
exhibit the significant application values of the theoretical derivation. The
analytic reverse and numerical solutions can be generalized to investigate the
synthesis of scanning patterns and the controlling strategy of double-prism
tilting motion, the potentials of which can be explored to perform the
orientation and position tracking functions in applications of precision
engineering fields.
PMID- 24921138
TI - Solving self-mixing equations for arbitrary feedback levels: a concise algorithm.
AB - Self-mixing laser sensors show promise for a wide range of sensing applications,
including displacement, velocimetry, and fluid flow measurements. Several
techniques have been developed to simulate self-mixing signals; however, a
complete and succinct process for synthesizing self-mixing signals has so far
been absent in the open literature. This article provides a systematic numerical
approach for the analysis of self-mixing sensors using the steady-state solution
to the Lang and Kobayashi model. Examples are given to show how this method can
be used to synthesize self-mixing signals for arbitrary feedback levels and for
displacement, distance, and velocity measurement. We examine these applications
with a deterministic stimulus and discuss the velocity measurement of a rough
surface, which necessitates the inclusion of a random stimulus.
PMID- 24921139
TI - Algebraic solution for phase unwrapping problems in multiwavelength
interferometry.
AB - Recent advances in multiwavelength interferometry techniques [Appl. Opt.52, 5758
(2013)] give new insights to phase unwrapping problems and allow the fringe order
information contained in the measured phase to be extracted with low
computational effort. This work introduces an algebraic solution to the phase
unwrapping problem that allows the direct calculation of the unknown integer
fringe order. The procedure resembles beat-wavelength approaches, but provides
greater flexibility in choosing the measurement wavelengths, a larger measurement
range, and a higher robustness against noise, due to the ability to correct for
errors during the calculation.
PMID- 24921140
TI - Measuring refractive index using the focal displacement method.
AB - A simple technique is introduced for measuring the refractive index of plane
parallel samples having thickness of the order of a millimeter. The refractive
index values are reported for six bulk semiconductors, each index measured at two
infrared wavelengths using this method. The values are found to be within a few
percent of those in literature for four semiconductors. The other two
semiconductors were newly grown ternary alloys (CdMgTe and CdMnTe), for which the
refractive index values have not been reported previously at the wavelengths
studied here.
PMID- 24921141
TI - Multi-element double ring detector for dual band infrared counter-countermeasure.
AB - A multi-element double ring detector which can track a target effectively under
infrared (IR) countermeasure conditions is presented. Dual band IR counter
countermeasures can be performed by the detector to distinguish the target from
target-flare mixed signals. Middle and short IR wavelengths are used for target
and IR countermeasure detection, respectively. With a special design, unique dual
band signals will be outputted by the detector when a target spot is located on
the center of the detector. By comparison, the typical single element ring
detector has a "dead spot" in this case, which is undesirable for target
identification. Relatively high tracking accuracy and low cost indicate that the
presented method has a potential application.
PMID- 24921142
TI - Bit error rate analysis of Gaussian, annular Gaussian, cos Gaussian, and cosh
Gaussian beams with the help of random phase screens.
AB - Using the random phase screen approach, we carry out a simulation analysis of the
probability of error performance of Gaussian, annular Gaussian, cos Gaussian, and
cosh Gaussian beams. In our scenario, these beams are intensity-modulated by the
randomly generated binary symbols of an electrical message signal and then
launched from the transmitter plane in equal powers. They propagate through a
turbulent atmosphere modeled by a series of random phase screens. Upon arriving
at the receiver plane, detection is performed in a circuitry consisting of a pin
photodiode and a matched filter. The symbols detected are compared with the
transmitted ones, errors are counted, and from there the probability of error is
evaluated numerically. Within the range of source and propagation parameters
tested, the lowest probability of error is obtained for the annular Gaussian
beam. Our investigation reveals that there is hardly any difference between the
aperture-averaged scintillations of the beams used, and the distinctive advantage
of the annular Gaussian beam lies in the fact that the receiver aperture captures
the maximum amount of power when this particular beam is launched from the
transmitter plane.
PMID- 24921143
TI - Nonrigid registration with free-form deformation model of multilevel uniform
cubic B-splines: application to image registration and distortion correction of
spectral image cubes.
AB - In spectral imaging, spatial and spectral information of an image scene are
combined. There exist several technologies that allow the acquisition of this
kind of data. Depending on the optical components used in the spectral imaging
systems, misalignment between image channels can occur. Further, the projection
of some systems deviates from that of a perfect optical lens system enough that a
distortion of scene content in the images becomes apparent to the observer.
Correcting distortion and misalignment can be complicated for spectral image data
if they are different at each image channel. In this work, we propose an image
registration and distortion correction scheme for spectral image cubes that is
based on a free-form deformation model of uniform cubic B-splines with multilevel
grid refinement. This scheme is adaptive with respect to image size, degree of
misalignment, and degree of distortion, and in that sense is superior to previous
approaches. We support our proposed scheme with empirical data from a Bragg
grating-based hyperspectral imager, for which a registration accuracy of
approximately one pixel was achieved.
PMID- 24921144
TI - Design of high-resolution and multilevel reference pattern for improvement of
both light utilization efficiency and signal-to-noise ratio in coaxial
holographic data storage.
AB - A high-resolution and multilevel designed reference pattern (DRP) is presented
for improvement of both light utilization efficiency and the signal-to-noise
ratio (SNR) of reconstructed images in coaxial holographic data storage. With a
DRP, the desired Fourier power spectrum of a reference beam is obtained.
Numerical and experimental results show that the DRP increases the SNR compared
with that of a random phase mask (RPM). Moreover, the light utilization
efficiency of the DRP is higher than that of a high-resolution RPM. In addition,
the effect of the phase level and the pixel pitch of DRPs on the SNR and the
light utilization efficiency are investigated.
PMID- 24921145
TI - Axial intensity distribution analysis for a depth-of-field-extended optical
system using a low-frequency binary phase mask.
AB - This paper theoretically analyzes the axial intensity distribution of an optical
imaging system with a low-frequency binary phase mask. Based on the derivation, a
novel but simple one-step phase mask is designed to extend the depth of field. A
comparison is made between the novel phase mask and the one designed in previous
research [Opt. Express14, 2631 (2006)]. Both masks are numerically tested in an
achromatic doublet system. The numerical results show that two phase masks have
comparable performance in depth of field extension. However, the phase mask
designed in this paper has a simpler structure because it has only one step while
the previous one has two. Consequently, the easy fabrication of the novel phase
mask leads to cost reduction. This novel low-frequency binary phase mask provides
a new choice to design depth-of-field-extended optical systems without digital
image processing.
PMID- 24921146
TI - Enhanced performance configuration for fast-switching deformed helix
ferroelectric liquid crystal continuous tunable Lyot filter.
AB - In this paper, we present a novel design configuration of double DHFLC wave plate
continuous tunable Lyot filter, which exhibits a rapid response time of 185 MUs,
while the high-contrast ratio between the passband and stop band is maintained
throughout a wide tunable range. A DHFLC tunable filter with a high-contrast
ratio is attractive for realizing high-speed optical processing devices, such as
multispectral and hyperspectral imaging systems, real-time remote sensing, field
sequential color display, and wavelength demultiplexing in the metro network. In
this work, an experimental prototype for a single-stage DHFLC Lyot filter of this
design has been fabricated using photoalignment technology. We have demonstrated
that the filter has a continuous tunable range of 30 nm for a blue wavelength, 45
nm for a green wavelength, and more than 50 nm for a red wavelength when the
applied voltage gradually increases from 0 to 8 V. Within this tunable range, the
contrast ratio of the proposed double wave plate configuration is maintained
above 20 with small deviation in the transmittance level. Simulation and
experimental results showed the proposed double DHFLC wave plate configuration
enhances the contrast ratio of the tunable filter and, thus, increases the
tunable range of the filter when compared with the Lyot filter using a single
DHFLC wave plate. Moreover, we have proposed a polarization insensitive
configuration for which the efficiency of the existing prototype can
theoretically be doubled by the use of polarization beam splitters.
PMID- 24921147
TI - Mitigation of beam fluctuation due to atmospheric turbulence and prediction of
control quality using intelligent decision-making tools.
AB - In free-space optical link (FSOL), atmospheric turbulence causes fluctuations in
both intensity and phase of the received beam and impairing link performance. The
beam motion is one of the main causes for major power loss. This paper presents
an investigation on the performance of two types of controller designed for
aiming a laser beam to be at a particular spot under dynamic disturbances. The
multiple experiment observability nonlinear input-output data mapping is used as
the principal components for controllers design. The first design is based on the
Taguchi method while the second is artificial neural network method. These
controllers process the beam location information from a static linear map of 2D
plane: optoelectronic position detector, as observer, and then generate the
necessary outputs to steer the beam with a microelectromechanical mirror: fast
steering mirror. The beam centroid is computed using monopulse algorithm.
Evidence of suitability and effectiveness of the proposed controllers are
comprehensively assessed and quantitatively measured in terms of coefficient of
correlation, correction speed, control exactness, centroid displacement, and
stability of the receiver signal through the experimental results from the FSO
link setup established for the horizontal range of 0.5 km at an altitude of 15.25
m. The test field type is open flat terrain, grass, and few isolated obstacles.
PMID- 24921148
TI - All-optical XNOR/NOT logic gates and LATCH based on a reflective vertical cavity
semiconductor saturable absorber.
AB - This work proposes a scheme of all-optical XNOR/NOT logic gates based on a
reflective vertical cavity semiconductor (quantum wells, QWs) saturable absorber
(VCSSA). In a semiconductor Fabry-Perot cavity operated with a low-intensity
resonance wavelength, both intensity-dependent saturating phase-shift and thermal
phase-shift occur, which are considered in the proposed logic operations. The
VCSSA-based logics are possible using the saturable behavior of reflectivity
under the typical operating conditions. The low-intensity saturable reflectivity
is reported for all-optical logic operations where all possible nonlinear phase
shifts are ignored. Here, saturable absorption (SA) and the nonlinear phase-shift
based all-optical XNOR/NOT gates and one-bit memory or LATCH are proposed under
new operating conditions. All operations are demonstrated for a VCSSA based on
InGaAs/InP QWs. These types of SA-based logic devices can be comfortably used for
a signal bit rate of about 10 GHz corresponding to the carrier recovery time of
the semiconductor material.
PMID- 24921149
TI - Simulating the human eye in measurements of speckle from laser-based projection
displays.
AB - In quantitative measurement of the speckle observed in laser projection displays,
it is essential how accurately one simulates the human eye. Based on the eye
model given by Westheimer, we succeeded in simulating the optical transfer
function of the eye model using that for the circular aperture. The equivalent
circular aperture diameter is dependent on the eye model used in the simulation
and its viewing conditions, but particularly for the eye models given by
Westheimer and Williams, they substantially agreed, yielding approximately 1.2 mm
at green under a 3 mm pupil diameter viewing condition.
PMID- 24921150
TI - Photo and redox dual responsive reversibly cross-linked nanocarrier for efficient
tumor-targeted drug delivery.
AB - To develop a feasible and efficient nanocarrier for potential clinical
application, a series of photo and redox dual responsive reversibly cross-linked
micelles have been developed for the targeted anticancer drug delivery. The
nanocarrier can be cross-linked efficiently via a clean, efficient, and
controllable coumarin photodimerization within the nanocarrier, which simplify
the formulation process and quality control prior clinical use and improve the in
vivo stability for tumor targeting. At the same time, cross-linking of
nanocarrier could be cleaved via the responsiveness of the built-in disulfide
cross-linkage to the redox tumor microenvironment for on-demand drug release.
Coumarin and disulfide bond was introduced into a linear-dendritic copolymer
(named as telodendrimer) precisely via peptide chemistry. The engineered
nanocarrier possesses good drug loading capacity and stability, and exhibits a
safer profile as well as similar anticancer effects compared with free drug in
cell culture. The in vivo and ex vivo small animal imaging revealed the preferred
tumor accumulation and the prolonged tumor residency of the payload delivered by
the cross-linked micelles compared to the non-cross-linked micelles and free drug
surrogate because of the increased stability.
PMID- 24921151
TI - Single C8-Arylguanine modifications render oligonucleotides in the Z-DNA
conformation under physiological conditions.
AB - Z-DNA is the only DNA conformation that has a left-handed helical twist. Although
Z-DNA has been implicated in both carcinogenesis and mutagenesis, its specific
biological role remains uncertain. We have demonstrated that the formation of C8
arylguanine DNA adducts, derived from arylhydrazines, shifts the B/Z-DNA
equilibrium toward the Z-DNA conformation in d(CG)5 sequences. However, our
previous work examined the effect of two adducts in the duplex, and it was
unclear whether the two base modifications were working together to cause the
equilibrium shift toward the Z-DNA conformation. Here we report the synthesis and
characterization of a hairpin oligonucleotide sequence (d(CG)5T4(CG)5) containing
only one C8-arylguanine modified base. The unmodified hairpin and the previously
studied unmodified double-stranded oligonucleotide were conformationally similar,
and each required ~3 M NaCl to yield a B-/Z-DNA ratio of 1:1. The introduction of
a single C8-arylguanine modification significantly reduced the NaCl concentration
needed to produce a 1:1 B-/Z-DNA ratio in the hairpin. Further, the addition of
MgCl2 and spermine to the C8-arylguanine-modified hairpin shifts the B/Z-DNA
equilibrium such that the Z form predominated under physiological conditions. NMR
and molecular modeling indicated the conformational effects produced by the C8
arylguanine modification occurred locally at the site of modification while CD
data demonstrated that the C8-arylguanine-modified base destabilized the B form.
Additionally, our data show that adopting the Z-DNA conformation is preferred
over denaturation to the single-stranded form. Finally, the conformational
effects of the C8-arylguanine modifications were not additive and the
introduction of any such modifications drive Z-DNA formation under physiological
conditions, which may provide a novel carcinogenesis mechanism where DNA adducts
confer their carcinogenicity through a Z-DNA-mediated mechanism.
PMID- 24921152
TI - Copper dynamics in doped metal-bis(histidine) complexes.
AB - Electron paramagnetic resonance (EPR) temperature-dependent measurements were
undertaken on three Cu(II)-doped metal-histidine complexes to assess copper site
dynamic behavior. Previous single-crystal EPR analysis on two of these, zinc d,l
histidine pentahydrate (ZnDLH) and bis(l-histidinato)cadmium dihydrate (CdLH),
found that doped Cu(2+) can be modeled as hopping between two neighboring
conformational states, with a temperature-dependent rate becoming large enough at
room temperature to produce an "averaged" spectrum. By comparing spectra from
their powdered form, we show that Cu(2+) doped into a third system, Cd(2+)-d,l
histidine (CdDLH), also exhibits temperature-dependent EPR with features
indicating a similar motional-averaging process. In addition, the change of g and
copper hyperfine parameters from low to high temperature for CdDLH resembles that
in ZnDLH, whereas the change in these parameters for CdLH is like that found in a
fourth copper-doped system, zinc l-histidine dihydrate (ZnLH). Taken together,
these results suggest that averaging motion between neighboring copper sites is
common in metal-bis(histidine) compounds. More detailed studies on biological
models are thus warranted, especially because they reveal unique relationships
between structure, dynamic processes, and stability and can lead to a better
understanding of the role played by site flexibility in copper proteins.
PMID- 24921153
TI - Regulatory T-cell infiltration in tongue squamous cell carcinoma.
AB - CONCLUSION: In tongue squamous cell carcinoma (SCC), high levels of regulatory T
cell (Treg) infiltration in tumor nests are observed in the cases with poor
prognosis. OBJECTIVES: The role of Tregs in head and neck cancers remains
unclear. The aim of this study was to observe the distribution of Tregs in
different stages of tongue SCC and estimate the effects on prognosis. METHODS:
Thirty-four cases with tongue SCC were examined immunohistochemically for CD4,
CD8, and Forkhead box P3 (Foxp3). Immunoreactive cells were counted in cancer
stroma and nest regions, and relationships between cell numbers and disease-free
survival rates were analyzed. RESULTS: In the 34 cases, univariate analysis for
disease-free survival indicated high-level infiltration of Tregs (CD4(+)Foxp3+)
into both cancer nests and stroma and presence of helper T (CD4(+)Foxp3-) cells
in cancer stroma as potential predictors of significantly worse prognosis. In
early-stage cases (stage I/II), high-level infiltration of Tregs in cancer nests
correlated significantly with poor disease-free survival rate. Multivariate
analysis for disease-free survival found no independent variables.
PMID- 24921154
TI - Automated quantification of neurite outgrowth orientation distributions on
patterned surfaces.
AB - OBJECTIVE: We have developed an image analysis methodology for quantifying the
anisotropy of neuronal projections on patterned substrates. APPROACH: Our method
is based on the fitting of smoothing splines to the digital traces produced using
a non-maximum suppression technique. This enables precise estimates of the local
tangents uniformly along the neurite length, and leads to unbiased orientation
distributions suitable for objectively assessing the anisotropy induced by
tailored surfaces. MAIN RESULTS: In our application, we demonstrate that carbon
nanotubes arrayed in parallel bundles over gold surfaces induce a considerable
neurite anisotropy; a result which is relevant for regenerative medicine.
SIGNIFICANCE: Our pipeline is generally applicable to the study of fibrous
materials on 2D surfaces and should also find applications in the study of DNA,
microtubules, and other polymeric materials.
PMID- 24921155
TI - Gender differences in HIV disclosure, stigma, and perceptions of health.
AB - HIV disclosure is a gateway to HIV prevention - particularly among couples living
in regions severely affected by the HIV epidemic. This cross-sectional study
utilizes data collected from 862 people living with HIV across three countries
(Ethiopia, Mozambique, and Uganda) in 2011 to determine the role of partner
disclosure on self-reported health perceptions and changes in sexual risk
behavior. The study's secondary aims are to understand whether or not
internalized stigma mediates this relationship and if there is a different
pattern of results by gender. The multivariate analysis reveals that the three
key HIV-related independent variables, belonging to a support group, doing
volunteer work, and disclosing to one's spouse or partner, were significantly
associated with lower levels of internalized stigma. Internalized stigma was
associated with self-perceptions of poorer health for both women and men, with
women reporting higher levels of internalized stigma than men. Disclosure to
spouse was positively associated with perceptions of better health for women but
not for men. For men, doing HIV-related volunteer work and disclosing their
status to their spouse were positively associated with self-reported changes in
sexual risk behavior, although stigma was not found to mediate this relationship.
Findings from this study suggest that disclosure and stigma have gender-specific
effects on individual well-being and changes in sexual risk behaviors. As such,
programs must address gender inequity in disclosure patterns and stigma to
enhance prevention efforts.
PMID- 24921156
TI - Dose-dependent inhibition of BACE-1 by the monoterpenoid 2,3,4,4-tetramethyl-5
methylenecyclopent-2-enone in cellular and mouse models of Alzheimer's disease.
AB - BACE-1 is an aspartic protease involved in the conversion of amyloid precursor
protein (APP) to amyloid-beta (Abeta) in vivo, which is one of the key steps in
the development and progression of Alzheimer's disease. In a previous screening
procedure for inhibitors of BACE-1 activity, the oil of Lavandula luisieri was
identified as the most potent among several essential oils. The inhibitory effect
of this essential oil on Abeta production was also demonstrated in a cellular
assay. The composition of the volatile oil and the isolation of the compound
responsible for the inhibitory activity were also reported. The present work
focused on the characterization of the inhibition of BACE-1 by this active
compound, a monoterpene necrodane ketone, 2,3,4,4-tetramethyl-5
methylenecyclopent-2-enone (1), with assessment of its Ki value and the type of
inhibition. The dose-related effects of the compound were also evaluated using
two different cell lines, with determinations of the respective EC50 values. The
entire oil and the 2,3,4,4-tetramethyl-5-methylenecyclopent-2-enone (1) were
tested on a triple transgenic mouse model of Alzheimer's disease. The overall
results showed that compound 1 displayed a dose-dependent inhibition of BACE-1 in
cellular and mouse models of Alzheimer's disease and is therefore capable of
passing through cellular membranes and the blood-brain barrier.
PMID- 24921157
TI - Through-bond energy transfer cassettes for multicolor encoding.
AB - Through-bond energy transfer (TBET) has been proposed as a versatile strategy to
develop encoded microspheres. Together with the donor molecule, two TBET
cassettes with high intramolecular TBET efficiencies (98% and 99%) and pseudo
Stokes shifts about 70 and 160 nm have been codoped into PS microspheres. Upon
exclusive excitation at 480 nm, these microspheres emit simultaneously triple
peaks at 512, 570, and 656 nm. Further confocal imaging and flow cytometric
analysis demonstrates satisfactory performances of the new encoded microspheres.
PMID- 24921158
TI - A hospice-hospital partnership: reducing hospitalization costs and 30-day
readmissions among seriously ill adults.
AB - BACKGROUND: Inpatient palliative care (IPC) has been associated with numerous
clinical benefits. Observational and randomized studies of cost savings
associated with IPC provide conflicting results, and the association with
readmission is not well understood. OBJECTIVE: We aimed to estimate the influence
of IPC on hospitalization costs and readmission rates. METHODS: We measured
hospitalization costs and 30-day readmission rates among 1004 patients who
received IPC at two western New York hospitals in 2012. Using propensity score
matching, we compared outcomes among patients receiving palliative care with
those among 1004 similar adults who were hospitalized during the same period and
did not receive palliative care. RESULTS: On average, cost per admission was
$1,401 (13%) lower among patients receiving palliative care than comparison
patients (p<0.05). Cost reductions were evident within intensive care and
laboratory services. Readmission rates were significantly lower among palliative
care patients discharged with hospice care (1.1%) than comparison patients
(6.6%), but significantly higher among palliative care patients discharged to
other locations (12.1%). CONCLUSIONS: Receipt of IPC appears to reduce
hospitalization costs among adult western New Yorkers. Furthermore, care
coordinated with postdischarge hospice services appears to substantially reduce
the likelihood of readmission.
PMID- 24921159
TI - Two non-parametric methods for derivation of constraints from radiotherapy dose
histogram data.
AB - Dose constraints based on histograms provide a convenient and widely-used method
for informing and guiding radiotherapy treatment planning. Methods of derivation
of such constraints are often poorly described. Two non-parametric methods for
derivation of constraints are described and investigated in the context of
determination of dose-specific cut-points-values of the free parameter (e.g.,
percentage volume of the irradiated organ) which best reflect resulting changes
in complication incidence. A method based on receiver operating characteristic
(ROC) analysis and one based on a maximally-selected standardized rank sum are
described and compared using rectal toxicity data from a prostate radiotherapy
trial. Multiple test corrections are applied using a free step-down resampling
algorithm, which accounts for the large number of tests undertaken to search for
optimal cut-points and the inherent correlation between dose-histogram points.
Both methods provide consistent significant cut-point values, with the rank sum
method displaying some sensitivity to the underlying data. The ROC method is
simple to implement and can utilize a complication atlas, though an advantage of
the rank sum method is the ability to incorporate all complication grades without
the need for grade dichotomization.
PMID- 24921160
TI - Impurity-induced plasmon damping in individual cobalt-doped hollow Au nanoshells.
AB - The optical properties of plasmonic nanoparticles in the size range corresponding
to the electrostatic, or dipole, limit have the potential to reveal effects
otherwise masked by phase retardation. Here we examine the optical properties of
individual, sub-50 nm hollow Au nanoshells (Co-HGNS), where Co is the initial
sacrificial core nanoparticle, using single particle total internal reflection
scattering (TIRS) spectroscopy. The residual Co present in the metallic shell
induces a substantial broadening of the homogeneous plasmon resonance line width
of the Co-HGNS, where the full width at half-maximum (fwhm) broadens
proportionately with increasing Co content. This doping-induced line broadening
provides a strategy for controlling plasmon line width independent of
nanoparticle size, and has the potential to substantially modify the relative
decay channels for localized nanoparticle surface plasmons.
PMID- 24921161
TI - Speeding up directed evolution: Combining the advantages of solid-phase
combinatorial gene synthesis with statistically guided reduction of screening
effort.
AB - Efficient and economic methods in directed evolution at the protein, metabolic,
and genome level are needed for biocatalyst development and the success of
synthetic biology. In contrast to random strategies, semirational approaches such
as saturation mutagenesis explore the sequence space in a focused manner.
Although several combinatorial libraries based on saturation mutagenesis have
been reported using solid-phase gene synthesis, direct comparison with
traditional PCR-based methods is currently lacking. In this work, we compare
combinatorial protein libraries created in-house via PCR versus those generated
by commercial solid-phase gene synthesis. Using descriptive statistics and
probabilistic distributions on amino acid occurrence frequencies, the quality of
the libraries was assessed and compared, revealing that the outsourced libraries
are characterized by less bias and outliers than the PCR-based ones. Afterward,
we screened all libraries following a traditional algorithm for almost complete
library coverage and compared this approach with an emergent statistical concept
suggesting screening a lower portion of the protein sequence space. Upon
analyzing the biocatalytic landscapes and best hits of all combinatorial
libraries, we show that the screening effort could have been reduced in all cases
by more than 50%, while still finding at least one of the best mutants.
PMID- 24921162
TI - Quality measures for hospice and palliative care: piloting the PEACE measures.
AB - BACKGROUND: The Carolinas Center for Medical Excellence launched the PEACE
project in 2006, under contract with the Centers for Medicare & Medicaid Services
(CMS), to identify, develop, and pilot test quality measures for hospice and
palliative care programs. OBJECTIVES: The project collected pilot data to test
the usability and feasibility of potential quality measures and data collection
processes for hospice and palliative care programs. Settings/subjects: Twenty-two
hospices participating in a national Quality Improvement Collaborative (QIC)
submitted data from 367 chart reviews for pain care and 45 chart reviews for
nausea care. Fourteen additional hospices completed a one-time data submission of
126 chart reviews on 60 potential patient-level quality measures across eight
domains of care and an organizational assessment evaluating structure and
processes of care. DESIGN: Usability was assessed by examining the range,
variability and size of the populations targeted by each quality measure.
Feasibility was assessed during the second pilot study by surveying data
abstractors about the abstraction process and examining the rates of missing
data. The impact of data collection processes was assessed by comparing results
obtained using different processes. RESULTS: Measures shown to be both usable and
feasible included: screening for physical symptoms on admission and documentation
of treatment preferences. Methods of data collection and measure construction
appear to influence observed rates of quality of care. CONCLUSIONS: We
successfully identified quality measures with potential for use in hospices and
palliative care programs. Future research is needed to understand whether these
measures are sensitive to quality improvement interventions.
PMID- 24921163
TI - Dietary intake, eating behaviors, and quality of life in women with polycystic
ovary syndrome who are trying to conceive.
AB - The Healthy Eating for Reproductive Health study was conducted among 18 (45% non
white) mostly obese (BMI 39.9 +/- 6.1) women with polycystic ovary syndrome
(PCOS) who were experiencing infertility and interested in losing weight. A
variety of markers were measured at baseline: body mass index (BMI), diet,
physical activity, eating behaviors (using an Eating Behavior Inventory, a
questionnaire which assesses both positive and negative eating behaviors
associated with weight status, with a higher score indicating adoption of eating
behaviors which have been shown in prior weight-loss research to promote a
healthy weight (EBI) and the Three-Factor Eating Questionnaire, which assesses
cognitive and behavioral components of eating among overweight adults), and a
quality of life (PCOS Health-Related Quality of Life (PCOSQ)) index, which
assesses satisfaction around five 'domains': emotional health, presence of body
hair, infertility, weight, and menstrual problems). A comparison group of
overweight women without PCOS (n = 28) was used to examine differences in
measured outcomes between women with and without PCOS. Participants' habitual
diets were high in fat and saturated fat and low in fiber, folate, and iron and
contained significantly lower amounts of carbohydrate, iron, and whole grains
compared with women without PCOS who had enrolled in a behavioral weight loss
programme. Participants had a low EBI (indicating that most were not adopting
eating behaviors associated with achieving a healthy weight), disinhibition
(indicating participants had a tendency to overeat in the presence of highly
palatable foods or were susceptible to emotional cues for eating, such as
stress), and hunger scores (indicating participants did not report being
susceptible to hunger, prompting overeating) and moderate dietary restraint
(indicating they were not consistently attempting to restrict food intake
consciously). PCOSQ scores were lowest for infertility and weight domains
(indicating low satisfaction with current infertility and weight status); however
all domains received low scores (emotional health, body hair, and menstrual
problems). Higher energy intakes (kcal/day) were associated with a lower EBI
score (r = - 0.60, P = 0.02), lower dietary restraint (r =- 0.50, P = 0.04), and
higher disinhibition (r = 0.63, P = 0.01). Greater energy expenditure (kcal/day)
was associated with lower PCOSQ scores for body weight (r =-0.54, P = 002) and
infertility (r =- 0.51, P = 0.003) domains. Results suggest that overweight women
with PCOS-related infertility have poor dietary intake, particularly in terms of
whole grains, fiber, and iron, and eating behaviors inconsistent with achieving a
healthy body weight, as well as low scores for PCOS-related quality of life.
PMID- 24921166
TI - Treatment outcomes of monocular infantile cataract at 5-year follow-up: work in
progress.
PMID- 24921167
TI - A moving black spot in my vision.
PMID- 24921168
TI - Man with blurry vision.
PMID- 24921169
TI - Retinal reactive astrocytic tumor: gene expression profiling.
PMID- 24921170
TI - Subretinal drusenoid deposits associated with complement-mediated IgA
nephropathy.
PMID- 24921171
TI - Loop-mediated isothermal amplification for rapid diagnosis of tubercular uveitis.
PMID- 24921172
TI - Successful long-term management of iris flocculi and miosis in a patient with a
strong family history of thoracic aortic aneurysms and dissections associated
with an MYH11 mutation.
PMID- 24921173
TI - Diffuse retinal ischemia following intravenous crushed oxymorphone abuse.
PMID- 24921174
TI - Thin central corneal thickness and early-onset glaucoma in lacrimo-auriculo-dento
digital syndrome.
PMID- 24921175
TI - Association between rate of binocular visual field change and vision-related
quality of life: novel finding or spurious association?
PMID- 24921176
TI - Association between rate of binocular visual field change and vision-related
quality of life--reply.
PMID- 24921177
TI - Macular caldera in North Carolina macular dystrophy: only an illusion of
posterior pole staphyloma.
PMID- 24921180
TI - Macular caldera in North Carolina macular dystrophy--reply.
PMID- 24921181
TI - Semiconductor-redox catalysis promoted by metal-organic frameworks for CO2
reduction.
AB - A noble-metal-free system for photochemical reduction of CO2 has been developed
by integrating graphitic carbon nitride (g-C3N4) with a cobalt-containing
zeolitic imidazolate framework (Co-ZIF-9). g-C3N4 acts as a semiconductor
photocatalyst, whereas Co-ZIF-9 is a cocatalyst that facilitates the
capture/concentration of CO2 and promotes light-induced charge separation. The
two materials cooperate efficiently to catalyze CO2-to-CO conversion upon visible
light illumination under mild reaction conditions. A (13)C-labelled isotropic
experiment proved that CO2 is the carbon source of the produced CO. Even without
noble metals, the system still achieved an apparent quantum yield of 0.9 percent.
The system displayed high photocatalytic stability, without noticeable
alterations in the chemical and crystal structures of g-C3N4 and Co-ZIF-9 after
the reaction.
PMID- 24921182
TI - Silver-catalyzed synthesis of 3-phosphorated coumarins via radical cyclization of
alkynoates and dialkyl H-phosphonates.
AB - Ag2CO3-catalyzed difunctionalization of alkynes via a radical phosphonation and C
H functionalization tandem process was developed to synthesize various 3
phosphonated coumarins in moderate to high yields with high regioselectivity. A
catalytic amount of cheap and nontoxic silver salt was employed in the domino C-P
and C-C formation of alkynoates for the first time. Mechanistic studies indicate
that the reaction pathway might proceed via the generation and cyclization of a
phosphonated vinyl radical intermediate.
PMID- 24921183
TI - [Connective tissue diseases in hospital practice in Ouagadougou (Burkina Faso)].
AB - To describe the semiological and immunological features of connective tissue
diseases seen at the Yalgado Ouedraogo University Hospital in Ouagadougou. A
retrospective study reviewed the records of patients seen in the hospital
dermatology and internal medicine departments from January 1, 2004, through
December 31, 2009 and diagnosed with systemic lupus erythematosus (SLE), systemic
sclerosis (ScS), dermatopolymyositis (DPM), primary Gougerot-Sjogren disease
(GS), polymyositis (PM) or indeterminate connective tissue disease (ICTD) meeting
the criteria of the American College of Rheumatology. The study included 42
patients, 36 women and 6 men. Their mean age was 41.2 years +/-11.97 (range: 15
75). SLE was the diagnosis for 10 patients, ScS for 14, DPM for 7, primary GS for
1, PM for 1, and ICTD for 9. Hematologic (93%), cutaneous (88%), and
rheumatologic (81%) abnormalities were the most frequent manifestations. The
specific auto-antibodies associated with SLE patients were: anti-native DNA
(3/6), anti-Sm (3/6), anti-RNP (3/6), and anti-SSA (4/6); anti-Scl 70 antibodies
were present in 5 patients with ScS. Connective tissue diseases seem to be rare
in Africa, south of the Sahara. However, the very fragmentary studies and the
weak healthcare coverage do not allow any definitive conclusions.
PMID- 24921184
TI - Reduction behavior of zinc ferrite in EAF-dust recycling with CO gas as a
reducing agent.
AB - EAF-dust containing metal oxides can be regarded as an important source for zinc
and iron. In this study, the reduction behavior of zinc ferrite with CO gas as a
reducing agent under different temperatures was investigated to develop a new
process for the recovery of zinc and iron from EAF-dust. The results of the phase
studies with synthetic franklinite show that zinc substituted wustite, and spinel
with low zinc content formed at lower temperatures from 450 to 850 degrees C due
to incomplete zinc-iron-separation. Zinc ferrite was completely reduced to
metallic zinc and iron at 950 degrees C. After evaporation and condensation,
metallic zinc was collected in the form of zinc powder while iron, the reduction
residue, was obtained in the form of direct reduced iron (DRI). The mass balance
indicates a high zinc recovery ratio of over 99%. The new treatment process by
thermal reduction with CO gas as a reducing agent achieved higher recovery and
metallization grade of both zinc and iron from EAF-dust at lower temperatures
than other commercial processes. The metallic products can be used directly as
semi-products or as raw materials for refinery.
PMID- 24921186
TI - Unique Responses are Observed in Transient Receptor Potential Ankyrin 1 and
Vanilloid 1 (TRPA1 and TRPV1) Co-Expressing Cells.
AB - Transient receptor potential (TRP) ankyrin 1 (TRPA1) and vanilloid 1 (TRPV1)
receptors are implicated in modulation of cough and nociception. In vivo, TRPA1
and TRPV1 are often co-expressed in neurons and TRPA1V1 hetero-tetramer formation
is noted in cells co-transfected with the respective expression plasmids. In
order to understand the impact of TRP receptor interaction on activity, we
created stable cell lines expressing the TRPA1, TRPV1 and co-expressing the TRPA1
and TRPV1 (TRPA1V1) receptors. Among the 600 compounds screened against these
receptors, we observed a number of compounds that activated the TRPA1, TRPV1 and
TRPA1V1 receptors; compounds that activated TRPA1 and TRPA1V1; compounds that
activated TRPV1 and TRPA1V1; compounds in which TRPA1V1 response was modulated by
either TRPA1 or TRPV1; and compounds that activated only TRPV1 or TRPA1 or
TRPA1V1; and one compound that activated TRPA1 and TRPV1, but not TRPA1V1. These
results suggest that co-expression of TRPA1 and TRPV1 receptors imparts unique
activation profiles different from that of cells expressing only TRPA1 or TRPV1.
PMID- 24921185
TI - The cytolethal distending toxin effects on Mammalian cells: a DNA damage
perspective.
AB - The cytolethal distending toxin (CDT) is produced by many pathogenic Gram
negative bacteria and is considered as a virulence factor. In human cells, CDT
exposure leads to a unique cytotoxicity associated with a characteristic cell
distension and induces a cell cycle arrest dependent on the DNA damage response
(DDR) triggered by DNA double-strand breaks (DSBs). CDT has thus been classified
as a cyclomodulin and a genotoxin. Whereas unrepaired damage can lead to cell
death, effective, but improper repair may be detrimental. Indeed, improper repair
of DNA damage may allow cells to resume the cell cycle and induce genetic
instability, a hallmark in cancer. In vivo, CDT has been shown to induce the
development of dysplastic nodules and to lead to genetic instability, defining
CDT as a potential carcinogen. It is therefore important to characterize the
outcome of the CDT-induced DNA damage and the consequences for intoxicated cells
and organisms. Here, we review the latest results regarding the host cell
response to CDT intoxication and focus on DNA damage characteristics, cell cycle
modulation and cell outcomes.
PMID- 24921187
TI - The ufm1 cascade.
AB - The ubiquitin-fold modifier 1 (Ufm1) is a posttranslational modifier that belongs
to the ubiquitin-like protein (UBL) family. Ufm1 is present in nearly all
eukaryotic organisms, with the exception of fungi. It resembles ubiquitin in its
ability to be ligated to other proteins, as well as in the mechanism of ligation.
While the Ufm1 cascade has been implicated in endoplasmic reticulum functions and
cell cycle control, its biological role still remains poorly understood. In this
short review, we summarize the current state of Ufm1 research and its potential
role in human diseases, like diabetes, ischemic heart disease and cancer.
PMID- 24921188
TI - Luminescent metal-organic frameworks as explosive sensors.
AB - Metal organic frameworks (MOFs) are of enormous current interest not only because
of their fundamental importance but also due to their great potential for
possible applications in gas storage and separation, catalysis, imaging and
sensing, to name a few. Recent studies on luminescent MOFs (LMOFs) in both bulk
and nanoparticle forms have shown that these materials possess excellent
luminescence emission properties that may be utilized to effectively detect high
explosive substances. Developing highly sensitive, selective, fast-responding and
fully reversible sensors for explosives' detection is in great demand for the
homeland security, environmental safety and other humanitarian concerns. In this
perspective article, we discuss the development, possible mechanism and future
aspects of explosive sensing by LMOF materials.
PMID- 24921189
TI - Monolingual and bilingual children with and without primary language impairment:
core vocabulary comparison.
AB - Core vocabulary is an important component of augmentative and alternative
communication (AAC) systems for school-aged children who have complex
communication needs. One method of identifying core vocabulary for these
individuals is to study the vocabulary of speaking children. To date, the use of
core vocabulary by speaking bilingual children has not been well documented. The
present study compared the core vocabulary used by children who are monolingual
(French), and bilingual (French-English; English-French). We also gathered and
compared language samples from French-speaking children identified as having
primary language impairment (PLI), with the goal of better understanding the
language differences demonstrated by children with this disability. Language
samples were collected from a total of 57 children within a school setting, in a
region where French is a minority language. Contrary to the hypothesis, the
analysis of language transcripts revealed that there were no important
differences between the core words from the groups studied.
PMID- 24921190
TI - Management of pregnancies in a hereditary angioedema patient after treatment with
attenuated androgens since childhood.
PMID- 24921191
TI - Effectiveness of a prematurity-based protocol for management of infants born to
mothers with Group B Streptococcus colonisation.
AB - The aim of this study was to validate the efficacy of a protocol for the
management of infants born to colonised mothers with Group B Streptococcus (GBS).
We studied a cohort of newborns admitted at the A. Gemelli University Hospital
between May 2006 and December 2009. A total of 1,108 were newborns of mothers
with GBS; 178 were children of mothers with unknown GBS status. Newborns were
managed according to the care protocol in use at our division. Infected infants
were born to mothers who underwent inadequate intrapartum antibiotic prophylaxis
(IAP). No mother with complete IAP had an infected newborn. The incidence of
invasive GBS infection in newborns of mothers with GBS was 0.4% and in newborns
of mothers with unknown GBS status was 2.2%. Only 17.4% of newborns of mothers
with GBS had risk factors. The complete IAP should always be performed regardless
of the presence or the absence of risk factors. The care protocol applied offers
successful management of the newborns of mothers with GBS, based on the correct
execution of IAP, considering as a primary risk factor, the gestational age of <
35 weeks.
PMID- 24921192
TI - On the sustainability of an activity.
AB - This paper develops a framework to determine the sustainability of a general
activity. We define an activity as an action or process that uses one or more
resources and that responds either wholly or partially to a demand. A definition
for sustainability is developed and is contingent on whether or not an activity
can be sustained according to the available resources, the duration of an
activity, the cost of its execution, or whether substitution is possible. A
sustainability condition is met when the duration, cost and the chain of
dependent activities satisfies the demand. Two conditions for sustainability are
developed: a strong condition when the demand is met with no substitution and a
weak condition when the demand is met via substitution. In the latter case, we
show that the set of all sustainable activities is a subset of a N-level union of
sustainable activities and forms a topological cover.
PMID- 24921193
TI - Working toward a good life as a cancer survivor: a longitudinal study on positive
health outcomes of a rehabilitation program for young adult cancer survivors.
AB - BACKGROUND: Research on cancer rehabilitation targeting young adult cancer
survivors (YACS) is limited, and little is known about the positive health
outcomes of rehabilitation programs tailored specifically for this vulnerable
group. OBJECTIVE: The aim of this study was to investigate whether a complex
rehabilitation program improved the health-related quality of life (HRQOL) and
physical capacities of YACS. METHODS: A longitudinal prospective study using
Norwegian norm-based comparisons was conducted. Twenty YACS (24-35 years old)
with different cancer diagnoses participated in a complex rehabilitation program
lasting for 6 months, focusing on goal setting, exercise, psychoeducation,
individual follow-up, and peer support. RESULTS: Health-related quality of life
was measured by EORTC QOL C-30 and the scores showed significant increases in
overall HRQOL (P < .005-.001) and all functional dimensions (P < .001-.05) and a
decrease in fatigue (P < .000-.05) and effect sizes between 0.72 and 1.30.
Significant changes occurred within physical fitness (P < .005), lung capacity (P
< .05), and left-hand strength (P < .001), but not right-hand strength and body
mass index, with effect sizes between -0.04 and 0.48. The values of HRQOL were
stable after a 1-year follow-up. CONCLUSIONS: A complex cancer rehabilitation
program especially tailored for YACS seems to build positive health outcomes such
as HRQOL and physical capacity in a long-term perspective. The content and
structure of the program were feasible with high compliance. The results
underline the importance of targeting rehabilitation interventions to YACS in
need after cancer treatment, acknowledging rehabilitation as a process that
requires adequate time and follow-up. IMPLICATIONS FOR PRACTICE: Healthcare
providers should be aware of YACS' symptom burden and monitor HRQOL and physical
parameters to ascertain holistic cancer survivorship care.
PMID- 24921194
TI - Exposure of Lycopersicon esculentum to microcystin-LR: effects in the leaf
proteome and toxin translocation from water to leaves and fruits.
AB - Natural toxins such as those produced by freshwater cyanobacteria have been
regarded as an emergent environmental threat. However, the impact of these water
contaminants in agriculture is not yet fully understood. The aim of this work was
to investigate microcystin-LR (MC-LR) toxicity in Lycopersicon esculentum and the
toxin accumulation in this horticultural crop. Adult plants (2 month-old) grown
in a greenhouse environment were exposed for 2 weeks to either pure MC-LR (100
MUg/L) or Microcystis aeruginosa crude extracts containing 100 MUg/L MC-LR.
Chlorophyll fluorescence was measured, leaf proteome investigated with two
dimensional gel electrophoresis and Matrix Assisted Laser Desorption Ionization
Time-of-Flight (MALDI-TOF)/TOF, and toxin bioaccumulation assessed by liquid
chromatography-mass spectrometry (LC-MS)/MS. Variations in several protein
markers (ATP synthase subunits, Cytochrome b6-f complex iron-sulfur, oxygen
evolving enhancer proteins) highlight the decrease of the capacity of plants to
synthesize ATP and to perform photosynthesis, whereas variations in other
proteins (ribulose-1,5-bisphosphate carboxylase/oxygenase large subunit and
ribose-5-phosphate isomerase) suggest an increase of carbon fixation and decrease
of carbohydrate metabolism reactions in plants exposed to pure MC-LR and
cyanobacterial extracts, respectively. MC-LR was found in roots (1635.21 MUg/kg
fw), green tomatoes (5.15-5.41 MUg/kg fw), mature tomatoes (10.52-10.83 MUg/kg
fw), and leaves (12,298.18 MUg/kg fw). The results raise concerns relative to
food safety and point to the necessity of monitoring the bioaccumulation of water
toxins in agricultural systems affected by cyanotoxin contamination.
PMID- 24921195
TI - A high-throughput, precipitating colorimetric sandwich ELISA microarray for Shiga
toxins.
AB - Shiga toxins 1 and 2 (Stx1 and Stx2) from Shiga toxin-producing E. coli (STEC)
bacteria were simultaneously detected with a newly developed, high-throughput
antibody microarray platform. The proteinaceous toxins were immobilized and
sandwiched between biorecognition elements (monoclonal antibodies) and pooled
horseradish peroxidase (HRP)-conjugated monoclonal antibodies. Following the
reaction of HRP with the precipitating chromogenic substrate (metal enhanced 3,3
diaminobenzidine tetrahydrochloride or DAB), the formation of a colored product
was quantitatively measured with an inexpensive flatbed page scanner. The
colorimetric ELISA microarray was demonstrated to detect Stx1 and Stx2 at levels
as low as ~4.5 ng/mL within ~2 h of total assay time with a narrow linear dynamic
range of ~1-2 orders of magnitude and saturation levels well above background.
Stx1 and/or Stx2 produced by various strains of STEC were also detected following
the treatment of cultured cells with mitomycin C (a toxin-inducing antibiotic)
and/or B-PER (a cell-disrupting, protein extraction reagent). Semi-quantitative
detection of Shiga toxins was demonstrated to be sporadic among various STEC
strains following incubation with mitomycin C; however, further reaction with B
PER generally resulted in the detection of or increased detection of Stx1,
relative to Stx2, produced by STECs inoculated into either axenic broth culture
or culture broth containing ground beef.
PMID- 24921196
TI - Bile salts at the air-water interface: adsorption and desorption.
AB - Bile salts (BS) are bio-surfactants which constitute a vital component in the
process of fat digestion. Despite the importance of the interfacial properties in
their biological role, these have been scarcely studied in the literature. In
this work, we present the adsorption-desorption profiles of two BS (NaTC and
NaGDC) including dilatational rheology. Findings from this study reveal very
different surface properties of NaTC and NaGDC which originate from different
complexation properties relevant to the digestion process. Dynamic adsorption
curves show higher adsorption rates for NaTC and suggest the existence of various
conformational regimes in contrast to NaGDC which presents only one
conformational regime. This is corroborated by analysis of the adsorption
isotherms and more in detail by the rheological behaviour. Accordingly, the
dilatational response at 1Hz displays two maxima of the dilatational modulus for
NaTC as a function of bulk concentration, in contrast to NaGDC which displays
only one maximum. The desorption profiles reveal that NaTC adopts an irreversibly
adsorbed form at high surface coverage whereas NaGDC fully desorbs from the
surface within the whole range of concentrations used. Analysis of the adsorption
desorption profiles provides new insight into the surface properties of BS,
suggesting a surface complexation of NaTC. This knowledge can be useful since
through interfacial engineering we might control the extent of lipolysis
providing the basis for the rational design of food products with tailored
digestibility.
PMID- 24921197
TI - What interactions drive the salivary mucosal pellicle formation?
AB - The bound salivary pellicle is essential for protection of both the enamel and
mucosa in the oral cavity. The enamel pellicle formation is well characterised,
however the mucosal pellicle proteins have only recently been clarified and what
drives their formation is still unclear. The aim of this study was to examine the
salivary pellicle on particles with different surface properties (hydrophobic or
hydrophilic with a positive or negative charge), to determine a suitable model to
mimic the mucosal pellicle. A secondary aim was to use the model to test how
transglutaminase may alter pellicle formation. Particles were incubated with
resting whole mouth saliva, parotid saliva and submandibular/sublingual saliva.
Following incubation and two PBS and water washes bound salivary proteins were
eluted with two concentrations of SDS, which were later analysed using SDS-PAGE
and Western blotting. Experiments were repeated with purified transglutaminase to
determine how this epithelial-derived enzyme may alter the bound pellicle.
Protein pellicles varied according to the starting salivary composition and the
particle chemistry. Amylase, the single most abundant protein in saliva, did not
bind to any particle indicating specific protein binding. Most proteins bound
through hydrophobic interactions and a few according to their charges. The
hydrophobic surface most closely matched the known salivary mucosal pellicle by
containing mucins, cystatin and statherin but an absence of amylase and proline
rich proteins. This surface was further used to examine the effect of added
transglutaminase. At the concentrations used only statherin showed any evidence
of crosslinking with itself or another saliva protein. In conclusion, the
formation of the salivary mucosal pellicle is probably mediated, at least in
part, by hydrophobic interactions to the epithelial cell surface.
PMID- 24921198
TI - Healing at mandibular block-grafted sites. An experimental study in dogs.
AB - AIM: The aim of this study was to evaluate the healing of autologous bone block
grafts or deproteinized bovine bone mineral (DBBM) block grafts applied
concomitantly with collagen membranes for horizontal alveolar ridge augmentation.
MATERIAL AND METHODS: In six Labrador dogs, molars were extracted bilaterally,
the buccal bony wall was removed, and a buccal box-shaped defect created. After 3
months, a bony block graft was harvested from the right ascending ramus of the
mandible and reduced to a standardized size. A DBBM block was tailored to similar
dimensions. The two blocks were secured with screws onto the buccal wall of the
defects in the right and left sides of the mandible, respectively. Resorbable
membranes were applied at both sides, and the flaps sutured. After 3 months, one
implant was installed in each side of the mandible, in the interface between
grafts and parent bone. After 3 months, biopsies were harvested and ground
sections prepared to reveal a 6-month healing period of the grafts. RESULTS: 77
+/- 6.2% and 5.9 +/- 7.5% of vital mineralized bone were found at the autologous
bone and DBBM block graft sites, respectively. Moreover, at the DBBM site, 63 +/-
11.7% of connective tissue and 31 +/- 15.5% of DBBM occupied the area analyzed.
Only 0.2 +/- 0.4% of DBBM was found in contact with newly formed bone. The
horizontal loss was in a mean range of 0.9-1.8 mm, and 0.3-0.8 mm, at the
autologous bone and DBBM block graft sites, respectively. CONCLUSIONS: Autologous
bone grafts were vital and integrated to the parent bone after 6 months of
healing. In contrast, DBBM grafts were embedded into connective tissue, and only
a limited amount of bone was found inside the scaffold of the biomaterial.
PMID- 24921199
TI - Novel Li2MnO3 nanowire anode with internal Li-enrichment for use in a Li-ion
battery.
AB - Anode materials which undergo a conversion reaction can achieve larger specific
capacities than conventional carbon-based materials. They can even achieve higher
energy densities when used at low voltages. However, the large amounts of Li2O
generated in the interior of these structures when Li ions are inserted can cause
volume expansion and mechanical fracturing from the inside out. This leads to a
poor cycling performance and limits their commercial application. To overcome
this limitation, we introduced Li ions into the interior of the cells of
manganese oxide materials and successfully synthesized a novel Li-rich anode
material (Li2MnO3). The reversible capacity reached 1279 mA h g(-1) after 500
cycles, much higher than that of pure MnO2 or other commercial anodes. This
optimization of the internal Li-enrichment and its application in Li2MnO3
nanowires used as low voltage anodes in Li-ion batteries have rarely been
reported. Further investigations by X-ray diffraction and photoelectron
spectroscopy suggested that the strategy of optimizing the internal Li-enrichment
of this novel Li2MnO3 anode is a promising development for Li-ion batteries.
PMID- 24921200
TI - Proton-coupled electron transfer in azobenzene/hydrazobenzene couples with
pendant acid-base functions. Hydrogen-bonding and structural effects.
AB - Electron transfer in azobenzene derivatives bearing two carboxylic acid groups is
coupled with intramolecular proton transfer in a stepwise manner in the title 2e(
) + 2H(+) redox couple. The presence of the pendant acid-base functions pushes
the redox chemistry of the azo/hydrazo couple toward positive potentials by as
much as 0.75 V. This is essentially the result of H-bonding of one of the
nitrogen atoms by the neighboring carboxylic group and H-bonding of one
carboxylate by the neighboring protonated nitrogen atom. The two electron
transfer reactions, particularly the second one, are accompanied by strong
structural changes, which results in the occurrence of a square scheme mechanism
in which electron transfer and structural change are not concerted. These are
typical phenomena that are likely to be encountered when attempting to boost
proton-coupled electron-transfer stoichiometric or catalytic processes by
installing pendant acid-base functionalities in the close vicinity of the
reacting center.
PMID- 24921201
TI - Abdominal compartment syndrome in acute pancreatitis: a systematic review.
AB - Abdominal compartment syndrome (ACS) is a lethal complication of acute
pancreatitis. We performed a systematic review to assess the treatment and
outcome of these patients.A systematic literature search for cohorts of patients
with acute pancreatitis and ACS was performed. The main outcomes were number of
patients with ACS, radiologic and surgical interventions, morbidity, mortality,
and methodological quality.After screening 169 articles, 7 studies were included.
Three studies were prospective and 4 studies were retrospective. The overall
methodological quality of the studies was moderate to low. The pooled data
consisted of 271 patients, of whom 103 (38%) developed ACS. Percutaneous drainage
of intraabdominal fluid was reported as first intervention in 11 (11%) patients.
Additional decompressive laparotomy was performed in 8 patients. Decompressive
laparotomy was performed in a total of 76 (74%) patients. The median decrease in
intraabdominal pressure was 15 mm Hg (range, 33-18 mm Hg). Mortality in acute
pancreatitis patients with ACS was 49% versus 11% without ACS. Morbidity ranged
from 17% to 90%.Abdominal compartment syndrome during acute pancreatitis is
associated with high mortality and morbidity. Studies are relatively small and
have methodological shortcomings. The optimal timing and method of invasive
interventions, as well as their effect on clinical outcomes, should be further
evaluated.
PMID- 24921202
TI - A systematic review of localization, surgical treatment options, and outcome of
insulinoma.
AB - OBJECTIVE: Insulinoma with an incidence of 0.4% is a rare pancreatic tumor.
Preserving surgery is the treatment of choice. Exact localization is necessary to
plan the appropriate approach. This article gives an overview on localization and
surgical strategies for treatment of insulinoma. METHODS: In this systematic
review, 114 articles with 6222 cases of insulinoma were reviewed with emphasis on
localization techniques and surgical treatment. RESULTS: Insulinoma happens
mostly in the fifth decade of life, with a higher incidence in men. They occur
mostly sporadic (94%), benign (87%), and single (90%). Insulinomas are mostly
smaller than 20 mm (84%). The tumors are distributed almost equally in the
pancreas. CONCLUSIONS: Computed tomography is routinely used as first choice
preoperatively. Intraoperative inspection, palpation, and sonography were applied
with high success rate. Intraoperative sonography is considered as the most
reliable technique. Enucleation is the most administered type of surgery (56%).
Different types of resection include distal pancreatectomy (32%), Whipple
procedure (3%), and subtotal pancreatectomy (<3%). Despite the development of
laparoscopy, open approach is the favorite method (90%). The most common surgical
complication is fistula. The mortality rate of open approach was higher (4 vs
0%). Despite high cure rate, recurrence of insulinoma occurs in 7% after surgery.
PMID- 24921203
TI - Use of sorafenib in a corticotropin-secreting pancreatic neuroendocrine
carcinoma.
PMID- 24921204
TI - Review of 43 patients with autoimmune pancreatitis based on the international
consensus diagnostic criteria in China.
PMID- 24921205
TI - The association of family history of cancer and medical history with pancreatic
cancer risk.
PMID- 24921207
TI - Intestinal microbiota: its role in digestive diseases.
AB - It is now well known that intestinal microbiota exerts not only several
physiological functions, but has also been implied in the mechanisms of many
conditions, both intestinal and extraintestinal. These advances, to the best of
our knowledge, have been made possible by the development of new ways of studying
gut flora. Metagenomics, the study of genetic material taken directly from
environmental samples, avoiding individual culture, has become an excellent tool
to study the human microbiota. Therefore, it has demonstrated an association
between an altered intestinal microbiota and inflammatory bowel disease or
irritable bowel syndrome, perhaps the most extensively studied conditions
associated with this particular subject. However, microbiota has a potential role
in the development of other diseases; their manifestations are not confined to
the intestine only. In this article, an extensive updated review is conducted on
the role intestinal microbiota has in health and in different diseases. Focus is
made on the following conditions: inflammatory bowel disease, irritable bowel
syndrome, celiac disease, hepatic encephalopathy, and obesity.
PMID- 24921206
TI - Interplay between nuclear factor erythroid 2-related factor 2 and amphiregulin
during mechanical ventilation.
AB - Mechanical ventilation (MV) elicits complex and clinically relevant cellular
responses in the lungs. The current study was designed to define the role of the
transcription factor nuclear factor erythroid 2-related factor 2 (Nrf2), a major
regulator of the cellular antioxidant defense system, in the pulmonary response
to MV. Nrf2 activity was quantified in ventilated isolated perfused mouse lungs
(IPL). Regulation of amphiregulin (AREG) was investigated in BEAS-2B cells with
inactivated Nrf2 or Keap1, the inhibitor of Nrf2, using a luciferase vector with
AREG promoter. AREG-dependent Nrf2 activity was examined in BEAS-2B cells, murine
precision-cut lung slices (PCLS), and IPL. Finally, Nrf2 knockout and wild-type
mice were ventilated to investigate the interplay between Nrf2 and AREG during MV
in vivo. Lung functions and inflammatory parameters were measured. Nrf2 was
activated in a ventilation-dependent manner. The knockdown of Nrf2 and Keap1 via
short hairpin RNA in BEAS-2B cells and an EMSA with lung tissue revealed that
AREG is regulated by Nrf2. Conversely, AREG application induced a significant
Nrf2 activation in BEAS-2B cells, PCLS, and IPL. The signal transduction of
ventilation-induced Nrf2 activation was shown to be p38 MAP kinase-dependent. In
vivo ventilation experiments indicated that AREG is regulated by Nrf2 during MV.
We conclude that Areg expression is regulated by Nrf2. During high-pressure
ventilation, Nrf2 becomes activated and induces AREG, leading to a positive
feedback loop between Nrf2 and AREG, which involves the p38 MAPK and results in
the expression of cytoprotective genes.
PMID- 24921208
TI - Current diagnosis and management of the rumination syndrome.
AB - The rumination syndrome is a behavioral condition characterized by postprandial
regurgitation. In contrast to what many think, the disorder does not exclusively
occur in mentally disabled patients or children but also in otherwise healthy
adults. As symptoms of postprandial regurgitation are often mistaken for
gastroesophageal reflux disease or vomiting, the rumination syndrome is an
underappreciated condition. Rumination episodes are caused by an intragastric
pressure increases which forces the gastric content into the esophagus and mouth
and occurs during 3 distinct mechanisms: primary rumination, secondary
rumination, and supragastric belch-associated rumination. Combined manometry
impedance can distinguish rumination from gastroesophageal reflux disease.
Treatment of the rumination syndrome consists of a thorough explanation of the
mechanisms underlying the rumination episodes and behavioral therapy. As
behavioral therapy is a time-consuming and often expensive treatment, we propose
that a clinical suspicion of the disorder is always confirmed by a manometry
impedance measurement.
PMID- 24921209
TI - Novel endocuff-assisted colonoscopy significantly increases the polyp detection
rate: a randomized controlled trial.
AB - GOALS AND BACKGROUND: Screening colonoscopy for colorectal cancer has proven to
reduce mortality rates. Recently the Endocuff (EC), an attachment to the distal
tip of the colonoscope, was introduced. The aim of our study was to compare EC
assisted colonoscopies with standard colonoscopies for the detection of colonic
polyps. STUDY: This study is a randomized prospective 2-center trial. The study
was conducted at 2 tertiary care centers. PARTICIPANTS: A total of 498 patients
[249 males; median age 67 y; interquartile range (IQR), 56-75 y] for colon
adenoma screening purposes were included. All patients underwent standard
colonoscopy with or without the use of EC. Overall polyp detection rate, the
number of colonic polyps, and the polyp distribution in the colon were measured.
Difference in recognition of polyps with or without the use of EC was assessed.
Statistical analysis was applied. RESULTS: In the EC group, the number of polyps
detected per patient was 63% higher [2.00 (IQR, 1.00-4.00) vs. 1.00 (IQR, 1.00
2.25), P<0.0001]. The polyp detection rate in patients increased by 14% with the
use of EC (56% vs. 42%, P=0.001). For polyp detection, superiority by use of EC
could be observed in the sigmoid (P=0.001) and cecum (P=0.002) for polyps <1 cm
in diameter. In the EC group, the number of adenomas detected per patient
significantly increased by 86% (P=0.002). No major complications occurred in both
groups. CONCLUSIONS: The use of the EC is feasible and safe with significantly
higher polyp detection rates, especially for those located in the sigmoid region.
The cuff system has the potential to improve the accuracy of screening
colonoscopies.
PMID- 24921210
TI - Cirrhosis regression in patients with viral hepatitis B and C: a systematic
review.
AB - INTRODUCTION: Cirrhosis is a major milestone in patients with chronic liver
disease because of its impact on patient morbidity and mortality. Chronic
hepatitis B (CHB) and hepatitis C (CHC) are important causes of cirrhosis. This
systematic review examines the relevant literature and evidence to assess whether
cirrhosis can be reversible in patients with cirrhosis from viral hepatitis
through long viral suppression. METHODS: A MEDLINE and Cochrane Library search
was conducted to identify all articles pertinent to the subject matter. Fourteen
publications were included in the final analysis: 4 hepatitis B studies and 10
hepatitis C studies. Data abstracted from individual studies included patient
demographics, antiviral therapy used, length of treatment, liver biopsy scoring
system, length of biopsy, and time between biopsies. RESULTS: In CHB, the 7
studies reviewed included a total of 463 cirrhotic patients. Regression of
cirrhosis was noted in a median of 70% (range, 33% to 80%) of patients. In CHC,
the 13 studies reviewed included a total of 58 cirrhotic patients. Regression of
cirrhosis was seen in a median of 64% (range, 33% to 100%) of patients with
sustained viral response. CONCLUSIONS: The results of our review suggest that
viral suppression in CHB and sustained virologic response in CHC can be
associated with histologic regression of cirrhosis in select patients.
PMID- 24921211
TI - Clarithromycin Versus Metronidazole as First-line Helicobacter pylori
Eradication: A Multicenter, Prospective, Randomized Controlled Study in Japan.
AB - BACKGROUND: Helicobacter pylori eradication rates achieved with a first-line
regimen of clarithromycin (CLR) combined with amoxicillin (AMX) and a proton pump
inhibitor have recently fallen to <=80% because of the increasing incidence of
CLR resistance in Japan. This randomized multicenter trial aimed to compare the
eradication success of 2 first-line triple therapy regimens: rabeprazole,
amoxicillin, and clarithromycin (RAC) versus rabeprazole, amoxicillin, and
metronidazole (RAM). METHODS: A total of 124 consecutive patients infected with
H. pylori were randomized into one of two 7-day therapeutic regimens: RAC (n=60)
or RAM (n=64). Eradication was confirmed by the C-urea breath test. Adverse
effects were also assessed. RESULTS: Intention-to-treat and per protocol H.
pylori eradication rates were 73.3%/77.2% in the RAC group and 90.6%/93.5% in the
RAM group. The eradication rate of RAM therapy was significantly higher than that
of RAC therapy. CLR, metronidazole, and AMX resistance was found in 36.2%, 2.1%,
and 0% of patients, respectively. In addition, no relevant differences in adverse
effects were observed. CONCLUSIONS: Metronidazole-based therapy (RAM) was
superior to standard CLR-based therapy (RAC) for first-line H. pylori
eradication. This reflects the progressive increase in CLR resistance observed in
Japan.
PMID- 24921212
TI - World Gastroenterology Organisation global guidelines: Nonalcoholic fatty liver
disease and nonalcoholic steatohepatitis.
PMID- 24921213
TI - Use of sunitinib in a 30-year-old woman with pancreatic neuroendocrine tumors
associated with Von Hippel-Lindau syndrome.
PMID- 24921214
TI - Liver and pancreatic cancer related to hepatitis B exposure: has the jury reached
a verdict?
PMID- 24921215
TI - Current challenges and the management of chronic hepatitis C in mainland China.
AB - Despite decreasing prevalence, new cases of hepatitis C in China are increasing
recently with growing percentage of patients who are with advanced disease,
aging, or not eligible for interferon-based treatments. Hepatitis C infection
represents a serious public health burden. This review was based on expert's
consensus during a medical forum on hepatitis sponsored by the Beijing Wu Jie
Ping Medical Foundation. The literature searches were conducted in PubMed and
critical publications in Chinese journals. Data on hepatitis C prevalence, risk
factors, viral or host features, and treatment modalities were extracted and
reviewed. Recent large-scale surveys reported reducing prevalence of hepatitis C
to approximately 0.4% in China, partly because of regulation changes to safer
medical practices and illegalizing commercial blood donations. Patient
demographics evolved from being dominated by former paid blood donors to include
intravenous drug users and others. Although hepatitis C genotype 1 is the most
common, other genotypes are emerging in prevalence. The current standard of care
is interferon-based without direct acting antivirals. However, many patients
failed therapy because of high treatment costs, substantial needs to manage side
effects, difficulties with treatment monitoring in the rural areas, and growing
populations of elderly and cirrhotic patients. The lack of high efficacy
therapies with good safety profile and low disease awareness in China resulted in
increasing public burden of advanced hepatitis C disease. Despite significant
reduction of hepatitis C prevalence, iatrogenic, nosocomial, and community
transmissions are still significant. In addition to promoting disease awareness,
interferon-free regimens are needed to reduce the public health burden.
PMID- 24921216
TI - Nanomaterial-induced autophagy: a new reversal MDR tool in cancer therapy?
AB - Most of the therapeutic strategies to counteract cancer imply killing of
malignant cells. The most exploited cell death mechanism in cancer therapies is
apoptosis, but recently, a lot of papers report that other mechanisms, mainly
autophagy, could represent a new line of attack in the fight against cancer. One
of the limitations for the effectiveness of the approved clinical treatments is
the phenomenon of multidrug resistance (MDR) which enables the cancer cells to
develop resistance to therapy, especially for chemotherapy. The MDR mechanisms
include (a) decreased uptake of drug, (b) reduced intracellular drug
concentration by efflux pumps, (c) altered cell cycle checkpoints, (d) altered
drug targets, (e) increased metabolism of drugs, (f) induced emergency response
genes to impair apoptotic pathway, and (g) altered drug detoxification. Great
efforts have been made to reverse MDR. Currently, autophagy and nanosized drug
delivery systems (DDSs) belonging to nanomaterials (NMs) provide alternative
strategies to circumvent MDR. Nanosized DDSs are very promising tools to
accumulate chemotherapeutics at targeting sites and control temporal and spatial
drug release into tumor cells. On the other hand, autophagy could overrule drug
resistance upon its activation by ensuring cell death via switching its
prosurvival role to a prodeath one or by mediating the occurrence of cell death,
i.e., apoptosis or necrosis. Likewise, the autophagy inhibition could counteract
MDR by sensitizing the cells to anticancer molecules, i.e., Src family tyrosine
kinase (SFK) inhibitors or 5-fluorouracil. Noteworthy, autophagy has been
recently indicated to be a common cellular response to NMs, corroborating the
fascinating idea of the exploitation of NM-induced autophagy in nanomedicine
therapy. This review focuses on recently published literature about the
relationship between MDR reversal and NMs or autophagy pointing to hypothesize a
pivotal role of autophagy modulation induced by NMs in counteracting MDR.
PMID- 24921219
TI - Iron-nitrogen-doped mesoporous tungsten carbide nanostructures as oxygen
reduction electrocatalysts.
AB - Since Pt-based catalysts have the disadvantages of high cost, large overpotential
loss, and limited long-term stability, there have been various promising
alternatives to Pt-based catalysts to improve the catalytic activity towards the
oxygen reduction reaction (ORR). We have synthesized iron-nitrogen-doped
mesoporous tungsten carbide catalysts (WC-m-FT) by pyrolysis of well-ordered
mesoporous tungsten carbides with iron porphyrin. WC-m-FT exhibits excellent ORR
catalytic activity in an alkaline medium, i.e. a high electron-transfer number as
well as superior stability and methanol tolerance. The improved activity and
stability of WC-m-FT are ascribed to iron-containing catalytic active sites
surrounded by nitrogen species and the well-defined mesoporous tungsten carbide
structure.
PMID- 24921218
TI - Outcome of Transplant-fallout Patients With Unresectable Cholangiocarcinoma.
AB - OBJECTIVES: The aim of this was to determine survival after starting neoadjuvant
therapy for patients who became ineligible for orthotopic liver transplantation
(OLT). METHODS AND MATERIALS: Since January 1993, 215 patients with unresectable
cholangiocarcinoma began treatment with planned OLT. Treatment included external
beam radiation therapy (EBRT) with fluorouracil, bile duct brachytherapy, and
postradiotherapy fluorouracil or capecitabine before OLT. Adverse findings at the
staging operation, death, and other factors precluded OLT in 63 patients (29%),
of whom 61 completed neoadjuvant chemoradiation. RESULTS: By October 2012, 56
(89%) of the 63 patients unable to undergo OLT had died. Twenty-two patients
(35%) became ineligible for OLT before the staging operation, 38 (60%) at the
staging operation, and 3 (5%) after staging. From the date of diagnosis, median
overall survival was 12.3 months. Survival was 17% at 18 months and 7% at 24
months. Median survival after fallout was 6.8 months. Median survival after the
staging operation was 6 months. Two patients lived for 3.7 and 8.7 years before
dying of cancer or liver failure caused by persistent biliary stricture at the
site of the original cancer, respectively. Univariate analysis showed that time
from diagnosis to fallout correlated with overall survival (P=0.04). CONCLUSIONS:
In highly selected patients initially suitable for OLT, the mortality rate for
cholangiocarcinoma was high in patients who became ineligible for OLT. Their
survival, however, was comparable to expected survival for patients with locally
advanced or metastatic disease treated with nontransplant therapies. The most
common reason for patient fallout was adverse findings at the staging operation.
PMID- 24921217
TI - Wnt coreceptor Lrp5 is a driver of idiopathic pulmonary fibrosis.
AB - RATIONALE: Wnt/beta-catenin signaling has been implicated in lung fibrosis, but
how this occurs and whether expression changes in Wnt pathway components predict
disease progression is unknown. OBJECTIVES: To determine whether the Wnt
coreceptor Lrp5 drives pulmonary fibrosis in mice and is predictive of disease
severity in humans. METHODS: We examined mice with impaired Wnt signaling caused
by loss of the Wnt coreceptor Lrp5 in models of lung fibrosis induced by
bleomycin or an adenovirus encoding an active form of transforming growth factor
(TGF)-beta. We also analyzed gene expression in peripheral blood mononuclear
cells (PBMC) from patients with idiopathic pulmonary fibrosis (IPF). MEASUREMENTS
AND MAIN RESULTS: In patients with IPF, analysis of peripheral blood mononuclear
cells revealed that elevation of positive regulators, Lrp5 and 6, was
independently associated with disease progression. LRP5 was also associated with
disease severity at presentation in an additional cohort of patients with IPF.
Lrp5 null mice were protected against bleomycin-induced pulmonary fibrosis, an
effect that was phenocopied by direct inhibition of beta-catenin signaling by the
small molecular inhibitor of beta-catenin responsive transcription.
Transplantation of Lrp5 null bone marrow cells into wild-type mice did not limit
fibrosis. Instead, Lrp5 loss was associated with reduced TGF-beta production by
alveolar type 2 cells and leukocytes. Consistent with a role of Lrp5 in the
activation of TGF-beta, Lrp5 null mice were not protected against lung fibrosis
induced by TGF-beta. CONCLUSIONS: We show that the Wnt coreceptor, Lrp5, is a
genetic driver of lung fibrosis in mice and a marker of disease progression and
severity in humans with IPF. Evidence that TGF-beta signaling can override a loss
in Lrp5 has implications for patient selection and timing of Wnt pathway
inhibitors in lung fibrosis.
PMID- 24921220
TI - Transoral robotic surgery for obstructive sleep apnea in Asian patients: a
Singapore sleep centre experience.
AB - IMPORTANCE: This study investigates the effectiveness of combined palatal surgery
and transoral robotic surgical (TORS) tongue base reduction with partial
epiglottidectomy in the treatment of obstructive sleep apnea (OSA) in an Asian
context. To our knowledge, this is the first report on TORS for OSA in Asian
patients in the literature. OBJECTIVE: To report our preliminary experience with
combined TORS tongue base reduction and partial epiglottidectomy with palatal
surgery as a multilevel surgical treatment strategy for moderate to severe OSA in
Asian patients for whom positive airway pressure treatment had failed. DESIGN,
SETTING, AND PARTICIPANTS: A retrospective study of prospectively collected data
on 40 Asian patients who underwent primary TORS tongue base reduction with
partial epiglottidectomy and palatal surgery for treatment of moderate to severe
OSA at an academic tertiary surgical center. INTERVENTIONS: Transoral robotic
surgery and palatal surgery for surgical management of OSA in patients for whom
positive airway pressure treatment had failed. MAIN OUTCOMES AND MEASURES: Twenty
patients with complete preoperative and postoperative overnight polysomnograms
were evaluated for surgical success and cure, according to traditional surgical
criteria, and for subjective outcome measures (snoring and satisfaction on visual
analog scale [VAS] and Epworth Sleepiness Scale [ESS]) as well as complications.
RESULTS: Traditional cure (apnea-hypopnea index [AHI] <5/h) was achieved in 7 of
20 patients (35%), traditional success (AHI <20 [>50% reduction in AHI]) was
achieved in another 11 patients (55%), and failure was observed in 2 patients
(10%). Subjective improvement in snoring, satisfaction, and ESS score was
observed. Improvement in mean (SD) ESS score and snoring loudness on VAS were
statistically significant, from 12.4 (2.87) to 6.4 (1.43) and 8.7 (0.8) to 3.5
(1.7), respectively (P < .001 for both). None of the patients needed
postoperative tracheostomy. Recorded complications included tonsillar fossa
bleeding, pain, temporary dysgeusia, numbness of the tongue, and temporary
dysphagia. CONCLUSIONS AND RELEVANCE: Transoral robotic surgery for tongue base
reduction and partial epiglottidectomy for moderate to severe OSA in Asian
patients for whom positive airway pressure treatment had failed is associated
with good efficacy and low complication rates.
PMID- 24921221
TI - Flux growth of Yb(6.6)Ir(6)Sn(16) having mixed-valent ytterbium.
AB - The compound Yb6.6Ir6Sn16 was obtained as single crystals in high yield from the
reaction of Yb with Ir and Sn run in excess indium. Single-crystal X-ray
diffraction analysis shows that Yb6.6Ir6Sn16 crystallizes in the tetragonal space
group P42/nmc with a = b = 9.7105(7) A and c = 13.7183(11) A. The crystal
structure is composed of a [Ir6Sn16] polyanionic network with cages in which the
Yb atoms are embedded. The Yb sublattice features extensive vacancies on one
crystallographic site. Magnetic susceptibility measurements on single crystals
indicate Curie-Weiss law behavior <100 K with no magnetic ordering down to 2 K.
The magnetic moment within the linear region (<100 K) is 3.21 MUB/Yb, which is
~70% of the expected value for a free Yb(3+) ion suggesting the presence of mixed
valent ytterbium atoms. X-ray absorption near edge spectroscopy confirms that
Yb6.6Ir6Sn16 exhibits mixed valence. Resistivity and heat capacity measurements
for Yb6.6Ir6Sn16 indicate non-Fermi liquid metallic behavior.
PMID- 24921222
TI - Electrochemical quantification of iodide ions in synthetic urine using silver
nanoparticles: a proof-of-concept.
AB - Typical urinary iodide concentrations range from 0.3 MUM to 6.0 MUM. The
conventional analytical method is based on the Sandell-Kolthoff reaction. It
involves the toxic reagent, arsenic acid, and a waiting time of 30 minutes for
the iodide ions to reduce the cerium(iv) ions. In the presented work, an
alternative fast electrochemical method based on a silver nanoparticle modified
electrode is proposed. Cyclic voltammetry was performed with a freshly modified
electrode in presence of iodide ions and the voltammetric peaks corresponding to
the oxidation of silver to silver iodide and the reverse reaction were recorded.
The peak height of the reduction signal of silver iodide was used to plot a
calibration line for the iodide ions. Two calibration plots for the iodide ions
were obtained, one in 0.1 M sodium nitrate (a chloride-ion free environment to
circumvent any interference from the other halides) and another in synthetic
urine (which contains 0.2 M KCl). In both of the calibration plots, linear
relationships were found between the reduction peak height and the iodide ion
concentration of 0.3 MUM to 6.0 MUM. A slope of 1.46 * 10(-2) A M(-1) and a R(2)
value of 0.999 were obtained for the iodide detection in sodium nitrate. For the
synthetic urine experiments, a slope of 3.58 * 10(-3) A M(-1) and a R(2) value of
0.942 were measured. A robust iodide sensor with the potential to be developed
into a point-of-care system has been validated.
PMID- 24921224
TI - Editorial.
PMID- 24921223
TI - Assessment for ease of use and preference of a new prefilled insulin pen
(FlexTouch Degludec U100/U200) versus the SoloSTAR insulin pen by patients with
diabetes and healthcare professionals.
AB - OBJECTIVE: FlexTouch(r) (FT) is a new prefilled insulin pen with no push-button
extension and a low injection force used to deliver several basal insulins,
including insulin degludec across a wide dose range (1 - 80 units with FT 100
IU/ml [FT100] and 2 - 160 units with 200 IU/ml [FT200]). This study was carried
out to evaluate whether the novel features of FT affect the preferences of the
device among patients with diabetes and healthcare professionals compared with
the widely used SoloSTAR(r) pen. RESEARCH DESIGN AND METHODS: A multicenter,
randomized, open-label, crossover study compared FT100 and FT200 with SoloSTAR.
The study included patients with either type 1 (n = 22) or type 2 diabetes (n =
42), nurses (n = 32) and physicians (n = 32). Subjects were randomized to test
each of the FT100, FT200 and SoloSTAR pens in a crossover set up. Subjects
performed injections into a foam cushion at 4 - 6 different doses per device (2,
20, 40, 80, 120 and 160 IU). RESULTS: Overall, a significantly higher proportion
of subjects, including dexterity-impaired and pen-naive patients, preferred to
use FT100 (93.0%; 119/128) and FT200 (91.4%; 117/128) compared with 2.3% (3/128)
and 3.9% (5/128) who preferred SoloSTAR (p < 0.001), respectively. CONCLUSION:
FT100 and FT200 were preferred over SoloSTAR by nurses, physicians and patients
with diabetes. This may be due to the novel design of FT, which improves ease of
use, preference and confidence in delivering a complete, accurate dose of
insulin, even at high doses.
PMID- 24921225
TI - Psychotropic medications and HIV medicine: A rational approach.
AB - New drugs available for HN infection treatment have produced significant
improvements in quality and quantity of life in affected individuals. These new
agents are metabolized by the same hepatic microsomal system that is responsible
for breakdown of psychotropic drugs. Thus, toxic drug levels and undesirable side
effects are possible when anti-retroviral drugs are used in combination with
psychotropic medication. The treatment of HN-infected individuals presenting with
neuropsychiatric and psychological morbidity is reviewed. A rational approach
should involve the selection of drugs that minimize interaction with metabolism
of the other medications.
PMID- 24921226
TI - The pharmaco-economics of atypical antipsychotics.
AB - Atypical antipsychotics are claimed to show advantages in efficacy and
tolerability when compared with older, typical drugs. However, the purchase cost
of atypicals far exceeds that of typical drugs. Pharmaco-economic evaluations of
the use of atypical agents are used, in essence, to determine whether or not the
benefit gained by using atypicals is greater than the extra cost of their
acquisition. Several forms of pharmaco-economic evaluation have been developed,
but none provides definitive, unarguable findings. In psychiatry, the most
commonly used method is the mirror-image technique, which retrospectively
compares costs and outcomes before and after the use of a particular drug.
Despite the large number of phamacoeconomic evaluations undertaken and published,
the cost-effectiveness of atypical drugs remains unproven. Data relating to
clozapine are the most compelling, but they remain ultimately equivocal. However,
ethical considerations may prevent conclusive research being conducted. Some data
support the cost-effectiveness of olanzapine and risperidone, but, again, the
overall picture is far from clear. Little or nothing is known of the pharmaco
economics of other atypicals. Further research is needed before any atypical can
be said to be cost-effective.
PMID- 24921227
TI - Valproic acid for seizure prophylaxis during clozapine therapy: Where's the
evidence?
AB - Clozapine, a dibenzodiazepine derivative, is the prototype atypical antipsychotic
indicated for treatment-resistant patients with schizophrenia. Although
considered by many to be the most effective antipsychotic, its clinical use has
been hindered by some serious adverse reactions, one of the more serious of which
is seizures. In response to this inherent risk of clozapine-induced seizures,
some clinicians have been advocating the use of prophylactic valproic acid
treatment. This article reviews the available literature to assess the evidence
to support this practice.
PMID- 24921228
TI - Suicidal ideation amongst functionally ill psychogeriatric inpatients.
AB - OBJECT: Fluctuating suicidal ideation can undermine the accuracy of suicide risk
assessment. METHOD: This pilot study examined fluctuations in suicidal ideation
among psychogeriatric inpatients as reported by nurses, doctors and the patients
themselves. The relationship between these reports of suicidal ideation is also
examined. RESULTS: Five (38%) patients exhibited fluctuations in suicidal
ideation. Doctors reported greater suicidal ideation than did the nurses and
patients. CONCLUSION: Clinical implications of this discrepancy and fluctuations
in suicidal ideation are discussed.
PMID- 24921229
TI - Assessment of sexual dysfunction in depressed patients and reporting attitudes in
routine daily practice: Results of the postmarketing observational studies with
moclobemide, a reversible MAO-A inhibitor.
AB - OBJECT: The aim of this study was to assess the effect of moclobemide on sexual
dysfunction in depressed patients treated under routine conditions in private
practice and hospital settings. METHOD: sexual function was systematically
assessed by a specific questionnaire and by recording spontaneously reported
adverse events during large prospective postmarketing surveillance studies with
moclobemide, carried out in Germany between 1992 and 1995. The data of 4333
patients were collected in two different settings: (a) specialized psychiatric
and neurological private practices and (b) psychiatric hospitals. RESULTS: Up to
70% of depressed patients suffered from Some type of sexual dysfunction at
baseline and in about two thirds the dysfunction was rated as moderate to severe.
The severity and frequency of sexual dysfunction corresponded well to the
severity of depressive syndrome. Sexual functions improved during treatment with
moclobemide and the extent of improvement corresponded to the favourable outcome
of antidepressant treatment. Deterioration of sexual functions under moclobemide
treatment was infrequent and experienced by less than 3% of patients. The
frequency of spontaneously reported sexual dysfunction, reported as adverse
event, was lower than 0.1%. For a considerable proportion of patients included in
the studies sexual function was not systematically recorded: up to 10-20% of data
for variables related to sexual function were missing. Unreported sexual
functioning varied in dependence of the type of function, age and gender of the
patient and treatment settings. CONCLUSION: The results of the observational
studies with moclobemide do not provide evidence that moclobemide induces or
intensifies sexual dysfunction in depressed patients under routine daily
treatment. The results also demonstrate that the assessment of sexual function in
the practice is clearly influenced by the reporting attitudes of patients and
physicians.
PMID- 24921230
TI - Medically unexplained chronic musculoskeletal pain and psychiatric morbidity in
an Indian sample.
AB - OBJECT: The psychiatric aspects of 30 patients suffering from chronic
musculoskeletal pain in a Government hospital in Mumbai, India were investigated.
METHOD: Twenty-three relatives matched for age and sex formed a control group.
The Structured Clinical Interview for DSM-III-R (SCID-I) was used to determine
the nature of the psychiatric morbidity. The role of stressful fife events was
examined using the Presumptive Stressful Life Events Scale (PSLES). RESULTS: More
than half the patients satisfied the criteria for a depressive disorder. The
patients experienced a higher number of stressful life events than the control
group. The patients were further categorized as 'depressed' or 'non-depressed',
and their pain estimates compared by using the Smith's submaximum tourniquet
technique. The depressed patients had experienced a higher number of stressful
life events and higher pain estimates than the non-depressed patients.
CONCLUSION: The results are discussed in relation to mental illness, life events
and the experience of chronic pain.
PMID- 24921231
TI - Algorithm for the treatment of schizophrenia in Japan.
AB - Evidence-based psychopharmacological algorithms for the treatment of patients
with schizophrenia have been developed in many countries in the last decade.
While it would be of interest to consider a common algorithm based on
international consensus, algorithms and information on antipsychotics available
in each country are limited. Inspired by the algorithm generated by the
International Psychopharmacology Algorithm (IPA) Project, this algorithm for the
treatment of schizophrenia has been developed by the Japan Psychophamacology
Algorithm (JPA) Project. New antipsychotics, such as clozapine, olanzapine and
quetiapine, are excluded from this algorithm, being currently unavailable in
Japan. In the end there was no essential difference between the algorithms for
the treatment of acute schizophrenic episodes. However, combined use of
antipsychotics appears to be more common in Japan and the adjunctive use of L
DOPS or thyrotropin-releasing hormone is included in the JPA algorithm for the
treatment of drug-refractory schizophrenia.
PMID- 24921232
TI - Algorithm for the treatment of mood disorders in Japan.
AB - In order to establish algorithms for mood disorders in Japan, over 300
psychiatrists completed a questionnaire. They were asked to recommend various
drug treatments for particular cases. The order in which the drugs were
recommended was taken into consideration. References were made to the randomized
double blind study conducted by the working group. Evidence was ranked according
to its probability, with the addition of case reports and medical opinions, since
conclusions derived from the randomized double blind study alone did not give a
full representation. Japanese documentation was referred to as much as possible
in order to represent Japanese characteristics. Algorithms were made for eight
subtypes; acute mania, bipolar depression, mild or moderate, severe major
depression, psychotic depression, rapid cycling bipolar disorder, dysthymic
disorder and major depression with advanced cancer. Major depression and bipolar
disorder algorithms are discussed in this paper. Clinical psychopharmacological
evidence in Japan was insufficient to generate these algorithms for mood
disorders. These algorithms may need revision according to future advances in
clinical psychopharmacology. (Int J Psych Clin Pract 1999; 3: 277-285).
PMID- 24921233
TI - A sertraline/valproic acid drug interaction: Case Reports.
AB - Clinicians are frequently faced with the challenge of treating patients diagnosed
within the wide spectrum of bipolar depression. Because no one single mood
stabilizing agent can effectively treat depression, and antidepressant agents can
trigger mania, these classes are employed together to treat bipolar depression.
Because psychotropic medications are frequently mixed in treating a wide variety
of psychiatric conditions, clinicians must remain vigiliant for possible drug
interactions, which can cause unnecessary morbidity. A case is reported in which
a patient suffering from bipolar depression experienced a drug interaction
involving sertraline and valproic acid. To date there have been no reported cases
of drug interactions between these two psychotropic medications. (Int J Psych
Clin Pract 1999; 3: 287-288).
PMID- 24921234
TI - A case of reversal of treatment-resistant depression after almost 30 years of
symptoms: Case Reports.
AB - The introduction of antidepressants constituted a breakthrough in the treatment
of depression. Despite their success, a significant proportion of patients
respond partially or not at all, with detrimental effects for their life. The
identification of individual symptom patterns and the employment of new, more
specific, drugs targeting the symptoms in question may lead to increased response
rates, even in treatment resistant cases. We report a case of long standing
depression which responded to reboxetine, a novel noradrenaline re-uptake
inhibitor. (Int J Psych Clin Pract 1999; 3: 289-291).
PMID- 24921235
TI - A case study on the successful management of Cotard's syndrome in pregnancy: Case
Reports.
AB - Cotard's Syndrome is rare and no cases have been reported in pregnancy. We
describe a case of a woman in the third trimester who attempted suicide by
jumping out of a window, sustaining multiple fractures. Delivery of her baby by
Caesarean section and orthopaedic intervention was necessary before
electroconvulsive therapy (ECT) could be administered, resulting in a successful
outcome for both mother and child. (Int J Psych Clin Pract 1999; 3: 293-295).
PMID- 24921236
TI - Topics in contemporary psychiatric practice: Consent and ethics.
AB - Ethical issues in psychiatry are currently in a state of flux. As a profession
the field of psychiatry has to clarify the ethical basis of treatment and
research, by outlining the conceptual issues and empirical findings related to
the ethics of human experimentation. Psychiatrists must endeavour to anticipate
the ethical problems of the future and to respond conscientiously to the next
generation of ethical dilemmas, that will inevitably arise with advances in
science. Indeed, such considerations are already an aspect of genetic research.
The ethics embracing research in humans are broadly governed by a number of basic
principles: respect for people, beneficence, justice, and the ideal of informed
consent.
PMID- 24921238
TI - Involving decision-makers in the research process: Challenges of implementing the
accountability for reasonableness approach to priority setting at the district
level in Tanzania.
AB - The past two decades have seen a growing call for researchers, policy-makers and
health care providers to collaborate in efforts to bridge the gaps between
research, policy and practice. However, there has been a little attention focused
on documenting the challenges of dealing with decision-makers in the course of
implementing a research project. This paper highlights a collaborative research
project aiming to implement the accountability for reasonableness (AFR) approach
to priority setting in accordance with the Response to Accountable Priority
Setting for Trust in Health Systems (REACT) project in Tanzania. Specifically,
the paper examines the challenges of dealing with decision-makers during the
project-implementation process and shows how the researchers dealt with the
decision-makers to facilitate the implementation of the REACT project. Key
informant interviews were conducted with the Council Health Management Team
(CHMT), local government officials and other stakeholders, using a semi
structured interview guide. Minutes of the Action Research Team and CHMT were
analysed. Additionally, project-implementation reports were analysed and group
priority-setting processes in the district were observed. The findings show that
the characteristics of the REACT research project, the novelty of some aspects of
the AFR approach, such as publicity and appeals, the Action Research methodology
used to implement the project and the traditional cultural contexts within which
the project was implemented, created challenges for both researchers and decision
makers, which consequently slowed down the implementation of the REACT project.
While collaboration between researchers and decision-makers is important in
bridging gaps between research and practice, it is imperative to understand the
challenges of dealing with decision-makers in the course of implementing a
collaborative research project. Such analyses are crucial in designing proper
strategies for improved communication and for the utilisation of research
projects over time.
PMID- 24921239
TI - Plasma and platelet clusterin ratio is altered in Alzheimer's disease patients
with distinct neuropsychiatric symptoms: findings from a pilot study.
AB - BACKGROUND: Clusterin protein in plasma has been found to differentiate between
people with and without cognitive changes. However, these findings are not
conclusive, despite the clusterin gene variations repeatedly being linked to
increased risk for dementia, in particular Alzheimer's disease (AD). METHOD: We
analysed the level of clusterin in platelet and plasma in 25 subjects with a
clinical diagnosis of AD and 26 subjects with no cognitive impairment. RESULTS:
In the current study, we report that the levels of both plasma and platelet
clusterin are similar between AD and cognitively intact individuals. Clusterin
plasma and platelet levels, as well as the plasma/platelet clusterin ratio, were
not affected by age, gender, cognitive impairment and/or overt behavioural
symptomatology, including presence of hallucinations and delusions, as well as
depression. However, the plasma/platelet clusterin ratio was positively
associated in with the Neuropsychiatric Inventory measures of agitation, apathy,
irritability and motor aberrant behaviour in AD subjects. CONCLUSION: Previous
inconsistencies in reported blood clusterin levels may be a result of underlying
non-cognitive symptoms in people with AD. Our findings need now to be replicated
in larger group of dementia subjects.
PMID- 24921240
TI - Warts (non-genital).
AB - INTRODUCTION: Warts are caused by the human papillomavirus (HPV), of which there
are over 100 types. HPV probably infects the skin via areas of minimal trauma.
Risk factors include use of communal showers, occupational handling of meat, and
immunosuppression. In immunocompetent people, warts are harmless and resolve as a
result of natural immunity within months or years. METHODS AND OUTCOMES: We
conducted a systematic review and aimed to answer the following clinical
question: What are the effects of treatments for warts (non-genital)? We
searched: Medline, Embase, The Cochrane Library, and other important databases up
to October 2013 (Clinical Evidence reviews are updated periodically; please check
our website for the most up-to-date version of this review). We included harms
alerts from relevant organisations such as the US Food and Drug Administration
(FDA) and the UK Medicines and Healthcare products Regulatory Agency (MHRA).
RESULTS: We found 17 studies that met our inclusion criteria. We performed a
GRADE evaluation of the quality of evidence for interventions. CONCLUSIONS: In
this systematic, review we present information relating to the effectiveness and
safety of the following interventions: intralesional bleomycin; intralesional
candida antigen; contact immunotherapy; cryotherapy; duct tape occlusion;
photodynamic treatment; pulsed dye laser; surgical procedures; and topical
salicylic acid.
PMID- 24921241
TI - A new role for Rab GTPases during early mitotic stages.
AB - A recent study revealed new roles for the Rab11 GTPase during mitosis. Rab11 is
involved in recycling endosome localization to mitotic spindle poles via dynein
mediated transport. This process is in contrast to Golgi membranes, which
disperse in mitosis and do not appear to directly contribute to mitotic
functions. Rab11-depletion prevents recycling endosome organization at spindle
poles, delays mitotic progression, and disrupts spindle pole protein recruitment,
astral microtubule organization, and mitotic spindle orientation. However, Rab11
is not the only endocytic and/or trafficking protein that regulates mitotic
progression. Clathrin and two small GTPases (Rab6A', Rab5) play key roles in
spindle organization and function. In this commentary, we discuss the roles of
all these canonical endocytic and membrane trafficking proteins during mitosis
and speculate on possible cross-communication between them and their molecular
pathways that ensure faithful progression through mitosis.
PMID- 24921244
TI - Social sciences research on infectious diseases of poverty: too little and too
late?
PMID- 24921243
TI - Explaining the host-finding behavior of blood-sucking insects: computerized
simulation of the effects of habitat geometry on tsetse fly movement.
AB - BACKGROUND: Male and female tsetse flies feed exclusively on vertebrate blood.
While doing so they can transmit the diseases of sleeping sickness in humans and
nagana in domestic stock. Knowledge of the host-orientated behavior of tsetse is
important in designing bait methods of sampling and controlling the flies, and in
understanding the epidemiology of the diseases. For this we must explain several
puzzling distinctions in the behavior of the different sexes and species of
tsetse. For example, why is it that the species occupying savannahs, unlike those
of riverine habitats, appear strongly responsive to odor, rely mainly on large
hosts, are repelled by humans, and are often shy of alighting on baits?
METHODOLOGY/PRINCIPAL FINDINGS: A deterministic model that simulated fly mobility
and host-finding success suggested that the behavioral distinctions between
riverine, savannah and forest tsetse are due largely to habitat size and shape,
and the extent to which dense bushes limit occupiable space within the habitats.
These factors seemed effective primarily because they affect the daily
displacement of tsetse, reducing it by up to ~70%. Sex differences in behavior
are explicable by females being larger and more mobile than males.
CONCLUSION/SIGNIFICANCE: Habitat geometry and fly size provide a framework that
can unify much of the behavior of all sexes and species of tsetse everywhere. The
general expectation is that relatively immobile insects in restricted habitats
tend to be less responsive to host odors and more catholic in their diet. This
has profound implications for the optimization of bait technology for tsetse,
mosquitoes, black flies and tabanids, and for the epidemiology of the diseases
they transmit.
PMID- 24921242
TI - Cellular microRNAs and picornaviral infections.
AB - microRNAs (miRNAs) are a subtype of short, endogenous, and non-coding RNAs, which
post-transcriptionally regulate gene expression. The miRNA-mediated gene
silencing mechanism is involved in a wide spectrum of biological processes, such
as cellular proliferation, differentiation, and immune responses. Picornaviridae
is a large family of RNA viruses, which includes a number of causative agents of
many human and animal diseases viz., poliovirus, foot-and-mouth disease virus
(FMDV), and coxsackievirus B3 (CVB3). Accumulated evidences have demonstrated
that replication of picornaviruses can be regulated by miRNAs and picornaviral
infections can alter the expression of cellular miRNAs. Herein, we outline the
intricate interactions between miRNAs and picornaviral infections.
PMID- 24921245
TI - Sorting signal targeting mRNA into hepatic extracellular vesicles.
AB - Intercellular communication mediated by extracellular vesicles has proved to play
an important role in normal and pathological scenarios. However not too much
information about the sorting mechanisms involved in loading the vesicles is
available. Recently, our group has characterized the mRNA content of vesicles
released by hepatic cellular systems, showing that a set of transcripts was
particularly enriched in the vesicles in comparison with their intracellular
abundance. In the current work, based on in silico bioinformatics tools, we have
mapped a novel sequence of 12 nucleotides C[TA]G[GC][AGT]G[CT]C[AT]GG[GA], which
is significantly enriched in the set of mRNAs that accumulate in extracellular
vesicles. By including a 3'-UTR containing this sequence in a luciferase mRNA
reporter, we have shown that in a hepatic cellular system this reporter mRNA was
incorporated into extracellular vesicles. This study identifies a sorting signal
in mRNAs that is involved in their enrichment in EVs, within a hepatic non
tumoral cellular model.
PMID- 24921246
TI - Mapping B-cell epitopes for the peroxidoxin of Leishmania (Viannia) braziliensis
and its potential for the clinical diagnosis of tegumentary and visceral
leishmaniasis.
AB - The search toward the establishment of novel serological tests for the diagnosis
of leishmaniasis and proper differential diagnosis may represent one alternative
to the invasive parasitological methods currently used to identify infected
individuals. In the present work, we investigated the potential use of
recombinant peroxidoxin (rPeroxidoxin) of Leishmania (Viannia) braziliensis as a
potential antigen for the immunodiagnosis of human tegumentary (TL) and visceral
leishmaniasis (VL) and canine visceral leishmaniasis (CVL). Linear B-cell epitope
mapping was performed to identify polymorphic epitopes when comparing orthologous
sequences present in Trypanosoma cruzi, the agent for Chagas disease (CD), and
the Homo sapiens and Canis familiaris hosts. The serological assay (ELISA)
demonstrated that TL, VL and CVL individuals showed high levels of antibodies
against rPeroxidoxin, allowing identification of infected ones with considerable
sensitivity and great ability to discriminate (specificity) between non-infected
and CD individuals (98.46% and 100%; 98.18% and 95.71%; 95.79% and 100%,
respectively). An rPeroxidoxin ELISA also showed a greater ability to
discriminate between vaccinated and infected animals, which is an important
requirement for the public campaign control of CVL. A depletion ELISA assay using
soluble peptides of this B-cell epitope confirmed the recognition of these sites
only by Leishmania-infected individuals. Moreover, this work identifies two
antigenic polymorphic linear B-cell epitopes of L. braziliensis. Specific
recognition of TL and VL patients was confirmed by significantly decreased IgG
reactivity against rPeroxidoxin after depletion of peptide-1- and peptide-2
specific antibodies (peptide 1: reduced by 32%, 42% and 5% for CL, ML and VL,
respectively; peptide-2: reduced by 24%, 22% and 13% for CL, ML and VL,
respectively) and only peptide-2 for CVL (reduced 9%). Overall, rPeroxidoxin may
be a potential antigen for the immunodiagnosis of TL, VL or CVL, as it has a
higher agreement with parasitological assays and is better than other reference
tests that use soluble Leishmania antigens for diagnosing CVL in Brazil (EIE-LVC,
Bio-manguinhos, FIOCRUZ).
PMID- 24921247
TI - Sensitive simultaneous detection of seven sexually transmitted agents in semen by
multiplex-PCR and of HPV by single PCR.
AB - Sexually transmitted diseases (STDs) may impair sperm parameters and functions
thereby promoting male infertility. To date limited molecular studies were
conducted to evaluate the frequency and type of such infections in semen Thus, we
aimed at conceiving and validating a multiplex PCR (M-PCR) assay for the
simultaneous detection of the following STD pathogens in semen: Chlamydia
trachomatis, Neisseria gonorrhoeae, Mycoplasma genitalium, Trichomonas vaginalis,
Herpes virus simplex (HSV) -1 and -2, and Treponema pallidum; We also
investigated the potential usefulness of this M-PCR assay in screening programs
for semen pathogens. In addition, we aimed: to detect human Papillomavirus (HPV)
and genotypes by single PCR (sPCR) in the same semen samples; to determine the
prevalence of the seven STDs, HPV and co-infections; to assess the possibility
that these infections affect semen parameters and thus fertility. The overall
validation parameters of M-PCR were extremely high including agreement (99.2%),
sensitivity (100.00%), specificity (99.70%), positive (96.40%) and negative
predictive values (100.00%) and accuracy (99.80%). The prevalence of STDs was
very high (55.3%). Furthermore, associations were observed between STDs and
changes in semen parameters, highlighting the importance of STD detection in
semen. Thus, this M-PCR assay has great potential for application in semen
screening programs for pathogens in infertility and STD clinics and in sperm
banks.
PMID- 24921248
TI - MicroRNA profiles discriminate among colon cancer metastasis.
AB - MicroRNAs are being exploited for diagnosis, prognosis and monitoring of cancer
and other diseases. Their high tissue specificity and critical role in
oncogenesis provide new biomarkers for the diagnosis and classification of cancer
as well as predicting patients' outcomes. MicroRNAs signatures have been
identified for many human tumors, including colorectal cancer (CRC). In most
cases, metastatic disease is difficult to predict and to prevent with adequate
therapies. The aim of our study was to identify a microRNA signature for
metastatic CRC that could predict and differentiate metastatic target organ
localization. Normal and cancer tissues of three different groups of CRC patients
were analyzed. RNA microarray and TaqMan Array analysis were performed on 66
Italian patients with or without lymph nodes and/or liver recurrences. Data
obtained with the two assays were analyzed separately and then intersected to
identify a primary CRC metastatic signature. Five differentially expressed
microRNAs (hsa-miR-21, -103, -93, -31 and -566) were validated by qRT-PCR on a
second group of 16 American metastatic patients. In situ hybridization was
performed on the 16 American patients as well as on three distinct commercial
tissues microarray (TMA) containing normal adjacent colon, the primary
adenocarcinoma, normal and metastatic lymph nodes and liver. Hsa-miRNA-21, -93,
and -103 upregulation together with hsa-miR-566 downregulation defined the CRC
metastatic signature, while in situ hybridization data identified a lymphonodal
invasion profile. We provided the first microRNAs signature that could
discriminate between colorectal recurrences to lymph nodes and liver and between
colorectal liver metastasis and primary hepatic tumor.
PMID- 24921249
TI - A unifying mechanistic model of selective attention in spiking neurons.
AB - Visuospatial attention produces myriad effects on the activity and selectivity of
cortical neurons. Spiking neuron models capable of reproducing a wide variety of
these effects remain elusive. We present a model called the Attentional Routing
Circuit (ARC) that provides a mechanistic description of selective attentional
processing in cortex. The model is described mathematically and implemented at
the level of individual spiking neurons, with the computations for performing
selective attentional processing being mapped to specific neuron types and
laminar circuitry. The model is used to simulate three studies of attention in
macaque, and is shown to quantitatively match several observed forms of
attentional modulation. Specifically, ARC demonstrates that with shifts of
spatial attention, neurons may exhibit shifting and shrinking of receptive
fields; increases in responses without changes in selectivity for non-spatial
features (i.e. response gain), and; that the effect on contrast-response
functions is better explained as a response-gain effect than as contrast-gain.
Unlike past models, ARC embodies a single mechanism that unifies the above forms
of attentional modulation, is consistent with a wide array of available data, and
makes several specific and quantifiable predictions.
PMID- 24921251
TI - In vitro biocompatibility and endothelialization of novel magnesium-rare Earth
alloys for improved stent applications.
AB - Magnesium (Mg) based alloys are the most advanced cardiovascular stent materials.
This new generation of stent scaffold is currently under clinical evaluation with
encouraging outcomes. All these Mg alloys contain a certain amount of rare earth
(RE) elements though the exact composition is not yet disclosed. RE alloying can
usually enhance the mechanical strength of different metal alloys but their
toxicity might be an issue for medical applications. It is still unclear how RE
elements will affect the magnesium (Mg) alloys intended for stent materials as a
whole. In this study, we evaluated MgZnCaY-1RE, MgZnCaY-2RE, MgYZr-1RE, and
MgZnYZr-1RE alloys for cardiovascular stents applications regarding their
mechanical strength, corrosion resistance, hemolysis, platelet
adhesion/activation, and endothelial biocompatibility. The mechanical properties
of all alloys were significantly improved. Potentiodynamic polarization showed
that the corrosion resistance of four alloys was at least 3-10 times higher than
that of pure Mg control. Hemolysis test revealed that all the materials were non
hemolytic while little to moderate platelet adhesion was found on all materials
surface. No significant cytotoxicity was observed in human aorta endothelial
cells cultured with magnesium alloy extract solution for up to seven days. Direct
endothelialization test showed that all the alloys possess significantly better
capability to sustain endothelial cell attachment and growth. The results
demonstrated the promising potential of these alloys for stent material
applications in the future.
PMID- 24921250
TI - Early back-to-Africa migration into the Horn of Africa.
AB - Genetic studies have identified substantial non-African admixture in the Horn of
Africa (HOA). In the most recent genomic studies, this non-African ancestry has
been attributed to admixture with Middle Eastern populations during the last few
thousand years. However, mitochondrial and Y chromosome data are suggestive of
earlier episodes of admixture. To investigate this further, we generated new
genome-wide SNP data for a Yemeni population sample and merged these new data
with published genome-wide genetic data from the HOA and a broad selection of
surrounding populations. We used multidimensional scaling and ADMIXTURE methods
in an exploratory data analysis to develop hypotheses on admixture and population
structure in HOA populations. These analyses suggested that there might be
distinct, differentiated African and non-African ancestries in the HOA. After
partitioning the SNP data into African and non-African origin chromosome
segments, we found support for a distinct African (Ethiopic) ancestry and a
distinct non-African (Ethio-Somali) ancestry in HOA populations. The African
Ethiopic ancestry is tightly restricted to HOA populations and likely represents
an autochthonous HOA population. The non-African ancestry in the HOA, which is
primarily attributed to a novel Ethio-Somali inferred ancestry component, is
significantly differentiated from all neighboring non-African ancestries in North
Africa, the Levant, and Arabia. The Ethio-Somali ancestry is found in all admixed
HOA ethnic groups, shows little inter-individual variance within these ethnic
groups, is estimated to have diverged from all other non-African ancestries by at
least 23 ka, and does not carry the unique Arabian lactase persistence allele
that arose about 4 ka. Taking into account published mitochondrial, Y chromosome,
paleoclimate, and archaeological data, we find that the time of the Ethio-Somali
back-to-Africa migration is most likely pre-agricultural.
PMID- 24921252
TI - Daily rhythmicity of clock gene transcripts in atlantic cod fast skeletal muscle.
AB - The classical notion of a centralized clock that governs circadian rhythmicity
has been challenged with the discovery of peripheral oscillators that enable
organisms to cope with daily changes in their environment. The present study
aimed to identify the molecular clock components in Atlantic cod (Gadus morhua)
and to investigate their daily gene expression in fast skeletal muscle. Atlantic
cod clock genes were closely related to their orthologs in teleosts and
tetrapods. Synteny was conserved to varying degrees in the majority of the 18
clock genes examined. In particular, aryl hydrocarbon receptor nuclear
translocator-like 2 (arntl2), RAR-related orphan receptor A (rora) and timeless
(tim) displayed high degrees of conservation. Expression profiling during the
early ontogenesis revealed that some transcripts were maternally transferred,
namely arntl2, cryptochrome 1b and 2 (cry1b and cry2), and period 2a and 2b
(per2a and per2b). Most clock genes were ubiquitously expressed in various
tissues, suggesting the possible existence of multiple peripheral clock systems
in Atlantic cod. In particular, they were all detected in fast skeletal muscle,
with the exception of neuronal PAS (Per-Arnt-Single-minded) domain-containing
protein (npas1) and rora. Rhythmicity analysis revealed 8 clock genes with daily
rhythmic expression, namely arntl2, circadian locomotor output cycles kaput
(clock), npas2, cry2, cry3 per2a, nuclear receptor subfamily 1, group D, member 1
(nr1d1), and nr1d2a. Transcript levels of the myogenic genes myogenic factor 5
(myf5) and muscleblind-like 1 (mbnl1) strongly correlated with clock gene
expression. This is the first study to unravel the molecular components of
peripheral clocks in Atlantic cod. Taken together, our data suggest that the
putative clock system in fast skeletal muscle of Atlantic cod has regulatory
implications on muscle physiology, particularly in the expression of genes
related to myogenesis.
PMID- 24921253
TI - Exploring the relationship between access to water, sanitation and hygiene and
soil-transmitted helminth infection: a demonstration of two recursive
partitioning tools.
AB - BACKGROUND: Soil-transmitted helminths (STH) - a class of parasites that affect
billions of people - can be mitigated using mass drug administration, though
reinfection following treatment occurs within a few months. Improvements to
water, sanitation and hygiene (WASH) likely provide sustained benefit, but few
rigorous studies have evaluated the specific WASH components most influential in
reducing infection. There is a need for alternative analytic approaches to help
identify, characterize and further refine the WASH components that are most
important to STH reinfection. Traditional epidemiological approaches are not well
suited for assessing the complex and highly correlated relationships commonly
seen in WASH. METHODOLOGY: We introduce two recursive partitioning approaches:
classification and regression trees (C&RT) and conditional inference trees (CIT),
which can be used to identify complex interactions between WASH indicators and
identify sub-populations that may be susceptible to STH reinfection. We
illustrate the advantages and disadvantages of these approaches utilizing school-
and household-level WASH indicators gathered as part of a school-based randomized
control trial in Kenya that measured STH reinfection of pupils 10 months
following deworming treatment. PRINCIPAL FINDINGS: C&RT and CIT analyses resulted
in strikingly different decision trees. C&RT may be the preferred approach if
interest lies in using WASH indicators to classify individuals or communities as
STH infected or uninfected, whereas CIT is most appropriate for identifying WASH
indicators that may be causally associated with STH infection. Both tools are
well-suited for identifying complex interactions among WASH indicators.
CONCLUSIONS/SIGNIFICANCE: C&RT and CIT are two analytic approaches that may offer
valuable insight regarding the identification, selection and refinement of WASH
indicators and their interactions with regards to STH control programs; however,
they represent solutions to two distinct research questions and careful
consideration should be made before deciding which approach is most appropriate.
PMID- 24921254
TI - Strategy switching in the stabilization of unstable dynamics.
AB - In order to understand mechanisms of strategy switching in the stabilization of
unstable dynamics, this work investigates how human subjects learn to become
skilled users of an underactuated bimanual tool in an unstable environment. The
tool, which consists of a mass and two hand-held non-linear springs, is affected
by a saddle-like force-field. The non-linearity of the springs allows the users
to determine size and orientation of the tool stiffness ellipse, by using
different patterns of bimanual coordination: minimal stiffness occurs when the
two spring terminals are aligned and stiffness size grows by stretching them
apart. Tool parameters were set such that minimal stiffness is insufficient to
provide stable equilibrium whereas asymptotic stability can be achieved with
sufficient stretching, although at the expense of greater effort. As a
consequence, tool users have two possible strategies for stabilizing the mass in
different regions of the workspace: 1) high stiffness feedforward strategy,
aiming at asymptotic stability and 2) low stiffness positional feedback strategy
aiming at bounded stability. The tool was simulated by a bimanual haptic robot
with direct torque control of the motors. In a previous study we analyzed the
behavior of naive users and we found that they spontaneously clustered into two
groups of approximately equal size. In this study we trained subjects to become
expert users of both strategies in a discrete reaching task. Then we tested
generalization capabilities and mechanism of strategy-switching by means of
stabilization tasks which consist of tracking moving targets in the workspace.
The uniqueness of the experimental setup is that it addresses the general problem
of strategy-switching in an unstable environment, suggesting that complex
behaviors cannot be explained in terms of a global optimization criterion but
rather require the ability to switch between different sub-optimal mechanisms.
PMID- 24921255
TI - A new online computational biology curriculum.
AB - A recent proliferation of Massive Open Online Courses (MOOCs) and other web-based
educational resources has greatly increased the potential for effective self
study in many fields. This article introduces a catalog of several hundred free
video courses of potential interest to those wishing to expand their knowledge of
bioinformatics and computational biology. The courses are organized into eleven
subject areas modeled on university departments and are accompanied by commentary
and career advice.
PMID- 24921256
TI - Investigation of pathogenic genes in peri-implantitis from implant clustering
failure patients: a whole-exome sequencing pilot study.
AB - Peri-implantitis is a frequently occurring gum disease linked to multi-factorial
traits with various environmental and genetic causalities and no known concrete
pathogenesis. The varying severity of peri-implantitis among patients with
relatively similar environments suggests a genetic aspect which needs to be
investigated to understand and regulate the pathogenesis of the disease. Six
unrelated individuals with multiple clusterization implant failure due to severe
peri-implantitis were chosen for this study. These six individuals had relatively
healthy lifestyles, with minimal environmental causalities affecting peri
implantitis. Research was undertaken to investigate pathogenic genes in peri
implantitis albeit with a small number of subjects and incomplete elimination of
environmental causalities. Whole-exome sequencing was performed on collected
saliva samples via self DNA collection kit. Common variants with minor allele
frequencies (MAF) > = 0.05 from all control datasets were eliminated and variants
having high and moderate impact and loss of function were used for comparison.
Gene set enrichment analysis was performed to reveal functional groups associated
with the genetic variants. 2,022 genes were left after filtering against dbSNP,
the 1000 Genomes East Asian population, and healthy Korean randomized subsample
data (GSK project). 175 (p-value <0.05) out of 927 gene sets were obtained via
GSEA (DAVID). The top 10 was chosen (p-value <0.05) from cluster enrichment
showing significance of cytoskeleton, cell adhesion, and metal ion binding.
Network analysis was applied to find relationships between functional clusters.
Among the functional groups, ion metal binding was located in the center of all
clusters, indicating dysfunction of regulation in metal ion concentration might
affect cell morphology or cell adhesion, resulting in implant failure. This
result may demonstrate the feasibility of and provide pilot data for a larger
research project aimed at discovering biomarkers for early diagnosis of peri
implantitis.
PMID- 24921257
TI - CellFIT: a cellular force-inference toolkit using curvilinear cell boundaries.
AB - Mechanical forces play a key role in a wide range of biological processes, from
embryogenesis to cancer metastasis, and there is considerable interest in the
intuitive question, "Can cellular forces be inferred from cell shapes?" Although
several groups have posited affirmative answers to this stimulating question,
nagging issues remained regarding equation structure, solution uniqueness and
noise sensitivity. Here we show that the mechanical and mathematical factors
behind these issues can be resolved by using curved cell edges rather than
straight ones. We present a new package of force-inference equations and
assessment tools and denote this new package CellFIT, the Cellular Force
Inference Toolkit. In this approach, cells in an image are segmented and
equilibrium equations are constructed for each triple junction based solely on
edge tensions and the limiting angles at which edges approach each junction. The
resulting system of tension equations is generally overdetermined. As a result,
solutions can be obtained even when a modest number of edges need to be removed
from the analysis due to short length, poor definition, image clarity or other
factors. Solving these equations yields a set of relative edge tensions whose
scaling must be determined from data external to the image. In cases where
intracellular pressures are also of interest, Laplace equations are constructed
to relate the edge tensions, curvatures and cellular pressure differences. That
system is also generally overdetermined and its solution yields a set of
pressures whose offset requires reference to the surrounding medium, an open
wound, or information external to the image. We show that condition numbers,
residual analyses and standard errors can provide confidence information about
the inferred forces and pressures. Application of CellFIT to several live and
fixed biological tissues reveals considerable force variability within a cell
population, significant differences between populations and elevated tensions
along heterotypic boundaries.
PMID- 24921258
TI - Monocarboxylate transporters as targets and mediators in cancer therapy response.
AB - Monocarboxylate transporters (MCTs) belong to a family of transporters, encoded
by the SLC16 gene family, which is presently composed by 14 members, but only
MCT1 to 4 have been biochemically characterized. They have important functions in
healthy tissues, being involved in the transmembrane transport of lactic acid and
other monocarboxylic acids in human cells. One of the recently recognized
hallmarks of cancer is altered metabolism, with high rates of glucose consumption
and consequent lactate production. To maintain this metabolic phenotype, cancer
cells upregulate a series of plasma membrane proteins, including MCTs. MCT1 and
MCT4, in particular, play a dual role in the maintenance of the metabolic
phenotype of tumour cells. On one hand, they facilitate the efflux of lactate
and, on the other hand, they contribute to the preservation of the intracellular
pH, by co-transporting a proton. Thus, MCTs are attractive targets in cancer
therapy, especially in cancers with a hyper-glycolytic and acid-resistant
phenotype. Recent evidence demonstrates that MCTs are involved in cancer cell
uptake of chemotherapeutic agents, including 3-bromopyruvate. In this way MCTs
can act as "Trojan horses", as their elevated expression in cancer cells can
mediate the entry of this chemotherapeutic agent into the cells and selectively
kill cancer cells. As a result, MCTs will be mediators of chemotherapeutic
response, and their expression can be used as a molecular marker to predict
response to chemotherapy.
PMID- 24921260
TI - Suppression of electron leakage by inserting a thin undoped InGaN layer prior to
electron blocking layer in InGaN-based blue-violet laser diodes.
AB - InGaN-based blue-violet laser diodes (LDs) suffer from electron leakage into the
p-type regions, which could be only partially alleviated by employing the
electron blocking layer (EBL). Here, a thin undoped InGaN interlayer prior to EBL
is proposed to create an additional forbidden energy range above the natural
conduction band edge, which further suppresses the electron leakage and thus
improve the characteristics of LDs. Numerical device simulations reveal that when
the proper composition and thickness of InGaN interlayer are chosen, the electron
leakage could be efficiently eliminated without inducing any severe accumulation
of electrons at the interlayer, resulting in a maximum output power of the
device.
PMID- 24921259
TI - Renal function in Ghanaian HIV-infected patients on highly active antiretroviral
therapy: a case-control study.
AB - BACKGROUND: HAART is anticipated to result in an increase in long-term survival,
but may present with the development of associated complications. The aim of this
study was to assess the renal function of HIV-infected patients on antiretroviral
therapy. METHODS: A case-control study (January to May 2013) conducted at the
Suntreso Government Hospital, Kumasi, Ghana. A total of 163 HIV-infected patients
(mean age 39.9+/-10.22) were studied, of which 111 were on HAART (HIV-HAART) and
52 were not (HIV-Controls). Serum urea, creatinine, potassium, sodium, chloride
and CD4 counts were measured with the determination of eGFR (CKD-EPI and MDRD).
Data was analysed using GraphPad Prism. The Chi-square, t-test, one-way ANOVA and
Spearman's correlation were used. P values <0.05 were considered significant.
RESULTS: Mean CD4 count of HIV-Controls was higher than that of HIV-HAART but was
not significant (p = 0.304). But for sodium levels which were higher in HIV
Controls (p = 0.0284), urea (p = 0.1209), creatinine (p = 0.7155), potassium (p =
0.454) and chloride (p = 0.6282) levels did not differ significantly between both
groups. All serum biochemical parameters did not differ significantly,
irrespective of duration on therapy and CD4 counts. Based on regimen, sodium,
chloride, urea and creatinine did not differ significantly between TDF, EVF and
NVP-based therapies. Prevalence of CKD (eGFR <60 ml/min/1.73 m2) in the total
population was 9.9% and 3.7% with the MDRD and EPI-CKD equations respectively.
CONCLUSIONS: Renal insufficiency remains prevalent in HIV patients. Changes in
renal function occur in HIV infection and over the course of HAART but the
difference at either stage is not significant. This suggests the role of HIV
infection, HAART and the presence of traditional risk factors but not HAART in
itself, in renal dysfunction. We however recommend a close monitoring of patients
before and during HAART, to aid in evaluating drug combinations and implement
dose modifications when necessary.
PMID- 24921261
TI - Multi-spectral plasmon induced transparency via in-plane dipole and dual
quadrupole coupling.
AB - We experimentally demonstrated an approach based on dipole and dual-quadrupole
coupling to construct a planar metamaterial supporting multi-spectral plasmon
induced transparency. The structure consists of two short silver wires (dipole)
and two long silver wires (dual-quadrupole). The in-plane coupling between the
dipole and the dual-quadrupole leads to two transmission windows even in the
absorbance linewidth of the dipole. This phenomenon is well described and
understood by numerical analyses and a classical oscillator model.
PMID- 24921262
TI - Recovery of wavefront from multi-shear interferograms with different tilts.
AB - An improved multi-shear algorithm is proposed to reconstruct a two-dimensional
wavefront from multiple phase differences measured by lateral shearing
interferograms with different tilts. The effects of the tilt errors in the
wavefront are analyzed and a compensation method is developed. Unbiased
estimators are added to Fourier coefficients of the phase differences to
eliminate the tilt errors adaptively. The algorithm is immune to the tilt errors
and the wavefront under test can be recovered exactly. Computer simulation and
optical test demonstrated that the proposed algorithm has higher recovery
accuracy than the existing multi-shear algorithms.
PMID- 24921263
TI - Tunable and switchable multi-wavelength dissipative soliton generation in a
graphene oxide mode-locked Yb-doped fiber laser.
AB - We report the generation of tunable single-, switchable and tunable dual-, and
stable triple-wavelength dissipative solitons (DSs) in an all-normal-dispersion
mode-locked Yb-doped fiber laser based on a graphene-oxide saturable absorber
(GOSA) without additional components (such as optical filter, or fiber grating).
The tunable single-wavelength DS have a wide wavelength-tunable range of 16.4 nm.
The dual-wavelength DSs not only have a wavelength-tunable range (about 10 nm)
but also have variable wavelength spacing (3.8-13.8 nm). The formation dynamics
of the triple-wavelength DSs was also investigated experimentally. The different
operations of tunable single-, switchable and tunable dual-, and stable triple
wavelength DSs depend on the strength of the cavity birefringence. The simple,
compact all-fiber DS laser with lasing wavelength tunability and flexibility can
meet great potential for applications.
PMID- 24921264
TI - An electrically tunable imaging system with separable focus and zoom functions
using composite liquid crystal lenses.
AB - We demonstrated an electrically tunable optical image system with separable focus
function and zoom function based on three tunable focusing composite liquid
crystal (LC) lenses. One LC lens in charge of the focus function helps to
maintain the formed image at the same position and the other two LC lenses in
charge of zoom function assist to continuously form an image at image sensor with
tunable magnification of image size. The detail optical mechanism is investigated
and the concept is demonstrated experimentally. The magnifications of the images
can be switched continuously for the target in a range between 10 cm and 100 cm.
The optical zoom ratio of this system maintains a constant~6.5:1 independent of
the object distance. This study provides not only a guideline to design the image
system with an electrically optical zoom, but also provide an experimental
process to show how to operate the tunable focusing lenses in such an image
system.
PMID- 24921265
TI - Surface plasmon enhanced photoluminescence of ZnO nanorods by capping reduced
graphene oxide sheets.
AB - A hybrid structure of reduced graphene oxide (rGO) sheets/ZnO nanorods was
prepared and its photoluminescence intensity ratio between the UV and defect
emission was enhanced up to 14 times. By controlling the reduction degree of rGO
on the surface of ZnO nanorods, the UV emission was tuned with the introduction
of localized surface plasmons resonance of rGO sheets. The suppression of the
defect emission was ascribed to the charge transfer and decreased with the
distance between the rGO and ZnO nanorods.
PMID- 24921266
TI - A 1.33 um picosecond pulse generator based on semiconductor disk mode-locked
laser and bismuth fiber amplifier.
AB - We demonstrate that a combination of ultrafast wafer bonded semiconductor disk
laser and a bismuth-doped fiber amplifier provides an attractive design for high
power 1.33 um tandem hybrid systems. Over 0.5 W of average output power was
achieved at a repetition rate of 827 MHz that corresponds to a pulse energy of
0.62 nJ.
PMID- 24921267
TI - 4 * 160-Gbit/s multi-channel regeneration in a single fiber.
AB - Simultaneous regeneration of four high-speed (160 Gbit/s) wavelength-division
multiplexed (WDM) and polarization-division multiplexed (PDM) signals in a single
highly nonlinear fiber (HNLF) is demonstrated. The regeneration operation is
based on four-wave mixing in HNLF, where the degraded data signals are applied as
the pump. As a result, the noise on both '0' and '1' levels can be suppressed
simultaneously in our scheme. The stimulated Brillouin scattering (SBS) from the
continuous wave (CW) is suppressed by cross-phase modulation (XPM) from the data
pump, relieving the requirement of external phase modulation of the CW light.
Mitigation of the inter-channel nonlinearities is achieved mainly through an
inter-channel 0.5 bit slot time delay. Bidirectional propagation is also applied
to relieve the inter-channel four-wave mixing. The multi-channel regeneration
performance is validated by bit-error rate (BER) measurements. The receiver
powers at the BER of 10(-9) are improved by 1.9 dB, 1.8 dB, 1.6 dB and 1.5 dB for
the four data channels, respectively.
PMID- 24921268
TI - In vivo analysis of THz wave irradiation induced acute inflammatory response in
skin by laser-scanning confocal microscopy.
AB - The recent development of THz sources in a wide range of THz frequencies and
power levels has led to greatly increased interest in potential biomedical
applications such as cancer and burn wound diagnosis. However, despite its
importance in realizing THz wave based applications, our knowledge of how THz
wave irradiation can affect a live tissue at the cellular level is very limited.
In this study, an acute inflammatory response caused by pulsed THz wave
irradiation on the skin of a live mouse was analyzed at the cellular level using
intravital laser-scanning confocal microscopy. Pulsed THz wave (2.7 THz, 4 MUs
pulsewidth, 61.4 MUJ per pulse, 3Hz repetition), generated using compact FEL, was
used to irradiate an anesthetized mouse's ear skin with an average power of 260
mW/cm(2) for 30 minutes using a high-precision focused THz wave irradiation
setup. In contrast to in vitro analysis using cultured cells at similar power
levels of CW THz wave irradiation, no temperature change at the surface of the
ear skin was observed when skin was examined with an IR camera. To monitor any
potential inflammatory response, resident neutrophils in the same area of ear
skin were repeatedly visualized before and after THz wave irradiation using a
custom-built laser-scanning confocal microscopy system optimized for in vivo
visualization. While non-irradiated control skin area showed no changes in the
number of resident neutrophils, a massive recruitment of newly infiltrated
neutrophils was observed in the THz wave irradiated skin area after 6 hours,
which suggests an induction of acute inflammatory response by the pulsed THz wave
irradiation on the skin via a non-thermal process.
PMID- 24921269
TI - Yb-fiber-laser-pumped, high-repetition-rate picosecond optical parametric
oscillator tunable in the ultraviolet.
AB - We report a compact tunable 240-MHz picosecond source for the ultraviolet based
on intra-cavity frequency doubling of a signal-resonant MgO:sPPLT optical
parametric oscillator (OPO), synchronously pumped at 532 nm in the green by the
second harmonic of a mode-locked Yb-fiber laser at 80-MHz repetition rate. By
deploying a 30-mm-long multi-grating MgO:sPPLT crystal for the OPO and a 5-mm
long BiB(3)O(6) crystal for internal doubling, we have generated tunable UV
radiation across 317-340.5 nm, with up to 30 mW at 334.5 nm. The OPO also
provides tunable visible signal in the red, across 634-681 nm, and mid-infrared
idler radiation over 2429-3298 nm, with as maximum signal power of 800 mW at 642
nm. The signal pulses have a temporal duration of 12 ps at 665 nm and exhibit
high spatial beam quality with Gaussian profile. The signal power is recorded to
be naturally stable with a fluctuation of 1.4% rms over 14 hours, while UV power
degradation has been observed and studied.
PMID- 24921270
TI - Mode add/drop multiplexers of LP02 and LP03 modes with two parallel combinative
long-period fiber gratings.
AB - Two parallel combinative long-period fiber gratings (LPFGs) can convert the
fundamental core mode LP(01) in a single-mode fiber (SMF) into one desired higher
order core mode LP(0m) in a few-mode fiber (FMF), in the process of which one
specific cladding mode acts as a medium coupled from one fiber to another.
Different LP(0m) modes can be obtained by controlling the grating period of LPFG
in FMF to meet the phase matching condition. In this article we focus on the
design and analyses of LP(02) and LP(03) mode add / drop multiplexers (MADMs).
This device has some advantages of facile and good scalability, and particularly,
of eliminating coupling interferences for the ahead multiplexed modes by the
posterior MADMs or couplers. Furthermore, the conversion rate of mode power
theoretically can approach as much as 98%and the 3dB bandwidth can reach 10nm or
more.
PMID- 24921271
TI - Pulsed Sagnac polarization-entangled photon source with a PPKTP crystal at
telecom wavelength.
AB - We demonstrate pulsed polarization-entangled photons generated from a
periodically poled KTiOPO(4) (PPKTP) crystal in a Sagnac interferometer
configuration at telecom wavelength. Since the group-velocity-matching (GVM)
condition is satisfied, the intrinsic spectral purity of the photons is much
higher than in the previous scheme at around 800 nm wavelength. The combination
of a Sagnac interferometer and the GVM-PPKTP crystal makes our entangled source
compact, stable, highly entangled, spectrally pure and ultra-bright. The photons
were detected by two superconducting nanowire single photon detectors (SNSPDs)
with detection efficiencies of 70% and 68% at dark counts of less than 1 kcps. We
achieved fidelities of 0.981 +/- 0.0002 for |psi(-)> and 0.980 +/- 0.001 for
|psi(+)> respectively. This GVM-PPKTP-Sagnac scheme is directly applicable to
quantum communication experiments at telecom wavelength, especially in free
space.
PMID- 24921272
TI - High-repetition-rate Q-switched fiber laser with high quality topological
insulator Bi2Se3 film.
AB - We demonstrated a high-repetition-rate Q-switched fiber laser with topological
insulator Bi2Se3 absorber. The absorber was made into a film structure by spin
coating method using few-layer Bi2Se3 nano-platelets which had regular shape. The
uniform film had a low saturable optical intensity of 11 MW/cm(2), which is the
lowest saturable optical intensity in the saturable absorbers made by topological
insulator till now. By inserting the absorber film into an Erbium-doped fiber
laser, a high-repetition Q-switched laser with the repetition rates from 459 kHz
to 940 kHz was achieved. The maximum output power was 22.35 mW with the shortest
pulse duration of 1.9 MUs. To the best of our knowledge, both of the repetition
rate and the output power were the highest values among the Q-switched fiber
lasers with topological insulator absorber.
PMID- 24921273
TI - Effect of plasmon-enhancement on photophysics in upconverting nanoparticles.
AB - Surface plasmon polaritons (SPP) waves have been shown to significantly affect
the near-field photophysical phenomenon. In particular, strong Coulombic
interactions can enhance nearby non-linear optics and energy transfer process,
while SPP waves also affect other photophysical processes like quenching observed
in fluorescent and excitonic systems. Here, using different plasmonic substrates,
we show the effect of plasmon-enhancement on quenching, phonon-assisted non
radiative decay, weak Purcell effect or electromagnetic field enhancement, and
energy transfer rates of upconverting doped-lanthanide nanoparticles. While the
resonant plasmons enhance the local electromagnetic field and the rate of energy
transfer leading to enhanced upconversion photoluminescence of infrared radiation
to visible light, it can also increase the quenching and non-radiative decay
rates of photoexcited electron-hole pairs leading to losses and lower efficiency.
These results can guide the design of optimized substrate geometry for using
surface plasmons to modulate the photophysics in other applications too.
PMID- 24921274
TI - 1.3-MUm InAs/GaAs quantum-dot lasers monolithically grown on Si substrates using
InAlAs/GaAs dislocation filter layers.
AB - We compare InAlAs/GaAs and InGaAs/GaAs strained-layer superlattices (SLSs) as
dislocation filter layers for 1.3-MUm InAs/GaAs quantum-dot laser structures
directly grown on Si substrates. InAlAs/GaAs SLSs are found to be more effective
than InGaAs/GaAs SLSs in blocking the propagation of threading dislocations
generated at the interface between the GaAs buffer layer and the Si substrate.
Room-temperature lasing at ~1.27 MUm with a threshold current density of 194
A/cm(2) and output power of ~77 mW has been demonstrated for broad-area lasers
grown on Si substrates using InAlAs/GaAs dislocation filter layers.
PMID- 24921275
TI - Sensitivity in reflectance attributed to phytoplankton cell size: forward and
inverse modelling approaches.
AB - Synoptic scale knowledge of the size structure of phytoplankton communities can
offer insight in to primary ecosystem diversity and biogeochemical variability
from operational to the decadal scales. Accordingly, obtaining estimates of size
and other phytoplankton functional type descriptors within known confidence
limits from remotely sensed data has become a major objective to extend the use
of ocean colour data beyond chlorophyll a retrievals. Here, a new forward and
inverse modelling structure is proposed to determine information about the cell
size of phytoplankton communities using Standard size distributions of two
layered spheres to derive a full suite of algal inherent optical properties for a
coupled radiative transfer model. This new capability allows explicit
quantification of the remote sensing reflectance signal attributable to changes
in phytoplankton cell size. Inversion of this model reveals regions within the
parameter space where ambiguity may limit potential of inversion algorithms.
Validation of the algorithm within the Benguela upwelling system using
independent data shows promise for ecosystem applications and further
investigation of the interaction between phytoplankton functional types and
optical signals. The results here suggest that the utility of assemblage related
signals in spectral reflectance is highly sensitive to algal biomass, the
presence of other absorbing and scattering constituents and the resultant
constituent-specific inherent optical property budget. As such, optimal methods
for determining phytoplankton size from (in situ or satellite) ocean colour data
will likely rely on appropriately spectrally dense and optimised sensors, well
characterised measurement errors including those from atmospheric correction, and
an ability to appropriately limit ambiguity within the context of regional
inherent optical properties.
PMID- 24921276
TI - Reconstruction of wave front and object for inline holography from a set of
detection planes.
AB - We illustrate the errors inherent in the conventional empty beam correction of
full field X-ray propagation imaging, i.e. the division of intensities in the
detection plane measured with an object in the beam by the intensity pattern
measured without the object, i.e. the empty beam intensity pattern. The error of
this conventional approximation is controlled by the ratio of the source size to
the smallest feature in the object, as is shown by numerical simulation. In a
second step, we investigate how to overcome the flawed empty beam division by
simultaneous reconstruction of the probing wavefront (probe) and of the object,
based on measurements in several detection planes (multi-projection approach).
The algorithmic scheme is demonstrated numerically and experimentally, using the
defocus wavefront of the hard X-ray nanoprobe setup at the European Synchrotron
Radiation Facility (ESRF).
PMID- 24921277
TI - Extremely long decay time optical cavity.
AB - We report on the resonant Fabry Perot cavity of the PVLAS (Polarization of the
Vacuum with LASer) experiment operating at lambda = 1064 nm with a record decay
time of 2.7 ms, a factor more than two larger than any previously reported
optical resonator. This corresponds to a coherence length of 8.1 . 10(5) m. The
cavity length is 3.303 m, and the resulting finesse is 770,000.
PMID- 24921278
TI - Efficient diode-pumped Tm:KYW 1.9-MUm microchip laser with 1 W cw output power.
AB - We report on a diode-pumped Tm:KYW microchip laser generating 1 W continuous-wave
output power. The laser operates at a wavelength of 1.94 MUm in the fundamental
TEM(00) mode with 71% slope efficiency relative to the absorbed pump radiation
and 59% slope efficiency relative to the incident pump radiation. The optical-to
optical laser efficiency is 43%.
PMID- 24921279
TI - A high-finesse broadband optical cavity using calcium fluoride prism
retroreflectors.
AB - A high-finesse broadband optical cavity has been developed for use in the
ultraviolet and visible region using Brewster-angle calcium fluoride (CaF2) prism
retroreflectors. Prior to prism construction, optical loss measurements of CaF2
windows were performed using cavity ring-down spectroscopy at 250 nm. Total
optical loss showed high spatial correlation with crystal birefringence, which
was partially mitigated by orienting the <111> crystal axis with the laser beam.
Prism reflectivity was measured using cavity ring-down spectroscopy and found to
be 99.77% at 250 nm and 99.96% at 500 nm, allowing for relatively high-finesse
operation over hundreds of nm bandwidth with a single cavity.
PMID- 24921280
TI - Passive intrinsic-linewidth narrowing of ultraviolet extended-cavity diode laser
by weak optical feedback.
AB - We present a simple method for narrowing the intrinsic Lorentzian linewidth of a
commercial ultraviolet grating extended-cavity diode laser (TOPTICA DL Pro) using
weak optical feedback from a long external cavity. We achieve a suppression in
frequency noise spectral density of 20 dB measured at frequencies around 1 MHz,
corresponding to the narrowing of the intrinsic Lorentzian linewidth from 200 kHz
to 2 kHz. Provided additional active low-frequency noise suppression and long
term drift compensation, the system is suitable for experiments requiring a
tunable ultraviolet laser with narrow linewidth and low high-frequency noise,
such as precision spectroscopy, optical clocks, and quantum information science
experiments.
PMID- 24921281
TI - Theoretical and experimental analysis of pulse delay in bacteriorhodopsin films
by a saturable absorber theory.
AB - Time-delay of transmitted pulses with respect to the incident pulse in
bacteriorhodopsin films has been studied without the use of a pump beam. Based on
a modified saturable absorber model, analytical expressions of the transmitted
pulse have been obtained. As a result, time delay, distortion and fractional
delay have been analyzed for sinusoidal pulses with a low background. A good
agreement between theory and experiences has been observed.
PMID- 24921282
TI - All-fiber fused directional coupler for highly efficient spatial mode conversion.
AB - We model and demonstrate a simple mode selective all-fiber coupler capable of
exciting specific higher order modes in two- and few-mode fibres with high
efficiency and purity. The coupler is based on inter-modally phase-matching the
propagation constants in each arm of the asymmetric fused coupler, formed by
dissimilar fibres. At a specific coupler diameter, the launched fundamental
LP(01) mode is coupled into the higher order mode (LP(11), LP(21), LP(02)) in the
other arm, over a broadband wave-length range around 1550 nm. Unlike other
techniques, the demonstrated coupler is composed of a multimode fiber that is
weakly fused with a phase matched conventional single mode telecom fiber (SMF
28). The beating between the supermodes at the coupler waist produces a periodic
power transfer between the two arms, and therefore, by monitoring the beating
while tapering, it is possible to obtain optimum selection for the desired mode.
High coupling efficiencies in excess of 90% for all the higher order modes were
recorded over 100 nm spectral range, while insertion losses remain as low as 0.5
dB. Coupling efficiency can be further enhanced by performing slow tapering at
high temperature, in order to precisely control the coupler cross-section
geometry.
PMID- 24921283
TI - Comparison of 80 * 112-Gb/s PDM-QPSK system performance over large effective area
fiber and standard SMF with Raman amplification.
AB - We experimentally investigate the transmission performance of 80 * 112-Gb/s
polarization-division-multiplexed quadrature phase shift keying (PDM-QPSK)
signals over large effective area fiber and standard single mode fiber (SSMF)
links with Raman amplification. The large effective area fiber offers higher
optimum launch power and longer reach than SSMF. The maximum reach of 5200-km is
obtained using large effective area fiber. The Gaussian noise (GN) model is
explored to fit with experimental data for optimum power.
PMID- 24921284
TI - Lateral photovoltaic effect and electron transport observed in Cr nano-film.
AB - Lateral photovoltaic effect (LPE) can be used in position-sensitive detectors
(PSDs) and has a wide application in a variety of optical transducers and
sensors. In this report, a large LPE with sensitivity of 42mV/mm is observed in
metal-oxide-semiconductor (MOS) structure of Cr/SiO(2)/Si. Through measuring
current-voltage characteristics, we find that electron transport property in dark
plays a key role and an appropriate metal thickness is crucial for obtaining a
large LPE. This result is useful for applications and may explore a way to study
the electron transport mechanism in nano-films' MOS structures.
PMID- 24921285
TI - Symmetry-reduced double layer metallic grating structure for dual-wavelength
spectral filtering.
AB - In this paper, we propose a metal-dielectric dual-wavelength spectral filtering
structure based on symmetry-reduced double layer metallic gratings (SRDMG)
coupled to a guided-mode dielectric resonator. The grating symmetry is reduced by
alternatively shifting metal nanowires of the top layer metallic grating.
Compared to a symmetric double layer metallic grating that usually provides one
resonance dip, this SRDMG structure generates two remarkable narrow band
transmission dips with a transmission peak in-between at normal incidence. The
appearance of the two narrowband resonance dips is attributed to the excitation
of different current modes in the metallic grating, leading to different guided
mode resonances in the dielectric layer, which is induced by the structural
symmetry breaking. Moreover, these two guided modes do not split under oblique
incidence and a flat dispersion band over a small angular range can be obtained.
The positions of two dips and the frequency gap between them can be controlled by
adjusting the thickness of metallic grating without the need to modify the
structure period and width, which is an easy method to tune resonance position
and bandwidth, and make the fabrication of some filters more convenient. This
work can be used to develop subwavelength metallic-grating-based multi-wavelength
and narrow-band spectral filters.
PMID- 24921286
TI - Lattice surface solitons in diffusive nonlinear media driven by the quadratic
electro-optic effect.
AB - We study theoretically surface lattice solitons driven by quadratic electro-optic
effect at the interface between an optical lattice and diffusive nonlinear media
with self-focusing and self-defocusing saturable nonlinearity. Surface solitons
originating from self-focusing nonlinearity can be formed in the semi-infinite
gap, and are stable in whole domain of their existence. In the case of self
defocusing nonlinearity, both surface gap and twisted solitons are predicted in
first gap. We discover that surface gap solitons can propagate stably in whole
existence domain except for an extremely narrow region close to the Bloch band,
and twisted solitons are linearly unstable in the entire existence domain.
PMID- 24921287
TI - Optical absorption and photocurrent enhancement in semi-insulating gallium
arsenide by femtosecond laser pulse surface microstructuring.
AB - We observe an enhancement of optical absorption and photocurrent from semi
insulating gallium arsenide (SI-GaAs) irradiated by femtosecond laser pulses. The
SI-GaAs wafer is treated by a regeneratively amplified Ti: Sapphire laser of 120
fs laser pulse at 800 nm wavelength. The laser ablation induced 0.74 MUm periodic
ripples, and its optical absorption-edge is shifted to a longer wavelength.
Meanwhile, the steady photocurrent of irradiated SI-GaAs is found to enhance 50%.
The electrical properties of samples are calibrated by van der Pauw method. It is
found that femtosecond laser ablation causes a microscale anti-reflection coating
surface which enhances the absorption and photoconductivity.
PMID- 24921288
TI - A versatile integrating sphere based photoacoustic sensor for trace gas
monitoring.
AB - A compact versatile photoacoustic (PA) sensor for trace gas detection is
reported. The sensor is based on an integrating sphere as the PA absorption cell
with an organ pipe tube attached to increase the sensitivity of the PA sensor.
The versatility and enhancement of the sensitivity of the PA signal is
investigated by monitoring specific ro-vibrational lines of CO(2) in the 2 MUm
wavelength region and of NO(2) in the 405 nm region. The measured enhancement
factor of the PA signal exceeds 1200, which is due to the acoustic resonance of
the tube and the absorption enhancement of the integrating sphere relatively to a
non-resonant single pass cell. It is observed that the background absorption
signals are highly attenuated due to the thermal conduction and diffusion effects
in the polytetrafluoroethylene cell walls. This demonstrates that careful choice
of cell wall materials can be highly beneficial to the sensitivity of the PA
sensor. These properties makes the sensor suitable for various practical sensor
applications in the ultraviolet (UV) to the near infrared (NIR) wavelength
region, including climate, environmental and industrial monitoring.
PMID- 24921289
TI - Diffraction of cosine-Gaussian-correlated Schell-model beams.
AB - The expression of spectral density of cosine-Gaussian-correlated Schell-model
(CGSM) beams diffracted by an aperture is derived, and used to study the changes
in the spectral density distribution of CGSM beams upon propagation, where the
effect of aperture diffraction is emphasized. It is shown that, comparing with
that of GSM beams, the spectral density distribution of CGSM beams diffracted by
an aperture has dip and shows dark hollow intensity distribution when the order
parameter n is big enough. The central intensity increases with increasing
truncation parameter of aperture. The comparative study of spectral density
distributions of CGSM beams with aperture and that of without aperture is
performed. Furthermore, the effect of order-parameter n and spatial coherence of
CGSM beams on the spectral density distribution is discussed in detail. The
results obtained may be useful in optical particulate manipulation.
PMID- 24921290
TI - Raman scattering reveals strong LO-phonon-hole-plasmon coupling in nominally
undoped GaAsBi: optical determination of carrier concentration.
AB - We report room-temperature Raman scattering studies of nominally undoped (100)
GaAs1-xBix epitaxial layers exhibiting Bi-induced (p-type) longitudinal-optical
plasmon-coupled (LOPC) modes for 0.018 <= x <= 0.048. Redshifts in the GaAs-like
optical modes due to alloying are evaluated and are paralleled by strong damping
of the LOPC. The relative integrated Raman intensities of LO(Gamma) and LOPC
ALO/ALOPC are characteristic of heavily doped p-GaAs, with a remarkable near
total screening of the LO(Gamma) phonon (ALO/ALOPC -> 0) for larger Bi
concentrations. A method of spectral analysis is set out which yields estimates
of hole concentrations in excess of 5*1017cm-3 and correlates with the Bi molar
fraction. These findings are in general agreement with recent electrical
transport measurements performed on the alloy, and while the absolute size of the
hole concentrations differ, likely origins for the discrepancy are discussed. We
conclude that the damped LO-phonon-hole-plasmon coupling phenomena plays a
dominant role in Raman scattering from unpassivated nominally undoped GaAsBi.
PMID- 24921291
TI - Mechanical Faraday effect for orbital angular momentum-carrying beams.
AB - When linearly polarised light is transmitted through a spinning window, the plane
of polarisation is rotated. This rotation arises through a phase change that is
applied to the circularly polarised states corresponding to the spin angular
momentum (SAM). Here we show an analogous effect for the orbital angular momentum
(OAM), where a differential phase between the positive and negative modes (+/-l)
is observed as a rotation of the transmitted image. For normal materials, this
rotation is on the order of a micro radian, but by using a slow-light medium, we
show a rotation of a few degrees. We also note that, within the bounds of our
experimental parameters, this rotation angle does not exceed the scale of the
spatial features in the beam profile.
PMID- 24921292
TI - Optical transmission of planar metallic films coated by two-dimensional colloidal
crystals.
AB - Optical transmissions through a continuous planar metal film (without holes) with
two-dimensional colloidal crystals coated on one or both interfaces have been
experimentally and numerically investigated. Enhanced optical transmissions in
the near-infrared regime can be observed for the metal film with identical two
dimensional colloidal crystals coated on both sides, which occur due to the
resonant tunneling of surface polariton Bloch eigenmodes excited on periodically
structured interfaces. Numerical simulations of transmission spectra show an
excellent agreement with the measured ones. Additionally, the numerical
simulations reveal that the intensity of tunneling transmission is strongly
dependent on the relative shift of the two-dimensional colloidal crystals on the
opposite interfaces of the metallic film.
PMID- 24921293
TI - Enhanced asymmetric transmission due to Fabry-Perot-like cavity.
AB - In this paper, a three layered metamaterial composed of a ring-chain structure
sandwiched between two layers of twisted sub-wavelength cut-wire arrays is
proposed and investigated. The designed structure is optimized such that
asymmetric transmission with an extremely broad bandwidth, sharp rejection stop
band and high transmittance is achieved. The physical mechanism is accounted for
that the metallic layers form the Fabry-Perot-like resonance cavity, enhancing
the polarization conversion efficiency between two orthogonal linearly polarized
waves. To some extent, this approach offers a way to strengthen asymmetric
transmission effect.
PMID- 24921294
TI - Spontaneous emission inhibition of telecom-band quantum disks inside single
nanowire on different substrates.
AB - We investigate the inhibited spontaneous emission of telecom-band InAs quantum
disks (Qdisks) in InP nanowires (NWs). We have evaluated how the inhibition is
affected by different disk diameter and thickness. We also compared the
inhibition in standing InP NWs and those NWs laying on silica (SiO(2)), and
silicon (Si) substrates. We found that the inhibition is altered when we put the
NW on the high-refractive-index materials of Si. Experimentally, the inhibition
factor zeta of the Qdisk emission at 1,500 nm decreases from 4.6 to 2.5 for NW on
SiO(2) and Si substrates, respectively. Those inhibitions are even much smaller
than that of 6.4 of the standing NW. The inhibition factors well agree with those
calculated from the coupling of the Qdisk to the fundamental guided mode and the
continuum of radiative modes. Our observation can be useful for the integration
of the NW as light sources in the photonic nanodevices.
PMID- 24921295
TI - Fast physical random bit generation with photonic integrated circuits with
different external cavity lengths for chaos generation.
AB - We generate random bit sequences from chaotic temporal waveforms by using
photonic integrated circuits (PICs) with different external cavity lengths. We
investigate the condition for generating random bits at different sampling rates
of single-bit generation method with the PICs. We succeed in generating certified
random bit sequences by using the PIC with 3, 4, 5, or 10-mm-long external
cavity, whereas random bits cannot pass all the statistical tests of randomness
when the PIC with 1 or 2 mm-long external cavity is used.
PMID- 24921296
TI - A semi-analytical model for the approximation of plasmonic bands in arrays of
metal wires in photonic crystal fibers.
AB - We present a highly efficient semi-analytical and straightforward-to-implement
model for the determination of plasmonic band edges of metallic nanowire arrays
inside photonic crystal fibers. The model relies on the approximation of the
hexagonal unit cell by a circle and using particular boundary conditions, showing
an accurate agreement with finite element simulations. The model reduces
simulation time by a factor of 100, thus representing an efficient tool for
structure design. It further allows the calculation of all relevant modes in the
system by slight changes of the entries in a 4 * 4 matrix.
PMID- 24921297
TI - Photothermal optical coherence tomography based on the localized surface plasmon
resonance of Au nanoring.
AB - The conventional optical coherence tomography (OCT) images based on enhanced
scattering and the photothermal (PT) images based on enhanced absorption of the
localized surface plasmon (LSP) resonance of Au nanorings (NRIs) in a bio-tissue
sample are demonstrated with the scans of an OCT system (1310-nm system), in
which the spectral range covers the LSP resonance peak wavelength, and another
OCT system (1060-nm system), in which the spectral range is away from the LSP
resonance peak wavelength. A PT image is formed by evaluating the modulation
frequency (400 Hz) response of an excitation laser with its wavelength (1308 nm)
close to the LSP resonance peak at 1305 nm of the Au NRI solution. With the scan
of the 1310-nm OCT system, the Au NRI distribution in the bio-tissue sample can
be observed in both conventional OCT and PT images. However, with the scan of the
1060-nm OCT system, the Au NRI distribution can be clearly observed only in the
PT image. The diffusion process of Au NRIs in the bio-tissue sample can be traced
with the scan of either OCT system. Based on phantom experiments, it is shown
that the PT image can help in resolving the ambiguity of a conventional OCT image
between the enhanced scattering of Au NRIs and the strong scattering of a tissue
structure in the 1310-nm OCT scanning. Also, under the condition of weak
intrinsic sample scattering, particularly in the scan of the 1060-nm system, the
PT signal can be lower than a saturating level, which is determined by the
excitation power. By increasing OCT system signal-to-noise ratio or M-mode scan
time, the PT signal level can be enhanced.
PMID- 24921298
TI - Suppression of Rayleigh backscattering noise using cascaded-SOA and microwave
photonic filter for 10 Gb/s loop-back WDM-PON.
AB - In this paper, we present a novel Rayleigh backscattering (RB) noise mitigation
scheme based on central carrier suppression for 10 Gb/s loop-back wavelength
division multiplexing passive optical network (WDM-PON). Microwave modulated
multi-subcarrier optical signal is used as downstream seeding light, while
cascaded semiconductor optical amplifier (SOA) are used in the optical network
unit (ONU) for suppressing the central carrier of the multi-subcarrier upstream
signal. With central carrier suppression, interference generated by carrier RB
noise at low frequency region is eliminated successfully. Transmission
performance over 45 km single mode fiber (SMF) is studied experimentally, and the
optical-signal-to-Rayleigh-noise-ratio (OSRNR) can be reduced to 15 dB with
central carrier suppression ratio (CCSR) of 21 dB. Receiver sensitivity is
further improved by 6 dB with the use of microwave photonic filter (MPF) for
suppressing residual upstream microwave signal and residual carrier RB at high
frequency region.
PMID- 24921299
TI - Highly efficient active optical interconnect incorporating a partially
chlorinated ribbon POF in conjunction with a visible VCSEL.
AB - A low-loss 4-ch active optical interconnect (AOI) enabling passive alignment was
proposed and built resorting to a transmitter (Tx) incorporating a red 680-nm
VCSEL, which is linked to a receiver (Rx) module via a partially chlorinated
ribbon POF. The POF was observed to exhibit an extremely low loss of ~0.24 dB/m
at lambda = 680 nm, in comparison to ~1.29 dB/m at lambda = 850 nm, and a large
numerical aperture of ~0.42. Both the Tx and Rx, which taps into a beam router
based on collimated beam optics involving a pair of spherical lenses, were meant
to be substantially alignment tolerant and compact. The achieved tolerance for
the constructed modules was beyond 40 MUm in terms of the positioning of VCSEL
and photodetector. The proposed AOI was completed by linking the Tx with the Rx
via a 3-m long ribbon POF, incurring a transmission loss of as small as 3.2 dB.
The AOI was practically assessed in terms of a high-speed data transmission over
a wide range of temperatures and then exploited to convey full HD video signals.
PMID- 24921300
TI - Time-domain stabilization of carrier-envelope phase in femtosecond light pulses.
AB - We report a time-domain method of stabilizing the carrier-envelope phase (CEP) of
femtosecond pulses. Temporal variations of the pulse envelope and the carrier
electric-field phase were separately detected with the aid of intensity cross
correlation and interferometric cross-correlation. These detected signals were
used to stabilize the CEP; the resulting 50-fold improvement in the fractional
stability of the carrier-envelop-offset frequency was evaluated as 1.2 * 10(-11)
at 0.1 second averaging periods and 1.7 * 10(-9) at 80 seconds, corresponding to
a carrier envelope phase noise of 75 microradians and 10 milliradians,
respectively. This method can be realized with a low pulse energy of ~10 pJ and
does not require subsequent power amplification or spectral broadening. The high
efficiency and short-term stability of this method can facilitate the use of
femtosecond lasers in the field of industrial surface measurements,
telecommunications, and space sciences.
PMID- 24921301
TI - Role of resonance absorption in terahertz radiation generation from solid
targets.
AB - The interaction of 100-fs laser pulses with solid targets at laser intensities
10(16)-10(18)W/cm(2) has been investigated experimentally by simultaneous
measurements of terahertz (THz) and second harmonic signals. THz yield at the
front side of the target, which rises from the self-organized transient electron
currents along the target surface, is found scaling linearly with the laser
intensity basically. Measurements of specularly reflected light spectrum show
clear evidence of resonance absorption. The positive effects of resonance
absorption on surface current and THz radiation generation have been confirmed by
two-dimensional (2D) particle-in-cell (PIC) simulations and angular-dependent
experiments, respectively.
PMID- 24921302
TI - Two dimensional analysis of finite size high-contrast gratings for applications
in VCSELs.
AB - 2-dimensional simulations of high-contrast gratings (HCGs) of finite size are
carried out, targeting at their applications in vertical-cavity surface-emitting
lasers (VCSELs). Finite HCGs show a very different behavior from infinite grating
ones. The reflectivity of a finite HCG strongly depends on the HCG size and the
source size. Our simulation results predict finite reflectivity and transmission
values, well consistent with reported experimental results. The band of high
reflectivity (>99.5%) of finite HCGs is less broad as compared to the infinite
case. Losses into a guided mode excited in the HCG plane are identified as being
at the root. This guided mode is excited due to the nonzero angular components in
the finite source size, and greatly enhances the transmission and the light
leakage from the slab. In addition, the simulation results show that the details
of the finite HCG can shape the output beam, whilst a Gaussian-like reflected
wave is typically achieved. Our simulations can explain the current discrepancies
between numerical predictions of reflectivities approaching 100% and working HCG
VCSELs showing finite reflectivities and nearly Gaussian-like output.
Consequently, our analysis of finite HCGs is indispensable for HCG-VCSEL design.
PMID- 24921303
TI - Linear rotary optical delay lines.
AB - I present several classes of analytical and semi-analytical solutions for the
design of high-speed rotary optical delay lines that use a combination of
stationary and rotating curvilinear reflectors. Detailed analysis of four
distinct classes of optical delay lines is presented. Particularly, I consider
delay lines based on a single rotating reflector, a single rotating reflector and
a single stationary reflector, two rotating reflectors, and two rotating
reflectors and a single stationary reflector. I demonstrate that in each of these
cases it is possible to design an infinite variety of the optical delay lines
featuring linear dependence of the optical delay on the rotation angle. This is
achieved via shape optimization of the rotating and stationary reflector
surfaces. Moreover, in the case of two rotating reflectors a convenient spatial
separation of the incoming and outgoing beams is possible. For the sake of
example, all the blades presented in this paper are chosen to fit into a circle
of 10 cm diameter and these delay lines feature in excess of 600 ps of optical
delay. Finally, two prototypes of rotary delay lines were fabricated using CNC
machining, and their optical properties are characterized.
PMID- 24921304
TI - Reflective liquid level sensor based on modes conversion in thin-core fiber
incorporating titled fiber Bragg grating.
AB - A simple and compact reflective liquid level sensor based on modes conversion in
the thin-core fiber incorporating one tilted fiber Bragg grating (TFBG) is
proposed and experimentally demonstrated. A piece of thin-core fiber containing
one TFBG ensures the modes conversion between the core mode and cladding modes.
The external liquid can induce the cladding modes covert to the radiation modes
and lead to the decrement of the collected cladding modes power, then the liquid
level can be measured from the collected cladding modes power. The modes
conversion in the proposed structure is theoretically analyzed. The experimental
results show the high liquid level sensitivity and temperature immunity of the
proposed sensor, and its significant advantage is that the measurement range is
not limited to the length of the TFBG itself.
PMID- 24921305
TI - 1.14 Tb/s DP-QPSK WDM polarization-diverse optical phase conjugation.
AB - Optical phase conjugation (OPC) of a polarization-multiplexed comb of 10x114Gb/s
DP-QPSK signals has been demonstrated for the first time, occupying a spectral
bandwidth of >1 THz (~9 nm). The nonlinear element employed for the OPC was
highly nonlinear fiber (HNLF) optimized for the suppression of stimulated
Brillouin scattering (SBS) and configured in a bi-directional loop offering
polarization diversity. Pump power (each way about the loop) and input signal
power to the OPC subsystem were optimized at 29.7 dBm and + 3 dBm respectively
producing a Q(2) penalty of <= 0.9 dB over all conjugate wavelengths,
polarizations and output OSNR (up to 20 dB).
PMID- 24921306
TI - Spectrally-resolved statistical characterization of seeded supercontinuum
suppression using optical time-stretch.
AB - Real-time experimental measurements of the spectrally-resolved noise properties
of supercontinuum (SC) have been challenging because of the lack of ultrafast
optical spectrometer technologies. Understanding the SC noise is increasingly
important because it not only can gain new insight of the complex spectral
dynamics of SC generation, but also provides clues to search for stable SC
source. Driven by the intense interest in the active seeding mechanism for SC
generation, we experimentally demonstrate real-time spectrally-resolved,
broadband, statistical characterization of minute continuous-wave (CW) seeded SC,
enabled by an ultrahigh-speed spectral acquisition technique called optical time
stretch (OTS). The shot-to-shot statistical analysis shows that the seeded SC
exhibits a general compromise between SC bandwidth and spectral stability. OTS
also allows us to experimentally identify the seeding condition for SC
suppression, in which the spectral broadening is mainly contributed by the
cascaded parametric process that delays Akhmediev Breather breakup process and
subsequent soliton self-frequency shift. Additionally, the characteristic
spectral signature of the Raman solitons, which are becalmed by the minute CW
seed, can be clearly captured in real-time by OTS operated at a spectral
acquisition rate as high as 20 MHz. We anticipate the OTS technique could provide
further new insights for understanding more complex mechanisms of seeded-SC
generation which can be examined experimentally.
PMID- 24921307
TI - Break up of the azimuthal symmetry of higher order fiber modes.
AB - We investigate Bessel-like modes guided in a double cladding fiber where the
outer cladding is an aircladding. For very high order LP(0X) -modes, the
azimuthal symmetry is broken and the mode is no longer linearly polarized. This
is observed experimentally and confirmed numerically. The effect is investigated
numerically using a full vectorial modesolver and is observed to be dependent on
the fiber design. The effect on the diffraction free propagation distance of the
modes is investigated using a fast Fourier transform propagation routine and
compared to the properties of an ideal circularly symmetric mode. The free space
properties of modes suffering from break up of azimuthal symmetry are also
investigated experimentally by measuring the free space propagation of a LP(016)
mode excited in the double cladding fiber.
PMID- 24921308
TI - Measurement errors resulted from misalignment errors of the retarder in a
rotating-retarder complete Stokes polarimeter.
AB - Rotatable retarder fixed polarizer (RRFP) Stokes polarimeters, which employ
uniformly spaced angles over 180 degrees or 360 degrees , are most commonly used
to detect the state of polarization (SOP) of an electromagnetic (EM) wave. The
misalignment error of the retarder is one of the major error sources. We suppose
that the misalignment errors of the retarder obey a uniform normal distribution
and are independent of each other. Then, we derive analytically the covariance
matrices of the measurement errors. Based on the covariance matrices derived, we
can conclude that 1) the measurement errors are independent of the incident
intensity s0, but seriously depend on the Stokes parameters (s1, s2, s3) and the
retardance of the retarder delta; 2) for any mean incident SOP, the optimal
initial angle and retardance to minimize the measurement error both can be
achieved; 3) when N = 5, 10, 12, the initial orienting angle could be used as an
added degree of freedom to strengthen the immunity of RRFP Stokes polarimeters to
the misalignment error. Finally, a series of simulations are performed to verify
these theoretical results.
PMID- 24921309
TI - High peak power gigahertz Yb:CALGO laser.
AB - We present a high-power gigahertz SESAM modelocked Yb:CALGO laser with sub-60-fs
pulses. The laser delivers an average output power of 2.95 W at a pulse
repetition rate of 1.8 GHz in fundamental modelocking without additional pulse
compression or amplification. Stable modelocking with a single pulse per cavity
round-trip is confirmed and results in an output peak power of 24.3 kW and a
pulse energy of 1.64 nJ. The laser is pumped by a commercial multimode diode
laser, which improves the reliability and robustness. This high-power gigahertz
laser is expected to enable numerous applications in frequency metrology.
PMID- 24921310
TI - Dielectric multilayer-based fiber optic sensor enabling simultaneous measurement
of humidity and temperature.
AB - A multilayer-based fiber optic sensor enabling simultaneous measurement of
humidity and temperature is proposed and demonstrated. The sensitive elements
were multilayer coatings consisting of nano-porous TiO(2) and SiO(2) films, which
were deposited on fiber end-face to form a Fabry-Perot (F-P) filter structure.
Relative-humidity (RH) sensing is correlated with the shift of interference
fringe due to the change of effective refractive index of porous coatings when
exposed to different RH environments. The sensor is sealed in a glass tube in
case of temperature measurement. Experimental results show that the average
sensitivity are 0.43nm/%RH and 0.63nm/ degrees C respectively when environmental
RH changes from 1.8%RH to 74.7%RH and temperature changes from 21.4 degrees C to
38.8 degrees C. The proposed sensors present high repeatability, and especially
highly sensitive to lower moisture measure.
PMID- 24921311
TI - Vector nature of multi-soliton patterns in a passively mode-locked figure-eight
fiber laser.
AB - The vector nature of multi-soliton dynamic patterns was investigated in a
passively mode-locked figure-eight fiber laser based on the nonlinear amplifying
loop mirror (NALM). By properly adjusting the cavity parameters such as the pump
power level and intra-cavity polarization controllers (PCs), in addition to the
fundamental vector soliton, various vector multi-soliton regimes were observed,
such as the random static distribution of vector multiple solitons, vector
soliton cluster, vector soliton flow, and the state of vector multiple solitons
occupying the whole cavity. Both the polarization-locked vector solitons (PLVSs)
and the polarization-rotating vector solitons (PRVSs) were observed for
fundamental soliton and each type of multi-soliton patterns. The obtained results
further reveal the fundamental physics of multi-soliton patterns and demonstrate
that the figure-eight fiber lasers are indeed a good platform for investigating
the vector nature of different soliton types.
PMID- 24921312
TI - Lasing of TM modes in a two-dimensional GaAs microlaser.
AB - We fabricated and tested an unstrained GaAs single-quantum-well microlaser which
has a two-dimensional cavity shape known as the Penrose unilluminable room. The
cavity exhibits quasi-one-dimensional modes, namely axial, diamond-shaped, and V
shaped modes. In contrast to previous observations of TE-polarized emission in
GaAs microlasers, we observed TM-polarized emission. We explain this observation
as being the result of lasing of the diamond-shaped modes whose incident angle at
the cavity interface is very close to the Brewster angle.
PMID- 24921313
TI - Digital phase conjugate mirror by parallel arrangement of two phase-only spatial
light modulators.
AB - In a conventional digital phase conjugation system, only the phase of an input
light is time-reversed. This deteriorates phase conjugation fidelity and
restricts application fields to specific cases only when the input light has
uniformly-distributed scattered wavefront. To overcome these difficulties, we
present a digital phase conjugate mirror based on parallel alignment of two phase
only spatial light modulators (SLMs), in which both amplitude and phase of the
input light can be time-reversed. Experimental result showed that, in the phase
conjugation through a holographic diffuser with diffusion angle of 0.5 degree,
background noises decrease to 65% by our digital phase conjugation mirror.
PMID- 24921314
TI - X-ray diffraction tomography employing an annular beam.
AB - We demonstrate depth-resolved materials characterization by scanning a sample
through an annular beam of X-rays. We measure Bragg X-ray diffraction from a
sample with a planar detector positioned centrally in a circular dark field
defined by the annular beam. The diffraction maxima are optically encoded with
the position of crystalline phases along this beam. Depth-resolved material phase
images are recovered via tomosynthesis. We demonstrate our technique using a
heterogeneous three-dimensional object comprising three different phases;
cyclotetramethylene - tetranitramine, copper and nickel, distributed in a low
density medium. Our technique has wide applicability in analytical imaging and is
scalable with respect to both scan size and X-ray energy.
PMID- 24921315
TI - Super-resolved phase measurements at the shot noise limit by parity measurement.
AB - Classically, the resolution of optical measurements is limited by the Rayleigh
limit and their sensitivity by the shot noise limit. However, non-classical
measurements can surpass these limits. Measuring the photon number parity using a
photon-number resolving detector, super resolved phase measurements up to 144
better than the Rayleigh limit are presented, with coherent states of up to 4,200
photons on average. An additional measurement that can be implemented with
standard single-photon detectors is proposed and demonstrated. With this scheme,
super resolution at the shot noise limit is demonstrated with coherent states of
up to 200 photons on average.
PMID- 24921316
TI - Self-seeding-based 10Gb/s over 25km optical OFDM transmissions utilizing face-to
face dual-RSOAs at gain saturation.
AB - Self-seeded passive optical networks (PONs) are currently attracting extensive
research interest. In this paper, a novel self-seeded PON transmitter is, for the
first time, proposed and experimentally demonstrated, which incorporates two face
to-face-positioned reflective semiconductor optical amplifiers (RSOAs) operating
at their gain saturation regions: one RSOA directly driven by an upstream
electrical signal and the other RSOA biased at a fixed current. Detailed
experimental explorations are undertaken of the dynamic performance
characteristics of the proposed transmitter. It is shown that, in comparison with
previously reported self-seeded transmitters each employing a reflective mirror
and a single electrical signal-driven RSOA, the proposed transmitter has a number
of salient advantages including, considerably narrowed optical signal spectra, up
to 16dB reduction in RINs of intensity-modulated optical signals, and residual
intensity modulation crosstalk suppression as high as 10.7dB. The aforementioned
features enable experimental demonstrations of real-time self-seeded 10Gb/s
optical OFDM (OOFDM) transmitters. In particular, by making use of two low-cost
RSOAs having their 3-dB modulation bandwidths as small as 1.125GHz, 10Gb/s over
25km adaptive OOFDM transmissions with power penalties of 0.6dB are
experimentally achieved in the simple self-seeded IMDD PON systems.
PMID- 24921317
TI - Dielectric function of spherical dome shells with quantum size effects.
AB - Metallic spherical dome shells have received much attention in recent years
because they have proven to possess highly impressive optical properties. The
expected distinctive changes occurring owing to quantum confinement of conduction
electrons in these nanoparticles as their thickness is reduced, have not been
properly investigated. Here we carry out a detailed analytical derivation of the
quantum contributions by introducing linearly shifted Associated Legendre
Polynomials, which form an approximate orthonormal eigenbasis for the single
electron Hamiltonian of a spherical dome shell. Our analytical results clearly
show the contribution of different elements of a spherical dome shell to the
effective dielectric function. More specifically, our results provide an
accurate, quantitative correction for the dielectric function of metallic
spherical dome shells with thickness below 10 nm.
PMID- 24921318
TI - Polarimetric current sensor based on polarization division multiplexing
detection.
AB - A polarimetric current sensor based on polarization division multiplexing (PDM)
detection is proposed. The novel sensor head with a heat insulation cavity only
induces a small level of birefringence. Comparing with polarization diversity
(PD) detection, the sensitivity of PDM detection is the double of PD detection.
Moreover, PDM detection is more suitable in the presence of the phase modulation
error. In addition, the noise and the shifting of the Verdet constant are proved
to be the main influence factors of the sensor performance as the source power
decline.
PMID- 24921319
TI - Tellurite microspheres for nanoparticle sensing and novel light sources.
AB - High index Er-Yb codoped tellurite spheres with diameter of 9 MUm and good
sphericity were fabricated using a CO(2) laser. Upconversion modulated whispering
gallery modes with a quality factor of 45,000 were observed in the sphere dipped
in methanol. Refractometric sensing with detection sensitivity of 7.7 nm/RIU was
demonstrated using a 9 MUm diameter sphere. Such high index spheres have the
potential to be used for nanoparticle sensing and mid-IR frequency conversion.
PMID- 24921320
TI - Dynamic range extension of SiPM detectors with the time-gated operation.
AB - The silicon photomultiplier (SiPM) is a novel detector technology that has
undergone a fast development in the last few years, owing to its single-photon
resolution and ultra-fast response time. However, the typical high dark count
rates of the sensor may prevent the detection of low intensity radiation fluxes.
In this article, the time-gated operation with short active periods in the
nanosecond range is proposed as a solution to reduce the number of cells fired
due to noise and thus increase the dynamic range. The technique is aimed at
application fields that function under a trigger command, such as gated
fluorescence lifetime imaging microscopy.
PMID- 24921321
TI - Third-order nonlinear optical response and optical limiting of colloidal carbon
dots.
AB - In this work, the nonlinear optical response of some organophilic and hydrophilic
carbon dots derived from gallate precursors is studied under 4 ns and 35 ps,
visible (532 nm) and infrared (1064 nm) laser excitation conditions by the Z-scan
technique. The prepared carbon dots were found to exhibit considerable nonlinear
optical response in the visible, the organophilic ones exhibiting stronger
response in the infrared and, in general, significantly larger response than
their hydrophilic counterparts. In all cases, the corresponding nonlinear optical
parameters have been determined. In particular, it was found that both carbon
dots exhibited important negative nonlinear refractivity, under all excitation
conditions tried, corresponding to self-defocusing, while negligible nonlinear
absorption was found in the ps regime. Oppositely, both types of carbon dots were
found to exhibit sizeable nonlinear absorption under ns excitation, indicating
their potential for optical limiting applications. The present results are
discussed and compared with other results concerning similar carbon based
nanostructures reported in the literature.
PMID- 24921322
TI - Role of misalignment-induced angular chirp in the electro-optic detection of THz
waves.
AB - A general description of electro-optic detection including non-collinear phase
matching and finite transverse beam profiles is presented. It is shown
theoretically and experimentally that non-collinear phase matching in ZnTe (and
similar materials) produces an angular chirp in the chi(2)-generated optical
signal. Due to this, in non-collinear THz and probe arrangements such as single
shot THz measurements or through accidental misalignment, measurement of an
undistorted THz signal is critically dependent on having sufficient angular
acceptance in the optical probe path. The associated spatial walk-off can also
preclude the phase retardation approximation used in THz-TDS. The rate of
misalignment-induced chirping in commonly used ZnTe and GaP schemes is tabulated,
allowing ready analysis of a detection system.
PMID- 24921323
TI - Spectral broadening and compression of sub-millijoule laser pulses in hollow-core
fibers filled with sulfur hexafluoride.
AB - Spectral broadening in gas-filled hollow-core fibers is discussed for sulfur
hexafluoride, a molecular gas with Raman activity. Experimental results for
compressed pulses are presented for input pulses longer than the Raman period and
shorter than the dephasing time at a central wavelength of 800 nm and 400 nm,
respectively. For both wavelengths we compress the pulses by a factor of three
and maintain a good pulse quality. The obtained results are of interest for
compressing pulses generated with Yb doped lasers.
PMID- 24921324
TI - Achromatic correction of diffractive dispersion in white light SLM imaging.
AB - In contemporary optics, the spatial light modulator (SLM) is effectively used as
a flexible optoelectronic device playing the key role in a number of experiments
of science and technology. Its operation is optimal when using almost
monochromatic light but an extremely strong diffractive dispersion occurs when
white light is applied. In this paper, the design concepts are proposed resulting
in optimization and implementation of a refractive corrector cooperating with the
SLM. The corrector maintains the operation of the SLM unchanged for the central
wavelength of light and ensures an achromatic dispersion compensation throughout
the visible region in applications based on a lens-pattern formation. A
significant improvement of the imaging performance of the achromatic SLM was
proved by the computer simulation and measurement of the chromatic focal shift
and the image contrast of the resolution target.
PMID- 24921325
TI - First demonstration of a scalable MDM/CDM optical access system.
AB - A novel hybrid all-optical mode-division multiplexing and code division
multiplexing architecture for flexible and scalable access networks is presented.
We successfully demonstrate, for the first time, an asynchronous on-off keying
modulation, 2 mode x 4 code x 10 Gbps transmission over 42-km link, using a set
of single-mode and two-mode fibers, without dispersion compensation. The four
phase-shift keyed optical codes are generated at a single wavelength, by a
multiport encoder/decoder, and we use an optical mode multiplexer/demultiplexer
in the remote node and at the central office. We also experimentally evaluate the
mode crosstalk tolerance considering different access span distances for the
LP(01) and LP(11) modes.
PMID- 24921326
TI - Brillouin optical correlation domain analysis with 4 millimeter resolution based
on amplified spontaneous emission.
AB - A new technique for Brillouin scattering-based, distributed fiber-optic
measurements of temperature and strain is proposed, analyzed, simulated, and
demonstrated. Broadband Brillouin pump and signal waves are drawn from the
filtered amplified spontaneous emission of an erbium-doped fiber amplifier,
providing high spatial resolution. The reconstruction of the position-dependent
Brillouin gain spectra along 5 cm of a silica single-mode fiber under test, with
a spatial resolution of 4 mm, is experimentally demonstrated using a 25 GHz-wide
amplified spontaneous emission source. A 4 mm-long localized hot spot is
identified by the measurements. The uncertainty in the reconstruction of the
local Brillouin frequency shift is +/- 1.5 MHz. The single correlation peak
between the pump and signal is scanned along a fiber under test using a
mechanical variable delay line. The analysis of the expected spatial resolution
and the measurement signal-to-noise ratio is provided. The measurement principle
is supported by numerical simulations of the stimulated acoustic field as a
function of position and time. Unlike most other Brillouin optical correlation
domain analysis configurations, the proposed scheme is not restricted by the
bandwidth of available electro-optic modulators, microwave synthesizers, or
pattern generators. Resolution is scalable to less than one millimeter in highly
nonlinear media.
PMID- 24921327
TI - Transmission of 100-Gb/s DDO-OFDM/OQAM over 320-km SSMF with a single photodiode.
AB - In this paper, we propose a novel approach to simultaneously receive multi-band
100-Gb/s direct-detection optical signal with only one polarization and one
conventional 40-GHz photodiode. The modulation format of orthogonal frequency
division multiplexing based on offset quadrature amplitude modulation (OFDM/OQAM)
is selected to provide signal spectrum with high side-lobe suppression ratio,
which can effectively reduce the electrical sub-band frequency interference. The
whole 100-Gb/s OFDM/OQAM signal is comprised of 6 sub-bands with 16- and 32-QAM
formats loading. Only one guard band is required to accommodate the overlapped 6
band signal-to-signal beat interference (SSBI). The receiver bandwidth is mainly
limited by the digital storage oscilloscope (DSO) of 33 GHz. The transmission
distance over standard single mode fiber (SSMF) is up to 320 km.
PMID- 24921328
TI - Finite-difference time-domain simulation of spacetime cloak.
AB - In this work, we present a numerical method that remedies the instabilities of
the conventional FDTD approach for solving Maxwell's equations in a space-time
dependent magneto-electric medium with direct application to the simulation of
the recently proposed spacetime cloak. We utilize a dual grid FDTD method
overlapped in the time domain to provide a stable approach for the simulation of
a magneto-electric medium with time and space varying permittivity, permeability
and coupling coefficient. The developed method can be applied to explore other
new physical possibilities offered by spacetime cloaking, metamaterials, and
transformation optics.
PMID- 24921329
TI - Gigahertz pulse source by compression of mode-locked VECSEL pulses coherently
broadened in the normal dispersion regime.
AB - We report the coherent spectral broadening of the output of a mode-locked VECSEL
emitting 455 fs pulses at 1007 nm in the normal-dispersion regime. Subsequent
compression of the fiber outputs using a transmission grating compressor produced
1.56 GHz trains of 150 fs pulses at 270 mW average power or 220 fs pulses at 520
mW average power. The system approaches the performance needed for a pump for
coherent supercontinuum generation.
PMID- 24921330
TI - Recovery of Raman spectra with low signal-to-noise ratio using Wiener estimation.
AB - Raman spectroscopy is a powerful non-destructive technique for qualitatively and
quantitatively characterizing materials. However, noise often obscures
interesting Raman peaks due to the inherently weak Raman signal, especially in
biological samples. In this study, we develop a method based on spectral
reconstruction to recover Raman spectra with low signal-to-noise ratio (SNR). The
synthesis of narrow-band measurements from low-SNR Raman spectra eliminates the
effect of noise by integrating the Raman signal along the wavenumber dimension,
which is followed by spectral reconstruction based on Wiener estimation to
recover the Raman spectrum with high spectral resolution. Non-negative principal
components based filters are used in the synthesis to ensure that most variance
contained in the original Raman measurements are retained. A total of 25 agar
phantoms and 20 bacteria samples were measured and data were used to validate our
method. Four commonly used de-noising methods in Raman spectroscopy, i.e.
Savitzky-Golay (SG) algorithm, finite impulse response (FIR) filtration, wavelet
transform and factor analysis, were also evaluated on the same set of data in
addition to the proposed method for comparison. The proposed method showed the
superior accuracy in the recovery of Raman spectra from measurements with
extremely low SNR, compared with the four commonly used de-noising methods.
PMID- 24921331
TI - A printed nanobeam laser on a SiO2/Si substrate for low-threshold continuous-wave
operation.
AB - A small-footprint nanobeam photonic crystal laser made of InGaAsP material is
directly integrated on a SiO2/Si substrate without using adhesive material via
transfer-printing processes (i.e., dry transfer-printing). The transferred
nanobeam structure with a physical volume of ~6.6 * 0.58 * 0.28 um(3) (~10.5
(lambda/n)3) shows single mode lasing near 1550 nm with continuous-wave (CW)
operation at room-temperature, where effective lasing threshold power was as low
as 9 uW. This CW operation was achieved mainly due to efficient heat dissipation
provided by direct contact between the nanobeam and the substrate. This transfer
printed nanobeam laser could be a promising candidate for the next-generation
light source with a feature of low-power consumption in ultracompact photonic
integrated circuits.
PMID- 24921332
TI - Hydrogenated amorphous silicon photonic device trimming by UV-irradiation.
AB - A method to compensate for fabrication tolerances and to fine-tune individual
photonic circuit components is inevitable for wafer-scale photonic systems even
with most-advanced CMOS-fabrication tools. We report a cost-effective and highly
accurate method for the permanent trimming of hydrogenated amorphous silicon
photonic devices by UV-irradiation. Microring resonators and Mach-Zehnder
interferometers were utilized as photonic test devices. The MZIs were tuned forth
and back over their complete free spectral range of 5.5 nm by locally trimming
the two MZI-arms. The trimming range exceeds 8 nm for compact ring resonators
with trimming accuracies of 20 pm. Trimming speeds of >= 10 GHz/s were achieved.
The components did not show any substantial device degradation.
PMID- 24921333
TI - Bistable light-driven pi phase switching using a twisted nematic liquid crystal
film.
AB - A light-activated optical phase switch was developed, exploiting the conversion
between left-handed and right-handed twisted nematic liquid crystals. Theoretical
and experimental analyses revealed that the handedness inversion of the twisted
nematic film altered the optical phase of the output waves by pi. Herein, the
competition between the helical twisting powers of the two reverse-handed chiral
dopants determines the handedness of the twisted nematic film. The photo
responsibility and the bistability are attributed to the azobenzene chromophores
in one of the chiral additives.
PMID- 24921334
TI - Directly modulated buried heterostructure DFB laser on SiO2/Si substrate
fabricated by regrowth of InP using bonded active layer.
AB - We describe the growth of InP layer using an ultrathin III-V active layer that is
directly bonded to SiO2/Si substrate to fabricate a buried heterostructure (BH)
laser. Using a 250-nm-thick bonded active layer, we succeeded in fabricating a BH
distributed feedback (DFB) laser on SiO2/Si substrate. The use of a lateral
current injection structure is important for forming a p-i-n junction using
bonded thin film. The fabricated DFB laser is directly modulated by a 25.8-Gbit/s
NRZ signal at 50 degrees C. These results indicate that our fabrication method is
a promising way to fabricate high-efficiency lasers at a low cost.
PMID- 24921336
TI - Optically controllable THz chiral metamaterials.
AB - Switchable and tunable chiral metamaterial response is numerically demonstrated
here in different uniaxial chiral metamaterial structures operating in the THz
regime. The structures are based on the bi-layer conductor design and the
tunable/switchable response is achieved by replacing parts of the metallic
components of the structures by photoconducting Si, which can be transformed from
an insulating to an almost conducting state through photoexcitation, achievable
under external optical pumping. All the structures proposed and discussed here
exhibit frequency regions with giant tunable circular dichroism, as well as
regions with giant tunable optical activity, showing unique potential in the
achievement of active THz polarization components, like tunable polarizers and
polarization filters.
PMID- 24921338
TI - Phase regeneration of phase encoded signals by hybrid optical phase squeezer.
AB - We present a new method to perform phase regeneration of phase encoded signals.
In our concept called "hybrid optical phase squeezer (HOPS)," a multilevel phase
quantized signal is synthesized through the coherent addition of a phase
conjugate copy of the signal and a phase harmonic of the signal with a frequency
shifter. Unlike the conventional method by phase sensitive amplification, HOPS
does not use any optical parametric gain such that only optical elements with low
optical nonlinearity are necessary for optical phase quantization. In the proof
of-concept experiment, it is confirmed that a 2-level HOPS can perform quadrature
squeezing with an extinction ratio of 40 dB. Simultaneous phase regeneration of
two coherent wavelength-division-multiplexed 10.75-Gb/s binary phase-shift keyed
signals is successfully demonstrated using a 2-level HOPS based on a
semiconductor optical amplifier.
PMID- 24921337
TI - Superresolution microscope image reconstruction by spatiotemporal object
decomposition and association: application in resolving t-tubule structure in
skeletal muscle.
AB - One key factor that limits resolution of single-molecule superresolution
microscopy relates to the localization accuracy of the activated emitters, which
is usually deteriorated by two factors. One originates from the background noise
due to out-of-focus signals, sample auto-fluorescence, and camera acquisition
noise; and the other is due to the low photon count of emitters at a single
frame. With fast acquisition rate, the activated emitters can last multiple
frames before they transiently switch off or permanently bleach. Effectively
incorporating the temporal information of these emitters is critical to improve
the spatial resolution. However, majority of the existing reconstruction
algorithms locate the emitters frame by frame, discarding or underusing the
temporal information. Here we present a new image reconstruction algorithm based
on tracklets, short trajectories of the same objects. We improve the localization
accuracy by associating the same emitters from multiple frames to form tracklets
and by aggregating signals to enhance the signal to noise ratio. We also
introduce a weighted mean-shift algorithm (WMS) to automatically detect the
number of modes (emitters) in overlapping regions of tracklets so that not only
well-separated single emitters but also individual emitters within multi-emitter
groups can be identified and tracked. In combination with a maximum likelihood
estimator method (MLE), we are able to resolve low to medium density of
overlapping emitters with improved localization accuracy. We evaluate the
performance of our method with both synthetic and experimental data, and show
that the tracklet-based reconstruction is superior in localization accuracy,
particularly for weak signals embedded in a strong background. Using this method,
for the first time, we resolve the transverse tubule structure of the mammalian
skeletal muscle.
PMID- 24921339
TI - Plasmon modes in single gold nanodiscs.
AB - Optical properties of single gold nanodiscs were studied by scanning near-field
optical microscopy. Near-field transmission spectra of a single nanodisc
exhibited multiple plasmon resonances in the visible to near-infrared region.
Near-field transmission images observed at these resonance wavelengths show wavy
spatial features depending on the wavelength of observation. To clarify physical
pictures of the images, theoretical simulations based on spatial correlation
between electromagnetic fundamental modes inside and outside of the disc were
performed. Simulated images reproduced the observed spatial structures excited in
the disc. Mode-analysis of the simulated images indicates that the spatial
features observed in the transmission images originate mainly from a few
fundamental plasmon modes of the disc.
PMID- 24921340
TI - Role of heat accumulation on the incubation effect in multi-shot laser ablation
of stainless steel at high repetition rates.
AB - We study the incubation effect during laser ablation of stainless steel with
ultrashort pulses to boost the material removal efficiency at high repetition
rates. The multi-shot ablation threshold fluence has been estimated for two pulse
durations, 650-fs and 10-ps, in a range of repetition rates from 50 kHz to 1 MHz.
Our results show that the threshold fluence decreases with the number of laser
pulses N due to damage accumulation mechanisms, as expected. Moreover,
approaching the MHz regime, the onset of heat accumulation enhances the
incubation effect, which is in turn lower for shorter pulses at repetition rates
below 600 kHz. A saturation of the threshold fluence value is shown to occur for
a significantly high number of pulses, and well fitted by a modified incubation
model.
PMID- 24921341
TI - Highly coherent mid-IR supercontinuum by self-defocusing solitons in lithium
niobate waveguides with all-normal dispersion.
AB - We numerically investigate self-defocusing solitons in a lithium niobate (LN)
waveguide designed to have a large refractive index (RI) change. The waveguide
evokes strong waveguide dispersion and all-normal dispersion is found in the
entire guiding band spanning the near-IR and the beginning of the mid-IR.
Meanwhile, a self-defocusing nonlinearity is invoked by the cascaded (phase
mismatched) second-harmonic generation under a quasi-phase-matching pitch.
Combining this with the all-normal dispersion, mid-IR solitons can form and the
waveguide presents the first all-nonlinear and solitonic device where no linear
dispersion (i.e. non-solitonic) regimes exist within the guiding band. Soliton
compressions at 2 MUm and 3 MUm are investigated, with nano-joule single cycle
pulse formations and highly coherent octave-spanning supercontinuum generations.
With an alternative design on the waveguide dispersion, the soliton spectral
tunneling effect is also investigated, with which few-cycle pico-joule pulses at
2 MUm are formed by a near-IR pump.
PMID- 24921342
TI - Experimental demonstration of titanium nitride plasmonic interconnects.
AB - An insulator-metal-insulator plasmonic interconnect using TiN, a CMOS-compatible
material, is proposed and investigated experimentally at the telecommunication
wavelength of 1.55 um. The TiN waveguide was shown to obtain propagation losses
less than 0.8 dB/mm with a mode size of 9.8 um on sapphire, which agree well with
theoretical predictions. A theoretical analysis of a solid-state structure using
Si(3)N(4) superstrates and ultra-thin metal strips shows that propagation losses
less than 0.3 dB/mm with a mode size of 9 um are attainable. This work
illustrates the potential of TiN as a realistic plasmonic material for practical
solid-state, integrated nano-optic and hybrid photonic devices.
PMID- 24921343
TI - Enhanced light emission from Ge quantum dots in photonic crystal ring resonator.
AB - Light emitter based on Ge quantum dots embedded in photonic crystal ring
resonator is designed and fabricated. Six sharp resonant peaks dominate the
photoluminescence (PL) spectrum ranging from 1500 to 1600 nm at room temperature.
The light emission enhancement is due to Purcell effect and high collection
efficiency of the PCRR verified by calculated far-field patterns. The Purcell
factor of the PCRR is estimated from enhancement factor and increased collection
efficiency. The linewidth of the emission of a single Ge quantum dot is estimated
from the Purcell factor.
PMID- 24921344
TI - Estimation of the number of fluorescent end-members for quantitative analysis of
multispectral FLIM data.
AB - Multispectral fluorescence lifetime imaging (m-FLIM) can potentially allow
identifying the endogenous fluorophores present in biological tissue.
Quantitative description of such data requires estimating the number of
components in the sample, their characteristic fluorescent decays, and their
relative contributions or abundances. Unfortunately, this inverse problem usually
requires prior knowledge about the data, which is seldom available in biomedical
applications. This work presents a new methodology to estimate the number of
potential endogenous fluorophores present in biological tissue samples from time
domain m-FLIM data. Furthermore, a completely blind linear unmixing algorithm is
proposed. The method was validated using both synthetic and experimental m-FLIM
data. The experimental m-FLIM data include in-vivo measurements from healthy and
cancerous hamster cheek-pouch epithelial tissue, and ex-vivo measurements from
human coronary atherosclerotic plaques. The analysis of m-FLIM data from in-vivo
hamster oral mucosa identified healthy from precancerous lesions, based on the
relative concentration of their characteristic fluorophores. The algorithm also
provided a better description of atherosclerotic plaques in term of their
endogenous fluorophores. These results demonstrate the potential of this
methodology to provide quantitative description of tissue biochemical
composition.
PMID- 24921345
TI - A photonic analog-to-digital converter using phase modulation and self-coherent
detection with spatial oversampling.
AB - We propose a new type of photonic analog-to-digital converter (ADC), designed for
high-resolution (>7 bit) and high sampling rates (scalable to tens of GS/s). It
is based on encoding the input analog voltage signal onto the phase of an optical
pulse stream originating from a mode-locked laser, and uses spatial oversampling
as a means to improve the conversion resolution. This paper describes the concept
of spatial oversampling and draws its similarities to the commonly used temporal
oversampling. The design and fabrication of a LiNbO(3)/silica hybrid photonic
integrated circuit for implementing the spatial oversampling is shown, and its
abilities are demonstrated experimentally by digitizing gigahertz signals
(frequencies up to 18GHz) at an undersampled rate of 2.56GS/s with a conversion
resolution of up to 7.6 effective bits. Oversampling factors of 1-4 are
demonstrated.
PMID- 24921346
TI - Optical stacking of microparticles in a pyramidal structure created with a
symmetric cubic phase.
AB - We show a simple way to generate three dimensional optical potentials consisting
of tightly localized high intensity spots arranged in a structure with a
pyramidal geometry. The three dimensional patterns are created by focusing a
Gaussian beam with a symmetric cubic phase abs((ax)3) + abs((ay)3) imprinted by a
spatial light modulator. We show that it is possible to trap and stack around a
hundred dielectric microspheres (silica mean diameter 2.47 MUm) in pyramidal
structures (characteristic dimensions H, W ~ 15 - 20MUm) held together by optical
binding with moderate laser power (P < 20 mW). Axial stability is mainly provided
by balancing the light scattering force with the axial gradient and gravity. The
microparticle structures are sufficiently stable to be easily displaced by moving
the microscope stage.
PMID- 24921347
TI - A low-power, high-speed, 9-channel germanium-silicon electro-absorption modulator
array integrated with digital CMOS driver and wavelength multiplexer.
AB - We demonstrate the first germanium-silicon C-band electro-absorption based
waveguide modulator array and echelle-grating-based silicon wavelength
multiplexer integrated with a digital CMOS driver circuit. A 9-channel, 10Gbps
SiGe electro-absorption wavelength-multiplexed modulator array consumed a power
of 5.8mW per channel while being modulated at 10.25Gbps by 40nm CMOS drivers
delivering peak-to-peak voltage swings of 2V, achieving a modulation energy
efficiency of ~570fJ/bit including drivers. Performance up to 25Gbps on a single
channel SiGe modulator and CMOS driver is also reported.
PMID- 24921348
TI - Theoretical investigation of the more suitable rare earth to achieve high gain in
waveguide based on silica containing silicon nanograins doped with either Nd3+ or
Er3+ ions.
AB - We present a comparative study of the gain achievement in a waveguide whose
active layer is constituted by a silica matrix containing silicon nanograins
acting as sensitizer of either neodymium ions (Nd3+) or erbium ions (Er3+). By
means of an auxiliary differential equation and finite difference time domain
(ADE-FDTD) approach that we developed, we investigate the steady states regime of
both rare earths ions and silicon nanograins levels populations as well as the
electromagnetic field for different pumping powers ranging from 1 to 104 mW/mm2.
Moreover, the achievable gain has been estimated in this pumping range. The Nd3+
doped waveguide shows a higher gross gain per unit length at 1064 nm (up to 30
dB/cm) than the one with Er3+ doped active layer at 1532 nm (up to 2 dB/cm).
Taking into account the experimental background losses we demonstrate that a
significant positive net gain can only be achieved with the Nd3+ doped waveguide.
PMID- 24921349
TI - Guided-mode resonant polarization-controlled tunable color filters.
AB - We demonstrate efficient guided-mode resonant polarization-controlled tunable
color filters. The devices consist of subwavelength gratings that are partially
etched into a thin silicon-nitride film deposited on a glass substrate. Two color
filters with grating periods of 300 nm and 370 nm are designed and fabricated.
The 300-nm device exhibits green and blue colors and the 370-nm device generates
red and yellow colors for TE and TM polarization, respectively. The pixels have a
spectral bandwidth of ~12 nm with efficiencies exceeding 90% for TE polarization
and 80% for TM polarization. The devices may find application in displays, image
sensors, and biomedical imaging technologies.
PMID- 24921350
TI - Three-dimensional organic microlasers with low lasing thresholds fabricated by
multiphoton and UV lithography.
AB - Cuboid-shaped organic microcavities containing a pyrromethene laser dye and
supported upon a photonic crystal have been investigated as an approach to
reducing the lasing threshold of the cavities. Multiphoton lithography
facilitated fabrication of the cuboid cavities directly on the substrate or on
the decoupling structure, while similar structures were fabricated on the
substrate by UV lithography for comparison. Significant reduction of the lasing
threshold by a factor of ~30 has been observed for cavities supported by the
photonic crystal relative to those fabricated on the substrate. The lasing mode
spectra of the cuboid microresonators provide strong evidence showing that the
lasing modes are localized in the horizontal plane, with the shape of an
inscribed diamond.
PMID- 24921351
TI - Low power super resolution fluorescence microscopy by lifetime modification and
image reconstruction.
AB - We demonstrate a new method for obtaining sub-diffraction resolution in
fluorescence microscopy. The technique involves the analysis of the time
evolution of fluorescence images in the presence of weak and unstructured
(fundamental Gaussian) continuous wave stimulated emission depletion. A reduced
point spread functions (PSF) is obtained by the recombination of time segments of
the evolving image. A significant reduction in the PSF for 20 nm fluorescent
beads (ca. 240 nm to 125 nm) is obtained with an on-sample power of 7.5 mW (17
MW/cm2) - substantially lower than that required for spatially structured
stimulated emission depletion microscopy.
PMID- 24921352
TI - Imaging dark objects with intensity interferometry.
AB - We have developed a technique for imaging dark, i.e. non-radiating, objects by
intensity interferometry measurements using a thermal light source in the
background. This technique is based on encoding the dark object's profile into
the spatial coherence of such light. We demonstrate the image recovery using an
adaptive error-minimizing Gerchberg-Saxton algorithm in case of a completely
opaque object, and outline the steps for imaging purely refractive objects.
PMID- 24921353
TI - Design and fabrication of broadband guided-mode resonant reflectors in TE
polarization.
AB - We present the design and fabrication of guided-mode resonant broadband
reflectors operating in transverse electric (TE) polarization. The structure
consists of a subwavelength one-dimensional grating with a two-part period and a
nanometric homogeneous layer of amorphous silicon on a quartz substrate. A
representative reflector exhibits 99% reflectance over a 380-nm spectral range
spanning 1440-1820 nm. The fabrication involves thin-film deposition,
interferometric lithography, and reactive ion etching. Experimental reflectance
greater than 90% is achieved over a ~360-nm bandwidth. The spectral bandwidths
demonstrated exceed formerly reported results for two-part periodic resonators
working in TE polarization.
PMID- 24921354
TI - Photonic molecules: tailoring the coupling strength and sign.
AB - We demonstrate a large tuning of the coupling strength in Photonic Crystal
molecules without changing the inter-cavity distance. The key element for the
design is the "photonic barrier engineering", where the "potential barrier" is
formed by the air-holes in between the two cavities. This consists in changing
the hole radius of the central row in the barrier. As a result we show, both
numerically and experimentally, that the wavelength splitting in two evanescently
coupled Photonic Crystal L3 cavities (three holes missing in the GammaK direction
of the underlying triangular lattice) can be continuously controlled up to 5* the
initial value upon ~ 30% of hole-size modification in the barrier. Moreover, the
sign of the splitting can be reversed in such a way that the fundamental mode can
be either the symmetric or the anti-symmetric one without altering neither the
cavity geometry nor the inter-cavity distance. Coupling sign inversion is
explained in the framework of a Fabry-Perot model with underlying propagating
Bloch modes in coupled W1 waveguides.
PMID- 24921355
TI - Hyperbolic geometry for colour metrics.
AB - It is well established from both colour difference and colour order perpectives
that the colour space cannot be Euclidean. In spite of this, most colour spaces
still in use today are Euclidean, and the best Euclidean colour metrics are
performing comparably to state-of-the-art non-Euclidean metrics. In this paper,
it is shown that a transformation from Euclidean to hyperbolic geometry (i.e.,
constant negative curvature) for the chromatic plane can significantly improve
the performance of Euclidean colour metrics to the point where they are
statistically significantly better than state-of-the-art non-Euclidean metrics on
standard data sets. The resulting hyperbolic geometry nicely models both
qualitatively and quantitatively the hue super-importance phenomenon observed in
colour order systems.
PMID- 24921356
TI - Discrete photonics resonator in coupled waveguide arrays.
AB - We demonstrate both theoretically and experimentally that discrete diffraction
resonance can be designed, fabricated, and successfully probed in functionalized
guidonic - coupled waveguide arrays. We evidence that double-barrier patterning
of the coupling creates wavelength-independent angular tunnel resonance in the
transmitted and the reflected intensity of light beams freely propagating in the
plane of the array. Transmission peaks obtained are associated with resonant
excitation of the engineered array bound supermodes of the functionalized array,
in agreement with accurate and practical numerical modeling based on extended
coupled-mode theory. The linear operation of the guidonic resonant tunneling
double barrier makes up an original resonator for discrete photonics, suitable
for all-optical control of light.
PMID- 24921357
TI - Enhancement of light extraction efficiency of OLEDs using Si3N4-based optical
scattering layer.
AB - An optical scattering layer, consisting of a Si3N4 nano-pillar array and a spin
coated hydrogen silsesquioxane (HSQ) planarization layer, was introduced to an
organic light-emitting diode (OLED) substrate to increase the out-coupling
efficiency. After plasma enhanced chemical vapor deposition (PECVD) of the Si3N4
layer, the nano-pillar array was created using nanoimprint lithography and
reactive ion etching. As the Si3N4 pillar array has a refractive index of 2.0,
photons generated in the organic layer are scattered by the Si3N4 structures and
thus have a higher chance of being emitted from the device. The spin-coated HSQ
planarization layer produces a flat substrate, which is essential for depositing
a uniform organic material layer and assuring the electric conductivity of the
transparent conducting oxide (TCO) layer. In this study, Si3N4 nano-structures
with a height of 100 or 300 nm were used to enhance the out-coupling efficiency
of the OLED devices. Although the electrical conductivity of the TCO layer
deposited on the light scattering layer was slightly degraded, the OLED devices
formed with the light scattering layer exhibited a higher luminous power at given
electrical power. Consequently, the use of a planarized 300-nm-thick Si3N4 layer
increased the external quantum efficiency of the OLED device by 50% at 10,000
cd/m2 compared to the reference OLED device fabricated on a flat glass substrate.
PMID- 24921358
TI - Fast, super resolution imaging via Bessel-beam stimulated emission depletion
microscopy.
AB - A substantial advantage of stimulated emission depletion (STED) microscopy over
other super-resolution methods is that images can be acquired in real-time
without any post-processing. However imaging speed and photodamage are two major
concerns for STED imaging of whole cells. Here we propose a new microscopy method
we have termed Bessel-Beam STED (or BB-STED) that overcomes both of these
limitations of conventional STED microscopy. In the proposed method, rather than
exciting a single STED spot in the sample, an entire line of the sample is
illuminated. This line-scanning technique dramatically increases the speed of
STED. In addition, plane-illumination by scanning of the line across the focal
plane of a detection objective limits the light to a thin layer of the sample and
thus significantly reduces photobleaching and photodamage above and below the
focal plane compared to epi-illumination. Using the organic dye Atto647N as an
example, we calculated the STED power required to break the diffraction limit.
The results presented here will be used to guide future experimental designs.
PMID- 24921359
TI - Modeling of optomechanical coupling in a phoxonic crystal cavity in diamond.
AB - A photonic and phononic crystal (phoxonic crystal PxC) is a periodically
patterned material that can at the same time localize optical and mechanical
modes. Here we theoretically model one-dimensional PxC in diamond and find high
quality mechanical resonances with very high frequencies > 10 GHz and optical
properties comparable to those of PxC in other materials. The simultaneous
confinement of photons and phonons leads to an optomechanical interaction that we
calculate in a perturbation approach. The optomechanical coupling strengths reach
values in the MHz range. We identify design rules to simultaneously achieve high
optical and mechanical quality factors along with strong optomechanical coupling.
PMID- 24921360
TI - Spoof polariton enhanced modal density of states in planar nanostructured
metallic cavities.
AB - Spoof surface modes on nanostructured metallic surfaces are known to have
tailorable dispersion dependent on the geometric characteristics of the periodic
pattern. Here we examine the spoof plasmon dispersion on an isolated grating and
a grating-planar mirror cavity configuration. The spoof polariton dispersion in
the cavity is obtained using the scattering matrix approach, and the related
differential modal density of states is introduced to obtain the mode dispersion
and classify the cavity polariton modes. The grating-mirror cavity geometry is an
example of periodically nanostructured metals above a planar ground plane. The
properties discussed here are relevant for applications ranging from thin
electromagnetic perfect absorbers to near-field radiative heat transfer.
PMID- 24921361
TI - Assessing the stability of an ALPAO deformable mirror for feed-forward operation.
AB - A deformable mirror (DM) is a mirror whose surface can be deformed in order to
correct for optical aberrations. If a DM is used in a feed-forward operation
(i.e. without feed-back, also known as open-loop) it is, among other
requirements, crucial that a set of actuator commands repeatedly results in the
same surface shape. We have tested an ALPAO DM against this criterion, by
repeatedly applying a set of actuator commands over hours and monitoring the DM
shape with an interferometer. We found that if the surface shape was held to
shape A for several hours, then changed to a second shape, B, the DM surface will
drift from this new shape over the course of several hours. During this period
the root-mean-square (RMS) of the deviation from shape B can exceed 30% of the
RMS of the difference between shapes A and B. This can correspond to a surface
deviation with RMS of several hundred nanometers, and would severely impact the
resulting performance of an AO system using such a DM in a feed-forward
operation. We have developed a model to correct for the time-varying surface
shape in software by continuously adapting the actuator commands over the
stabilization period. Application of the stabilisation procedure allows the
surface to remain stable to within 4 nm RMS after a period of 6 minutes. We also
provide a suggestion on how to improve the repeatability of surface response to
different sets of actuator commands, which can be affected by the surface drift.
PMID- 24921362
TI - Near infrared amplified spontaneous emission in a dye-doped polymeric waveguide
for active plasmonic applications.
AB - Near-infrared amplified spontaneous emission (ASE) from an optically-pumped dye
doped polymeric slab waveguide, consisting of IR-140 in PMMA on a glass
substrate, has been characterised. The ASE gain was measured using the variable
stripe length method. Linewidth narrowing with increasing pump intensity was
observed, indicating ASE gain in this material. The effects of the dye
concentration and pump intensity on the gain were investigated under linear
operation. The maximum achieved gain coefficient is gamma ~68 cm(-1) for a film
with 0.8 wt % of IR-140 to PMMA for a pump intensity of 43.4 mJ/cm(2). The
polarisation dependence of the ASE gain was also investigated by measuring the
gain coefficient of orthogonal TE and TM modes and varying the pump polarisation
relative to the amplifier length. It was observed that there is some degree of
gain anisotropy when the pump polarisation is aligned perpendicular to the
length, but that the gain was isotropic when the pump polarisation is aligned
parallel the length. The applicability of IR-140 doped PMMA for active plasmonic
applications is discussed.
PMID- 24921363
TI - Sub-50-fs pulse generation from thulium-doped ZBLAN fiber laser oscillator.
AB - An ultrafast, passively mode-locked fiber laser oscillator has been realized
using thulium-doped ZBLAN fibers. Very low dispersion of ZBLAN glass fibers
enabled generation of pulses with broad spectra extending from 1730 nm to 2050
nm. Pulses are obtained with the average power of 13 mW at the repetition rate of
67.5 MHz when the pump power is 140 mW. The output pulses are compressed with a
pair of SF10 prisms and their durations are measured with SHG FROG, from which we
obtained the pulse duration as short as 45 fs.
PMID- 24921364
TI - Polarization-insensitive wavelength conversion of 40 Gb/s NRZ-DPSK signals in a
silicon polarization diversity circuit.
AB - Polarization insensitive wavelength conversion of a 40 Gb/s non-return-to-zero
(NRZ) differential phase-shift keying (DPSK) data signal is demonstrated using
four-wave mixing (FWM) in a silicon nanowire circuit. Polarization independence
is achieved using a diversity circuit based on polarization rotators and
splitters, which is fabricated by a simple process on the silicon-on-insulator
(SOI) platform. Error-free performance is achieved with only 0.5 dB of power
penalty compared to the wavelength conversion of a signal with well optimized
input polarization. Additionally, data transmission over 161 km standard single
mode fiber (SSMF) is demonstrated at 40 Gb/s using optical phase conjugation
(OPC) in the proposed circuit.
PMID- 24921365
TI - Ultra-broadband THz time-domain spectroscopy of common polymers using THz air
photonics.
AB - Terahertz-range dielectric properties of the common polymers low-density
polyethylene (LDPE), cyclic olefin/ethylene copolymer (TOPAS(r)), polyamide-6
(PA6), and polytetrafluoroethylene (PTFE or Teflon(r)) are characterized in the
ultra-broadband frequency window 2-15 THz, using a THz time-domain spectrometer
employing air-photonics for the generation and detection of single-cycle sub-50
fs THz transients. The time domain measurements provide direct access to both the
absorption and refractive index spectra. The polymers LDPE and TOPAS(r)
demonstrate negligible absorption and spectrally-flat refractive index across the
entire spectroscopy window, revealing the high potential of these polymers for
applications in THz photonics such as ultra-broadband polymer-based dielectric
mirrors, waveguides, and fibers. Resonant high-frequency polar vibrational modes
are observed and assigned in polymers PA6 and PTFE, and their dielectric
functions in the complete frequency window 2-15 THz are theoretically reproduced.
Our results demonstrate the potential of ultra-broadband air-photonics-based THz
time domain spectroscopy as a valuable analytic tool for materials science.
PMID- 24921366
TI - Effects of dielectric thickness on optical behavior and tunability of one
dimensional Ag/SiO2 multilayered metamaterials.
AB - We fabricated one-dimensional periodic multilayered metamaterial structures
consisting of Ag and SiO2alternating layers. Optical responses, such as
transmission and absorption, are consistent well within finite difference time
domain (FDTD) simulations. Angle dependent real and imaginary dielectric
permittivity reflection spectra demonstrate their operational capability in the
visible wavelength region. This multilayer metamaterial can be converted into a
photonic crystal by manipulating the thickness of SiO2 and we demonstrate that
proper filling of SiO2/Ag layers the operating wavelength can be tuned to higher
wavelength region. However, absolute value of transmission reduces with
increasing number of multilayer pairs due to metal absorption.
PMID- 24921367
TI - Bandwidth-tunable optical spatial filters with nanoparticle arrays.
AB - Modeling a nanoparticle array (NPA) inside a thin glass slab as a lumped optical
resonator, we propose a systematic approach to design for an efficient optical
filter with bandwidth tunability. The quality factor and bandwidth of the
resonator are related to the physical, geometrical, and electrical parameters of
an NPA and its surrounding medium, whose permittivity is varied to change the
bandwidth. We propose a structure amenable to our design approach consisting of
an NPA slab surrounded by liquid crystal whose permittivity can be altered. We
validate the design procedure with examples of tunable-bandwidth filters at
different frequency regimes from NIR to blue.
PMID- 24921368
TI - A high transmittance color liquid crystal display mode with controllable color
gamut and transparency.
AB - In this paper, we propose a color transparent liquid crystal (LC) mode that can
control the properties of the color gamut and transparency in a single panel. To
achieve high transmittance in the transparent LC mode, a reactive mesogen (RM)
with embedded color dichroic dyes was applied instead of a color filter.
Basically, the LC mode applied a 3-terminal electrode structure to switch between
the transparent LC mode and the conventional color LC mode. Depending on the
direction of the applied voltage, we can operate both the color mode and the
transparent mode in a single panel, and modulate the transparency and color
purity of the cell through appropriate voltage control. In the experiments, we
confirmed that the transmittance and the color gamut of the cell were 39.4% and
2% in the transparent LC mode and 14.9% and 34% in the color LC mode,
respectively. Modulation of the color gamut and transparency between each LC mode
are also demonstrated in the paper.
PMID- 24921369
TI - Ptychographic microscope for three-dimensional imaging.
AB - Ptychography is a coherent imaging technique that enables an image of a specimen
to be generated from a set of diffraction patterns. One limitation of the
technique is the assumption of a multiplicative interaction between the
illuminating coherent beam and the specimen, which restricts ptychography to
samples no thicker than a few tens of micrometers in the case of visible-light
imaging at micron-scale resolution. By splitting a sample into axial sections, we
demonstrated in recent work that this thickness restriction can be relaxed and
whats-more, that coarse optical sectioning can be realized using a single
ptychographic data set. Here we apply our technique to data collected from a
modified optical microscope to realize a reduction in the optical sectioning
depth to 2 MUm in the axial direction for samples up to 150 MUm thick.
Furthermore, we increase the number of sections that are imaged from 5 in our
previous work to 34 here. Our results compare well with sectioned images
collected from a confocal microscope but have the added advantage of strong phase
contrast, which removes the need for sample staining.
PMID- 24921370
TI - Coherent perfect absorption and transparency in a nanostructured graphene film.
AB - We show numerically that both coherent perfect absorption and transparency can be
realized in a monolayer graphene. The graphene film, doped and patterned with a
periodical array of holes, can support plasmonic resonances in the Mid-infrared
range. Under the illumination of two counter-propagating coherent optical beams,
resonant optical absorption may be tuned continuously from 99.93% to less than
0.01% by controlling their relative phase which gives a modulation contrast of 40
dB (about 30 dB for transmission). The phenomenon provides a versatile platform
for manipulating the interaction between light and graphene and may serve
applications in optical modulators, transducers, sensors and coherent detectors.
PMID- 24921371
TI - Identification of wheat quality using THz spectrum.
AB - The terahertz (THz) spectra in the range of 0.2-1.6 THz (6.6-52.8 cm
1) of wheat grains with various degrees of deterioration (normal, worm
eaten, moldy, and sprouting wheat grains) were investigated by terahertz time
domain spectroscopy. Principal component analysis (PCA) was employed to extract
feature data according to the cumulative contribution rates; the top four
principal components were selected, and then a support vector machine (SVM)
method was applied. Several selection kernels (linear, polynomial, and radial
basis functions) were applied to identify the four types of wheat grain. The
results showed that the materials were identified with an accuracy of nearly 95%.
Furthermore, this approach was compared with others (principal component
regression, partial least squares regression, and back-propagation neural
networks). The comparisons showed that PCA-SVM outperformed the others and also
indicated that the proposed method of THz technology combined with PCA-SVM is
efficient and feasible for identifying wheat of different qualities.
PMID- 24921372
TI - Microstructured gradient-index antireflective coating fabricated on a fiber tip
with direct laser writing.
AB - We present a simple broadband gradient-index antireflective coating, fabricated
directly on a single mode telecom fiber tip. A regular array of hemi-ellipsoidal
protrusions significantly reduce the Fresnel reflection from the glass-air
interface. The parameters of the structure were optimized with numerical
simulation for the best performance at and around 1550 nm and the coating was
fabricated with Direct Laser Writing. The measured reflectance decreased by a
factor of 30 at 1550 nm and was below 0.28% for the 100 nm spectral band around
the central wavelength. Compared to quarter wavelength antireflective coatings
the demonstrated approach offers significantly reduced technological challenges,
in particular processing of a single optical material with low sensitivity to
imperfections in the fabrication process.
PMID- 24921373
TI - Antenna gain of actively compensated free-space optical communication systems
under strong turbulence conditions.
AB - Current Strehl ratio models for actively compensated free-space optical
communications terminals do not accurately predict system performance under
strong turbulence conditions as they are based on weak turbulence theory. For
evaluation of compensated systems, we present an approach for simulating the
Strehl ratio with both low-order (tip/tilt) and higher-order (adaptive optics)
correction. Our simulation results are then compared to the published models and
their range of turbulence validity is assessed. Finally, we propose a new Strehl
ratio model and antenna gain equation that are valid for general turbulence
conditions independent of the degree of compensation.
PMID- 24921374
TI - Generation of multipartite continuous-variable entanglement via atomic spin wave:
Heisenberg-Langevin approach.
AB - We conduct theoretical studies on the effects of various parameters on generation
of multipartite continuous-variable entanglement via atomic spin wave induced by
the strong coupling and probe fields in the Lambda-type electromagnetically
induced transparency configuration in a realistic atomic ensemble by using the
Heisenberg-Langevin formalism. It is shown that the increase of the atomic
density and/or Rabi frequencies of the scattering fields, as well as the decrease
of the coherence decay rate of the lower doublet would strengthen the degree of
multipartite entanglement. This provides a clear evidence that the creation of
multicolor multipartite entangled narrow-band fields to any desired number with a
long correlation time can be achieved conveniently by using atomic spin wave in
an atomic ensemble with large optical depth, which may find interesting
applications in quantum information processing and quantum networks.
PMID- 24921375
TI - Junction-less phototransistor with nanowire channels, a modeling study.
AB - We propose a new nanowire based, junction-less phototransistor, that consists of
a channel with both wide and narrow regions to ensure efficient light absorption
and low dark current, respectively. While the light is absorbed in the wide
region, the narrow region allows for ease of band engineering. We also find that
a nanowire in the source can further boost the optical gain. The proposed device,
which can potentially detect very low light intensities, does not rely on
complicated doping profiles, but instead uses suitably designed gates. Our
calculations show the detection of a photon flux as low as 35 per second.
PMID- 24921376
TI - Design and optimization of a parallel spectrometer for ultra-fast X-ray science.
AB - In the present work, different varied line space (VLS) and reflection zone plate
(RZP) gratings are analyzed for their suitability in low-signal femtosecond soft
X-ray spectroscopy. The need for high efficiency suggests a straightened focal
line whose sharpness and residual curvature will determine the quality. One- and
two-dimensional VLS structures feature an attractive trade-off between a
sufficient optical performance and a strongly relaxed fabrication, due to
moderate line densities which are easily accessible by e-beam lithography. Based
on fanned-out RZP arrays, their continuous limit version is identified to
generate an almost perfect focal line however, with an aberration level three
orders of magnitude better than for the VLS gratings and well below the
diffraction limit over large acceptance angles.
PMID- 24921377
TI - Enpolarization and depolarization of light scattered from chromatic complex
media.
AB - Influence of the variations of the scattering properties of a disordered medium
with respect to frequency on the polarization of scattered light is investigated.
We focus on the strongly scattering regime with the sum of random phasors
scattering model that is extended to chromatic media and made frequency
sensitive. It is numerically shown how the scattered polarization depends on the
incident polarization and the incident light bandwidth to scattering coefficients
chromatic length ratio. Under the presented approach, both phenomena of
depolarization and enpolarization of light appear unified.
PMID- 24921378
TI - Universal method for constructing N-port non-blocking optical router based on 2 *
2 optical switch for photonic networks-on-chip.
AB - We propose a universal method for constructing N-port non-blocking optical router
for photonic networks-on-chip, in which all microring (MR) optical switches or
Mach-Zehnder (M-Z) optical switches behave as 2 * 2 optical switches. The optical
router constructed by the proposed method has minimum optical switches, in which
the number of the optical switches is reduced about 50% compared to the reported
optical routers based on MR optical switches and more than 30% compared to the
reported optical routers based on M-Z optical switches, and therefore is more
compact in footprint and more power-efficient. We also present a strict
mathematical proof of the non-blocking routing of the proposed N-port optical
router.
PMID- 24921379
TI - A high-speed, tunable silicon photonic ring modulator integrated with ultra
efficient active wavelength control.
AB - We report the first complete 10G silicon photonic ring modulator with integrated
ultra-efficient CMOS driver and closed-loop wavelength control. A selective
substrate removal technique was used to improve the ring tuning efficiency.
Limited by the thermal tuner driver output power, a maximum open-loop tuning
range of about 4.5nm was measured with about 14mW of total tuning power including
the heater driver circuit power consumption. Stable wavelength locking was
achieved with a low-power mixed-signal closed-loop wavelength controller. An
active wavelength tracking range of > 500GHz was demonstrated with controller
energy cost of only 20fJ/bit.
PMID- 24921380
TI - Optimization of overlap uniformness for ptychography.
AB - We demonstrate the advantages of imaging with ptychography scans that follow a
Fermat spiral trajectory. This scan pattern provides a more uniform coverage and
a higher overlap ratio with the same number of scan points over the same area
than the presently used mesh and concentric [13] patterns. Under realistically
imperfect measurement conditions, numerical simulations show that the quality of
the reconstructed image is improved significantly with a Fermat spiral compared
with a concentric scan pattern. The result is confirmed by the performance
enhancement with experimental data, especially under low-overlap conditions.
These results suggest that the Fermat spiral pattern increases the quality of the
reconstructed image and tolerance to data with imperfections.
PMID- 24921381
TI - Refractive index sensor based on the leaky radiation of a microfiber.
AB - In this work we present a refractive index sensor based on the leaky radiation of
a microfiber. The 5.3um diameter microfiber is fabricated by drawing a commercial
optical fiber. When the microfiber is immersed into a liquid with larger
refractive index than the effective index of fiber mode, the light will leak out
through the leaky radiation process. The variation of refractive index of liquid
can be monitored by measuring radiation angle of light. The refractive index
sensitivity can be over 400 degree/RIU in theory. In the experiment, the
variation value 0.001 of refractive index of liquid around this microfiber can be
detected through this technique. This work provides a simple and sensitive method
for refractive index sensing application.
PMID- 24921382
TI - Rigorous microlens design using vector electromagnetic method combined with
simulated annealing optimization.
AB - In this paper, finite-aperture diffractive optical element with its critical
dimension smaller than illumination wavelength is modeled and optimized using an
integrated method. This method employs rigorous analysis model based on Finite
Difference Time Domain (FDTD), and simulated annealing (SA) global search
algorithm. Numerical results reveal that the diffraction efficiency of the 8-step
microlens quickly climbs to its global optimum along with the optimization
process, which manifests its global search ability. The design algorithm and
implementation are discussed in details. Considering its time consuming
efficiency and global search ability, our method provides valuable reference
value in practical multistep microlens design.
PMID- 24921383
TI - Stepwise angular spectrum method for curved surface diffraction.
AB - We present a method to calculate wave propagation between arbitrary curved
surfaces using a staircase approximation approach. The entire curved surface is
divided into multiple subregions and each curved subregion is approximated by a
piecewise flat subplane allowing the application of conventional diffraction
theory. In addition, in order to reflect the local curvature of each subregion,
we apply the phase compensation technique. Analytical expressions are derived
based on the angular spectrum method and numerical studies are conducted to
validate our method.
PMID- 24921384
TI - Time domain multiplexed spatial division multiplexing receiver.
AB - A novel time domain multiplexed (TDM) spatial division multiplexing (SDM)
receiver which allows for the reception of >1 dual polarization mode with a
single coherent receiver, and corresponding 4-port oscilloscope, is
experimentally demonstrated. Received by two coherent receivers and respective 4
port oscilloscopes, a 3 mode transmission of 28GBaud QPSK, 8, 16, and 32QAM over
41.7km of few-mode fiber demonstrates the performance of the TDM-SDM receiver
with respect to back-to-back. In addition, by using carrier phase estimation
employing one digital phase locked loop per output, the frequency offset between
the transmitter laser and local oscillator is shown to perform similar to
previous work which employs 3 coherent receivers and 4-port oscilloscopes which
are dedicated to the reception of each the three modes.
PMID- 24921385
TI - Plasmon hybridization in pyramidal metamaterials: a route towards ultra-broadband
absorption.
AB - Pyramidal metamaterials are currently developed for ultra-broadband absorbers.
They consist of periodic arrays of alternating metal/dielectric layers forming
truncated square-based pyramids. The metallic layers of increasing lengths play
the role of vertically and, to a less extent, laterally coupled plasmonic
resonators. Based on detailed numerical simulations, we demonstrate that plasmon
hybridization between such resonators helps in achieving ultra-broadband
absorption. The dipolar modes of individual resonators are shown to be prominent
in the electromagnetic coupling mechanism. Lateral coupling between adjacent
pyramids and vertical coupling between alternating layers are proven to be key
parameters for tuning of plasmon hybridization. Following optimization, the
operational bandwidth of Au/Ge pyramids, i.e. the bandwidth within which
absorption is higher than 90%, extends over a 0.2-5.8 um wavelength range, i.e.
from UV-visible to mid-infrared, and total absorption (integrated over the
operational bandwidth) amounts to 98.0%. The omni-directional and polarization
independent high-absorption properties of the device are verified. Moreover, we
show that the choice of the dielectric layer material (Si versus Ge) is not
critical for achieving ultra-broadband characteristics, which confers versatility
for both design and fabrication. Realistic fabrication scenarios are briefly
discussed. This plasmon hybridization route could be useful in developing
photothermal devices, thermal emitters or shielding devices that dissimulate
objects from near infrared detectors.
PMID- 24921386
TI - Direct-writing of complex liquid crystal patterns.
AB - We report on a direct-write system for patterning of arbitrary, high-quality,
continuous liquid crystal (LC) alignment patterns. The system uses a focused UV
laser and XY scanning stages to expose a photoalignment layer, which then aligns
a subsequent LC layer. We intentionally arrange for multiple overlapping
exposures of the photoalignment material by a scanned Gaussian beam, often with a
plurality of polarizations and intensities, in order to promote continuous and
precise LC alignment. This type of exposure protocol has not been well
investigated, and sometimes results in unexpected LC responses. Ultimately, this
enables us to create continuous alignment patterns with feature sizes smaller
than the recording beam. We describe the system design along with a thorough
mathematical system description, starting from the direct-write system inputs and
ending with the estimated alignment of the LC. We fabricate a number of test
patterns to validate our system model, then design and fabricate a number of
interesting well-known elements, including a q-plate and polarization grating.
PMID- 24921387
TI - An adaptive scaling and biasing scheme for OFDM-based visible light communication
systems.
AB - Orthogonal frequency-division multiplexing (OFDM) has been widely used in visible
light communication systems to achieve high-rate data transmission. Due to the
nonlinear transfer characteristics of light emitting diodes (LEDs) and owing the
high peak-to-average-power ratio of OFDM signals, the transmitted signal has to
be scaled and biased before modulating the LEDs. In this contribution, an
adaptive scaling and biasing scheme is proposed for OFDM-based visible light
communication systems, which fully exploits the dynamic range of the LEDs and
improves the achievable system performance. Specifically, the proposed scheme
calculates near-optimal scaling and biasing factors for each specific OFDM symbol
according to the distribution of the signals, which strikes an attractive trade
off between the effective signal power and the clipping-distortion power. Our
simulation results demonstrate that the proposed scheme significantly improves
the performance without changing the LED's emitted power, while maintaining the
same receiver structure.
PMID- 24921389
TI - Transforming growth factor-beta1 regulates the telomerase reverse transcriptase
in rat hepatic stellate cells.
AB - OBJECTIVE: To determine the effect of transforming growth factor-beta1 (TGF
beta1) on the expression of telomerase in hepatic stellate cells (HSCs) in rats
and the role of TGF-beta1 in the development of liver fibrosis. METHODS: Primary
HSCs were isolated from normal rats by density gradient separation and divided
into 2 groups for culturing. The morphology of HSCs was identified by the
inverted fluorescence microscope. The purity of HSCs was identified by
immunohistological expression and fluorescence analysis. One group of HSCs was
treated with different concentrations (0, 0.1, 1, and 10 ng/mL) of TGF-beta1 for
24 h, while the other group was treated with 1 ng/mL TGF-beta1 and cultured for
3, 6, and 9 days. The mRNA expression of telomerase reverse transcriptase (TERT)
was assessed and compared by polymerase chain reaction. RESULTS: Cell morphology
showed that TGF-beta1 triggered the differentiation of HSCs from a quiescent
phenotype into highly activated myofibroblasts. TERT mRNA expression in the
primary HSCs showed slight increase with the culture time, though with no
statistical difference between the results at various time points (P>0.05). TGF
beta1 at 0.1 ng/mL did not significantly affect the TERT mRNA level compared with
the 0 ng/mL group, while 1 ng/mL and 10 ng/mL TGF-beta1 significantly decreased
the level of TERT mRNA (P<0.05). TGF-beta1 at 1 ng/mL had only weak effect on
TERT mRNA expression after the 3 day treatment compared with the 0 ng/mL group
(P>0.05). TGF-beta1 at 1 ng/mL significantly inhibited TERT mRNA expression 6
days after the treatment (P<0.05). TGF-beta1 inhibited the expression of TERT
mRNA level in the HSCs in both dose- and time-dependent manner. CONCLUSION: TGF
beta1 may contribute to the transdifferentiation of HSCs by reducing TERT levels
to develop hepatic fibrosis.
PMID- 24921390
TI - Association between two functional SNPs of SCN1A gene and efficacy of
carbamazepine monotherapy for focal seizures in Chinese Han epileptic patients.
AB - OBJECTIVE: To investigate whether single nucleotide polymorphisms (SNPs) of
rs2298771 and rs3812718 of the sodium channel alpha-subunit type 1 (SCN1A) gene
affect the efficacy of carbamazepine (CBZ) treatment for seizures in Chinese Han
epileptic patients. METHODS: SNP rs2298771 and rs3812718 of the SCN1A gene from
628 patients were genotyped. CBZ monotherapy was administered to the subjects
with new-onset partial seizures. The efficacy was defined as the decrease in the
number of seizures. Four semi-quantitative levels were used to assess the
efficacy: seizure-free (SF), >75% seizure decrease (SD), 50%-75% SD, and <50% SD
in the number of seizures compared with patients' initial conditions. RESULTS:
After the 12 month treatment with CBZ monotherapy, the rate of SF patients with G
allele of the SNP rs2298771 was significantly lower than that in patients with
the AA genotype (P=0.003). The heterozygote and homozygote of the G allele at SNP
rs2298771 predicted the low SF rate (OR=2.101, 95% CI 1.289-3.425). Marginal
significance was observed between the dichotomous efficacy of SF and non-SF in 3
partial seizure types (P=0.028). CONCLUSION: rs2298771 is significantly
associated with the efficacy of CBZ monotherapy in Chinese Han epileptic
patients.
PMID- 24921388
TI - Reliability of directional information in unsorted spikes and local field
potentials recorded in human motor cortex.
AB - OBJECTIVE: Action potentials and local field potentials (LFPs) recorded in
primary motor cortex contain information about the direction of movement. LFPs
are assumed to be more robust to signal instabilities than action potentials,
which makes LFPs, along with action potentials, a promising signal source for
brain-computer interface applications. Still, relatively little research has
directly compared the utility of LFPs to action potentials in decoding movement
direction in human motor cortex. APPROACH: We conducted intracortical multi
electrode recordings in motor cortex of two persons (T2 and [S3]) as they
performed a motor imagery task. We then compared the offline decoding performance
of LFPs and spiking extracted from the same data recorded across a one-year
period in each participant. MAIN RESULTS: We obtained offline prediction accuracy
of movement direction and endpoint velocity in multiple LFP bands, with the best
performance in the highest (200-400 Hz) LFP frequency band, presumably also
containing low-pass filtered action potentials. Cross-frequency correlations of
preferred directions and directional modulation index showed high similarity of
directional information between action potential firing rates (spiking) and high
frequency LFPs (70-400 Hz), and increasing disparity with lower frequency bands
(0-7, 10-40 and 50-65 Hz). Spikes predicted the direction of intended movement
more accurately than any individual LFP band, however combined decoding of all
LFPs was statistically indistinguishable from spike-based performance. As the
quality of spiking signals (i.e. signal amplitude) and the number of
significantly modulated spiking units decreased, the offline decoding performance
decreased 3.6[5.65]%/month (for T2 and [S3] respectively). The decrease in the
number of significantly modulated LFP signals and their decoding accuracy
followed a similar trend (2.4[2.85]%/month, ANCOVA, p = 0.27[0.03]).
SIGNIFICANCE: Field potentials provided comparable offline decoding performance
to unsorted spikes. Thus, LFPs may provide useful external device control using
current human intracortical recording technology. ( CLINICAL TRIAL REGISTRATION
NUMBER: NCT00912041.).
PMID- 24921391
TI - [Expression of Ephrin-B2 after focal cerebral ischemia in rats].
AB - OBJECTIVE: To explore the expression profile of Ephrin-B2 in the ischemic
penumbra after transient focal cerebral ischemia in rats, and to clarify the
mechanism of Ephrin-B2 triggering angiogenesis. METHODS: Sprague-Dawley rats were
randomly divided into a normal group, a sham operation group and ischemic
reperfusion 1, 3, 7, 14, and 28 d groups. Suture-occluded method was used to
establish the focal middle cerebral artery occlusion model and the ischemic brain
was reperfused 2 h after the occlusion. Western blot and quantitative real-time
reverse-transcription polymerase chain reaction were used to detect the dynamic
expression profile of Ephrin-B2 in the penumbra cortex. Double immunofluorescence
was used to speculate the location and the co-expression of Ephrin-B2 in blood
vessels, neurons and astrocytes. Microvessel density was quantified by the number
of CD31+ cells. Rats were subjected to neurologic functional tests by modified
neurological severity scores (mNSS) before sacrifice. RESULTS: Compared with the
sham group, Ephrin-B2 protein and mRNA level of the penumbra cortex in the
ischemic group increased 3 days (P<0.05) after the reperfusion, peaked at day 7
and 14 (P<0.01), and declined at day 28. Double immunofluorescence indicated that
Ehprin-b2 was expressed in the neurons, blood vessels and astrocytes; mNSS peaked
at day 7, and gradually declined at day 14. The microvessel density of penumbra
cortex in the ischemic group increased 3 days (P<0.05) after the reperfusion,
peaked at day 14 (P<0.01), and gradually declined at 48 h. CONCLUSION: Cerebral
ischemia reperfusion induces the over-expression of Ephrin-B2, with a dynamic
trend, suggesting that Ehprin-b2 may improve post-stroke functional recovery by
enhancing angiogenesis and neurogenesis.
PMID- 24921392
TI - [Immunological mechanism of class IV lupus nephritis through lymphocyte subsets
and cytokine profile].
AB - OBJECTIVE: To obtain a global view of lymphocyte subset changes in the peripheral
blood and cytokine profile in patients with class IV lupus nephritis (LN).
METHODS: A total of 30 patients with biopsy proven active class IV LN, 30
patients with biopsy proven active class V LN, and 30 healthy controls were
enrolled. Serum concentration of Th1 cytokines (IFN-gamma, IL-1, IL-2, and TNF
alpha) and Th2 cytokines (IL-4, IL-5, IL-6, IL-10, IL-13) were simultaneously
analyzed by Fast Quant Human Th1/Th2 protein array. The expression of lymphocyte
subsets was measured by flow cytometer. Clinical parameters such as urine protein
of 24 h, autoantibodies and complement were detected. Pearson analysis was used
to examine the relation between lymphocyte subsets and clinical parameters,
cytokine and clinical parameters. RESULTS: The patients with class IV LN had
evident anemia (P<0.001), hypocomplementemia, and hypoalbuminemia (P<0.05). There
were no significant difference both in the ratio and number of CD4+ lymphocytes
between the controls and the patients. In the patients with class IV LN, the
ratio and number of CD4+ lymphocytes were both lower than those of the controls
(P<0.01). The ratio and number of CD20+ lymphocytes were both higher than those
of the controls (P<0.05), and a significant decrease in CD4+CD25+Foxp3+
regulatory T cells (Tregs) was observed in the patients compared with healthy age
matched controls (P<0.001). The abnormality of lymphocytes in class IV patients
was obviously notable, especially in CD4+CD25+Foxp3+ regulatory T cells. In class
IV patients, most of the detected cytokines levels were markedly elevated as
compared with the controls, including Th2 cytokines INF-gamma (P<0.05), IL-2
(P<0.05) and TNF-alpha (P<0.01), and Th2 cytokines IL-4 (P<0.05), IL-6 (P<0.05),
IL-10 (P<0.01) and IL-13 (P<0.01). Only 4 out of 9 cytokines significantly
increased in class V patients. In addition to IL-2, all of them belonged to Th2
(IL-4, IL-10 and IL-13) cytokines. There was negative correlation between
CD4+CD25+Foxp3+ regulatory cells and urine protein, anti-dsDNA titer or SLEDAI
(r=-0.781, -0.746 and -0.646, respectively; P<0.05). There was positive
correlation between IL-5 and anti-dsDNA titer (r=0.708, P<0.05), between IL-5 and
creatinine (r=0.681, P<0.05), and between IL-10 and SLEDAI (r=0.877, P<0.01).
There was also negative correlation between IL-10 and urine protein of 24 h (r=
0.659, P<0.05), between IL-10 and hemoglobin concentration (r=-0.856, P<0.01),
and between IL-13 and urine protein of 24 h (r=-0.769, P<0.05). There was little
correlation between cytokines and clinical parameters in patients with class V
LN. CONCLUSION: There is extensive abnormality in lymphocyte subsets and cytokine
profile in patients with class IV LN, which may be the mechanism of
immunosuppressive agents to treat patients with class IV LN.
PMID- 24921393
TI - [Effect of stress on myocardial apoptosis in ischemic preconditioning in rabbit
hearts].
AB - OBJECTIVE: To determine the influence of stress on myocardial apoptosis in
ischemic preconditioning group (IPC). METHODS: Twenty-four Japanese white rabbits
were randomly divided into 4 groups (n=6): an etomidate group (the Etom group) of
depressed stress established by intravenous etomidate, an IPC group, an ischemic
reperfusion group (the IR group) and a methylprednisolone group (the MP group).
Myocardial apoptosis was examined by DNA-laddering, in situ nick-end labeling
(TUNEL) and Hoechst dyeing. RESULTS: The DNA ladder increased in the Etom group.
The percentage of apoptosis by TUNEL method was 1.7%+/-0.2% in the IPC group,
2.3%+/-0.8% in the MP group, 3.8%+/-1.3% in the IR group and 3.0%+/-0.4% in the
Etom group. Hoechst dying was 4.1%+/-0.9% in the IPC group, 3.5%+/-0.4% in the MP
group, 6.2%+/-1.6% in the IR group and 7.6%+/-0.4% in the Etom group. There was
significant difference between the IPC group and the Etom group or IR group, and
also between the MP group and the IR group. CONCLUSION: A depressed stress
response impairs the inhibition on myocardial apoptosis in ischemic
preconditioning. Methylprednisolone may inhibit myocardial apoptosis.
PMID- 24921394
TI - [Effect of miR-342-3p on chemotherapy sensitivity in triple-negative breast
cancer].
AB - OBJECTIVE: To study the effect of miR-342-3p on the chemotherapy sensitivity in
triple-negative breast cancer (TNBC). METHODS: Tissue samples were collected from
January 2011 to August 2013 samples in Jiangsu Cancer Hospital from a total of 32
triple-negative breast cancer patients with preoperative chemotherapy, with 5
cases of complete response (CR) and 27 cases of partial response (PR). We
detected miR-342-3p expression of TNBC with RT-PCR. We transfected has-miR-342-3p
mimic and inhibitor into breast cancer cell lines MDA-MB-231 by lipofection
transfection and set up negative control mim-NC and inhi-NC. Group of mimic, mim
NC, inhibitor and inhi-NC were cultivated with 2 MUmol /L paclitaxel, cisplatin
or 4 MUmol/L doxorubicin for 48 h. The cell growth rates were measured by CCK8
reagent kit, and the cell apoptosis rate by flow cytometry. RESULTS: The
expressions of miRNA-342-3p in TNBC tissue of CR were higher than those of PR.
The cell growth rates of mimic were lower and cell apoptosis rates were higher
than those of min- NC after cultivating with paclitaxel or cisplatin for 48 h,
with significant difference (P<0.05). The cell growth rates of inhibitor were
higher and cell apoptosis rates lower than those of inhi-NC after cultivating
with paclitaxel or cisplatin 48 h, with significant difference (P<0.05). The cell
growth and cell apoptosis rates of mimic and inhibitor had no difference with
those of mim-NC and inhi- NC after cultivating with doxorubicin 48 h (P>0.05).
CONCLUSION: TNBC with high expression of miR-342-3p are more sensitive to
chemotherapy. miRNA-342-3p may regulate the sensitivity of breast cancer cell
line MDA-MB-231 to chemotherapy drugs paclitaxel and cisplatin, but can not
affect the chemotherapy sensitivity of doxorubicin.
PMID- 24921395
TI - [Construction of evaluation index system for equalization of basic public health
services].
AB - OBJECTIVE: To develop a scientific and effective evaluation index system for
equalization of basic public health services. METHODS: Through 2 rounds of expert
evaluation, based on the relative importance of expert scoring on each index,
index was screened according to the scores of mean and coefficient of variation
and the weight were determined. RESULTS: The two rounds of consulting experts
response rates were more than 90%, and the average authority coefficients were
0.779 and 0.781. The coordination coefficients were 0.229 and 0.349. The
evaluation index system of basic public health services was composed of 3 first
level, 17 second level and 47 third level indicators after 2 rounds of
consultation. CONCLUSION: The evaluation index system of basic public health
services is reasonable, and it can be used to evaluate the equalization of basic
public health services.
PMID- 24921396
TI - [Construction of competency model of 'excellent doctor' in Chinese medicine].
AB - OBJECTIVE: To evaluate outstanding and ordinary persons from personal
characteristics using competency as the important criteria, which is the future
direction of medical education reform. METHODS: We carried on a behavior event
interview about famous doctors of old traditional Chinese medicine, compiled
competency dictionary, proceed control prediction test. SPSS and AMOS were used
to be data analysis tools on statistics. We adopted the model of peer assessment
and contrast to carry out empirical research. RESULTS: This project has carried
on exploratory factor analysis and confirmatory factor analysis, established a
"5A" competency model which include moral ability, thinking ability,
communication ability, learning and practical ability. CONCLUSION: Competency
model of "excellent doctor" in Chinese medicine has been validated, with good
reliability and validity, and embodies the characteristics of traditional Chinese
medicine personnel training, with theoretical and practical significance for
excellence in medicine physician training.
PMID- 24921397
TI - [Side population cells and progress in cancer stem cell research].
AB - In recent years, cancer stem cells have become a hotspot for global researchers.
Cancer stem cell theory deems that cells with self-renewal and differentiation
potential play a key role in tumor resistance and relapse. These cells are named
cancer stem cells. At present, the sorting methods include the side population
cell sorting technique, screening techniques based on cell surface special
markers, tumor sphere cultures, label retaining cell, ALDEFLUOR assays and so on.
Side population cells are a small part of cells with the capacity of efflux DNA
fluorescent dye Hoechst 33342 and present a low staining intensity in flow
cytometry plot. Side population cells are rich in cancer stem cells, and its
sorting method has been considered simple and effective in cancer stem cell
research.
PMID- 24921398
TI - [Non-coding RNA and pancreatic cancer].
AB - Non-coding RNA could regulate gene expression, involved in epigenetic
modification, and participate in the cell differentiation, proliferation,
apoptosis, and other life activities. Noncoding RNA also plays a crucial role in
cancer occurrence, cancer cell invasion and distant metastases. Through mediating
genome hyper-methylation, transcriptional regulation, regulation of transposable
sequences, maintenance of genomic imprinting and DNA damage repair, noncoding RNA
could regulate the growth and apoptosis of pancreatic cancer cells. Understanding
the molecular mechanism of non-coding RNA in the development process of
pancreatic cancer has important theoretical and practical value in the diagnosis,
treatment and prognosis.
PMID- 24921399
TI - [Carotid intima-media thickness and estimated glomerular filtration rate in
hypertensive patients].
AB - OBJECTIVE: To determine the association between carotid atherosclerosis and renal
function in hypertensive patients. METHODS: A total of 2 809 hypertensive
patients aged (56.59+/-10.79) years were enrolled. Carotid intima-media thickness
(cIMT) was derived via B-mode ultrasonography and chronic kidney disease (CKD)
was evaluated by the estimated glomerular filtration rate (eGFR) with Cockcroft-
Gault method. The patients were divided into 3 groups: a normal group, a thick
group, and a plaque group according to the results of carotid ultrasonography.
RESULTS: The eGFR of the normal group was (111.09+/-25.61) mL/(min.1.73m(2)),
that of the thick group and the plaque group was (94.45+/-27.14)
mL/(min.1.73m(2)) and (85.98+/-26.92) mL/ (min.1.73m(2)). Binary logistic
analysis showed that age (OR=3.590), smoking status (OR=1.543), systolic blood
pressure (OR=1.018), diastolic blood pressure (OR=0.977), fasting plasma glucose
(OR=1.132), triglyceride (OR=0.873) and eGFR (OR=0.986) were significantly
correlated with cIMT. Subgroup analyses on different genders showed that eGFR was
a significant independent risk factor in men (OR=0.991) but not in women.
CONCLUSION: The thicker the cIMT, the lower the eGFR in hypertensive patients.
With the development of cIMT, eGFR gradually decreases and contributes to the
occurrence and development of early-stage atherosclerosis in hypertensive
patients.
PMID- 24921400
TI - [Changes of miRNA after oral submucous fibrosis co-cultured with Salvia and low
dose prednisolone].
AB - OBJECTIVE: To explore and analyze the the expression change of miRNA associated
with oral submucous fibrosis (OSF) treated by the Salvia combined with law-dose
prednisolone. METHODS: Ten pairs of tissues from patients with typical early or
advanced stage clinical pathological features of OSF and their paired normal
tissues (internal control), were selected respectively. The miRNA expression
profiles between the OSF and its paired controls were compared by the Affymetrix
analysis. The primary normal oral mucous cells were cultured in arecoline (50
MUg/mL) for 3, 6, 12 d (0 d ser ved as cont rol), and the primary OSF-fibroblast
cells were cultured with Salvia (90 mg/mL) combined with low-dose prednisolone
for 12, 24, 36 h (0 h served as control). The differential expression of miRNA
was detected. RESULTS: Arecoline induced the expression changes of miRNAs in
normal mucosal cells. Salvia combined with low doses of prednisolone reversed the
related miRNA expression. CONCLUSION: MiRNAs play an essential role in the
occurrence and development of OSF. Salvia combined with low-dose prednisolone can
reverse the expression of related miRNAs in OSF cells.
PMID- 24921401
TI - [Indwelling position and bacterial colonization of peripheral venous indwelling
needles in patients with cardiovascular diseases].
AB - OBJECTIVE: To study the characteristics of infections associated with peripheral
venous indwelling needles and to explore the best indwelling position in patients
with cardiovascular diseases from the perspective of bacteriology. METHODS: A
total of 240 hospitalized patients from the Department of Cardiovascular
Diseases, Xiangya Hospital, Central South University between November 2009 to
July 2010 were randomly selected, and were divided into 3 groups according to the
indwelling position and the indwelling time: a back of hand group (n=80), a
forearm group (n=80) and a foot group (n=80). The above 3 groups were also
divided into 4 subgroups according to the indwelling time (T1: 48 h <= t < 72 h;
T2: 72 h <= t <= 96 h; T3: 96 h < t <= 120 h; and T4: 120 h < t <= 168 h) (20
patients in each subgroup). The bacteria of samples from puncture position of the
skin were respectively cultured and identified after skin disinfection, needle
pulling out and sample puncture from the indwelling needle catheters,
respectively. RESULTS: 1) After the skin disinfection, there was no bacterium in
the skin samples of puncture position. 2) When the needles were pulled out, there
was bacterial growth in the skin samples of puncture position in 41 patients in
the 3 groups, and the bacterium was not detected in samples of the 3 groups at T1
and T2 period. There was no significant difference in the positive rate of
bacterial culture in the 3 groups at T3 and T4 period (P<0.05). 3) When the
needles were pulled out. There was bacterial growth in the samples of indwelling
needle catheters in 10 patients in the 3 groups; no bacterium was detected in the
back of hand group and the forearm group at T1, T2, T3 and T4 period. In the foot
group, there was no bacterium growth in the samples of indwelling needle
catheters at T1 and T2 period, but there was bacterial growth in 4 patients at T3
period and in 6 patients at T4 period. There was significant difference in the
positive rate of bacterial culture in the samples of indwelling needle catheters
in the 3 groups (P<0.05). CONCLUSION: The best indwelling position for peripheral
venous indwelling needles is the forearm in patients with cardiovascular
diseases.
PMID- 24921402
TI - [Dichotic perception of Mandarin third tone by Mexican Chinese learners].
AB - OBJECTIVE: To investigate the relationship between the advantage ear (cerebral
hemisphere) of Spanish-speaking Mexican learners and the third Chinese tone.
METHODS: Third tone Chinese vowel syllables were used as experimental materials
with dichotic listening technology to test the Spanish-speaking Mexican Chinese
learners (20-32 years old) who studied Chinese about 20 h. RESULTS: In terms of
error rates to identify the third Chinese tone, the Spanish-speaking Mexican
Chinese learners's reaction to the third tone suggested that their left ears were
the advantageous ear (the right cerebral hemisphere) (Z=-2.091, P=0.036).
CONCLUSION: The verbal information of tones influenced the perception of Mexican
Chinese learners' mandarin tones. In the process of learning mandarin tones,
Mexican Chinese learners gradually formed the category of tones.
PMID- 24921403
TI - [Evaluation of the influence of humidity and temperature on the drug stability by
initial average rate experiment].
AB - OBJECTIVE: To evaluate the influence of temperature and humidity on the drug
stability by initial average rate experiment, and to obtained the kinetic
parameters. METHODS: The effect of concentration error, drug degradation extent,
humidity and temperature numbers, humidity and temperature range, and average
humidity and temperature on the accuracy and precision of kinetic parameters in
the initial average rate experiment was explored. The stability of vitamin C, as
a solid state model, was investigated by an initial average rate experiment.
RESULTS: Under the same experimental conditions, the kinetic parameters obtained
from this proposed method were comparable to those from classical isothermal
experiment at constant humidity. The estimates were more accurate and precise by
controlling the extent of drug degradation, changing humidity and temperature
range, or by setting the average temperature closer to room temperature.
CONCLUSION: Compared with isothermal experiments at constant humidity, our
proposed method saves time, labor, and materials.
PMID- 24921404
TI - Response to Oterino et al.: Analysis of endothelial precursor cells in chronic
migraine: a case-control study.
PMID- 24921405
TI - Problem areas in the International Classification of Headache Disorders, 3rd
edition (beta).
PMID- 24921407
TI - Changing practice and improving care using a low-risk tracheotomy clinical
pathway.
AB - IMPORTANCE: Tracheotomy is a common procedure. Postoperative care is usually
managed by nonexpert clinicians. Prolonged decannulation is associated with a
high incidence of complications. At present, no clinical protocol exists to guide
clinicians through decannulation. To address this deficiency, we developed a low
risk tracheotomy clinical pathway. OBJECTIVE: To determine the effect of our low
risk tracheotomy clinical pathway on the time to decannulation and to determine
its safety and sustainability by assessing the incidence of adverse events.
DESIGN, SETTING, AND PARTICIPANTS: Our study combined retrospective and
prospective cohorts from July 1, 2008, through January 31, 2012. Low-risk adult
patients undergoing tracheotomy at a tertiary care hospital constituted the study
population. A baseline cohort of 26 patients underwent retrospective assessment.
After development of the pathway, a pilot group of 34 consecutive patients
underwent evaluation; of these, 13 were ineligible because of high-risk factors,
which included potential upper airway obstruction, unfavorable neck anatomy, or
medical factors such as coagulopathy. To assess the sustainability of the
pathway, a follow-up cohort underwent assessment. Of 107 consecutive patients, 39
met the low-risk criteria. Length of follow-up was 30 days after decannulation.
INTERVENTION: The low-risk tracheotomy clinical pathway, which provides a
stepwise approach to decannulation. MAIN OUTCOMES AND MEASURES: Total time to
decannulation (in days). We hypothesized that the pathway would reduce the total
time to decannulation. The secondary outcome constituted adverse events. All
hypotheses were formulated before data collection. RESULTS: Mean (SD) total time
to decannulation in the baseline cohort was 15.50 (12.08) days. After
implementation of the pathway in the pilot cohort, mean (SD) total time to
decannulation decreased to 5.74 (2.79) days (P < .001). In the follow-up cohort,
mean (SD) total time to decannulation was 8.13 (7.09) days (P = .003). We found
no association between adverse events and use of the pathway. CONCLUSIONS AND
RELEVANCE: Our low-risk tracheotomy clinical pathway is associated with a
sustainable decrease in total time to decannulation without any associated
increase in adverse events. We therefore believe that this pathway is a safe and
effective tool to guide clinicians in the management of tracheotomy.
PMID- 24921406
TI - Imprinted genes and the environment: links to the toxic metals arsenic, cadmium,
lead and mercury.
AB - Imprinted genes defy rules of Mendelian genetics with their expression tied to
the parent from whom each allele was inherited. They are known to play a role in
various diseases/disorders including fetal growth disruption, lower birth weight,
obesity, and cancer. There is increasing interest in understanding their
influence on environmentally-induced disease. The environment can be thought of
broadly as including chemicals present in air, water and soil, as well as food.
According to the Agency for Toxic Substances and Disease Registry (ATSDR), some
of the highest ranking environmental chemicals of concern include
metals/metalloids such as arsenic, cadmium, lead and mercury. The complex
relationships between toxic metal exposure, imprinted gene regulation/expression
and health outcomes are understudied. Herein we examine trends in imprinted gene
biology, including an assessment of the imprinted genes and their known
functional roles in the cell, particularly as they relate to toxic metals
exposure and disease. The data highlight that many of the imprinted genes have
known associations to developmental diseases and are enriched for their role in
the TP53 and AhR pathways. Assessment of the promoter regions of the imprinted
genes resulted in the identification of an enrichment of binding sites for two
transcription factor families, namely the zinc finger family II and PLAG
transcription factors. Taken together these data contribute insight into the
complex relationships between toxic metals in the environment and imprinted gene
biology.
PMID- 24921408
TI - [The challenges of training in medical laboratories in Africa].
AB - Sub-Saharan Africa has a considerable deficit in laboratory facilities. For a
decade, international and national public and private initiatives have multiplied
to expand both the supply and quality of medical laboratories in Africa. By 2020,
the World Health Organization, with as its main operator the African Society for
Laboratory Medicine, will have provided training for 30,000 laboratory personnel
and encouraged 2,500 laboratories to begin the accreditation process. In
addition, the World Health Organization recommendations for treatment and care of
HIV-infected individuals in resource-limited settings, revised in 2013, emphasize
the need for laboratory monitoring to guide antiretroviral therapy. The
University Diploma in Biological Retrovirology at the Cheikh Anta Diop University
in Dakar, Senegal, offers multidisciplinary training in French at the
postgraduate level in the complex and diverse field of biological monitoring of
HIV infection in Africa. In nearly 10 years, more than 200 African biologists
have been trained.
PMID- 24921410
TI - Osteoarticular infections in young children: what has changed over the last
years?
AB - Osteoarticular infections remain a significant cause of morbidity worldwide in
young children. They can have a devastating impact with a high rate of serious
and long-lasting sequelae, especially on remaining growth. Depending on the
localisation of infection, they manifest as osteomyelitis, septic arthritis, a
combination of both (i.e., osteomyelitis with adjacent septic arthritis) or
spondylodiscitis. Osteoarticular infections can be divided into three types
according to the source of infection: haematogenous; secondary to contiguous
infection; or secondary to direct inoculation. During the last few years, many
principles regarding diagnostic assays and the microbiological causes of these
infections have evolved in a significant manner. In the present current-opinion
review, we discuss recent concepts regarding epidemiology, physiopathology, and
the microbiology of bone and joint infections in young children, as well as
clinical presentations, diagnosis, and treatment of these infections. Clinicians
caring for children need to be especially well versed in these newer concepts as
they can be used to guide evaluation and treatment.
PMID- 24921411
TI - The effect of red blood cell transfusion on intermittent hypoxemia in ELBW
infants.
AB - OBJECTIVE: To test the hypothesis that the effect of red blood cell (RBC)
transfusion on intermittent hypoxemia (IH) in extremely low birth weight (ELBW)
infants is dependent on postnatal age. STUDY DESIGN: Oxygen saturation of 130
ELBW infants, who required transfusion, was monitored continuously for the first
8 weeks of life. We compared the characteristics of IH (SpO2?80% for ?4 s and ?3
min), 24 h before and both 24 h and 24 to 48 h after each RBC transfusion at
three distinct time periods: Epoch 1, 1 to 7 days; Epoch 2, 8 to 28 days; and
Epoch 3, >28 days. RESULT: In Epoch 1, the frequency and severity of IH events
were not significantly different before and after transfusion. In both Epochs 2
and 3 there was a decrease in IH frequency and severity 24 h after RBC
transfusion that persisted for 48 h. In addition, there was a decrease in the
overall time spent with SpO2 ?80% which persisted for 24 h after transfusion in
Epochs 1 and 3, and for 48 h in Epoch 3. CONCLUSION: The benefit of RBC
transfusion on IH is age dependent as improvement in the frequency and severity
of IH after transfusion only occurs beyond the first week of life. These
observations will aid clinician's decision making by clarifying the benefit of
RBC transfusions on patterns of oxygenation in preterm infants.
PMID- 24921409
TI - Viral oncolysis - can insights from measles be transferred to canine distemper
virus?
AB - Neoplastic diseases represent one of the most common causes of death among humans
and animals. Currently available and applied therapeutic options often remain
insufficient and unsatisfactory, therefore new and innovative strategies and
approaches are highly needed. Periodically, oncolytic viruses have been in the
center of interest since the first anecdotal description of their potential
usefulness as an anti-tumor treatment concept. Though first reports referred to
an incidental measles virus infection causing tumor regression in a patient
suffering from lymphoma several decades ago, no final treatment concept has been
developed since then. However, numerous viruses, such as herpes-, adeno- and
paramyxoviruses, have been investigated, characterized, and modified with the aim
to generate a new anti-cancer treatment option. Among the different viruses,
measles virus still represents a highly interesting candidate for such an
approach. Numerous different tumors of humans including malignant lymphoma, lung
and colorectal adenocarcinoma, mesothelioma, and ovarian cancer, have been
studied in vitro and in vivo as potential targets. Moreover, several concepts
using different virus preparations are now in clinical trials in humans and may
proceed to a new treatment option. Surprisingly, only few studies have
investigated viral oncolysis in veterinary medicine. The close relationship
between measles virus (MV) and canine distemper virus (CDV), both are
morbilliviruses, and the fact that numerous tumors in dogs exhibit similarities
to their human counterpart, indicates that both the virus and species dog
represent a highly interesting translational model for future research in viral
oncolysis. Several recent studies support such an assumption. It is therefore the
aim of the present communication to outline the mechanisms of morbillivirus
mediated oncolysis and to stimulate further research in this potentially
expanding field of viral oncolysis in a highly suitable translational animal
model for the benefit of humans and dogs.
PMID- 24921412
TI - Variation in treatment of neonatal abstinence syndrome in US children's
hospitals, 2004-2011.
AB - OBJECTIVE: Neonatal abstinence syndrome (NAS) is a drug withdrawal syndrome
experienced by opioid-exposed infants. There is no standard treatment for NAS and
surveys suggest wide variation in pharmacotherapy for NAS. Our objective was to
determine whether different pharmacotherapies for NAS are associated with
differences in outcomes and to determine whether pharmacotherapy and outcome vary
by hospital. STUDY DESIGN: We used the Pediatric Health Information System
Database from 2004 to 2011 to identify a cohort of infants with NAS requiring
pharmacotherapy. Mixed effects hierarchical negative binomial models evaluated
the association between pharmacotherapy and hospital with length of stay (LOS),
length of treatment (LOT) and hospital charges, after adjusting for socioeconomic
variables and comorbid clinical conditions. RESULT: Our cohort included 1424
infants with NAS from 14 children's hospitals. Among hospitals in our sample, six
used morphine, six used methadone and two used phenobarbital as primary initial
treatment for NAS. In multivariate analysis, when compared with NAS patients
initially treated with morphine, infants treated with methadone had shorter LOT
(incidence rate ratio (IRR) = 0.55; P < 0.0001) and LOS (IRR = 0.60; P < 0.0001).
Phenobarbital as a second-line agent was associated with increased LOT (IRR =
2.09; P<0.0001), LOS (IRR = 1.78; P < 0.0001) and higher hospital charges (IRR =
1.84; P < 0.0001). After controlling for case-mix, hospitals varied in LOT, LOS
and hospital charges. CONCLUSION: We found variation in hospital in treatment for
NAS among major US children's hospitals. In analyses controlling for possible
confounders, methadone as initial treatment was associated with reduced LOT and
hospital stay.
PMID- 24921413
TI - Heart rate variability in encephalopathic newborns during and after therapeutic
hypothermia.
AB - OBJECTIVE: To evaluate whether heart rate variability (HRV) measures are
predictive of neurological outcome in babies with hypoxic ischemic encephalopathy
(HIE). STUDY DESIGN: This case-control investigation included 20 term
encephalopathic newborns treated with systemic hypothermia in a regional neonatal
intensive care unit. Electrocardiographic data were collected continuously during
hypothermia. Spectral analysis of beat-to-beat heart rate interval was used to
quantify HRV. HRV measures were compared between infants with adverse outcome
(death or neurodevelopmental impairment at 15 months, n = 10) and those with
favorable outcome (survivors without impairment, n = 10). RESULT: HRV
differentiated infants by outcome during hypothermia through post-rewarming, with
the best distinction between groups at 24 h and after 80 h of life. CONCLUSION:
HRV during hypothermia treatment distinguished HIE babies who subsequently died
or had neurodevelopmental impairment from intact survivors. This physiological
biomarker may identify infants in need of adjuvant neuroprotective interventions.
These findings warrant further investigation in a larger population of infants
with HIE.
PMID- 24921414
TI - Impact of PPARA and POR polymorphisms on tacrolimus pharmacokinetics and new
onset diabetes in kidney transplant recipients.
AB - Recent efforts have been made to identify genetic markers of CYP3A4 enzymatic
activity within genes encoding for regulatory elements. The aim of the current
study was to investigate the impact of polymorphism of PPARA and POR genes on
tacrolimus (TAC) dose-adjusted trough concentration and risk of new-onset
diabetes after transplantation (NODAT). A total of 241 White kidney transplant
patients were genotyped for three functional single nucleotide polymorphisms:
rs1057868 (*28) in POR, rs4253728:G>A, and rs4823613:A>G in PPARA. No significant
genotype-dependent differences in TAC dose-adjusted trough concentration were
observed for either POR or PPARA variants. No significant differences in the
incidence of NODAT were observed between patients stratified by PPARA and POR
genotypes. The frequency of NODAT among PPARA rs4253728 AA homozygotes (42%) was
higher compared with heterozygotes (22%) and GG homozygotes (19%), but the
difference was not significant. Testing TAC-medicated renal transplant recipients
for POR and PPARA variants seems to have limited clinical application.
PMID- 24921415
TI - Tongue adhesion in the horned frog Ceratophrys sp.
AB - Frogs are well-known to capture elusive prey with their protrusible and adhesive
tongues. However, the adhesive performance of frog tongues and the mechanism of
the contact formation with the prey item remain unknown. Here we measured for the
first time adhesive forces and tongue contact areas in living individuals of a
horned frog (Ceratophrys sp.) against glass. We found that Ceratophrys sp.
generates adhesive forces well beyond its own body weight. Surprisingly, we found
that the tongues adhered stronger in feeding trials in which the coverage of the
tongue contact area with mucus was relatively low. Thus, besides the presence of
mucus, other features of the frog tongue (surface profile, material properties)
are important to generate sufficient adhesive forces. Overall, the experimental
data shows that frog tongues can be best compared to pressure sensitive adhesives
(PSAs) that are of common technical use as adhesive tapes or labels.
PMID- 24921416
TI - Adaptive approaches to licensing, health technology assessment, and introduction
of drugs and devices.
AB - BACKGROUND: Adaptive approaches to the introduction of drugs and medical devices
involve the use of an evolving evidence base rather than conventional single
point-in-time evaluations as a proposed means to promote patient access to
innovation, reduce clinical uncertainty, ensure effectiveness, and improve the
health technology development process. METHODS: This report summarizes a Health
Technology Assessment International (HTAi) Policy Forum discussion, drawing on
presentations from invited experts, discussions among attendees about real-world
case examples, and background paper. RESULTS: For adaptive approaches to be
understood, accepted, and implemented, the Forum identified several key issues
that must be addressed. These include the need to define the goals of and to set
priorities for adaptive approaches; to examine evidence collection approaches; to
clarify the roles and responsibilities of stakeholders; to understand the
implications of adaptive approaches on current legal and ethical standards; to
determine costs of such approaches and how they will be met; and to identify
differences in applying adaptive approaches to drugs versus medical devices. The
Forum also explored the different implications of adaptive approaches for various
stakeholders, including patients, regulators, HTA/coverage bodies, health
systems, clinicians, and industry. CONCLUSIONS: A key outcome of the meeting was
a clearer understanding of the opportunities and challenges adaptive approaches
present. Furthermore, the Forum brought to light the critical importance of
recognizing and including a full range of stakeholders as contributors to a
shared decision-making model implicit in adaptive pathways in future discussions
on, and implementation of, adaptive approaches.
PMID- 24921417
TI - Prospective evaluation of new 22 gauge endoscopic ultrasound core needle using
capillary sampling with stylet slow-pull technique for intra-abdominal solid
masses.
AB - GOALS: The aim of this study was to evaluate the adequacy and diagnostic yield of
the histologic core obtained with a 22 G endoscopic ultrasound histology needle
using capillary sampling with stylet slow-pull technique without on-site
cytopathologist. BACKGROUND: No standard technique for new EUS histology needle
has been established. STUDY: A total of 125 consecutive patients with intra
abdominal solid masses were enrolled prospectively between October 2011 and March
2013. EUS-guided fine needle biopsy (EUS-FNB) with a 22 G histology needle using
capillary sampling with stylet slow-pull technique was performed. RESULTS: A
total of 133 EUS-FNB procedures targeting the pancreas, lymph node,
retroperitoneal mass, ampulla of Vater, gallbladder, common bile duct, duodenum,
and liver were performed in 125 patients. EUS-FNB was technically feasible in all
cases, and a visible core was obtained in 128 cases (96%). Histologic core
specimens suitable for pathologic assessment were reported in 111 cases (83%).
There were no procedure-related adverse events. According to the determinants of
malignancy with EUS-FNB, the sensitivity, specificity, positive and negative
predictive values, and accuracy were 85%, 98%, 99%, 77%, and 89%, respectively.
In addition, histologic architecture with or without immunohistochemical staining
of the core biopsy specimens was useful for pathologic confirmation in 101 cases
(76%). CONCLUSIONS: A 22 G EUS-FNB using capillary sampling with stylet slow-pull
technique showed a high diagnostic yield and histologic core acquisition for the
histologic diagnosis of various intra-abdominal masses without an on-site
cytopathologist. Furthermore, a histologic core with or without
immunohistochemical staining was helpful for clinical decision making in 76% of
the intra-abdominal solid masses.
PMID- 24921418
TI - Depression stigma and treatment preferences among Orthodox and non-Orthodox Jews.
AB - Anecdotal reports of increased stigma toward mental illness among Orthodox Jews
seems to conflict with an existing literature describing less stigmatization
toward depression among Jewish individuals. This online survey study investigated
stigma toward depression and treatment preference among Orthodox and non-Orthodox
Jews (N = 391). All participants were presented with a depression vignette to
assess for stigma and then randomized to a vignette depicting a treatment
modality (behaviorally oriented or insight oriented) to assess for treatment
preference across several delivery options (individual, group, or Internet).
Results indicated elevated depression stigma among Orthodox Jews as expressed by
elevated levels of secrecy, treatment-seeking stigma, family/marriage stigma, and
stigmatizing experiences, but not attitudinal social distancing. No group
differences were found with respect to overall treatment preference, treatment
modality, or manner of delivery. Overall, participants preferred individual
therapy more than group and Internet therapy and preferred group therapy more
than Internet therapy. Clinical and research implications are discussed.
PMID- 24921419
TI - Similarities and differences in borderline personality disorder and schizophrenia
with voice hearing.
AB - The aim of the study was to identify psychopathological similarities and
differences in borderline personality disorder (BPD) and schizophrenia. We
compared 23 female patients with a BPD and 21 female patients with schizophrenia
according to auditory verbal hallucinations (AVHs), dissociation, childhood
trauma, and additional psychotic symptoms. The character of AVH was similar with
regard to commenting voices, location, and foreign voices. Major differences were
found in the prevalence of negative symptoms, bizarre delusions, and formal
thought disorder. These characteristics were more frequent in schizophrenia and
negatively correlated with childhood traumatization. A history of childhood
traumatization and dissociative symptoms was significantly more frequent in BPD.
AVHs in BPD and schizophrenia are not distinguishable in terms of the
historically grown criteria in Diagnostic and Statistical Manual of Mental
Disorders, Fourth Edition, Text Revision for diagnosing schizophrenia. Other
symptoms such as delusions, negative symptoms, formal thought disorder, and
dissociative psychopathology could help to differentiate between both groups.
PMID- 24921420
TI - Patients' perspectives on residual symptoms in bipolar disorder: a focus group
study.
AB - Euthymic bipolar patients are often impacted by residual symptoms (RSs) that
increase the risk of relapse or low functioning. We aimed to identify the
perceptions of RSs, barriers to management, and service needs in euthymic bipolar
patients. A qualitative methodology (focus group) was used. The interviews were
investigated using a semistructured guide, tape-recorded, transcribed verbatim,
and analyzed thematically. Twenty-three bipolar patients expressed concern about
several RSs, such as emotional dysregulation, circadian rhythm disruption,
cognitive impairment, low self-esteem, and physical symptoms. They reported
concern about the impact of RSs on their functioning and about the need for more
systematic assessment of RSs during interepisode visits. Selection bias may have
occurred because the recruitment was limited to France and there may be cultural
differences in the perceptions of RSs. Bipolar patients experienced bipolar
disorder as a chronic disorder because they frequently continued to suffer from
RSs associated with a functional impact.
PMID- 24921421
TI - Complicated grief and depression in young adults: personality and relationship
quality.
AB - Young adults experience problematic responses to loss more often than is commonly
recognized. Few empirical studies have examined the contribution of intrapersonal
and interpersonal characteristics to grief and depression in bereaved young
adults. This study investigated the association of dependency and quality of the
relationship with the deceased (i.e., depth and conflict) with complicated grief
(CG) and depression. Participants were 157 young adults aged 17 to 29 years who
experienced loss of a family member or close friend within the past 3 years (mean
= 1.74 years). Participants completed the Inventory of Complicated Grief, Beck
Depression Inventory, Depth and Conflict subscales of the Quality of
Relationships Inventory, and the Dependency subscale of the Depressive
Experiences Questionnaire. Relationships among dependency and interpersonal depth
and conflict and CG and depression were examined through analyses of covariance.
Sixteen percent of participants met criteria for CG and 34% had mild to severe
depression. Dependency and depth were independently related to CG and dependency
was related to depression, but the pattern of associations was somewhat different
for each outcome. Greater depth was associated with CG, at both high and low
levels of dependency. High levels of dependency were related to more depressive
symptoms. Interpretation of the findings is limited by the relatively small
sample size and cross-sectional design. CG and depression are related but
distinct responses to loss. Although dependency is associated with both CG and
depression after loss, relationships between the bereaved and deceased that are
characterized by high levels of depth are particularly related to the development
of CG symptoms.
PMID- 24921422
TI - CE: Diabetes and puberty: a glycemic challenge.
AB - OVERVIEW: As children with diabetes enter adolescence, the physical and
psychological changes of puberty add to the challenges of disease management.
This often leads to increased stress for both parent and child and to poor
overall glucose control with potential short- and long-term complications. During
this period of transition, nurses play a central role in teaching patients and
their families about the effects of puberty on insulin sensitivity and glucose
metabolism, and in discussing how the emotional and behavioral changes associated
with this challenging time can affect diabetes management.
PMID- 24921423
TI - CE: Original research: the perceptions of health care team members about engaging
patients in care redesign.
AB - OBJECTIVE: This study sought to explore the perceptions of health care workers
about engaging patients as partners on care redesign teams under a program called
Transforming Care at the Bedside (TCAB), and to examine the facilitating factors,
barriers, and effects of such engagement. DESIGN: This descriptive, qualitative
study collected data through focus groups and individual interviews. Participants
included health care providers and managers from five units at three hospitals in
a university-affiliated health care center in Canada. METHODS: A total of nine
focus groups and 13 individual interviews were conducted in April 2012, 18 months
after the TCAB program began in September 2010. Content analysis was used to
analyze the qualitative data. FINDINGS: Health care providers and managers
benefited from engaging patients in the decision-making process because the
patients brought a new point of view. Involving the patients exposed team members
to valuable information that they hadn't previously thought about during decision
making. CONCLUSION: Health care teams stand to benefit from engaging patients in
the change process. Patients contribute a different point of view, and this helps
to ensure that the changes proposed and implemented address their needs.
PMID- 24921424
TI - Infection prevention issues in long-term care.
AB - PURPOSE OF REVIEW: Infections and antimicrobial use are common in residents of
long-term care facilities. This review discusses recent articles that address
infection prevention and control and antimicrobial stewardship in these
facilities. RECENT FINDINGS: National surveys confirm the continuing high
prevalence of infections in residents of long-term care facilities, with the
greatest risk for patients with the highest acuity and greatest functional
disability. Long-term acute care facilities are a unique environment where
residents are characterized by high levels of indwelling device use and
antimicrobial-resistant organisms. The major determinant of antimicrobial
resistance in long-term care facilities is antimicrobial use. The Centers for
Disease Control (CDC) has proposed revised evidence-based definitions for
surveillance of infections on the basis of the original McGeer criteria.
Consensus national performance standards for infection prevention and
antimicrobial stewardship programs in long-term care facilities have been
developed in a European initiative. Evidence to support the efficacy of infection
control programs is limited. Antimicrobial stewardship programs may, however, be
effective in reducing inappropriate antimicrobial use. SUMMARY: The extent to
which endemic infections or antimicrobial resistance in long-term care facilities
can be prevented remains unclear. Efforts to limit infections in these facilities
should focus on outbreak prevention and standard procedures for environmental
cleaning, food preparation, and hand hygiene, together with optimal resident
medical care. Antimicrobial stewardship programs should be implemented.
PMID- 24921425
TI - How costs change with infection prevention efforts.
AB - PURPOSE OF REVIEW: To describe articles since January 2013 that include
information on how costs change with infection prevention efforts. RECENT
FINDINGS: Three articles described only the costs imposed by nosocomial infection
and so provided limited information about whether or not infection prevention
efforts should be changed. One article was found that described the costs of
supplying alcohol-based hand run in low-income countries. Eight articles showed
the extra costs and cost savings from changing infection prevention programmes
and discussed the health benefits. All concluded that the changes are
economically worthwhile. There was a systematic review of the costs of
methicillin-resistant Staphylococcus aureus control programmes and a methods
article for how to make cost estimates for infection prevention programmes.
SUMMARY: The balance has shifted away from studies that report the high cost of
nosocomial infections toward articles that address the value for money of
infection prevention. This is good as simply showing a disease is high cost does
not inform decisions to reduce it. More research, done well, on the costs of
implementation, cost savings and change to health benefits in this area needs to
be done as many gaps exist in our knowledge.
PMID- 24921426
TI - Update on infection control challenges in special pediatric populations.
AB - PURPOSE OF REVIEW: Compared with adults, neonatal and pediatric populations are
especially vulnerable patients who have specific diagnostic and therapeutic
differences; therefore, the standard infection control practices designed for
adults are sometimes not effective or need modifications to work. This review
focuses on the recent literature addressing the challenges and successes in
preventing healthcare-associated infections (HAIs) in children. RECENT FINDINGS:
Improving the implementation of pediatric versions of preventive bundles focusing
on proper catheter insertion and maintenance, mainly as a part of a larger
multimodal strategy, is effective in reducing the central-line-associated
bloodstream infections in neonatal and pediatric populations including oncology
patients. Appropriate feeding, antimicrobial stewardship, and infection control
measures should be combined in reducing necrotizing enterocolitis in preterm
neonates. Implementing a multimodal bundle strategy adapted for pediatric
population is successful in preventing ventilator-associated pneumonia.
Appropriate use of antimicrobial prophylaxis remains the cornerstone for
preventing surgical-site infections irrespective of age, with few additional
effective adjuvant preventive practices in specific pediatric patients. SUMMARY:
Several evidence-based practices are effective in reducing the incidence and the
impact of HAIs in children; however, proper implementation remains a challenge.
Additionally, several adult preventive practices are still unestablished in
children and need further thorough examination.
PMID- 24921427
TI - Individual differences in emotion lateralisation and the processing of emotional
information arising from social interactions.
AB - Previous research examining the possible association between emotion
lateralisation and social anxiety has found conflicting results. In this paper
two studies are presented to assess two aspects related to different features of
social anxiety: fear of negative evaluation (FNE) and emotion regulation.
Lateralisation for the processing of facial emotion was measured using the
chimeric faces test. Individuals with greater FNE were more strongly lateralised
to the right hemisphere for the processing of anger, happiness and sadness; and,
for the processing of fearful faces the relationship was found for females only.
Emotion regulation strategies were reduced to two factors: positive strategies
and negative strategies. For males, but not females, greater reported use of
negative emotion strategies is associated with stronger right hemisphere
lateralisation for processing negative emotions. The implications for further
understanding the neuropsychological processing of emotion in individuals with
social anxiety are discussed.
PMID- 24921428
TI - Disequilibrium of M1 and M2 macrophages correlates with the development of
experimental inflammatory bowel diseases.
AB - Ulcerative colitis, a major inflammatory bowel disease, is an idiopathic
inflammatory disorder of the colonic mucosa, accompanied by an aberrant immune
reaction to intestinal microflora. Macrophages are central mediators of
intestinal immune homeostasis and inflammation. The relationship between
macrophages and the pathogenesis of colitis is poorly understood. We aimed to
characterize the changing populations and roles of M1/M2 macrophages in colitis.
We demonstrated that M1 macrophages increased and M2 macrophages decreased in
colitis, accompanied by Interleukin (IL)-23 and Tumor necrosis factor-alpha
induction and IL-10 suppression. Transfer of M2 macrophages reduced dextran
sodium sulfate-induced colitis by inducing IL-10 production and promoting
regulatory T-cell generation. In vivo neutralization of IL-10 partially reduced
the effects of M2 transfer. These findings suggest that macrophages play a
critical role in colitis; specifically, disequilibrium of macrophage subsets
promotes colitis development. A shift from the M1 to M2 phenotype reduces colitis
by inducing IL-10; thus, mobilization of M2 macrophages could be a novel approach
to colitis therapy.
PMID- 24921429
TI - Spinal metastases due to thyroid carcinoma: an analysis of 202 patients.
AB - BACKGROUND: Spinal metastases (SMs) due to thyroid cancer (TC) are associated
with significantly reduced quality of life. The goal of this study is to analyze
the clinical manifestations, presentation, and treatments of TC SMs, and to
describe specific features of SMs associated with different TC types. PATIENTS
AND METHODS: A retrospective analysis of 202 TC SM patients treated at Medstar
Washington Hospital Center (37) and collected from the literature (165) was
performed. RESULTS: The mean age of patients with SMs was 56.9+/-14.7 years, and
the female-to-male ratio was 2.1:1. Of all patients, 29% (28% of follicular
thyroid cancer [FTC] and 37% of papillary thyroid cancer [PTC]) had SMs only.
Twenty-nine percent of all patients and 54% of patients with single-site SMs had
neither bone non-SMs nor solid organ metastases at the time of presentation.
Thirty-five percent of patients had SMs as an initial presentation of TC. TC
patients presenting with SMs had a lower rate of other bone and visceral
involvement compared with patients whose SMs were diagnosed at the time of
thyroid surgery or during follow-up (p<0.05). SMs were more often the initial
manifestation of FTC (41% vs. 24%), while PTC SMs were more commonly diagnosed
after TC diagnosis (76% vs. 59%; p<0.05). PTC SMs were more frequently diagnosed
as synchronous (63% vs. 36% in FTC) versus FTC SMs that developed as metachronous
metastases (64% vs. 37% in PTC; p<0.01). All FTC SMs developed within 82 (0-372)
months and all PTC SMs within 35 (0-144) months (p<0.01). In FTC SMs as TC
manifestation, solid organ metastases involvement was less common than in FTC SMs
that were found after TC diagnosis (34% vs. 67%; p<0.01); multisite FTC SMs
compared to solitary FTC SMs were associated with the development of other bone
nonspinal metastases (82% vs. 30%; p<0.01) and solitary organ metastases (65% vs.
41%; p<0.01). These correlations were not observed in PTC SMs. FTC patients often
had neural structure compression (myelopathy/radiculopathy; 72% vs. 36% in PTC),
while PTC patients frequently were asymptomatic (38% vs. 5% in FTC; p<0.01). FTC
SMs more commonly were (131)I-avid (p<0.01). FTC patients required surgery more
frequently (72% vs. 55% in PTC; p<0.05). CONCLUSIONS: Our study reveals that a
significant part of TC SMs patients have solitary spinal involvement at the time
of presentation and may be considered for aggressive treatment with the intention
to improve quality of life and survival. FTC SMs and PTC SMs appear to have
distinct presentations, behavior, and treatment modalities, and should be
categorized separately for treatment and follow-up planning.
PMID- 24921431
TI - kgp, rgpA, and rgpB DNA vaccines induce antibody responses in experimental peri
implantitis.
AB - BACKGROUND: Peri-implantitis is the key factor for implant failure. This study
aims to evaluate kgp, rgpA, and rgpB DNA vaccines to induce an immune response
and prevent peri-implantitis. METHODS: The kgp, rgpA, and rgpB genes were
amplified by polymerase chain reaction (PCR) from Porphyromonas gingivalis (Pg)
ATCC 33277 and cloned into the pVAX1 vector. Titanium implants were placed into
the mandibular bone of dogs. Three months later, the animals were divided into
four groups, immunized with pVAX1-kgp, pVAX1-rgpA, pVAX1-rgpB, or pVAX1. Cotton
ligatures infiltrated with Pg were tied around the neck of the implants.
Immunoglobulin (Ig)G and IgA antibodies were detected by enzyme-linked
immunosorbent assay before and after immunization. RESULTS: The kgp, rgpA, and
rgpB genes were successfully cloned into the pVAX1 plasmid. Animals immunized
with pVAX1-kgp and pVAX1-rgpA showed higher titers of IgG and IgA antibodies
compared to those before immunization (P <0.05) and compared to those that were
immunized with pVAX1 and pVAX1-rgpB, whereas there were no significant
differences in the animals treated with pVAX1 and pVAX1-rgpB. Furthermore, among
these, the kgp DNA vaccine was more effective. The bone losses of the groups with
pVAX1-kgp and pVAX1-rgpA were significantly attenuated. CONCLUSION: pVAX1-kgp and
pVAX1-rgpA DNA vaccines enhanced immunity responses and significantly retarded
bone loss in experimental peri-implantitis animal models, whereas pVAX1-rgpB was
ineffective.
PMID- 24921433
TI - Delirium in advanced cancer: screening for the incidence on admission to an
inpatient hospice unit.
AB - BACKGROUND: Delirium is a common underdiagnosed condition in advanced cancer
leading to increased distress, morbidity, and mortality. Screening improves
detection but there is no consensus as to the best screening tool to use with
patients with advanced cancer. OBJECTIVE: To determine the incidence of delirium
in patients with advanced cancer within 72 hours of admission to an acute
inpatient hospice using clinical judgement and validated screening tools. METHOD:
One hundred consecutive patients with advanced cancer were invited to be screened
for delirium within 72 hours of admission to an acute inpatient hospice unit. Two
validated tools were used, the Delirium Rating Scale-Revised 98 (DRS-R-98) and
the Confusion Assessment METHOD (CAM) shortened diagnostic algorithm. These
results were compared with clinical assessment by review of medical charts.
RESULTS: Of 100 consecutive admissions 51 participated and of these 22 (43.1%)
screened positive for delirium with CAM and/or DRS-R-98 compared to 15 (29.4%) by
clinical assessment. Eleven (21.6%) were identified as hypoactive delirium and 5
(9.8%) as subsyndromal delirium. CONCLUSION: This study confirms that delirium is
a common condition in patients with advanced cancer. While there remains a lack
of consensus regarding the choice of delirium screening tool this study supports
the CAM as being appropriate. Further research may determine the optimal
screening tool for delirium enabling the development of best practice clinical
guidelines for routine medical practice.
PMID- 24921432
TI - Porphyromonas gingivalis lipopolysaccharide upregulates insulin secretion from
pancreatic beta cell line MIN6.
AB - BACKGROUND: A close association between periodontitis and diabetes has been
demonstrated in human cross-sectional studies, but an exact relationship between
periodontitis and prediabetes has not been established. Previous studies using
animal model systems consistently have shown that hyperinsulinemia occurs in
animals with periodontitis compared to animals with healthy periodontium (while
maintaining normoglycemia). Because bacterial lipopolysaccharide (LPS) plays an
important role in the pathogenesis of periodontitis, we hypothesized that LPS may
stimulate insulin secretion through a direct effect on beta cell function. To
test this hypothesis, pancreatic beta cell line MIN6 cells were used to determine
the effect of Porphyromonas gingivalis (Pg) LPS on insulin secretion.
Furthermore, expression of genes altered by Pg LPS in innate immunity and insulin
signaling pathways was determined. METHODS: MIN6 cells were grown in medium with
glucose concentration of normoglycemia (5.5 mM). Pg LPS was added to each well at
final concentrations of 50, 200, and 500 ng/mL. Insulin secretion was measured
using enzyme-linked immunosorbent assay. Gene expression levels altered by Pg LPS
were determined by polymerase chain reaction (PCR) array for mouse innate and
adaptive immunity response and mouse insulin-signaling pathways, and results were
confirmed for specific genes of interest by quantitative PCR. RESULTS: Pg LPS
stimulated insulin secretion in the normoglycemic condition by ~1.5- to 3.0-fold
depending on the concentration of LPS. Pg LPS treatment altered the expression of
several genes involved in innate and adaptive immune response and insulin
signaling pathway. Pg LPS upregulated the expression of the immune response
related genes cluster of differentiation 8a (Cd8a), Cd14, and intercellular
adhesion molecule-1 (Icam1) by about two-fold. LPS also increased the expression
of two insulin signaling-related genes, glucose-6-phosphatase catalytic subunit
(G6pc) and insulin-like 3 (Insl3), by three- to four-fold. CONCLUSIONS: We have
demonstrated for the first time that Pg LPS stimulates insulin secretion by
pancreatic beta cell line MIN cells. Pg LPS may have significant implications on
the development of beta cell compensation and insulin resistance in prediabetes
in individuals with periodontitis.
PMID- 24921434
TI - NEXThaler, an innovative dry powder inhaler delivering an extrafine fixed
combination of beclometasone and formoterol to treat large and small airways in
asthma.
AB - INTRODUCTION: Airway inflammation and remodelling in asthma occur in the large
airways and also in the small airways. The small airways are those < 2 mm in
diameter and are significant sites of chronic asthmatic inflammation. It is
important, therefore, to target the small as well as the large airways in any
strategy for effective treatment of this disease. AREAS COVERED: The present
review deals with the recently developed fixed dose drug combination of
beclometasone dipropionate/formoterol fumarate that emits extrafine particles
when delivered from an innovative dry powder inhaler (DPI), NEXThaler(r). The aim
is to present the technical and clinical aspects of aerosolized drug delivery to
the lungs. EXPERT OPINION: The data show that the NEXThaler DPI is an efficient
device for the management of persistent asthma. The evaluation of the inhalation
profiles through the NEXThaler DPI demonstrates that device activation and
consistent dose delivery occurs at patient achievable inhalation flow rates, and
supports the broad utility of the NEXThaler DPI in patients with asthma. Overall,
all the effectiveness, efficiency and satisfaction outcomes demonstrate the
NEXThaler DPI is easy to use.
PMID- 24921435
TI - Respiratory syncytial virus: should we be concerned in pregnancy?
PMID- 24921436
TI - Twenty years and counting: taking the lessons learned from ICPD to move the
sexual and reproductive health and rights agenda forward.
AB - The women's rights movement and the sexual and reproductive health and rights
(SRHR) movement have been actively involved in ensuring that the gains (on sexual
and reproductive health, reproductive rights and women's sexuality) made during
the 1994 International Conference on Population and Development (ICPD) in Cairo
and the 1995 fourth World Conference on Women in Beijing are maintained and
captured in the new development framework. International processes, especially
the United Nations Population Fund's ICPD Beyond 2014 work, have proven essential
platforms for this. However, the current geopolitical scenario provides a
challenging environment to ensure that the comprehensive Cairo+20 agenda is given
the due attention and place it deserves and requires. This article aims to
articulate the critical gaps in political discourse and commitment to the ICPD
agenda from 1994 to the time of ICPD beyond 2014. Governments' potential lack of
commitment to crucial issues of SRHR is also examined and discussed in the first
section. In the second section, the article looks at progress and gaps regarding
specific and commonly used measures of SRHR as an indicator of where discourse
and commitment are required. In the third section, as a follow-up to the previous
one, the article discusses the need to and the possibilities of articulating and
positioning the rights discourse more clearly within the current complex global
discourse as a necessary step in the movement's political discourse. In the last
section, some key challenges and opportunities, as well as identified
recommendations, are discussed with regard to the way ahead for the SRHR agenda
in the 2014 and beyond.
PMID- 24921437
TI - Reduced graphene oxide modified highly ordered TiO2 nanotube arrays
photoelectrode with enhanced photoelectrocatalytic performance under visible
light irradiation.
AB - In this paper, reduced graphene oxide modified highly ordered TiO2 nanotube
arrays (RGO-TNTs) have been fabricated and used for photoelectrocatalytic (PEC)
degradation of organic pollutants under visible light irradiation. Firstly, the
RGO-TNT electrode was characterized by scanning electron microscopy (SEM), X-ray
diffraction (XRD), Raman, FT-IR, X-ray photoelectron spectroscopy (XPS) and UV
vis diffuse reflectance spectroscopy. The responsive photocurrent and
electrochemical impedance spectroscopy (EIS) results indicated that our present
RGO-TNTs displayed superior photoresponsive and electron transfer performances
compared with bare TNTs. Moreover, by comparison with bare TNT electrode, the RGO
TNT arrays showed stable and evidently improved PEC activity for degradation of
methyl orange (MO) under visible light illumination. This might be attributed to
the introduction of RGO, which extended the absorption edge and promoted electron
hole separation in the PEC process. Furthermore, owing to the synergetic effect
of photocatalysis and electrocatalysis in the PEC process, the efficiency of PEC
process (3.0 * 10(-3) min(-1)) is ca. 7.9 and 2.5 times faster than that of the
electrochemical process (3.8 * 10(-4) min(-1)) and photocatalytic process (1.2 *
10(-3) min(-1)), respectively. Our investigation likely provides new
opportunities for developing stable and efficient one-dimensional graphene
modified TNT-based catalysts for PEC degradation of organic pollutants under
visible light illumination.
PMID- 24921438
TI - Manipulating the charge transfer at CuPc/graphene interface by O2 plasma
treatments.
AB - The manipulation of charge transfer at CuPc/graphene interface has been
demonstrated by treating pristine graphene with O2 plasma. As revealed by in situ
ultraviolet photoelectron spectroscopy measurements, a much stronger interfacial
charge transfer occurs when the pristine graphene is exposed to O2 plasma prior
to the growth of CuPc films, which is attributed to the increased work function
of graphene after O2 plasma treatment. Moreover, the highest occupied molecular
orbital leading edge of CuPc locates at ~0.80 eV below substrate Fermi level on
O2 plasma treated graphene, whereas it locates at ~1.10 eV on pristine graphene.
Our findings provide detailed information regarding the electronic structure at
CuPc/graphene and CuPc/O2 plasma treated graphene interfaces. The increased work
function in combination with the relatively smaller energy offset between the
highest occupied molecular orbital of CuPc and Fermi level of O2 plasma treated
graphene facilitates the extraction of holes at the interface, and hence paves
the way for improving the performance of graphene-based organic photovoltaic
cells.
PMID- 24921440
TI - Editorial.
PMID- 24921441
TI - Guest editorial.
PMID- 24921439
TI - Acute psychological and physical stress transiently enhances brachial artery flow
mediated dilation stimulated by exercise-induced increases in shear stress.
AB - Exercise elevates conduit artery shear stress and stimulates flow-mediated
dilation (FMD). However, little is known regarding the impact of acute
psychological and physical stress on this response. The purpose of this study was
to examine the impact of the Trier Social Stress Test (TSST (speech and
arithmetic tasks)) and a cold pressor test (CPT) with and without social
evaluation (SE) on exercise-induced brachial artery FMD (EX-FMD). A total of 59
healthy male subjects were randomly assigned to 1 of 3 conditions: TSST, CPT, or
CPT with SE. During 6 min of handgrip exercise, brachial artery EX-FMD was
assessed before and 15 and 35 min poststress with echo and Doppler ultrasound.
Shear stress was estimated as shear rate, calculated as brachial artery mean
blood velocity/brachial artery diameter. Results are means +/- SD. All conditions
elicited significant physiological stress responses. Salivary cortisol increased
from 4.6 +/- 2.4 nmol/L to 10.0 +/- 5.0 nmol/L (p < 0.001; condition effect: p =
0.292). Mean arterial pressure increased from 98.6 +/- 12.1 mm Hg to 131.9 +/-
18.7 mm Hg (p < 0.001; condition effect: p = 0.664). Exercise shear rate did not
differ between conditions (p = 0.592), although it was modestly lower poststress
(prestress: 72.3 +/- 4.5 s(-1); 15 min poststress: 70.8 +/- 5.4 s(-1); 35 min
poststress: 70.6 +/- 6.1 s(-1); trial effect: p = 0.011). EX-FMD increased from
prestress to 15 min poststress in all conditions (prestress: 6.2% +/- 2.8%; 15
min poststress: 7.9% +/- 3.2%; 35 min poststress: 6.6% +/- 2.9%; trial effect: p
< 0.001; condition effect: p = 0.611). In conclusion, all conditions elicited
similar stress responses that transiently enhanced EX-FMD. This response may help
to support muscle perfusion during stress.
PMID- 24921442
TI - Paediatric heart/heart-lung transplantation: A systemic perspective on assessment
and preparation.
AB - This paper is based upon our experience as a psychosocial team working on the
paediatric heart/heart-lung (H/HLT) programme for the last 10 years. During this
time more than 350 children have been assessed, 240 have been put onto an active
waiting list and 160 have had transplants. The essence of this paper is a
detailed description of the multidisciplinary and comprehensive approach to
children who are being considered for heart or heart-lung transplantation, and
their families. The key issues of which we have become aware are: creating a
therapeutic alliance; listening to and involving the children; involving the
wider network; understanding the family's illness narrative and their individual
belief systems, including issues of culture, ethnicity and spirituality;
acknowledging and addressing the power imbalance between the child/family and
professional. (Int J Psych Clin Pract 2000; 4:93-99).
PMID- 24921443
TI - A protocol for administration of electroconvulsive therapy in elderly patients
with fractures.
AB - INTRODUCTION: Electroconvulsive therapy (ECT) is an important treatment for
depressive illness in the elderly. METHOD: We describe a brief protocol, divided
into three phases, for the administration of ECT to elderly patients with
fractures. CONCLUSION: In conclusion, we suggest that elderly patients with
fractures should not be denied this potentially life saving treatment. Used with
caution ECT is both safe and effective in patients with fractures. (Int J Psych
Clin Pract 2000; 4:101-104).
PMID- 24921444
TI - Survey of new antidepressants in secondary care.
AB - INTRODUCTION: There are no conclusive data to support the superior efficacy of
any one antidepressant, while costs and side-effects are known to differ. The use
of venlafaxine has been observed to be increasing within local services and this
is associated with significant cost. This survey examined the patterns of use of
new antidepressants by psychiatrists in the UK. METHOD: 188 questionnaires were
sent to hospital pharmacists. The doses of fluoxetine, paroxetine, sertraline and
venlafaxine used for the treatment of depression over a 1-week census period were
recorded, together with the total quantities of each drug supplied by hospital
pharmacies in the financial year 1997/1998. RESULTS: 84 completed replies were
received (45%), with a total number of 2060 prescriptions for depression, making
this the largest survey to date of antidepressant prescribing by psychiatrists.
Fluoxetine and paroxetine were associated with less dosage titration and cost
less per treatment month than sertraline and venlafaxine. CONCLUSION: Venlafaxine
has not been consistently proven to be more effective than other antidepressants
and is more costly, yet its use by psychiatrists is increasing nationally.
Comparative data such as these are not routinely available for hospital care in
the UK. The new NHS will bring more demand for such data and more accountability
for its content. (Int J Psych Clin Pract 2000; 4:105-109).
PMID- 24921445
TI - Comparative efficacy of antidepressants on anxiety features in depression: A meta
analysis of double-blind studies of imipramine and moclobemide against placebo.
AB - INTRODUCTION: We carried out a meta-analysis of data for 950 depressed patients
from all double-blind, placebo-controlled comparative studies of moclobemide
against imipramine. The aim of the analysis was to determine: 1 if the two
antidepressants reduce anxiety symptoms as effectively as symptoms of depression;
2. if there are differences in the anti-anxiety effects; and 3. if the severity
of anxiety symptoms influences the outcome of treatment. METHOD: The analysis was
done in sub-groups of patients classified according to different definitions of
anxiety and to the degree (mild, moderate, severe) of psychic and somatic anxiety
present at baseline. The definitions and the criteria for the severity of anxiety
were derived from the HAMD-17 anxiety item scores (items 10 and 11) and HAMD-17
anxiety sub-scales (ANXP and SOMA). The application of various definitions of
anxiety (single-item and composite sub-scale scores) served to check the
consistency, reproducibility and generalizability of the results. The criteria of
efficacy and of the outcome of treatment, which were set in advance, were either:
50% reduction of the HAMD anxiety sub-scale; or percentage of global improvement
(CGEA, very much and much) at the end of treatment (4 weeks). RESULTS: The
results showed that moclobemide and imipramine are equally effective in reducing
psychic and somatic anxiety in depressed patients, independent of the severity of
anxiety or how it was defined. The criterion of 50% decrease of anxiety scores
(at week 4) was consistently reached by 60-70% of patients in all drug sub
groups. The time course of effects on anxiety symptoms was similar with both
drugs. It ran parallel to the regression of depression, with significant
improvement of anxiety symptoms by week 2 on all measures. The frequency of
benzodiazepine (BDZ) co-administration was not systematically correlated with the
severity of anxiety. Moreover, co-prescription of BDZ did not change the outcome
of drug treatment, with respect either to anxiety symptoms or global therapeutic
efficacy. In placebo groups, significant negative interactions were found between
severity of anxiety and the efficacy of treatment, which decreased with increased
anxiety. The incidence of adverse events with moclobemide was barely higher than
in the placebo group. Insomnia (4.9%) was the only adverse event significantly
higher with moclobemide than with other treatments. Drop-out rates in drug groups
were similar (27%) and unrelated to the severity of anxiety. In the placebo
group, drop-outs were significantly higher than in drug groups (39%), and were
positively correlated with the severity of anxiety. CONCLUSION: The results of
this study indicate that anxiety symptoms in depressed patients respond similarly
to moclobemide or imipramine and that the severity of these symptoms (or the co
prescription of benzodiazepine) has no influence on either the magnitude of anti
anxiety effects or on overall outcome. (Int J Psych Clin Pract 2000; 4:111-117).
PMID- 24921446
TI - Non-reactive mood in outpatient depression: A 557-case study.
AB - INTRODUCTION: The aim of the study was to find the prevalence of non-reactive
mood in major depressive episode (MDE) outpatients, and to compare mood non
reactive and mood reactive patients. Five hundred and fifty-seven consecutive
unipolar and bipolar METHOD: Five hundred and fifty-seven consecutive unipolar
and bipolar II outpatients, presenting for MDE treatment, were interviewed with
the Structured Clinical Interview for DSM-IV, the Montgomery Asberg Depression
Rating Scale (MADRS), and the Global Assessment of Functioning (GAF) scale.
RESULTS: Non-reactive mood was assessed by MADRS. Non-reactive mood was present
in 12.0% of patients. There was no significant age, gender, bipolar II, or
unipolar difference between non-reactive and reactive mood patients, while MDE
severity was significantly greater in non-reactive mood patients. CONCLUSION: Non
reactive mood is uncommon among bipolar II and unipolar depressed outpatients. It
is associated with severe depression, and the proportions of bipolar II and
unipolar patients are not different between non-reactive and reactive mood
patients. (Int J Psych Clin Pract 2000; 4:119-121).
PMID- 24921447
TI - Selegiline-citalopram combination in patients with Parkinson's disease and major
depression.
AB - INTRODUCTION: We evaluated the efficacy and safety of the selegiline citalopram
combination in the treatment of major depression in patients with Parkinson's
disease. METHOD: Eight consecutive depressed outpatients who had received
previously selegiline monotherapy or (one patient) selegiline-levodopa
combination (the dose of selegiline was 5-10 mg/day in each case) for mild to
severe Parkinson's disease were treated with 20 mg citalopram/day. The severity
of depression was evaluated on the Hamilton Depression Rating Scale before and 8
weeks after citalopram treatment. RESULTS: The majority of the patients (six)
responded well to citalopram treatment and no adverse events occurred.
CONCLUSION: The combination of a low dose of selegiline (5-10 mg daily) and
citalopram (20 mg daily) may be an effective and safe method in the treatment of
major depression in patients with Parkinson's disease. (Int J Psych Clin Pract
2000; 4:123-125).
PMID- 24921448
TI - Target symptoms for fluvoxamine in old age depression.
AB - INTRODUCTION: Old age depression is difficult to treat. It is presumed that the
underlying biochemical mechanism differs from that of depression of younger age.
Clinical manifestations also differ, as elderly people manifest more
irritability, anxiety, conduct and cognitive disorders than pessimism or guilt.
Response to treatment is believed to be poorer than in younger patients. METHOD:
Fifty patients, with a mean age of 66.65, suffering from major depression or
dysthymia according to DSM-IV criteria, took part in the study. Their mean HDRS
score was 16.77. They were prescribed 100 mg of fluvoxamine daily. Half of them
returned 3 months later for reassessment by GDS, HDRS, MMSE, CAMCOG and FRSSD.
RESULTS: Mean changes in scores were: GDS, -3.14 +/- 10.86; MMSE, -0.96 +/- 3.34;
CAMCOG, +1.59 +/- 8.95; and FRSSD -3 +/- 4.96; statistically significant
differences were found for GDS and FRSSD scores. All patients manifested residual
symptoms. CONCLUSION: Fluvoxamine improved elderly patients suffering from
geriatric depression, particularly in respect of depressive thought content (GDS)
and impairment in everyday activities (FRSSD). When cognitive impairment due to
depression was present, response to treatment was greater. Severely depressed
patients showed a greater response to treatment, but also more severe residual
symptoms. (Int J Psych Clin Pract 2000; 4:127-134) 3.17 +/- 4.06; HDRS.
PMID- 24921449
TI - A survey of lithium monitoring and prescribing patterns.
AB - INTRODUCTION: In the United Kingdom, guidelines for the monitoring of lithium are
published in the British National Formulary. METHOD: Lithium monitoring and
prescribing were retrospectively reviewed over a 6-year period in current
patients of one General Adult Psychiatry team in Greater London. There were no
instances of adherence to BNF guidelines at the start of treatment. RESULTS: In
outpatients, 44% of subsequent monitoring intervals were longer than recommended
and 10% of serum levels were above the recommended range of serum concentrations.
Analysis of prescribing patterns by a senior pharmacist estimated 34% of the dose
changes to have been inappropriate according to the trend of serum lithium
levels. CONCLUSION: These results imply poor monitoring of patients on lithium,
especially at the start of treatment. Training needs should be addressed. During
lithium therapy, dose changes should be made with reference to previous serum
levels. (Int J Psych Clin Pract 2000; 4:135-138).
PMID- 24921450
TI - Risperidone compared to haloperidol in cannabis-induced psychotic disorder: A
double blind randomized controlled trial.
AB - INTRODUCTION: Few controlled data exist on the treatment of substancehaloperidol
induced psychotic disorders. Our aim was to investigate the effects of
risperidone and haloperidol. METHOD: 30 patients who met DSM-IV criteria for
cannabis-induced psychotic disorder were randomly allocated to receive either
risperidone or haloperidol in a 4-week randomized controlled double-blind
clinical trial. RESULTS: There were no significant outcome differences between
the two groups on any of the primary outcome measures, the Brief Psychiatric
Rating Scale, Clinical Global Impression scale or the Global Assessment of
Functioning Scale. No extrapyramidal side-effects (EPS), as measured by either
the Simpson Angus Scale or the Barnes Akathisia Scale, emerged in the risperidone
group; this was however not statistically different to the haloperidol group due
to the low rate of EPS in that group. There were no significant differences
between the two groups on the secondary outcome measures, use of lorazepam or
biperidin. CONCLUSION: Risperidone appears to be as effective as haloperidol in
the treatment of cannabis-induced psychotic disorder. (Int J Psych Clin Pract
2000; 4:139-142).
PMID- 24921451
TI - Suicide in schizophrenia: A fourteen-year survey in an English health district.
AB - INTRODUCTION: To determine the suicide rate among patients with schizophrenia
within the Rotherham health district and identify any possible risk factors for
suicide in this population. METHOD: Retrospective survey of the Coroner's data
and of the psychiatric records within both the community and hospital-based
psychiatric services. RESULTS: Eleven suicides were identified during the years
1984-1997 who had a diagnosis of schizophrenia. This represents a suicide rate of
between 86 and 280 per 100 000, depending on the prevalence of schizophrenia
within the population. This is 8-27 times as high as the rate for the general
population of the district. CONCLUSION: Suicide in schizophrenia remains an
uncommon event despite the increased risk. This presents problems for the
assessment of the efficacy of suicide prevention strategies at the level of the
average-sized health district or psychiatric department. (Int J Psych Clin Pract
2000; 4:143-146).
PMID- 24921452
TI - The problem of non-compliance in schizophrenia: Opinions of patients and their
relatives. A pilot study.
AB - INTRODUCTION: The relapse rate in patients suffering from schizophrenia is
considerably higher than that which would be expected from the appropriate use of
antipsychotics as prophylactic treatment. One of the reasons for this discrepancy
is patients' non-compliance. In this study we examine reasons given by patients
and their relatives as causes for past or possible future non-compliance. METHOD:
Questionnaires were completed by 29 stabilized outpatients and their relatives,
followed-up regularly (at least once per month), by the Mental Health Centre of
Volos. RESULTS: Fifty percent of the questionnaires collected from schizophrenic
patients (n=22) mentioned non-compliance in the past or the possibility in the
future. Among the reasons stated by patients and their relatives in general, 60%
had to do with lack of knowledge about the disease, and, specifically, its course
and management; 20% had to do with lack of insight, 10% with social pressure and
10% with drug side-effects. Overall 50% of the causes were related to the use of
medications. The major reason for compliance (54%) was the feeling of trust in
the doctor. CONCLUSION: Mental health professionals should be aware of the high
potential for non-compliance, even among regular attenders of outpatient
psychiatric clinics. Part of the solution to this problem could be the provision
of information to patients and relatives in lay terms, aided by written
suggestions to the doctors on how to present the information. (Int J Psych Clin
Pract 2000; 4:147-150).
PMID- 24921453
TI - Season-dependent symptoms in consultation-liaison patients.
AB - INTRODUCTION: We investigated those psychiatric patients whose reason for seeking
medical help was primarily a physical condition. Our objectives were to analyse
to what extent they suffered from seasonal variation in mood and behaviour during
winter, and to examine whether these patients were evenly distributed between the
categories of the ICD-10 Classification of Mental and Behavioural Disorders (ICD
10) by their primary diagnosis of mental disorder. METHOD: Patients attending the
psychiatric services of a consultation liaison unit were interviewed for
diagnosis, and were asked to fill in a questionnaire on seasonal variation in
mood and behaviour. RESULTS: Our results suggest that there are many patients
fulfilling the criteria based on the Seasonal Pattern Assessment uestionnaire
(SPA) for seasonal affective disorder (SAD) or for subsyndromal SAD, not only in
the ICD-10 diagnosis category of mood (affective) disorders but also in other
disorder categories. CONCLUSION: This observation is of importance to hospital
and private clinicians, as it emphasizes the need to assess the clinical picture
in detail and to consider treatment alternatives for patients presenting with
mental disorder with a seasonal pattern. (Int J Psych Clin Pract 2000; 4:151
154).
PMID- 24921454
TI - Dissociative identity disorder (DID) in Japan: A forensic case report and the
recent increase in reports of DID.
AB - The subject of this report murdered four young girls between 1988 and 1989. The
forensic psychiatric evaluation showed that soon after the sudden death of his
dearest grandfather he had developed dissociative symptoms including
depersonalization, autoscopy, fugue, dissociative amnesia, Ganser's syndrome and
DID, on the basis of earlier traumatic experiences under the dysfunctional family
circumstances of his early childhood. His DID was thought to be manifest in at
least four personalities, i.e., a host personality, a child personality, a cool
personality and a female personality. In Japan only five cases of DID were
reported between 1919 and 1990, whereas more than 30 cases were reported in
journals or at academic meetings from 1991 to 1997. Although the incidence is
still quite low in Japan, DID can be considered to be a valid clinical entity in
spite of Merskey's severe criticism of the disorder. (Int J Psych Clin Pract
2000; 4:155-160).
PMID- 24921455
TI - Manic psychosis induced by long term alpha-interferon treatment for hepatitis C.
AB - There is increasing evidence of psychiatric side-effects following long-term
alpha-interferon treatment, but no previous reports of psychosis as a side
effect. There is little evidence to suggest the best treatment of
interferonrelated psychiatric illness. A case of manic psychosis developing after
longterm alpha-interferon treatment is reported in a woman with no previous
psychiatric history. The patient did not respond to termination of
alphainterferon therapy. She responded partially to olanzapine but completely
recovered after sodium valproate was added, with no deleterious effects.
Psychiatric side-effects, including psychosis, are appreciable problems of alpha
interferon. This is the first case report of psychosis developing after long-term
treatment. It supports suggestions that the pathological mechanisms of the early
and late side-effects are different. Sodium valproate proved to be a safe and
effective treatment. (Int J Psych Clin Pract 2000; 4:161-162).
PMID- 24921456
TI - Comorbidity.
PMID- 24921457
TI - Correspondence.
PMID- 24921459
TI - Prevalence, incidence, and lifetime risk of atrial fibrillation in China: new
insights into the global burden of atrial fibrillation.
AB - BACKGROUND: Much of the epidemiology of atrial fibrillation (AF) is based on data
from Western populations. Despite the huge population of Asia, data on the
clinical epidemiology of AF in Asian countries are limited. The current study
aimed to investigate the prevalence and incidence of newly diagnosed (ie,
incident) AF, as well as lifetime risk, in China and to determine the clinical
risk factors contributing to its development. METHODS: Using a medical insurance
database involving > 10 million individuals for the years 2001 to 2012 in the
southwest of China, trends in incident AF were calculated using Kaplan-Meier
analysis and Cox regression. The usefulness of the CHADS2 (congestive heart
failure, hypertension, age, diabetes, stroke [doubled]) and CHA2DS2-VASc
(congestive heart failure, hypertension, age >= 75 [doubled], diabetes, stroke
[doubled], vascular disease, age 65-74, and sex category [female]) scores was
tested in predicting the occurrence of incident AF. RESULTS: A total of 471,446
individuals (aged >= 20 years) were studied, with 1,924,975 person-years of
experience. We identified 921 patients with incident AF (62% male; mean age, 62
years). The prevalence of incident AF in subjects aged >= 20 years was 0.2 per
100 people, with an incidence of AF of 0.05 per 100 person-years overall. Over an
11-year period, the prevalence of AF increased 20-fold, whereas AF-related stroke
increased 13-fold. The lifetime risk of AF was approximately one in five among
Chinese adults, and it increased with advancing age. The CHA2DS2-VASc score was
superior to the CHADS2 score in predicting the risk of incident AF in our Chinese
population (DeLong test, Z = 6.621, P < .001). CONCLUSIONS: The AF burden, as
well as the risk of AF-related stroke, has increased significantly over the past
11 years in the southwest of China. The public health burden of AF and its
complications are greatest in the very elderly, with major implications for
health-care systems given the global burden of this common arrhythmia.
PMID- 24921460
TI - What role do periodontal pathogens play in osteoarthritis and periprosthetic
joint infections of the knee?
AB - Through the use of polymerase chain reaction (PCR)-electron spray ionization
(ESI)-time of flight (TOF)-mass spectrometry (MS), we identified multiple
periodontal pathogens within joint tissues of individuals undergoing replacement
arthroplasties of the knee. The most prevalent of the periodontal pathogens were
Treponema denticola and Enterococcus faecalis, the latter of which is commonly
associated with apical periodontitis. These findings were unique to
periprosthetic joint infections (PJI) of the knee and were never observed for
PJIs of other lower extremity joints (hip and ankle) or upper extremity joints
(shoulder and elbow). These data were confirmed by multiple independent
methodologies including fluorescent in situ hybridization (FISH) which showed the
bacteria deeply penetrated inside the diseased tissues, and 454-based deep 16S
rDNA sequencing. The site-specificity, the tissue investment, and the identical
findings by multiple nucleic-acid-based techniques strongly suggests the presence
of infecting bacteria within these diseased anatomic sites. Subsequently, as part
of a control program using PCR-ESI-TOF-MS, we again detected these same
periodontal pathogens in aspirates from patients with osteoarthritis who were
undergoing primary arthroplasty of the knee and thus who had no history of
orthopedic implants. This latter finding raises the question of whether
hematogenic spread of periodontal pathogens to the knee play a primary or
secondary-exacerbatory role in osteoarthritis.
PMID- 24921461
TI - alpha MnMoO4/graphene hybrid composite: high energy density supercapacitor
electrode material.
AB - A unique and cost effective hydrothermal procedure has been carried out for the
synthesis of hexahedron shaped alpha MnMoO4 and its hybrid composite with
graphene using three different weight percentages of graphene. Characterization
techniques, such as XRD, Raman and FTIR analysis, established the phase and
formation of the composite. The electrochemical characterization of the
pseudocapacitive MnMoO4 and the MnMoO4/graphene composites in 1 M Na2SO4
displayed highest specific capacitances of 234 F g(-1) and 364 F g(-1),
respectively at a current density of 2 A g(-1). Unlike many other
pseudocapacitive electrode materials our prepared materials responded in a wide
range of working potentials of (-)1 V to (+)1 V, which indeed resulted in a high
energy density without substantial loss of power density. The highest energy
densities of 130 Wh kg(-1) and 202.2 Wh kg(-1) were achieved, respectively for
the MnMoO4 and the MnMoO4/graphene composite at a constant power delivery rate of
2000 W kg(-1). The synergistic effect of the graphene with the pseudocapacitive
MnMoO4 caused an increased cycle stability of 88% specific capacitance retention
after 1000 consecutive charge discharge cycles at 8 A g(-1) constant current
density, which was higher than the virgin MnMoO4 with 84% specific capacitance
retention.
PMID- 24921462
TI - Genetic epidemiology and preventive healthcare in multiethnic societies: the
hemoglobinopathies.
AB - Healthy carriers of severe Hemoglobinopathies are usually asymptomatic and only
efficiently detected through screening campaigns. Based upon epidemiological
data, screenings have been offered for decades to populations of endemic Southern
Europe for primary prevention of Thalassemia Major, while for many populations of
the highly endemic African and Asian countries prevention for Sickle Cell Disease
and Thalassemia Major is mainly unavailable. The massive migrations of the last
decades have brought many healthy carriers of these diseases to live and
reproduce in non-endemic immigration areas changing the epidemiological pattern
of the local recessive diseases and bringing an urgent need for treatment and
primary prevention in welfare countries. Nonetheless, no screening for an
informed reproductive choice is actively offered by the healthcare systems of
most of these welfare countries. As a consequence more children affected with
severe Hemoglobinopathies are born today in the immigration countries of Northern
Europe than in the endemic Southern European area. Following the Mediterranean
example, some countries like the UK and The Netherlands have been offering early
pregnancy carrier screening at different levels and/or in specific areas but more
accessible measures need to be taken at the national level in all immigration
countries. Identification of carriers using simple and inexpensive methods should
be included in the Rhesus and infectious diseases screening which is offered
early in pregnancy in most developed countries. This would allow identification
of couples at risk in time for an informed choice and for prenatal diagnosis if
required before the first affected child is born.
PMID- 24921464
TI - Mycobacterium chelonae keratitis in a 3-decade-old corneal graft.
PMID- 24921463
TI - Parity, age at first birth, and risk of death from asthma: evidence from a cohort
in taiwan.
AB - This study was undertaken to examine whether there is an association between age
at first birth and parity and risk of asthma death. The study cohort consisted of
1,292,462 women in Taiwan who had a first live birth between 1 January 1978 and
31 December 1987. We tracked each woman from the date of their first childbirth
to 31 December 2009, and their vital status was ascertained by linking records
with the computerized mortality database. Cox proportional hazard regression
models were used to estimate hazard ratios of death from asthma associated with
parity and age at first birth. A trend of increasing risk of asthma death was
seen with increasing age at first birth. The adjusted hazard ratio was 0.75 (95%
confidence interval (CI) = 0.53-1.08) among women with two live births and 0.53
(95% CI = 0.36-0.78) among those with three or more births, compared with women
who had one live birth. There was a significant decreasing trend in adjusted
hazard ratios of asthma death with increasing parity. This study is the first to
provide evidences to support an association between reproductive factors (parity
and early age at first birth) and the risk of asthma death.
PMID- 24921465
TI - AAC Editor's Awards for 2013.
PMID- 24921466
TI - Increasing hybridization rate and sensitivity of DNA microarrays using
isotachophoresis.
AB - We present an on-chip electrokinetic method to increase the reaction kinetics and
sensitivity of DNA microarray hybridization. We use isotachophoresis (ITP) to
preconcentrate target molecules in solution and transport them over the
immobilized probe sites of a microarray, greatly increasing the binding reaction
rate. We show theoretically and experimentally that ITP-enhanced microarrays can
be hybridized much faster and with higher sensitivity than conventional methods.
We demonstrate our assay using a microfluidic system consisting of a PDMS
microchannel superstructure bonded onto a glass slide on which 60 spots of 20-27
nt ssDNA oligonucleotide probes are immobilized. Our 30 min assay results in an
8.2 fold higher signal than the conventional overnight hybridization at 100 fM
target concentration. We show rapid and quantitative detection over 4 orders of
magnitude dynamic range of target concentration with no increase in the
nonspecific signal. Our technique can be further multiplexed for higher density
microarrays and extended for other reactions of target-surface immobilized
ligands.
PMID- 24921467
TI - Emergent properties arising from the assembly of amphiphiles. Artificial vesicle
membranes as reaction promoters and regulators.
AB - This article deals with artificial vesicles and their membranes as reaction
promoters and regulators. Among the various molecular assemblies which can form
in an aqueous medium from amphiphilic molecules, vesicle systems are unique.
Vesicles compartmentalize the aqueous solution in which they exist, independent
on whether the vesicles are biological vesicles (existing in living systems) or
whether they are artificial vesicles (formed in vitro from natural or synthetic
amphiphiles). After the formation of artificial vesicles, their aqueous interior
(the endovesicular volume) may become - or may be made - chemically different
from the external medium (the exovesicular solution), depending on how the
vesicles are prepared. The existence of differences between endo- and
exovesicular composition is one of the features on the basis of which biological
vesicles contribute to the complex functioning of living organisms. Furthermore,
artificial vesicles can be formed from mixtures of amphiphiles in such a way that
the vesicle membranes become molecularly, compositionally and organizationally
highly complex, similarly to the lipidic matrix of biological membranes. All the
various properties of artificial vesicles as membranous compartment systems
emerge from molecular assembly as these properties are not present in the
individual molecules the system is composed of. One particular emergent property
of vesicle membranes is their possible functioning as promoters and regulators of
chemical reactions caused by the localization of reaction components, and
possibly catalysts, within or on the surface of the membranes. This specific
feature is reviewed and highlighted with a few selected examples which range from
the promotion of decarboxylation reactions, the selective binding of DNA or RNA
to suitable vesicle membranes, and the reactivation of fragmented enzymes to the
regulation of the enzymatic synthesis of polymers. Such type of emergent
properties of vesicle membranes may have been important for the prebiological
evolution of protocells, the hypothetical compartment systems preceding the first
cells in those chemical and physico-chemical processes that led to the origin of
life.
PMID- 24921468
TI - Modeling a measurement-device-independent quantum key distribution system.
AB - We present a detailed description of a widely applicable mathematical model for
quantum key distribution (QKD) systems implementing the measurement-device
independent (MDI) protocol. The model is tested by comparing its predictions with
data taken using a proof-of-principle, time-bin qubit-based QKD system in a
secure laboratory environment (i.e. in a setting in which eavesdropping can be
excluded). The good agreement between the predictions and the experimental data
allows the model to be used to optimize mean photon numbers per attenuated laser
pulse, which are used to encode quantum bits. This in turn allows optimization of
secret key rates of existing MDI-QKD systems, identification of rate-limiting
components, and projection of future performance. In addition, we also performed
measurements over deployed fiber, showing that our system's performance is not
affected by environment-induced perturbations.
PMID- 24921469
TI - Effective absorption enhancement in dielectric thin-films with embedded paired
strips gold nanoantennas.
AB - This study focuses on determining the optimized thickness of an absorbing thin
film with embedded gold nanoantennas, for absorption enhancement. Gold paired
strips nanoantennas with small gaps have been proposed for light trapping because
of the high localized electric field in the gap due to resonance. Paired-strips
nanoantennas with small gaps produce higher effective absorption compared to
single-strip gratings. From the average absorption two-dimensional map, the
absorption enhancement may increase by a factor of up to 20 for gold paired
strips nanoantennas embedded in a 100 nm thick P3HT:PCBM thin-film.
PMID- 24921470
TI - Backward stimulated radiation from filaments in nitrogen gas and air pumped by
circularly polarized 800 nm femtosecond laser pulses.
AB - We report on strong backward stimulated emission at 337 nm in nitrogen gas pumped
by circularly polarized femtosecond laser pulses at 800 nm. A distinct dependence
of the backward UV spectrum on pump laser polarization and intensity is observed,
pointing to the occurrence of backward amplified spontaneous emission inside
filaments. We attribute the population inversion to inelastic collision between
the free electrons produced by the pump laser and neutral N2 molecules. The
addition of oxygen molecules is detrimental for the gain, reducing it to near
threshold at atmospheric concentration.
PMID- 24921471
TI - Electromagnetic energy transport in finite photonic structures.
AB - We have derived, for oblique propagation, an equation relating the averaged
energy flux density to energy fluxes arising in the process of scattering by a
lossless finite photonic structure. The latter fluxes include those associated
with the dispersion relation of the structure, reflection, and interference
between the incident and reflected waves. We have also derived an explicit
relation between the energy flux density and the group velocity, which provides a
simple and systematical procedure for studying theoretically and experimentally
the properties of the energy transport through a wide variety of finite photonic
structures. Such a relation may be regarded as a generalization of the
corresponding one for infinite periodic systems to finite photonic structures. A
finite, N-period, photonic crystal was used to illustrate the usefulness of our
results.
PMID- 24921472
TI - Fast feature identification for holographic tracking: the orientation alignment
transform.
AB - The concentric fringe patterns created by features in holograms may be associated
with a complex-valued orientational order field. Convolution with an
orientational alignment operator then identifies centers of symmetry that
correspond to the two-dimensional positions of the features. Feature
identification through orientational alignment is reminiscent of voting
algorithms such as Hough transforms, but may be implemented with fast convolution
methods, and so can be orders of magnitude faster.
PMID- 24921473
TI - A scalable and continuous-upgradable optical wireless and wired convergent access
network.
AB - In this work, a scalable and continuous upgradable convergent optical access
network is proposed. By using a multi-wavelength coherent comb source and a
programmable waveshaper at the central office (CO), optical millimeter-wave (mm
wave) signals of different frequencies (from baseband to > 100 GHz) can be
generated. Hence, it provides a scalable and continuous upgradable solution for
end-user who needs 60 GHz wireless services now and > 100 GHz wireless services
in the future. During the upgrade, user only needs to upgrade their optical
networking unit (ONU). A programmable waveshaper is used to select the suitable
optical tones with wavelength separation equals to the desired mm-wave frequency;
while the CO remains intact. The centralized characteristics of the proposed
system can easily add any new service and end-user. The centralized control of
the wavelength makes the system more stable. Wired data rate of 17.45 Gb/s and w
band wireless data rate up to 3.36 Gb/s were demonstrated after transmission over
40 km of single-mode fiber (SMF).
PMID- 24921474
TI - Demonstration of a broad band spectral head-mounted display with freeform
mirrors.
AB - It has been demonstrated that electrical stimulation of the retina can produce
visual perception for blind patients suffering from macular degeneration and
retinitis pigmentosa. In order to let the retinal chip generate enough electrical
stimulation, the near infrared ray source is added to enhance the stimulation
current. However, it is a challenge to design a head-mounted display (HMD) that
covers both visible and infrared rays. Since the HMD system covers such a broad
spectral band, large color aberrations will be induced. In order to eliminate
these large aberrations, a mirror system is adopted that will create a no color
aberration system. We also use two freeform mirrors (FFMs) to reduce residual
aberrations such as spherical aberrations and coma. The FFMs serve as the near
eye viewing optics that magnifies the image which is displayed through a
microdisplay. Based on a 0.61 in. microdisplay, the HMD system demonstrates a
diagonal field of view (FOV) of 30 degree and an f/# of 3.75, with an exit pupil
diameter of 8 mm and eye clearance of 15mm.
PMID- 24921475
TI - Improved 8-channel silicon mode demultiplexer with grating polarizers.
AB - An improved 8-channel silicon mode demultiplexer is realized with TE-type and TM
type grating polarizers at the output ends, and these gratings serve as fiber
chip couplers simultaneously. The present 8-channel silicon mode demultiplexer
includes a three-waveguide PBS (for separating the TE0 and TM0 modes) and six
cascaded ADCs (for demultiplexing the high-order modes of both polarizations).
The grating polarizers with high extinction ratios are used to filter out the
polarization crosstalk in the 8-channel hybrid multiplexer efficiently and the
measured crosstalk for all the mode-channels of the improved 8-channel mode
multiplexer is reduced greatly to ~-20dB in a ~100nm bandwidth.
PMID- 24921476
TI - Bio-inspired, sub-wavelength surface structures for ultra-broadband, omni
directional anti-reflection in the mid and far IR.
AB - Quasi-ordered moth-eye arrays were fabricated in Si using a colloidal lithography
method to achieve highly efficient, omni-directional transmission of mid and far
infrared (IR) radiation. The effect of structure height and aspect ratio on
transmittance and scattering was explored experimentally and modeled
quantitatively using effective medium theory. The highest aspect ratio structures
(AR = 9.4) achieved peak transmittance of 98%, with >85% transmission for lambda
= 7-30 MUm. A detailed photon balance was constructed by measuring transmission,
forward scattering, specular reflection and diffuse reflection to quantify
optical losses due to near-field effects. In addition, angle-dependent
transmission measurements showed that moth-eye structures provide superior anti
reflective properties compared to unstructured interfaces over a wide angular
range (0-60 degrees incidence). The colloidal lithography method presented here
is scalable and substrate-independent, providing a general approach to realize
moth-eye structures and anti-reflection in many IR-compatible material systems.
PMID- 24921477
TI - A 23-watt single-frequency vertical-external-cavity surface-emitting laser.
AB - We report on a single-frequency semiconductor disk laser which generates 23.6 W
output power in continuous wave operation, at a wavelength of 1013 nm. The high
output power is a result of optimizing the chip design, thermal management and
the cavity configuration. By applying passive stabilization techniques, the free
running linewidth is measured to be 407 kHz for a sampling time of 1 ms, while
undercutting 100 kHz in the microsecond domain.
PMID- 24921478
TI - Dispersion insensitive optical signal to noise ratio monitoring of PDM signal by
using uncorrelated signal power.
AB - In this paper, we propose and demonstrate optical signal to noise ratio (OSNR)
monitoring method for polarization-division-multiplexing (PDM) signal by using
the uncorrelated signal power, which is generated by balanced subtraction in
electrical domain. The proposed OSNR monitoring is insensitive to dispersion
impairment by using low bandwidth receiver. The proposed OSNR monitoring method
is tested from 5 dB to 27.5 dB in 100-Gb/s PDM-QPSK system experimentally.
PMID- 24921479
TI - Ultraviolet vortex generation using periodically bonded beta-BaB2O4 device.
AB - This paper describes the first demonstration of ultraviolet (266nm) vortex
generation using the combination of a frequency-doubled nanosecond green laser, a
spiral phase plate, and a periodically bonded beta-BaB2O4 device. For a laser
pumping energy of 9.1 mJ, an ultraviolet vortex energy of 1.24 mJ was obtained,
corresponding to a conversion efficiency of 13.7%.
PMID- 24921480
TI - Generation of quasi-monoenergetic electron beams with small normalized
divergences angle from a 2 TW laser facility.
AB - We report the generation of a 6 pC, 23 MeV electron bunch with the energy spread
+/- 3.5% by using 2 TW, 80 fs high contrast laser pulses interacting with helium
gas targets. Within the optimized experimental condition, we obtained quasi
monoenergetic electron beam with an ultra-small normalized divergence angle of 92
mrad, which is at least 5 times smaller than the previous LPA-produced bunches.
We suggest the significant decrease of the normalized divergence angles is due to
smooth transfer from SM-LWFA to LWFA. Since the beam size in LPA is typically
small, this observation may explore a simple way to generate ultralow normalized
emittance electron bunches by using small-power but high-repetition-rate laser
facilities.
PMID- 24921481
TI - High speed switching between arbitrary spatial light profiles.
AB - Complex images, inscribed into the spatial profile of a laser beam or even a
single photon, offer a highly efficient method of data encoding. Here we present
a prototype system which can quickly modulate between arbitrary images. We
display an array of holograms, each defined by its phase and intensity profile,
on a spatial light modulator. The input beam is then steered by an acousto-optic
modulator to one of these holograms, where it is converted into the desired light
mode. We demonstrate switching between characters within three separate alphabets
at a switching rate of up to10 kHz. This rate is limited by our detection system,
and we anticipate that the system is capable of far higher rates. Furthermore our
system is not limited in efficiency by channel number, making it ideal for
quantum communication applications.
PMID- 24921482
TI - Photonic crystal based microscale flow cytometry.
AB - Here we propose a new design of an on-chip micro-flow cytometry based on photonic
crystals. When individual cells flow tangential to the crystal surface, the
transmission of the light through the photonic crystal changes depending on the
presence or absence of the cells and their size and shape. This system was
modeled using OptiFDTD, where transmission spectra were extracted. Initially, the
potential for cell counting has been demonstrated. Then, for cells with differing
shape a direct relation between signal distribution and cell shape has been
found.
PMID- 24921483
TI - All-optical XOR logic gate using intersubband transition in III-V quantum well
materials.
AB - A monolithically integrated all-optical exclusive-OR (XOR) logic gate is
experimentally demonstrated based on a Michelson interferometer (MI) gating
device in InGaAs/AlAsSb coupled double quantum wells (CDQWs). The MI arms can
convert the pump data with return-to-zero ON-OFF keying (RZ OOK) to binary phase
shift keying (BPSK) format, then two BPSK signals can interfere with each other
for realizing a desired logical operation. All-optical format conversion from the
RZ OOK to BPSK is based on the cross-phase modulation to the transverse electric
(TE) probe wave, which is caused by the intersubband transition excited by the
transverse magnetic (TM) pump light. Bit error rate measurements show that error
free operation for both BPSK format conversion and XOR logical operation can be
achieved.
PMID- 24921485
TI - Passive mode locking of ytterbium- and erbium-doped all-fiber lasers using
graphene oxide saturable absorbers.
AB - Broadband graphene oxide/PVA films were used as saturable absorbers (SAs) for
mode locking erbium-doped fiber laser (EDFL) and ytterbium-doped fiber laser
(YDFL) at 1.06 MUm and 1.55 MUm. They provide modulation depths of 3.15% and 6.2%
for EDFL and YDFL, respectively. Stable self-starting mode-locked pulses are
obtained for both lasers, confirming that the graphene oxide is cost-effective.
We have generated mode-locked pulses with spectral width, repetition rate, and
pulse duration of 0.75 nm, 9.5 MHz, and 2.7 ps. This is the shortest pulse
duration directly obtained from an all-normal-dispersion YDFL with graphene-oxide
saturable absorber.
PMID- 24921486
TI - Super-resolution coherent anti-Stokes Raman scattering microscopy with photonic
nanojets.
AB - We demonstrate far-field super-resolution coherent anti-Stokes Raman scattering
(CARS) microscopy by exciting the sample with photonic nanojets. The sub
diffraction photonic nanojets were formed on the surface of the sample by
microspheres illuminated by laser beams, and images were acquired by a standard
laser-scanning CARS microscope. When the laser beams were focused on the
microspheres, the photonic nanojets determined the excitation volume instead of
the diffraction-limited laser foci, leading to super-resolution. We imaged the
sub-diffraction features of a Blu-ray disc using glass spheres with a refractive
index of 1.46 and diameters in the 1-6 um range. The microspheres provided a
lateral magnification factor up to 5.0X and a lateral resolution of at least 200
nm at 796 nm laser wavelength, allowing us to resolve the features on the disc
which were invisible under normal CARS imaging. The magnification factor depended
on both the microsphere size and the focal plane position of the incident beams.
To explain the magnification factor we performed theoretical simulations which
showed excellent agreement with experimental results. This super-resolution
technique could be very useful for the vibrational imaging of nano-scale objects
on films and surfaces.
PMID- 24921484
TI - Towards jitter-free pump-probe measurements at seeded free electron laser
facilities.
AB - X-ray free electron lasers (FEL) coupled with optical lasers have opened
unprecedented opportunities for studying ultrafast dynamics in matter. The major
challenge in pump-probe experiments using FEL and optical lasers is synchronizing
the arrival time of the two pulses. Here we report a technique that benefits from
the seeded-FEL scheme and uses the optical seed laser for nearly jitter-free pump
probe experiments. Timing jitter as small as 6 fs has been achieved and confirmed
by measurements of FEL-induced transient reflectivity changes of Si3N4 using both
collinear and non-collinear geometries. Planned improvements of the experimental
set-up are expected to further reduce the timing jitter between the two pulses
down to fs level.
PMID- 24921487
TI - Efficient lasing in continuous wave and graphene Q-switched regimes from Nd:YAG
ridge waveguides produced by combination of swift heavy ion irradiation and
femtosecond laser ablation.
AB - We report on the continuous wave and passively Q-switched lasers in Nd:YAG ridge
waveguides fabricated by a combination of swift Kr ion irradiation and
femtosecond laser ablation. Owing to the deep penetration length (~50 MUm) of 670
MeV Kr(8+) ions into the crystal, ridge waveguides with large-area cross section,
supporting nearly symmetric guiding modes, were produced. Continuous wave lasers
with maximum 182 mW output power at ~1064 nm have been realized at 808-nm optical
pump. Using graphene as a saturable absorber, passively Q-switched waveguide
laser operations were achieved. The pulsed laser produces 90 ns pulses, with a
~4.2 MHz repetition rate, 19% slope efficiency and 110 mW average output power,
corresponding to single-pulse energy of 26.5 nJ.
PMID- 24921488
TI - Combination of cylindrical confinement and spark discharge for signal improvement
using laser induced breakdown spectroscopy.
AB - Spark discharge has been proved to be an effective way to enhance the LIBS signal
while moderate cylindrical confinement is able to increase the signal
repeatability with limited signal enhancement effects. In the present work, these
two methods were combined together not only to improve the pulse-to-pulse signal
repeatability but also to simultaneously and significantly enhance the signal as
well as SNR. Plasma images showed that the confinement stabilized the morphology
of the plasma, especially for the discharge assisted process, which explained the
improvement of the signal repeatability.
PMID- 24921489
TI - Optically trapped mirror for reaching the standard quantum limit.
AB - The preparation of a mechanical oscillator driven by quantum back-action is a
fundamental requirement to reach the standard quantum limit (SQL) for force
measurement, in optomechanical systems. However, thermal fluctuating force
generally dominates a disturbance on the oscillator. In the macroscopic scale, an
optical linear cavity including a suspended mirror has been used for the weak
force measurement, such as gravitational-wave detectors. This configuration has
the advantages of reducing the dissipation of the pendulum (i.e., suspension
thermal noise) due to a gravitational dilution by using a thin wire, and of
increasing the circulating laser power. However, the use of the thin wire is weak
for an optical torsional anti-spring effect in the cavity, due to the low
mechanical restoring force of the wire. Thus, there is the trade-off between the
stability of the system and the sensitivity. Here, we describe using a triangular
optical cavity to overcome this limitation for reaching the SQL. The triangular
cavity can provide a sensitive and stable system, because it can optically trap
the mirror's motion of the yaw, through an optical positive torsional spring
effect. To show this, we demonstrate a measurement of the torsional spring effect
caused by radiation pressure forces.
PMID- 24921491
TI - Impact of lithography on the fluorescence dynamics of self-assembled
fluorophores.
AB - Micro- and nano-patterned fluorescent materials are important for many photonic
devices and applications. In this paper, we investigate the impact of three
common lithographical techniques, deposition and removal of sacrificial masks,
ultraviolet ablation, and focused ion beam milling, on self-assembled
fluorophores. We find that different patterning techniques can dramatically
change the fluorescence lifetime of the fluorophores and that the degree of
modification depends on the patterning techniques.
PMID- 24921490
TI - Fizeau interferometric cophasing of segmented mirrors: experimental validation.
AB - We present an optical testbed demonstration of the Fizeau Interferometric
Cophasing of Segmented Mirrors (FICSM) algorithm. FICSM allows a segmented mirror
to be phased with a science imaging detector and three filters (selected among
the normal science complement). It requires no specialised, dedicated wavefront
sensing hardware. Applying random piston and tip/tilt aberrations of more than 5
wavelengths to a small segmented mirror array produced an initial unphased point
spread function with an estimated Strehl ratio of 9% that served as the starting
point for our phasing algorithm. After using the FICSM algorithm to cophase the
pupil, we estimated a Strehl ratio of 94% based on a comparison between our data
and simulated encircled energy metrics. Our final image quality is limited by the
accuracy of our segment actuation, which yields a root mean square (RMS)
wavefront error of 25 nm. This is the first hardware demonstration of coarse and
fine phasing an 18-segment pupil with the James Webb Space Telescope (JWST)
geometry using a single algorithm. FICSM can be implemented on JWST using any of
its scientic imaging cameras making it useful as a fall-back in the event that
accepted phasing strategies encounter problems. We present an operational
sequence that would co-phase such an 18-segment primary in 3 sequential
iterations of the FICSM algorithm. Similar sequences can be readily devised for
any segmented mirror.
PMID- 24921492
TI - Wavelength conversion of incoherent light by sum-frequency generation.
AB - In this paper, we reveal that some kinds of optical nonlinearities are further
enhanced when incoherent light, instead of a laser, is used as a pump light. This
idea was confirmed both theoretically and experimentally in the case of sum
frequency generation (SFG) using the optical second nonlinearity. The conversion
efficiency of the SFG with incoherent light pumping increased as the bandwidth of
the incoherent pump light decreased, finally reaching twice the conversion
efficiency of conventional second harmonic generation (SHG) by laser pumping.
This method dramatically relaxes the severe requirements of phase matching in the
nonlinear optical process. The conversion efficiency became less sensitive to
misalignment of the wavelength of pump light and also of device operation
temperature when the bandwidth of the incoherent pump light was sufficiently
broad, although the improvement of the conversion efficiency had an inverse
relationship with the insensitivity to the phase-matching condition. The
temperature tuning range was enhanced by more than two orders of magnitude in
comparison with the conventional SHG method. As an example of a promising
application of this new idea, we performed the generation of quantum entangled
photon-pairs using cascaded optical nonlinearities (SFG and the subsequent
spontaneous parametric down conversion) in a single periodically poled LiNbO3
waveguide device, in which the incoherent light was used as the pump source for
both the parametric processes. We have achieved high fidelity exceeding 99% in
quantum-state tomography experiments.
PMID- 24921493
TI - Gradient index lens based combined two-photon microscopy and optical coherence
tomography.
AB - We report a miniaturized probe-based combined two-photon microscopy (TPM) and
optical coherence tomography (OCT) system. This system is to study the colorectal
cancer in mouse models by visualizing both cellular and structural information of
the colon in 3D with TPM and OCT respectively. The probe consisted of gradient
index (GRIN) lenses and a 90 degrees reflecting prism at its distal end for side
viewing, and it was added onto an objective lens-based TPM and OCT system. The
probe was 2.2 mm in diameter and 60 mm in length. TPM imaging was performed by
raster scanning of the excitation focus at the imaging speed of 15.4 frames/s.
OCT imaging was performed by combining the linear sample translation and probe
rotation along its axis. This miniaturized probe based dual-modal system was
characterized with tissue phantoms containing fluorescent microspheres, and
applied to image mouse colonic tissues ex vivo as a demonstration. As OCT and TPM
provided structural and cellular information of the tissues respectively, this
probe based multi-modal imaging system can be helpful for in vivo studies of
preclinical animal models such as mouse colonic tumorigenesis.
PMID- 24921494
TI - Sensitivity analysis and optimization of sub-wavelength optical gratings using
adjoints.
AB - Numerical optimization of photonic devices is often limited by a large design
space the finite-differences gradient method requires as many electric field
computations as there are design parameters. Adjoint-based optimization can
deliver the same gradients with only two electric field computations. Here, we
derive the relevant adjoint formalism and illustrate its application for a
waveguide slab, and for the design of optical sub-wavelength gratings.
PMID- 24921495
TI - Multichannel terahertz time-domain spectroscopy system at 1030 nm excitation
wavelength.
AB - We present Terahertz (THz) imaging with a 1D multichannel time-domain
spectroscopy (TDS) system which operates with a photoconductive array of 15
detection channels excited by a 1030 nm femtosecond fiber laser. The emitter and
detector are photoconductive antennas based on InGaAs/InAlAs multi-layer
heterostructures (MLHS). We characterized the THz optics and the resolution of
the system. The performance is demonstrated by the multichannel imaging of two
samples. A simultaneous measurement of 15 THz pulses with a pixel pitch of 1 mm
increases the measurement speed of the TDS system by factor 15.
PMID- 24921496
TI - Beam shaping for laser-based adaptive optics in astronomy.
AB - The availability and performance of laser-based adaptive optics (AO) systems are
strongly dependent on the power and quality of the laser beam before being
projected to the sky. Frequent and time-consuming alignment procedures are
usually required in the laser systems with free-space optics to optimize the
beam. Despite these procedures, significant distortions of the laser beam have
been observed during the first two years of operation of the Gemini South multi
conjugate adaptive optics system (GeMS). A beam shaping concept with two
deformable mirrors is investigated in order to provide automated optimization of
the laser quality for astronomical AO. This study aims at demonstrating the
correction of quasi-static aberrations of the laser, in both amplitude and phase,
testing a prototype of this two-deformable mirror concept on GeMS. The paper
presents the results of the preparatory study before the experimental phase. An
algorithm to control amplitude and phase correction, based on phase retrieval
techniques, is presented with a novel unwrapping method. Its performance is
assessed via numerical simulations, using aberrations measured at GeMS as
reference. The results predict effective amplitude and phase correction of the
laser distortions with about 120 actuators per mirror and a separation of 1.4 m
between the mirrors. The spot size is estimated to be reduced by up to 15% thanks
to the correction. In terms of AO noise level, this has the same benefit as
increasing the photon flux by 40%.
PMID- 24921497
TI - Tests of a compact static Fourier-transform imaging spectropolarimeter.
AB - A compact Fourier-transform imaging spectropolarimeter covering a 450-1000 nm
spectral range is presented. The sensor, which is based on two birefringent
retarders and a Wollaston interferometer, offers significant advantages over
previous implementations. Specifically, with no internal moving parts,
electrically controllable or micro polarization components, the full wavelength
dependent state of polarization, spectral and spatial information of a scene can
be acquired simultaneously. Outdoor measurements of several cars and plants
demonstrate the sensor's potential for color measurement, target identification,
and agriculture monitoring applications.
PMID- 24921498
TI - Sensitization of Er3+ ions in silicon rich oxynitride films: effect of thermal
treatments.
AB - The optical properties of reactive co-sputtered erbium doped silicon rich
oxynitride (Er:SRON) films are studied as a function of annealing temperatures
(Ta). The sensitization mechanism of Er3+ is found to evolve with Ta: excess Si
related localized states play the essential role in samples when Ta is below 700
degrees C, while silicon nanoclusters (Si-NCs) become the dominate sensitizers
when Ta exceeds 800 degrees C. Our results show that higher density of
sensitized Er3+ could be acquired via energy transfer from localized states, and
thus provide an alternative way for the engineering of light sources based on
Er:SRON.
PMID- 24921499
TI - Light sources generating self-splitting beams and their propagation in non
Kolmogorov turbulence.
AB - A class of random sources producing far fields self-splitting intensity profiles
with variable spacing between the x and y directions is introduced. The beam
conditions for ensuring the sources to generate a beam are derived. Based on the
derived analytical expression, the evolution behavior of the beams produced by
these families of sources in free space and turbulence atmospheric are explored
and comparatively analyzed. By changing the modulation parameters n and m, the
degree of coherence of Gaussian Schell-model source in the x and y directions are
modulated respectively, and then the number of splitting beams and the spacing
between splitting beams can be adjusted. It is illustrated that the self
splitting intensity profile is stable when beams propagate in free space, but
they eventually transformed into a Gaussian profiles when it passes at
sufficiently large distances from its source through the turbulent atmosphere.
PMID- 24921501
TI - Energy-efficient optical network units for OFDM PON based on time-domain
interleaved OFDM technique.
AB - We propose and experimentally demonstrate a new scheme to reduce the energy
consumption of optical network units (ONUs) in orthogonal frequency division
multiplexing passive optical networks (OFDM PONs) by using time-domain
interleaved OFDM (TI-OFDM) technique. In a conventional OFDM PON, each ONU has to
process the complete downstream broadcast OFDM signal with a high sampling rate
and a large FFT size to retrieve its required data, even if it employs a portion
of OFDM subcarriers. However, in our scheme, the ONU only needs to sample and
process one data group from the downlink TI-OFDM signal, effectively reducing the
sampling rate and the FFT size of the ONU. Thus, the energy efficiency of ONUs in
OFDM PONs can be greatly improved. A proof-of-concept experiment is conducted to
verify the feasibility of the proposed scheme. Compared to the conventional OFDM
PON, our proposal can save 17.1% and 26.7% energy consumption of ONUs by halving
and quartering the sampling rate and the FFT size of ONUs with the use of the TI
OFDM technology.
PMID- 24921502
TI - Multipass laser cavity for efficient transverse illumination of an elongated
volume.
AB - A multipass laser cavity is presented which can be used to illuminate an
elongated volume from a transverse direction. The illuminated volume can also
have a very large transverse cross section. Convenient access to the illuminated
volume is granted. The multipass cavity is very robust against misalignment, and
no active stabilization is needed. The scheme is suitable for example in beam
experiments, where the beam path must not be blocked by a laser mirror, or if the
illuminated volume must be very large. This cavity was used for the muonic
hydrogen experiment in which 6 MUm laser light illuminated a volume of 7 * 25 *
176 mm3, using mirrors that are only 12 mm in height. We present our measurement
of the intensity distribution inside the multipass cavity and show that this is
in good agreement with our simulation.
PMID- 24921503
TI - Temperature characteristics of surface micromachined MEMS-VCSEL with large tuning
range.
AB - Several Applications for tunable laser diodes have strict constraints in terms of
overall power consumption. Furthermore, the implementation in harsh environments
with large temperature fluctuations is necessary. Due to the constraint in power
consumption, the application of active cooling might not be an option. For this
reason we investigate the temperature characteristics of an electrically pumped
MEMS-VCSEL with wide continuous wavelength tuning. For the first time, a mode hop
free single mode (side mode suppression ratio (SMSR) > 40dB) tuning range of 45nm
at 70 degrees C is demonstrated with a MEMS-VCSEL. An increase of the tuning
range from 85nm at 20 degrees C to 92nm at 40 degrees C is measured and
explained. In contrast to fixed wavelength VCSEL, the investigated device shows a
negative temperature induced wavelength shift of -4.5nmK(-1), which is caused by
the MEMS-mirror. At 1560nm, the fibre-coupled optical output power is above 0.6mW
over the entire temperature range between 20 degrees C to 70 degrees C and shows
a maximum of > 3mW at 20 degrees C.
PMID- 24921504
TI - Scanning inverse fluorescence correlation spectroscopy.
AB - Scanning Inverse Fluorescence Correlation Spectroscopy (siFCS) is introduced to
determine the absolute size of nanodomains on surfaces. We describe here
equations for obtaining the domain size from cross- and auto-correlation
functions, measurement simulations which enabled testing of these equations, and
measurements on model surfaces mimicking membranes containing nanodomains. Using
a confocal microscope of 270 nm resolution the size of 250 nm domains were
estimated by siFCS to 257 +/- 12 nm diameter, and 40 nm domains were estimated to
65 +/- 26 nm diameter. Applications of siFCS for sizing of nanodomains and
protein clusters in cell membranes are discussed.
PMID- 24921505
TI - Large-mode-area infrared guiding in ultrafast laser written waveguides in sulfur
based chalcogenide glasses.
AB - Current demands in astrophotonics impose advancing optical functions in infrared
domains within embedded refractive index designs. We demonstrate concepts for
large-mode-area guiding in ultrafast laser photowritten waveguides in bulk Sulfur
based chalcogenide glasses. If positive index contrasts are weak in As2S3, Ge
doping increases the matrix rigidity and allows for high contrast (10(-3))
positive refractive index changes. Guiding with variable mode diameter and large
mode-area light transport is demonstrated up to 10 MUm spectral domain using
transverse slit-shaped and evanescently-coupled multicore traces.
PMID- 24921506
TI - Focused ion beam post-processing of optical fiber Fabry-Perot cavities for
sensing applications.
AB - Focused ion beam technology is combined with chemical etching of specifically
designed fibers to create Fabry-Perot interferometers. Hydrofluoric acid is used
to etch special fibers and create microwires with diameters of 15 MUm. These
microwires are then milled with a focused ion beam to create two different
structures: an indented Fabry-Perot structure and a cantilever Fabry-Perot
structure that are characterized in terms of temperature. The cantilever
structure is also sensitive to vibrations and is capable of measuring frequencies
in the range 1 Hz - 40 kHz.
PMID- 24921507
TI - Assessment of the colored dissolved organic matter in coastal waters from ocean
color remote sensing.
AB - Knowledge on absorption by colored dissolved organic matter, a(cdom), spatio
temporal variability in coastal areas is of fundamental importance in many field
of researches related to biogeochemical cycles studies, coastal areas management,
as well as land and water interactions in the coastal domain. A new method, based
on the theoretical link between the vertical attenuation coefficient, K(d), and
the absorption coefficient, has been developed to assess a(cdom). This method,
confirmed from radiative transfer simulations and in situ measurements, and
tested on an independent in situ data set (N = 126), allows a(cdom) to be
assessed with a Mean Relative Absolute Difference, MRAD, of 33% over two order of
magnitude (from 0.01 to 1.16 m(-1)). In the frame of ocean color observation,
K(d) is not directly measured but estimated from the remote sensing reflectance,
R(rs). Based on 109 satellite (SeaWiFS) and in situ coincident (i.e. match-up)
data points a(cdom) is retrieved with a MRAD value of 37%. This simple model
generally presents slightly better performances than recently developed empirical
or semi-analytical algorithms.
PMID- 24921508
TI - Tunable microwave generation of a monolithic dual-wavelength distributed feedback
laser.
AB - The dynamic behavior of a monolithic dual-wavelength distributed feedback laser
was fully investigated and mapped. The combination of different driving currents
for master and slave lasers can generate a wide range of different operational
modes, from single mode, period 1 to chaos. Both the optical and microwave
spectrum were recorded and analyzed. The detected single mode signal can
continuously cover from 15GHz to 50GHz, limited by photodetector bandwidth. The
measured optical four-wave-mixing pattern indicates that a 70GHz signal can be
generated by this device. By applying rate equation analysis, the important laser
parameters can be extracted from the spectrum. The extracted relaxation resonant
frequency is found to be 8.96GHz. With the full operational map at hand, the
suitable current combination can be applied to the device for proper
applications.
PMID- 24921509
TI - A large bistable negative lens by integrating a polarization switch with a
passively anisotropic focusing element.
AB - A bistable negative lens with a large aperture size (~10mm) by integrating a
polarization switch of ferroelectric liquid crystals (FLCs) with a passively
anisotropic focusing element is demonstrated. The proposed lens not only exhibits
electrically tunable bistability but also fast response time of sub-milliseconds.
The tunable lens power is from 0 to -1.74 Diopters. The electro-optical
properties and imaging performances are demonstrated. The impact of this study is
to provide a solution of electrically bistable liquid crystal lenses for the
applications of portable devices, wearable devices and colored ophthalmic lenses.
PMID- 24921510
TI - Mapping the refractive index of optically transparent samples by means of optical
nanoantenna attached to fiber microaxicon.
AB - We demonstrate analytically and numerically that the detection of the spectral
response of a single spherical Au nanoantenna allows one to map very small (down
to 5.10(-4) RIU) variations of the refractive index of an optically transparent
sample. Spectral shift of the dipole local plasmon resonance wavelength of the
nanoantenna and the spectral sensitivity of the method developed was estimated by
using simple analytical quasi-static model. A pointed scanning probe based on
fiber microaxicon with the Au spherical nanoantenna attached to its tip was
proposed to realize the RI mapping method. Finite-difference time-domain
numerical simulations of the spectral properties of the proposed probe are in
good agreement with the theoretical quasi-electrostatic estimations for a radius
of the nanoantenna not exceeding the skin depth of Au.
PMID- 24921511
TI - Visual space assessment of two all-reflective, freeform, optical see-through head
worn displays.
AB - Head-worn displays have begun to infiltrate the commercial electronics scene as
mobile computing power has decreased in price and increased in availability. A
prototypical head-worn display is both lightweight and compact, while achieving
high quality optical performance. In off-axis geometries, freeform optical
surfaces allow an optical designer additional degrees of freedom necessary to
create a device that meets these conditions. In this paper, we show two optical
see-through head-worn display designs, both comprising two freeform elements with
an emphasis on visual space assessment and parameters.
PMID- 24921512
TI - Concurrent optical parametric down-conversion in chi(2) nonlinear photonic
crystals.
AB - We experimentally investigated concurrent parametric downconversion processes in
a two-dimensional hexagonally poled lithium tantalate crystal. The substantial
enhancement of parametric gain was observed when concurrent processes shared a
common parametric beam. Both degenerate and nondegenerate concurrent parametric
downconversion processes were studied. Analyses of the spatial forms and output
angles showed a strong dependence on the working temperature, during which a well
defined beamlike parametric output was observed. Our results will stimulate the
design for coherent high-gain generation of multiple parametric beams and also
shed light on the compact engineering of path-entanglement with specific spatial
forms based on concurrent spontaneous parametric downconversion processes.
PMID- 24921513
TI - Remote open-path cavity-ringdown spectroscopic sensing of trace gases in air,
based on distributed passive sensors linked by km-long optical fibers.
AB - A continuous-wave, rapidly swept cavity-ringdown spectroscopic technique has been
developed for localized atmospheric sensing of trace gases at remote sites. It
uses one or more passive open-path optical sensor units, coupled by optical fiber
over distances of >1 km to a single transmitter/receiver console incorporating a
photodetector and a swept-frequency diode laser tuned to molecule-specific near
infrared wavelengths. Ways to avoid interference from stimulated Brillouin
scattering in long optical fibers have been devised. This rugged open-path
system, deployable in agricultural, industrial, and natural atmospheric
environments, is used to monitor ammonia in air. A noise-limited minimum
detectable mixing ratio of ~11 ppbv is attained for ammonia in nitrogen at
atmospheric pressure.
PMID- 24921514
TI - Phase sensitivity of fundamental mode of hollow-core photonic bandgap fiber to
internal gas pressure.
AB - The response of the commercial HC-1550-02 hollow-core photonic bandgap fiber (HC
PBF) to gas pressure applied internally to the hollow-core was experimentally
investigated. The transmission spectrum of the HC-PBF was hardly affected by the
pressure, while the accumulated phase of the fundamental optical mode showed a
normalized pressure sensitivity of 1.044 * 10(-2) rad/(Pa?m), which is over two
orders of magnitude higher than that to the external pressure. Numerical
simulation showed that the observed high sensitivity to pressure is due to the
pressure-induced refractive index change of air inside the hollow-core. This
research could find potential applications in high sensitivity static and dynamic
pressure measurement and optical phase manipulation.
PMID- 24921515
TI - A simple method for quality evaluation of micro-optical components based on 3D
IPSF measurement.
AB - This paper presents a simple method based on the measurement of the 3D intensity
point spread function for the quality evaluation of high numerical aperture micro
optical components. The different slices of the focal volume are imaged thanks to
a microscope objective and a standard camera. Depending on the optical
architecture, it allows characterizing both transmissive and reflective
components, for which either the imaging part or the component itself are moved
along the optical axis, respectively. This method can be used to measure focal
length, Strehl ratio, resolution and overall wavefront RMS and to estimate
optical aberrations. The measurement setup and its implementation are detailed
and its advantages are demonstrated with micro-ball lenses and micro-mirrors.
This intuitive method is adapted for optimization of micro-optical components
fabrication processes, especially because heavy equipments and/or data analysis
are not required.
PMID- 24921516
TI - Shaped multi-cycle two-color laser field for generating an intense isolated XUV
pulse toward 100 attoseconds.
AB - The isolated attosecond pulse (IAP) generated from high-order harmonic (HH)
radiation has been established as an important technique for the ultrafast optics
over past decade. The applications of IAP in ultrafast processes can be greatly
extended by further developing the high-intensity IAP. Here, we theoretically
propose to shape a two-color field by performing peak amplitude-wavelength
analysis. It is found that a 240-as IAP can be generated even without carrier
envelop phase (CEP) stabilization using a 25 fs/800 nm fundamental field and a
relative weak 25 fs/1330 nm control field, which enables us to markedly relax the
requirements of the driving laser fields both in pulse duration and CEP control.
On the other hand, if the CEPs of driving laser fields are stabilized, a 65-eV
broadband continual harmonic, supporting a 81-as IAP, can be directly produced
with the optimized intensity ratio of 0.866 and control wavelength of 1400 nm.
Moreover, the propagation effect of two-color field on the macroscopic build-up
of HH for generating a high-energy IAP is discussed. We found that the method of
phase match still works for the efficient continuous harmonic generation as long
as the ionization level and the pressure of gas medium are kept low enough. Since
the phase-matched short IAP can be generated with our shaped two-color scheme in
combination with a relaxed requirement of driving laser fields, the commercial
available high-energy laser source with a loosely focused geometry is promising
for scaling up the energy of IAP, showing the potential for the realization of
IAP with high focused intensity toward 100 attoseconds.
PMID- 24921517
TI - Electron-beam lithography of plasmonic nanorod arrays for multilayered optical
storage.
AB - In this paper we demonstrate multilayer fabrication of plasmonic gold nanorod
arrays using electron-beam lithography (EBL), and show that this structure could
be used for multilayered optical storage media capable of continuous-wave (cw)
laser readout. The gold nanorods fabricated using the EBL method are aligned
perfectly and homogeneous in size and shape, allowing the polarization response
of surface plasmon resonance (SPR) to be observed through ensemble array. This
property in turn permits polarization detuned SPR readout possible and other
manipulations such as progressively twisted arrays through the multilayers to
make cw readout possible through deeper layers without too much extinction loss.
The layered gold nanorod arrays are separated by thick spacer layer to enable the
optical resolving of individual layers. Using this method, we demonstrated four
fold reduction in extinction loss for cw readout in three-layer structure. The
current technique of multilayer fabrication and readout can be useful in 3
dimensional fabrication of plasmonic circuits and structures.
PMID- 24921518
TI - Sub-130 fs mode-locked Er-doped fiber laser based on topological insulator.
AB - In this work we present for the first time, to the best of our knowledge, a
stretched-pulse mode-locked fiber laser based on topological insulator. As a
saturable absorber (SA) a ~0.5 mm thick lump of antimony telluride (Sb2Te3)
deposited on a side-polished fiber was used. Such a SA introduced 6% modulation
depth with 43% of non-saturable losses, which is sufficient for supporting
stretched-pulse mode-locking. The ring laser resonator based on Er-doped active
fiber with managed intracavity dispersion was capable of generating ultrashort
optical pulses with full width at half maximum (FWHM) of 30 nm centered at 1565
nm. The pulses with duration of 128 fs were repeated with a frequency of 22.32
MHz.
PMID- 24921519
TI - Coherent Fourier scatterometry for detection of nanometer-sized particles on a
planar substrate surface.
AB - Inspection tools for nano-particle contamination on a planar substrate surface is
a critical problem in micro-electronics. The present solutions are either
expensive and slow or inexpensive and fast but have low sensitivity because of
limitations due to diffraction. Most of them are also substrate specific. In this
article we report how Coherent Fourier Scatterometry is used for detection of
particles smaller than lambda/4. Merits of the technique, especially, the
procedures to improve SNR, its flexibility and its robustness on rough surfaces
are discussed with simulated and experimental results.
PMID- 24921520
TI - Radiation pattern of plasmonic nano-antennas in a homogeneous medium.
AB - Radiation patterns from plasmonic nano-antennas formed on a glass substrate were
investigated using index-matching oils. It was confirmed that the pattern from
single nano-antennas for various cases of index-mismatching between the substrate
and the oil is explained well by the patterns of infinitesimal electric dipoles.
We found that for an angular resolution of 2 degrees , the index mismatch must be
smaller than 0.001 to realize isotropic radiation. By using the appropriate
condition, the radiation patterns of nano Yagi-Uda antennas in a quasi
homogeneous medium were obtained experimentally.
PMID- 24921521
TI - A superradiant clock laser on a magic wavelength optical lattice.
AB - An ideal superradiant laser on an optical clock transition of noninteracting cold
atoms is predicted to exhibit an extreme frequency stability and accuracy far
below mHz-linewidth. In any concrete setup sufficiently many atoms have to be
confined and pumped within a finite cavity mode volume. Using a magic wavelength
lattice minimizes light shifts and allows for almost uniform coupling to the
cavity mode. Nevertheless, the atoms are subject to dipole-dipole interaction and
collective spontaneous decay which compromises the ultimate frequency stability.
In the high density limit the Dicke superradiant linewidth enhancement will
broaden the laser line and nearest neighbor couplings will induce shifts and
fluctuations of the laser frequency. We estimate the magnitude and scaling of
these effects by direct numerical simulations of few atom systems for different
geometries and densities. For Strontium in a regularly filled magic wavelength
configuration atomic interactions induce small laser frequency shifts only and
collective spontaneous emission weakly broadens the laser. These interactions
generally enhance the laser sensitivity to cavity length fluctuations but for
optimally chosen operating conditions can lead to an improved synchronization of
the atomic dipoles.
PMID- 24921522
TI - Pulse compression and broadening by reflection from a moving front of a photonic
crystal.
AB - Previously, the effect of pulse bandwidth compression or broadening was observed
in reflection from a moving front together with the Doppler shift. In this
letter, an approach is presented, which alters pulse bandwidth without change in
the central frequency. It occurs when light is reflected from a moving front of
an otherwise stationary photonic crystal. This means that the photonic crystal
lattice as such is stationary and only its boundary to the environment is moving,
thus extruding (or shortening) the photonic crystal medium. The compression
(broadening) factor depends on the front velocity and is the same as for the
conventional Doppler shift. Complete reflection and transformation of the pulse
can be achieved even with weak refractive index contrast, what makes the approach
experimentally viable.
PMID- 24921523
TI - Manipulating coherence resonance in a quantum dot semiconductor laser via
electrical pumping.
AB - Excitability and coherence resonance are studied in a semiconductor quantum dot
laser under short optical self-feedback. For low pump levels, these are observed
close to a homoclinic bifurcation, which is in correspondence with earlier
observations in quantum well lasers. However, for high pump levels, we find
excitability close to a boundary crisis of a chaotic attractor. We demonstrate
that in contrast to the homoclinic bifurcation the crisis and thus the excitable
regime is highly sensitive to the pump current. The excitability threshold
increases with the pump current, which permits to adjust the sensitivity of the
excitable unit to noise as well as to shift the optimal noise strength, at which
maximum coherence is observed. The shift adds up to more than one order of
magnitude, which strongly facilitates experimental realizations.
PMID- 24921524
TI - On the absorption and electromagnetic field spectral shifts in plasmonic
nanotriangle arrays.
AB - The behavior of the electromagnetic field interaction with gold nanotriangles
organized in bow-tie arrays is investigated. A side-by-side comparison between
the measured absorbance of the array and the modelled integrated electric field
resonances confined around the gold structures is presented and discussed to
explain the spectral shift between both parameters. Finite difference time domain
calculations and Raman measurements of gold triangles of different sizes and
periodicity are systematically performed. Numerical calculations show that the
spectral maximum of the electric field varies in distinct areas over the metallic
structures.
PMID- 24921525
TI - Low-loss plasmon-triggered switching between reflected free-space diffraction
orders.
AB - Surface plasmon coupling of a TM polarized free space incident beam by means of
the + 1st or the -2nd order of a smooth corrugation grating at a metal surface
causes the cancellation of the diffracted -1st order free space beam and a
maximum of the 0th order Fresnel reflection whereas the converse occurs midway
between these two conditions. This implies that angular tilting of the element or
wavelength scanning provokes the switching between the -1st and 0th reflected
orders. This plasmon-mediated effect on propagating free-space beams exhibits
remarkably low absorption losses.
PMID- 24921526
TI - Fabrication and characterization of a hybrid four-hole AsSe2-As2S5
microstructured optical fiber with a large refractive index difference.
AB - A hybrid four-hole AsSe2-As2S5 microstructured optical fiber (MOF) with a large
refractive index difference is fabricated by the rod-in-tube drawing technique.
The core and the cladding are made from the AsSe2 glass and As2S5 glass,
respectively. The propagation loss is ~1.8 dB/m and the nonlinear coefficient is
~2.03 * 10(4) km(-1)W(-1) at 2000 nm. Raman scattering is observed in the normal
dispersion regime when the fiber is pumped by a 2 MUm mode-locked picosecond
fiber laser. Additionally, soliton is generated in the anomalous dispersion
regime when the fiber is pumped by an optical parametric oscillator (OPO) at the
pump wavelength of ~3000 nm.
PMID- 24921527
TI - Diffuse imaging and radius dependent frequency correlations in strongly
scattering media.
AB - A new probe of multiple scattering material is demonstrated experimentally. Light
from a tunable wavelength source is focused to a point on the surface of an
opaque slab. A fraction of this light penetrates into the slab, is multiply
scattered, and reemerges at the surface creating a surface speckle pattern. The
full spatial and frequency speckle can be easily and quickly recorded using a CCD
and an acoustooptical tunable filter. Both the average intensity and frequency
correlations of intensity are analyzed as a function of the distance to the
source. This method is demonstrated experimentally for white paint. The resulting
model yields information about both the static and dynamic transport properties
of the sample. The technique has prospects for both static and time resolved
diffuse imaging in strongly scattering materials. The setup can be easily used as
an add-on to a standard bright field microscope.
PMID- 24921528
TI - Wave-optical design of a combined refractive-diffractive varifocal lens.
AB - A novel type of integrated refractive-diffractive varifocal membrane lens is
designed and analyzed by wave-optical methods. In contrast to other hybrid
devices, the diffractive microstructure is directly imprinted onto the soft
deflecting membrane, allowing for a high level of integration. Elastic
deformation is taken into account by mechanical simulations with the finite
element method (FEM). We show, that the superimposed structure can considerably
suppress chromatic and spherical aberration. Furthermore, our algorithm is
successfully applied to design a confocal hyperspectral lens.
PMID- 24921529
TI - Stimulated Brillouin scattering of pulses in optical fibers.
AB - We derive analytic expressions for the Brillouin thresholds of square pulses in
optical fibers. The equations are valid for pulse durations in the transient
Brillouin scattering regime (less than 100 nsec), as well for longer pulses, and
have been confirmed experimentally. Our analysis also gives a firm theoretical
prediction that the Brillouin gain width increases dramatically for intense
pulses, from tens of MHz to one GHz or more.
PMID- 24921530
TI - Generation of mode-locked optical pulses at 1035 nm from a fiber Bragg grating
stabilized semiconductor laser diode.
AB - We report the generation of transform-limited, ~18 ps optical pulses from a fiber
Bragg grating (FBG) stabilized semiconductor laser diode. Up to 7.2 pJ of pulse
energy and a peak power of 400mW were achieved when operating at a repetition
frequency of 832.6 MHz, a multiple of the cavity (diode + FBG) free spectral
range (FSR). A small detuning in the repetition frequency resulted in broader
optical pulses. We have shown experimentally the transition from a gain-switched
regime of operation to mode-locked operation once the injection current
modulation frequency is set to match a harmonic of the cavity FSR. The transition
also results in a reduction in the timing jitter of the optical pulses.
PMID- 24921531
TI - Spatial properties of twin-beam correlations at low- to high-intensity
transition.
AB - It is shown that spatial correlation functions measured for correlated photon
pairs at the single-photon level correspond to speckle patterns visible at high
intensities. This correspondence is observed for the first time in one
experimental setup by using different acquisition modes of an intensified CCD
camera in low and high intensity regimes. The behavior of intensity auto- and
cross-correlation functions in dependence on pump-beam parameters including power
and transverse profile is investigated.
PMID- 24921532
TI - Ring artifact correction using detector line-ratios in computed tomography.
AB - Ring artifacts in computed tomography (CT) images degrade image quality and
obscure the true shapes of objects. While several correction methods have been
developed, their performances are often task-dependent and not generally
applicable. Here, we propose a novel method to reduce ring artifacts by
calculating the ratio of adjacent detector elements in the projection data,
termed the line-ratio. Our method estimates the sensitivity of each detector
element and equalizes them in sinogram space. As a result, the stripe pattern can
be effectively removed from sinogram data, thereby also removing ring artifacts
from the reconstructed CT image. Numerical simulations were performed to evaluate
and compare the performance of our method with that of conventional methods. We
also tested our method experimentally and demonstrated that our method has
superior performance to other methods.
PMID- 24921533
TI - Hybrid exposure for depth imaging of a time-of-flight depth sensor.
AB - A time-of-flight (ToF) depth sensor produces noisy range data due to scene
properties such as surface materials and reflectivity. Sensor measurement
frequently includes either a saturated or severely noisy depth and effective
depth accuracy is far below its ideal specification. In this paper, we propose a
hybrid exposure technique for depth imaging in a ToF sensor so to improve the
depth quality. Our method automatically determines an optimal depth for each
pixel using two exposure conditions. To show that our algorithm is effective, we
compare the proposed algorithm with two conventional methods in qualitative and
quantitative manners showing the superior performance of proposed algorithm.
PMID- 24921534
TI - Tunable ultrathin mantle cloak via varactor-diode-loaded metasurface.
AB - We propose a tunable strategy for the ultrathin mantle cloak via metasurface. The
tunable cloak is implemented by loading varactor diodes between two neighboring
horizontal metallic strips which constitute the metasurface. We demonstrate that
the varactor diodes enable the capacitive reactance of the metasurface to be
tunable from -157 Omega to -3 Omega when the DC bias voltage is properly changed.
The active metasurface is then explored to cloak conformally a conducting
cylinder. Both numerical and experiment results show that the cloaking frequency
can be continuously controlled from 2.3 GHz to 3.7 GHz by appropriately adjusting
the bias voltage. The flexible tunability and good cloaking performance are
further examined by the measured field distributions. The advanced features of
tunability, low profile, and conformal ability of the ultrathin cloak pave the
way for practical applications of cloaking devices.
PMID- 24921535
TI - Super-transmission from a finite subwavelength arrangement of slits in a metal
film.
AB - A theory is presented for the transmission of transverse magnetic waves through a
finite number of subwavelength slits in metal film. While a single slit achieves
the single channel limit on resonance, multiple slits show super-transmission
exceeding the single channel limit. The phenomenon of super-transmission is
revealed as a result of cross-coupling of modes and confirmed by simulations. The
influence of finite permittivity in the IR and microwave regime is included by
perturbative corrections to the theory. The theory agrees quantitatively with
past experiments and finite-difference time-domain simulations. By considering
two or more modes in the slit region, our theory provides an approach to the
analysis of cross-coupling among slits, which allows for super-transmission and
features of a Fano resonance.
PMID- 24921536
TI - Simulation study on light propagation in an anisotropic turbulence field of
entrainment zone.
AB - The convective atmospheric boundary layer was modeled in the water tank. In the
entrainment zone (EZ), which is at the top of the convective boundary layer
(CBL), the turbulence is anisotropic. An anisotropy coefficient was introduced in
the presented anisotropic turbulence model. A laser beam was set to horizontally
go through the EZ modeled in the water tank. The image of two-dimensional (2D)
light intensity fluctuation was formed on the receiving plate perpendicular to
the light path and was recorded by the CCD. The spatial spectra of both
horizontal and vertical light intensity fluctuations were analyzed. Results
indicate that the light intensity fluctuation in the EZ exhibits strong
anisotropic characteristics. Numerical simulation shows there is a linear
relationship between the anisotropy coefficients and the ratio of horizontal to
vertical fluctuation spectra peak wavelength. By using the measured temperature
fluctuations along the light path at different heights, together with the
relationship between temperature and refractive index, the one-dimensional (1D)
refractive index fluctuation spectra were derived. The anisotropy coefficients
were estimated from the 2D light intensity fluctuation spectra modeled by the
water tank. Then the turbulence parameters can be obtained using the 1D
refractive index fluctuation spectra and the corresponding anisotropy
coefficients. These parameters were used in numerical simulation of light
propagation. The results of numerical simulations show this approach can
reproduce the anisotropic features of light intensity fluctuations in the EZ
modeled by the water tank experiment.
PMID- 24921537
TI - Third-order optical nonlinearity at 800 and 1300 nm in bismuthate glasses doped
with silver nanoparticles.
AB - Large and ultrafast third-order optical nonlinearities in Ag-doped bismuthate
glasses which are prepared by incorporating Ag ions into bismuthate glasses to
form Ag nanoparticles through a consecutive melting-quenching-annealing technique
are reported. Due to the high refractive index of bismuthate glass, surface
plasmon resonance (SPR) of Ag nanoparticles is extendable to 1400 nm, resulting
in a higher nonlinear refractive index than bismuthate glass. Femtosecond Z-scans
show that the nonlinear refractive index, as high as 9.4 * 10(-17) and 5.6 * 10(
18) m(2) W(-1) at 800 and 1300 nm, respectively, can be achieved by selecting an
optimized concentration of Ag nano-sized particles. And two-photon absorption at
800 nm is suppressed due to a blue shift in the band-gap of Ag-doped bismuthate
glasses, as compared to pristine bismuthate glasses. Optical Kerr shutter
technique reveals that these nonlinearities have a relaxation time of < 1 ps.
PMID- 24921538
TI - Design, production and reverse engineering of ultra-steep hot mirrors.
AB - We present the whole design-production chain of an ultra-steep hot mirror
produced using the indirect monochromatic monitoring technique. The hot mirror
without thin layers is designed utilizing the stochastic optimization procedure
that takes in account upper and lower constraints for layer optical thickness. We
produced the hot mirror with the ion-assisted electron beam deposition technique
using indirect monochromatic monitoring strategy, performed reverse engineering
of the deposited coatings, and illustrated that the random variation of the
tooling factors in low-index layers is the main factor causing production errors.
We modified the monitoring strategy with low-index layers monitored by quartz
crystal monitor, and demonstrated the excellent correspondence to the theoretical
spectral performance.
PMID- 24921539
TI - Digital coherent superposition of optical OFDM subcarrier pairs with Hermitian
symmetry for phase noise mitigation.
AB - Digital coherent superposition (DCS) provides an approach to combat fiber
nonlinearities by trading off the spectrum efficiency. In analogy, we extend the
concept of DCS to the optical OFDM subcarrier pairs with Hermitian symmetry to
combat the linear and nonlinear phase noise. At the transmitter, we simply use a
real-valued OFDM signal to drive a Mach-Zehnder (MZ) intensity modulator biased
at the null point and the so-generated OFDM signal is Hermitian in the frequency
domain. At receiver, after the conventional OFDM signal processing, we conduct
DCS of the optical OFDM subcarrier pairs, which requires only conjugation and
summation. We show that the inter-carrier-interference (ICI) due to phase noise
can be reduced because of the Hermitain symmetry. In a simulation, this method
improves the tolerance to the laser phase noise. In a nonlinear WDM transmission
experiment, this method also achieves better performance under the influence of
cross phase modulation (XPM).
PMID- 24921540
TI - Design a light pattern of multiple concentric circles for LED fishing lamps using
Fourier series and an energy mapping method.
AB - Fourier series and an energy mapping method were used in this study to design a
lens that produces a light pattern of multiple concentric circles (LPMCC) for a
light-emitting diode (LED) fishing lamp. Fourier series were used to represent
the light intensity distribution curve (LIDC) of the LPMCC light pattern. Energy
mapping involves performing angular energy mapping based on the LIDCs of an LED
light source and LPMCC to design a freeform lens. Type I and Type II LPMCC lenses
were designed according to the phototaxis behavior of fish to create a LPMCC
light pattern of interleaving light-dark zones that attracts fish shoals to stay
in an area for a long period. The experimental results indicated that, in
comparing the LIDCs of the Type I and II lenses with the respective simulation
values, the normalized cross-correlation (NCC) value reached 96%. According to a
24-hour observation of the phototaxis of Poecilia reticulata to evaluate the
effectiveness of the proposed light pattern to attract fish, when a fish shoal
was habituated to a light source that emitted constant illumination light, it
gradually moved away from the intense light zone and hovered around the junction
of the light and dark zones. In the future, the design used in this study can be
applied to LED fishing lamps to replace traditional fishing lamps.
PMID- 24921541
TI - Rapid quantitative phase imaging for partially coherent light microscopy.
AB - Partially coherent light provides promising advantages for imaging applications.
In contrast to its completely coherent counterpart, it prevents image degradation
due to speckle noise and decreases cross-talk among the imaged objects. These
facts make attractive the partially coherent illumination for accurate
quantitative imaging in microscopy. In this work, we present a non
interferometric technique and system for quantitative phase imaging with
simultaneous determination of the spatial coherence properties of the sample
illumination. Its performance is experimentally demonstrated in several examples
underlining the benefits of partial coherence for practical imagining
applications. The programmable optical setup comprises an electrically tunable
lens and sCMOS camera that allows for high-speed measurement in the millisecond
range.
PMID- 24921542
TI - A 3D integral imaging optical see-through head-mounted display.
AB - An optical see-through head-mounted display (OST-HMD), which enables optical
superposition of digital information onto the direct view of the physical world
and maintains see-through vision to the real world, is a vital component in an
augmented reality (AR) system. A key limitation of the state-of-the-art OST-HMD
technology is the well-known accommodation-convergence mismatch problem caused by
the fact that the image source in most of the existing AR displays is a 2D flat
surface located at a fixed distance from the eye. In this paper, we present an
innovative approach to OST-HMD designs by combining the recent advancement of
freeform optical technology and microscopic integral imaging (micro-InI) method.
A micro-InI unit creates a 3D image source for HMD viewing optics, instead of a
typical 2D display surface, by reconstructing a miniature 3D scene from a large
number of perspective images of the scene. By taking advantage of the emerging
freeform optical technology, our approach will result in compact, lightweight,
goggle-style AR display that is potentially less vulnerable to the accommodation
convergence discrepancy problem and visual fatigue. A proof-of-concept prototype
system is demonstrated, which offers a goggle-like compact form factor, non
obstructive see-through field of view, and true 3D virtual display.
PMID- 24921543
TI - Projection screen reflectance control for high contrast display using
photochromic compounds and UV LEDs.
AB - This paper presents the first proof-of-concept implementation and the principle
that realizes a projection display whose contrast does not decrease even with
existing inter-reflection of projection light or environmental light. We propose
the use of photochromic compounds (PhC) to control reflectance of a projection
surface. PhC changes color chemically when exposed to UV light. A PhC is applied
to a surface to control its reflectance by radiating UV light from a UV-LED
array. An image is projected from a visible projector onto the surface to boost
the contrast. The proof-of-concept experiment shows that the prototype system
achieves approximately three times higher contrast than a projection-only system
under natural light.
PMID- 24921544
TI - Generalized full-vector multi-mode matching analysis of whispering gallery
microcavities.
AB - We outline a full-vectorial three-dimensional multi-mode matching technique in a
cylindrical coordinate system that addresses the mutual coupling among multiple
modes co-propagating in a perturbed whispering gallery mode microcavity. In
addition to its superior accuracy in respect to our previously implemented single
mode matching technique, this current technique is suitable for modelling
waveguide-to-cavity coupling where the influence of multi-mode coupling is non
negligible. Using this methodology, a robust scheme for hybrid integration of a
microcavity onto a silicon-on-insulator platform is proposed.
PMID- 24921545
TI - Adaptive millimeter-wave synthetic aperture imaging for compressive sampling of
sparse scenes.
AB - We apply adaptive sensing techniques to the problem of locating sparse metallic
scatterers using high-resolution, frequency modulated continuous wave W-band
RADAR. Using a single detector, a frequency stepped source, and a lateral
translation stage, inverse synthetic aperture RADAR reconstruction techniques are
used to search for one or two wire scatterers within a specified range, while an
adaptive algorithm determined successive sampling locations. The two-dimensional
location of each scatterer is thereby identified with sub-wavelength accuracy in
as few as 1/4 the number of lateral steps required for a simple raster scan. The
implications of applying this approach to more complex scattering geometries are
explored in light of the various assumptions made.
PMID- 24921546
TI - Image matching technology in high power LED's eutectic welding.
AB - As the integration packaging density of high-power LED (Light Emitting Diode)
chip modules become higher and higher, the accuracy and speed of visual
inspection require higher demands correspondingly. The accurate position matching
of substrates and flip-chip LEDs is one of the key technologies in the automatic
eutectic welding process. In this paper we propose a method based on image
features to complete the matching of the substrates and the flip-chip LEDs.
Firstly, the substrate images and the flip-chip images are pre-processed
respectively to obtain binary images. Then we apply Hough transformation to
detect straight lines on the binary images, and find out the main linear
directions to trigger the mechanical arms to adjust the positions of the
substrate and the chip initially. Thirdly, we use eight neighbors interconnected
domain algorithm for the first time to locate notable features of the substrate,
and pass the located information to the control system to trigger the mechanical
arm to adjust the substrate for the second time. At the same time, projection
algorithm is applied to locate the anode of the flip-chip to drive the mechanical
arm to adjust the position of the flip-chip again. Finally, the position
information is used to trigger the mechanical arm to accomplish the matching of
the substrate and the flip-chip. The proposed method improves the speed of
matching on the basis of the accuracy of matching, which achieves these
requirements of real-time and high accuracy applications.
PMID- 24921547
TI - Dynamic cosine-Gauss plasmonic beam through phase control.
AB - We carry out an approach to dynamic manipulation of a nondiffracting cosine-Gauss
plasmonic beam (CGPB) illuminated with an incident phase modulation within
nanostructures by a spatial light modulator (SLM). By changing the hologram
addressed on the SLM, dynamic control on the lobe width and the propagating
direction of the CGPB is experimentally verified. Finally, we demonstrate an
application example of this dynamic CGPB in routing optical signals to
multichannel subwavelength wave guides through numerical simulation.
PMID- 24921548
TI - Low-scattering surface plasmon refraction with isotropic materials.
AB - We show theoretically and numerically that a planar structure consisting of two
isotropic dielectric layers can be used to minimize parasitic scattering of
surface plasmon polaritons for arbitrary incidence angle. The average scattering
losses are reduced by an order-of-magnitude down to 1-3%. The surface plasmon
refraction with the scattering suppression can be accurately described by an
analytical model based on the Fresnel equations. The proposed approach can be
used for the design of plasmonic lenses, reflectors, plasmonic crystals and
plasmonic laser cavities.
PMID- 24921549
TI - Waveguide-integrated single-crystalline GaP resonators on diamond.
AB - Large-scale entanglement of nitrogen-vacancy (NV) centers in diamond will require
integration of NV centers with optical networks. Toward this goal, we present the
fabrication of single-crystalline gallium phosphide (GaP) resonator-waveguide
coupled structures on diamond. We demonstrate coupling between 1 MUm diameter GaP
disk resonators and waveguides with a loaded Q factor of 3,800, and evaluate
their potential for efficient photon collection if integrated with single photon
emitters. This work opens a path toward scalable NV entanglement in the hybrid
GaP/diamond platform, with the potential to integrate on-chip photon collection,
switching, and detection for applications in quantum information processing.
PMID- 24921551
TI - 210 W single-frequency, single-polarization, thulium-doped all-fiber MOPA.
AB - A high-power single-frequency, single-polarization, thulium-doped all-fiber
master-oscillator power-amplifier (MOPA) is demonstrated by using all
polarization-maintaining (all-PM) thulium-doped fiber and all-PM-fiber
components. The MOPA yielded 210 W of single-frequency, linear-polarized laser
output at central wavelength of 2000.9 nm with a polarization extinction ratio
(PER) of >17 dB. No indication of stimulated Brillouin scattering (SBS) could be
observed at the highest output power level, and the output power was only
currently limited by available pump power. To the best of our knowledge, this is
the first demonstration of average output power exceeding 200 W from a single
frequency, single-polarization, thulium-doped all-fiber laser at 2 um wavelength
region.
PMID- 24921550
TI - Novel ultra-broadband polarization splitter-rotator based on mode-evolution
tapers and a mode-sorting asymmetric Y-junction.
AB - A novel silicon-on-insulator (SOI) polarization splitter-rotator is proposed
based on mode-evolution tapers and a mode-sorting asymmetric Y-junction. The
tapers are designed to adiabatically convert the input TM0 mode into the TE1
mode, which will evolve into the TE0 mode in the wide output arm while the input
TE0 mode excites the TE0 mode in the narrow arm. The numerical simulation results
show that the mode conversion efficiency increases with the lengths of the tapers
and the Y-junction for the output waveguide widths in a large range. This
proposed device has < 0.4 dB insertion loss with > 12 dB extinction ratio in an
ultra-broad wavelength range from 1350 nm to 1750 nm. With such a broad operating
bandwidth, this device offers potential applications for polarization diversity
operating across every communication bands. Fabrication tolerance analysis is
also performed in terms of the device width variation, the slab height variation
and the variation of the upper-cladding refractive index.
PMID- 24921552
TI - Optical serial coherent analyzer of radio-frequency (OSCAR).
AB - Optical serial coherent analyzer of radio-frequency is a novel scheme that
enables fast-scanning microwave signal measurements in a large bandwidth. The
measurements are performed based on serial channelization realized by using a
fast scanning laser source as the local oscillator to down-convert the to-be
measured radio-frequency (RF) signals. Optical coherent detection effectively
removes interferences induced by RF's self-beating and guarantees the accuracy of
measurements. In the experimental demonstration, instantaneous multi-frequency
measurements and vector information acquisition of RF signals can be achieved by
this scheme within 2.8 MUs over 14 GHz bandwidth.
PMID- 24921553
TI - Aperture-scanning Fourier ptychography for 3D refocusing and super-resolution
macroscopic imaging.
AB - We report an imaging scheme, termed aperture-scanning Fourier ptychography, for
3D refocusing and super-resolution macroscopic imaging. The reported scheme scans
an aperture at the Fourier plane of an optical system and acquires the
corresponding intensity images of the object. The acquired images are then
synthesized in the frequency domain to recover a high-resolution complex sample
wavefront; no phase information is needed in the recovery process. We demonstrate
two applications of the reported scheme. In the first example, we use an aperture
scanning Fourier ptychography platform to recover the complex hologram of
extended objects. The recovered hologram is then digitally propagated into
different planes along the optical axis to examine the 3D structure of the
object. We also demonstrate a reconstruction resolution better than the detector
pixel limit (i.e., pixel super-resolution). In the second example, we develop a
camera-scanning Fourier ptychography platform for super-resolution macroscopic
imaging. By simply scanning the camera over different positions, we bypass the
diffraction limit of the photographic lens and recover a super-resolution image
of an object placed at the far field. This platform's maximum achievable
resolution is ultimately determined by the camera's traveling range, not the
aperture size of the lens. The FP scheme reported in this work may find
applications in 3D object tracking, synthetic aperture imaging, remote sensing,
and optical/electron/X-ray microscopy.
PMID- 24921554
TI - Cascaded all-optical operations in a hybrid integrated 80-Gb/s logic circuit.
AB - We demonstrate logic functionalities in a high-speed all-optical logic circuit
based on differential Mach-Zehnder interferometers with semiconductor optical
amplifiers as the nonlinear optical elements. The circuit, implemented by hybrid
integration of the semiconductor optical amplifiers on a planar lightwave circuit
platform fabricated in silica glass, can be flexibly configured to realize a
variety of Boolean logic gates. We present both simulations and experimental
demonstrations of cascaded all-optical operations for 80-Gb/s on-off keyed data.
PMID- 24921555
TI - Modified E91 protocol demonstration with hybrid entanglement photon source.
AB - We report on an experimental demonstration of the modified Ekert 91 protocol of
quantum key distribution using a hybrid entanglement source with two different
degrees of freedoms, a 1550 nm time-bin qubit and 810 nm polarization qubit. The
violation of the Clauser-Horne-Shimony-Holt inequality could be demonstrated for
the entanglement between the polarization qubit in free space and the time-bin
qubit through 20 km fiber transmission. The secure key rate in our system is
estimated 70-150 bps.
PMID- 24921556
TI - Picosecond supercontinuum light source for stroboscopic white-light
interferometry with freely adjustable pulse repetition rate.
AB - We present a picosecond supercontinuum light source designed for stroboscopic
white-light interferometry. This source offers a potential for high-resolution
characterization of vibrational fields in electromechanical components with
frequencies up to the GHz range. The light source concept combines a gain
switched laser diode, the output of which is amplified in a two-stage fiber
amplifier, with supercontinuum generation in a microstructured optical fiber.
Implemented in our white-light interferometer setup, optical pulses with
optimized spectral properties and below 310 ps duration are used for stroboscopic
illumination at freely adjustable repetition rates. The performance of the source
is demonstrated by characterizing the surface vibration field of a square-plate
silicon MEMS resonator at 3.37 MHz. A minimum detectable vibration amplitude of
less than 100 pm is reached.
PMID- 24921557
TI - Optoelectronic oscillator for a measurement of acoustic velocity in acousto-optic
device.
AB - We report a novel means of measuring the acoustic velocity based on a well-known
acousto-optic interaction. With an acousto-optic modulator (AOM), we construct an
optoelectronic oscillator (OEO) that can measure the acoustic velocity in the AOM
directly. The free spectral range between the modes is a function of the total
loop length of the OEO, which is mainly dependent on the propagation time of the
acoustic wave through the AOM. By changing the propagation time, we measured the
acoustic velocity from the variation of the free spectral range. The results are
reported and compared with earlier results. This method is insensitive to the
variation of the optical phase shift. In addition, the high frequency-stability
and microwave spectral purity of the OEO allow reliable and precise measurements.
PMID- 24921558
TI - Application of global phase filtering method in multi frequency measurement.
AB - In reverse engineering, reconstruction of 3D point cloud data is the key step to
acquire the final profile of the object. However, the quality of 3D
reconstruction is influenced by noise in the three-dimensional measurement. This
paper aims to tackle the issue of removing the noisy data from the complex point
cloud data. The 3D-GPF (Three Dimensional Global Phase Filtering) global phase
filtering method is proposed based on the study of phase filtering method,
consisting of the steps below. Firstly, the six-step phase shift profilometry is
used to obtain the local phase information, and encoding the obtained phase
information. Through the global phase unwrapping method, the global phase can be
acquired. Secondly, 3D-GPF method is used for the obtained global phase. Finally,
the effect of 3D reconstruction is analyzed after the global phase filtering.
Experimental results indicate that the noisy points of three-dimensional graphics
is reduced 98.02%, the speed of 3D reconstruction is raised 12%.The effect of the
proposed global phase filtering method is better than DCT and GSM methods. It is
high precision and fast speed, and can be widely used in other 3D reconstruction
application.
PMID- 24921559
TI - All solid-state 191.7 nm deep-UV light source by seventh harmonic generation of
an 888 nm pumped, Q-switched 1342 nm Nd:YVO4 laser with excellent beam quality.
AB - In this paper we report on the realization of a deep-UV light source using the
1.3 MUm transition of neodymium as pumping wavelength. The 191.7 nm radiation was
obtained by generating the seventh harmonic of a high-power Q-switched 1342 nm
Nd:YVO4 laser. A cesium lithium borate crystal was used for sum frequency mixing
of the sixth harmonic and the fundamental. With a total of four conversion
stages, up to 240 mW were achieved, with excellent beam quality at 155 mW (M2 <
1.7) and 190 mW (M2 < 1.9).
PMID- 24921560
TI - Solution for pseudoscopic problem in integral imaging using phase-conjugated
reconstruction of lens-array holographic optical elements.
AB - We propose an optical pseudoscopic to orthoscopic conversion method for integral
imaging using a lens-array holographic optical element (LAHOE), which solves the
pseudoscopic problem. The LAHOE reconstructs an array of diverging spherical
waves when a probe wave with the phase-conjugated condition is imposed on it,
while an array of converging spherical waves is reconstructed in ordinary
reconstruction. For given pseudoscopic elemental images, the array of the
diverging spherical waves integrates the orthoscopic three-dimensional images
without a distortion. The principle of the proposed method is verified by the
experiments of displaying the integral imaging on the LAHOE using computer
generated and optically acquired elemental images.
PMID- 24921561
TI - Quantum theory of a spaser-based nanolaser.
AB - We present a quantum theory of a spaser-based nanolaser, under the bad-cavity
approximation. We find first- and second-order correlation functions g(1)(tau)
and g(2)(tau) below and above the generation threshold, and obtain the average
number of plasmons in the cavity. The latter is shown to be of the order of unity
near the generation threshold, where the spectral line narrows considerably. In
this case the coherence is preserved in a state of active atoms in contradiction
to the good-cavity lasers, where the coherence is preserved in a state of
photons. The damped oscillations in g(2)(tau) above the generation threshold
indicate the unusual character of amplitude fluctuations of polarization and
population, which become interconnected in this case. Obtained results allow to
understand the fundamental principles of operation of nanolasers.
PMID- 24921562
TI - Performance of a fire detector based on a compact laser spectroscopic carbon
monoxide sensor.
AB - In this paper we show the suitability of a miniaturized tunable diode laser
spectroscopy (TDLS)-based carbon-monoxide (CO) sensor for fire detection
applications. The sensor utilizes a vertical-cavity surface-emitting laser
(VCSEL) and inherent calibration scheme with reference gas filled in the
photodetector housing. The fire-detection experiments are carried out under
realistic conditions as described in the European standard EN54. The CO
generation of all class C fires (according to EN54) could be well resolved. The
cross-sensitivity to other substances was found to be very low: the maximum CO
false response from cigarette smoke, hairspray and general aerosols reaches a low
value of a few MUL/L and only if the substance is directly applied into the
sensor gas inlet. Therefore this sensor overcomes the disadvantage of high false
alarm rate given by smoke detectors and is also in small size which is suitable
for household and industrial applications. Hence, the VCSEL-based TDLS sensor is
shown to have sufficient performance for fire-detection. It has advantages such
as capability for fail-safe operation and, low cross-sensitivities as compared to
existing point fire detector technology which is presently limited by these
factors.
PMID- 24921563
TI - Analytical model for THz emissions induced by laser-gas interaction.
AB - We develop a one-dimensional model of THz emissions induced by laser-driven, time
asymmetric ionization and current oscillations in a hydrogen gas. Our model
highlights complex scalings of the THz fields with respect to the laser and gas
parameters, in particular, a non-monotonic behavior against the laser parameters.
Analytical expressions of the transmitted and reflected fields are presented,
explaining the THz spectra observed in particle-in-cell and forward-pulse
propagation codes. The backward-propagating THz wave is mainly driven by the
electron current oscillations at the plasma frequency, and its resulting spectrum
operates below the plasma frequency. The transmitted THz wave is emitted from
both plasma current oscillations and photo-ionization. Their respective signal
presents a contribution below and around the plasma frequency, plus a
contribution at higher frequencies associated to the photo-induced current. The
interplay between these two mechanisms relies on the ratio between the
propagation length and the plasma skin depth.
PMID- 24921565
TI - Complete polarimetry on the asymmetric transmission through subwavelength hole
arrays.
AB - Dissymmetric, periodically nanostructured metal films can show non-reciprocal
transmission of polarized light, in apparent violation of the Lorentz reciprocity
theorem. The wave vector dependence of the extraordinary optical transmission in
gold films with square and oblique subwavelength hole arrays was examined for the
full range of polarized light input states. In normal incidence, the oblique
lattice, in contrast to square lattice, showed strong asymmetric, non-reciprocal
transmission of circularly polarized light. By analyzing the polarization of the
input and the output with a complete Mueller matrix polarimeter the mechanisms
that permits asymmetric transmission while preserving the requirement of
electromagnetic reciprocity is revealed: the coupling of the linear anisotropies
induced by misaligned surface plasmons in the film. The square lattice also shows
asymmetric transmission at non-normal incidence, whenever the plane of incidence
does not coincide with a mirror line.
PMID- 24921564
TI - Three-axis digital holographic microscopy for high speed volumetric imaging.
AB - Digital Holographic Microscopy allows to numerically retrieve three dimensional
information encoded in a single 2D snapshot of the coherent superposition of a
reference and a scattered beam. Since no mechanical scans are involved,
holographic techniques have a superior performance in terms of achievable frame
rates. Unfortunately, numerical reconstructions of scattered field by back
propagation leads to a poor axial resolution. Here we show that overlapping the
three numerical reconstructions obtained by tilted red, green and blue beams
results in a great improvement over the axial resolution and sectioning
capabilities of holographic microscopy. A strong reduction in the coherent
background noise is also observed when combining the volumetric reconstructions
of the light fields at the three different wavelengths. We discuss the
performance of our technique with two test objects: an array of four glass beads
that are stacked along the optical axis and a freely diffusing rod shaped E.coli
bacterium.
PMID- 24921566
TI - RP-CARS: label-free optical readout of the myelin intrinsic healthiness.
AB - Here we present a method based on Rotating-Polarization Coherent Anti-Stokes
Raman Scattering (RP-CARS) imaging to assess the myelin health status in mouse
sciatic nerves. Differently from the existing techniques, our method is based on
the readout of intrinsic molecular architecture rather than on the image
analysis, relying on the fact that healthy myelin is characterized by a high
degree of molecular order. We exploit RP-CARS imaging to demonstrate that the
degree of spatial anisotropy of the CARS signal displays a strong correlation
with the g-ratio (a well-known image-based index of myelin damage) in a chemical
damage model and therefore that the former is a good indicator for the local
myelin health status.
PMID- 24921567
TI - Generation and subwavelength focusing of longitudinal magnetic fields in a
metallized fiber tip.
AB - We demonstrate experimentally and numerically that in fiber tips as they are used
in NSOMs azimuthally polarized electrical fields (|E(azi)|2 / |E(tot)|2 ~55% +/-
5% for lambda0 = 1550 nm), respectively subwavelength confined (FWHM ~450 nm
~lambda0/3.5) magnetic fields, are generated for a certain tip aperture diameter
(d = 1.4 MUm). We attribute the generation of this field distribution in metal
coated fiber tips to symmetry breaking in the bend and subsequent plasmonic mode
filtering in the truncated conical taper.
PMID- 24921568
TI - Exploring the potential of optical remote sensing for oil spill detection in
shallow coastal waters--a case study in the Arabian Gulf.
AB - Remote sensing provides an effective tool for timely oil pollution response. In
this paper, the spectral signature in the optical and infrared domains of oil
slicks observed in shallow coastal waters of the Arabian Gulf was investigated
with MODIS, MERIS, and Landsat data. Images of the Floating Algae Index (FAI) and
estimates of sea currents from hydrodynamic models supported the multi-sensor oil
tracking technique. Scenes with and without sunglint were studied as the spectral
signature of oil slicks in the optical domain depends upon the viewing geometry
and the solar angle in addition to the type of oil and its thickness. Depending
on the combination of those factors, oil slicks may exhibit dark or bright
contrasts with respect to oil-free waters. Three oil spills events were
thoroughly analyzed, namely, those detected on May 26 2000 by Landsat 7 ETM + and
MODIS/Terra, on October 21 2007 by MERIS and MODIS, and on August 17 2013 by
Landsat 8 and MODIS/Aqua. The oil slick with bright contrast observed by Landsat
7 ETM + on May 26 2000 showed lower temperature than oil-free areas. The spectral
Rayleigh-corrected reflectance (R(rc)) signature of oil-covered areas indicated
higher variability due to differences in oil fractions while the R(rc) spectra of
the oil-free area were persistent. Combined with RGB composites, FAI images
showed potentials in differentiating oil slicks from algal blooms. Ocean
circulation and wind data were used to track oil slicks and forecast their
potential landfall. The developed oil spill maps were in agreement with official
records. The synergistic use of satellite observations and hydrodynamic modeling
is recommended for establishing an early warning and decision support system for
oil pollution response.
PMID- 24921569
TI - Ultrasensitive nanomechanical mass sensor using hybrid opto-electromechanical
systems.
AB - Nanomechanical resonators provide an unparalleled mass sensitivity sufficient to
detect single biomolecules, viruses and nanoparticles. In this work we propose a
scheme for mass sensing based on the hybrid opto-electromechanical system, where
a mechanical resonator is coupled to an optical cavity and a microwave cavity
simultaneously. When the two cavities are driven by two pump fields with proper
frequencies and powers, a weak probe field is used to scan across the optical
cavity resonance frequency. The mass of a single baculovirus landing onto the
surface of the mechanical resonator can be measured by tracking the resonance
frequency shift in the probe transmission spectrum before and after the
deposition. We also propose a nonlinear mass sensor based on the measurement of
the four-wave mixing (FWM) spectrum, which can be used to weigh a single 20-nm
diameter gold nanoparticle with sub-femtogram resolution.
PMID- 24921570
TI - Multiple polarization orange and red laser emissions with Pr:BaY2F8.
AB - We investigated the polarization of continuous-wave laser emission in the orange
region, at 607 nm, and in the red region, at 639 nm and 643 nm, from a Pr:BaY2F8
(Pr:BYF) crystal, pumped by a 445 nm laser diode. We achieved linearly polarized
emission along two optic axes of the crystal by changing its orientation with
respect to the pump. Simultaneous emission of two orthogonal linear polarizations
was observed in the orange region, at the same wavelength, and in the red region,
with concurrent emission from the two separate lines.
PMID- 24921571
TI - Multichannel-Hadamard calibration of high-order adaptive optics systems.
AB - we present a novel technique of calibrating the interaction matrix for high-order
adaptive optics systems, called the multichannel-Hadamard method. In this method,
the deformable mirror actuators are firstly divided into a series of channels
according to their coupling relationship, and then the voltage-oriented Hadamard
method is applied to these channels. Taking the 595-element adaptive optics
system as an example, the procedure is described in detail. The optimal channel
dividing is discussed and tested by numerical simulation. The proposed method is
also compared with the voltage-oriented Hadamard only method and the multichannel
only method by experiments. Results show that the multichannel-Hadamard method
can produce significant improvement on interaction matrix measurement.
PMID- 24921572
TI - Ultra-long high-sensitivity Phi-OTDR for high spatial resolution intrusion
detection of pipelines.
AB - An ultra-long phase-sensitive optical time domain reflectometry (Phi-OTDR) that
can achieve high-sensitivity intrusion detection over 131.5km fiber with high
spatial resolution of 8m is presented, which is the longest Phi-OTDR reported to
date, to the best of our knowledge. It is found that the combination of
distributed Raman amplification with heterodyne detection can extend the sensing
distance and enhances the sensitivity substantially, leading to the realization
of ultra-long Phi-OTDR with high sensitivity and spatial resolution. Furthermore,
the feasibility of applying such an ultra-long Phi-OTDR to pipeline security
monitoring is demonstrated and the features of intrusion signal can be extracted
with improved SNR by using the wavelet detrending/denoising method proposed.
PMID- 24921573
TI - A highly flexible platform for nanowire sensor assembly using a combination of
optically induced and conventional dielectrophoresis.
AB - The number and position of assembled nanowires cannot be controlled using most
nanowire sensor assembling methods. In this paper, we demonstrate a high-yield,
highly flexible platform for nanowire sensor assembly using a combination of
optically induced dielectrophoresis (ODEP) and conventional dielectrophoresis
(DEP). With the ODEP platform, optical images can be used as virtual electrodes
to locally turn on a non-contact DEP force and manipulate a micron- or nano-scale
substance suspended in fluid. Nanowires were first moved next to the previously
deposited metal electrodes using optical images and, then, were attracted to and
arranged in the gap between two electrodes through DEP forces generated by
switching on alternating current signals to the metal electrodes. A single
nanowire can be assembled within 24 seconds using this approach. In addition, the
number of nanowires in a single nanowire sensor can be controlled, and the
assembly of a single nanowire on each of the adjacent electrodes can also be
achieved. The electrical properties of the assembled nanowires were characterized
by IV curve measurement. Additionally, the contact resistance between the
nanowires and electrodes and the stickiness between the nanowires and substrates
were further investigated in this study.
PMID- 24921574
TI - High resolution heterodyne spectroscopy of the atmospheric methane NIR
absorption.
AB - The paper describes the concept of a compact, lightweight heterodyne NIR spectro
radiometer suitable for atmospheric sounding with solar occultations, and the
first measurement of CO2 and CH4 absorption near 1.65 MUm with spectral
resolution lambda/deltalambda~10(8). A highly stabilized DFB laser was used as
local oscillator, while single model silica fiber Y-coupler served as a diplexer.
Radiation mixed in the single mode fiber was detected by a balanced couple of
InGaAs p-i-n diodes within the bandpass of ~3 MHz. Wavelength coverage of
spectral measurement was provided by sweeping local oscillator frequency in the
range of 1.1 cm(-1). With the exposure time of 10 min, the absorption spectrum of
the atmosphere over Moscow has been recorded with S/N ~120, limited by shot
noise. The inversion algorithm applied to this spectrum resulted in methane
vertical profile with a maximum mixing ratio of 2148 +/- 10 ppbv near the surface
and column density 4.59 +/- 0.02.10(22) cm(-2).
PMID- 24921575
TI - Polarimetric pixel using Seebeck nanoantennas.
AB - Optical nanoantennas made of two metals are proposed to produce a Seebeck voltage
proportional to the Stokes parameters of a light beam. The analysis is made using
simulations in the electromagnetic and thermal domains. Each Stokes parameter is
independently obtained from a dedicated nanoantenna configuration. S1 and S2 rely
on the combination of two orthogonal dipoles. S3 is given by arranging two
Archimedian spirals with opposite orientations. The analysis also includes an
evaluation of the error associated with the Seebeck voltage, and the crosstalk
between Stokes parameters. The results could lead to the conception of
polarization sensors having a receiving area smaller than 10lambda(2). We
illustrate these findings with a design of a polarimetric pixel.
PMID- 24921576
TI - Restoration of soft x-ray laser images of nanostructures.
AB - We present advanced techniques for the restoration of images obtained by soft x
ray laser microscopy. We show two methods. One method is based on adaptive
thresholding, while the other uses local Wiener filtering in the wavelet domain
to achieve high noise gains. These wavelet based denoising techniques are
improved using spatial noise modeling. The accurate noise model is built up from
two consecutive images of the object and respective background images. To our
knowledge, the results of both proposed approaches over-perform competitive
methods. The analysis is robust to enable image acquisition with significantly
lower exposure times, which is critical in samples that are sensitive to
radiation damage as is the case of biological samples imaged by SXR microscopy.
PMID- 24921577
TI - Beam characteristics of fiber-based supercontinuum light sources with mirror- and
lens-based beam collimators.
AB - Commercially available supercontinuum light sources that cover most of the solar
spectrum are well suited for instrumentation, where a well-collimated beam with
wide spectral coverage is needed. Typically, the optical power is emitted from a
single-mode photonic-crystal fiber and the output can either be collimated using
a proprietary, permanently integrated, lens-based collimator or with a customer
provided, off-axis parabolic mirror. Here, we evaluate both approaches and
conclude that, superior beam quality and collimation over the whole spectral
range can be obtained with an off-axis parabolic mirror, however at the price of
a more complex and bulky system requiring additional user alignment.
PMID- 24921579
TI - Compensating the electron beam energy spread by the natural transverse gradient
of laser undulator in all-optical x-ray light sources.
AB - All-optical ideas provide a potential to dramatically cut off the size and cost
of x-ray light sources to the university-laboratory scale, with the combination
of the laser-plasma accelerator and the laser undulator. However, the large
longitudinal energy spread of the electron beam from laser-plasma accelerator may
hinder the way to high brightness of these all-optical light sources. In this
paper, the beam energy spread effect is proposed to be significantly compensated
by the natural transverse gradient of a laser undulator when properly transverse
dispersing the electron beam. Theoretical analysis and numerical simulations on
conventional laser-Compton scattering sources and high-gain all-optical x-ray
free-electron lasers with the electron beams from laser-plasma accelerators are
presented.
PMID- 24921578
TI - White light wavefront control with a spatial light modulator.
AB - Spatial light modulators are ubiquitous tools for wavefront control and laser
beam shaping but have traditionally been used with monochromatic sources due to
the inherent wavelength dependence of the calibration process and subsequent
phase manipulation. In this work we show that such devices can also be used to
shape broadband sources without any wavelength dependence on the output beam's
phase. We outline the principle mathematically and then demonstrate it
experimentally using a supercontinuum source to shape rotating white-light Bessel
beams carrying orbital angular momentum.
PMID- 24921580
TI - Cavity-enhanced optical frequency comb spectroscopy of high-temperature H2O in a
flame.
AB - We demonstrate near-infrared cavity-enhanced optical frequency comb spectroscopy
of water in a premixed methane/air flat flame. The detection system is based on
an Er:fiber femtosecond laser, a high finesse optical cavity containing the
flame, and a fast-scanning Fourier transform spectrometer (FTS). High absorption
sensitivity is obtained by the combination of a high-bandwidth two-point comb
cavity lock and auto-balanced detection in the FTS. The system allows recording
high-temperature water absorption spectra with a resolution of 1 GHz and a
bandwidth of 50 nm in an acquisition time of 0.4 s, with absorption sensitivity
of 4.2 * 10(-9) cm(-1) Hz(-1/2) per spectral element.
PMID- 24921581
TI - High-resolution optical see-through multi-focal-plane head-mounted display using
freeform optics.
AB - Conventional stereoscopic displays force an unnatural decoupling of the
accommodation and convergence cues, which may contribute to various visual
artifacts and have adverse effects on depth perception accuracy. In this paper,
we present the design and implementation of a high-resolution optical see-through
multi-focal-plane head-mounted display enabled by state-of-the-art freeform
optics. The prototype system is capable of rendering nearly-correct focus cues
for a large volume of 3D space, extending into a depth range from 0 to 3
diopters. The freeform optics, consisting of a freeform prism eyepiece and a
freeform lens, demonstrates an angular resolution of 1.8 arcminutes across a 40
degree diagonal field of view in the virtual display path while providing a 0.5
arcminutes angular resolution to the see-through view.
PMID- 24921582
TI - Optimizing sub-ns pulse compression for high energy application.
AB - We demonstrate ~ 40X pulse compression (down to ~ 300 ps) with ~ 1 joule,
nanosecond pulses for high energy applications requiring >= 1 gigawatt of peak
power. Our method is based on the established principle of stimulated Brillouin
scattering (SBS). To push the SBS technique to its highest peak-power limit, a
combination of theoretical modeling and experiments is used to identify and
optimize all critical parameters, including optical configuration, interaction
length, intensity matching, choice of gain medium and thermal stability. Pulse
compression results are presented both at 1064 nm and 532 nm, with performances
close to the theoretical limit and excellent shot-to-shot reproducibility.
PMID- 24921583
TI - A packaged, low-cost, robust optical fiber strain sensor based on small cladding
fiber sandwiched within periodic polymer grating.
AB - In the present study, a novel packaged long-period fiber grating (PLPFG) strain
sensor is first presented. The MEMS process was utilized to fabricate the
packaged optical fiber strain sensor. The sensor structure consisted of etched
optical fiber sandwiched between two layers of thick photoresist SU-8 3050 and
then packaged with poly (dimethylsiloxane) (PDMS) polymer material to construct
the PLPFG strain sensor. The PDMS packaging material was used to prevent the glue
effect, wherein glue flows into the LPFG structure and reduces coupling strength,
in the surface bonding process. Because the fiber grating was packaged with PDMS
material, it was effectively protected and made robust. The resonance attenuation
dip of PLPFG grows when it is loading. This study explored the size effect of the
grating period and fiber diameter of PLPFG via tensile testing. The experimental
results found that the best strain sensitivity of the PLPFG strain sensor was
0.0342 dB/MUepsilon, and that an R2 value of 0.963 was reached.
PMID- 24921584
TI - Discrete solitons and scattering of lattice waves in guiding arrays with a
nonlinear PT-symmetric defect.
AB - Discrete fundamental and dipole solitons are constructed, in an exact analytical
form, in an array of linear waveguides with an embedded PT-symmetric dimer, which
is composed of two nonlinear waveguides carrying equal gain and loss. Fundamental
solitons in tightly knit lattices, as well as all dipole modes, exist above a
finite threshold value of the total power. However, the threshold vanishes for
fundamental solitons in loosely knit lattices. The stability of the discrete
solitons is investigated analytically by means of the Vakhitov-Kolokolov (VK)
criterion, and, in the full form, via the computation of eigenvalues for
perturbation modes. Fundamental and dipole solitons tend to be stable at smaller
and larger values of the total power (norm), respectively. The increase of the
strength of the coupling between the two defect-forming sites leads to strong
expansion of the stability areas. The scattering problem for linear lattice waves
impinging upon the defect is considered too.
PMID- 24921585
TI - Controlling rejections of spoof surface plasmon polaritons using metamaterial
particles.
AB - Based on the dispersion relation, surface plasmon polaritons (SPPs) or spoof SPPs
are always propagating surface waves when the operating frequency is below the
asymptotic limit - the surface plasma frequency. Here we propose a method to
control the rejections of spoof SPPs using metamaterial particles. By introducing
electrically resonant metamaterials near an ultrathin corrugated metallic strip -
the spoof SPP waveguide - to produce tight coupling and mismatch of surface
impedance, we show that the SPP modes are rejected near the resonant frequencies
within the propagating band. Through the modulation of scaling factor of
metamaterial particles, we can manipulate the rejections of SPP modes from
narrowband to broadband. Both simulation and experiment results verify the
tunability of SPP rejections, which have important applications in filtering SPP
waves in plasmonic circuits and systems.
PMID- 24921586
TI - Efficient fabrication of ultrasmooth and defect-free quartz glass surface by
hydrodynamic effect polishing combined with ion beam figuring.
AB - Material removal rate has greatly relied on the distribution of shear stress and
dynamic pressure on the workpiece surface in hydrodynamic effect polishing (HEP).
Fluid dynamic simulation results demonstrate that the higher rotation speed and
smaller clearance will cause the larger material removal rate. Molecular dynamic
(MD) calculations show the bonding energy of Si-O in the silicon-oxide
nanoparticle is stronger than that in the quartz glass, and therefore the atoms
can be dragged away from the quartz glass surface by the adsorbed silicon-oxide
nanoparticle. The deep subsurface damage cannot be efficiently removed by HEP due
to its extremely low removal rate. However, the subsurface damaged layer can be
quickly removed by ion beam figuring (IBF), and a thinner layer containing the
passivated scratches and pits will be left on the surface. The passivated layer
is so thin that can be easily removed by HEP process with a low material rate
under the large wheel-workpiece clearance. Combined with the IBF process, the
subsurface damage and surface scratches have been efficiently removed after the
HEP process. Meanwhile there are not obvious duplicated marks on the processed
surface and the surface roughness has been improved to 0.130nm rms, 0.103nm Ra.
PMID- 24921587
TI - Ytterbium-doped large-mode-area all-solid photonic bandgap fiber lasers.
AB - Single-mode operation in a large-mode-area fiber laser is highly desired for
power scaling. We have, for the first time, demonstrated a 50MUm-core-diameter Yb
doped all-solid photonic bandgap fiber laser with a mode area over 4 times that
of the previous demonstration. 75W output power has been generated with a
diffraction-limited beam and an efficiency of 70% relative to the launched pump
power. We have also experimentally confirmed that a robust single-mode regime
exists near the high frequency edge of the bandgap. These fibers only guide light
within the bandgap over a narrow spectral range, which is essential for lasing
far from the gain peak and suppression of stimulated Raman scattering. This work
demonstrates the strong potential for mode area scaling of in single-mode all
solid photonic bandgap fibers.
PMID- 24921588
TI - Diode-pumped 1.5-1.6 MUm laser operation in Er3+ doped YbAl3(BO3)4 microchip.
AB - Er3+ doped YbAl3(BO3)4 crystal with large absorption coefficient of 184 cm(-1) at
pump wavelength of 976 nm is a promising microchip gain medium of 1.5-1.6 MUm
laser. End-pumped by a 976 nm diode laser, 1.5-1.6 MUm continuous-wave laser with
maximum output power of 220 mW and slope efficiency of 8.1% was obtained at
incident pump power of 4.54 W in a c-cut 200-MUm-thick Er:YbAl3(BO3)4 microchip.
When a Co2+:Mg0.4Al2.4O4 crystal was used as the saturable absorber, 1521 nm
passively Q-switched pulse laser with about 0.19 MUJ energy, 265 ns duration, and
96 kHz repetition rate was realized.
PMID- 24921589
TI - Elliptical Laguerre-Gaussian correlated Schell-model beam.
AB - A new kind of partially coherent beam with non-conventional correlation function
named elliptical Laguerre-Gaussian correlated Schell-model (LGCSM) beam is
introduced. Analytical propagation formula for an elliptical LGCSM beam passing
through a stigmatic ABCD optical system is derived. The elliptical LGCSM beam
exhibits unique features on propagation, e.g., its intensity in the far field (or
in the focal plane) displays an elliptical ring-shaped beam profile, being
qualitatively different from the circular ring-shaped beam profile of the
circular LGCSM beam. Furthermore, we carry out experimental generation of an
elliptical LGCSM beam with controllable ellipticity, and measure its focusing
properties. Our experimental results are consistent with the theoretical
predictions. The elliptical LGCSM beam will be useful in atomic optics.
PMID- 24921590
TI - Algorithm for evaluation of temperature distribution of a vapor cell in a diode
pumped alkali laser system: part I.
AB - A diode-pumped alkali laser (DPAL) is one of the most hopeful candidates to
achieve high power performances. As the laser medium is in a gas-state,
populations of energy-levels of a DPAL are strongly dependent on the vapor
temperature. Thus, the temperature distribution directly determines the output
characteristics of a DPAL. In this report, we developed a systematic model by
combining the procedures of heat transfer and laser kinetics together to explore
the radial temperature distribution in the transverse section of a cesium vapor
cell. A cyclic iterative approach is adopted to calculate the population
densities. The corresponding temperature distributions have been obtained for
different beam waists and pump powers. The conclusion is thought to be useful for
realizing a DPAL with high output power.
PMID- 24921591
TI - Coupled-resonator optical waveguides for temporal integration of optical signals.
AB - In this paper, we propose and numerically investigate an all-optical temporal
integrator based on a photonic crystal cavity. We show that an array of photonic
crystal cavities enables high-order temporal integration. The effect of the value
of the cavity's free spectral range on the accuracy of the integration is
considered. The influence of the coupling coefficients in the resonator array on
the integration accuracy is demonstrated. A compact integrator based on a
photonic crystal nanobeam cavity is designed, which allows high-precision
integration of optical pulses of subpicosecond duration.
PMID- 24921592
TI - Plasmon assisted enhanced nonlinear refraction of monodispersed silver
nanoparticles and their tunability.
AB - Nonlinear optical characterizations were performed on monodispersed silver (Ag)
nanoparticles (NPs) of various sizes using a picosecond Z-scan technique with
excitation wavelengths of 532 nm and 1064 nm. The Ag NPs were fabricated using a
heterogeneous condensation technique in a gas medium. The nonlinear refraction
values were higher for the monodispersed Ag NPs whose surface plasmon resonance
(SPR) peak is closer to the excitation wavelength. The higher nonlinear optical
response is explained in terms of an electric field enhancement near the SPR.
Moreover, the fabrication method allows the tailoring of the nonlinear refraction
index of the Ag NPs by tuning the SPR peak of the sample. A comparison of the
nonlinear refraction index of the monodispersed and polydispersed Ag NPs showed
that the nonlinear refractive index of the monodispersed Ag NPs is higher.
PMID- 24921593
TI - Tunable bulk polaritons of graphene-based hyperbolic metamaterials.
AB - The tunable hyperbolic metamaterial (HMM) based on the graphene-dielectric
layered structure at THz frequency is presented, and the surface and bulk
polaritons of the graphene-based HMM are theoretically studied. It is found that
the dispersions of the polaritons can be tuned by varying the Fermi energy of
graphene sheets, the graphene-dielectric layers and the layer number of graphene
sheets. In addition, the highly confined bulk polariton mode can be excited and
is manifested in an attenuated total reflection configuration as a sharp drop in
the reflectance. Such properties can be used in tunable optical reflection
modulation with the assistance of bulk polaritons.
PMID- 24921594
TI - All-digital wavefront sensing for structured light beams.
AB - We present a new all-digital technique to extract the wavefront of a structured
light beam. Our method employs non-homogeneous polarization optics together with
dynamic, digital holograms written to a spatial light modulator to measure the
phase relationship between orthogonal polarization states in real-time, thereby
accessing the wavefront information. Importantly, we show how this can be applied
to measuring the wavefront of propagating light fields, over extended distances,
without any moving components. We illustrate the versatility of the tool by
measuring propagating optical vortices, Bessel, Airy and speckle fields. The
comparison of the extracted and programmed wavefronts yields excellent agreement.
PMID- 24921596
TI - Identifying the molecular basis of host-parasite coevolution: merging models and
mechanisms.
AB - Mathematical models of the coevolutionary process have uncovered consequences of
host-parasite interactions that go well beyond the traditional realm of the Red
Queen, potentially explaining several important evolutionary transitions.
However, these models also demonstrate that the specific consequences of
coevolution are sensitive to the structure of the infection matrix, which is
embedded in models to describe the likelihood of infection in encounters between
specific host and parasite genotypes. Traditional cross-infection approaches to
estimating infection matrices might be unreliable because evolutionary dynamics
and experimental sampling lead to missing genotypes. Consequently, our goal is to
identify the likely structure of infection matrices by synthesizing molecular
mechanisms of host immune defense and parasite counterdefense with coevolutionary
models. This synthesis reveals that the molecular mechanisms of immune reactions,
although complex and diverse, conform to two basic models commonly used within
coevolutionary theory: matching infection and targeted recognition. Our synthesis
also overturns conventional wisdom, revealing that the general models are not
taxonomically restricted but are applicable to plants, invertebrates, and
vertebrates. Finally, our synthesis identifies several important areas for future
research that should improve the explanatory power of coevolutionary models. The
most important among these include empirical studies to identify the molecular
hotspots of genotypic specificity and theoretical studies examining the
consequences of matrices that more accurately represent multistep infection
processes and quantitative defenses.
PMID- 24921595
TI - A partial hearing animal model for chronic electro-acoustic stimulation.
AB - OBJECTIVE: Cochlear implants (CIs) have provided some auditory function to
hundreds of thousands of people around the world. Although traditionally carried
out only in profoundly deaf patients, the eligibility criteria for implantation
have recently been relaxed to include many partially-deaf patients with useful
levels of hearing. These patients receive both electrical stimulation from their
implant and acoustic stimulation via their residual hearing (electro-acoustic
stimulation; EAS) and perform very well. It is unclear how EAS improves speech
perception over electrical stimulation alone, and little evidence exists about
the nature of the interactions between electric and acoustic stimuli.
Furthermore, clinical results suggest that some patients that undergo cochlear
implantation lose some, if not all, of their residual hearing, reducing the
advantages of EAS over electrical stimulation alone. A reliable animal model with
clinically-relevant partial deafness combined with clinical CIs is important to
enable these issues to be studied. This paper outlines such a model that has been
successfully used in our laboratory. APPROACH: This paper outlines a battery of
techniques used in our laboratory to generate, validate and examine an animal
model of partial deafness and chronic CI use. MAIN RESULTS: Ototoxic deafening
produced bilaterally symmetrical hearing thresholds in neonatal and adult
animals. Electrical activation of the auditory system was confirmed, and all
animals were chronically stimulated via adapted clinical CIs. Acoustic compound
action potentials (CAPs) were obtained from partially-hearing cochleae, using the
CI amplifier. Immunohistochemical analysis allows the effects of deafness and
electrical stimulation on cell survival to be studied. SIGNIFICANCE: This animal
model has applications in EAS research, including investigating the functional
interactions between electric and acoustic stimulation, and the development of
techniques to maintain residual hearing following cochlear implantation. The
ability to record CAPs via the CI has clinical direct relevance for obtaining
objective measures of residual hearing.
PMID- 24921598
TI - Keeping pace with climate change: stage-structured moving-habitat models.
AB - Life cycles can limit the abilities of species to track changing climatic
conditions. We combined age or stage structure and a moving-habitat model to
explore the effects of life history on the persistence of populations in the
presence of climate change. We studied four dissimilar plant species in moving
patches and found that (1) population growth rates, (2) elasticities with respect
to the survival (stasis and shrinkage) components of the projection matrix, and
(3) the evenness of the elasticities with respect to the components of the
projection matrix all decreased as we increased the translational speeds of the
patches. In addition, the value of long-distance dispersal increased with patch
speed for three of the four species. Our analyses confirm that rapid growth, high
fecundity, and long-distance dispersal can benefit species in moving patches.
Thus, species with long generation times and limited dispersal ability are
especially vulnerable to habitat movement. Stage-structured moving-habitat models
can easily incorporate spatial complexity and can help us predict the effects of
shifting climatic conditions.
PMID- 24921597
TI - Conceptual and empirical challenges of ascribing functions to transposable
elements.
AB - Media attention and the subsequent scientific backlash engendered by the claim by
spokespeople for the Encyclopedia of DNA Elements (ENCODE) project that 80% of
the human genome has a biochemical function highlight the need for a clearer
understanding of function concepts in biology. This article provides an overview
of two major function concepts that have been developed in the philosophy of
science--the causal role concept and the selected effects concept--and their
relevance to ENCODE. Unlike in some previous critiques, the ENCODE project is not
considered problematic here because it employed a causal role definition of
function (which is relatively common in genetics) but because of how this concept
was misused. In addition, several unique challenges that arise when dealing with
transposable elements (TEs) but that were ignored by ENCODE are highlighted.
These include issues surrounding TE-level versus organism-level selection, the
origins versus the persistence of elements, and accidental versus functional
organism-level benefits. Finally, some key questions are presented that should be
addressed in any study aiming to ascribe functions to major portions of large
eukaryotic genomes, the majorities of which are made up of transposable elements.
PMID- 24921599
TI - Gender-specific emigration decisions sensitive to local male and female density.
AB - Increasing interest is directed on understanding how individuals utilize
information to come to dispersal decisions. We assume individuals base emigration
decisions on male and female density in their natal patches. We derive gender
specific functions for emigration probability of species with discrete
generations and polygynous mating under the premise that dispersal strategies
equalize fitness expectations of emigrants and philopatric individuals: migration
decisions should then always depend on a critical threshold density of the own
gender. Whether density of the opposite sex affects emigration depends on details
of resource competition: (1) Without competition, females should never emigrate,
while males should emigrate in response to local sex ratio. (2) Under extreme
competition among females or offspring, females and males should respond to the
local density of their own gender only. (3) If both sexes compete over resources,
emigration responds to the density of both sexes, but the dependence differs
quantitatively between females and males. (4) Male-biased dispersal is the
general expectation for polygynous species, but the model allows specifying
conditions under which more females than males might nonetheless emigrate. The
model provides guidelines for implementing density-dependent dispersal in
simulations and specifies principal patterns that should emerge in empirical
data.
PMID- 24921600
TI - Dispersal capacity predicts both population genetic structure and species
richness in reef fishes.
AB - Dispersal is a fundamental species characteristic that should directly affect
both rates of gene flow among spatially distributed populations and opportunities
for speciation. Yet no single trait associated with dispersal has been
demonstrated to affect both micro- and macroevolutionary patterns of diversity
across a diverse biological assemblage. Here, we examine patterns of genetic
differentiation and species richness in reef fishes, an assemblage of over 7,000
species comprising approximately one-third of the extant bony fishes and over one
tenth of living vertebrates. In reef fishes, dispersal occurs primarily during a
planktonic larval stage. There are two major reproductive and parental investment
syndromes among reef fishes, and the differences between them have implications
for dispersal: (1) benthic guarding fishes lay negatively buoyant eggs, typically
guarded by the male parent, and from these eggs hatch large, strongly swimming
larvae; in contrast, (2) pelagic spawning fishes release small floating eggs
directly into the water column, which drift unprotected before small weakly
swimming larvae hatch. Using phylogenetic comparative methods, we show that
benthic guarders have significantly greater population structure than pelagic
spawners and additionally that taxonomic families of benthic guarders are more
species rich than families of pelagic spawners. Our findings provide a compelling
case for the continuity between micro- and macroevolutionary processes of
biological diversification and underscore the importance of dispersal-related
traits in influencing the mode and tempo of evolution.
PMID- 24921601
TI - Inferring host range dynamics from comparative data: the protozoan parasites of
new world monkeys.
AB - Uncovering the ecological determinants of parasite host range is a central goal
of comparative parasitology and infectious disease ecology. But while parasites
are often distributed nonrandomly across the host phylogeny, such patterns are
difficult to interpret without a genealogy for the parasite samples and without
knowing what sorts of ecological dynamics might lead to what sorts of
nonrandomness. We investigated inferences from comparative data, using
presence/absence records from protozoan parasites of the New World monkeys. We
first demonstrate several distinct types of phylogenetic signal in these data,
showing, for example, that parasite species are clustered on the host tree and
that closely related host species harbor similar numbers of parasite species. We
then show that all of these patterns can be generated by a single, simple
dynamical model, in which parasite host range changes more rapidly than host
speciation/extinction and parasites preferentially colonize uninfected host
species that are closely related to their existing hosts. Fitting this model to
data, we then estimate its parameters. Finally, we caution that quite different
ecological processes can lead to similar signatures but show how phylogenetic
variation in host susceptibility can be distinguished from a tendency for
parasites to colonize closely related hosts. Our new process-based analyses,
which estimate meaningful parameters, should be useful for inferring the
determinants of parasite host range and transmission success.
PMID- 24921602
TI - Parental optimism versus parental pessimism in plants: how common should we
expect pollen limitation to be?
AB - Many organisms exhibit parental optimism, producing more of the initial stages of
offspring (e.g., eggs, embryos) than they can usually mature. For plants,
parental optimism may be linked to the risk of seed production being limited by
pollen receipt (pollen limitation). Here we elaborate a stochastic model of
pollen limitation developed by Haig and Westoby ( 1988 ) and Burd ( 2008 ) and
link it with published data on the magnitudes of prepollination costs versus
postpollination costs of seed production in 80 plant species. We demonstrate that
parental optimism should be expected when prepollination costs of seed production
are small relative to postpollination costs. This was observed for most (62 of
80) of the plant taxa surveyed. Under parental optimism, plants overinvest in
securing fertilized ovules, and consequently pollen limitation is predicted to be
uncommon. However, for a sizable minority of plant species (18 of 80),
prepollination costs approach or exceed postpollination costs. For these species,
parental pessimism is instead optimal. Parents initiate fewer zygotes than they
can usually mature, and pollen limitation is predicted to be severe. Because the
relative magnitudes of prepollination and postpollination costs vary by more than
1,000-fold across plant taxa, parental outlook (optimism vs. pessimism) and
levels of pollen limitation are predicted to vary widely.
PMID- 24921603
TI - Predator-mediated natural selection on the wings of the damselfly Calopteryx
splendens: differences in selection among trait types.
AB - Traits that increase mating success in males may come at a cost, such as an
increased risk of predation. However, predator-mediated selection is challenging
to document in natural populations, hampering our understanding of the trade-offs
between sexual selection and predation. Here we report on a study of predator
mediated natural selection on wing traits in the damselfly Calopteryx splendens,
the males of which possess conspicuous wing patches. Wagtails (genus Motacilla)
are important avian predators of C. splendens, capturing them in flight and
removing the wings prior to consumption. Using geometric morphometric techniques,
we quantified the strength and mode of selection on wing traits by comparing
wings from depredated individuals with the standing variation present in the
population. Our results reveal that predator-mediated selection is stronger on
secondary sexual characters than on size and shape, suggesting that traits
related to flight performance are closer to their adaptive peaks. This could be a
consequence of the long-term evolutionary association with avian predators,
whereas stronger selection on conspicuous secondary sexual traits may reflect
trade-offs between sexual and natural selection. Finally, even though C.
splendens possesses nearly identical fore- and hindwings, we found evidence for
divergent selection between them.
PMID- 24921604
TI - Killing and replacing queen-laid eggs: low cost of worker policing in the
honeybee.
AB - Worker honeybees, Apis mellifera, police each other's reproduction by killing
worker-laid eggs. Previous experiments demonstrated that worker policing is
effective, killing most (~98%) worker-laid eggs. However, many queen-laid eggs
were also killed (~50%) suggesting that effective policing may have high costs.
In these previous experiments, eggs were transferred using forceps into test
cells, mostly into unrelated discriminator colonies. We measured both the
survival of unmanipulated queen-laid eggs and the proportion of removal errors
that were rectified by the queen laying a new egg. Across 2 days of the 3-day egg
stage, only 9.6% of the queen-laid eggs in drone cells and 4.1% in worker cells
were removed in error. When queen-laid eggs were removed from cells, 85% from
drone cells and 61% from worker cells were replaced within 3 days. Worker
policing in the honeybee has a high benefit to policing workers because workers
are more related to the queen's sons (brothers, r = 0.25) than sister workers'
sons (0.15). This study shows that worker policing also has a low cost in terms
of the killing of queen-laid eggs, as only a small proportion of queen-laid eggs
are killed, most of which are rapidly replaced.
PMID- 24921605
TI - Evolutionary constraints in high-dimensional trait sets.
AB - Genetic variation for individual traits is typically abundant, but for some
multivariate combinations it is very low, suggesting that evolutionary limits
might be generated by the geometric distribution of genetic variance. To test
this prediction, we artificially selected along all eight genetic eigenvectors of
a set of eight quantitative traits in Drosophila serrata. After six generations
of 50% truncation selection, at least one replicate population of all treatments
responded to selection, allowing us to reject a null genetic subspace as a cause
of evolutionary constraint in this system. However, while all three replicate
populations of the first five selection treatments displayed a significant
response, the remaining three, characterized by low genetic variance in their
selection indexes in the base population, displayed inconsistent responses to
selection. The observation that only four of the nine replicate populations
evolved in response to the direct selection applied to them in these low genetic
variance treatments, led us to conclude that a nearly null subspace did limit
evolution. Dimensions associated with low genetic variance are often found in
multivariate analyses of standing genetic variance in morphological traits,
suggesting that the nearly null genetic subspace may be a common mechanism of
evolutionary constraint in nature.
PMID- 24921606
TI - Comparison of natural and nonnative two-species communities of Anolis lizards.
AB - Human-mediated colonizations present an informative model system for
understanding assembly of organismal communities. However, it is unclear whether
communities including naturalized species are accurate analogs of natural
communities or unique combinations not present in nature. I compared morphology
and phylogenetic structure of natural and naturalized two-species communities of
Anolis lizards. Natural communities are phylogenetically clustered, whereas
naturalized communities show no significant phylogenetic structure. This result
likely reflects differences in colonization pools for these communities-that is,
invasion from anywhere for naturalized communities but from proximal and thus
phylogenetically close lineages in natural communities. Both natural and
naturalized communities each include pairs of species that are significantly
similar to each other in morphology, and both sets of communities are composed of
species that possess traits of good colonizers. These similarities suggest that
the formation of natural and naturalized communities may be at least partially
governed by similar processes. Human-mediated invasions may be credibly viewed as
modern incarnations of natural colonizations in this case.
PMID- 24921607
TI - The evolution of bet hedging in response to local ecological conditions.
AB - Genotypes that hedge their bets can be favored by selection in an unpredictably
varying environment. Bet hedging can be achieved by systematically expressing
several phenotypes, such as one that readily attempts to reproduce and one that
procrastinates in a dormant stage. But how much of each phenotype should a
genotype express? Theory predicts that evolving bet-hedging strategies depend on
local environmental variation, on how the population is regulated, and on
exchanges with neighboring populations. Empirically, however, it remains unknown
whether bet hedging can evolve to cope with the ecological conditions experienced
by populations. Here we study the evolution of bet-hedging dormancy frequencies
in two neighboring populations of the chestnut weevil, Curculio elephas. We
estimate the temporal distribution of demographic parameters together with the
form of the relationship between fecundity and population density and use both to
parameterize models that predict the bet-hedging dormancy frequency expected to
evolve in each population. Strikingly, the observed dormancy frequencies closely
match predictions in their respective localities. We also found that dormancy
frequencies vary randomly across generations, likely due to environmental
perturbations of the underlying physiological mechanism. Using a model that
includes these constraints, we predict the whole distribution of dormancy
frequencies whose mean and shape agree with our observed data. Overall, our
results suggest that dormancy frequencies have evolved according to local
ecological conditions and physiological constraints.
PMID- 24921608
TI - Abbreviated World Health Organization Quality of Life questionnaire (WHOQOL-Bref)
in north Indian patients with bronchial asthma: an evaluation using Rasch
analysis.
AB - BACKGROUND: There is no disease-specific instrument to describe health-related
quality of life (HRQoL) in Indian patients with asthma. However, an abbreviated
World Health Organization Quality of Life questionnaire (WHOQOL-Bref), a generic
Hindi HRQoL measure, has been developed and validated in India. AIMS: To evaluate
the WHOQOL-Bref in adult patients with asthma and to test possible modifications
to the instrument to improve its psychometric adequacy. METHODS: Sixty-seven
patients with asthma completed the WHOQOL-Bref. Rasch analysis was used to
explore the psychometric performance of the four domains (physical,
psychological, social relationships and environment) of the scale. Overall fit of
data to model expectations, appropriate category ordering, presence of
differential item functioning, individual item fit and targeting of item
difficulty to patient ability were explored for each domain. Item deletion and
rescoring were applied to misfitting items to improve overall performance.
RESULTS: The overall fit of the WHOQOL-Bref data was adequate. Item 3 (pain
prevents doing work) displayed a large positive fit residual value (indicating
violation of unidimensionality), resulting in poor construct validity for the
physical domain. No item exhibited differential item functioning. Ten items had
disordered thresholds. The WHOQOL-Bref was modified by dropping item 3 and
rescoring category structures of 16 items. The modified scale had good construct
validity for all domains, ordered thresholds for all items and good targeting of
items to persons. CONCLUSIONS: The WHOQOL-Bref performed inadequately in
describing HRQoL in the asthma patients studied. However, when modified by Rasch
analysis, the scale proved better than the original scale.
PMID- 24921609
TI - How accurate are Omron X-HJ-304-E and Yamax SW-700/701 pedometers at different
speeds and various inclinations?
AB - AIM: The purpose of this study was to investigate step count and energy
expenditure accuracy of the piezoelectric Omron (Walking Style X-HJ-304-E) and
spring-levered Yamax (Digi-Walker-SW-700/701) pedometers at different speeds and
various inclinations. METHODS: Thirty subjects (15 females, aged: 24 +/- 3 years)
completed eleven 5-min trials at different treadmill speeds and inclinations
(3.24 km?h-1 [inclination: 0, 9, 20%], 4.68 km?h-1 [0, 9, 20%], 6.48 km?h-1 [0,
9%], 9 km?h-1 [0%], 10.8 km?h-1 [0%], 12.6 km?h-1 [0%]). During each trial, an
investigator recorded actual steps with a hand tally counter. Actual energy
expenditure was measured using a portable indirect calorimeter. RESULTS: The
Omron pedometer revealed high step count accuracy for all speeds and inclinations
when worn on the hip or a backpack (Mean % of actual steps: 99.6%; Range: 95.8
101.3%). The Yamax pedometer revealed high step count accuracy (Mean % of actual
steps: 99.8%; Range: 96.2-103.3%) when worn on the left hip at 6.48-12.6 km?h-1
(0%), 4.68 km?h-1 (9%, 20%), 6.48 km?h-1 (9%) and on the right hip at 10.8 km?h-1
(0%) and 6.48 km?h-1 (9%). The accuracy of the Omron and Yamax determined energy
expenditure was poor (57.9-59.7%) when compared to indirect calorimetry.
CONCLUSION: The Omron pedometer provides accurate step counts when worn on the
hip and backpack at all tested speeds and inclinations. We therefore suggest an
accurate application of this device for walking, hiking and running at moderate
speeds. Both pedometers underestimated energy expenditure.
PMID- 24921610
TI - The impact of high level basketball competition, calcium intake, menses, and
hormone levels in adolescent bone density: a three-year follow-up.
AB - AIM: The main goal of the present work is to establish the positive influence
high-impact physical exercise, specifically high-level basketball, on bone
acquisition in adolescent female and verify if the long-term exposure to such
programs is the major modifiable factor explaining bone acquisition during
adolescence. METHODS: A prospective cohort study comparing the development of
bone mass in the lumbar spine, proximal femur and distal radius was carried out
over a three-year period in two groups of adolescents: elite basketball players
and age-matched controls. Baseline hormone levels and bone remodelling were
evaluated. Bone mass, hours of physical exercise, diet, unhealthy habits,
anthropometry and menstrual cycle were assessed at baseline and yearly.
Differences in acquisition of bone mass were assessed by two-way repeated
measures analysis of variance (ANOVA). RESULTS: Elite basketball training and
competition appears to increase bone mass in girls aged 14-18 years. The most
pronounced benefits were observed in lumbar spine and proximal femur, sites most
directly involved in the exercise and subjected to greatest impact. CONCLUSION:
The intensive basketball training and competition in adolescent females increases
bone mass in the lumbar spine and femur, skeletal sites submitted to high impact
in this sport. No significant gain in bone mass was observed in age-matched,
normally active, controls.
PMID- 24921611
TI - Improving neuromuscular performance in young basketball players: plyometric vs.
technique training.
AB - AIM: The aim of this study was to compare the effects of plyometric training
versus basketball technique training on improving neuro-muscular performance.
METHODS: Thirty-six (age 14.9+/-0.9 years, body height 164.0+/-7.6 cm, body
weight 54.0+/-8.7 kg, BMI 20.1+/-2.4 kg.m-2) basketball players girls were
randomly allocated to 2 groups: Basketball Plyometric Training (BPT, N.=18) and
Basketball Technique Training (BTT, N.=18). The players were tested by two
specific tests: counter movement jump (CMJ) and squat jump (SJ) before and after
6 training weeks. RESULTS: The jump height, as dependent variable, showed a
different trend as an effect of the different training protocols, in contrast
with the current knowledge. Manova did not show significant interactions between
the two groups for the height of jumps, while significant differences were found
for interaction time * training (P<0.05) and for main effect * time (P<0.001).
After training, the BPT group increased significantly CMJ performance by 11.3%
(P<0.05), whereas the BTT group increased by 4.6%. Likewise, the BPT group
demonstrated a significant greater improvement of jump height than BTT group (an
increase of 15.4% vs. 7.5%, P<0.01; respectively). CONCLUSION: These results
suggest that both training protocols proposed in this study improved vertical
jump performance. However, a combination of the two protocols, plyometric
training and sport-specific-exercises, could be useful to optimize performance by
an easy transition from controlled a-specific to sport-specific performance
requirements. In conclusion, BPT is a safe and effective method of achieving a
favourable neuro-muscular performance than BTT in female basketball players.
PMID- 24921612
TI - The effect of incline on sprint and bounding performance in cross-country skiers.
AB - AIM: Aim of the present study was to investigate performance and kinematics of
cross-country skiers during sprint running and bounding on different inclines, in
relationship to maximal strength, power and skiing performance. METHODS: On day
one, the maximal strength of 14 elite skiers was tested using a mid-thigh
isometric pull and maximal relative leg power determined using squat and
countermovement jumps. Day two involved 15-m maximal sprints and 5-step bounding
at 0o, 7.5 degrees and 15o inclines. From video recordings sprint, step, contact
and flight times; step length and frequency; total number of sprint steps and
average bounding velocity were determined. Skiing performance was assessed using
International Ski Federation (FIS) points from the preceding season and compared
to strength, power, bounding and sprint performance, and kinematics. RESULTS: On
steeper inclines sprint time was higher and bounding distance shorter (both
P<0.001), and step frequency during sprinting and bounding, reduced and increased
respectively (P<0.001). Isometric maximal strength correlated strongly with
bounding distance on the two steeper inclines (r=0.76 and 0.83). Squat and
countermovement jump heights correlated moderately with sprint performance at
both 7 degrees and 15 degrees , and bounding performance on all three inclines
(r=0.55-0.65). The distance bounded uphill correlated moderately with FIS points
(r=-0.55 and -0.67). CONCLUSION: Incline influenced sprinting and bounding
performance and kinematics. Maximal leg power is important for both sprinting and
bounding uphill, while maximal strength is important for the latter. The skiers
with better FIS rankings bounded farther on steeper inclines, suggesting that
this capacity is beneficial for cross-country skiing performance.
PMID- 24921613
TI - Effects of an acute high-intensity interval training protocol on plasma
viscosity.
AB - AIM: High-intensity interval training (HIT) is an exercise model that has been
seen to cause similar muscle adaptations and improvements in exercise performance
to other traditional exercise models. This study aimed to examine the effects of
low-volume HIT exercise on plasma viscosity (PV). METHODS: Ten healthy male
subjects (25.80+/-3.39 years) randomly performed a HIT running protocol (2-min
warm up at 8 km/h, 5x2-min bouts at 90% maximal heart rate, separated by 2-min at
8 km/h, finished with another 2-min period at 8 km/h) or an aerobic (AER) running
exercise (60'at 55% VO2max). Blood samples were drawn before and after exercise,
and after 30-minute recovery. PV, hematocrit (Hct), fibrinogen, total proteins,
triglycerides, total-cholesterol and glucose levels were analyzed. Plasma volume
loss during exercise was calculated. RESULTS: PV rose after HIT (P<0.05) while
Hct rose after both protocols. Plasma volume loss was higher after HIT (-6.35+/
3.47%) than after AER (-3.11+/-2.49%) (P=0.045). Total-proteins (P<0.001),
triglycerides (P=0.013), total-cholesterol (P<0.001) and glucose (P=0.001)
concentrations increased after HIT. After AER no statistically significant
differences were found in plasma constituents concentrations. CONCLUSION: A low
volume HIT session causes a sufficient loss in plasma volume that leads to
significantly incremented plasma constituents' concentrations and, therefore, a
mild transient rise in PV.
PMID- 24921614
TI - High frequency performance analysis of professional soccer goalkeepers: a pilot
study.
AB - AIM: High-speed video analysis was performed during ten official-matches, to
assess the performance of ten goalkeepers (GK) from Italian third/fourth
divisions (C-D). METHODS: The variables studied were: number (N.) of frontal and
lateral actions with distance covered (speed during first-last meter) and total
distance covered during match. RESULTS: Match analysis showed that N. of lateral
(right/left) changes of direction in GK-C was 48% (P<0.005) lower, and forward
changes of action 53% greater (P<0.001) compared to GK-D. N. of forward and
lateral actions in GK-C was 49% and 67% (P<0.001 and P<0.0001) greater than GK-D,
respectively. Particularly, in GK-C the covered average distance, frontal and
lateral, was 27% and 58% (P<0.005 and P<0.001) greater than in GK-D,
respectively. Velocity of first meter of forward and lateral actions for GK-C
were 9% and 27% lower (P>0.05 and P>0.05) than GK-D, respectively. Last meter of
forward and lateral actions for GK-C was 57% greater and 43% lower (P<0.001 and
P<0.005) than GK-D, respectively. Finally, total covered distance, considering
all actions, was 60% greater for GK-C compared to GK-D (P<0.001). CONCLUSION: The
work presented in this paper identifies the relevant aspects of the goalkeeper
game that are valuable for a coach in terms of team and individual performance
analysis.
PMID- 24921615
TI - IPAQ interview version: convergent validity with accelerometers and comparison of
physical activity and sedentary time levels with the self-administered version.
AB - AIM: This study aimed to assess convergent validity of International Physical
Activity Questionnaire (IPAQ) interview (long form, last seven days), and to
examine differences in reported physical activity and sedentary time between the
self-administered and interview versions of the long IPAQ (last seven days); and
whether these differences depend on gender, age, educational level and weight
status. METHODS: In total, 542 Belgian adults (45.3% male, 43.8+/-12.1 years)
completed the IPAQ self-administered version. Data of these adults were compared
with data of 542 adults (45.2% male, 43.5+/-12.3 years) who completed the IPAQ
interview version and wore an accelerometer for seven consecutive days. Samples
were matched on gender, age, education, neighborhood characteristics and time of
data collection. RESULTS: Convergent validity of the IPAQ interview version was
moderate for total physical activity (Spearman rho=0.37, P<0.001) and high for
sedentary time (Spearman rho=0.67; P<0.001). Bland-Altman plots showed that
systematic and proportional biases were present for total physical activity; for
sedentary time only systematic bias was present. Adults who completed the self
administered IPAQ reported higher means for most types of physical activity and
less sedentary time than those who completed the IPAQ interview version.
Differences between the two IPAQ versions were larger in males, lower-educated,
older and overweight/obese adults. CONCLUSION: Convergent validity of assessing
total physical activity using IPAQ interview was similar to previous studies
examining validity of the IPAQ, but stronger results were found for sedentary
time. In general, and especially in males, lower-educated, older and
overweight/obese adults, the use of the IPAQ interview version assessed by
trained researchers, should be recommended to collect self-reported data on
physical activity and sedentary time.
PMID- 24921616
TI - Unknown loads affect force production capacity in early phases of bench press
throws.
AB - AIM: Explosive strength training aims to improve force generation in early phases
of movement due to its importance in sport performance. The present study
examined the influence of lack of knowledge about the load lifted in explosive
parameters during bench press throws. METHODS: Thirteen healthy young men (22.8+/
2.0 years) participated in the study. Participants performed bench press throws
with three different loads (30, 50 and 70% of 1 repetition maximum) in two
different conditions (known and unknown loads). In unknown condition, loads were
changed within sets in each repetition and participants did not know the load,
whereas in known condition the load did not change within sets and participants
had knowledge about the load lifted. RESULTS: Results of repeated-measures ANOVA
revealed that unknown conditions involves higher power in the first 30, 50, 100
and 150 ms with the three loads, higher values of ratio of force development in
those first instants, and differences in time to reach maximal rate of force
development with 50 and 70% of 1 repetition maximum. CONCLUSION: This study
showed that unknown conditions elicit higher values of explosive parameters in
early phases of bench press throws, thereby this kind of methodology could be
considered in explosive strength training.
PMID- 24921617
TI - Effects of mini trampoline exercise on male gymnasts' physiological parameters: a
pilot study.
AB - AIM: There are limited studies that indicate the effects of trampoline exercise
on strength and other physiological parameters. This study aims to determine
whether twelve weeks of trampoline exercise would have any effects on the
physical and physiological parameters of male gymnasts. METHODS: A number of 20
intercollegiate competitive male gymnasts (as experimental group) and 20 non
athlete male (as control group) participated voluntarily. Their anthropometric
characteristics and the anaerobic power were measured and their back strength,
vertical jump, standing long jump and 20 meter sprint performances were measured.
RESULTS: As a result; whereas 12 weeks of trampoline exercise improved standing
long jump (before 242.35+/-3.40 cm; after 251.70+/-2.95 cm) and also vertical
jump, 20 meter sprint speed and anaerobic power of subjects. We did not observe
significant changes on back strength performances (before 148.32+/-5.73 kg; after
148.10+/-5.71). CONCLUSION: The trampoline exercise protocol improved
significantly speed, jump and anaerobic performances of the experimental group,
while did not induced any changes on back strength performances. More studies are
necessary to confirm the interesting results coming from this pilot intervention.
PMID- 24921618
TI - The role of exercise in migraine treatment.
AB - This review aims to provide a comprehensive overview of the literature on the use
of exercise for migraine treatment with regard to its efficacy, mechanism of
action and role in practice. Many randomized studies have reported the efficacy
of prophylactic treatment of migrane with medications such as beta blockers or
antiepileptic drugs. Studies on alternative approaches, like aerobic exercise and
biofeedback, are however limited but also considered to be effective. Scientific
databases were searched with keywords "exercise" and "migraine". The resulting
publications were gathered, examined and discussed throughly. Past studies had
limitations and were few in number, but more recent randomized controlled studies
have concretely provided level of evidence about the effectiveness of exercise in
prophylactic treatment of migraine. Core properties of exercise like intensity,
duration, frequency, type and warming up period are required to be monitored
while treating migraine to increase the beneficial effects and, also to prevent
injuries and side effects which may include exertional headache. Isometric neck
exercise is helpful when the migraine is accompanied by neck pain. Patient
population with low beta endorphin level in blood, high physical fitness and high
motivation receives significant benefits from the exercise treatment. The action
of exercise on migraine is in general related to neurochemical factors,
psychological states and increase in cardivascular and cerebrovascular fitness.
Considering its effectiveness and minimal side effects, migraine patients should
often be encouraged to practice physical exercise with intensity, frequency and
duration that should be carefully instituted to achieve the most beneficial
outcome while preventing potential injuries and side effects.
PMID- 24921619
TI - Mean power during 20 sec all-out test to predict 2000 m rowing ergometer
performance in national level young rowers.
AB - AIM: The aim of this study was to assess the relationship between mean power
during 20 s all-out rowing ergometer test and 2000 m rowing ergometer
performance, in 20 young male rowers (mean age 15.2+/-1.3 years). METHODS: Mean
power during 20 s all-out test (W20), maximal oxygen uptake during incremental
test (VO2max), and anthropometric values, were measured and correlated with the
time to perform a 2000 m trial (t2000). RESULTS: W20 showed the highest
correlation with t2000 (r=-0.947, P<0.0001). Stepwise multiple linear regression
analysis showed that W20, VO2max, and fat-free mass, were the variables most
correlated with t2000, accounting for 95.1% of the variance, and that 89.7% of
the variance in 2000 m rowing ergometer performance time was accounted for by
W20. CONCLUSION: These results suggest that W20 is an important predictor of 2000
m rowing ergometer performance, and the 20 s all-out test on rowing ergometer may
be a useful monitoring tool for young rowers.
PMID- 24921620
TI - Benefits of Zumba Fitness(r) among sedentary adults with components of the
metabolic syndrome: a pilot study.
AB - AIM: The aim of this study was to assess the feasibility of a 12 week Zumba
Fitness(r) pilot study and temporal changes in components of the metabolic
syndrome (MetS) among sedentary, obese women with at least two MetS components.
METHODS: Participants attended Zumba Fitness(r) classes twice a week for 12
weeks. Feasibility was measured by recruitment rates, subject retention, and
adherence. Anthropometric measures, blood pressure and fasting glucose,
triglycerides and HDL-cholesterol were measured at baseline and after the 12 week
intervention. RESULTS: Among the 13 female participants, mean age was 52.5
(SD:10.6) years, 77% were ethnic minorities, mean BMI was 34.5 kg/m2 (SD:4.9) and
69.2% met the MetS criteria. Among 35 adults who completed the telephone
eligibility screening, 23 (66%) were eligible for a clinic screening visit, 18 of
whom met eligibility criteria, 16 (89%) initiated Zumba classes, and 13 (81%)
completed the pilot study. Mean attendance was 17 classes, comprising 71% of the
total 24 classes. Weight reduced non-significantly by 0.96 kg (P=0.87) while
systolic and diastolic blood pressure decreased by 13.63 (P=0.028) and 6.19 mmHg
(P=0.03), respectively with no change in anti-hypertensive medication use.
Fasting triglycerides decreased by 16.92 mg/dL (P=0.025) with no participants
taking niacin or fibrates prior to or during the study. Mean HDL-cholesterol
decreased (by 2.85 mg/dL) and fasting glucose increased slightly (by 1.62 mg/dL),
but not significantly. CONCLUSION: This twelve week Zumba Fitness(r) intervention
showed good feasibility and adherence, with significant reductions in blood
pressure and triglyceride levels, despite minimal weight loss.
PMID- 24921621
TI - Effect of colored lenses on muscular performance.
AB - AIM: The effect of color on physiological parameters appears well documented.
However, methodological weaknesses and limitations to practical application
suggest it to be substantially under researched with regard to physical
performance. The aim of this study was to consider the effects of red, blue and
clear (control) colored lens glasses on a muscular endurance task. METHODS:
Fifteen healthy males (21+/-1.34 years) were instructed to perform repetitions to
failure using their predetermined 25 repetition maximum (RM) on a seated leg
press. Participants completed three randomised trials exposed to blue, red and
clear lenses. RESULTS: A repeated measure ANOVA identified a significant
difference in the amount of repetitions performed between the three conditions. A
pairwise comparison revealed significantly greater repetitions for the blue lens
condition compared to clear lenses (P=0.008). From the data an effect size (ES)
of 0.76 was calculated for blue lensed glasses. The red lens condition showed no
significant variation from clear lenses in repetitions performed. CONCLUSION: The
findings of this research suggest that exposure to the color blue improves
performance of a muscular endurance based task. Such a simple and inexpensive
performance enhancement warrants further investigation to explore different
exercise modalities as well as effects of different colored lenses, and the
mechanisms as to how color affects performance.
PMID- 24921622
TI - The evaluation of BMI and serum beta-endorphin levels: the study of acute
exercise intervention.
AB - AIM: The aim of this study was to compare BMI and the effects of a session of
acute exercise on serum beta-endorphin levels among the sprint swimmers and
runners. METHODS: In a semi-experimental study, 15 healthy skilled male swimmers
(mean and SD of age: 21.64 +/- 2.35, weight: 75.25 +/- 9.81 kg, height: 180.31 +/
4.75 cm), and 14 healthy skilled runners (age: 21.38 +/- 2.76, weight: 69.78 +/-
6.86 kg, height: 182.21 +/- 5.22 cm), purposefully and voluntarily participated
in this study. Venous blood samples of the subjects were taken in three stages 1)
basic conditions; 2) immediately after each field of specialized training, with
an intensity equal to 80-85% VO(2max) 30 minutes after exercise in the fasting
state. Data was analyzed using with repeated measures (ANOVA). RESULTS: The body
composition and anthropometric variables assessed in the study were not
significantly different between the 2 groups, except BMI, which was significant
(P<0.05). The baseline beta-endorphin serum levels were significantly higher in
swimmers than runners (P=0.009). There were significant differences between
levels of beta-endorphin of male sprint runners and swimmers in the three periods
before, immediately after and 30 minutes after exercise (P <= 0. 05). CONCLUSION:
The results showed that Increased fat storage may underlie the higher BMI
observed in swimmers compared to runners and B-Endorphin Serum Levels was in
swimmers more than runners and an acute exercise session significantly increases
the levels of beta-endorphin serum hormone in sprint swimmers and runners.
PMID- 24921623
TI - The effect of spinach supplementation on exercise-induced oxidative stress.
AB - AIM: Nutritional supplements have been very popular among athletes and
individuals. Spinach is an important dietary vegetable rich in antioxidants which
is commonly consumed. This study was conducted to assess the effects of chronic
daily spinach supplementation on known markers of oxidative stress and muscle
damage following half-marathon in well-trained healthy young men. METHODS: Twenty
well-trained men volunteered for this study. Participants were randomized in an
open study placebo-controlled fashion into two groups: Spinach (S) (N.=10) and
placebo (P) (N.=10). The participants took spinach supplementation or placebo
daily for 14 days before running. Participants ran 21.1 km. The spinach
supplementation was prepared at 1 g/kg body weight. Total antioxidant capacity
(TAC) was determined as marker of plasma antioxidant capacity. Creatine kinase
(CK) was measured as marker of muscle damage and malondialdehyde (MDA), protein
carbonyl (PC) and uric acid were measured as markers of oxidative stress.
RESULTS: TAC significantly elevated after supplementation in S group (P<0.05).
Acute exercise led to elevated levels of serum MDA, PC and CK (P<0.05). Spinach
supplementation maintained PC, MDA, uric acid and CK at lower levels after
exercise than the placebo (P<0.05). CONCLUSION: These results suggest that
chronic daily oral supplementation of spinach has alleviating effects on known
markers of oxidative stress and muscle damage following a half-marathon in well
trained healthy young men.
PMID- 24921624
TI - High rates of complications following Kingella kingae infective endocarditis in
children: a case series and review of the literature.
AB - Kingella kingae is part of the Haemophilus spp., Aggregatibacter spp.,
Cardiobacterium hominis, Eikenella corrodens and Kingella spp. organisms that are
known to cause bacterial endocarditis. Evidence suggests it is also a common
pharyngeal colonizer in children <2 years of age. We reviewed the literature to
determine common complications of K. kingae infective endocarditis in children.
PMID- 24921625
TI - Molecular epidemiology of methicillin-resistant Staphylococcus aureus in Costa
Rican children.
AB - We collected all Staphylococcus aureus isolates from the National Children's
Hospital in Costa Rica to evaluate the prevalence and molecular epidemiology of
methicillin-resistant Staphylococcus aureus (MRSA). Of 299 S. aureus isolates,
61% were MRSA. Most MRSA isolates (94.5%) carried SCCmec IV, and 45.6% carried
Panton-Valentine leukocidin-encoding genes. The high prevalence of MRSA in this
population highlights the need for improvement of antibiotic prescription and
infection control measures.
PMID- 24921626
TI - The largest Silurian vertebrate and its palaeoecological implications.
AB - An apparent absence of Silurian fishes more than half-a-metre in length has been
viewed as evidence that gnathostomes were restricted in size and diversity prior
to the Devonian. Here we describe the largest pre-Devonian vertebrate (Megamastax
amblyodus gen. et sp. nov.), a predatory marine osteichthyan from the Silurian
Kuanti Formation (late Ludlow, ~423 million years ago) of Yunnan, China, with an
estimated length of about 1 meter. The unusual dentition of the new form suggests
a durophagous diet which, combined with its large size, indicates a considerable
degree of trophic specialisation among early osteichthyans. The lack of large
Silurian vertebrates has recently been used as constraint in palaeoatmospheric
modelling, with purported lower oxygen levels imposing a physiological size
limit. Regardless of the exact causal relationship between oxygen availability
and evolutionary success, this finding refutes the assumption that pre-Emsian
vertebrates were restricted to small body sizes.
PMID- 24921627
TI - High prevalence and spatial distribution of Strongyloides stercoralis in rural
Cambodia.
AB - BACKGROUND: The threadworm, Strongyloides stercoralis, endemic in tropical and
temperate climates, is a neglected tropical disease. Its diagnosis requires
specific methods, and accurate information on its geographic distribution and
global burden are lacking. We predicted prevalence, using Bayesian geostatistical
modeling, and determined risk factors in northern Cambodia. METHODS: From
February to June 2010, we performed a cross-sectional study among 2,396
participants from 60 villages in Preah Vihear Province, northern Cambodia. Two
stool specimens per participant were examined using Koga agar plate culture and
the Baermann method for detecting S. stercoralis infection. Environmental data
was linked to parasitological and questionnaire data by location. Bayesian mixed
logistic models were used to explore the spatial correlation of S. stercoralis
infection risk. Bayesian Kriging was employed to predict risk at non-surveyed
locations. PRINCIPAL FINDINGS: Of the 2,396 participants, 44.7% were infected
with S. stercoralis. Of 1,071 strongyloidiasis cases, 339 (31.6%) were among
schoolchildren and 425 (39.7%) were found in individuals under 16 years. The
incidence of S. stercoralis infection statistically increased with age. Infection
among male participants was significantly higher than among females (OR: 1.7; 95%
CI: 1.4-2.0; P<0.001). Participants who defecated in latrines were infected
significantly less than those who did not (OR: 0.6; 95% CI: 0.4-0.8; P=0.001).
Strongyloidiasis cases would be reduced by 39% if all participants defecated in
latrines. Incidence of S. stercoralis infections did not show a strong tendency
toward spatial clustering in this province. The risk of infection significantly
decreased with increasing rainfall and soil organic carbon content, and increased
in areas with rice fields. CONCLUSIONS/SIGNIFICANCE: Prevalence of S. stercoralis
in rural Cambodia is very high and school-aged children and adults over 45 years
were the most at risk for infection. Lack of access to adequate treatment for
chronic uncomplicated strongyloidiasis is an urgent issue in Cambodia. We would
expect to see similar prevalence rates elsewhere in Southeast Asia and other
tropical resource poor countries.
PMID- 24921628
TI - Improving Performance During Image-Guided Procedures.
AB - OBJECTIVE: Image-guided procedures have become a mainstay of modern health care.
This article reviews how human operators process imaging data and use it to plan
procedures and make intraprocedural decisions. METHODS: A series of models from
human factors research, communication theory, and organizational learning were
applied to the human-machine interface that occupies the center stage during
image-guided procedures. RESULTS: Together, these models suggest several
opportunities for improving performance as follows: 1. Performance will depend
not only on the operator's skill but also on the knowledge embedded in the
imaging technology, available tools, and existing protocols. 2. Voluntary
movements consist of planning and execution phases. Performance subscores should
be developed that assess quality and efficiency during each phase. For procedures
involving ionizing radiation (fluoroscopy and computed tomography), radiation
metrics can be used to assess performance. 3. At a basic level, these procedures
consist of advancing a tool to a specific location within a patient and using the
tool. Paradigms from mapping and navigation should be applied to image-guided
procedures. 4. Recording the content of the imaging system allows one to
reconstruct the stimulus/response cycles that occur during image-guided
procedures. CONCLUSIONS: When compared with traditional "open" procedures, the
technology used during image-guided procedures places an imaging system and long
thin tools between the operator and the patient. Taking a step back and
reexamining how information flows through an imaging system and how actions are
conveyed through human-machine interfaces suggest that much can be learned from
studying system failures. In the same way that flight data recorders
revolutionized accident investigations in aviation, much could be learned from
recording video data during image-guided procedures.
PMID- 24921629
TI - Comparative analysis of human tissue interactomes reveals factors leading to
tissue-specific manifestation of hereditary diseases.
AB - An open question in human genetics is what underlies the tissue-specific
manifestation of hereditary diseases, which are caused by genomic aberrations
that are present in cells across the human body. Here we analyzed this phenomenon
for over 300 hereditary diseases by using comparative network analysis. We
created an extensive resource of protein expression and interactions in 16 main
human tissues, by integrating recent data of gene and protein expression across
tissues with data of protein-protein interactions (PPIs). The resulting tissue
interaction networks (interactomes) shared a large fraction of their proteins and
PPIs, and only a small fraction of them were tissue-specific. Applying this
resource to hereditary diseases, we first show that most of the disease-causing
genes are widely expressed across tissues, yet, enigmatically, cause disease
phenotypes in few tissues only. Upon testing for factors that could lead to
tissue-specific vulnerability, we find that disease-causing genes tend to have
elevated transcript levels and increased number of tissue-specific PPIs in their
disease tissues compared to unaffected tissues. We demonstrate through several
examples that these tissue-specific PPIs can highlight disease mechanisms, and
thus, owing to their small number, provide a powerful filter for interrogating
disease etiologies. As two thirds of the hereditary diseases are associated with
these factors, comparative tissue analysis offers a meaningful and efficient
framework for enhancing the understanding of the molecular basis of hereditary
diseases.
PMID- 24921630
TI - The importance of helix P1 stability for structural pre-organization and ligand
binding affinity of the adenine riboswitch aptamer domain.
AB - We report here an in-depth characterization of the aptamer domain of the
transcriptional adenine-sensing riboswitch (pbuE) by NMR and fluorescence
spectroscopy. By NMR studies, the structure of two aptamer sequences with
different lengths of the helix P1, the central element involved in riboswitch
conformational switching, was characterized. Hydrogen-bond interactions could be
mapped at nucleotide resolution providing information about secondary and
tertiary structure, structure homogeneity and dynamics. Our study reveals that
the elongation of helix P1 has pronounced effects not only on the local but on
the global structure of the apo aptamer domain. The structural differences
induced by stabilizing helix P1 were found to be linked to changes of the ligand
binding affinity as revealed from analysis of kinetic and thermodynamic data
obtained from stopped-flow fluorescence studies. The results provide new insight
into the sequence-dependent fine tuning of the structure and function of purine
sensing riboswitches.
PMID- 24921631
TI - Amlodipine protects rat ventricular cardiomyoblast H9c2 From hypoxia-induced
apoptosis and restores oxidative balance by Akt-1-dependent manner.
AB - BACKGROUND: Hypoxia-induced rise in intracellular calcium concentration is a
causative agent of apoptosis and oxidative damage in cardiomyocytes. We examined
the efficacy of calcium channel blocker amlodipine in preventing hypoxia-induced
apoptosis in H9c2 cells and restoring oxidative balance. METHODS: H9c2 cells were
exposed to hypoxia (0.5% oxygen) to evaluate the efficacy of amlodipine in
restoring cellular calcium levels. Cellular markers of apoptosis (Bax/Bcl2 and
caspase-3, -7, and -9) and pro-survival markers (Akt/p-Akt levels) were evaluated
under hypoxia. Redox damage was evaluated by assessing markers of oxidative
damage, that is, glutathione reduced, glutathione oxidized, lipid peroxidation,
reactive oxygen species, and manganese superoxide dismutase activity. Cellular
adenosine triphosphate (ATP) pool and AMPKalpha levels were measured to evaluate
regulation of metabolism under hypoxia. RESULTS: Amlodipine treatment at 25 nM
prevented apoptosis and restored cellular calcium levels and oxidative damage in
cardiomyocytes. Stabilization of caspase-3, -7, and -9 along with restoration of
Akt/p-Akt levels depicted pro-survival efficacy of amlodipine. Also, restoration
of cellular ATP and AMPKalpha levels indicates that amlodipine prevents
cardiomyocytes from hypoxia-induced metabolic stress. CONCLUSIONS: Amlodipine
thus protects H9c2 cells from hypoxia-induced apoptosis by regulating Akt/p-Akt
mediated caspase-3, -7, and -9 activation and restoring cellular ATP and redox
status.
PMID- 24921632
TI - Eugenol dilates rat cerebral arteries by inhibiting smooth muscle cell voltage
dependent calcium channels.
AB - Plants high in eugenol, a phenylpropanoid compound, are used as folk medicines to
alleviate diseases including hypertension. Eugenol has been demonstrated to relax
conduit and ear arteries and reduce systemic blood pressure, but mechanisms
involved are unclear. Here, we studied eugenol regulation of resistance-size
cerebral arteries that control regional brain blood pressure and flow and
investigated mechanisms involved. We demonstrate that eugenol dilates arteries
constricted by either pressure or membrane depolarization (60 mM K) in a
concentration-dependent manner. Experiments performed using patch-clamp
electrophysiology demonstrated that eugenol inhibited voltage-dependent calcium
(Ca) currents, when using Ba as a charge carrier, in isolated cerebral artery
smooth muscle cells. Eugenol inhibition of voltage-dependent Ca currents involved
pore block, a hyperpolarizing shift (~-10 mV) in voltage-dependent inactivation,
an increase in the proportion of steady-state inactivating current, and
acceleration of inactivation rate. In summary, our data indicate that eugenol
dilates cerebral arteries by means of multimodal inhibition of voltage-dependent
Ca channels.
PMID- 24921633
TI - Balancing Hope and Realism in Family-Centered Care: Physical Therapists' Dilemmas
in Negotiating Walking Goals with Parents of Children with Cerebral Palsy.
AB - ABSTRACT The aims of this study were to explore physical therapists' beliefs
about the value of walking for children with cerebral palsy (CP), how these
beliefs inform therapy choices, and to describe how physical therapists engage
families in decision-making regarding walking goals. Eight physical therapists
who had experience working with children with CP each participated in a
qualitative, one-to-one interview exploring their walking-related values,
beliefs, and decision-making practices. The physical therapists' accounts
demonstrated that they balanced their beliefs and professional expertise with
families' goals in order to preserve families' hopes and maintain rapport, while
also ensuring evidence-based and efficacious treatment plans were implemented.
Participants experienced internal conflict when attempting to balance the
principles of family-centered care with their personal beliefs and expertise.
Further research will augment these findings and contribute to ongoing debates
regarding rehabilitation best practices and family-centered care.
PMID- 24921635
TI - Risk of secondary malignancy (including breast) in patients with mismatch-repair
protein deficiency.
AB - Lynch syndrome (LS) is an autosomal dominant inherited disease that is associated
with an increased risk for colorectal and endometrial cancer due to germline
mutations in mismatch-repair (MMR) genes. Whereas primary tumors in this syndrome
are widely recognized, the relative risk(s) of secondary malignancies,
particularly breast cancer, in LS patients are still poorly characterized. To
provide an improved assessment of these risks, MMR status was evaluated in
secondary tumors from a series of patients with index tumors of known MMR status
(both proficient and deficient). A total of 1252 tumors (index tumors) and all
secondary malignancies were tested for MMR by immunohistochemistry (MSH2, MSH6,
MLH1, PMS2) between 1992 and 2013. Tumors with MLH1/PMS2 deficiency were tested
for hypermethylation or BRAF mutation, when appropriate. Of the 1252 index
tumors, 162 were MMR deficient (dMMR), and, of that subset, 32 secondary tumors
were identified (19.7%). In contrast, 80 secondary tumors were identified in the
proficient (intact) group (7.3%). Although secondary malignancies were more
common in the dMMR group (P=0.0001), there was no trend in tumor type.
Specifically, breast cancer was not overly represented in the dMMR group. When
secondary tumors had dMMR, they were more likely to have deficiency in MSH2/MSH6
than in MLH1/PMS2 (P=0.01). Of the patients with tumors exhibiting dMMR, women
were more likely to have a dMMR secondary tumor in this series (P=0.0001);
however, breast cancer was not overly represented, and our study provides no
evidence that it is more frequent in LS. MSH2/MSH6 deficiency is more commonly
associated with a secondary tumor compared with MLH1/PMS2 deficiency, when
methylation/BRAF status is taken into account.
PMID- 24921634
TI - Imatinib treatment causes substantial transcriptional changes in adult
Schistosoma mansoni in vitro exhibiting pleiotropic effects.
AB - BACKGROUND: Schistosome parasites cause schistosomiasis, one of the most
important infectious diseases worldwide. For decades Praziquantel (PZQ) is the
only drug widely used for controlling schistosomiasis. The absence of a vaccine
and fear of PZQ resistance have motivated the search for alternatives. Studies on
protein kinases (PKs) demonstrated their importance for diverse physiological
processes in schistosomes. Among others two Abl tyrosine kinases, SmAbl1 and
SmAbl2, were identified in Schistosoma mansoni and shown to be transcribed in the
gonads and the gastrodermis. SmAbl1 activity was blocked by Imatinib, a known Abl
TK inhibitor used in human cancer therapy (Gleevec/Glivec). Imatinib exhibited
dramatic effects on the morphology and physiology of adult schistosomes in vitro
causing the death of the parasites. METHODOLOGY/PRINCIPAL FINDINGS: Here we show
modeling data supporting the targeting of SmAbl1/2 by Imatinib. A biochemical
assay confirmed that SmAbl2 activity is also inhibited by Imatinib. Microarray
analyses and qRT-PCR experiments were done to unravel transcriptional processes
influenced by Imatinib in adult schistosomes in vitro demonstrating a wide
influence on worm physiology. Surface-, muscle-, gut and gonad-associated
processes were affected as evidenced by the differential transcription of e.g.
the gynecophoral canal protein gene GCP, paramyosin, titin, hemoglobinase, and
cathepsins. Furthermore, transcript levels of VAL-7 and egg formation-associated
genes such as tyrosinase 1, p14, and fs800-like were affected as well as those of
signaling genes including a ribosomal protein S6 kinase and a glutamate receptor.
Finally, a comparative in silico analysis of the obtained microarray data sets
and previous data analyzing the effect of a TGFbetaR1 inhibitor on transcription
provided first evidence for an association of TGFbeta and Abl kinase signaling.
Among others GCP and egg formation-associated genes were identified as common
targets. CONCLUSIONS/SIGNIFICANCE: The data affirm broad negative effects of
Imatinib on worm physiology substantiating the role of PKs as interesting
targets.
PMID- 24921636
TI - Colesevelam and colestipol: novel medication resins in the gastrointestinal
tract.
AB - We report the morphologic description of the bile acid sequestrants (BAS)
colesevelam and colestipol, as well as the largest series of cholestyramine.
Histologically similar medication resins from 4 institutions were prospectively
collected over 1 year (26 specimens, 15 patients). Comorbidities included
hyperlipidemia (4/15), hypertension (4/15), inflammatory bowel disease (4/15),
coronary artery disease (3/15), diarrhea (7/15), hypothyroidism (2/15), and
ischemic bowel (1/15). Sites of involvement included the esophagus (1/26),
stomach (1/26), small intestine (1/26), ileocecal valve (1/26), and colorectum
(22/26). Associated histologic diagnoses included normal (8/26), chronic mucosal
injury (11/26), acute inflammation (9/26), erosion/ulceration (6/26), and
cytomegalovirus (2/26). The BAS resins were histologically indistinguishable from
each other; they were all eosinophilic on hematoxylin and eosin (H&E) and lacked
internal "fish-scales." To validate these observations, respective medications
were submitted for histologic processing; the processed medications were
identical to those in the patient specimens. Rare, irregular "fracture" lines
presented diagnostic pitfalls by mimicking the true "fish-scales" of Kayexalate
and sevelamer. Clues to the correct identification of BAS include recognition
that the "fracture" lines were subtle, irregular, and restricted to large
fragments or thick sections, likely representing a processing artifact. Moreover,
Kayexalate is violet on H&E and black on acid fast bacillus, and sevelamer
characteristically displays a 2-tone color on H&E and is magenta on acid fast
bacillus. An association with inflammatory injury was seen (15/26). We believe
that the BAS are innocent bystanders in complicated patients, although we cannot
exclude their ability to cause mucosal injury in specific settings.
PMID- 24921637
TI - A subset of nondescript axillary lymph node inclusions have the immunophenotype
of endosalpingiosis.
AB - We report 2 cases of bland, otherwise nondescript axillary lymph node inclusions
that have the immunophenotype of endosalpingiosis in patients with concurrent
invasive breast carcinomas. Neither inclusion demonstrated the classic morphology
of endosalpingiosis with admixed ciliated and secretory cells. Rather, both cases
were composed of nondescript cuboidal to columnar bland epithelial cells situated
within the lymph node capsule. Whereas both inclusions labeled diffusely for
estrogen receptor and lacked evidence of a myoepithelial component, both labeled
diffusely for PAX8 and WT-1, which distinguished them from their corresponding
concurrent primary mammary carcinomas. These findings suggest that a subset of
otherwise nondescript axillary lymph node inclusions represent endosalpingiosis
and highlight the utility of PAX8 and WT-1 immunohistochemistry in distinguishing
these from metastatic well-differentiated ductal carcinoma.
PMID- 24921638
TI - "Somatic-type" malignancies arising from testicular germ cell tumors: a
clinicopathologic study of 124 cases with emphasis on glandular tumors supporting
frequent yolk sac tumor origin.
AB - Somatic-type malignancies (SMs) in patients with testicular germ cell tumors
(GCT) are rare and mostly attributed to "transformation" of teratoma, although
yolk sac tumor (YST) origin has also been proposed. We studied 124 cases of "SM"
of testicular GCT origin from 106 patients to evaluate their morphology,
immunohistochemical features (especially the utility of SALL4), and relationship
to YST. Primitive neuroectodermal and nephroblastomatous tumors were excluded
because of prior studies. Patients ranged in age from 15 to 68 years (mean, 33
y). The tumors ranged from 0.7 to 30 cm (mean, 7.6 cm) and involved the
retroperitoneum (64%), abdomen/pelvis (10%), lung (10%), mediastinum (6%),
supraclavicular region/neck (4%), testis (4%), and thigh (1%). Most initial
diagnoses were sarcomas (n=68) or carcinomas (n=51). On review and
immunohistochemical analysis, 7 of 45 adenocarcinomas were reclassified as
glandular YSTs (GYST) on the basis of glypican-3 (GPC3) and/or alpha-fetoprotein
positivity and scant/absent reactivity for EMA and CK7. These occasionally (29%)
had subnuclear and sometimes supranuclear vacuoles (endometrioid-like), whereas
adenocarcinomas were more frequently mucinous (17%) or enteric-type (11%) than
endometrioid-like (9%). Both expressed CDX2 frequently (83% and 63%,
respectively). MUC protein 2, 4, 5, and 6 expression was more common in
adenocarcinomas (7% to 36%) than in GYSTs (0% to 20%) but was infrequent. Both
were often positive for SALL4, BerEP4, and MOC31; all were negative for TTF-1. On
follow-up (GYST: range, 23 to 169 mo; mean, 81mo; adenocarcinoma: range, 1 to 170
mo; mean, 55 mo), 50% and 33% of patients with GYST and adenocarcinoma,
respectively, died of disease. We reclassified 26 of 76 sarcomatoid tumors as
sarcomatoid YSTs (SYST) on the basis of positive reactivity for both AE1/3 and
GPC3. These tumors often had spindled and epithelioid cells in a fibromyxoid
stroma. SYSTs were often (60%) SALL4 positive, whereas sarcomas were all
negative. On follow-up (SYST: range, 1 to 259 mo; mean, 62 mo; sarcoma: range, 1
to 327 mo; mean, 70 mo), 50% and 29% of patients with SYST and sarcoma,
respectively, died of disease, with most mortality occurring in those with high
grade tumors. We conclude that, on the basis of a panel of immunoreactivities, a
significant number of "SMs" in testicular GCT patients are more accurately
classified as either GYSTs or SYSTs. Ambiguous glandular tumors should be
evaluated for GPC3, alpha-fetoprotein, CK7, and EMA reactivity and sarcomatoid
ones for GPC3, AE1/3, and SALL4 reactivity.
PMID- 24921640
TI - Syphilis presenting as inflammatory tumors of the liver in HIV-positive
homosexual men.
AB - Syphilis, a sexually transmitted infection caused by the spirochete Treponema
pallidum, has seen a resurgence since 2001, particularly in men who have sex with
men. Syphilis can affect the liver during the secondary stage as syphilitic
hepatitis and during the tertiary stage as gummas. We describe 3 cases of
syphilis in human immunodeficiency virus-positive homosexual men that presented
as hepatic mass lesions clinically suspected of being malignant tumors.
Histologically, 2 of the 3 cases showed a plump spindle cell proliferation, mixed
inflammatory infiltrate with numerous neutrophils, and abscesses, whereas the
third case showed granulomas and pericholangitis/cholangitis. Immunohistochemical
staining for T. pallidum showed innumerable organisms in 2 of the cases.
Pathologists must be aware of the possibility of syphilis causing hepatic
inflammatory masses in human immunodeficiency virus-positive men who have sex
with men in order to avoid misdiagnosis or delayed treatment.
PMID- 24921639
TI - BRAF V600E immunohistochemistry is reliable in primary and metastatic colorectal
carcinoma regardless of treatment status and shows high intratumoral homogeneity.
AB - In colorectal carcinoma the evaluation of BRAF mutation status is increasingly
being performed given its utility as a prognostic and predictive biomarker.
However, there are conflicting reports of the sensitivity and specificity of BRAF
V600E immunohistochemistry (IHC), and little is known about its reliability in
tissues collected from metastatic sites or after chemotherapy, radiation therapy
and/or targeted therapy. The degree of intratumoral staining heterogeneity is
also not well established. We performed IHC for BRAF V600E (VE1) on 204 cases of
colorectal carcinoma including 59 with the BRAF V600E mutation. These included
primary (n=147) and metastatic/recurrent (n=57) tumors, collected before (n=133)
or after (n=71) chemotherapy, radiation therapy and/or targeted therapy.
Evaluation of a test cohort (39 cases) with knowledge of mutation status
established a specific staining pattern for the mutation: diffuse cytoplasmic
staining of near-uniform intensity, regardless of strength of staining. Using
this pattern, pathologists at 3 levels of training independently performed
blinded evaluation of the remaining cases. BRAF V600E staining was 96.3%
sensitive and 98.5% specific for the mutation, including both pretreatment and
posttreatment specimens. Fleiss kappa for interobserver agreement was 0.96.
Staining of whole sections of the BRAF mutants showed diffuse staining in all
cases and uniform or near-uniform intensity in 91%. In 20 cases with both
pretreatment and posttreatment specimens, there was 100% accuracy and agreement
in staining between samples. We conclude that BRAF V600E IHC is reliable for the
evaluation of mutational status in colorectal carcinoma regardless of site or
prior treatment history, and staining shows a high degree of intratumoral
homogeneity.
PMID- 24921641
TI - Primary sclerosing epithelioid fibrosarcoma of bone: analysis of a series.
AB - Sclerosing epithelioid fibrosarcoma (SEF) is a rare, aggressive malignant
neoplasm characterized by small nests and linear arrays of epithelioid cells
embedded in a dense collagenous matrix. Very few primary SEFs of bone have been
reported. Recognition is critical, as the dense extracellular collagenous matrix
can be interpreted as osteoid, leading to misdiagnosis as-osteosarcoma. MUC4 and
SATB2 are 2 recently characterized immunohistochemical markers for SEF and
osteosarcoma, respectively. In reports to date, osteosarcomas are positive for
SATB2 and negative for MUC4, whereas soft tissue SEFs have shown the opposite
immunohistochemical profile (SATB2-/MUC4+). The purpose of this study was to
characterize the clinicopathologic and immunohistochemical features of 8 primary
SEFs of bone. The patients presented at a wide range of ages (25 to 73 y; median
52 y). Tumors mostly involved long bones of the extremities, with 3 cases
involving the femur, 2 involving the ulna, and 1 involving the humerus. Other
sites of involvement included the second rib (1) and the C6 vertebra (1). Follow
up information was available for 7 patients, 3 of whom developed metastases
within 2 years of diagnosis. The other 4 patients were free of local recurrence
or metastases at 1, 5, 12, and >84 months of follow-up, respectively.
Radiographically, the tumors were predominantly lytic and poorly marginated.
Histologically, 6 tumors showed pure SEF morphology, and 2 showed hybrid SEF/low
grade fibromyxoid sarcoma morphology. Focal dystrophic mineralization was seen in
1 case but was limited to areas of necrosis. None of the tumors showed the lace
like pattern of mineralization typical of osteosarcoma. The majority (6/8) of the
tumors strongly expressed MUC4. SATB2 was negative in all but 1 case, which
showed variable weak to moderate staining in ~50% of nuclei. In general, the
combination of morphology, MUC4 expression, and the absence of SATB2 expression
was highly useful in arriving at the correct diagnosis.
PMID- 24921642
TI - Variable expression of B-cell transcription factors in reactive immunoblastic
proliferations: a potential mimic of classical Hodgkin lymphoma.
AB - Reactive immunoblastic proliferations can histologically mimic classical Hodgkin
lymphoma (CHL), and show diffuse CD30 expression in large cells. The lack of
expression of CD15 in a subset of CHL further complicates their separation from
immunoblastic proliferations. Loss of expression of B-cell transcription factors
is frequently exploited in making a diagnosis of CHL; however, the staining
patterns of B-cell transcription factors in immunoblastic proliferations have not
been extensively studied. Thirty-three cases of reactive immunoblastic
proliferations were evaluated using a panel of immunohistochemistry for CD30,
CD15, CD20, CD3, kappa, lambda, CD45RB, MUM1, PAX5, OCT2, and BOB.1, as well as
Epstein-Barr virus (EBV)/EBV-encoded ribonucleic acid in situ hybridization. A
newly developed dual-color chromogenic in situ hybridization technology for
detection of kappa/lambda mRNAs was also used. The majority of immunoblasts
expressed CD30 in 14 of 33 (42%) cases; none expressed CD15. Loss or weak
expression of at least 1 transcription factor in B immunoblasts, most commonly
PAX5, was noted in 24 of 29 (83%) cases. A polytypic light chain expression
pattern was detected by immunohistochemistry in 14 of 22 (63.6%) cases and by
dual-color chromogenic in situ hybridization in 9 of 10 (90%) cases studied. EBV
encoded ribonucleic acid was detected in 8 of 33 (24.2%) cases, 5 of which were
clinically unrelated to infectious mononucleosis. We conclude that B-cell
transcription factors can show loss or weak expression in a significant
proportion of reactive immunoblastic proliferations, and, therefore, staining for
B-cell transcription factors together with CD30 should be interpreted with
caution before a diagnosis of CHL is made.
PMID- 24921643
TI - Immunohistochemical staining characteristics of nephrogenic adenoma using the PIN
4 cocktail (p63, AMACR, and CK903) and GATA-3.
AB - Nephrogenic adenoma (NA) is a benign lesion of the urinary tract associated with
injury to the urothelium. The varied morphologic patterns of NA make it a
potential diagnostic pitfall, because it can mimic closely prostatic
adenocarcinoma and urothelial carcinoma. In current practice, an antibody
cocktail comprising p63, CK903, and AMACR (PIN-4 cocktail) is frequently utilized
to evaluate foci suspicious for prostatic adenocarcinoma. Although the staining
characteristics of the individual components of the PIN-4 cocktail have been
reported for NA, no study has described the expression patterns for NA when the
stains are applied as a cocktail. GATA-3 is an emerging marker of urothelial
carcinoma; however, the GATA-3 staining characteristics of NA have yet to be
described. Sixty-three NA specimens (M:F=36:27, average age=51.4 y) from various
locations in the urinary tract including urinary bladder (n=40), ureter (n=3),
and urethra (n=20) were collected from the archives of 2 institutions.
Immunohistochemical analysis with the PIN-4 cocktail and GATA-3 antibodies was
performed, and the distribution and intensity of staining was recorded for each
antibody in each case. PIN-4 cocktail staining revealed AMACR expression in 56%
of cases, CK903 expression in 97%, and rare p63 positivity (in only 2 cases).
Only 2 NA cases displayed an overall PIN-4 staining pattern compatible with
prostate cancer. GATA-3 expression was noted in 40% of NAs. No correlation
between AMACR, CK903, or GATA-3 positivity and histologic pattern or anatomic
location was identified. Although heterogenous staining patterns were seen within
individual cases, use of the PIN-4 cocktail effectively discriminates NA from
prostate cancer because of the high frequency of coexpression of AMACR and CK903
within NA. In addition, GATA-3 is not a useful marker in differentiating between
NA and urothelial carcinoma.
PMID- 24921644
TI - Myofibromas with atypical features: expanding the morphologic spectrum of a
benign entity.
AB - Typical myofibromas are biphasic tumors composed of a central zone of immature
spindled to rounded cells arranged in a pericytic pattern and a peripheral zone
of myoid nodules. Central necrosis is occasionally seen. A small but undefined
subset of myofibromas displays atypical features that may lead to a misdiagnosis
of sarcoma. To more completely characterize these tumors and define their
behavior, we analyzed our experience with myofibromas having 1 or more atypical
features including hypercellularity, absent or inconspicuous, poorly demarcated
myoid nodules, infiltrative growth pattern, and perineural invasion. Of 266 cases
of myofibromas, 24 cases were retrieved on the basis of pathology reports in
which atypical features were mentioned. The tumors presented in 16 male and 8
female individuals (mean age 17 y; range, 2 wk to 62 y) as masses of variable
size (mean 3.0 cm; range, 1.5 to 6.5 cm). Fourteen cases arose on the head and
neck and 10 cases on the limbs. The referring or suspected diagnosis was sarcoma
in 8 cases. The tumors were typically more cellular than ordinary myofibroma with
levels of cellularity similar to that expected in fibrosarcoma (22/24). In
addition, they displayed inconspicuous, loosely cohesive (22/24) or absent myoid
nodules (2/24), infiltrating borders (19/24), intravascular growth (5/24), and
perineural invasion/nerve entrapment (6/24). The mean mitotic rate was 5
mitoses/10 high-power fields, but no tumor showed significant cytologic atypia.
The tumors were positive for actins (11/11) and CD34 (2/8). Follow-up in 14
patients revealed no distant metastases. We conclude that a small subset of
myofibromas shows atypical features that complicate the diagnosis but do not
adversely affect outcome.
PMID- 24921645
TI - Do "intimal" sarcomas of the heart exist?
PMID- 24921647
TI - Pain thresholds in women with chronic pelvic pain.
AB - PURPOSE OF REVIEW: To update on the latest developments in sensory changes of
female patients with chronic pelvic pain (CPP). CPP is very common, but its
pathophysiology is still controversial. Evaluation of pain sensitivity in painful
and nonpainful areas is key to understanding the underlying peripheral vs.
central contributions to the symptom. This in turn is fundamental to improving
the treatment strategies. RECENT FINDINGS: We reviewed the experimental studies
published over the last year on pain thresholds to different stimuli measured at
both the somatic and visceral level in women with different forms of recurrent or
CPP. The majority of the studies indicate a pain threshold decrease to most
stimuli in skin, subcutis and muscle in painful pelvic areas, the site of
referred pain from pelvic viscera, as well as a decreased pain threshold in most
viscera (colon and urinary bladder). A significant threshold decrease is also
found in deep somatic tissues (subcutis and muscle) outside the painful zone in
the most severe cases, indicating a state of central sensitization. SUMMARY:
These findings have important implications for clinical practice: pain threshold
measurement in both painful and nonpainful sites could have important predictive
value of the clinical evolution and response to therapy of CPP.
PMID- 24921646
TI - Two separate modules of the conserved regulatory RNA AbcR1 address multiple
target mRNAs in and outside of the translation initiation region.
AB - The small RNA AbcR1 regulates the expression of ABC transporters in the plant
pathogen Agrobacterium tumefaciens, the plant symbiont Sinorhizobium meliloti,
and the human pathogen Brucella abortus. A combination of proteomic and
bioinformatic approaches suggested dozens of AbcR1 targets in A. tumefaciens.
Several of these newly discovered targets are involved in the uptake of amino
acids, their derivatives, and sugars. Among the latter is the periplasmic sugar
binding protein ChvE, a component of the virulence signal transduction system. We
examined 16 targets and their interaction with AbcR1 in close detail. In addition
to the previously described mRNA interaction site of AbcR1 (M1), the CopraRNA
program predicted a second functional module (M2) as target-binding site. Both M1
and M2 contain single-stranded anti-SD motifs. Using mutated AbcR1 variants, we
systematically tested by band shift experiments, which sRNA region is responsible
for mRNA binding and gene regulation. On the target site, we find that AbcR1
interacts with some mRNAs in the translation initiation region and with others
far into their coding sequence. Our data show that AbcR1 is a versatile master
regulator of nutrient uptake systems in A. tumefaciens and related bacteria.
PMID- 24921648
TI - Core microbial functional activities in ocean environments revealed by global
metagenomic profiling analyses.
AB - Metagenomics-based functional profiling analysis is an effective means of gaining
deeper insight into the composition of marine microbial populations and
developing a better understanding of the interplay between the functional genome
content of microbial communities and abiotic factors. Here we present a
comprehensive analysis of 24 datasets covering surface and depth-related
environments at 11 sites around the world's oceans. The complete datasets
comprises approximately 12 million sequences, totaling 5,358 Mb. Based on
profiling patterns of Clusters of Orthologous Groups (COGs) of proteins, a core
set of reference photic and aphotic depth-related COGs, and a collection of COGs
that are associated with extreme oxygen limitation were defined. Their inferred
functions were utilized as indicators to characterize the distribution of light-
and oxygen-related biological activities in marine environments. The results
reveal that, while light level in the water column is a major determinant of
phenotypic adaptation in marine microorganisms, oxygen concentration in the
aphotic zone has a significant impact only in extremely hypoxic waters.
Phylogenetic profiling of the reference photic/aphotic gene sets revealed a
greater variety of source organisms in the aphotic zone, although the majority of
individual photic and aphotic depth-related COGs are assigned to the same taxa
across the different sites. This increase in phylogenetic and functional
diversity of the core aphotic related COGs most probably reflects selection for
the utilization of a broad range of alternate energy sources in the absence of
light.
PMID- 24921649
TI - Augmenting microarray data with literature-based knowledge to enhance gene
regulatory network inference.
AB - Gene regulatory networks are a crucial aspect of systems biology in describing
molecular mechanisms of the cell. Various computational models rely on random
gene selection to infer such networks from microarray data. While incorporation
of prior knowledge into data analysis has been deemed important, in practice, it
has generally been limited to referencing genes in probe sets and using curated
knowledge bases. We investigate the impact of augmenting microarray data with
semantic relations automatically extracted from the literature, with the view
that relations encoding gene/protein interactions eliminate the need for random
selection of components in non-exhaustive approaches, producing a more accurate
model of cellular behavior. A genetic algorithm is then used to optimize the
strength of interactions using microarray data and an artificial neural network
fitness function. The result is a directed and weighted network providing the
individual contribution of each gene to its target. For testing, we used invasive
ductile carcinoma of the breast to query the literature and a microarray set
containing gene expression changes in these cells over several time points. Our
model demonstrates significantly better fitness than the state-of-the-art model,
which relies on an initial random selection of genes. Comparison to the component
pathways of the KEGG Pathways in Cancer map reveals that the resulting networks
contain both known and novel relationships. The p53 pathway results were manually
validated in the literature. 60% of non-KEGG relationships were supported (74%
for highly weighted interactions). The method was then applied to yeast data and
our model again outperformed the comparison model. Our results demonstrate the
advantage of combining gene interactions extracted from the literature in the
form of semantic relations with microarray analysis in generating contribution
weighted gene regulatory networks. This methodology can make a significant
contribution to understanding the complex interactions involved in cellular
behavior and molecular physiology.
PMID- 24921650
TI - Loss of a neural AMP-activated kinase mimics the effects of elevated serotonin on
fat, movement, and hormonal secretions.
AB - AMP-activated protein kinase (AMPK) is an evolutionarily conserved master
regulator of metabolism and a therapeutic target in type 2 diabetes. As an energy
sensor, AMPK activity is responsive to both metabolic inputs, for instance the
ratio of AMP to ATP, and numerous hormonal cues. As in mammals, each of two
genes, aak-1 and aak-2, encode for the catalytic subunit of AMPK in C. elegans.
Here we show that in C. elegans loss of aak-2 mimics the effects of elevated
serotonin signaling on fat reduction, slowed movement, and promoting exit from
dauer arrest. Reconstitution of aak-2 in only the nervous system restored wild
type fat levels and movement rate to aak-2 mutants and reconstitution in only the
ASI neurons was sufficient to significantly restore dauer maintenance to the
mutant animals. As in elevated serotonin signaling, inactivation of AAK-2 in the
ASI neurons caused enhanced secretion of dense core vesicles from these neurons.
The ASI neurons are the site of production of the DAF-7 TGF-beta ligand and the
DAF-28 insulin, both of which are secreted by dense core vesicles and play
critical roles in whether animals stay in dauer or undergo reproductive
development. These findings show that elevated levels of serotonin promote
enhanced secretions of systemic regulators of pro-growth and differentiation
pathways through inactivation of AAK-2. As such, AMPK is not only a recipient of
hormonal signals but can also be an upstream regulator. Our data suggest that
some of the physiological phenotypes previously attributed to peripheral AAK-2
activity on metabolic targets may instead be due to the role of this kinase in
neural serotonin signaling.
PMID- 24921651
TI - Large conductance Ca2+-activated K+ channel (BKCa) alpha-subunit splice variants
in resistance arteries from rat cerebral and skeletal muscle vasculature.
AB - Previous studies report functional differences in large conductance Ca2+
activated-K+ channels (BKCa) of smooth muscle cells (VSMC) from rat cerebral and
cremaster muscle resistance arteries. The present studies aimed to determine if
this complexity in BKCa activity may, in part, be due to splice variants in the
pore-forming alpha-subunit. BKCa variants in the intracellular C terminus of the
alpha-subunit, and their relative expression to total alpha-subunit, were
examined by qPCR. Sequencing of RT-PCR products showed two alpha-subunit
variants, ZERO and STREX, to be identical in cremaster and cerebral arteries.
Levels of STREX mRNA expression were, however, significantly higher in cremaster
VSMCs (28.9+/-4.2% of total alpha-BKCa) compared with cerebral vessels (16.5+/
0.9%). Further, a low level of BKCa SS4 alpha-subunit variant was seen in
cerebral arteries, while undetectable in cremaster arteries. Protein
biotinylation assays, in expression systems and arterial preparations, were used
to determine whether differences in splice variant mRNA expression affect surface
membrane/cytosolic location of the channel. In AD-293 and CHO-K1 cells, rat STREX
was more likely to be located at the plasma membrane compared to ZERO, although
the great majority of channel protein was in the membrane in both cases. Co
expression of beta1-BKCa subunit with STREX or ZERO did not influence the
dominant membrane expression of alpha-BKCa subunits, whereas in the absence of
alpha-BKCa, a significant proportion of beta1-subunit remained cytosolic.
Biotinylation assays of cremaster and cerebral arteries showed that differences
in STREX/ZERO expression do not alter membrane/cytosolic distribution of the
channel under basal conditions. These data, however, revealed that the amount of
alpha-BKCa in cerebral arteries is approximately 20X higher than in cremaster
vessels. Thus, the data support the major functional differences in BKCa activity
in cremaster, as compared to cerebral VSMCs, being related to total alpha-BKCa
expression, regardless of differences in splice variant expression.
PMID- 24921652
TI - Establishment of highly tumorigenic human colorectal cancer cell line (CR4) with
properties of putative cancer stem cells.
AB - BACKGROUND: Colorectal cancer (CRC) has the third highest mortality rates among
the US population. According to the most recent concept of carcinogenesis, human
tumors are organized hierarchically, and the top of it is occupied by malignant
stem cells (cancer stem cells, CSCs, or cancer-initiating cells, CICs), which
possess unlimited self-renewal and tumor-initiating capacities and high
resistance to conventional therapies. To reflect the complexity and diversity of
human tumors and to provide clinically and physiologically relevant cancer
models, large banks of characterized patient-derived low-passage cell lines, and
especially CIC-enriched cell lines, are urgently needed. PRINCIPAL FINDINGS: Here
we report the establishment of a novel CIC-enriched, highly tumorigenic and
clonogenic colon cancer cell line, CR4, derived from liver metastasis. This
stable cell line was established by combining 3D culturing and 2D culturing in
stem cell media, subcloning of cells with particular morphology, co-culture with
carcinoma associated fibroblasts (CAFs) and serial transplantation to NOD/SCID
mice. Using RNA-Seq complete transcriptome profiling of the tumorigenic fraction
of the CR4 cells in comparison to the bulk tumor cells, we have identified about
360 differentially expressed transcripts, many of which represent stemness,
pluripotency and resistance to treatment. Majority of the established CR4 cells
express common markers of stemness, including CD133, CD44, CD166, EpCAM, CD24 and
Lgr5. Using immunocytochemical, FACS and western blot analyses, we have shown
that a significant ratio of the CR4 cells express key markers of pluripotency
markers, including Sox-2, Oct3/4 and c-Myc. Constitutive overactivation of ABC
transporters and NF-kB and absence of tumor suppressors p53 and p21 may partially
explain exceptional drug resistance of the CR4 cells. CONCLUSIONS: The highly
tumorigenic and clonogenic CIC-enriched CR4 cell line may provide an important
new tool to support the discovery of novel diagnostic and/or prognostic
biomarkers as well as the development of more effective therapeutic strategies.
PMID- 24921654
TI - Function and activity in patients with knee arthroplasty: validity and
reliability of a German version of the Lysholm Score and the Tegner Activity
Scale.
AB - OBJECTIVE: The Lysholm score and the Tegner activity scale are widely used for
assessing knee function and activity level after knee ligament injuries. This
study aimed to asses validity and reliability of the German versions of the
Lysholm score (Lysholm-G) and the Tegner activity scale (Tegner-G) in patients
after total knee arthroplasty (TKA). METHODS: Two patient groups (<3 months
postoperative; 3 to 12 months postoperative) and a healthy control group
completed both questionnaires twice within 3 to 7 days. On the first occasion,
the SF-12 questionnaire was also administered. The instruments' absolute and
relative reliability, internal consistency, construct validity (discriminative
validity and exploratory factor analysis), criterion validity, and floor/ceiling
effects were determined. RESULTS: Both instruments showed acceptable relative
reliability (Lysholm-G: ICC2,1 = 0.87; Tegner-G: ICC2,1 = 0.79), and the Lysholm
G had a Cronbach's alpha of 0.93. Kruskal-Wallis test and post-hoc Mann-Whitney U
tests showed significant differences in both scores among the groups. Factor
analysis of Lysholm-G yielded three factors that together explained 76% of the
variance. Correlations between the Lysholm-G/Tegner-G and the physical component
of the SF-12 were moderate (rho = 0.60/0.59). Item analysis of the Lysholm-G
revealed a low relative reliability for the item "instability" and low
discriminative validity for the items "locking" and "instability". CONCLUSIONS:
This study demonstrated acceptable psychometric performances for the Lysholm-G
and the Tegner-G scales as outcome measures for patients with TKA. A short
version of the Lysholm score without the "locking" and "instability" items might
be more appropriate for TKA patients.
PMID- 24921653
TI - Differing effects of metformin on glycemic control by race-ethnicity.
AB - CONTEXT: Metformin is considered first-line treatment for type 2 diabetes
mellitus. However, little is known about its effects in African American
individuals. OBJECTIVE: The objective of the study was to assess whether
metformin's effect on glycemic control differs by race-ethnicity Design:
Electronic health records were used to identify adults who had a diagnosis of
diabetes, two or more fills of metformin, and two or more glycated hemoglobin
(HbA1c) measurements. Pharmacy claims were used to estimate metformin exposure
based on fill frequency and dose dispensed. Regression analyses modeled the
relationship between metformin exposure and HbA1c levels. Analyses were
stratified by race-ethnicity and baseline HbA1c values. SETTING: The study was
conducted at a large health system in southeast Michigan. MAIN OUTCOME MEASURE:
Differences in HbA1c levels while on metformin were measured. RESULTS: We
identified 19 672 patients with diabetes taking metformin; 7429 were African
American and 8783 were European American. Baseline HbA1c values in these two
groups were 7.81% (61.8 mmol/mol) and 7.38% (57.1 mmol/mol), respectively.
Compared with no use, metformin was associated with a 0.62% (6.8 mmol/mol)
reduction in HbA1c; however, there was a significant difference by race-ethnicity
(P < .001). Among African American individuals, metformin use was associated with
a 0.90% (9.8 mmol/mol) reduction in HbA1c levels, whereas among European
Americans, metformin was associated with a 0.42% (4.6 mmol/mol) reduction.
Irrespective of baseline HbA1c, metformin use was associated with lower HbA1c
levels in African American individuals. CONCLUSIONS: African American individuals
appear to have a better glycemic response to metformin when compared with
European Americans. Further studies are needed to determine whether this
translates to commensurate reductions in diabetes complications.
PMID- 24921655
TI - Fish product mislabelling: failings of traceability in the production chain and
implications for illegal, unreported and unregulated (IUU) fishing.
AB - Increasing consumer demand for seafood, combined with concern over the health of
our oceans, has led to many initiatives aimed at tackling destructive fishing
practices and promoting the sustainability of fisheries. An important global
threat to sustainable fisheries is Illegal, Unreported and Unregulated (IUU)
fishing, and there is now an increased emphasis on the use of trade measures to
prevent IUU-sourced fish and fish products from entering the international
market. Initiatives encompass new legislation in the European Union requiring the
inclusion of species names on catch labels throughout the distribution chain.
Such certification measures do not, however, guarantee accuracy of species
designation. Using two DNA-based methods to compare species descriptions with
molecular ID, we examined 386 samples of white fish, or products labelled as
primarily containing white fish, from major UK supermarket chains. Species
specific real-time PCR probes were used for cod (Gadus morhua) and haddock
(Melanogrammus aeglefinus) to provide a highly sensitive and species-specific
test for the major species of white fish sold in the UK. Additionally, fish
specific primers were used to sequence the forensically validated barcoding gene,
mitochondrial cytochrome oxidase I (COI). Overall levels of congruence between
product label and genetic species identification were high, with 94.34% of
samples correctly labelled, though a significant proportion in terms of potential
volume, were mislabelled. Substitution was usually for a cheaper alternative and,
in one case, extended to a tropical species. To our knowledge, this is the first
published study encompassing a large-scale assessment of UK retailers, and if
representative, indicates a potentially significant incidence of incorrect
product designation.
PMID- 24921656
TI - Identification of miRNAs that specifically target tumor suppressive KLF6-FL
rather than oncogenic KLF6-SV1 isoform.
AB - The Kruppel like factor 6 (KLF6) gene encodes multiple protein isoforms derived
from alternative mRNA splicing, most of which are intimately involved in
hepatocarcinogenesis and tumor progression. Recent bioinformatics analysis shows
that alternative mRNA splicing of the KLF6 gene produces around 16 alternatively
spliced variants with divergent or even opposing functions. Intriguingly, the
full-length KLF6 (KLF6-FL) is a tumor suppressor gene frequently inactivated in
liver cancer, whereas KLF6 splice variant 1 (KLF6-SV1) is an oncogenic isoform
with antagonistic function against KLF6-FL. Compelling evidence indicates that
miRNA, the small endogenous non-coding RNA (ncRNA), acts as a vital player in
modulating a variety of cellular biological processes through targeting different
mRNA regions of protein-coding genes. To identify the potential miRNAs
specifically targeting KLF6-FL, we utilized bioinformatics analysis in
combination with the luciferase reporter assays and screened out two miRNAs,
namely miR-210 and miR-1301, specifically targeted the tumor suppressive KLF6-FL
rather than the oncogenic KLF6-SV1. Our in vitro experiments demonstrated that
stable expression of KLF6-FL inhibited cell proliferation, migration and
angiogenesis while overexpression of miR-1301 promoted cell migration and
angiogenesis. Further experiments demonstrated that miR-1301 was highly expressed
in liver cancer cell lines as well as clinical specimens and we also identified
the potential methylation and histone acetylation for miR-1301 gene. To sum up,
our findings unveiled a novel molecular mechanism that specific miRNAs promoted
tumorigenesis by targeting the tumor suppressive isoform KLF6-FL rather than its
oncogenic isoform KLF6-SV1.
PMID- 24921657
TI - An increase in reactive oxygen species by deregulation of ARNT enhances
chemotherapeutic drug-induced cancer cell death.
AB - BACKGROUND: Unique characteristics of tumor microenvironments can be used as
targets of cancer therapy. The aryl hydrocarbon receptor nuclear translocator
(ARNT) is an important mediator of tumor progression. However, the functional
role of ARNT in chemotherapeutic drug-treated cancer remains unclear.
METHODOLOGY/PRINCIPAL FINDINGS: Here, we found that knockdown of ARNT in cancer
cells reduced the proliferation rate and the transformation ability of those
cells. Moreover, cisplatin-induced cell apoptosis was enhanced in ARNT-deficient
cells. Expression of ARNT also decreased in the presence of cisplatin through
proteasomal degradation pathway. However, ARNT level was maintained in cisplatin
treated drug-resistant cells, which prevented cell from apoptosis. Interestingly,
reactive oxygen species (ROS) dramatically increased when ARNT was knocked down
in cancer cells, enhancing cisplatin-induced apoptosis. ROS promoted cell death
was inhibited in cells treated with the ROS scavenger, N-acetyl-cysteine (NAC).
CONCLUSIONS/SIGNIFICANCE: These results suggested that the anticancer activity of
cisplatin is attributable to its induction of the production of ROS by ARNT
degradation. Targeting ARNT could be a potential strategy to eliminate drug
resistance in cancer cells.
PMID- 24921658
TI - Comparative response of platelet fV and plasma fV to activated protein C and
relevance to a model of acute traumatic coagulopathy.
AB - BACKGROUND: Acute traumatic coagulopathy (ATC) has been linked to an increase in
activated protein C (aPC) from 40 pM in healthy individuals to 175 pM. aPC exerts
its activity primarily through cleavage of active coagulation factor Va (fVa).
Platelets reportedly possess fVa which is more resistant to aPC cleavage than
plasma fVa; this work examines the hypothesis that normal platelets are
sufficient to maintain coagulation in the presence of elevated aPC. METHODS:
Coagulation responses of normal plasma, fV deficient plasma (fVdp), and isolated
normal platelets in fVdp were conducted: prothrombin (PT) tests, turbidimetry,
and thromboelastography (TEG), including the dose response of aPC on the samples.
RESULTS: PT and turbidimetric assays demonstrate that normal plasma is resistant
to aPC at doses much higher than those found in ATC. Additionally, an average
physiological number of washed normal platelets (200,000 platelets/mm3) was
sufficient to eliminate the anti-coagulant effects of aPC up to 10 nM, nearly two
orders of magnitude above the ATC concentration and even the steady-state
pharmacological concentration of human recombinant aPC, as measured by TEG. aPC
also demonstrated no significant effect on clot lysis in normal plasma samples
with or without platelets. CONCLUSIONS: Although platelet fVa shows slightly
superior resistance to aPC's effects compared to plasma fVa in static models,
neither fVa is sufficiently cleaved in simulations of ATC or pharmacologically
delivered aPC to diminish coagulation parameters. aPC is likely a correlative
indicator of ATC or may play a cooperative role with other activity altering
products generated in ATC.
PMID- 24921659
TI - Simplagrin, a platelet aggregation inhibitor from Simulium nigrimanum salivary
glands specifically binds to the Von Willebrand factor receptor in collagen and
inhibits carotid thrombus formation in vivo.
AB - BACKGROUND: Among the several challenges faced by bloodsucking arthropods, the
vertebrate hemostatic response against blood loss represents an important barrier
to efficient blood feeding. Here we report the first inhibitor of collagen
induced platelet aggregation derived from the salivary glands of a black fly
(Simulium nigrimanum), named Simplagrin. METHODS AND FINDINGS: Simplagrin was
expressed in mammalian cells and purified by affinity-and size-exclusion
chromatography. Light-scattering studies showed that Simplagrin has an elongated
monomeric form with a hydrodynamic radius of 5.6 nm. Simplagrin binds to collagen
(type I-VI) with high affinity (2-15 nM), and this interaction does not involve
any significant conformational change as determined by circular dichroism
spectroscopy. Simplagrin-collagen interaction is both entropically and
enthalpically driven with a large negative DeltaG, indicating that this
interaction is favorable and occurs spontaneously. Simplagrin specifically
inhibits von Willebrand factor interaction with collagen type III and completely
blocks platelet adhesion to collagen under flow conditions at high shear rates;
however, Simplagrin failed to block glycoprotein VI and Ialpha2beta1 interaction
to collagen. Simplagrin binds to RGQOGVMGF peptide with an affinity (K(D) 11 nM)
similar to that of Simplagrin for collagen. Furthermore, Simplagrin prevents
laser-induced carotid thrombus formation in vivo without significant bleeding in
mice and could be useful as an antithrombotic agent in thrombosis related
disease. CONCLUSION: Our results support the orthology of the Aegyptin clade in
bloodsucking Nematocera and the hypothesis of a faster evolutionary rate of
salivary function of proteins from blood feeding arthropods.
PMID- 24921660
TI - Modulation of chromatin remodelling induced by the freshwater cyanotoxin
cylindrospermopsin in human intestinal caco-2 cells.
AB - Cylindrospermopsin (CYN) is a cyanotoxin that has been recognised as an emerging
potential public health risk. Although CYN toxicity has been demonstrated, the
mechanisms involved have not been fully characterised. To identify some key
pathways related to this toxicity, we studied the transcriptomic profile of human
intestinal Caco-2 cells exposed to a sub-toxic concentration of CYN (1.6 uM for
24hrs) using a non-targeted approach. CYN was shown to modulate different
biological functions which were related to growth arrest (with down-regulation of
cdkn1a and uhrf1 genes), and DNA recombination and repair (with up-regulation of
aptx and pms2 genes). Our main results reported an increased expression of some
histone-modifying enzymes (histone acetyl and methyltransferases MYST1, KAT5 and
EHMT2) involved in chromatin remodelling, which is essential for initiating
transcription. We also detected greater levels of acetylated histone H2A (Lys5)
and dimethylated histone H3 (Lys4), two products of these enzymes. In conclusion,
CYN overexpressed proteins involved in DNA damage repair and transcription,
including modifications of nucleosomal histones. Our results highlighted some new
cell processes induced by CYN.
PMID- 24921662
TI - Aggregation effects on the optical emission of 1,1,2,3,4,5-hexaphenylsilole
(HPS): a QM/MM study.
AB - We investigate the photophysical property for 1,1,2,3,4,5-hexaphenylsilole (HPS)
through combined quantum mechanical and molecular mechanical (QM/MM) simulations.
Under the displaced harmonic oscillator approximation with consideration of the
Duschinsky rotation effect (DRE), the radiative and nonradiative rates of the
excited-state decay processes for HPS are calculated by using the analytical
vibration correlation function approach coupled with first-principles
calculations. The intermolecular packing effect is incorporated through
electrostatic interaction modeled by a force field. We find that from the gas
phase to the solid state (i) the side phenyl ring at the 5-position becomes
coplanar with the central silacycle, which increases the degree of conjugation,
thus accelerating the radiative decay process, and (ii) the rotation of the side
phenyl ring at the 2-position is restricted, which blocks the excited-state
nonradiative decay channels. Such a synergetic effect largely enhances the solid
state luminescence quantum efficiency through reducing the nonradiative decay
rate by about 4 orders of magnitude, leading to the radiative decay overwhelming
the nonradiatvie decay. In addition, the calculated solid-phase absorption and
emission optical spectra of HPS are found to be in agreement with the experiment.
PMID- 24921661
TI - Inhibitor of differentiation 4 (ID4) inactivation promotes de novo
steroidogenesis and castration-resistant prostate cancer.
AB - Prostate cancer (PCa) is the most commonly diagnosed cancer in men in the Western
world. The transition of androgen-dependent PCa to castration-resistant (CRPC) is
a major clinical manifestation during disease progression and presents a
therapeutic challenge. Our studies have shown that genetic ablation of inhibitor
of differentiation 4 (Id4), a dominant-negative helix loop helix protein, in mice
results in prostatic intraepithelial neoplasia lesions and decreased Nkx3.1
expression without the loss of androgen receptor (Ar) expression. ID4 is also
epigenetically silenced in the majority of PCa. However, the clinical relevance
and molecular pathways altered by ID4 inactivation in PCa are not known. This
study investigates the effect of loss of ID4 in PCa cell lines on tumorigenicity
and addresses the underlying mechanism. Stable silencing of ID4 in LNCaP cells (L
ID4) resulted in increased proliferation, migration, invasion, and anchorage
independent growth. An increase in the rate of tumor growth, weight, and volume
was observed in L-ID4 xenografts compared with that in the LNCaP cells
transfected with nonspecific short hairpin RNA (L+ns) in noncastrated mice.
Interestingly, tumors were also observed in castrated mice, suggesting that loss
of ID4 promotes CRPC. RNA sequence analysis revealed a gene signature mimicking
that of constitutively active AR in L-ID4, which was consistent with gain of de
novo steroidogenesis. Prostate-specific antigen expression as a result of
persistent AR activation was observed in L-ID4 cells but not in L+ns cells. The
results demonstrate that ID4 acts as a tumor suppressor in PCa, and its loss,
frequently observed in PCa, promotes CRPC through constitutive AR activation.
PMID- 24921663
TI - Anacardic acid enhances the proliferation of human ovarian cancer cells.
AB - BACKGROUND: Anacardic acid (AA) is a mixture of 2-hydroxy-6-alkylbenzoic acid
homologs. Certain antitumor activities of AA have been reported in a variety of
cancers. However, the function of AA in ovarian cancer, to date, has remained
unknown. METHODS: Ovarian cancer cell lines were exposed to AA, after which cell
proliferation, apoptosis, invasion and migration assays were performed.
Phalloidin staining was used to observe lamellipodia formation. Reverse
transcription polymerase chain reaction (RT-PCR) and western blotting were used
to assess the mRNA and protein expression levels of Phosphatidylinositol 3-kinase
(PI3K), vascular endothelial growth factor (VEGF) and caspase 3. RESULTS: Our
results showed that AA promotes ovarian cancer cell proliferation, inhibits late
apoptosis, and induces cell migration and invasion, as well as lamellipodia
formation. AA exposure significantly up-regulated PI3K and VEGF mRNA and protein
expression, while, in contrast, it down-regulated caspase 3 mRNA and protein
expression in comparison to untreated control cells. CONCLUSION: Taken together,
our results demonstrate for the first time that AA may potentiate the
proliferation, invasion, metastasis and lamellipodia formation in ovarian cancer
cell lines via PI3K, VEGF and caspase 3 pathways.
PMID- 24921665
TI - Transition-metal-assisted radical/radical cross-coupling: a new strategy to the
oxidative C(sp3)-H/N-H cross-coupling.
AB - A transition-metal-assisted oxidative C(sp(3))-H/N-H cross-coupling reaction of N
alkoxyamides with aliphatic hydrocarbons is described. During the reaction,
nitrogen radicals were generated from the oxidation of N-alkoxyamides.
Experiments and DFT calculations revealed that transition-metal catalyst could
lower the reactivity of the generated nitrogen radical by the coordination of the
transition metal, which allowed the selective radical/radical cross-coupling with
the transient sp(3) carbon radical to construct C(sp(3))--N bonds. Various
C(sp(3))-H bonds could be transformed into C(sp(3))-N bonds through this radical
amidation strategy.
PMID- 24921664
TI - Aggregation behavior of chemically synthesized, full-length huntingtin exon1.
AB - Repeat length disease thresholds vary among the 10 expanded polyglutamine (polyQ)
repeat diseases, from about 20 to about 50 glutamine residues. The unique amino
acid sequences flanking the polyQ segment are thought to contribute to these
repeat length thresholds. The specific portions of the flanking sequences that
modulate polyQ properties are not always clear, however. This ambiguity may be
important in Huntington's disease (HD), for example, where in vitro studies of
aggregation mechanisms have led to distinctly different mechanistic models. Most
in vitro studies of the aggregation of the huntingtin (HTT) exon1 fragment
implicated in the HD mechanism have been conducted on inexact molecules that are
imprecise either on the N-terminus (recombinantly produced peptides) or on the C
terminus (chemically synthesized peptides). In this paper, we investigate the
aggregation properties of chemically synthesized HTT exon1 peptides that are full
length and complete, containing both normal and expanded polyQ repeat lengths,
and compare the results directly to previously investigated molecules containing
truncated C-termini. The results on the full-length peptides are consistent with
a two-step aggregation mechanism originally developed based on studies of the C
terminally truncated analogues. Thus, we observe relatively rapid formation of
spherical oligomers containing from 100 to 600 HTT exon1 molecules and
intermediate formation of short protofibril-like structures containing from 500
to 2600 molecules. In contrast to this relatively rapid assembly, mature HTT
exon1 amyloid requires about one month to dissociate in vitro, which is similar
to the time required for neuronal HTT exon1 aggregates to disappear in vivo after
HTT production is discontinued.
PMID- 24921667
TI - Studies of terbium bridge: saturation phenomenon, significance of sensitizer and
mechanisms of energy transfer, and luminescence quenching.
AB - Terbium chain in the form of S -> (Tb(3+))n -> A (S = Ce(3+) or Eu(2+), A =
Eu(3+)), as a promising energy transfer (ET) approach, has been proposed to
enhance Eu(3+) emission for solid-state lighting. However, the viewpoint of ET
from S to A via the terbium chain (Tb(3+)-Tb(3+)-Tb(3+)-...) is very doubtful.
Here, hosts of Ba3Ln(PO4)3, LnPO4, LnBO3, and Na2Ln2B2O7 doped with Ce(3+) ->
(Tb(3+))n -> Eu(3+) or (Tb(3+))n -> Eu(3+) are synthesized to prove the
universality of S -> (Tb(3+))n -> A in inorganic hosts and to study the unsolved
issues. Saturation distance of Tb(3+)-Eu(3+), estimated with the empirical data
of different hosts, is proposed to be a criterion for determining whether a
spectral chromaticity coordinate keeps constant. A branch model is put forward to
replace the chain model to explain the role of (Tb(3+))n in ET from Ce(3+) to
Eu(3+) and the necessity of high content of Tb(3+); the term "terbium bridge" is
used to replace "terbium chain", and the value of n is determined to be two or
three. The intensity quenching of Eu(3+) emission is attributed to the surface
defects ascribed to the smaller particles and larger specific surface area rather
than the concentration quenching of Tb(3+). Based on the saturation distance and
the mechanism of luminescence quenching, the necessary concentration of Tb(3+)
for (Tb(3+))n can be estimated as long as the cell parameters are already known
and the luminescent efficiency of Eu(3+) can be further improved by optimizing
the synthesis method to decrease the quantity of surface defects.
PMID- 24921666
TI - Inferring gene family histories in yeast identifies lineage specific expansions.
AB - The complement of genes found in the genome is a balance between gene gain and
gene loss. Knowledge of the specific genes that are gained and lost over
evolutionary time allows an understanding of the evolution of biological
functions. Here we use new evolutionary models to infer gene family histories
across complete yeast genomes; these models allow us to estimate the relative
genome-wide rates of gene birth, death, innovation and extinction (loss of an
entire family) for the first time. We show that the rates of gene family
evolution vary both between gene families and between species. We are also able
to identify those families that have experienced rapid lineage specific
expansion/contraction and show that these families are enriched for specific
functions. Moreover, we find that families with specific functions are repeatedly
expanded in multiple species, suggesting the presence of common adaptations and
that these family expansions/contractions are not random. Additionally, we
identify potential specialisations, unique to specific species, in the functions
of lineage specific expanded families. These results suggest that an important
mechanism in the evolution of genome content is the presence of lineage-specific
gene family changes.
PMID- 24921668
TI - Controlling adhesion properties of SWCNT-PET films prepared by wet deposition.
AB - Due to their unique properties, carbon nanotubes (CNTs) have been used as thin
electrodes in plastic optoelectronic devices. In many applications, it is
required that CNT electrodes be transparent, conductive and flexible, and most
importantly, mechanically stable with good adhesion to the polymeric substrate.
In this paper, we report on achieving SWCNT transparent and conductive films with
excellent adhesion to polyethylene terephthalate, without any binder, by a simple
and rapid post-treatment process. It was found that the best adhesion was
achieved upon treating the films with acetic acid and formic acid, and with
solutions containing 1-70% HNO3. Morphological evaluations indicate the unique
adhesion due to the SWCNT becoming partly embedded within the polymeric substrate
during the post-treatment process, thus yielding flexible conductive films with
high transparency.
PMID- 24921669
TI - Amplification of hofmeister effect by alcohols.
AB - We have demonstrated that Hofmeister effect can be amplified by adding alcohols
to aqueous solutions. The lower critical solution temperature behavior of poly(N
isopropylacrylamide) has been employed as the model system to study the
amplification of Hofmeister effect. The alcohols can more effectively amplify the
Hofmeister effect following the series methanol < ethanol < 1-propanol < 2
propanol for the monohydric alcohols and following the series d-sorbitol ~
xylitol ~ meso-erythritol < glycerol < ethylene glycol < methanol for the
polyhydric alcohols. Our study reveals that the relative extent of amplification
of Hofmeister effect is determined by the stability of the water/alcohol complex,
which is strongly dependent on the chemical structure of alcohols. The more
stable solvent complex formed via stronger hydrogen bonds can more effectively
differentiate the anions through the anion-solvent complex interactions,
resulting in a stronger amplification of Hofmeister effect. This study provides
an alternative method to tune the relative strength of Hofmeister effect besides
salt concentration.
PMID- 24921670
TI - Back to basics: searching for a comprehensive framework for exploring individual
differences in zebrafish (Danio rerio) behavior.
AB - Individual differences (IDs) in behavior among nonhuman animals have been
documented in a wide range of taxa. Although traditionally considered noise
around an average, other potentially adaptive sources of phenotypic variation
exist. IDs in behavior that are consistent across time and context are more
recently recognized as expressions of underlying personality traits, which may
even be heritable. Unfortunately, despite the rapid advances that have been made
in animal personality research utilizing fish the last decade, a few have
detailed the groundwork necessary to document consistency in behavior across time
and context. This foundation is required, by definition, before one can draw
conclusions about personality traits. Here, we examine whether IDs in behavior
are consistent over time and across contexts and explore the construct validity
of six commonly used behavioral assays for examining four personality traits:
aggression, boldness, fear, and exploration. Thirty zebrafish (Danio rerio) were
exposed twice each to a small open field, large open field, mirror, emergence,
novel object, and predator response test. Results revealed consistency in most
behavioral measures across both time and context. There was mixed evidence for
the construct validity of these assays in capturing the targeted personality
traits.
PMID- 24921671
TI - A multilocular cystic lesion of the uterine endocervix detected in pregnancy.
PMID- 24921672
TI - tLyP-1-conjugated Au-nanorod@SiO(2) core-shell nanoparticles for tumor-targeted
drug delivery and photothermal therapy.
AB - Mesoporous silica-coated Au nanorod (AuNR@SiO2) is one of the most important
appealing nanomaterials for cancer therapy. The multifunctions of chemotherapy,
photothermal therapy, and imaging of AuNR@SiO2 make it very useful for cancer
therapy. In this study, AuNR@SiO2 was functionalized to deliver hydrophobic
antitumor drug and to heat the targeted tumor with the energy of near-infrared
(NIR). To carry out the function of targeting the tumor, tLyP-1, a kind of tumor
homing and penetrating peptide, was engrafted to AuNR@SiO2. The fabricated
AuNR@SiO2-tLyP-1 which was loaded with camptothecin (CPT) showed a robust,
selective targeting and penetrating efficiency to Hela and MCF-7 cells and
induced the death of these cells. When the micromasses of these AuNR@SiO2-tLyP-1
internalized cells were irradiated by NIR illumination, all the cells were killed
instantaneously owing to the increased temperature caused by the surface plasma
resonance (SPR) of the internalized AuNR@SiO2-tLyP-1. Moreover, the systematic
toxicity of CPT-loaded AuNR@SiO2-tLyP-1 on human mesenchymal stem cells (hMSCs)
was minimized, because the AuNR@SiO2-tLyP-1 selectively targeted and penetrated
into the tumor cells, and little hydrophobic CPT was released into the culture
medium or blood. This study indicates that the AuNR@SiO2-tLyP-1 drug delivery
system (DDS) has great potential application for the chemo-photothermal cancer
therapy.
PMID- 24921673
TI - Spermidine cross-linked hydrogels as a controlled release biomimetic approach for
cloxacillin.
AB - The intrinsic ability of albumin to bind active substances in the physiological
fluids has been explored to endow hydrogels with improved capability to regulate
drug release. To develop such biomimetic-functional hydrogels, it is critical
that albumin conformation is not altered and that the protein remains retained
inside the hydrogel keeping its conformational freedom, i.e., it should be not
chemically cross-linked. Thus, the hydrogels were prepared with various
proportions of albumin by physical cross-linking of anionic polysaccharides
(gellan gum and chondroitin sulfate) with the cationic endogen polyamine
spermidine under mild conditions in order to prevent albumin denaturation.
Texture and swelling properties of hydrogels with various compositions were
recorded, and the effect of the preparation variables was evaluated applying
neurofuzzy logic tools for hydrogels prepared with and without albumin and
associating the antibiotic cloxacillin. Developed hydrogel systems were
extensively analyzed by means of nuclear magnetic resonance (NMR) to determine
weak-to-medium and strong binding modes and the equilibrium constants of the
albumin-cloxacillin association. NMR techniques were also employed to demonstrate
the successful modulation of the cloxacillin release from the albumin-containing
hydrogels. In vitro microbiological tests carried out with Staphylococcus aureus
and Staphylococcus epidermidis confirmed the interest of the albumin-containing
hydrogels as efficient platforms for cloxacillin release in its bioactive form.
PMID- 24921674
TI - Dexmedetomidine as adjuvant therapy for acute postoperative neuropathic pain
crisis.
AB - BACKGROUND: Dexmedetomidine is a potent alpha2-adrenergic agonist U.S. Food and
Drug Administration (FDA) approved for sedation. While its use as an analgesic
has been described in the palliative medicine literature, its use for managing an
acute neuropathic pain episode is less well known. METHODS: Here we describe the
use of adjuvant dexmedetomidine in a patient with metastatic sarcoma suffering
from an acute postoperative neuropathic pain crisis. CONCLUSION: Among patients
with acute neuropathic pain for whom additional opioids raises respiratory
related concerns, the use of dexmedetomidine should be considered as a viable
treatment alternative.
PMID- 24921675
TI - SNRIs-All for one and one for all?
PMID- 24921676
TI - Serotonin noradrenaline reuptake inhibitors: Logical evolution of antidepressant
development.
AB - Although considerable progress has been made in improving the tolerability of
antidepressant drugs, the classical tricyclic antidepressants (TCA) are still a
standard for efficacy. The selective serotonin reuptake inhibitors (SSRI) are
much better tolerated than the TCAs, but their antidepressant efficacy is, at
best, equivalent and probably inferior to the TCA, clomipramine, in many
situations. The introduction of the SSRIs naturally focussed both fundamental and
clinical research effort on the role of serotonin (5-HT) in the pharmacogenesis
and pharmacotherapy of depression. More recently the probable role of
noradrenaline (NA) has been "rediscovered" and increasingly both 5-HT and NA
dysfunctions are seen as fundamental to depressive illness. The therapeutic
importance of this has been underlined by studies showing the increased
antidepressant efficacy obtained when selective serotonergic drugs have been used
in conjunction with selective noradrenergic drugs. The development of the new
class of serotonin and noradrenaline reuptake inhibitors (SNRI) was a logical
extension of these ideas. Compounds of this class, which currently comprises
venlafaxine, milnacipran and duloxetine, act to inhibit the reuptake of both
monoamines with no direct actions at postsynaptic receptors. Although, by
definition all three SNRIs have actions on both 5-HT and NA neurotransmission,
they do not all have equal potency for both transmitters. Venlafaxine has a 30
fold higher affinity for 5-HT than NA while duloxetine has a 10-fold selectivity
for 5-HT. Only milnacipran is balanced between the two neurotransmitters with an
approximately equal potency for the inhibition of reuptake of 5-HT and NA both in
vitro and in vivo. At high doses venlafaxine and duloxetine appear to be superior
to SSRIs but not at lower doses. Duloxetine is, however, not licensed in the EU
at these higher doses. Milnacipran at usual doses appears more effective than
SSRIs with efficacy which is similar to TCAs but with a lower side effect burden.
PMID- 24921677
TI - Serotonin noradrenaline reuptake inhibitors: A new generation of treatment for
anxiety disorders.
AB - A variety of agents are currently used to treat the different anxiety disorders.
Benzodiazepines, such as diazepam, are still preferred by some for the treatment
of acute anxiety, with the advantage of a rapid onset of action, but they are
less suitable for long-term treatment due to their potential for memory
disturbances, sleepiness, lethargy, physical dependence and withdrawal. Compounds
acting on monoamine neurotransmission are more suitable in the treatment of long
term or chronic anxiety disorders. Tricyclic antidepressants, such as imipramine,
and monoamine oxidase inhibitors have been shown to be effective anxiolytics, but
their side effects and safety concerns have limited their use. The probable role
of disturbed serotonergic neurotransmission in anxiety is widely accepted and is
the theoretical basis for the use of serotonergic agents such as the 5-HT1A
receptor partial agonist, buspirone, and the selective serotonin reuptake
inhibitors (SSRI), such as sertraline and paroxetine, which have largely replaced
the earlier antidepressants. There is clear evidence for decreased serotonergic
function in anxiety as well as in depression. Studies of patients with anxiety
disorders show reduced levels of serotonin in cerebrospinal fluid (CSF) as well
as reduced serotonin transporter binding. The role of noradrenaline in the
control of anxiety is less well understood, although there is considerable
evidence to suggest that a disturbance of noradrenergic neurotransmission may
also contribute to the symptoms of anxiety. Noradrenaline modulates the activity
of brain regions such as the amygdala which are associated with anxiety. In
addition, anxiety states are associated with increases in the metabolite of
noradrenaline, 3-methoxy-4-hydrophenylglycol (MHPG), and hypersecretion of
noradrenaline in plasma and CSF. It appears likely that modulation of both
serotonin and noradrenaline systems by dual-reuptake inhibitors may prove to be
an advantage in the treatment of anxiety disorders. The serotonin-noradrenaline
reuptake inhibitors (SNRI), venlafaxine, milnacipran and duloxetine are
efficacious in relieving anxiety symptoms within depression, and some have proven
efficacy in certain anxiety disorders. Initial studies suggest that dual acting
agents may have an advantage over selective reuptake inhibitors in certain
anxiety disorders, such as post-traumatic stress disorder (PTSD), and in patients
with comorbid anxiety and depression.
PMID- 24921678
TI - Serotonin noradrenaline reuptake inhibitors: New hope for the treatment of
chronic pain.
AB - Depression and painful symptoms occur frequently together. Over 75% of depressed
patients report painful symptoms such as headache, stomach pain, neck and back
pain as well as non-specific generalized pain. In addition, World Health
Organization data have shown that primary care patients with chronic pain have a
four fold greater risk of becoming depressed than pain-free patients.
Increasingly, pain is considered as an integral symptom of depression and there
evidence to suggest that pain and depression may arise from a common
neurobiological dysfunction. Serotonergic cell bodies, in the raphe nucleus, and
noradrenergic cell bodies in the locus coeruleus send projections to various
parts of the brain, where they are involved in the control of mood, movement,
cognitive functioning and emotions. In addition both serotonergic and
noradrenergic neurons project to the spinal cord. These descending pathways serve
to inhibit input from the intestines, skeletal muscles and other sensory inputs.
Usually, these inhibitory effects are modest, but in times of stress, in the
interest of the survival of the individual, they can completely inhibit the input
from painful stimuli. A dysfunction of the serotonergic and noradrenergic neurons
can thus affect both the ascending and descending pathways resulting in the
psychological symptoms of depression and somatic pain symptoms such as chronic
pain, fibromyalgia, non-cardiac chest pain, or irritable bowel syndrome. In view
of this, it is not surprising that tricyclic antidepressants have been a standard
treatment of chronic pain for many years. In contrast and in spite of their
improved tolerance, selective serotonin reuptake inhibitors do not appear to be
particularly effective in the treatment of pain. Recently, a number of open and
controlled trials with selective serotonin and noradrenaline reuptake inhibitors
such as venlafaxine, milnacipran and duloxetine, suggest that these compounds may
be more effective in relieving pain than selective inhibitors of serotonin
reuptake. Wherever valid comparisons have been made the newer dual action drugs
appear to be as effective as the tricyclic and considerably better tolerated.
Dual action antidepressants may thus soon become the new standard treatment of
chronic pain whether it is associated with depression or not. In addition, these
agents may also have a role in modulating neurogenesis and other neuroplastic
changes in the central nervous system, thereby leading to more complete recovery
in patients suffering from the symptoms of depression or chronic pain.
PMID- 24921679
TI - Dual serotonin and noradrenaline reuptake inhibitors: Focus on their differences.
AB - There are three non-tricyclic dual serotonin (5-HT) and noradrenaline (NA)
reuptake inhibitors (SNRIs) currently used in human therapeutics for psychiatric
disorders. These medications differ in their in vitro potency to inhibit 5-HT and
NA reuptake with differential ratios of activity. Using in vivo studies carried
out in laboratory animals, which better reflect human physiology than experiments
using lysed tissue in a test tube, venlafaxine is about three times more potent
on 5-HT than NA reuptake, duloxetine five times, and milnacipran is about twice
more potent on NA than 5-HT reuptake. Sustained administration of SNRIs induces
different adaptive effects on presynaptic 5-HT and NA receptors controlling the
function of 5-HT and NA neurons, suggesting that they may differentially affect
transmission of these two neuronal systems. In the treatment of depression, SNRIs
appear to have similar effectiveness and when compared to selective 5-HT reuptake
inhibitors, they generally exert a superior antidepressant effect. Taken
together, these observations suggest that individual patients not responding to a
SNRI may present a favourable response to another agent within that family. SNRIs
have different pharmacokinetic properties and exert distinct effects on the
activity of liver metabolic enzymes. These features of SNRIs can help clinicians
tailor treatment to individual patients.
PMID- 24921680
TI - A 5-(difluorenyl)-1,10-phenanthroline-based Ru(II) complex as a coating agent for
potential multifunctional gold nanoparticles.
AB - The synthesis and photophysical properties of small gold nanoparticles (NPs, AuNP
[Ru-PFF]) surface functionalized by 5-substituted-1,10-phenanthroline-ligand
based Ru(II) complexes are described. Luminescence of the grafted and confined
Ru(II) complexes is totally quenched on the gold surface. Nonlinear optical
properties were determined via Z-scan measurements in the range 600-1300 nm for
both the free Ru(II) complex and the related NPs. In the short wavelength range
(around 600 nm) the behaviour switches from that of two-photon absorption (2PA)
for the complex to saturable absorption for the NPs. 2PA applications such as
optical power limiting or two-photon dioxygen sensitization can be anticipated
for these nanoplatforms.
PMID- 24921681
TI - An ESIPT fluorescent probe sensitive to protein alpha-helix structures.
AB - A large majority of membrane proteins have one or more transmembrane regions
consisting of alpha-helices. Membrane protein levels differ from one type of cell
to another, and the expression of membrane proteins also changes from normal to
diseased cells. For example, prostate cancer cells have been reported to have
downregulated expression of membrane proteins, including zinc transporters,
compared with normal prostate cells. These reports inspired us to design a
fluorescence probe sensitive to protein alpha-helical structures to discriminate
individual prostate cancer cells from normal ones. A benzazole derivative ( in
this study) was observed to emit strong fluorescence resulting from an excited
state intramolecular proton transfer (ESIPT) in protein alpha-helical
environments. The intensity of ESIPT fluorescence of was observed to be
positively correlated with the alpha-helix content of proteins. The molecular
docking simulation suggested that it had low energy for the binding of to
proteins when the binding sites were localized within the alpha-helical regions
of protein via H-bonds. Furthermore, was found to be localized in cell membranes
through binding to transmembrane alpha-helical regions of membrane proteins, and
was capable of probing differences in the alpha-helix contents of membrane
proteins between normal and cancerous prostate cells through changes in the ESIPT
emission intensity. These results indicated that could distinguish individual
prostate cancer cells from normal ones, as the changes in the ESIPT fluorescence
intensity of could reflect the regulation in expression of the membrane proteins
including zinc transporters. This recognition strategy of individual prostate
cancer cells might contribute to early diagnosis techniques for prostate cancer.
PMID- 24921682
TI - A systematic review of medication administration errors with transdermal patches.
AB - INTRODUCTION: Transdermal patches provide an attractive route of drug delivery
with considerable advantages over other routes of administration, for example
maintenance of constant plasma drug levels and convenient usage. However,
medication administration errors abound with this dosage form and frequently
result in harm or treatment failure. AREAS COVERED: A systematic literature
search was conducted according to the Preferred Reporting Items for Systematic
Reviews and Meta-Analyses guidelines using appropriate keywords to identify
articles reporting faulty transdermal patch administration. Common pitfalls and
errors that were identified through the systematic literature search were
discussed alongside individual steps of the transdermal patch administration
process. EXPERT OPINION: The systematic investigation of published errors
illustrated that every step in the transdermal patch administration process is
prone to errors. Thereby, the lack of knowledge and awareness of the importance
of a correct administration practice were a major source of risk. Based on the
identified errors and causes of errors prevention strategies were developed as a
first step in avoiding transdermal patch administration errors.
PMID- 24921683
TI - Sex education in the 21st century.
PMID- 24921684
TI - ICPD beyond 2014: moving beyond missed opportunities and compromises in the
fulfilment of sexual and reproductive health and rights.
AB - The 1994 International Conference on Population and Development (ICPD) in Cairo
marked a paradigm shift that took family planning out of a population control
context and into the broader context of sexual and reproductive health and rights
(SRHR). While progress has been made with increased access to family planning and
a decrease in maternal deaths, we have not seen practical results for the
majority of women and girls worldwide, who still experience unacceptably high
rates of maternal deaths, unmet contraceptive needs and HIV infections. Three of
the compromises made by governments at Cairo - integration, reproductive rights
and resource allocation - hindered the fulfilment of women's and girls' SRHR. The
post-2015 agenda must ensure that economic development and global health
interventions are linked at the national and global levels; family planning, HIV,
maternal health and other reproductive health services are integrated and
delivered through primary health settings; and access to safe and voluntary
abortion services is recognised as a human right. Non-governmental organisations
and donors must move beyond siloed issue areas to challenge governments,
multilateral agencies, the financial sector and each other to ensure that the
promise of SRHR is realised.
PMID- 24921685
TI - Estimation of the intramolecular O-H...O?C hydrogen bond energy via the molecular
tailoring approach. Part I: aliphatic structures.
AB - A simple and universal method for the estimation of the intramolecular hydrogen
bond (HB) energy (E(HB)) in hydroxycarbonyl aliphatic compounds is proposed by
the application of the molecular tailoring approach (MTA) based on calculations
at the second-order Moller-Plesset MP2 level. The calculation of EHB can be
realized by the one optimization and three single point calculations of the
energy for each compound with carbonyl and hydroxyl groups involved in HB. The
intramolecular hydrogen bond energies estimated for 153 structures (of 102
compounds) ranged from 1.4 to 13.7 kcal/mol for systems without resonance
assisted hydrogen bonding (RAHB). To verify the method, we show the correlations
of the energy (E(HB)) in six-, seven-, and eight-membered HB rings in the
optimized multifunctional molecules with the usual geometry descriptors of
hydrogen bonds. Moreover, topological parameters from the atoms in molecules
(AIM) theory and the calculated infrared and proton NMR spectra are correlated.
The effects of conjugation and pi-electron delocalization, bifurcation, and
cooperativity are discussed, along with the correlation between the strength and
geometrical parameters of H bonding.
PMID- 24921686
TI - A light-gated molecular brake with antilock and fluorescence turn-on alarm
functions: application of singlet-state adiabatic cis -> trans
photoisomerization.
AB - A light-gated molecular brake that displays both high braking power (~10(7)) and
high switching power (~74%) is reported. The lower rate for brake-on than for
brake-off switching of the pentiptycene rotor mimics the function of an antilock
braking system (ABS) for vehicles on a loose surface. The brake is also armed
with a fluorescence turn-on alarm for accidental deactivation of the ABS function
by acids. All of these features are associated with the highly efficient singlet
state adiabatic cis -> trans photoisomerization of the phenylstilbene
chromophore.
PMID- 24921689
TI - Editorial.
PMID- 24921687
TI - Synthesis of luminescent nanoporous silica spheres functionalized with folic acid
for targeting to cancer cells.
AB - Luminescent europium(III)-doped nanoporous silica nanospheres (Eu:NPS) were
successfully synthesized, and a folate N-hydroxysuccinimidyl ester (FA-NHS)
molecule as a targeting ligand for cancer cells was immobilized on the nanosphere
surfaces through mediation of the 3-aminopropyltriethoxysilane (APTES) adlayer.
The ordered nanopores were preserved by the immobilization, and the specific
surface area decreased only with the APTES immobilization, suggesting that the FA
NHS was predominantly immobilized on the outer surface of the nanopores. The
photoluminescence of the nanospheres functionalized with folic acid (FA)
exhibited a characteristic peak due to the interactions (e.g., energy transfer)
between FA and Eu(3+), and further the orange luminescence could be clearly
detected by fluorescence microscopy in air and water. Furthermore, the
nanospheres highly dispersed in cell culture medium exhibited nontoxicity in the
cellular proliferation stages of the Hela cancer cells and NIH3T3 fibroblasts and
specifically bind to the Hela cells. The nanospheres after the binding and uptake
also showed intense luminescence from the outer/inner cell surfaces for the
culture time of 4 days. Therefore, the luminescent FA-functionalized Eu:NPS
nanospheres could be used for specific targeting and imaging abilities for cancer
cells.
PMID- 24921688
TI - The impact of breastfeeding on nasopharyngeal microbial communities in infants.
AB - RATIONALE: Breastfeeding elicits significant protection against respiratory tract
infections in infancy. Modulation of respiratory microbiota might be part of the
natural mechanisms of protection against respiratory diseases induced by
breastfeeding. OBJECTIVES: To study the association between breastfeeding and
nasopharyngeal microbial communities, including all cultivable and noncultivable
bacteria. METHODS: In this observational study, we analyzed the microbiota of
infants that had received exclusive breastfeeding (n = 101) and exclusive formula
feeding (n = 101) at age 6 weeks and 6 months by 16S-based GS-FLX-titanium
pyrosequencing. MEASUREMENTS AND MAIN RESULTS: At 6 weeks of age the overall
bacterial community composition was significantly different between breastfed and
formula-fed children (nonmetric multidimensional scaling, P = 0.001). Breastfed
children showed increased presence and abundance of the lactic acid bacterium
Dolosigranulum (relative effect size [RES], 2.61; P = 0.005) and Corynebacterium
(RES, 1.98; P = 0.039) and decreased abundance of Staphylococcus (RES, 0.48; P
0.03) and anaerobic bacteria, such as Prevotella (RES, 0.25; P < 0.001) and
Veillonella (RES, 0.33; P < 0.001). Predominance (>50% of the microbial profile)
of Corynebacterium and Dolosigranulum was observed in 45 (44.6%) breastfed
infants compared with 19 (18.8%) formula-fed infants (relative risk, 2.37; P =
0.006). Dolosigranulum abundance was inversely associated with consecutive
symptoms of wheezing and number of mild respiratory tract infections experienced.
At 6 months of age associations between breastfeeding and nasopharyngeal
microbiota composition had disappeared. CONCLUSIONS: Our data suggest a strong
association between breastfeeding and microbial community composition in the
upper respiratory tract of 6-week-old infants. Observed differences in microbial
community profile may contribute to the protective effect of breastfeeding on
respiratory infections and wheezing in early infancy. Clinical trial registered
with www.clinicaltrials.gov (NCT 00189020).
PMID- 24921690
TI - Caffeine: The forgotten variable.
AB - Although the majority of the population regularly consume caffeine, there are
wide variations between individuals in both daily intake and susceptibility to
caffeine's effects. These differences are at least partially genetically
determined, possibly via variations in adenosine receptors or caffeine
metabolism. Caffeine toxicity is well recognized. Tolerance of its effects and
withdrawal symptoms have also been described. Both DSM and ICD-10 recognize
caffeine as a potential drug of abuse. Caffeine can induce anxiety, exacerbate
psychotic symptoms in some patients with schizophrenia and cause insomnia. It can
complicate the management of depression by increasing lithium clearance and can
also increase seizure length during ECT. Caffeine can inhibit the metabolism of
some psychotropic drugs such as clozapine through the competitive inhibition of
CYP 1A2 . Potent inhibitors of CYP 1A2 such as fluvoxamine can precipitate
caffeine toxicity. Enquiries about caffeine consumption should be made in all
patients who have apparently treatment-refractory illness, or seem unusually
sensitive to, or tolerant of, psychotropic drugs.
PMID- 24921691
TI - Tryptophan depletion as a clinical tool: Current status and future directions.
AB - The neurotransmitter serotonin (5-hydroxytryptamine, 5-HT) plays important roles
in many basic functions: mood, feeding, anxiety, sleep, emesis, sexual function,
thermoregulation, and cardiovascular tone. Over the past 10 years, tryptophan
depletion (TD) studies of 5-HT function have emerged as an important clinical
tool for studying brain serotonergic systems, and their relevance to practising
psychiatrists has grown steadily. In this review, we discuss the evolution of TD
studies and their continuing utility in understanding the role of 5-HT in
psychiatric disorders and the development of more effective treatments.
PMID- 24921692
TI - The effect of treatment with risperidone, olanzapine or phenothiazines on
cognitive functions in patients with schizophrenia.
AB - INTRODUCTION: Theaimofthestudywastocomparetheeffectsofrisperidone, olanzapine and
phenothiazines on cognitive functions in schizophrenia during short-term (4 -
6 weeks) and long-term (3 - 4 months) treatment. METHOD: Seventy patients with
schizophrenia were investigated: 30 treated with risperidone, 20 with olanzapine
and 20 with phenothiazines, in standard doses. Psychometric measurements were
made with the Positive and Negative Syndrome Scale (PANSS), and
neuropsychological tests included the Trail Making Test (TMT), the Stroop Test
and the Wisconsin Card Sorting Test (WCST). RESULTS: PANSS negative symptoms
decreased significantly after risperidone and olanzapine, did not change after
short-term, and improved marginally after long-term, phenothiazine treatment.
Risperidone treatment resulted in significant amelioration of performance on all
neuropsychological tests after both short- and long-term treatment. Olanzapine
gave benefit on five out of seven subtests, although in most instances this
effect was noted only after long-term treatment. Olanzapine was inferior to
risperidone in improving WCST performance. Treatment with phenothiazines brought
about improvement on two subtests while the results on three showed significant
deterioration. CONCLUSION: The results obtained suggest that novel antipsychotics
show differential effect on cognition, with risperidone especially improving
working memory; however, their effect on negative symptoms and cognitive
functions is better than that of typical neuroleptics.
PMID- 24921693
TI - Atypical antipsychotics in the elderly.
AB - INTRODUCTION: Although their primary purpose is to treat psychosis,
antipsychotics are commonly prescribed for the elderly to treat the behavioural
disturbances and agitation associated with dementia. Such use is controversial.
Atypical antipsychotics cause fewer extrapyramidal sideeffects than the older
drugs in younger adults, but the evidence base for their efficacy and
tolerability in the elderly is poor. The aims of this study were to determine the
prevalence of atypical antipsychotic prescribing for the elderly, the indications
for use and documented side-effects. METHOD: The medication cards of all patients
from 19 Trusts, occupying a psychiatric bed for the over 65s, were screened
during one week in March 2000. Data were collected by pharmacists from the
clinical notes. RESULTS: Half of those prescribed an antipsychotic received an
atypical, and risperidone was the one most commonly prescribed. Half the sample
had a diagnosis of dementia. Documented side-effects from the atypical were
uncommon. CONCLUSION: Atypicals are frequently prescribed as first-line
antipsychotics for behavioural problems associated with dementia, despite the
poor evidence base for their efficacy and safety in this population.
Undermonitoring of side-effects may remain a problem.
PMID- 24921694
TI - A comparison of the service satisfaction and intervention needs of patients with
schizophrenia and their relatives.
AB - INTRODUCTION: Patients with psychotic illness are frequently dissatisfied with
psychiatric services although it is unclear whether this can be explained as
being due to the mental disorder itself, or to the lower quality of care received
by this group of patients. We explored this issue by comparing service
satisfaction from different user perspectives: patients and key relatives.
METHOD: The satisfaction and needs of 52 patients with schizophrenia and 66 of
their relatives were assessed using the Verona Service Satisfaction Scale (VSSS).
RESULTS: Patients were generally more satisfied with services than relatives, but
there was a high degree of consistency between the groups regarding the aspects
of the service which they were most, and least, satisfied with. CONCLUSION:
Patient satisfaction surveys can be used as reliable indicators of service
quality and can highlight specific strengths and shortcomings in mental health
service provision. Such surveys can be very useful to help improve the quality of
care for patients and their relatives.
PMID- 24921695
TI - Diagnostic approaches to borderline personality and their relationship to self
harm behavior.
AB - OBJECT: This study was designed to explore the relationship, if any, between
diagnostic approach to borderline personality disorder (BPD) and the extent of
self-harm behavior among psychiatric inpatients. METHOD: Newly admitted
psychiatric inpatients (N =77) were evaluated for BPD using a self-report
measure, clinical diagnosis, and a DSM-IV checklist. All participants were
assessed for self-harm behavior using the 22-item Self-Harm Inventory (SHI).
RESULTS: Participants with a clinical diagnosis of BPD showed the highest
prevalence of, and most potentially lethal, types of self-harm behavior, followed
by those diagnosed as BPD by the DSM-IV checklist, and then by self-report
measure. Participants diagnosed as BPD on all three measures showed the highest
mean number of self-harm and potentially lethal behavior types. Prevalence and
potential lethality decreased successively among those who were diagnosed as BPD
on two measures versus one measure versus no diagnosis of BPD. CONCLUSION: Self
harm behavior appears to be a clinically concordant behavior type among the
diagnostic approaches to BPD used in this study. Clinical diagnosis appears most
sensitive to self-harm behavior, compared with self-report and DSM-IV checklist.
PMID- 24921696
TI - Paroxetine in panic disorder with agoraphobia.
AB - A case of a panic disorder patient treated successfully in the long term with a
selective serotonin re-uptake inhibitor, paroxetine, is reported. Based on this
case, the relationship between pharmacotherapy and psychotherapy is discussed.
PMID- 24921697
TI - Quetiapine in acute mania: a case report (with a six-month follow-up).
AB - Quetiapine is an effective and well-tolerated antipsychotic. To date, experience
with quetiapine in bipolar disorders is very limited and information on the use
in acute patients is lacking. A patient with a DSMIV diagnosis of acute mania
intolerant to lithium and to neuroleptics was treated with quetiapine. The daily
dosage of quetiapine was gradually titrated up to 600 mg t.i.d. Quetiapine was
effective, as evidenced by rating scale scores of the BPRS, YMRS and CGI, which
dropped by 91% and 94% respectively from baseline (devoid of relevant side
effects). Quetiapine seems to be a promising agent for the treatment of manic
episodes in bipolar disorders, although controlled trials are needed.
PMID- 24921698
TI - Successful treatment of rhabdomyolysis and acute renal failure following
amoxapine overdose.
AB - Amoxapine, a tricyclic antidepressant, is widely used by psychiatrists. While
overdose of tricyclic antidepressants is known to be dangerous and potentially
fatal (causing hypotension, arrhythmia, convulsions, respiratory failure, and
other serious complications), overdose of amoxapine can cause other problems, and
metabolic acidosis, seizures, neuroleptic malignant syndrome, and arrhythmia have
been reported. This report describes the case of a patient who developed
rhabdomyolysis and acute renal failure following amoxapine overdose. Continuous
hemofiltration was instituted twice, and he recovered without sequelae; this
suggests the effectiveness of continuous hemofiltration and its possibilities in
the treatment of amoxapine overdose and acute renal failure due to
rhabdomyolysis.
PMID- 24921699
TI - Post-traumatic stress disorder in a patient with an implant cardioverter
defibrillator.
AB - The authors describe a patient using cardioverter-defibrillator implant therapy
(CDIT) after a blood pressure elevation and arrhythmia episode. The CDIT
delivered 9 defibrillating discharges in a 24 hour period until a new
stabilization was achieved. The patient developed a post-traumatic stress
disorder with no improvement with the use of 15 mg of diazepam b.i.d. He was
referred to a psychiatrist 4 months later, received Sertraline 100 mg b.i.d., and
supportive therapy and achieved a total remission in 6 weeks. This case report
illustrates the psychiatric comorbidity in the ¤ survivor' population, a
group of patients who survive due to advances in medical technology. The
psychiatric study of them is a challenge to the improvement of their quality of
life. Assessment and treatment of mental disorder may improve the quality of life
for this patient group.
PMID- 24921700
TI - A common dementia in a rare disease.
AB - The patient described was a 68-year-old man presenting with a severe, subacute
depressive state with rapid decline in memory, psychomotor retardation and
refusal to eat, who was referred by the medical team for ECT. His memory
impairment could not be explained within the context of his depressed mood and he
was eventually found to have a primary cerebral lymphoma of the non-Hodgkin's
type. He died 10 weeks after initial psychiatric assessment.
PMID- 24921701
TI - Topics in contemporary psychiatric practice: Weight Gain.
PMID- 24921703
TI - Heterodinuclear M(II)-Ln(III) single molecule magnets constructed from exchange
coupled single ion magnets.
AB - The synthesis and characterization of four dinuclear 3d-4f complexes
[M(II)Ln(III)(L)(DBM)3] (ZnDy = 1, CoY = 2, CoDy = 3.3.5CH3CN, CoGd = 4.3.5CH3CN)
are reported (H2L = N,N'-dimethyl-N,N'-(2-hydroxy-3-methoxy-5-methyl
benzyl)ethylenediamine, DBM(-) = anion of 1,3-diphenyl-propane-1,3-dione). In
each of the four complexes, the M(II) ion occupies the internal N2O2 site whereas
the Ln(III) ion occupies the external O4 site. There are diphenoxo bridges
between the M(II) and Ln(III) ions in these complexes. The remaining coordination
sites are occupied by three DBM(-) anions. Direct current (dc) magnetic
susceptibility measurements indicate the presence of intramolecular ferromagnetic
interactions in complexes 3 and 4. The magnetic coupling constant, JCoGd, of
complex 4 is estimated to be 0.26 cm(-1) (H = -2JCoGdSCoSGd). Alternating current
(ac) magnetic susceptibility studies reveal that complexes 1 and 2 show field
induced single molecule magnet behavior, with DeltaE values of 36.5 K and 8.56 K,
respectively. Complex 3 shows frequency dependent out-of-phase signals,
indicating the presence of a slow relaxation of the magnetization, whereas
complex 4 does not display slow magnetization relaxation.
PMID- 24921704
TI - Pertuzumab for the treatment of breast cancer.
AB - HER2-targeted therapies have revolutionized the outcome of patients with HER2
positive breast cancer. Pertuzumab is the first in a new class of monoclonal
antibodies that target the extracellular dimerization domain of HER2 receptors,
also known as HER dimerization inhibitors. The development of pertuzumab and
preclinical and clinical data in breast cancer are reviewed. Regulatory affairs
related to pertuzumab and the recent accelerated approval granted by the FDA for
the treatment of breast cancer in the neoadjuvant setting are also covered. This
process opens doors for further approvals which could considerably shorten the
time between initial drug development and availability.
PMID- 24921705
TI - Computational study on substrate specificity of a novel cysteine protease 1
precursor from Zea mays.
AB - Cysteine protease 1 precursor from Zea mays (zmCP1) is classified as a member of
the C1A family of peptidases (papain-like cysteine protease) in MEROPS (the
Peptidase Database). The 3D structure and substrate specificity of the zmCP1 is
still unknown. This study is the first one to build the 3D structure of zmCP1 by
computer-assisted homology modeling. In order to determine the substrate
specificity of zmCP1, docking study is used for rapid and convenient analysis of
large populations of ligand-enzyme complexes. Docking results show that zmCP1 has
preference for P1 position and P2 position for Arg and a large hydrophobic
residue (such as Phe). Gly147, Gly191, Cys189, and Asp190 are predicted to
function as active residues at the S1 subsite, and the S2 subsite contains
Leu283, Leu193, Ala259, Met194, and Ala286. SIFt results indicate that Gly144,
Arg268, Trp308, and Ser311 play important roles in substrate binding. Then
Molecular Mechanics-Poisson-Boltzmann Surface Area (MM-PBSA) method was used to
explain the substrate specificity for P1 position of zmCp1. This study provides
insights into the molecular basis of zmCP1 activity and substrate specificity.
PMID- 24921706
TI - Estrogen rapidly enhances incisional pain of ovariectomized rats primarily
through the G protein-coupled estrogen receptor.
AB - It has become increasingly apparent that the pain threshold of females and males
varies in an estrogen dependent manner. To investigate the modulation of pain by
estrogen and the molecular mechanisms involved in this process. A total of 48
rats were ovariectomized (OVX). At 14 and 20 days after OVX, rats were divided
into eight groups: groups 1-4 were administered drugs intravenously (IV); groups
5-8 were administered through intrathecal (IT) catheter. Hind paw incision was
made in all animals to determine incisional pain. Paw withdraw threshold (PWT)
was tested prior to and 24 h after incision. The test drugs were applied 24 h
after the incision. Rats were either IV or IT administered with: 17-beta
estradiol (E2), G protein-coupled estrogen receptor (GPER)-selective agonist
(G1), GPER-selective antagonist (G15) and E2 (G15+E2), or solvent. Before and 30
min after IV drug administration and 20 min during the IT catheter
administration, PWT was tested and recorded. 24 h after incisional surgery, the
PWT of all rats significantly decreased. Both in the IV group and IT group:
administration of E2 and G1 significantly decreased PWT. Neither administration
of G15+E2 nor solvent significantly changed PWT. Estrogen causes rapid reduction
in the mechanical pain threshold of OVX rats via GPER.
PMID- 24921707
TI - Mass spectrometry methodology in lipid analysis.
AB - Lipidomics is an emerging field, where the structures, functions and dynamic
changes of lipids in cells, tissues or body fluids are investigated. Due to the
vital roles of lipids in human physiological and pathological processes,
lipidomics is attracting more and more attentions. However, because of the
diversity and complexity of lipids, lipid analysis is still full of challenges.
The recent development of methods for lipid extraction and analysis and the
combination with bioinformatics technology greatly push forward the study of
lipidomics. Among them, mass spectrometry (MS) is the most important technology
for lipid analysis. In this review, the methodology based on MS for lipid
analysis was introduced. It is believed that along with the rapid development of
MS and its further applications to lipid analysis, more functional lipids will be
identified as biomarkers and therapeutic targets and for the study of the
mechanisms of disease.
PMID- 24921709
TI - On interlayer stability and high-cycle simulator performance of diamond-like
carbon layers for articulating joint replacements.
AB - Diamond like carbon (DLC) coatings have been proven to be an excellent choice for
wear reduction in many technical applications. However, for successful adaption
to the orthopaedic field, layer performance, stability and adhesion in
physiologically relevant setups are crucial and not consistently investigated. In
vitro wear testing as well as adequate corrosion tests of interfaces and
interlayers are of great importance to verify the long term stability of DLC
coated load bearing implants in the human body. DLC coatings were deposited on
articulating lumbar spinal disks made of CoCr28Mo6 biomedical implant alloy using
a plasma-activated chemical vapor deposition (PACVD) process. As an adhesion
promoting interlayer, tantalum films were deposited by magnetron sputtering. Wear
tests of coated and uncoated implants were performed in physiological solution up
to a maximum of 101 million articulation cycles with an amplitude of +/-2 degrees
and -3/+6 degrees in successive intervals at a preload of 1200 N. The implants
were characterized by gravimetry, inductively coupled plasma optical emission
spectrometry (ICP-OES) and cross section scanning electron microscopy (SEM)
analysis. It is shown that DLC coated surfaces with uncontaminated tantalum
interlayers perform very well and no corrosive or mechanical failure could be
observed. This also holds true in tests featuring overload and third-body wear by
cortical bone chips present in the bearing pairs. Regarding the interlayer
tolerance towards interlayer contamination (oxygen), limits for initiation of
potential failure modes were established. It was found that mechanical failure is
the most critical aspect and this mode is hypothetically linked to the alpha-beta
tantalum phase switch induced by increasing oxygen levels as observed by X-ray
diffraction (XRD). It is concluded that DLC coatings are a feasible candidate for
near zero wear articulations on implants, potentially even surpassing the
performance of ceramic vs. ceramic.
PMID- 24921708
TI - MicroRNAs in brain metastases: potential role as diagnostics and therapeutics.
AB - Brain metastases remain a daunting adversary that negatively impact patient
survival. Metastatic brain tumors affect up to 45% of all cancer patients with
systemic cancer and account for ~20% of all cancer-related deaths. A complex
network of non-coding RNA molecules, microRNAs (miRNAs), regulate tumor
metastasis. The brain micro-environment modulates metastatic tumor growth;
however, defining the precise genetic events that promote metastasis in the brain
niche represents an important, unresolved problem. Understanding these events
will reveal disease-based targets and offer effective strategies to treat brain
metastases. Effective therapeutic strategies based upon the biology of brain
metastases represent an urgent, unmet need with immediate potential for clinical
impact. Studies have demonstrated the ability of miRNAs to distinguish normal
from cancerous cells, primary from secondary brain tumors, and correctly
categorize metastatic brain tumor tissue of origin based solely on miRNA
profiles. Interestingly, manipulation of miRNAs has proven effective in cancer
treatment. With the promise of reduced toxicity, increased efficacy and
individually directed personalized anti-cancer therapy, using miRNA in the
treatment of metastatic brain tumors may prove very useful and improve patient
outcome. In this review, we focus on the potential of miRNAs as diagnostic and
therapeutic targets for the treatment of metastatic brain lesions.
PMID- 24921710
TI - The influence of a S-to-S bridge in diiron dithiolate models on the oxidation
reaction: a mimic of the H(air)(ox) state of [FeFe]-hydrogenases.
AB - Two-electron oxidation of a diiron complex (1) containing a bulky S-to-S bridge
with an exocyclic carbonyl group affords [1(OH)](+), which replicates the
coordination structure and electronic configuration of H(air)(ox), and the
chemically reversible reaction between 1 and [1(OH)](+) mimics the bioprocess of
interconversion of the inactive H(air)(ox) and the active Hred states of the
[FeFe]-hydrogenases.
PMID- 24921711
TI - Diffusion-based microfluidic PCR for "one-pot" analysis of cells.
AB - Genetic analysis starting with cell samples often requires multi-step processing
including cell lysis, DNA isolation/purification, and polymerase chain reaction
(PCR) based assays. When conducted on a microfluidic platform, the compatibility
among various steps often demands a complicated procedure and a complex device
structure. Here we present a microfluidic device that permits a "one-pot"
strategy for multi-step PCR analysis starting from cells. Taking advantage of the
diffusivity difference, we replace the smaller molecules in the reaction chamber
by diffusion while retaining DNA molecules inside. This simple scheme effectively
removes reagents from the previous step to avoid interference and thus permits
multi-step processing in the same reaction chamber. Our approach shows high
efficiency for PCR and potential for a wide range of genetic analysis including
assays based on single cells.
PMID- 24921712
TI - Postoperative glaucoma following infantile cataract surgery: an individual
patient data meta-analysis.
AB - IMPORTANCE: Infantile cataract surgery bears a significant risk for postoperative
glaucoma, and no consensus exists on factors that may reduce this risk.
OBJECTIVE: To assess the effect of primary intraocular lens implantation and
timing of surgery on the incidence of postoperative glaucoma. DATA SOURCES: We
searched multiple databases to July 14, 2013, to identify studies with eligible
patients, including PubMed, MEDLINE, EMBASE, ISI Web of Science, Scopus, Central,
Google Scholar, Intute, and Tripdata. We also searched abstracts of ophthalmology
society meetings. STUDY SELECTION: We included studies reporting on postoperative
glaucoma in infants undergoing cataract surgery with regular follow-up for at
least 1 year. Infants with concurrent ocular anomalies were excluded. DATA
EXTRACTION AND SYNTHESIS: Authors of eligible studies were invited to contribute
individual patient data on infants who met the inclusion criteria. We also
performed an aggregate data meta-analysis of published studies that did not
contribute to the individual patient data. Data were pooled using a random
effects model. MAIN OUTCOMES AND MEASURES: Time to glaucoma with the effect of
primary implantation, additional postoperative intraocular procedures, and age at
surgery. RESULTS: Seven centers contributed individual patient data on 470
infants with a median age at surgery of 3.0 months and median follow-up of 6.0
years. Eighty patients (17.0%) developed glaucoma at a median follow-up of 4.3
years. Only 2 of these patients had a pseudophakic eye. The risk for
postoperative glaucoma appeared to be lower after primary implantation (hazard
ratio [HR], 0.10 [95% CI, 0.01-0.70]; P = .02; I(2) = 34%), higher after surgery
at 4 weeks or younger (HR, 2.10 [95% CI, 1.14-3.84]; P = .02; I(2) = 0%), and
higher after additional procedures (HR, 2.52 [95% CI, 1.11-5.72]; P = .03; I(2) =
32%). In multivariable analysis, additional procedures independently increased
the risk for glaucoma (HR, 2.25 [95% CI, 1.20-4.21]; P = .01), and primary
implantation independently reduced it (HR, 0.10 [95% CI, 0.01-0.76]; P = .03).
Results were similar in the aggregate data meta-analysis that included data from
10 published articles. CONCLUSIONS AND RELEVANCE: Although confounding factors
such as size of the eye and surgeon experience are not accounted for in this meta
analysis, the risk for postoperative glaucoma after infantile cataract surgery
appears to be influenced by the timing of surgery, primary implantation, and
additional intraocular surgery.
PMID- 24921713
TI - Face recognition in simulated prosthetic vision: face detection-based image
processing strategies.
AB - OBJECTIVE: Given the limited visual percepts elicited by current prosthetic
devices, it is essential to optimize image content in order to assist implant
wearers to achieve better performance of visual tasks. This study focuses on
recognition of familiar faces using simulated prosthetic vision. APPROACH:
Combined with region-of-interest (ROI) magnification, three face extraction
strategies based on a face detection technique were used: the Viola-Jones face
region, the statistical face region (SFR) and the matting face region. MAIN
RESULTS: These strategies significantly enhanced recognition performance compared
to directly lowering resolution (DLR) with Gaussian dots. The inclusion of
certain external features, such as hairstyle, was beneficial for face
recognition. Given the high recognition accuracy achieved and applicable
processing speed, SFR-ROI was the preferred strategy. DLR processing resulted in
significant face gender recognition differences (i.e. females were more easily
recognized than males), but these differences were not apparent with other
strategies. SIGNIFICANCE: Face detection-based image processing strategies
improved visual perception by highlighting useful information. Their use is
advisable for face recognition when using low-resolution prosthetic vision. These
results provide information for the continued design of image processing modules
for use in visual prosthetics, thus maximizing the benefits for future prosthesis
wearers.
PMID- 24921715
TI - Dispersion compensation by two-stage stretching in a sub-400 fs, 1.2 mJ Yb:CaF2
amplifier.
AB - We generate 1.24 mJ, 390 fs pulses at 1035 nm in a CPA laser system featuring a
2.8 mJ Yb:CaF(2) regenerative amplifier, stretcher/compressor based on a single
chirped volume Bragg grating and a compact, low-dispersion grating compressor.
The auxiliary compressor is used to effectively pre-compensate the intra-cavity
dispersion of the amplifier.
PMID- 24921714
TI - The prevalence of COPD co-morbidities in Serbia: results of a national survey.
AB - BACKGROUND: Research studies have found different prevalence rates for co
morbidities in patients with chronic obstructive pulmonary disease (COPD). AIMS:
The aim of our study was to investigate the prevalence of co-morbidities as well
as functional limitations in subjects with COPD. METHODS: The study was based on
a nationally representative sample of the population of Serbia. Information on
the health of the population was obtained from interviews and anthropometric
measurements. In this study we analysed a total of 10,013 respondents aged 40
years or older. There were 653 subjects with COPD and 9,360 respondents without
COPD. RESULTS: Out of the 10,013 respondents, 5,377 were aged 40-59 years and
4,636 were 60 years or older. The prevalence of COPD was 5.0% in respondents aged
40-59 years and 8.3% in those aged 60 years or older; the total prevalence was
6.5%. The most prevalent co-morbidities among respondents with COPD were
hypertension (54.5%) and dyslipidaemia (26.5%). The prevalence of all analysed co
morbidities was higher in respondents with COPD and the difference was highly
statistically significant, except for stroke and malignancies, for which the
difference was significant. Analysis showed that respondents with COPD had a
higher prevalence of all analysed clinical factors (dizziness, obesity, anaemia
and frailty) and functional impairments (mobility and hearing and visual
impairment) compared with respondents without COPD. For those aged 40-59 years
the difference was highest for mobility difficulty (four times higher prevalence
in COPD patients) and anaemia (three times higher in COPD patients). CONCLUSION:
Our analysis showed that the most prevalent co-morbidities in COPD were
hypertension, dyslipidaemia, chronic renal disease and anxiety/depression. The
finding suggests that health professionals should actively assess co-morbidities
in patients with COPD.
PMID- 24921716
TI - Multimode regimes in quantum cascade lasers with optical feedback.
AB - We study the instability thresholds of the stationary emission of a quantum
cascade laser with optical feedback described by the Lang Kobayashi model. We
introduce an exact linear stability analysis and an approximated one for an
unipolar lasers, who does not exhibit relaxation oscillations, and investigate
the regimes of the emitter beyond the continuous wave instability threshold,
depending on the number and density of the external cavity modes. We then show
that a unipolar laser with feedback can exhibit coherent multimode oscillations
that indicate spontaneous phase-locking.
PMID- 24921717
TI - Multi-resolution imaging with an optimized number and distribution of sampling
points.
AB - We propose an approach of interest in Imaging and Synthetic Aperture Radar (SAR)
tomography, for the optimal determination of the scanning region dimension, of
the number of sampling points therein, and their spatial distribution, in the
case of single frequency monostatic multi-view and multi-static single-view
target reflectivity reconstruction. The method recasts the reconstruction of the
target reflectivity from the field data collected on the scanning region in terms
of a finite dimensional algebraic linear inverse problem. The dimension of the
scanning region, the number and the positions of the sampling points are
optimally determined by optimizing the singular value behavior of the matrix
defining the linear operator. Single resolution, multi-resolution and dynamic
multi-resolution can be afforded by the method, allowing a flexibility not
available in previous approaches. The performance has been evaluated via a
numerical and experimental analysis.
PMID- 24921718
TI - Enhancing optofluidic actuation of micro-objects by tagging with plasmonic
nanoparticles.
AB - We report experimentally and theoretically on the significant exaltation of
optical forces on microparticles when they are partially coated by metallic
nanodots and shined with laser light within the surface plasmon resonance.
Optical forces on both pure silica particles and silica-gold raspberries are
characterized using an optical chromatography setup to measure the variations of
the Stokes drag versus laser beam power. Results are compared to the Mie theory
prediction for both pure dielectric particles and core-shell ones with a shell
described as a continuous dielectric-metal composite of dielectric constant
determined from the Maxwell-Garnett approach. The observed quantitative agreement
demonstrates that radiation pressure forces are directly related to the metal
concentration on the microparticle surface and that metallic nanodots increase
the magnitude of optical forces compared to pure dielectric particles of the same
overall size, even at very low metal concentration. Behaving as "micro-sized
nanoparticles", the benefit of microparticles coated with metallic nanodots is
thus twofold: it significantly enhances optofluidic manipulation and motion at
the microscale, and brings nanometric optical, chemical or biological
capabilities to the microscale.
PMID- 24921719
TI - Damage morphology change condition and thermal accumulation effect on high
reflection coatings at 1064nm.
AB - The damage conversion behavior of high-reflection coatings under multiple shot of
1064nm nanosecond pulse laser has been investigated. The mechanism of initiation
and evolution law of multi-shot damage has been revealed by use of surface
profiler and focus ion beam with SEM. The scald damage tends to become delaminate
damage under some certain condition. Huge experiments supports that this
morphology change condition has a close connection with scald initial fluence,
scald size, subsequent fluence and shot number. The relationship among these
factors is for the first time achieved to offer the "safety lines" for
components. The thermal accumulation effect on the decline of damage threshold
under multi-shot has been studied in theory and verified experimentally. In
addition, a theory-based formula is used to fit the experiment data for further
prediction of thin film life-time.
PMID- 24921720
TI - Complex, 3D modeling of the acousto-optical interaction and experimental
verification.
AB - The acousto-optical crystals are frequently used, indispensable elements of high
technology and modern science, and yet their precise numerical description has
not been available. In this paper an accurate, rapid and quite general model of
the AO interaction in a Bragg-cell is presented. The suitability of the
simulation is intended to be verified experimentally, for which we wanted to
apply the most convincing measurement methods. The difficulty of the verification
is that the measurement contains unknown parameters. Therefore we performed an
elaborated series of measurements and developed a method for the estimation of
the unknown parameters.
PMID- 24921722
TI - Temporal and spectral structure of the infrared pulse during the high order
harmonic generation.
AB - We present, for the first time, the complete pulse characterization of the
infrared pulse after generating harmonics. A systematic study of the high
harmonic generation process, and the generating infrared pulse characterization,
has been done by changing the focus-gas-jet relative position. We have concluded,
supported by nonlinear propagation simulations, that there is a correlation
between the spectral and temporal nonlinear evolution of the infrared generating
field and the structures shown in the harmonic signal. We have identified two
different pressure regimes: the low pressure regime, characterized by the effects
produced by the plasma generated by the infrared pulse, and the high pressure
regime where the plasma and the Kerr effect generated by the infrared field are
both present. These observations highlight the important role played by the
nonlinear propagation of the generating field in the high harmonic generation
context.
PMID- 24921721
TI - Simultaneous compression, characterization and phase stabilization of GW-level
1.4 cycle VIS-NIR femtosecond pulses using a single dispersion-scan setup.
AB - We have temporally characterized, dispersion compensated and carrier-envelope
phase stabilized 1.4-cycle pulses (3.2 fs) with 160 uJ of energy at 722 nm using
a minimal and convenient dispersion-scan setup. The setup is all inline, does not
require interferometric beamsplitting, and uses components available in most
laser laboratories. Broadband minimization of third-order dispersion using
propagation in water enabled reducing the compressed pulse duration from 3.8 to
3.2 fs with the same set of chirped mirrors. Carrier-envelope phase stabilization
of the octave-spanning pulses was also performed by the dispersion-scan setup.
This unprecedentedly simple and reliable approach provides reproducible CEP
stabilized pulses in the single-cycle regime for applications such as CEP
sensitive spectroscopy and isolated attosecond pulse generation.
PMID- 24921723
TI - Low threshold and high speed short cavity distributed feedback hybrid silicon
lasers.
AB - In this paper we investigate reducing threshold and improving the efficiency and
speed of distributed feedback hybrid silicon lasers. A low threshold current of
8.8 mA was achieved for a 200 MUm cavity at 20 degrees C. A 3 dB bandwidth of
9.5 GHz as well as 12.5 Gb/s direct modulation of DFB laser diode was achieved on
the hybrid silicon platform for the first time.
PMID- 24921724
TI - Real-time integral imaging system for light field microscopy.
AB - We propose a real-time integral imaging system for light field microscopy
systems. To implement a 3D live in-vivo experimental environment for multiple
experimentalists, we generate elemental images for an integral imaging system
from the captured light field with a light field microscope in real-time. We
apply the f-number matching method to generate an elemental image to reconstruct
an undistorted 3D image. Our implemented system produces real and orthoscopic 3D
images of micro objects in 16 frames per second. We verify the proposed system
via experiments using Caenorhabditis elegans.
PMID- 24921725
TI - Parallel excitation-emission multiplexed fluorescence lifetime confocal
microscopy for live cell imaging.
AB - We present a novel excitation-emission multiplexed fluorescence lifetime
microscopy (FLIM) method that surpasses current FLIM techniques in multiplexing
capability. The method employs Fourier multiplexing to simultaneously acquire
confocal fluorescence lifetime images of multiple excitation wavelength and
emission color combinations at 44,000 pixels/sec. The system is built with low
cost CW laser sources and standard PMTs with versatile spectral configuration,
which can be implemented as an add-on to commercial confocal microscopes. The
Fourier lifetime confocal method allows fast multiplexed FLIM imaging, which
makes it possible to monitor multiple biological processes in live cells. The low
cost and compatibility with commercial systems could also make multiplexed FLIM
more accessible to biological research community.
PMID- 24921726
TI - Accuracy improvement of quantitative analysis in laser-induced breakdown
spectroscopy using modified wavelet transform.
AB - A modified algorithm of background removal based on wavelet transform was
developed for spectrum correction in laser-induced breakdown spectroscopy (LIBS).
The optimal type of wavelet function, decomposition level and scaling factor
gamma were determined by the root-mean-square error of calibration (RMSEC) of the
univariate regression model of the analysis element, which is considered as the
optimization criteria. After background removal by this modified algorithm with
RMSEC, the root-mean-square error of cross-validation (RMSECV) and the average
relative error (ARE) criteria, the accuracy of quantitative analysis on chromium
(Cr), vanadium (V), cuprum (Cu), and manganese (Mn) in the low alloy steel was
all improved significantly. The results demonstrated that the algorithm developed
is an effective pretreatment method in LIBS to significantly improve the accuracy
in the quantitative analysis.
PMID- 24921727
TI - Flexible graphene saturable absorber on two-layer structure for tunable mode
locked soliton fiber laser.
AB - Using a two-layer structure consisting of polyethylene terephthalate (PET) and
polydimethylsiloxane (PDMS) to support graphene grown by chemical vapor
deposition (CVD), we demonstrate a flexible integrated graphene saturable
absorber (SA) on microfiber for passive mode-locked soliton fiber laser. This
method can optimize the light-graphene interaction by using evanescent field in
the integration structure. Moreover, the fiber laser with the in-line microfiber
to-graphene SA can realize the tunabilities of both the 3dB bandwidth of output
optical spectrum and the pulse width of soliton. This tunable mode-locked soliton
laser has potential applications in optical communication, optical microscopy,
and so on.
PMID- 24921728
TI - High power Yb-Raman combined nonlinear fiber amplifier.
AB - We demonstrated a high power Yb-Raman combined nonlinear fiber amplifier. The
seed is a 30 W dual-wavelength Yb-doped fiber laser formed by 1070 nm and 1120 nm
lasers. The gain medium in the amplifier stage is a 45-meters-long Yb-doped
fiber, which power scaled the 1070 nm laser and Raman amplified the 1120 nm laser
simultaneously. A record 1120 nm power of 732 W is obtained with pump power of
890 W. Numerical study taking into account of both Yb and Raman gain has been
conducted to analyze the output characters and power evolution in the amplifier
under different conditions. The numerical results are in good agreement with the
experiment. This amplifier is also suitable to amplify laser in the wavelength
range of 1100-1150 nm.
PMID- 24921729
TI - Unrepeatered 256 Gb/s PM-16QAM transmission over up to 304 km with simple system
configurations.
AB - We study unrepeatered transmission of 40x256 Gb/s systems with polarization
multiplexed 16-quadrature amplitude modulation (PM-16QAM) channels using simple
coherent optical system configurations. Three systems are investigated with
either a homogeneous fiber span, or simple two-segment hybrid fiber designs. Each
system relies primarily on ultra-low loss, very large effective area fiber, while
making use of only first-order backward pumped Raman amplification and no remote
optically pumped amplifier (ROPA). For the longest span studied, we demonstrate
unrepeatered 256 Gb/s transmission over 304 km with the additional aid of
nonlinear compensation using digital backpropagation. We find an average
performance improvement in terms of the Q-factor of 0.45 dB by using digital
backpropagation compared to the case of using chromatic dispersion compensation
alone for an unrepeatered span system.
PMID- 24921730
TI - Novel wavelength conversion with nanophotonic droplet consisting of coupled
quantum dots.
AB - The concept of nanophotonic droplets, which are individual spherical polymer
structures containing accurately coupled heterogeneous quantum dots, has been
previously demonstrated. Such combinations are theoretically promising for their
ability to induce novel optical functions. In this paper, we focus on the
implementation of wavelength conversion as one of the fundamental optical
functions of nanophotonic droplets. A novel mechanism involved in the formation
of nanophotonic droplets and results of experimental verification of wavelength
conversion using formed nanophotonic droplets are described. By a quantitative
comparison with a corresponding sample consisting of randomly dispersed quantum
dots, the effectiveness of proposal was successfully demonstrated.
PMID- 24921731
TI - High-frequency extinction efficiencies of spheroids: rigorous T-matrix solutions
and semi-empirical approximations.
AB - A semi-empirical high-frequency formula is developed to efficiently and
accurately compute the extinction efficiencies of spheroids in the cases of
moderate and large size parameters under either fixed or random orientation
condition. The formula incorporates the semi-classical scattering concepts
formulated by extending the complex angular momentum approximation of the Lorenz
Mie theory to the spheroid case on the basis of the physical rationales
associated with changing the particle morphology from a sphere to a spheroid. The
asymptotic edge-effect expansion is truncated with an optimal number of terms
based on a priori knowledge obtained from comparing the semi-classical Mie
extinction efficiencies with the Lorenz-Mie solutions. The present formula is
fully tested in comparison with the T-matrix results for spheroids with the
aspect ratios from 0.5 to 2.0, and for various refractive indices m(r) + im(i),
with m(r) from 1.0 to 2.0 and m(i) from 0 to 0.5.
PMID- 24921732
TI - Observations of artefacts in the x-ray ptychography method.
AB - X-ray ptychography, a scanning coherent diffraction imaging method, was used to
reconstruct images of a "Siemens star" test pattern with amplitude and phase
contrast. While studying how the use of illumination with an increased bandwidth
results in clear improvements in the quality of image reconstructions, we found
that an artificial change in the overall distance scale factor of the algorithm
leads to a systematic response in the image, which is reproduced with an
incorrect number of spokes. This pathology is explained by the conflict between
the length scales set by the scan and by the diffraction patterns on the
detector.
PMID- 24921733
TI - Cubic B-spline calibration for 3D super-resolution measurements using astigmatic
imaging.
AB - In recent years three-dimensional (3D) super-resolution fluorescence imaging by
single-molecule localization (localization microscopy) has gained considerable
interest because of its simple implementation and high optical resolution.
Astigmatic and biplane imaging are experimentally simple methods to engineer a 3D
specific point spread function (PSF), but existing evaluation methods have proven
problematic in practical application. Here we introduce the use of cubic B
splines to model the relationship of axial position and PSF width in the above
mentioned approaches and compare the performance with existing methods. We show
that cubic B-splines are the first method that can combine precision, accuracy
and simplicity.
PMID- 24921734
TI - Rayleigh scattering of surface plasmons by sub-wavelength holes.
AB - We study the scattering of surface plasmons from sub-wavelength holes and find
that it exhibits a stronger wavelength dependence than the traditional lambda(-4)
scaling found for Rayleigh scattering of light from small particles. This
experimental observation is consistent with recent theoretical work and linked to
the two-dimensional nature of the surface plasmon and the wavelength dependence
of its spatial extent in the third dimension. The scattering cross sections are
obtained with a frequency-correlation technique, which compares intensity speckle
patterns observed behind various random structures of holes and recorded at
different wavelengths. This powerful technique even allows us to distinguish
between scattering of surface plasmons into photons and scattering into other
surface plasmons.
PMID- 24921735
TI - A polarization-sensitive 4-contact detector for terahertz time-domain
spectroscopy.
AB - A light polarization angle-sensitive photoconductive detector for terahertz time
domain spectroscopy is computer-modeled, microfabricated, and tested. The
experimental results show good agreement with the linear angular response for an
ideal detector. The detector's frequency, angular, and crosstalk responses are
discussed in the context of theoretical and experimental considerations.
PMID- 24921736
TI - Nanofocusing in circular sector-like nanoantennas.
AB - Gold circular sector-like nanoantennas (with a radius of 500 nm and a taper angle
of 60 degrees , 90 degrees , and 120 degrees ) on glass are investigated in a
near-infrared wavelength range (900 - 2100 nm). Amplitude- and phase-resolved
near-field images of circular sector-like antenna modes at telecom wavelength
feature a concentric circular line of phase contrast, demonstrating resonant
excitation of a standing wave of counter-propagating surface plasmons, travelling
between a tip and opposite circular edge of the antenna. Transmission spectra
obtained in the range 900 - 2100 nm are in good agreement with numerical
simulations, revealing the main feature of this antenna configuration, viz., the
resonance wavelength, in contrast to triangular antennas, does not depend on the
taper angle and is determined only by the sector radius. This feature together
with a robust and easily predictable frequency response makes circular sector
like nanoantennas very promising for implementing bowtie antennas and attractive
for many applications.
PMID- 24921737
TI - Generation of FCC-compliant and background-free millimeter-wave ultrawideband
signal based on nonlinear polarization rotation in a highly nonlinear fiber.
AB - We propose a novel approach to generating millimeter-wave (MMW) ultrawideband
(UWB) signal based on nonlinear polarization rotation (NPR) in a highly nonlinear
fiber (HNLF). The MMW UWB signal is background-free by eliminating the baseband
frequency components using an optical filter. The proposed scheme is
theoretically analyzed and experimentally verified. The generated MMW UWB signal
centered at 25.5 GHz has a 10-dB bandwidth of 7 GHz from 22 to 29 GHz, which
fully satisfies the spectral mask regulated by the Federal Communications
Commission (FCC).
PMID- 24921738
TI - 800 Gb/s (80 * 10 Gb/s) capacity WDM-PON based on ASE injection seeding.
AB - We demonstrate and characterize 800 Gb/s capacity WDM-PON with an ASE injection
seeding. Required total seed power at central office to feeder fiber is 16 dBm
for 20 km upstream transmission of 80 channels. We investigate the maximum
transmission length according to channels. The transmission length is limited to
39.7 km by intra-channel crosstalk induced by Rayleigh back-scattering, provided
that the dispersion is compensated. Also, we investigate the allowable
differential path length to evaluate the flexibility of the system.
PMID- 24921739
TI - Characterization and application of chirped photonic crystal fiber in multiphoton
imaging.
AB - Fiber delivery of ultrashort pulses is important for multiphoton endoscopy. A
chirped photonic crystal fiber (CPCF) is first characterized for its transmission
bandwidth, propagation loss, and dispersion properties. Its extremely low
dispersion (~150 fs(2)/m) enables the delivery of sub-30 fs pulses through a ~1 m
long CPCF. The CPCF is then incorporated into a multiphoton imaging system and
its performance is demonstrated by imaging various biological samples including
yew leaf, mouse tendon, and human skin. The imaging quality is further compared
with images acquired by a multiphoton imaging system with free-space or hollow
core photonic band-gap fiber (PBF) delivery of pulses. Compared with free-space
system, the CPCF delivered system maintains the same ultrashort pulsewidth and
the image qualities are comparable. Compared with the PBF delivery, CPCF provides
a 35 times shorter pulsewidth at the sample location, which results in a ~12 and
50 times improvement in two-photon excitation fluorescence (TPEF) and second
harmonic generation (SHG) signals respectively. Our results show that CPCF has
great potential for fiber delivery of ultrashort pulses for multiphoton
endoscopy.
PMID- 24921740
TI - Absolute distance measurement by intensity detection using a mode-locked
femtosecond pulse laser.
AB - We propose an interferometric method that enables to measure a distance by the
intensity measurement using the scanning of the interferometer reference arm and
the recording of the interference fringes including the brightest fringe. With
the consideration of the dispersion and absorption of the pulse laser in a
dispersive and absorptive medium, we investigate the cross-correlation function
between two femtosecond laser pulses in the time domain. We also introduce the
measurement principle. We study the relationship between the position of the
brightest fringe and the distance measured, which can contribute to the distance
measurement. In the experiments, we measure distances using the method of the
intensity detection while the reference arm of Michelson interferometer is
scanned and the fringes including the brightest fringe is recorded. Firstly we
measure a distance in a range of 10 um. The experimental results show that the
maximum deviation is 45 nm with the method of light intensity detection.
Secondly, an interference system using three Michelson interferometers is
developed, which combines the methods of light intensity detection and time-of
flight. This system can extend the non-ambiguity range of the method of light
intensity detection. We can determine a distance uniquely with a larger non
ambiguity range. It is shown that this method and system can realize absolute
distance measurement, and the measurement range is a few micrometers in the
vicinity of Nl(pp), where N is an integer, and lpp is the pulse-to-pulse length.
PMID- 24921741
TI - Common-path diffraction optical tomography for investigation of three-dimensional
structures and dynamics of biological cells.
AB - We present an optical holographic micro-tomographic technique for imaging both
the three-dimensional structures and dynamics of biological cells. Optical light
field images of a sample, illuminated by a plane wave with various illumination
angles, are measured in a common-path interferometry, and thus both the three
dimensional refractive index tomogram and two-dimensional dynamics of live
biological cells are measured with extremely high sensitivity. The applicability
of the technique is demonstrated through quantitative and measurements of
morphological, chemical, and mechanical parameters at the individual cell level.
PMID- 24921742
TI - Towards 100 channel dense wavelength division multiplexing with 100GHz spacing on
silicon.
AB - A 1 by 4 wavelength division multiplexer with 0.5nm bandwidth and no free
spectral range limitation is demonstrated on silicon. The device utilizes wide
bandwidth filters cascaded with ring resonators in order to select specific ring
resonator modes and route each resonant mode to a separate port. This technology
will enable dense wavelength division multiplexing covering the C - and L - bands
with up to 100 10GB/s channels separated by 100GHz to be implemented for optical
interconnects applications. A 1 by 4 wavelength division multiplexer with 3dB
channel bandwidths as small as 0.5nm and 1dB insertion loss are demonstrated with
16dB inter-channel crosstalk suppression. A second wavelength division
multiplexer scheme with four channels, each spaced 0.5nm apart without any free
spectral range limitations is also demonstrated using wide bandwidth filters
centered at the same wavelength to select resonances from four different ring
resonators with slightly different widths.
PMID- 24921743
TI - Electronically resonant third-order sum frequency generation spectroscopy using a
nanosecond white-light supercontinuum.
AB - Third-order sum frequency generation (TSFG) is one of the third-order nonlinear
optical processes, and has the generation mechanism analogous to third harmonic
generation (THG). By using a white-light supercontinuum, we can obtain broadband
multiplex TSFG spectra. In the present study, we developed an electronically
resonant TSFG spectrometer, and applied it to obtain TSFG spectra of
hemoproteins. Analyzed TSFG ratio spectra clearly showed the resonant enhancement
attributable to the electronic state of hemoproteins. This is a promising method
for the imaging of electronic states of molecules inside living cells or tissues.
PMID- 24921744
TI - Embedded coupled microrings with high-finesse and close-spaced resonances for
optical signal processing.
AB - Single microring resonators have been used in applications such as wavelength
multicasting and microwave photonics, but the dependence of the free spectral
range with ring radius imposes a trade-off between the required GHz optical
channel spacing, footprint and power consumption. We demonstrate four-channel all
optical wavelength multicasting using only 1 mW of control power, with converted
channel spacing of 40-60 GHz. Our device is based on a compact embedded microring
design fabricated on a scalable SOI platform. The coexistence of close resonance
spacing and high finesse (205) in a compact footprint is possible due to enhanced
quality factors (30,000) resulting from the embedded configuration and the
coupling-strength dependence of resonance spacing, instead of ring size. In
addition, we discuss the possibility of achieving continuously mode splitting
from a single-notch resonance up to 40 GHz.
PMID- 24921745
TI - Spiral-structured fiber Bragg grating for contact force sensing through direct
power measurement.
AB - A high-sensitivity fiber Bragg grating (FBG) force sensor based on direct optical
power measurement is presented. The approach utilizes a novel structure where the
FBG is mounted on a thin tube-like fixture spirally. Contact force measurement is
achieved through direct measurement of the FBG reflection power at a single
wavelength using a power meter. The measuring system in our approach is simple
and does not require processing of massive amount of spectral data, enabling real
time contact force monitoring. When force is applied to the FBG sensor, the
unique spiral structure leads to FBG chirping and reflection spectrum broadening.
A proportional relationship and linear fit are found between the force applied
(up to 1.55 N) and the optical power reflected by the proposed FBG sensor. An
average sensitivity of 11.16 dB/N is experimentally achieved. This design
significantly reduces system complexity and improves data processing speed, which
has great practical value in real-time FBG sensing applications.
PMID- 24921746
TI - A pseudo-planar metasurface for a polarization rotator.
AB - New demonstrations of effective interaction between light and artificially
electromagnetic interface, or the metasurface, have stimulated intensive research
interests on control of light to realize applications in beam steering, optical
imaging and light focusing, etc. Here we reported a new type of planar
metasurface of which every individual metamolecule is single metallic layer with
stereo structure and the metasurface is name as Pseudo-Planar Metasurface (PPM).
The metamolecule of the PPM is a chiral structure and therefore derives
significant optical activity.
PMID- 24921747
TI - Experimental demonstrations of dual polarization CO-OFDM using mid-span spectral
inversion for nonlinearity compensation.
AB - We experimentally demonstrate fiber nonlinearity compensation in dual
polarization coherent optical OFDM (DP CO-OFDM) systems using mid-span spectral
inversion (MSSI). We use third-order nonlinearity between a pump and the signal
in a highly nonlinear fiber (HNLF) for MSSI. Maximum launch powers at FEC
threshold for two 10 * 80-km 16-QAM OFDM systems were increased by 6.4 dB at a
121-Gb/s data rate and 2.8 dB at 1.2 Tb/s. The experimental results are the first
demonstration of using MSSI for nonlinearity compensation in any dual
polarization coherent system. Simulations show that these increases could support
a 22% increase in total transmission distance at 1.2-Tb/s system without
increasing the number of inline amplifiers, by extending the fiber spans from 90
to 110 km. When spans of 80 km are used, simulations reveal that MSSI system
performance shows less degradation with increasing transmission distance, and an
overall transmission distance increase of more than 70% is expected using MSSI.
PMID- 24921748
TI - Novel method for quantifying the cell size of marine phytoplankton based on
optical measurements.
AB - Phytoplankton size is important for the pelagic food web and oceanic ecosystems.
However, the size of phytoplankton is difficult to quantify because of
methodological constraints. To address this limitation, we have exploited the
phytoplankton package effect to develop a new method for estimating the mean cell
size of individual phytoplankton populations. This method was validated using a
data set that contained simultaneous measurements of phytoplankton absorption and
cell size distributions from 13 phytoplankton species. Comparing with existing
methods, our method is more efficient with good accuracy, and it could
potentially be applied in current in situ optical instruments.
PMID- 24921749
TI - Optical signal to noise ratio improvement through unbalanced noise beating in
phase-sensitive parametric amplifiers.
AB - We investigate the beating of signal and idler waves, which have imbalanced
signal to noise ratios, in a phase-sensitive parametric amplifier. Imbalanced
signal to noise ratios are achieved in two ways; first by imbalanced noise
loading; second by varying idler to signal input power ratio. In the case of
imbalanced noise loading the phase-sensitive amplifier improved the signal to
noise ratio from 3 to 6 dB, and in the case of varying idler to signal input
power ratio, the signal to noise ratio improved from 3 to in excess of 20 dB.
PMID- 24921750
TI - Efficient, compact and low loss thermo-optic phase shifter in silicon.
AB - We design a resistive heater optimized for efficient and low-loss optical phase
modulation in a silicon-on-insulator (SOI) waveguide and characterize the
fabricated devices. Modulation is achieved by flowing current perpendicular to a
new ridge waveguide geometry. The resistance profile is engineered using
different dopant concentrations to obtain localized heat generation and maximize
the overlap between the optical mode and the high temperature regions of the
structure, while simultaneously minimizing optical loss due to free-carrier
absorption. A 61.6 MUm long phase shifter was fabricated in a CMOS process with
oxide cladding and two metal layers. The device features a phase-shifting
efficiency of 24.77 +/- 0.43 mW/pi and a -3 dB modulation bandwidth of 130.0 +/-
5.59 kHz; the insertion loss measured for 21 devices across an 8-inch wafer was
only 0.23 +/- 0.13 dB. Considering the prospect of densely integrated photonic
circuits, we also quantify the separation necessary to isolate thermo-optic
devices in the standard 220 nm SOI platform.
PMID- 24921751
TI - (87)Rb-stabilized 375-MHz Yb:fiber femtosecond frequency comb.
AB - We report a fully stabilized 1030-nm Yb-fiber frequency comb operating at a pulse
repetition frequency of 375 MHz. The comb spacing was referenced to a Rb
stabilized microwave synthesizer and the comb offset was stabilized by generating
a super-continuum containing a coherent component at 780.2 nm which was
heterodyned with a (87)Rb-stabilized external cavity diode laser to produce a
radio-frequency beat used to actuate the carrier-envelope offset frequency of the
Yb-fiber laser. The two-sample frequency deviation of the locked comb was 235 kHz
for an averaging time of 50 seconds, and the comb remained locked for over 60
minutes with a root mean squared deviation of 236 kHz.
PMID- 24921752
TI - Construction model for total variation regularization parameter.
AB - Image denoising is important for high-quality imaging in adaptive optics.
Richardson-Lucy deconvolution with total variation(TV) regularization is commonly
used in image denoising. The selection of TV regularization parameter is an
essential issue, yet no systematic approach has been proposed. A construction
model for TV regularization parameter is proposed in this paper. It consists of
four fundamental elements, the properties of which are analyzed in details. The
proposed model bears generality, making it apply to different image recovery
scenarios. It can achieve effective spatially adaptive image recovery, which is
reflected in both noise suppression and edge preservation. Simulations are
provided as validation of recovery and demonstration of convergence speed and
relative mean-square error.
PMID- 24921753
TI - Highly controllable synthesis of near-infrared persistent luminescence
SiO2/CaMgSi2O6 composite nanospheres for imaging in vivo.
AB - High quality near-infrared (NIR) persistent luminescence nanospheres (PLNPs) were
synthesized using a simple mesoporous template method. The as-synthesized NIR
persistent luminescence nanoparticles have uniform spherical morphology, tunable
sizes, and a nominal composition of SiO(2)/CaMgSi(2)O(6):Eu(2+), Pr(3+), Mn(2+)
(denoted as SEPM). Their NIR persistent luminescence at 660 nm can be detected
during more than 1 hour. The in vivo distribution of the nanoparticles in the
abdomen can be detected in real time after injection into the abdomen of a mouse.
The nanoparticles can be metabolized from the lymph circulation and transferred
from the abdomen to the bladder. The results indicate an effective method to
offer high quality NIR persistent luminescence nanoprobes for imaging.
PMID- 24921755
TI - High-power mid-infrared frequency comb from a continuous-wave-pumped bulk optical
parametric oscillator.
AB - We demonstrate that it is possible to obtain a mid-infrared optical frequency
comb (OFC) experimentally by using a continuous-wave-pumped optical parametric
oscillator (OPO). The comb is generated without any active modulation. It is
based on cascading quadratic nonlinearities that arise from intra-cavity phase
mismatched second harmonic generation of the signal wave that resonates in the
OPO. The generated OFC is transferred from the signal wavelength (near-infrared)
to the idler wavelength (mid-infrared) by intracavity difference frequency
generation between the OPO pump wave and the signal comb. We have produced a mid
infrared frequency comb which is tunable from 3.0 to 3.4 um with an average
output power of up to 3.1 W.
PMID- 24921754
TI - Pulsed quantum cascade laser based hypertemporal real-time headspace
measurements.
AB - Optical cavity enhancement is a highly desirable process to make sensitive direct
absorption spectroscopic measurements of unknown substances, such as explosives,
illicit material, or other species of interest. This paper reports advancements
in the development of real-time cavity ringdown spectroscopy over a wide
bandwidth, with the aim to make headspace measurements of molecules at trace
levels. We report results of two pulsed quantum cascade systems operating between
(1200 to 1320)cm(-1) and (1316 to 1613)cm(-1) that measure the headspace of
nitromethane, acetonitrile, acetone, and nitroglycerin, where the spectra are
obtained in less than four seconds and contain at least 150,000 spectral
wavelength datapoints.
PMID- 24921756
TI - First demonstration of a 2MUm few-mode TDFA for mode division multiplexing.
AB - We report the first demonstration of an inline few-mode thulium doped fiber
amplifier (TDFA) operating at 2MUm for mode division multiplexed transmission.
Similar gain and noise figure performance for both the LP(01) and LP(11) modes
are obtained in a cladding pumped 2-mode group TDFA. A maximum gain of 18.3dB was
measured at 1970nm with a 3dB gain bandwidth of 75nm while the average noise
figure was measured to be between 7 and 8dB for wavelengths longer than 1970nm.
PMID- 24921757
TI - Integrated silicon modulator based on microring array assisted MZI.
AB - A silicon modulator with microring array assisted MZI is experimentally
demonstrated on silicon-on-insulator wafer through CMOS-compatible process. The
footprint of the whole modulator is about 600 MUm(2). With forward-biased current
driven p-n junction, the 3-dB modulation bandwidth is ~2GHz. Furthermore, the
impact of ambient temperature is minified with the help of MZI. Within
temperature range of 10 - 70 degrees C, the maximum divergence of modulation
curve is less than ~3 dB.
PMID- 24921758
TI - Transmission-lattice based geometric phase analysis for evaluating the dynamic
deformation of a liquid surface.
AB - Quantitatively measuring a dynamic liquid surface often presents a challenge due
to high transparency, fluidity and specular reflection. Here, a novel
Transmission-Lattice based Geometric Phase Analysis (TLGPA) method is introduced.
In this method, a special lattice is placed underneath a liquid to be tested and,
when viewed from above, the phase of the transmission-lattice image is modulated
by the deformation of the liquid surface. Combining this with multi-directional
Newton iteration algorithms, the dynamic deformation field of the liquid surface
can be calculated from the phase variation of a series of transmission-lattice
images captured at different moments. The developed method has the advantage of
strong self-adaption ability to initial lattice rotational errors and this is
discussed in detail. Dynamic 3D ripples formation and propagation was
investigated and the results obtained demonstrated the feasibility of the method.
PMID- 24921759
TI - Thermal management in hybrid InP/silicon photonic crystal nanobeam laser.
AB - Thermal properties of InP-based quantum well photonic crystal nanobeam lasers
heterogeneously integrated on silicon on insulator waveguides are studied. We
show both numerically and experimentally the reduction of the thermal resistance
of the III-V cavities by adjusting the composition of the layer which bonds the
III-V materials to the silicon wafer and by adding an over-cladding on top of the
cavities. Using a bonding layer made of benzocyclobutene and SiO(2) and an over
cladding of MgF(2), we found a decrease by a factor higher than 35 compared to
air-suspended photonic crystal nanobeam cavities. Such optimized structures are
demonstrated to operate under continuous wave pumping for several 10's of minutes
despite the adverse effect of non-radiative surface recombination of carriers.
PMID- 24921760
TI - Analytical modeling of XPM in dispersion-managed coherent fiber-optic systems.
AB - An analytical model for the cross-phase modulation (XPM) variance in dispersion
managed coherent fiber-optic systems is developed based on the first order
perturbation theory. The XPM variance is analytically calculated for arbitrary
pulse shapes. For a non-Gaussian pulse, the summation of time-shifted Gaussian
pulses is used to fit the target pulse shape, which not only provides a good
approximation of the non-Gaussian pulse but also allows explicit derivation of
the XPM variance. The analytically estimated XPM variance is found to be in good
agreement with numerical simulations.
PMID- 24921761
TI - Apertureless beam pen lithography based on fully metal-coated polyurethane
acrylate (PUA) pyramidal microstructure array.
AB - This work demonstrates a form of arrayed transmitting apertureless near-field
photolithography, called apertureless beam pen lithography. An array of fully
chromium-coated polyurethane acrylate (PUA) pyramidal microstructures was
illuminated by a traditional Ultraviolet (UV) lamp to generate an array of
massive UV beam pens for realizing apertureless beam pen lithography.
Experimental results reveal that significant UV energy can pass through the apex
of a fully metal-coated PUA pyramid even though the thickness of the metallic
coating exceeded the penetration depth. The patterned photoresist profiles were
117 nm deep and the full-width-at-half-magnitude (FWHM) was 180 nm when the
exposure dosage was 54 mJ/cm(2) and the wavelength was 365 nm. Both depth and
FWHM increased with exposure dosage, implying that the profiles depended on
exposure dosage rather than on physical imprinting. With the adjustment of the
thickness of the photoresist layer and the exposure parameters, the lift-off
process yields arrayed metal dots with a diameter of 300 nm. Finite-element
simulation of the intensity distribution near the apex of the pyramid and within
the photoresist layer was carried out to reveal that the energy concentration
within the pyramids is increased by approximately an order of magnitude,
significantly enhancing the UV energy that passes through the fully metal-coated
apex. The contrast curve model of the photoresist was used to calculate the
patterned photoresist profiles for various energies. Experimental results,
theoretical analysis and potential improvements of the method are presented.
PMID- 24921762
TI - Asymmetric double-image encryption based on cascaded discrete fractional random
transform and logistic maps.
AB - A double-image encryption is proposed based on the discrete fractional random
transform and logistic maps. First, an enlarged image is composited from two
original images and scrambled in the confusion process which consists of a number
of rounds. In each round, the pixel positions of the enlarged image are relocated
by using cat maps which are generated based on two logistic maps. Then the
scrambled enlarged image is decomposed into two components. Second, one of two
components is directly separated into two phase masks and the other component is
used to derive the ciphertext image with stationary white noise distribution by
using the cascaded discrete fractional random transforms generated based on the
logistic map. The cryptosystem is asymmetric and has high resistance against to
the potential attacks such as chosen plaintext attack, in which the initial
values of logistic maps and the fractional orders are considered as the
encryption keys while two decryption keys are produced in the encryption process
and directly related to the original images. Simulation results and security
analysis verify the feasibility and effectiveness of the proposed encryption
scheme.
PMID- 24921763
TI - Random sources for optical frames.
AB - Analytical models for random sources producing far fields with frame-like
intensity profiles are introduced. The frames can have polar and Cartesian
symmetry and adjustable sharpness of the inner and outer edges. The frames are
shape invariant throughout the far zone but expand due to diffraction with
growing distance from the source. The generalization to multiple nested frames is
also discussed. The applications of the frames are envisioned in material surface
processing and particle trapping.
PMID- 24921764
TI - Effects of three-dimensional polymer networks in vertical alignment liquid
crystal display controlled by in-plane field.
AB - Polymer network in vertical alignment liquid crystal cell driven by in-plane
field (VA-IPS) is formed in three dimensions to achieve fast response time and to
keep the liquid crystal alignment even when an external pressure is applied to
the cell. The network formed by UV irradiation to vertically aligned liquid
crystal cell with reactive mesogen does not disturb a dark state while exhibiting
very fast decaying response time less than 2ms in all grey scales and almost zero
pooling mura. The proposed device has a strong potential to be applicable to
field sequential display owing to super-fast response time and flexible display
owing to polymer network in bulk which supports a gap between two substrates.
PMID- 24921765
TI - External cavity diode lasers with 5kHz linewidth and 200nm tuning range at
1.55MUm and methods for linewidth measurement.
AB - Two simple external cavity diode laser designs using fibre pigtailed gain chips
are tested and their properties compared with a high end DBR fibre laser. These
ECDLs demonstrate a FWHM linewidth as low as 5.2kHz with a fitted Lorentzian FWHM
linewidth as low as 1.6kHz. Tuning ranges of 200nm covering 1420nm to 1620nm were
demonstrated. To the best of our knowledge these are the narrowest linewidth and
most broadly tunable external cavity diode lasers reported to date. The
improvement in linewidth is attributed to greatly enhanced acoustic isolation
allowed by using fiber coupled gain chips and by replacing kinematic mounts with
a pair of rotatable wedges for cavity alignment which eliminates acoustic
resonances. A detailed description and discussion of techniques used to
characterize the frequency noise and linewidths of these lasers is provided.
PMID- 24921766
TI - Erbium-doped waveguide DBR and DFB laser arrays integrated within an ultra-low
loss Si3N4 platform.
AB - Record low optical threshold power and high slope efficiency are reported for
arrays of distributed Bragg reflector lasers integrated within an ultra-low-loss
Si(3)N(4) planar waveguide platform. Additionally, arrays of distributed feedback
laser designs are presented that show improvements in pump-to-signal conversion
efficiency of over two orders of magnitude beyond that found in previously
published devices. Lithographically defined sidewall gratings provide the
required lasing feedback for both cavity configurations. Lasing emission is shown
over a wide wavelength range (1534 to 1570 nm), with output powers up to 2.1 mW
and side mode suppression ratios in excess of 50 dB.
PMID- 24921767
TI - Transport of Intensity phase imaging by intensity spectrum fitting of
exponentially spaced defocus planes.
AB - We propose an alternative method for solving the Transport of Intensity equation
(TIE) from a stack of through-focus intensity images taken by a microscope or
lensless imager. Our method enables quantitative phase and amplitude imaging with
improved accuracy and reduced data capture, while also being computationally
efficient and robust to noise. We use prior knowledge of how intensity varies
with propagation in the spatial frequency domain in order to constrain a fitting
algorithm [Gaussian process (GP) regression] for estimating the axial intensity
derivative. Solving the problem in the frequency domain inspires an efficient
measurement scheme which captures images at exponentially spaced focal steps,
significantly reducing the number of images required. Low-frequency artifacts
that plague traditional TIE methods can be suppressed without an excessive number
of captured images. We validate our technique experimentally by recovering the
phase of human cheek cells in a brightfield microscope.
PMID- 24921768
TI - A corrugated perfect magnetic conductor surface supporting spoof surface magnon
polaritons.
AB - In this paper, we demonstrate that spoof surface magnon polaritons (SSMPs) can
propagate along a corrugated perfect magnetic conductor (PMC) surface. From
duality theorem, the existence of surface electromagnetic modes on corrugated PMC
surfaces are manifest to be transverse electric (TE) mode compared with the
transverse magnetic (TM) mode of spoof surface plasmon plaritons (SSPPs) excited
on corrugated perfect electric conductor surfaces. Theoretical deduction through
modal expansion method and simulation results clearly verify that SSMPs share the
same dispersion relationship with the SSPPs. It is worth noting that this
metamaterial will have more similar properties and potential applications as the
SSPPs in large number of areas.
PMID- 24921769
TI - Modelization and optimized speckle detection scheme in photorefractive self
referenced acousto-optic imaging.
AB - A photorefractive BSO single crystal can be used for axially resolved acousto
optic imaging of thick scattering media in absence of a reference beam. This
configuration renders the experimental setup easier to realize for imaging
through thick scattering media with an improved optical etendue. We present here
a model and simulations that explains these results. It is based on the spatial
heterogeneity of the speckle pattern incident on the crystal. Optimization of the
detector position and of the speckle grain size is confirmed by the model.
PMID- 24921770
TI - Magnetic dipole radiation tailored by substrates: numerical investigation.
AB - Nanoparticles of high refractive index materials can possess strong magnetic
polarizabilities and give rise to artificial magnetism in the optical spectral
range. While the response of individual dielectric or metal spherical particles
can be described analytically via multipole decomposition in the Mie series, the
influence of substrates, in many cases present in experimental observations,
requires different approaches. Here, the comprehensive numerical studies of the
influence of a substrate on the spectral response of high-index dielectric
nanoparticles were performed. In particular, glass, perfect electric conductor,
gold, and hyperbolic metamaterial substrates were investigated. Optical
properties of nanoparticles were characterized via scattering cross-section
spectra, electric field profiles, and induced electric and magnetic moments. The
presence of substrates was shown to have significant impact on particle's
magnetic resonances and resonant scattering cross-sections. Variation of
substrate material provides an additional degree of freedom in tailoring optical
properties of magnetic multipoles, important in many applications.
PMID- 24921771
TI - Low-loss high-speed silicon IQ modulator for QPSK/DQPSK in C and L bands.
AB - A low-loss high-speed silicon in-phase (I) quadrature (Q) modulator is designed,
fabricated and characterized. The fabricated IQ modulator has a low passive
optical loss of 9 dB in C and L bands. Using the modulator, differential
quadrature phase-shift keying (DQPSK) transmission at 44.6 Gb/s with differential
detection is confirmed with an optical signal-to-noise ratio (OSNR) of 16.3 dB
for a bit error rate (BER) of 10(-3) and a dispersion tolerance of -96 to 107
ps/nm. Moreover, in digital coherent detection, quadrature phase-shift keying
(QPSK) up to 64 Gb/s are achieved with an OSNR of 11.6-11.8 dB for a BER of 10(
2) at 1530, 1550, and 1610 nm.
PMID- 24921772
TI - Monolithic silicon waveguide photodiode utilizing surface-state absorption and
operating at 10 Gb/s.
AB - We have fabricated a waveguide integrated monolithic silicon infrared detector.
The photodiode consists of a p-i-n junction across a silicon-on-insulator (SOI)
rib waveguide. Absorption is due to surface-states at the silicon/air interface
of the waveguide. A 2 mm long detector shows a response of 0.045 A/W (calculated
as a function of coupled light) and is capable of operation at 10 Gb/s at a
reverse bias voltage of 2 V.
PMID- 24921773
TI - Monolithic multi-functional integration of ROADM modules based on polymer
photonic lightwave circuit.
AB - A transparent reconfigurable optical add-drop multiplexer (ROADM) module composed
of AWG-based wavelength-channel-selectors monolithically integrated with Mach
Zehnder interferometer (MZI) thermo-optic (TO) waveguide switch arrays and
arrayed waveguide true-time-delay (TTD) lines is designed and fabricated using
polymer photonic lightwave circuit technology. Negative-type fluorinated
photoresist and grafting modified organic-inorganic hybrid materials were
synthesized as the waveguide core and cladding, respectively. The one-chip
transmission loss is ~6 dB and the crosstalk is less than ~30 dB for the
transverse-magnetic (TM) mode. The actual maximum modulation depths of different
thermo-optic switches are similar, ~15.5 dB with 1.9 V bias. The maximum power
consumption of a single switch is less than 10 mW. The delay time basic
increments are measured from 140 ps to 20 ps. Proposed novel ROADM is flexible
and scalable for the dense wavelength division multiplexing network.
PMID- 24921774
TI - TIRF microscopy with ultra-short penetration depth.
AB - Total internal reflection fluorescence microscopy (TIRF), in both commercial and
custom-built configurations, is widely used for high signal-noise ratio imaging.
The imaging depth of traditional TIRF is sensitive to the incident angle of the
laser, and normally limited to around 100 nm. In our paper, using a high
refractive index material and the evanescent waves of various waveguide modes, we
propose a compact and tunable ultra-short decay length TIRF system, which can
reach decay lengths as short as 19 nm, and demonstrate its application for
imaging fluorescent dye-labeled F-actin in HeLa cells.
PMID- 24921775
TI - Multi-meter fiber-delivery and pulse self-compression of milli-Joule femtosecond
laser and fiber-aided laser-micromachining.
AB - We report on damage-free fiber-guidance of milli-Joule energy-level and 600
femtosecond laser pulses into hypocycloid core-contour Kagome hollow-core
photonic crystal fibers. Up to 10 meter-long fibers were used to successfully
deliver Yb-laser pulses in robustly single-mode fashion. Different pulse
propagation regimes were demonstrated by simply changing the fiber dispersion and
gas. Self-compression to ~50 fs, and intensity-level nearing petawatt/cm(2) were
achieved. Finally, free focusing-optics laser-micromachining was also
demonstrated on different materials.
PMID- 24921776
TI - Monochromatization of femtosecond XUV light pulses with the use of reflection
zone plates.
AB - We report on a newly built laser-based tabletop setup which enables generation of
femtosecond light pulses in the XUV range employing the process of high-order
harmonic generation (HHG) in a gas medium. The spatial, spectral, and temporal
characteristics of the XUV beam are presented. Monochromatization of XUV light
with minimum temporal pulse distortion is the central issue of this work. Off
center reflection zone plates are shown to be advantageous when selection of a
desired harmonic is carried out with the use of a single optical element. A cross
correlation technique was applied to characterize the performance of the zone
plates in the time domain. By using laser pulses of 25 fs length to pump the HHG
process, a pulse duration of 45 fs for monochromatized harmonics was achieved in
the present setup.
PMID- 24921777
TI - Edge effect modeling and experiments on active lap processing.
AB - Edge effect is regarded as one of the most difficult technical issues for
fabricating large primary mirrors, especially for large polishing tools. Computer
controlled active lap (CCAL) uses a large size pad (e.g., 1/3 to 1/5 workpiece
diameters) to grind and polish the primary mirror. Edge effect also exists in the
CCAL process in our previous fabrication. In this paper the material removal
rules when edge effects happen (i.e. edge tool influence functions (TIFs)) are
obtained through experiments, which are carried out on a Phi1090-mm circular flat
mirror with a 375-mm-diameter lap. Two methods are proposed to model the edge
TIFs for CCAL. One is adopting the pressure distribution which is calculated
based on the finite element analysis method. The other is building up a
parametric equivalent pressure model to fit the removed material curve directly.
Experimental results show that these two methods both effectively model the edge
TIF of CCAL.
PMID- 24921778
TI - Continuous phase estimation from noisy fringe patterns based on the implicit
smoothing splines.
AB - We introduce the algorithm for the direct phase estimation from the single noisy
interferometric pattern. The method, named implicit smoothing spline (ISS), can
be regarded as a formal generalization of the smoothing spline interpolation for
the case when the interpolated data is given implicitly. We derive the necessary
equations, discuss the properties of the method and address its application for
the direct estimation of the continuous phase in both classical interferometry
and digital speckle pattern interferometry (DSPI). The numerical illustrations of
the algorithm performance are provided to corroborate the high quality of the
results.
PMID- 24921779
TI - Thermally driven dual-frequency Q-switching of Nd:YGd2Sc2Al2GaO12 ceramic laser.
AB - Multi-wavelength operation of Q-switched Nd-doped YGd(2)Sc(2)Al(2)GaO(12) garnet
ceramic lasers has been investigated. Dual-wavelength emission around ~1.06 um
has been demonstrated both in the actively and passively Q-switched
configurations. The ratio of output energy between the two laser wavelengths was
driven by the temperature elevation caused by pumping. Passively Q-switched
operation yields dual-frequency emission of two unsynchronized laser pulses
carried by distinct transverse modes whereas active Q-switched configuration
offers the possibility of synchronizing emission at the two wavelengths.
PMID- 24921780
TI - Determining optimum operating conditions of the polarization-maintaining fiber
with two far-lying zero dispersion wavelengths for CARS microscopy.
AB - Single femtosecond laser-based coherent anti-Stokes Raman scattering (CARS)
microscopy, using a photonic crystal fiber (PCF) pumped in the near-IR to
generate a supercontinuum for the Stokes source, is rapidly being adopted as a
cost-effective approach. A PCF with two closely-lying zero dispersion wavelengths
is a popular choice for the Stokes source, but it is often limited to imaging
lipids. A polarization-maintaining PCF with two far-lying zero dispersion
wavelengths offers important advantages for polarization CARS microscopy, and for
CARS imaging in the fingerprint region. This PCF fiber, though commercially
available, has limited use for CARS microscopy in the C-H bond region. The main
problem is that the supercontinuum from this fiber is typically noisier than that
from a standard PCF with two closely-lying zero dispersion wavelengths. To
overcome this, we determined the optimum operating conditions for generating a
low-noise supercontinuum out of a PCF with two far-lying zero dispersion
wavelengths, in terms of the input parameters of the excitation pulse. We
measured the relative intensity noise (RIN) of the Stokes and the corresponding
CARS signal as a function of the input laser parameters in this fiber. We showed
that the results of CARS imaging using this alternate fiber are comparable to
those achieved using the standard fiber, for input laser pulse conditions of low
average power, narrow pulse width with slightly positive chirp, and polarization
direction parallel to the slow axis of the selected fiber.
PMID- 24921781
TI - A non-orthogonal coupled mode theory for super-modes inside multi-core fibers.
AB - In this paper, a non-orthogonal coupled mode theory is proposed to analyze the
super-modes of multi-core fibers (MCFs). The theory is valid in the strong
coupling regime and can provide accurate analytical formulas for the super-modes
inside MCFs. MCFs with circularly distributed cores are analyzed as an example.
Analytical formulas are derived both for the refractive indexes and the eigen
vectors of the super-modes. It is rigorously revealed that the eigen vectors for
the super-modes of such MCFs are the row vectors of the inverse discrete Fourier
transform (IDFT) matrix. Therefore, by pre-coding the signal channels via IDFT,
one is able to generate the super-modes for the MCFs with circularly distributed
cores.
PMID- 24921782
TI - Optical detection and modulation at 2um-2.5um in silicon.
AB - Recently the 2MUm wavelength region has emerged as an exciting prospect for the
next generation of telecommunications. In this paper we experimentally
characterise silicon based plasma dispersion effect optical modulation and defect
based photodetection in the 2-2.5MUm wavelength range. It is shown that the
effectiveness of the plasma dispersion effect is dramatically increased in this
wavelength window as compared to the traditional telecommunications wavelengths
of 1.3MUm and 1.55MUm. Experimental results from the defect based photodetectors
show that detection is achieved in the 2-2.5MUm wavelength range, however the
responsivity is reduced as the wavelength is increased away from 1.55MUm.
PMID- 24921783
TI - Time domain adjoint sensitivity analysis of electromagnetic problems with
nonlinear media.
AB - In this paper, we propose a theory for wideband adjoint sensitivity analysis of
problems with nonlinear media. We show that the sensitivities of the desired
response with respect to all shape and material parameters are obtained through
one extra adjoint simulation. Unlike linear problems, the system matrices of this
adjoint simulation are time varying. Their values are determined during the
original simulation. The proposed theory exploits the time-domain transmission
line modeling (TLM) and provides an efficient AVM approach for sensitivity
analysis of general time domain objective functions. The theory has been
illustrated through a number of examples.
PMID- 24921784
TI - Digital quadrature amplitude modulation with optimized non-rectangular
constellations for 100 Gb/s transmission by a directly-modulated laser.
AB - We study the performance of novel quadrature amplitude modulation (QAM)
constellations for 100 Gb/s transmission by a directly-modulated laser. Due to
the strong nonlinearity of a directly-modulated laser, rectangular constellations
suffer a large penalty from their regular spacing between symbols. We present a
method for synthesizing irregular constellations which position symbols more
efficiently. We will demonstrate the improved performance of these novel
constellations over the conventional rectangular constellation as well as the
superior performance achievable with digital QAM compared to optimally bit-loaded
discrete-multitone modulation.
PMID- 24921785
TI - Wavelength-dependent longitudinal polarizability of gold nanorod on optical
torques.
AB - This study theoretically investigates the wavelength-dependent longitudinal
polarizability of a gold nanorod (GNR) irradiated by a polarized laser beam. The
resultant optical torque in terms of the Maxwell stress tensor was analyzed
quantitatively using the multiple multipole method. Our results indicate that the
real part of the longitudinal polarizability of GNR can be either positive or
negative, leading to the parallel or perpendicular modes, respectively. For the
parallel and perpendicular modes, the long axis of GNR is rotated to align
parallel and perpendicular, respectively, to the polarization direction of the
illuminating light. The turning point between these two modes, depending on the
aspect ratio (AR) and the size of GNR, nearly coincides with the longitudinal
surface plasmon resonance (LSPR). The perpendicular mode ranges from the
transverse SPR to LSPR, and the range of the parallel mode is broadband from LSPR
to the near infrared regime. Owing to that a larger optical torque and less
plasmonic heating are of concern, an efficiency of optical torque is defined to
evaluate the performance of different wavelengths. Analysis results indicate that
lasers with wavelength in the perpendicular mode are applicable to rotate and
align a GNR of a higher AR. For example, the laser of 785 nm (the perpendicular
mode) is superior to that of 1064 nm (the parallel mode, off-resonant from LSPR
of 955 nm) for rotating a GNR of AR = 4 and radius 20 nm with an orientation of
45 degrees with respect to the laser polarization.
PMID- 24921786
TI - All-optical reservoir computer based on saturation of absorption.
AB - Reservoir computing is a new bio-inspired computation paradigm. It exploits a
dynamical system driven by a time-dependent input to carry out computation. For
efficient information processing, only a few parameters of the reservoir needs to
be tuned, which makes it a promising framework for hardware implementation.
Recently, electronic, opto-electronic and all-optical experimental reservoir
computers were reported. In those implementations, the nonlinear response of the
reservoir is provided by active devices such as optoelectronic modulators or
optical amplifiers. By contrast, we propose here the first reservoir computer
based on a fully passive nonlinearity, namely the saturable absorption of a
semiconductor mirror. Our experimental setup constitutes an important step
towards the development of ultrafast low-consumption analog computers.
PMID- 24921787
TI - Design of DPSS based fiber bragg gratings and their application in all-optical
encryption, OCDMA, optical steganography, and orthogonal-division multiplexing.
AB - The future information infrastructure will be affected by limited bandwidth of
optical networks, high energy consumption, heterogeneity of network segments, and
security issues. As a solution to all problems, we advocate the use of both
electrical basis functions (orthogonal prolate spheroidal basis functions) and
optical basis functions, implemented as FBGs with orthogonal impulse response in
addition to spatial modes. We design the Bragg gratings with orthogonal impulse
responses by means of discrete layer peeling algorithm. The target impulse
responses belong to the class of discrete prolate spheroidal sequences, which are
mutually orthogonal regardless of the sequence order, while occupying the fixed
bandwidth. We then design the corresponding encoders and decoders suitable for
all-optical encryption, optical CDMA, optical steganography, and orthogonal
division multiplexing (ODM). Finally, we propose the spectral multiplexing-ODM
spatial multiplexing scheme enabling beyond 10 Pb/s serial optical transport
networks.
PMID- 24921788
TI - Remote state preparation of three-dimensional optical vortices.
AB - We propose a feasible scheme to remotely prepare three-dimensional (3D) optical
vortex lines. Our scheme relies on the complete description of high-dimensional
orbital angular momentum (OAM) entanglement in terms of the Laguerre-Gaussian
modes. It is theoretically demonstrated that by simply changing the pump beam
waist, we can remotely prepare the target photons in the vortex states of 3D
interesting morphology, appearing as twisted vortex strands, separated vortex
loops, and vortex link or knot. Furthermore, we employ the biphoton Klyshko
picture to illustrate the conservation law of the OAM index l and the spreading
effect of the radial mode index p, where the Schmidt numbers are calculated to
show the high-dimensional capacity of the quantum channels involved in the
present remote state preparation.
PMID- 24921789
TI - Dual-wavelength rectangular pulse Yb-doped fiber laser using a microfiber-based
graphene saturable absorber.
AB - We reported on the generation of dual-wavelength rectangular pulses in a Yb-doped
fiber laser (YDFL) by using a microfiber-based graphene saturable absorber (GSA).
The duration of dual-wavelength rectangular pulse could be varied from 1.41 ns to
4.23 ns with the increasing pump power. With a tunable bandpass filter, it was
found that the characteristics of the rectangular pulses centered at 1061.8 nm
and 1068.8 nm are similar to each other. Moreover, the dual-wavelength switchable
operation was also realized by properly rotating the polarization controllers
(PCs). The demonstration of the dual-wavelength rectangular pulses from a YDFL
would open some applications for fields such as spectroscopy, biomedicine and
sensing research.
PMID- 24921790
TI - High-resolution low-noise 360-degree digital solid reconstruction using phase
stepping profilometry.
AB - In this paper we describe a high-resolution, low-noise phase-shifting algorithm
applied to 360 degree digitizing of solids with diffuse light scattering surface.
A 360 degree profilometer needs to rotate the object a full revolution to
digitize a three-dimensional (3D) solid. Although 360 degree profilometry is not
new, we are proposing however a new experimental set-up which permits full phase
bandwidth phase-measuring algorithms. The first advantage of our solid
profilometer is: it uses base-band, phase-stepping algorithms providing full data
phase-bandwidth. This contrasts with band-pass, spatial-carrier Fourier
profilometry which typically uses 1/3 of the fringe data-bandwidth. In addition
phase-measuring is generally more accurate than single line-projection, non
coherent, intensity-based line detection algorithms. Second advantage: new fringe
projection set-up which avoids self-occluding fringe-shadows for convex solids.
Previous 360 degree fringe-projection profilometers generate self-occluding
shadows because of the elevation illumination angles. Third advantage: trivial
line-by-line fringe-data assembling based on a single cylindrical coordinate
system shared by all 360-degree perspectives. This contrasts with multi-view
overlapping fringe-projection systems which use iterative closest point (ICP)
algorithms to fusion the 3D-data cloud within a single coordinate system (e.g.
Geomagic). Finally we used a 400 steps/rotation turntable, and a 640x480 pixels
CCD camera. Higher 3D digitized surface resolutions and less-noisy phase
measurements are trivial by increasing the angular-spatial resolution and phase
steps number without any substantial change on our 360 degree profilometer.
PMID- 24921791
TI - Full flex-grid asynchronous multiplexing demonstrated with Nyquist pulse-shaping.
AB - We demonstrate full flex-grid operation with Nyquist frequency division
multiplexing. The technique supports high spectral efficiency, asynchronous
operation of channels, variable channel loading with different modulation formats
and dynamic bandwidth allocation. Data from different sources with different bit
and symbol rates are encoded onto electrical Nyquist pulses with different
electrical subcarrier frequencies, and then transmitted optically. We give
details on the transceiver design with digital signal processing and investigate
the implementation penalty as a function of several design parameters such as
limited filter length and effective number of bits. Finally, experiments are
performed for receivers with direct detection, intradyne and remote heterodyne
reception.
PMID- 24921792
TI - Wide bandwidth and high coupling efficiency Si3N4-on-SOI dual-level grating
coupler.
AB - We propose and experimentally demonstrate fiber-to-chip grating couplers with
aligned silicon nitride (Si(3)N(4)) and silicon (Si) grating teeth for wide
bandwidths and high coupling efficiencies without the use of bottom reflectors.
The measured 1-dB bandwidth is a record 80 nm, and the measured peak coupling
efficiency is -1.3 dB, which is competitive with the best Si-only grating
couplers. The grating couplers are integrated in a Si(3)N(4) on silicon-on
insulator (SOI) integrated optics platform with aligned waveguides in both the
Si(3)N(4) and Si, and we demonstrate a 1 * 4 tunable multiplexer/demultiplexer
using the Si(3)N(4)-on-SOI dual-level grating couplers and thermally-tuned Si
microring resonators.
PMID- 24921793
TI - First on-sky results of the CO-SLIDAR C(2)(n) profiler.
AB - COupled SLope and scIntillation Detection And Ranging (CO-SLIDAR) is a recent
profiling method of the vertical distribution of atmospheric turbulence strength
(C(2)(n) profile). It takes advantage of correlations of slopes and of
scintillation, both measured with a Shack-Hartmann wavefront sensor on a binary
star. In this paper, we present the improved CO-SLIDAR reconstruction method of
the C(2)(n) profile and the first on-sky results of the CO-SLIDAR profiler. We
examine CO-SLIDAR latest performance in simulation, taking into account the
detection noise bias and estimating error bars along with the turbulence profile.
The estimated C(2)(n) profiles demonstrate the accuracy of the CO-SLIDAR method,
showing sensitivity to both low and high altitude turbulent layers. CO-SLIDAR is
tested on-sky for the first time, on the 1.5 m MeO (Metrologie Optique) telescope
at Observatoire de la Cote d'Azur (France). The reconstructed profiles are
compared to turbulence profiles estimated from meteorological data and a good
agreement is found. We discuss CO-SLIDAR's contribution in the C(2)(n) profilers'
landscape and we propose some improvements of the instrument.
PMID- 24921794
TI - Dissociative recombination in ultraviolet filamentary plasma gratings.
AB - We investigated collisions of nitrogen and argon gas mixture with energetic
electrons accelerated by Bragg incident intense infrared femtosecond laser pulses
in ultraviolet filamentary plasma gratings. Significant decrease of fluorescence
spectra of argon atoms were observed when a small amount of nitrogen gas was
mixed with argon gas that facilitated observable argon-nitrogen collisions. We
experimentally measured the fluorescence emission from the argon and nitrogen gas
mixture under different driving pulse energies, the fluorescence decay dynamics
after the impact excitation, as well as the fluorescence intensity dependence on
the nitrogen and argon pressures. The experimental measurements were based on the
electron acceleration and its subsequent impact with the gas mixture in the
filamentary plasma gratings, which was essential for the observation of the
dominant dissociative recombination in the gas mixture.
PMID- 24921795
TI - Dual-polarization multi-band optical OFDM transmission and transceiver
limitations for up to 500 Gb/s uncompensated long-haul links.
AB - A number of critical issues for dual-polarization single- and multi-band optical
orthogonal-frequency division multiplexing (DP-SB/MB-OFDM) signals are analyzed
in dispersion compensation fiber (DCF)-free long-haul links. For the first time,
different DP crosstalk removal techniques are compared, the maximum transmission
reach is investigated, and the impact of subcarrier number and high-level
modulation formats are explored thoroughly. It is shown, for a bit-error-rate
(BER) of 10(-3), 2000 km of quaternary phase-shift keying (QPSK) DP-MB-OFDM
transmission is feasible. At high launched optical powers (LOP), maximum
likelihood decoding can extend the LOP of 40 Gb/s QPSK DP-SB-OFDM at 2000 km by
1.5 dB compared to zero-forcing. For a 100 Gb/s DP-MB-OFDM system, a high number
of subcarriers contribute to improved BER but at the cost of digital signal
processing computational complexity, whilst by adapting the cyclic prefix length
the BER can be improved for a low number of subcarriers. In addition, when 16
quadrature amplitude modulation (16QAM) is employed the digital-to
analogue/analogue-to-digital converter (DAC/ADC) bandwidth is relaxed with a
degraded BER; while the 'circular' 8QAM is slightly superior to its 'rectangular'
form. Finally, the transmission of wavelength-division multiplexing DP-MB-OFDM
and single-carrier DP-QPSK is experimentally compared for up to 500 Gb/s showing
great potential and similar performance at 1000 km DCF-free G.652 line.
PMID- 24921796
TI - Ultrafast all-optical modulation with hyperbolic metamaterial integrated in Si
photonic circuitry.
AB - The integration of optical metamaterials within silicon integrated photonic
circuitry bears significantly potential in the design of low-power, nanoscale
footprint, all-optical functionalities. We propose a novel concept and provide
detailed analysis of an on-chip ultrafast all-optical modulator based on an
hyperbolic metamaterial integrated in a silicon waveguide. The anisotropic
metamaterial based on gold nanorods is placed on top of the silicon waveguide to
form a modulator with a 300x440x600 nm(3) footprint. For the operating wavelength
of 1.5 MUm, the optimized geometry of the device has insertion loss of about 5 dB
and a modulation depth of 35% with a sub-ps switching rate. The switching energy
estimated from nonlinear transient dynamic numerical simulations is 3.7 pJ/bit
when the transmission is controlled optically at a wavelength of 532 nm, resonant
with the transverse plasmonic mode of the metamaterial. The switching mechanism
is based on the control of the hybridization of eigenmodes in the metamaterial
slab and the Si waveguide.
PMID- 24921797
TI - Tuning resonant interaction of orthogonally polarized solitons and dispersive
waves with the soliton power.
AB - We demonstrate that the relatively small power induced changes in the soliton
wavenumber comparable with splitting of the effective indexes of the orthogonally
polarized waveguide modes result in significant changes of the efficiency of the
interaction between solitons and dispersive waves and can be used to control
energy transfer between the soliton and newly generated waves and to delay or
accelerate solitons.
PMID- 24921798
TI - Axial standing-wave illumination frequency-domain imaging (SWIF).
AB - Despite their tremendous contribution to biomedical research and diagnosis,
conventional spatial sampling techniques such as wide-field, point scanning or
selective plane illumination microscopy face inherent limiting trade-offs between
spatial resolution, field-of-view, phototoxicity and recording speed. Several of
these trade-offs are the result of spatial sampling with diffracting beams. Here,
we introduce a new strategy for fluorescence imaging, SWIF, which instead encodes
the axial profile of a sample in the Fourier domain. We demonstrate how this can
be achieved with propagation-invariant illumination patterns that extend over
several millimeters and robustly propagate through layers of varying refractive
index. This enabled us to image a lateral field-of-view of 0.8 mm x 1.5 mm with
an axial resolution of 2.4 um - greatly exceeding the lateral field-of-view of
conventional illumination techniques (~100 um) at comparable resolution. Thus,
SWIF allowed us to surpass the limitations of diffracting illumination beams and
untangle lateral field-of-view from resolution.
PMID- 24921799
TI - Dielectric multilayer beam splitter with differential phase shift on transmission
and reflection for division-of-amplitude photopolarimeter.
AB - Dielectric multilayer beam splitter with differential phase shift on transmission
and reflection for division-of-amplitude photopolarimeter (DOAP) was presented
for the first time to our knowledge. The optimal parameters for the beam splitter
are Tp = 78.9%, Ts = 21.1% and Deltar - Deltat = pi/2 at 532nm at an angle of
incidence of 45 degrees . Multilayer anti-reflection coating with low phase shift
was applied to reduce the backside reflection. Different design strategies that
can achieve all optimal targets at the wavelength were tested. Two design methods
were presented to optimize the differential phase shift. The samples were
prepared by ion beam sputtering (IBS). The experimental results show good
agreement with those of the design. The ellipsometric parameters of samples were
measured in reflection (psir, Deltar) = (26.5 degrees , 135.1 degrees ) and (28.2
degrees , 133.5 degrees ), as well as in transmission (psit, Deltat) = (62.5
degrees , 46.1 degrees ) and (63.5 degrees , 46 degrees ) at 532.6nm. The
normalized determinant of instrument matrix to evaluate the performance of
samples is respectively 0.998 and 0.991 at 532.6nm.
PMID- 24921800
TI - High efficiency asymmetric directional coupler for slow light slot photonic
crystal waveguides.
AB - An asymmetric directional coupler scheme for the efficient injection of light
into slow light slot photonic crystal waveguide modes is proposed and
investigated using finite-difference time-domain simulation. Coupling wavelengths
can be flexibly controlled by the geometrical parameters of a side-coupled
subwavelength corrugated strip waveguide. This approach leads to a ~1dB insertion
loss level up to moderately high light group indices (nG~30) in wavelength ranges
of 5-10nm. This work brings new opportunities to inject light into the slow modes
of slot photonic crystal waveguides for on-chip communications using hybrid
silicon photonics or sensing based on hollow core waveguides.
PMID- 24921801
TI - Infrared photoresponse of GeSn/n-Ge heterojunctions grown by molecular beam
epitaxy.
AB - Heterojunction devices of Ge(1-x)Sn(x) / n-Ge were grown by solid source
molecular beam epitaxy (MBE), and the mid-infrared (IR) photocurrent response was
measured. With increasing Sn composition from 4% to 12%, the photocurrent spectra
became red-shifted, suggesting that the bandgap of Ge(1-x)Sn(x) alloys was
lowered compared to pure Ge. At a temperature of 100 K, the wavelengths of peak
photocurrent were shifted from 1.42 um for pure Ge (0% Sn) to 2.0 um for 12% Sn.
The bias dependence of the device response showed that the optimum reverse bias
was > 0.5 volts for saturated photocurrent. The responsivity of the Ge(1-x)Sn(x)
devices was estimated to be 0.17 A/W for 4% Sn. These results suggest that Ge(1
x)Sn(x) photodetectors may have practical applications in the near/mid IR
wavelength regime.
PMID- 24921802
TI - Fabrication of micro-axicons using direct-laser writing.
AB - A novel direct-laser writing fabrication process for micro-axicons is
demonstrated. A fiber-axicon-generated Bessel beam was utilized to write on UV
curable optical epoxy to form new axicons and axicon arrays, and geometrical
parameters of the replicated epoxy axicons were analyzed in terms of both apex
angle and proximity of the writing axicons. The shape of the fabricated axicons
was demonstrated to be controllable through laser exposure, proximity, and apex
angle of the source axicon, and the fabricated axicons are capable of generating
a quality Bessel beam with an excellent focusing performance.
PMID- 24921803
TI - Direct observation of light focusing by single photoreceptor cell nuclei.
AB - The vertebrate retina is inverted with respect to its optical function, which
requires light to pass through the entire tissue prior to detection. The last
significant barrier for photons to overcome is the outer nuclear layer formed by
photoreceptor cell (PRC) nuclei. Here we experimentally characterise the optical
properties of PRC nuclei using bright-field defocusing microscopy to capture near
field intensity distributions behind individual nuclei. We find that some nuclei
efficiently focus incident light confirming earlier predictions based on
comparative studies of chromatin organisation in nocturnal and diurnal mammals.
The emergence of light focusing during the development of mouse nuclei highlights
the acquired nature of the observed lens-like behaviour. Optical characterisation
of these nuclei is an important first step towards an improved understanding of
how light transmission through the retina is influenced by its constituents.
PMID- 24921804
TI - Terahertz phase contrast imaging of sorption kinetics in porous coordination
polymer nanocrystals using differential optical resonator.
AB - The enhancement of light-matter coupling when light is confined to wavelength
scale volumes is useful both for studying small sample volumes and increasing the
overall sensing ability. At these length scales, nonradiative interactions are of
key interest to which near-field optical techniques may reveal new phenomena
facilitating next-generation material functionalities and applications. Efforts
to develop novel chemical or biological sensors using metamaterials have yielded
innovative ideas in the optical and terahertz frequency range whereby the
spatially integrated response over a resonator structure is monitored via the re
radiated or leaked light. But although terahertz waves generally exhibit
distinctive response in chemical molecules or biological tissue, there is little
absorption for subwavelength size sample and therefore poor image contrast. Here,
we introduce a method that spatially resolves the differential near-field phase
response of the entire resonator as a spectral fingerprint. By simultaneously
probing two metallic ring resonators, where one loaded with the sample of
interest, the differential phase response is able to resolve the presence of
guest molecules (e.g. methanol) as they are adsorbed or released within the pores
of a prototypical porous coordination polymer.
PMID- 24921805
TI - Photoinduced active terahertz metamaterials with nanostructured vanadium dioxide
film deposited by sol-gel method.
AB - Applying the photoexcitation characteristics of vanadium dioxide (VO(2)), a
dynamic resonant terahertz (THz) functional device with the combination of VO(2)
film and dual-resonance metamaterial was suggested to realize the ultrafast
external spatial THz wave active manipulation. The designed metamaterial realizes
a pass band at 0.28-0.36 THz between the dual-resonant frequencies, and the VO(2)
film is applied to control the transmittance of the spatial THz wave. More than
an 80% modulation depth has been observed in the statics experiment, and the
dynamic experimental results illustrate that this active metamaterial realizes up
to a 1 MHz amplitude modulation signal loaded on a 0.34 THz carrier wave without
any low noise amplified devices. The electromagnetic properties and photoinduced
dynamic characteristics of this structure may have many potential applications in
THz functional components, including modulators, intelligent switches, and
sensors.
PMID- 24921806
TI - Vortex algebra by multiply cascaded four-wave mixing of femtosecond optical
beams.
AB - Experiments performed with different vortex pump beams show for the first time
the algebra of the vortex topological charge cascade, that evolves in the process
of nonlinear wave mixing of optical vortex beams in Kerr media due to competition
of four-wave mixing with self-and cross-phase modulation. This leads to the
coherent generation of complex singular beams within a spectral bandwidth larger
than 200nm. Our experimental results are in good agreement with frequency-domain
numerical calculations that describe the newly generated spectral satellites.
PMID- 24921807
TI - Graphene oxide-based waveguide polariser: from thin film to quasi-bulk.
AB - We have demonstrated a broadband waveguide polariser with high extinction ratio
on a polymer optical waveguide coated with graphene oxide via the drop-casting
method. The highest extinction ratio of nearly 40 dB is measured at 1590 nm, with
a variation of 4.5 dB across a wavelength range from 1530 nm to 1630 nm, a ratio
that is (to our knowledge) the highest reported for graphene-based waveguide
polarisers to date. This result is achieved with a graphene oxide coating length
along the propagation direction of only 1.3 mm and a bulk film thickness of 2.0
um. The underlying principles of the strongly polarisation dependent propagation
loss demonstrated have been studied and are attributed to the anisotropic complex
dielectric function of graphene oxide bulk film.
PMID- 24921808
TI - Characterization of the spatiotemporal evolution of ultrashort optical pulses
using FROG holography.
AB - We propose holographically recorded frequency-resolved-optical-gating (FROG)
measurement for probing the evolution of ultrashort optical pulses at multiple
locations. As a proof-of-concept demonstration of our method, we record
holographic FROG traces at five axial locations as we traverse through the focus
of an objective lens along its axis using BaTiO(3) micro-cluster as the nonlinear
medium and retrieve the amplitude and phase of the ultrashort pulse at each
location. Moreover, the group delay of the pulse retrieved numerically using FROG
holography is used to compute the separation between the five locations which
agree well with the experimentally measured distances. This study suggests that
FROG holography can be used to remove the ambiguity regarding arbitrary constant
phase and arbitrary temporal shift in the retrieved pulse at each location (upto
to an overall constant phase).
PMID- 24921809
TI - Design of dual-link (wide- and narrow-beam) LED communication systems.
AB - We explore the design of an LED-based communication system comprising two free
space optical links: one narrow-beam (primary) link for bulk data transmission
and one wide-beam (beacon) link for alignment and support of the narrow-beam
link. Such a system combines the high throughput of a highly directional link
with the robust insensitivity to pointing errors of a wider-beam link. We develop
a modeling framework for this dual-link configuration and then use this framework
to explore system tradeoffs in power, range, and achievable rates. The proposed
design presents a low-cost, compact, robust means of communication at short- to
medium-ranges, and calculations show that data rates on the order of Mb/s are
achievable at hundreds of meters with only a few LEDs.
PMID- 24921810
TI - 650-nm 1 * 2 polymeric thermo-optic switch with low power consumption.
AB - In this paper, a low-power 1 * 2 polymeric thermo-optic switch operating at the
polymer optical fiber low-loss window of 650 nm was studied. The characteristic
parameters of the switch were carefully designed and simulated. The fabrication
was done by using standard semiconductor fabrication techniques such as spin
coating, photolithography, and dry etching. The device was fabricated based on
poly(methyl methacrylate) (PMMA)-based materials with the Mach-Zehnder
interferometer (MZI) structure. The device shows an extinction ratio of over 23.4
dB at 650 nm with a very low-power consumption of 5.3 mW. The measured switching
rise time and fall time are 464.4 and 448.0 us, respectively.
PMID- 24921811
TI - Optical length change measurement via RF frequency shift analysis of incoherent
light source based optoelectronic oscillator.
AB - Radio-frequency (RF) frequency shift of incoherent light source based
optoelectronic oscillator (OEO) is employed to measure the optical length change.
In the proposed OEO using an incoherent light source, the optical length under
test is inserted in the optoelectronic hybrid loop. The frequency shift of RF
oscillation modes at the output of the OEO reflects the optical length change,
with the change being measured via frequency shift analysis. Two OEO
configurations are theoretically designed and experimentally performed, while an
amplified spontaneous emission (ASE) source serves as the incoherent light
source. A linear relationship between the frequency shift and the optical length
change has been confirmed for measurement, and a reconfigurable measurement
sensitivity is available by selecting different oscillation modes. Moreover, the
use of ASE greatly reduces the complexity and the cost for stabilization control
on light source, while the derived results are consistent with that obtained in a
laser source based OEO both in the measured optical length changes and the phase
noise performance. A sensitivity of -28 KHz/cm, -480 KHz/cm or higher, and a
resolution of nano-meter scale are obtained, which can be used to monitor the
displacement, the changes in refractive index, temperature.
PMID- 24921812
TI - Vectorial point spread function and optical transfer function in oblique plane
imaging.
AB - Oblique plane imaging, using remote focusing with a tilted mirror, enables direct
two-dimensional (2D) imaging of any inclined plane of interest in three
dimensional (3D) specimens. It can image real-time dynamics of a living sample
that changes rapidly or evolves its structure along arbitrary orientations. It
also allows direct observations of any tilted target plane in an object of which
orientational information is inaccessible during sample preparation. In this
work, we study the optical resolution of this innovative wide-field imaging
method. Using the vectorial diffraction theory, we formulate the vectorial point
spread function (PSF) of direct oblique plane imaging. The anisotropic lateral
resolving power caused by light clipping from the tilted mirror is theoretically
analyzed for all oblique angles. We show that the 2D PSF in oblique plane imaging
is conceptually different from the inclined 2D slice of the 3D PSF in
conventional lateral imaging. Vectorial optical transfer function (OTF) of
oblique plane imaging is also calculated by the fast Fourier transform (FFT)
method to study effects of oblique angles on frequency responses.
PMID- 24921813
TI - Speckle reduction in laser projection using a dynamic deformable mirror.
AB - Despite of much effort and significant progress in recent years, speckle removal
is still a challenge for laser projection technology. In this paper, speckle
reduction by dynamic deformable mirror was investigated. Time varying independent
speckle patterns were generated due to the angle diversity introduced by the
dynamic mirror, and these speckle patterns were averaged out by the camera or
human eyes, thus reducing speckle contrast in the final image. The speckle
reduction by the wavelength diversity of the lasers was also studied. Both
broadband lasers and narrowband laser were used for experiment. It is
experimentally shown that speckle suppression can be attained by the widening of
the spectrum of the lasers. Lower speckle contrast reduction was attained by the
wavelength diversity for narrowband laser compared to the broadband lasers. This
method of speckle reduction is suitable in laser projectors for wide screen
applications where high power laser illumination is needed.
PMID- 24921815
TI - Reflective composite sheet design for LCD backlight recycling.
AB - We have designed a reflective composite sheet consisting of a birefringent
polymer matrix and isolated isotropic or minimally birefringent fibers. The
optical properties of the sheet have been investigated in terms of the width,
spacing, and thickness of the individual fibers. Commercial software (FDTD
Solution) was used to simulate the reflectance of the proposed sheet, and
conventional processes such as cast-film extrusion in combination with solid
state drawing were used to manufacture the multilayer composite sheet. The
measured and simulated reflectance spectra confirm the feasibility of employing
the sheet as a reflective polarizer.
PMID- 24921814
TI - Polarization rotator-splitters and controllers in a Si3N4-on-SOI integrated
photonics platform.
AB - We demonstrate novel polarization management devices in a custom-designed silicon
nitride (Si(3)N(4)) on silicon-on-insulator (SOI) integrated photonics platform.
In the platform, Si(3)N(4) waveguides are defined atop silicon waveguides. A
broadband polarization rotator-splitter using a TM0-TE1 mode converter in a
composite Si(3)N(4)-silicon waveguide is demonstrated. The polarization
crosstalk, insertion loss, and polarization dependent loss are less than -19 dB,
1.5 dB, and 1.0 dB, respectively, over a bandwidth of 80 nm. A polarization
controller composed of polarization rotator-splitters, multimode interference
couplers, and thin film heaters is also demonstrated.
PMID- 24921816
TI - Tunable, continuous-wave optical parametric oscillator with more than 1W output
power in the orange visible spectrum.
AB - We report on the implementation of an all-solid-state optical parametric
oscillator (OPO) laser system, pumped by a fiber laser, and extended by intra
cavity sum frequency generation (SFG) to provide tunable radiation with output
powers well beyond 1 W in the visible regime between 605 and 616 nm. We use
periodically poled sections for quasi phase-matched OPO and SFG processes,
implemented on a single MgO:PPLN crystal. A Pound-Drever-Hall frequency
stabilization reduces the laser linewidth to the range of 100 kHz (FWHM),
determined by measurements of spectral hole burning in a rare-earth ion doped
crystal as well as analysis of side-of-fringe transmission in a low finesse Fabry
Perot resonator.
PMID- 24921817
TI - Projection-based disparity control for toed-in multiview images.
AB - The multiview images captured by toed-in camera array can reproduce the 3D scene
vividly with appropriate positive, negative, and zero disparities. However, it is
a challenging task to adjust the depth of the scene according to requirements of
visual effects. In this paper, we propose a novel disparity control method based
on projection to solve this problem. With the relationship between the world
coordinate system and camera coordinate system, the zero disparity point in
reference view is projected into other views. Thus, disparities of different
views are obtained through matched corresponding points and views are shifted
with calculated disparities. The proposed method is easy to implement, and the
depth of toed-in multiview images can be adjusted as requirements. Experiment
results show that the proposed method is effective in comparison to the
conventional method, and the processed multiview images present desirable
stereoscopic visual quality.
PMID- 24921818
TI - Frequency down-conversion of 637 nm light to the telecommunication band for non
classical light emitted from NV centers in diamond.
AB - We demonstrate a low-noise frequency down-conversion of photons at 637 nm to the
telecommunication band at 1587 nm by the difference frequency generation in a
periodically-poled lithium niobate. An internal conversion efficiency of the
converter is estimated to be 0.44 at the maximum which is achieved by a pump
power of 0.43 W, whereas a rate of internal background photons caused by the
strong cw pump laser is estimated to be 9 kHz/mW within a bandwidth of about 1
nm. By using the experimental values related to the intrinsic property of the
converter, and using the intensity correlation and the average photon number of a
637 nm input light pulse, we derive the intensity correlation of a converted
telecom light pulse. Then we discuss feasibility of a single-photon frequency
conversion to the telecommunication band for a long-distance quantum
communication based on NV centers in diamond.
PMID- 24921819
TI - Three-dimensional structured illumination microscopy using Lukosz bound
apodization reduces pixel negativity at no resolution cost.
AB - The quality of the reconstructed image in structured illumination microscopy
(SIM) depends on various aspects of the image filtering process. To optimize the
trade-off between resolution and ringing artifacts, which lead to negative
intensities, we extend Lukosz-bound filtering to 3D SIM and derive the
parametrization of the 3D SIM cut-off. We compare the use of the Lukosz-bound as
apodization filter to triangular apodization and find a tenfold reduction in the
most negative pixel value with a minimal resolution loss. We test this algorithm
on experimental SIM images of tubulin filaments and DAPI stained DNA structure in
cancer cells and find a substantial reduction in the most negative pixel value
and the percentage of pixels with a negative value. This means that there is no
longer a need to clip the final image to avoid these negative pixel values.
PMID- 24921820
TI - Full characterization of the amplified spontaneous emission from a diode-pumped
high-power laser system.
AB - We present the first complete temporal and spatial characterization of the
amplified spontaneous emission (ASE) of laser radiation generated by a diode
pumped high-power laser system. The ASE of the different amplifiers was measured
independently from the main pulse and was characterized within a time window of
10ms <= t <= 10ms and an accuracy of up to 15fs around the main pulse.
Furthermore, the focusability and the energy of the ASE from each amplifier was
measured after recompression. Using our analysis method, the laser components,
which need to be optimized for a further improvement of the laser contrast, can
be identified. This will be essential for laser-matter interaction experiments
requiring a minimized ASE intensity or fluence.
PMID- 24921821
TI - Low-Power consumption Franz-Keldysh effect plasmonic modulator.
AB - In this paper we report on a low energy consumption CMOS-compatible plasmonic
modulator based on Franz-Keldysh effect in germanium on silicon. We performed
integrated electro-optical simulations in order to optimize the main
characteristics of the modulator. A 3.3 dB extinction ratio for a 30 um long
modulator is demonstrated under 3 V bias voltage at an operation wavelength of
1647 nm. The estimated energy consumption is as low as 20 fJ/bit.
PMID- 24921822
TI - Novel gas sensor combined active fiber loop ring-down and dual wavelengths
differential absorption method.
AB - A novel active fiber loop ring-down gas sensor combined with dual wavelengths
differential absorption method is proposed. Two Distributed Feedback Laser Diodes
(DFB LDs) with different wavelengths are employed. One LD whose wavelength
covered with the absorption line of target gas is used for sensing. Another LD
whose wavelength is centered outside the absorption line is used for reference.
The gas absorption loss can be obtained by differencing the reference signal and
sensing signal. Compared with traditional method of one wavelength employed, it
can eliminate the influence of the cavity loss variety and photoelectric device
drift in the system efficiently. An Erbium Doped Fiber Amplifier (EDFA) with
Automatic Gain Control (AGC) is used to compensate the loss of the light in the
ring-down cavity, which will increase the cavity round trips and improve the
precision of gas detection. And two fiber Bragg gratings (FBGs) are employed to
get rid of amplified spontaneous emission (ASE) spectrum noise as filters. The
calibrating ethyne samples of different concentrations are measured with a 65 mm
long gas cell in order to evaluate the effect of reference. The results show the
relative deviation is found to be less than +/- 0.4% of 0.1% ethyne when a
certain additional loss from 0 to 1.2dB is introduced to the cavity and the
relative deviation of measured concentration is less than +/- 0.5% over 24 hours.
PMID- 24921823
TI - Quantum dash based single section mode locked lasers for photonic integrated
circuits.
AB - We present the first demonstration of an InAs/InP Quantum Dash based single
section frequency comb generator designed for use in photonic integrated circuits
(PICs). The laser cavity is closed using a specifically designed Bragg reflector
without compromising the mode-locking performance of the self pulsating laser.
This enables the integration of single-section mode-locked laser in photonic
integrated circuits as on-chip frequency comb generators. We also investigate the
relations between cavity modes in such a device and demonstrate how the
dispersion of the complex mode frequencies induced by the Bragg grating implies a
violation of the equi-distance between the adjacent mode frequencies and,
therefore, forbids the locking of the modes in a classical Bragg Device. Finally
we integrate such a Bragg Mirror based laser with Semiconductor Optical Amplifier
(SOA) to demonstrate the monolithic integration of QDash based low phase noise
sources in PICs.
PMID- 24921824
TI - Impact of gain saturation on the mode instability threshold in high-power fiber
amplifiers.
AB - We present a coupled-mode model of transverse mode instability in high-power
fiber amplifiers, which takes the effect of gain saturation into account. The
model provides simple semi-analytical formulas for the mode instability
threshold, which are valid also for highly saturated amplifiers. The model is
compared to recently published detailed numerical simulations of mode
instability, and we find reasonably good agreement with our simplified coupled
mode model.
PMID- 24921825
TI - Control of integrated micro-resonator wavelength via balanced homodyne locking.
AB - We describe and experimentally demonstrate a method for active control of
resonant modulators and filters in an integrated photonics platform. Variations
in resonance frequency due to manufacturing processes and thermal fluctuations
are corrected by way of balanced homodyne locking. The method is compact,
insensitive to intensity fluctuations, minimally disturbs the micro-resonator,
and does not require an arbitrary reference to lock. We demonstrate long-term
stable locking of an integrated filter to a laser swept over 1.25 THz. In
addition, we show locking of a modulator with low bit error rate while the chip
temperature is varied from 5 to 60 degrees C.
PMID- 24921826
TI - Conical diffraction intensity profiles generated using a top-hat input beam.
AB - The phenomenon of internal conical diffraction has been studied extensively for
the case of laser beams with Gaussian intensity profiles incident along an optic
axis of a biaxial material. This work presents experimental images for a top-hat
input beam and offers a theoretical model which successfully describes the
conically diffracted intensity profile, which is observed to differ qualitatively
from the Gaussian case. The far-field evolution of the beam is predicted to be
particularly interesting with a very intricate structure, and this is confirmed
experimentally.
PMID- 24921827
TI - Self-formed cavity quantum electrodynamics in coupled dipole cylindrical
waveguide systems.
AB - An ideal optical cavity operates by confining light in all three dimensions. We
show that a cylindrical waveguide can provide the longitudinal confinement
required to form a two dimensional cavity, described here as a self-formed
cavity, by locating a dipole, directed along the waveguide, on the interface of
the waveguide. The cavity resonance modes lead to peaks in the radiation of the
dipole-waveguide system that have no contribution due to the skew rays that exist
in longitudinally invariant waveguides and reduce their Q-factor. Using a
theoretical model, we evaluate the Q-factor and modal volume of the cavity formed
by a dipole-cylindrical-waveguide system and show that such a cavity allows
access to both the strong and weak coupling regimes of cavity quantum
electrodynamics.
PMID- 24921828
TI - Heat accumulation during pulsed laser materials processing.
AB - Laser materials processing with ultra-short pulses allows very precise and high
quality results with a minimum extent of the thermally affected zone. However,
with increasing average laser power and repetition rates the so-called heat
accumulation effect becomes a considerable issue. The following discussion
presents a comprehensive analytical treatment of multi-pulse processing and
reveals the basic mechanisms of heat accumulation and its consequence for the
resulting processing quality. The theoretical findings can explain the
experimental results achieved when drilling microholes in CrNi-steel and for
cutting of CFRP. As a consequence of the presented considerations, an estimate
for the maximum applicable average power for ultra-shorts pulsed laser materials
processing for a given pulse repetition rate is derived.
PMID- 24921829
TI - Generation of vector vortex beams with a small core multimode liquid core optical
fiber.
AB - We report on the generation of vector vortex beams using a 10-MUm core multimode
liquid core optical fiber (LCOF) filled with CS(2). The first higher-order modes
including radially, azimuthally and hybrid polarized vector modes, as well as the
higher-order modes such as LP(21) mode and LP(31) mode are selectively excited by
adjusting the incidence angle of the linearly polarized input Gaussian beam with
respect to the fiber axis. The interferograms with single forklet verify the
phase singularity of the vector beams generated. Compared to silica optical
fibers, the vector vortex beams from the LCOFs have higher excitation efficiency
and larger bending tolerance.
PMID- 24921830
TI - Fracture characteristics of ceramic Nd:YAG.
AB - The fracture of laser material in a ceramic Nd:YAG laser pumped by a fiber
coupled laser diode was analyzed. The fracture of the laser material was found to
occur when the critical temperature difference between the center of the material
and the surface exceeded 355 degrees C. To quantitatively analyze the material
fracture, the heat-generation length and heat-generation radius of the laser
material were calculated and the critical pump power per unit volume was
examined. Under lasing and non-lasing conditions, the fracture of laser material
occurred at 24.41 kW/cm(3) and 19.53 kW/cm(3), respectively, for 2 at.% ceramic
Nd:YAG and 25.57 kW/cm(3) and 20.47 kW/cm(3), respectively, for 4 at.% ceramic
Nd:YAG.
PMID- 24921831
TI - Terahertz plasmonic waveguide based on metal rod arrays for nanofilm sensing.
AB - A high-aspect-ratio metallic rod array is demonstrated to generate and propagate
highly confined terahertz (THz) surface plasmonic waves under end-fire
excitation. The transverse modal power distribution and spectral properties of
the bound THz plasmonic wave are characterized in two metallic rod arrays with
different periods and in two configurations with and without attaching a
subwavelength superstrate. The integrated metallic rod array-based waveguide can
be used to sense the various thin films deposited on the polypropylene
superstrate based on the phase-sensitive mechanism. The sensor exhibits different
phase detection sensitivities depending on the modal power immersed in the air
gaps between the metallic rods. Deep-subwavelength SiO(2) and ZnO nanofilms with
an optical path difference of 252 nm, which is equivalent to lambda/3968 at 0.300
THz, are used as analytes to test the integrated plasmonic waveguide. Analysis of
the refractive index and thickness of molecular membranes indicates that the
metallic rod array-based THz waveguide can integrate various biochip platforms
for minute molecular detection, which is extremely less than the coherent length
of THz waves.
PMID- 24921832
TI - Laser link acquisition demonstration for the GRACE Follow-On mission.
AB - We experimentally demonstrate an inter-satellite laser link acquisition scheme
for GRACE Follow-On. In this strategy, dedicated acquisition sensors are not
required-instead we use the photodetectors and signal processing hardware already
required for science operation. To establish the laser link, a search over five
degrees of freedom must be conducted (+/- 3 mrad in pitch/yaw for each laser
beam, and +/- 1 GHz for the frequency difference between the two lasers). This
search is combined with a FFT-based peak detection algorithm run on each
satellite to find the heterodyne beat note resulting when the two beams are
interfered. We experimentally demonstrate the two stages of our acquisition
strategy: a +/- 3 mrad commissioning scan and a +/- 300 MUrad reacquisition scan.
The commissioning scan enables each beam to be pointed at the other satellite to
within 142 MUrad of its best alignment point with a frequency difference between
lasers of less than 20 MHz. Scanning over the 4 alignment degrees of freedom in
our commissioning scan takes 214 seconds, and when combined with sweeping the
laser frequency difference at a rate of 88 kHz/s, the entire commissioning
sequence completes within 6.3 hours. The reacquisition sequence takes 7 seconds
to complete, and optimizes the alignment between beams to allow a smooth
transition to differential wavefront sensing-based auto-alignment.
PMID- 24921833
TI - A high-responsivity photodetector absent metal-germanium direct contact.
AB - We report a Ge-on-Si photodetector without doped Ge or Ge-metal contacts. Despite
the simplified fabrication process, the device shows a responsivity of 1.14 A/W
at -4 V reverse bias and 1.44 A/W at -12V, at 1550 nm wavelength. Dark current is
less than 1uA under both bias conditions. We also demonstrate open eye diagrams
at 40Gb/s.
PMID- 24921834
TI - Tamm plasmon-polariton with negative group velocity induced by a negative index
meta-material capping layer at metal-Bragg reflector interface.
AB - Influence of a negative refractive index meta-material (NIM) capping layer on
properties of Tamm plasmon-polariton at the interface of metal-Bragg reflector
structure is investigated. Conditions for excitation of the plasmon-polariton is
determined from reflectivity mapping calculation and analyzed with cavity mode
theory. For specific thicknesses of capping layers, Tamm plasmon-polariton with
negative group velocity is revealed in a wide region of frequency. Different from
backward optical propagation induced by negative effective-group-refractive-index
in dispersive media, negative group velocity of Tamm plasmon-polariton results
from opposite signs of cross-section-integrated field energy and Poynting vector.
PMID- 24921835
TI - Design and simulation of omnidirectional reflective color filters based on metal
dielectric-metal structure.
AB - We propose omnidirectional reflective color filters based on metal-dielectric
metal subwavelength grating structure. By particle swarm optimization, the
structural parameters of three color filters (yellow, magenta, cyan) are
obtained. The optimized filters can present the same perceived specular color at
unpolarized illumination for a broad range of incident angles. The reflectance
curves at different incident angles keep almost invariable and the color
difference is less than 6 in CIEDE2000 formula up to 45 degrees . Angle
insensitive properties including the incident angular tolerance, azimuthal
angular tolerance and the polarization effect are investigated thoroughly to
construct a real omnidirectional color filter. Through the analysis of the
magnetic field, the physical origin is verified that the total absorption band at
specific wavelength results from the localized surface plasmon resonance
responsible for the angle insensitive spectral filtering.
PMID- 24921836
TI - Silent compartment syndrome in children: a report of five cases.
AB - Compartment syndrome does not always present classically in the pediatric
population, making clinical diagnosis uniquely challenging. The purpose of this
study was to identify signs and symptoms of compartment syndrome that may help
risk-stratify pediatric patients upon presentation, as well as to report outcomes
of 'silent' compartment syndrome in children. A retrospective review of cases of
'silent' compartment syndrome at a level I pediatric trauma center between 2000
and 2010 was conducted. Patient demographics and clinical data were reviewed,
including complications and patient outcomes. Radiographs taken at presentation,
on intraoperative fluoroscopy, and at postoperative follow-up were reviewed for
fracture type, and severity and outcome analyses. Five patients were found to
have compartment syndrome without the presence of significant pain at rest or on
passive range of motion. The study included three male and two female patients
with a median age of 7 years. Three upper-extremity and two lower-extremity
fractures were involved. The mean time from presentation to surgery was 14 h. At
presentation, three of five patients had muscle paralysis, whereas at diagnosis
of compartment syndrome, four of five had paralysis. Of the classic five P's, a
maximum of two were found at diagnosis. The mean clinical follow-up period was 11
months (2-26 months). Long-term complications from compartment syndrome were
found in one of five patients, who at the most recent follow-up, continued to be
debilitated. This study reviews a series of cases of 'silent' compartment
syndrome and confirms its atypical presentation. It is recommended that caution
be used when assessing fractures with high risk for compartment syndrome in
children, especially those complicated by nerve injury, as they do not always
present in the classic manner, with missed diagnosis leading to significant
functional deficits. LEVEL OF EVIDENCE: IV.
PMID- 24921837
TI - cGMP and cGMP-dependent protein kinase I pathway in dorsal root ganglia
contributes to bone cancer pain in rats.
AB - STUDY DESIGN: A prospective, randomized experimental research. OBJECTIVE: To
demonstrate the role of cGMP (cyclic guanosine monophosphate)-cGKI (cGMP
dependent protein kinase I) pathway in dorsal root ganglia (DRG) in bone cancer
pain. SUMMARY OF BACKGROUND DATA: Treating bone cancer pain continues to possess
a major clinical challenge because the specific cellular and molecular mechanisms
underlying bone cancer pain remain elusive. cGMP and cGMP-dependent protein
kinases pathway in DRG plays important role in nerve injury-induced
hyperexcitability of DRG neurons, as well as neuropathic pain, however, whether
this pathway participates in bone cancer pain is unknown. METHODS: The rat model
of bone cancer pain was produced by intramedullary injection of rat breast cancer
cells (Walker 256) into right tibia. Thermal hyperalgesia and mechanical
allodynia were measured before and after administration of inhibitor of cGMP-cGKs
pathway (Rp-8-pCPT-cGMPS). Immunofluorescence and reverse transcription
polymerase chain reaction were used to reflect expression of cGKI in DRG neurons,
whereas the concentration of cGMP in DRG was tested using enzyme-linked
immunosorbent assay method. Whole-cell patch clamp was used to record the
hyperexcitability of small neurons in DRG with or without cGKs inhibitor after
tumor cell implantation (TCI). RESULTS: TCI treatment significantly increased the
concentration of cGMP in DRG and activity of cGKs in DRG and the spinal cord. TCI
treatment also induced upregulation of cGKI messenger ribonucleic acid and
protein in DRG, as well as enhanced hyperexcitability in DRG neurons. Spinal
administration of Rp-8-pCPT-cGMPS, cGMP-cGKs inhibitor, significantly suppressed
TCI-induced activation of cGMP-cGKI signaling, and hyperexcitability of DRG
neurons. Meanwhile, in vivo intrathecal delivery of the Rp-8-pCPT-cGMPS
significantly prevented and suppressed TCI-induced hyperalgesia and allodynia.
CONCLUSION: From these results, we confirm that TCI treatment activates cGMP-cGKI
signaling pathway and continuing activation of this pathway in DRG is required
for hyperalgesia and/or hyperalgesia and allodynia after TCI treatment. LEVEL OF
EVIDENCE: N/A.
PMID- 24921838
TI - Does a kaolin-impregnated hemostatic dressing reduce intraoperative blood loss
and blood transfusions in pediatric spinal deformity surgery?
AB - STUDY DESIGN: Retrospective case-control study. OBJECTIVE: To evaluate the
hemostatic benefits of using a kaolin-impregnated dressing during pediatric
spinal deformity correction surgery. SUMMARY OF BACKGROUND DATA: Minimizing blood
loss and transfusions are clear benefits for patient safety. A technique common
in both severe trauma and combat medicine that has not been reported in the spine
literature is wound packing with a kaolin-impregnated hemostatic dressing.
METHODS: Estimated blood loss and transfusion amounts were analyzed in a total of
117 retrospectively identified cases. The control group included 65 patients (46
females, 19 males, 12.7+/-4.5 yr, 10.2+/-4.8 levels fused) who received standard
operative care with gauze packing between June 2007 and March 2010. The treatment
group included 52 patients (33 females, 19 males, 13.9+/-3.2 yr, 10.4+/-4.3
levels fused) who underwent intraoperative packing with QuikClot Trauma Pads
(QCTP, Z-Medica Corporation) for all surgical procedures from July 2010 to August
2011. No other major changes in the use of antifibrinolytics or perioperative,
surgical, or anesthesia technique were noted. Statistical differences were
analyzed using analysis of covariance in R with P value of less than 0.05. The
statistical model included sex, age, weight, scoliosis type, the number of
vertebral levels fused, and surgery duration as covariates. RESULTS: The
treatment group had 40% less intraoperative estimated blood loss than the control
group (974 mL vs. 1620 mL) (P<0.001). Patients who received the QCTP treatment
also had 42% less total perioperative transfusion volume (499 mL vs. 862 mL)
(P<0.01). CONCLUSION: The use of a kaolin-impregnated intraoperative trauma pad
seems to be an effective and inexpensive method to reduce intraoperative blood
loss and transfusion volume in pediatric spinal deformity surgery. LEVEL OF
EVIDENCE: 3.
PMID- 24921839
TI - Re: Kawano O, Maeda T, Mori E, et al. Influence of spinal cord compression and
traumatic force on the severity of cervical spinal cord injury associated with
ossification of the posterior longitudinal ligament.
PMID- 24921840
TI - In response.
PMID- 24921841
TI - Fate of osteophytes and sclerosis in fused segments after lumbar fusion.
AB - STUDY DESIGN: Retrospective cohort study. OBJECTIVE: To investigate the fate of
sclerosis and anterior osteophytes in the fused segments after instrumented
lumbar fusion for degenerative lumbar disorders. SUMMARY OF BACKGROUND DATA:
Sclerosis and osteophytosis are well-known radiographical findings, but little is
known of their significance with regard to spontaneous resorption after spine
fusion. METHODS: Thirty patients (9 males, 21 females; 60 vertebra; mean age of
66.9 yr [45-86 yr]) were divided into a posterolateral fusion group (n = 14, 28
vertebrae) and a posterior lumbar interbody fusion group (n = 16, 32 vertebrae).
Using serial radiographs obtained preoperatively; postoperatively at 3, 6, 12,
and 24 months; and last follow-up, sclerotic areas of each involved vertebra were
mapped and osteophyte lengths were measured. RESULTS: Sclerosis and osteophytes
decreased with time for the instrumented fusion. The decrease in sclerotic areas
and osteophytes length was observed as early as 3 months postoperatively, and the
significant changes between each time point were noted in initial 3- and 6-month
intervals. In terms of the type of surgery, similar changes were noted in the
posterolateral fusion and posterior lumbar interbody fusion groups. CONCLUSION:
Resorption of osteophytes and sclerosis after instrumented spine fusion were
observed. Significant resorption was noted at 3 and 6 months postoperatively. As
well, most graft bone would be incorporated in postoperative 6 months. Resorption
of osteophytes and sclerosis after instrumented spine fusion could be helpful to
confirm the successful union. LEVEL OF EVIDENCE: 4.
PMID- 24921842
TI - Radiographic spinopelvic parameters in skeletally mature patients with
Scheuermann disease.
AB - STUDY DESIGN: Retrospective radiographical analysis of sagittal spinopelvic
parameters in skeletally mature patients with Scheuermann disease (SD).
OBJECTIVE: To analyze anatomical and positional parameters of spinopelvic
sagittal alignment in mature patients with SD. SUMMARY OF BACKGROUND DATA:
Sagittal spinopelvic alignment has already been characterized in normal subjects
and deviations in sagittal parameters have been reported for various spinal
pathologies. No study has investigated spinopelvic parameters in SD. METHODS:
Standing posteroanterior and lateral radiographs of the skeletally mature
patients with SD were analyzed. Immature patients and those with other spinal
pathologies were excluded from the study. Pelvic positional and anatomic
parameters and spinal parameters were measured. Pelvic incidence (PI) was
compared with the values reported for healthy individuals. Correlations between
the measured parameters were analyzed. RESULTS: Forty patients met the inclusion
criteria. Sixteen females and 24 males (mean age, 25 yr) were analyzed. The mean
PI in this group was 40 degrees and was significantly lower than that reported
for healthy adults and adolescents (P < 0.0001) and not significantly different
than the values reported for healthy children (P = 0.44). Patients with atypical
(thoracolumbar) SD had lower PI than those with typical (thoracic) form (41
degrees vs. 38 degrees ; P = 0.09). There was no correlation between PI and LL,
thoracic kyphosis, or thoracolumbar kyphosis. CONCLUSION: This study demonstrated
that skeletally mature patients with SD have significantly lower PI than healthy
adults. There was no correlation between PI and LL in individuals with SD. This
challenges the role of PI in predicting the proper values of LL in this group of
patients. Further studies are needed to investigate whether impaired spinopelvic
alignment is a cause or a result of SD. LEVEL OF EVIDENCE: 3.
PMID- 24921843
TI - Use of the S-hook for Pelvic Fixation in Rib-Based Treatment of Early-Onset
Scoliosis: A Multicenter Study.
AB - STUDY DESIGN: Retrospective review. OBJECTIVE: The purpose of this study was to
evaluate how several preoperative variables affect the outcome using the rib-to
pelvis S-hook constructs of a rib-based distraction implant (Vertical Expandable
Prosthetic Titanium Rib). SUMMARY OF BACKGROUND DATA: Rib-to-pelvis fixation with
S-hooks is one of the options for distal anchoring of rib-based distraction
growing rod construct to control early-onset spinal deformity. Since the initial
report, the indications of pelvic fixation with S-hooks have been extended and
modified. METHODS: This is an institutional review board-approved retrospective
study of patients who underwent rib-based growing rod system surgery-rib-to
pelvis construct with Dunn-McCarthy S-hook. Data evaluation included history,
physical examination, preoperative and postoperative radiographs, surgical
variables, and complications. RESULTS: Sixty-five patients were evaluated; 38
were male and 27 were female. Mean age at initial procedure was 71 months. The
mean follow-up was 46 months. There was a statistically significant improvement
of the immediate postoperative Cobb angle and the last follow-up Cobb angle (P <
0.0001). Fifty percent of the patients (32/65) had S-hook-related complications.
The most common complication was sliding of the S-hook out of the iliac crest,
followed by infection, neuropathic pain, distal migration of more than 2 cm,
fracture of the hook, and bursitis. The complications were related to the
preoperative ambulatory status, the use of end-to-end rod connectors, surgical
time, and not positioning the hook over the central one-third of the iliac crest
at the initial implantation. CONCLUSION: The use of the S-hook as a pelvic
attachment of the rib-based system is indicated in nonambulatory patients with
progressive, early-onset scoliosis curve with a lack of adequate anchor at the
lumbar spine. Several technical factors should be considered to reduce the
complication rate. LEVEL OF EVIDENCE: 3.
PMID- 24921844
TI - Vertigo-related cerebral blood flow changes on magnetic resonance imaging.
AB - STUDY DESIGN: A prospective study using magnetic resonance imaging on a
consecutive cohort of patients with cervical vertigo. OBJECTIVE: To
quantitatively investigate the cerebral blood flow (CBF) changes associated with
cervical vertigo by using 3-dimensional pseudocontinuous arterial spin labeling.
SUMMARY OF BACKGROUND DATA: Previous studies reported blood flow velocity
reduction in posterior circulation during vertigo. However, the detailed
information of CBF related to cervical vertigo has not been provided. METHODS: A
total of 33 patients with cervical vertigo and 14 healthy volunteers were
recruited in this study. Three-dimensional pseudocontinuous arterial spin
labeling was performed on each subject to evaluate the CBF before and after the
cervical hyperextension-hyperflexion movement tests, which was used to induce
cervical vertigo. Repeated-measures analysis of variance was conducted to assess
the effect of subjects and tests. RESULTS: There were time effects of CBF in the
territory of bilateral superior cerebellar artery, bilateral posterior cerebral
artery, bilateral middle cerebral artery, and right anterior cerebral artery, but
no group effect was observed. The analysis of CBF revealed a significant main
effect of tests (P=0.024) and participants (P=0.038) in the dorsal pons.
CONCLUSION: Cervical vertigo onset may be related to CBF reduction in the dorsal
pons, which sequentially evokes the vestibular nuclei. LEVEL OF EVIDENCE: 2.
PMID- 24921845
TI - Brown tumor of the spine in patients with primary hyperparathyroidism.
AB - STUDY DESIGN: Retrospective case series and literature review. OBJECTIVE: To
describe our experience in diagnosis and management of patients with spine brown
tumor (osteitis fibrosa cystica) as the initial manifestation of primary
hyperparathyroidism and also to review the pertinent literature. SUMMARY OF
BACKGROUND DATA: The spine can be involved through reparative processes such as
giant cell reparative granuloma and brown tumor, which lead to formation of
lesions that can simulate tumors on neuroimaging. Brown tumor, an uncommon focal
giant cell lesion, is a nonneoplastic and reactive process due to bone resorption
and localized osseous lesion caused by primary or secondary hyperparathyroidism.
METHODS: Among the cases of spine giant cell lesions treated surgically by the
authors (2000-2013), there were 4 cases of spine brown tumor in patients with
primary hyperparathyroidism. Clinical, radiological, histopathologic, and
surgical data of these 4 cases were collected, and the patients were followed
from 5 to 7 years after the surgical intervention. RESULTS: There were 2 male and
2 female patients with age range of 16 to 52 years. The lesions were located in
cervical (1 case), thoracic (1 case), and lumbar (2 cases) spine regions.
Clinical presentations included neck and low back pain, radicular pain,
paraparesis, and sphincter dysfunction. Surgical removal of the spine lesions was
achieved in all cases. Spine fusion and instrumentation was done in 3 cases.
Parathyroidectomy was performed in all 4 cases. CONCLUSION: Spine involvement
with brown tumor in patients with primary hyperparathyroidism is rare and may be
the first manifestation of hyperparathyroidism. Brown tumor should be
differentiated from other giant cell lesions involving the spine. Long-term
surgical outcome was satisfactory with no recurrence. LEVEL OF EVIDENCE: 4.
PMID- 24921846
TI - The effect of cervical posterior foraminotomy on segmental range of motion in the
setting of total disc arthroplasty.
AB - STUDY DESIGN: Human cadaveric biomechanical analysis. OBJECTIVE: To investigate
the effect on cervical spine segmental stability that results from a posterior
foraminotomy after cervical disc arthroplasty (CDA). SUMMARY OF BACKGROUND DATA:
Posterior foraminotomy offers the ability to decompress cervical nerves roots
while avoiding the need to extend a previous fusion or revise an arthroplasty to
a fusion. However, the safety of a foraminotomy in the setting of CDA is unknown.
METHODS: Segmental nondestructive range of motion (ROM) was analyzed in 9 human
cadaveric cervical spine specimens. After intact testing, each specimen was
sequentially tested according to the following 4 experimental groups: group 1=C5
C6 CDA, group 2=C5-C6 CDA with unilateral C5-C6 foraminotomy, group 3=C5-C6 CDA
with bilateral C5-C6 foraminotomy, and group 4=C5-C6 CDA with C5-C6 and C4-C5
bilateral foraminotomy. RESULTS: No differences in ROM were found between the
intact, CDA, and foraminotomy specimens at C4-C5 or C6-C7. There was a step-wise
increase in C5-C6 axial rotation from the intact state (8 degrees ) to group 4
(12 degrees ), although the difference did not reach statistical significance. At
C5-C6, the degree of lateral bending remained relatively constant. Flexion and
extension at C5-C6 was significantly higher in the foraminotomy specimens, groups
2 (18.1 degrees ), 3 (18.6 degrees ), and 4 (18.2 degrees ), compared with the
intact state, 11.2 degrees . However, no ROM difference was found within
foraminotomy groups (2-4) or between the foraminotomy groups and the CDA group
(group 1), 15.3 degrees . CONCLUSION: Our results indicate that cervical
stability is not significantly decreased by the presence, number, or level of
posterior foraminotomies in the setting of CDA. The addition of foraminotomies to
specimens with a pre-existing CDA resulted in small and insignificant increases
in segmental ROM. Therefore, biomechanically, posterior
foraminotomy/foraminotomies may be considered a safe and viable option in the
setting of recurrent or adjacent level radiculopathy after cervical disc
replacement. LEVEL OF EVIDENCE: N/A.
PMID- 24921847
TI - Psychosocial factors and surgical outcomes: are elderly depressed patients less
satisfied with surgery?
AB - STUDY DESIGN: Longitudinal cohort study. OBJECTIVE: In this study, we set out to
assess the effect of preoperative depression on patient satisfaction after
revision lumbar surgery. SUMMARY OF BACKGROUND DATA: Patient satisfaction ratings
are increasingly being used in health care as a proxy for quality of care. In the
elderly, affective disorders such as depression have been shown to influence
patient-reported outcomes and self-interpretation of health status. METHODS: A
total of 69 patients aged 65 years or older undergoing revision neural
decompression and instrumented fusion for same-level recurrent stenosis
associated back and leg pain were included in this study. Preoperative Zung self
rating depression score, comorbidities, and postoperative satisfaction with
surgical care and outcome were assessed for all patients. Baseline and 2-year
visual analogue scale (VAS)-leg pain, VAS-back pain, Oswestry Disability Index,
Short Form-12 physical component score and Short Form-12 mental component score,
as well as health-state utility (EuroQol 5D) were assessed. Factors associated
with patient satisfaction after surgical procedures were assessed via
multivariate logistic regression analysis. RESULTS: Compared with baseline, there
was a statistically significant improvement in VAS-back pain 2.76+/-2.73
(pseudarthrosis [1.94+/-2.81], adjacent segment disease [4.35+/-3.16]), same
level recurrent stenosis [2+/-2.23]), VAS-leg pain 2.66+/-4.12, (adjacent segment
disease [2.24+/-4.46] and same-level recurrent stenosis [3+/-3.78]). Two-year
Oswestry Disability Index improved after surgery for pseudarthrosis (4.05+/
7.65), adjacent segment disease (6+/-13.63) and same-level recurrent stenosis
(4.54+/-5.97). In a multivariate logistical regression model, increasing
preoperative Zung self-rating depression scale scores were independently
associated with patient dissatisfaction 2 years after revision lumbar surgery,
(P<0.001). CONCLUSION: This study demonstrates that independent of surgical
effectiveness, baseline depression influence patient satisfaction with health
care, 2 years after revision lumbar surgery. Quality improvement initiatives
using patient satisfaction as a proxy for quality of care should account for
patients' baseline depression as a potential confounder especially in this age
group. LEVEL OF EVIDENCE: 3.
PMID- 24921848
TI - National trends and in-hospital outcomes in HIV-positive patients undergoing
spinal fusion.
AB - STUDY DESIGN: A retrospective analysis of population-based national hospital
discharge data collected for the Nationwide Inpatient Sample. OBJECTIVE: To
examine the trends and in-hospital outcomes of patients with human
immunodeficiency virus (HIV) infection undergoing spinal fusion on a national
level. SUMMARY OF BACKGROUND DATA: Highly active antiretroviral therapy has
provided healthier lives and prolonged the life expectancy of HIV-positive
patients. However, few previous studies have reported trends and outcomes of HIV
positive patients undergoing spinal surgery. METHODS: Clinical data were derived
from the US Nationwide Inpatient Sample between 2000 and 2009. Patients who
underwent spinal fusion were identified. Data regarding HIV, patient- and health
care system-related characteristics, comorbidities, in-hospital complications,
and mortality were retrieved and analyzed. In-hospital outcomes were compared
between HIV-positive and -negative patients and analyzed with the use of
multivariate logistic regression. RESULTS: A total of 5,070 HIV-positive patients
underwent spinal fusion in the United States during the last decade. From 2000 to
2009, population-adjusted incidence of HIV-positive patients who underwent spinal
fusion has increased more than 3-fold (0.094 per 100,000 in 2000 to 0.303 per
100,000 in 2009; P < 0.001). Comparison between HIV-positive and -negative
patients showed that HIV-positive patients had a significantly higher respiratory
complication rate (6.2% vs. 3.2%), wound-related complication rate (2.7% vs.
1.7%), overall in-hospital complication rate (12.2% vs. 9.5%), and in-hospital
mortality rate (1.6% vs. 0.3%), as well as longer hospital stay (6.6 d vs. 4.2
d). The risk of in-hospital mortality was 3.53 times higher in HIV-positive
patients after controlling for other factors (95% confidence interval, 2.02-6.14;
P < 0.001). CONCLUSION: During the last decade, the incidence of HIV-positive
patients undergoing spinal fusion has increased in the United States. In this
study, HIV infection was an independent risk factor for in-hospital mortality in
patients undergoing spinal fusion. LEVEL OF EVIDENCE: 3.
PMID- 24921849
TI - Safety of topical vancomycin for pediatric spinal deformity: nontoxic serum
levels with supratherapeutic drain levels.
AB - STUDY DESIGN: Retrospective cohort analysis. OBJECTIVE: To establish if drain
levels exceed the minimum inhibitory concentrations for common pathogens
(methicillin-resistant Staphylococcus aureus, methicillin-sensitive
Staphylococcus aureus, and Propionibacterium acnes-2 MUg/mL; Staphylococcus
epidermidis, Enterococcus faecalis-4 MUg/mL). Evaluate the safety of topical
vancomycin in pediatric patients undergoing spinal deformity surgery and
determine if postoperative serum levels approach toxicity (25 MUg/mL). SUMMARY OF
BACKGROUND DATA: The application of topical vancomycin powder has decreased
postoperative wound infections in retrospective analyses in the adult population
with minimal local and systemic risks. The safety and efficacy of vancomycin
powder has not been completely evaluated in the pediatric population after
deformity surgery. METHODS: Topical vancomycin powder (1 g) was applied during
wound closure after instrumented posterior spinal fusion. All patients received
intravenous perioperative antibiotics and a subfascial drain was used. Serum and
drain vancomycin levels were collected immediately postoperatively and during the
first 2 postoperative days (PODs). Complications were recorded. RESULTS: The
study population consisted of 25 patients with a mean age of 13.5 years (9.5-17.1
yr) and mean +/- standard deviation body weight of 44.5 +/- 18 kg. Underlying
diagnoses included: adolescent idiopathic scoliosis (12), neuromuscular scoliosis
(10), and kyphosis (3). Mean serum vancomycin levels trended downward from 2.5
MUg/mL (POD 0) to 1.9 MUg/mL (POD 1) to 1.1 MUg/mL (POD 2). Mean drain levels
also trended downward from 403 MUg/mL (POD 0) to 251 MUg/mL (POD 1) to 115 MUg/mL
(POD 2). No vancomycin toxicity or deep wound infections were observed. One
patient with neuromuscular scoliosis developed a superficial wound dehiscence
that was managed with dressing changes. CONCLUSION: Topical application of
vancomycin powder in pediatric spinal deformity surgery produced local levels
well above the minimum inhibitory concentration for common pathogens and serum
levels below the toxicity threshold (25 MUg/mL). There were no deep wound or
antibiotic related complications. LEVEL OF EVIDENCE: 3.
PMID- 24921850
TI - Cement augmentation in a thoracolumbar fracture model: reduction and stability
after balloon kyphoplasty versus vertebral body stenting.
AB - STUDY DESIGN: In vitro biomechanical investigation. OBJECTIVE: To assess
differences in kyphosis after balloon kyphoplasty (BKP) or vertebral body
stenting (VBS). SUMMARY OF BACKGROUND DATA: Cement augmentation techniques allow
early mobilization in patients with osteoporotic thoracolumbar fractures.
Biomechanically, the grade of reduction and preservation are as important as in
nonosteoporotic fractures. With BKP, negative effects of balloon deflation on the
reduction and whether specific combinations of materials may preserve the
reduction are as yet unclear. METHODS: Twelve bisegmental human thoracolumbar
specimens (6*T12-L2, 6*L3-L5; age at death, 76.3 yr; range, 63-89 yr; female:male
ratio, 3:3; bone mineral density, 68.1 g/cm; mean, 12.9 g/cm) were tested in a
spine simulator with pure moments of 7.5 Nm to assess primary and secondary
stability. After flexibility testing of the intact specimens, an eccentric
compression force induced standardized fractures, which were reduced using either
BKP or VBS against a flexional moment of 2.5 Nm. Primary and secondary stability
were assessed using range of motion in a spine tester. The specimens were tested
after each of 3 periods of cyclic flexion loading. The kyphotic angle of the
index vertebra was measured radiographically. RESULTS: The 2 techniques achieved
comparable reduction against a relatively high bending moment in this model.
Neither technique restored the stability of the intact state; with increasing
loads, the range of motion continuously increased to the level of fractured
specimen to the level of the fractured specimen. Although the deflation effect on
the kyphotic angle was lower with VBS (P<=0.05), there were no significant
differences between the techniques relative to angle restoration. CONCLUSION:
Both augmentation techniques are able to restore vertebral body height after
thoracolumbar fractures. The deflation effect on the kyphotic angle was less with
VBS than with BKP. High flexion moments during implantation limit the
effectiveness of reduction using cement augmentation methods. LEVEL OF EVIDENCE:
N/A.
PMID- 24921852
TI - Obese patients report modest weight loss after surgery for lumbar spinal
stenosis: a study from the Swedish spine register.
AB - STUDY DESIGN: SWESPINE, the Swedish Spine Register, was used for this cohort
study. OBJECTIVE: Our primary aim was to determine weight change in obese
patients after surgery for lumbar spinal stenosis (LSS). Our secondary aim was to
study any possible associations between weight loss after surgery and improvement
in patient-related outcome measures (PROMs). SUMMARY OF BACKGROUND DATA: Only
meager evidence is available as to how surgery for LSS affects weight and whether
weight loss is associated with improvement in PROMs after surgery for LSS.
METHODS: All obese patients who underwent surgery for LSS from January 1, 2006
through June 30, 2008 with a completed 2-year follow-up in SWESPINE were
included. Data for weight were collected before surgery and then 1 and 2 years
after surgery. The cohort was divided into 3 subclasses (weight stable, weight
loss, or weight gain). RESULTS: Totally, 538 obese patients were enrolled. Mean
weight loss was 1.9 kg (95% confidence interval, 1.5-2.3) 1 year after surgery
and 2.0 kg (95% confidence interval, 1.5-2.4) after 2 years after surgery. Only
8% of the patients reported a clinically important weight loss (>=10%). No
significant differences in PROMs were observed. The weight-stable group reported
a mean improvement of 0.22 (standard deviation, 0.36) in EQ-5D, 14 (18) units in
the Oswestry Disability Index, 18 (33) units in back pain (visual analogue
scale), and 23 (36) units in leg pain (visual analogue scale). The corresponding
changes in the weight-loss group were 0.23 (0.35) in EuroQol 5D, 15 (19) in
Oswestry Disability Index, 27 (29) in back pain, and 31 (36) in leg pain.
CONCLUSION: Modest weight loss was reported 1 and 2 years postsurgery; a small
proportion (8%) of these patients reported a clinically important weight loss at
the 2-year follow-up. The weight loss was unrelated to changes in PROMs. LEVEL OF
EVIDENCE: 3.
PMID- 24921851
TI - Three-dimensional characterization of torsion and asymmetry of the intervertebral
discs versus vertebral bodies in adolescent idiopathic scoliosis.
AB - STUDY DESIGN: Cross-sectional study. OBJECTIVE: To compare the relative
contribution of the vertebral bodies and intervertebral discs with the 3
dimensional spinal deformity in adolescent idiopathic scoliosis. SUMMARY OF
BACKGROUND DATA: There is an ongoing discussion about the causal role of skeletal
growth processes in the etiopathogenesis of adolescent idiopathic scoliosis.
Contradictory findings have been reported on the individual contribution of the
vertebral bodies as compared with the discs to the coronal deformity. As far as
we know, the true 3-dimensional deformity of the discs and vertebral bodies have
not yet been described. METHODS: High-resolution computed tomographic scans of 77
patients with severe adolescent idiopathic scoliosis were included. Torsion and
anterior-posterior and right-left asymmetry of each individual vertebral body and
intervertebral disc were studied from T2 to L5, using semiautomatic analysis
software. True transverse sections were reconstructed along the anterior
posterior and right-left axis of all endplates. These "endplate-vectors" were
calculated semiautomatically, taking rotation and tilt into account. Torsion was
defined as the difference in axial rotation between 2 subsequent endplates.
Asymmetry was defined as the relative anterior-posterior or right-left height
difference of the discs and the vertebrae. RESULTS: There were at least 3 times
more torsion, anterior overgrowth, and coronal wedging in the discs than in the
vertebrae in the thoracic as well as in the (thoraco) lumbar curves (P<0.001).
These values correlated significantly with the Cobb angle (r>=0.37; P<0.001).
Anterior overgrowth and coronal asymmetry were greater in the apical regions
whereas torsion was most pronounced in the transitional segments between the
curves. CONCLUSION: The discs contribute more to 3-D deformity than the bony
structures, and there is significant regional variability. This suggests an
adaptive rather than an active phenomenon. LEVEL OF EVIDENCE: 2.
PMID- 24921853
TI - Advantageous new conic cannula for spine cement injection.
AB - STUDY DESIGN: Experimental study to characterize the influence of the cannula
geometry on both, the pressure drop and the cement flow velocity established
along the cannula. OBJECTIVE: To investigate how the new experimental geometry of
cannulas can affect the extravertebral injection pressure and the velocity
profiles established along the cannula during the injection process. SUMMARY OF
BACKGROUND DATA: Vertebroplasty procedure is being used to treat vertebral
compression fractures. Vertebra infiltration is favored by the use of suitable:
(1) syringes or injector devices; (2) polymer or ceramic bone cements; and (3)
cannulas. However, the clinical use of ceramic bone cement has been limited due
to press-filtering problems. Thus, new approaches concerning the cannula geometry
are needed to minimize the press-filtering of calcium phosphate-based bone
cements and thereby broaden its possible applications. METHODS: Straight, conic,
and combined conic-straight new cannulas with different proximal and distal both
length and diameter ratios were drawn with computer-assisted design software. The
new geometries were theoretically analyzed by: (1) Hagen-Poisseuille law; and (2)
computational fluid dynamics. Some experimental models were manufactured and
tested for extrusion in order to confirm and further advance the theoretical
results. RESULTS: The results confirm that the totally conic cannula model,
having proximal to distal diameter ratio equal 2, requires the lowest injection
pressure. Furthermore, its velocity profile showed no discontinuity at all along
the cannula length, compared with other known combined proximal and distal
straight cannulas, where discontinuity was produced at the proximal-distal
transition zone. CONCLUSION: The conclusion is that the conic cannulas: (a)
further reduced the extravertebral pressure during the injection process; (b)
showed optimum fluid flow velocity profiles to minimize filter-pressing problems,
especially when ceramic cements are used; and (c) can be easily manufactured. In
this sense, the new conic cannulas should favor the use of calcium phosphate bone
cements in the spine. LEVEL OF EVIDENCE: N/A.
PMID- 24921854
TI - Anterior vertebral body tethering for idiopathic scoliosis: two-year results.
AB - STUDY DESIGN: Retrospective review. OBJECTIVE: To report the 2-year results of
the initial cohort undergoing anterior vertebral body tethering (VBT). SUMMARY OF
BACKGROUND DATA: Anterior VBT is a promising new technique with abundant
preclinical studies but very few clinical results. It is a growth modulation
technique, which utilizes patients' growth to attain progressive correction of
their scoliosis. We report 2-year results of the initial cohort undergoing this
procedure. METHODS: After obtaining institutional review board approval, we
retrospectively reviewed our first 11 consecutive patients who underwent anterior
VBT with 2-year follow-up. We collected pertinent preoperative, intraoperative,
and most recent clinical and radiographical data. Student t test and Fisher exact
test were utilized to compare different time points. RESULTS: Eleven patients
with thoracic idiopathic scoliosis (8 females) were identified, with a mean age
of 12.3 +/- 1.6 years. Preoperatively, all were skeletally immature (Sanders mean
= 3.4 +/- 1.1; Risser mean = 0.6 +/- 1.1). All underwent tethering of an average
of 7.8 +/- 0.9 (range: 7-9) levels, with the most proximal being T5 and the most
distal L2. Preoperative thoracic Cobb angle averaged 44.2 +/- 9.0 degrees and
corrected to 20.3 +/- 11.0 degrees on first erect, with progressive improvement
at 2 years (Cobb angle = 13.5 +/- 11.6 degrees , % correction = 70%; P <
0.00002). Similarly, the preoperative lumbar curve of 25.1 +/- 8.7 degrees
demonstrated progressive correction (first erect = 14.9 +/- 4.9 degrees , 2 yr =
7.2 +/- 5.1 degrees , % correction = 71%; P < 0.0002). Thoracic axial rotation as
measured by a scoliometer went from 12.4 +/- 3.3 degrees preoperatively to 6.9
+/- 3.4 degrees at the most recent measurement (P < 0.01). No major
complications were observed. As anticipated, 2 patients returned to the operating
room at 2 years postoperatively for loosening of the tether to prevent
overcorrection. CONCLUSION: Anterior VBT is a promising technique for skeletally
immature patients with idiopathic scoliosis. This technique can be performed
safely and can result in progressive correction. LEVEL OF EVIDENCE: 4.
PMID- 24921855
TI - Pulmonary function recovery demonstrated by ventilation-perfusion scan after
posterior vertebral column resection for severe adolescent idiopathic scoliosis:
a case report.
AB - STUDY DESIGN: Case report. OBJECTIVE: To describe a case in which a patient
regained pulmonary function, assessed by ventilation-perfusion scans, after
undergoing posterior vertebral column resection (VCR) to correct severe
adolescent idiopathic scoliosis (AIS) with associated pulmonary dysfunction.
SUMMARY OF BACKGROUND DATA: Pulmonary improvement after corrective surgery for
AIS has been reported. Ventilation-perfusion scans are useful for assessing
pulmonary function. However, these scans have not been used to examine the
recovery of pulmonary function after VCR for severe AIS with pulmonary
dysfunction. METHODS: A patient was described in whom ventilation-perfusion scans
were used to examine improvements in impaired air ventilation and blood perfusion
after VCR surgery for severe AIS. The relevant literature was reviewed. RESULTS:
An 18-year-old male came to Keio University Hospital with exertional dyspnea
associated with severe AIS. Radiographs showed severe scoliosis of 91 degrees at
T6-T12, and hypokyphosis of 6 degrees at T5-T12. Computed tomographic scans
showed narrowing of the thoracic cage on the convex side of the main thoracic
curve, with the vertebral bodies at the apex of the curve obstructing the right
main bronchus. Pulmonary function tests revealed a percent vital capacity of 44%
and percent forced expiratory volume in 1 second of 76%. A ventilation-perfusion
scan showed decreased air ventilation and blood perfusion in the right lung. The
patient underwent posterior correction surgery, which used segmental pedicle
screws with a VCR at T9. The scoliosis was corrected to 28 degrees , and the
kyphosis to 14 degrees . Postoperative computed tomographic scans showed
expansion of the right main bronchus. A ventilation-perfusion scan conducted 1
year after surgery showed clear improvement in both ventilation and blood
perfusion in the right lung. The patient's forced expiratory volume in 1 second
had increased to 91%. CONCLUSION: This is the first report in which ventilation
perfusion scans were used to examine improvements in impaired air ventilation and
blood perfusion after VCR surgery in a patient with severe AIS. LEVEL OF
EVIDENCE: N/A.
PMID- 24921857
TI - Full domain-decomposition scheme for diffuse optical tomography of large-sized
tissues with a combined CPU and GPU parallelization.
AB - The common approach to diffuse optical tomography is to solve a nonlinear and ill
posed inverse problem using a linearized iteration process that involves repeated
use of the forward and inverse solvers on an appropriately discretized domain of
interest. This scheme normally brings severe computation and storage burdens to
its applications on large-sized tissues, such as breast tumor diagnosis and brain
functional imaging, and prevents from using the matrix-fashioned linear
inversions for improved image quality. To cope with the difficulties, we propose
in this paper a parallelized full domain-decomposition scheme, which divides the
whole domain into several overlapped subdomains and solves the corresponding
subinversions independently within the framework of the Schwarz-type iterations,
with the support of a combined multicore CPU and multithread graphics processing
unit (GPU) parallelization strategy. The numerical and phantom experiments both
demonstrate that the proposed method can effectively reduce the computation time
and memory occupation for the large-sized problem and improve the quantitative
performance of the reconstruction.
PMID- 24921858
TI - Light-modulating pressure sensor with integrated flexible organic light-emitting
diode.
AB - Organic light-emitting diodes (OLEDs) are used almost exclusively for display
purposes. Even when implemented as a sensing component, it is rarely in a manner
that exploits the possible compliance of the OLED. Here it is shown that OLEDs
can be integrated into compliant mechanical micro-devices making a new range of
applications possible. A light-modulating pressure sensor is considered, whereby
the OLED is integrated with a silicon membrane. It is shown that such devices
have potential and advantages over current measurement techniques. An analytical
model has been developed that calculates the response of the device. Ray tracing
numerical simulations verify the theory and show that the design can be optimized
to maximize the resolution of the sensor.
PMID- 24921856
TI - Upregulation of BDNF and NGF in cervical intervertebral discs exposed to painful
whole-body vibration.
AB - STUDY DESIGN: In vivo study defining expression of the neurotrophins, brain
derived neurotrophic factor (BDNF) and nerve growth factor (NGF), in cervical
intervertebral discs after painful whole-body vibration (WBV). OBJECTIVE: The
goal of this study is to determine if BDNF and NGF are expressed in cervical
discs after painful WBV in a rat model. SUMMARY OF BACKGROUND DATA: WBV is a
possible source of neck pain and has been implicated as increasing the risk for
disc disorders. Typically, aneural regions of painful human lumbar discs exhibit
hyperinnervation, suggesting nerve ingrowth as potentially contributing to disc
degeneration and pain. BDNF and NGF are upregulated in painfully degenerate
lumbar discs and hypothesized to contribute to this pathology. METHODS: Male
Holtzman rats underwent 7 days of repeated WBV (15 Hz, 30 min/d) or sham
exposures, followed by 7 days of rest. Cervical discs were collected for analysis
of BDNF and NGF expression through RT-qPCR and Western blot analysis.
Immunohistochemistry also evaluated their regional expression in the disc.
RESULTS: Vibration significantly increases BDNF messenger ribonucleic acid (mRNA)
levels (P=0.036), as well as total-NGF mRNA (P=0.035). Protein expression of both
BDNF (P=0.006) and the 75-kDa NGF (P=0.045) increase by nearly 4- and 10-fold,
respectively. Both BDNF mRNA (R=0.396; P=0.012) and protein (R=0.280; P=0.035)
levels are significantly correlated with the degree of behavioral sensitivity
(i.e., pain) at day 14. Total-NGF mRNA is also significantly correlated with the
extent of behavioral sensitivity (R=0.276; P=0.044). Both neurotrophins are most
increased in the inner annulus fibrosus and nucleus pulposus. CONCLUSION: The
increases in BDNF and NGF in the cervical discs after painful vibration are
observed in typically aneural regions of the disc, consistent with reports of its
hyperinnervation. Yet, the induction of nerve ingrowth into the disc was not
explicitly investigated. Neurotrophin expression also correlates with behavioral
sensitivity, suggesting a role for both neurotrophins in the development of disc
pain. LEVEL OF EVIDENCE: N/A.
PMID- 24921859
TI - Conoscopic analysis of electric field driven planar aligned nematic liquid
crystal.
AB - This paper illustrates the conoscopic observation of a molecular reconstruction
occurring across a nematic liquid crystal (NLC) medium in the presence of an
external electric field. Conoscopy is an optical interferometric method, employed
to determine the orientation of an optic axis in uniaxial crystals. Here a planar
aligned NLC medium is used, and the topological changes with respect to various
applied voltages are monitored simultaneously. Homogenous planar alignment is
obtained by providing suitable surface treatments to the ITO coated cell walls.
The variation in the conoscopic interferometric patterns clearly demonstrates the
transition from planar to homeotropic state through various intermediate states.
PMID- 24921860
TI - Simultaneous reconstruction of multiple depth images without off-focus points in
integral imaging using a graphics processing unit.
AB - The reconstruction of multiple depth images with a ray back-propagation algorithm
in three-dimensional (3D) computational integral imaging is computationally
burdensome. Further, a reconstructed depth image consists of a focus and an off
focus area. Focus areas are 3D points on the surface of an object that are
located at the reconstructed depth, while off-focus areas include 3D points in
free-space that do not belong to any object surface in 3D space. Generally,
without being removed, the presence of an off-focus area would adversely affect
the high-level analysis of a 3D object, including its classification,
recognition, and tracking. Here, we use a graphics processing unit (GPU) that
supports parallel processing with multiple processors to simultaneously
reconstruct multiple depth images using a lookup table containing the shifted
values along the x and y directions for each elemental image in a given depth
range. Moreover, each 3D point on a depth image can be measured by analyzing its
statistical variance with its corresponding samples, which are captured by the
two-dimensional (2D) elemental images. These statistical variances can be used to
classify depth image pixels as either focus or off-focus points. At this stage,
the measurement of focus and off-focus points in multiple depth images is also
implemented in parallel on a GPU. Our proposed method is conducted based on the
assumption that there is no occlusion of the 3D object during the capture stage
of the integral imaging process. Experimental results have demonstrated that this
method is capable of removing off-focus points in the reconstructed depth image.
The results also showed that using a GPU to remove the off-focus points could
greatly improve the overall computational speed compared with using a CPU.
PMID- 24921861
TI - Multiple-channel guided mode resonance Brewster filter with controllable spectral
separation.
AB - In this work, a single-layer, multiple-channel guided mode resonance (GMR)
Brewster filter with controllable spectral separation is proposed using the plane
waveguide method and rigorous coupled-wave analysis. Based on the normalized
eigenvalue equation, the controllability of the spectral separation is analyzed
when the fill ratio of the grating layer is changed while its effective index is
identical to that of the substrate. The location and the separation between
resonances can be specifically controlled by modifying the fill ratio of the
grating layer. In contrast to the ordinary GMR filter, where the location of the
resonances is material dependent, it is demonstrated that the spectral separation
for the first and second resonances can be linearly controlled by altering the
fill ratio of the grating layer. In addition, the maximal shift of the second
resonance is up to 5% of the first resonant wavelength using the single-layer
Brewster filter.
PMID- 24921862
TI - Prism-pair interferometer for precise measurement of the refractive index of
optical glass by using a spectrum lamp.
AB - A prism-pair interferometer for a spectrum lamp was developed for precise
measurement of the refractive index of a prism of optical glass. Previously we
reported the prism-pair interferometer with a He-Ne laser light source, resulting
in a measurement uncertainty of 1.1*10-6. However, most of the refractive-index
values managed by optical glass manufacturers are conventionally measured with
spectrum lamps. We have optimized the prism-pair interferometer for spectrum
lamps and implemented a signal-processing technique from Fourier-transform
spectroscopy. When the refractive index is measured, the wavelength of the
spectrum lamp is simultaneously calibrated by part of the interferometer, so that
the resulting refractive index is traceable to a national standard of length. The
combined standard uncertainty for a refractive index measured with the e-line
(546 nm) of a Hg lamp is 6.9*10-6.
PMID- 24921863
TI - High-efficiency fused-silica reflection grism.
AB - A fused-silica reflection grism (combination of grating and prism) based on the
phenomenon of total internal reflection (TIR), and used in the -1st order, is
designed and fabricated. The grism is etched directly into the fused-silica
prism, which greatly facilitates the use of the TIR grating as no other angle
coupling devices are involved. The grating profile is optimized by the use of the
rigorous coupled-wave analysis method. Diffraction efficiency of larger than 99%
at a wavelength of 980 nm for TM-polarized waves can be theoretically obtained.
Two-beam interference lithography and inductively coupled plasma etching
techniques are used to manufacture such grism. Diffraction efficiencies of larger
than 95% are experimentally demonstrated.
PMID- 24921864
TI - Fast and noninterpolating method for subpixel displacement analysis of digital
speckle images using phase shifts of spatial frequency spectra.
AB - A fast noninterpolation method for calculating displacement of digital speckle
images with subpixel precision was introduced. In this method, the precise
displacement is obtained from phase shifts of spatial frequency spectra of two
digital speckle images instead of digital correlation calculation. First, digital
speckle images before and after displacement are windowed and fast Fourier
transform is performed. Then, phase shifts of different spatial frequencies are
linearly fitted in spectral space using the least square method, and a coarse
displacement value is directly calculated according to the phase shift theorem of
Fourier transform. By a window technique and iterative procedure, the influence
of finite image size on the accuracy of the results is eliminated, and the
accurate displacement is obtained finally. It is significant that the method
obtains the subpixel-precision displacement without any interpolation operations.
The test results show that the method has high computing efficiency, high
precision, and good robustness to low image quality.
PMID- 24921865
TI - Validation of short-pulse-laser-based measurement setup for absolute spectral
irradiance responsivity calibration.
AB - This paper describes the validation process of mode-locked lasers in the "tunable
lasers in photometry" (TULIP) setup at Physikalisch-Technische Bundesanstalt
(PTB) regarding spectral irradiance responsivity calibrations. Validation has
been carried out in the visible spectral range, 400-700 nm, with two different
photometer heads and in the long wavelength range, 690-780 nm, with a filtered
radiometer. A comparison of the results against those from two different
validated measurement setups has been carried out for validation. For the visible
spectral range, the comparison is conducted against the data obtained from a lamp
based monochromator setup for spectral irradiance responsivity calibrations and
against the photometric values (integral quantity) measured at the photometric
bench setup of PTB. For the long wavelength range, comparisons against results
from two different lamp-based monochromator measurement setups were made.
Additionally, the effect of different radiation bandwidths on interference
oscillations has been determined for a filter radiometer without a diffuser. A
procedure for the determination of the optimum bandwidth of the setup for the
respective measurement device is presented.
PMID- 24921866
TI - Virtual camera calibration using optical design software.
AB - Camera calibration is a critical step in many vision applications. It is a
delicate and complex process that is highly sensitive to environmental
conditions. This paper presents a novel virtual calibration technique that can be
used to study the impact of various factors on the calibration parameters. To
highlight the possibilities of the method, the calibration parameters' behavior
has been studied regarding the effects of tolerancing and temperature for a
specific lens. This technique could also be used in many other promising areas to
make calibration in the laboratory or in the field easier.
PMID- 24921867
TI - Passively mode-locked fiber laser by using monolayer chemical vapor deposition of
graphene on D-shaped fiber.
AB - We demonstrate a monolayer graphene saturable absorber (SA) based on D-shaped
fiber for operation of the mode-locked fiber laser. The monolayer graphene is
grown by chemical vapor deposition (CVD) on Cu substrate and transferred onto the
polymer, and then covered with D-shaped fiber, which allows light-graphene
interaction via the evanescent field of the fiber. Due to the side-coupled
interaction, the length of graphene is long enough to avoid optical power-induced
thermal damage. Using such a graphene-based SA, stable mode-locked solitons with
4.5 nm spectral bandwidth and 713 fs pulsewidth at the 1563 nm wavelength have
been obtained under 280 mW pump power. The influence of total cavity dispersion
on the optical spectrum and pulse is also investigated by adding different
lengths of single-mode fiber in the laser cavity.
PMID- 24921868
TI - Distributed optical fiber dynamic magnetic field sensor based on
magnetostriction.
AB - A distributed optical fiber sensor is introduced which is capable of quantifying
multiple magnetic fields along a 1 km sensing fiber with a spatial resolution of
1 m. The operation of the proposed sensor is based on measuring the
magnetorestrictive induced strain of a nickel wire attached to an optical fiber.
The strain coupled to the optical fiber was detected by measuring the strain
induced phase variation between the backscattered Rayleigh light from two
segments of the sensing fiber. A magnetic field intensity resolution of 0.3 G
over a bandwidth of 50-5000 Hz was demonstrated.
PMID- 24921869
TI - Feature weighting algorithms for classification of hyperspectral images using a
support vector machine.
AB - The support vector machine (SVM) is a widely used approach for high-dimensional
data classification. Traditionally, SVMs use features from the spectral bands of
hyperspectral images with each feature contributing equally to the
classification. In practical applications, although affected by noise, slight
contributions can also be obtained from deteriorated bands. Thus, compared with
feature reduction or equal assignment of weights to all the features, feature
weighting is a trade-off choice. In this study, we examined two approaches to
assigning weights to SVM features to increase the overall classification
accuracy: (1) "CSC-SVM" refers to a support vector machine with compactness and a
separation coefficient feature weighting algorithm, and (2) "SE-SVM" refers to a
support vector machine with a similarity entropy feature weighting algorithm.
Analyses were conducted on a public data set with nine selected land-cover
classes. In comparison with traditional SVMs and other classical feature
weighting algorithms, the proposed weighting algorithms increase the overall
classification accuracy, and even better results could be obtained with few
training samples.
PMID- 24921870
TI - Light-scattering effectiveness of two-dimensional disordered surface textures in
thin-film silicon solar cells.
AB - To compare the light-scattering effectiveness of surface-textured solar cells of
various design parameters such as density, diameter, refractive index, and
location, this study used a new parameter, optical path length gain (OPLG), that
is more sensitive than Haze. By modeling two-dimensional disordered textures as a
structure that comprises many randomly distributed, small, spherical scatterers,
ray-tracing simulations of surface-textured thin-film silicon solar cells were
performed. The simulation results suggest that: (1) the optimal scatterer
diameter for hydrogenated amorphous silicon (a-Si:H) solar cells is ~50 nm,
producing an average OPLG of 3.5; and (2) the optimal scatterer diameter for a
Si:H/MUc-Si:H (hydrogenated microcrystalline silicon) tandem cells is ~75 nm,
producing an average OPLG of 3.4 and an increase in the bandwidth of the
absorption spectrum of 14.5%.
PMID- 24921871
TI - Anisotropic effective medium properties from interacting Ag nanoparticles in
silicon dioxide.
AB - Films containing a layer of Ag nanoparticles embedded in silicon dioxide were
produced by RF magnetron sputtering. Optical transmittance measurements at
several angles of incidence (from normal to 75 degrees ) revealed two surface
plasmon resonance (SPR) peaks, which depend on electric field direction: one in
the ultraviolet and another red-shifted from the dilute Ag/SiO2 system resonance
at 410 nm. In order to investigate the origin of this anisotropic behavior, the
structural properties were determined by transmission electron microscopy,
revealing the bidimensional plane distribution of Ag nanoparticles with nearly
spherical shape as well as the filling factor of metal in the composite. A simple
model linked to these experimental parameters allowed description of the most
relevant features of the SPR positions, which, depending on the field direction,
were distinctly affected by the coupling of oscillations between close
nanoparticles, as described by a modified Drude-Lorentz dielectric function
introduced into the Maxwell-Garnett relation. This approach allowed prediction of
the resonance for light at 75 degrees incidence from the SPR position for light
at normal incidence, in good agreement with experimental observation.
PMID- 24921872
TI - Radius measurement by laser confocal technology.
AB - A laser confocal radius measurement (LCRM) method is proposed for high-accuracy
measurement of the radius of curvature (ROC). The LCRM uses the peak points of
confocal response curves to identify the cat eye and confocal positions
precisely. It then accurately measures the distance between these two positions
to determine the ROC. The LCRM also uses conic fitting, which significantly
enhances measurement accuracy by restraining the influences of environmental
disturbance and system noise on the measurement results. The experimental results
indicate that LCRM has a relative expanded uncertainty of less than 10 ppm for
both convex and concave spheres. Thus, LCRM is a feasible method for ROC
measurements with high accuracy and concise structures.
PMID- 24921873
TI - Coherent broadband light generation with a double-path configuration.
AB - We generate broadband light by focusing two femtosecond pulses into a Raman
active crystal. By reflecting Raman sideband beams together with the two driving
beams back to the same crystal (with a slight spatial offset), we generate
sidebands covering a broader spectral range, compared to a single pass. In this
novel double-path configuration, multiple Raman sideband beams interact with each
other since the phase-matching condition is automatically fulfilled. This scheme
enables an enhanced cascaded coherent anti-Stokes scattering process and also
doubles the interaction length, thus it allows one to use relatively weak energy
pump pulses and thereby avoid optical damage.
PMID- 24921874
TI - Capture and display mismatch compensation for real-time digital holographic
interferometry.
AB - Optical holographic interferometry (HI) is realized by two well-known techniques:
double exposure holographic interferometry (DEHI) and real-time holographic
interferometry (RTHI). However, the digital version of HI is typically realized
numerically by DEHI. The main problem in digital implementation of RTHI is the
lack of commercially available cameras and spatial light modulators with the same
pixel size. This mismatch results in lateral and transversal magnifications of an
object wavefront reconstruction. In real-time digital HI the reconstruction of an
object in an initial state has to be superimposed on top of the loaded object. In
this work, we present and analyze five approaches to overcome the mismatch
problem, and the performance of these procedures is numerically quantified and
compared. The experimental suitability of these approaches is investigated.
PMID- 24921875
TI - Performance of line-scan Raman microscopy for high-throughput chemical imaging of
cell population.
AB - We evaluate the performance of line-scan Raman microscopy (LSRM), a versatile
label-free technique, for high-throughput chemical imaging of cell population. We
provide detailed design and configuration of a home-built LSRM system developed
in our laboratory. By exploiting parallel acquisition, the LSRM system achieves a
significant throughput advantage over conventional point-scan Raman microscopy by
projecting a laser line onto the sample and imaging the Raman scattered light
from the entire line using a grating spectrograph and a charge-coupled device
(CCD) camera. Two-dimensional chemical maps can be generated by scanning the
projected line in the transverse direction. The resolution in the x and y
direction has been characterized to be ~600-800 nm for 785 nm laser excitation.
Our system enables rapid classification of microparticles with similar shape,
size, and refractive index based on their chemical composition. An equivalent
imaging throughput of 100 microparticles/s for 1 MUm polystyrene beads has been
achieved. We demonstrate the application of LSRM to imaging bacterial spores by
identifying endogenous calcium dipicolinate. We also demonstrate that LSRM
enables the study of intact microalgal cells at the colonial level and the
identification of intra- and extracellular chemical constituents and metabolites,
such as chlorophyll, carotenoids, lipids, and hydrocarbons. We conclude that LSRM
can be an effective and practical tool for obtaining endogenous microscopic
chemical and molecular information from cell population.
PMID- 24921876
TI - Laser-generated broadband antireflection structures for freeform silicon lenses
at terahertz frequencies.
AB - We present a flexible technology to generate broadband antireflection (AR)
structures for the terahertz (THz) frequency range on planar and curved surfaces
of silicon optics. Ultrashort laser pulses are used to ablate the surface to form
a pattern of conical pillars with a period of 30 MUm. These subwavelength
structures act as an effective medium with gradual transition of the refractive
index from air to silicon, which reduces the Fresnel reflection losses. The
characterization with the THz time-domain spectroscopy system shows an AR effect
for a frequency range of 0.1-1.5 THz with a maximum enhancement of the spectral
amplitude by ca. 32% at 0.4 THz for planar surfaces. In addition, we demonstrate
laser-generated AR structures on convex silicon lenses of both photoconductive
emitter and detector devices. Here, the THz pulse amplitude can be increased by
about 28%, and single frequencies even show an improvement of the spectral
amplitude up to 58%.
PMID- 24921877
TI - Decoupling scattering and absorption of turbid samples using a simple empirical
relation between coefficients of the Kubelka-Munk and radiative transfer
theories.
AB - Efforts are underway to better understand the absorption properties of micro- and
nano-sized particles due to their potential in various photonic applications.
However, most of these particles exhibit strong scattering in the spectral
regions of interest in addition to absorption. Due to strong interference from
scattering, the absorption of these turbid samples cannot be directly measured
using conventional spectroscopy techniques. The optical properties of these
particles are also different from that of the bulk due to quantum confinement and
plasmon resonance effects and cannot be inferred from their bulk properties. By
measuring the total transmittance and total reflectance (diffuse and collimated)
of turbid samples and using an empirical relation between the coefficients of the
Kubelka-Munk and radiative transfer theories, we have demonstrated a method to
calculate the absorption and reduced scattering coefficients of turbid samples.
This method is capable of extracting the absorption coefficient of turbid samples
with an error of 2%. Using this method, we have decoupled the specific absorption
and specific reduced scattering coefficients of commercially available micro
sized iron oxide particles. The current method can be used to measure the optical
properties of irregularly shaped particle dispersions, which are otherwise
difficult to estimate theoretically.
PMID- 24921878
TI - Designing photonic quasi-crystal fibers of various folds: onto optimization of
efficiency and bandwidth of second harmonic generation.
AB - We design photonic quasi-crystal fibers (PQFs) of six-, eight-, ten-, and twelve
folds for determining the optimized efficiency as well as the bandwidth of second
harmonic generation (SHG). We report a maximum SHG relative efficiency of 941.36%
W-1 cm-2 for a twelve-fold PQF of 2 MUm pitch. The detailed numerical results
reveal that, while the relative efficiency increases appreciably, the phase
matching bandwidth increases marginally, as and when the number of folds
increases. As the primary interest of this work is to enhance the relative
efficiency, we focus our analysis with a twelve-fold PQF for which the efficiency
turns a maximum. In line with the practical feasibility of poling, we keep the
pitch at 7 MUm and report an optimized relative efficiency and phase-matching
bandwidth as 95.28% W-1 cm-2 and 50.51 nm.cm, respectively.
PMID- 24921879
TI - Sub-nanoradiant beam pointing monitoring and stabilization system for controlling
input beam jitter in gravitational wave interferometers.
AB - In this paper, a simple and effective control system to monitor and suppress the
beam jitter noise at the input of an optical system, called a beam pointing
control (BPC) system, will be described, showing the theoretical principle and an
experimental demonstration for the application of large-scale gravitational wave
(GW) interferometers (ITFs), in particular for the Advanced Virgo detector. For
this purpose, the requirements for the control accuracy and the sensing noise
will be computed by taking into account the Advanced Virgo optical configuration,
and the outcomes will be compared with the experimental measurement obtained in
the laboratory. The system has shown unprecedented performance in terms of
control accuracy and sensing noise. The BPC system has achieved a control
accuracy of ~10-8 rad for the tilt and ~10-7 m for the shift and a sensing noise
of less than 1 n rad/?Hz, which is compliant with the Advanced Virgo GW ITF
requirements.
PMID- 24921880
TI - Spatial coating inhomogeneity of highly reflective mirrors determined by cavity
ringdown measurements.
AB - The inhomogeneity of high-reflectivity mirror coatings is a potential error
source in the application of the cavity ringdown technique. Here, the ringdown
times for different transverse modes were recorded. Together with the observed
spatial distribution of these modes the ringdown times can be used to
approximately locate the position of coating defects. A simple model based on a
weighted sum of Hermite-Gaussian mode functions is used to explain the
experimental results.
PMID- 24921881
TI - Application of multi-correlation-scale measurement matrices in ghost imaging via
sparsity constraints.
AB - Sampling and reconstruction techniques are of special interest and importance in
ghost imaging. Up to now, the transverse correlation scale of measurement
matrices are usually constant. This paper explores a new possibility of
constructing highly efficient measurement matrices with multi-correlation scales.
Comparisons between the simulational and experimental results show that the multi
correlation-scale measurement matrices are highly efficient and accurate in
sampling and image reconstruction and have a better antinoise ability than the
existing constant-correlation-scale measurement matrices.
PMID- 24921882
TI - Analysis of the relationship between fringe angle and three-dimensional
profilometry system sensitivity.
AB - The relationship between projector-camera baseline and the phase variation
direction of fringe patterns is one of the essential characteristics in a three
dimensional (3D) profilometry system, although it has been ignored. This paper
indicates that a 3D profilometry system will be most sensitive to object depth
change when the phase variation direction of the fringe patterns is parallel to
the baseline, which is analyzed in systems based on both the triangulation and
stereovision principles. An efficient method is proposed to achieve the most
sensitivity by projecting a set of fringe patterns of different phase variation
directions. Experimental results demonstrate our analysis and the proposed
determination method.
PMID- 24921883
TI - Drift-eliminating method of intensity demodulation on a chirped fiber grating
strain sensor.
AB - It is quite possible to detect the strain of a sample based on a chirped fiber
grating (CFG) sensor, which has a wider bandwidth of light signal than a fiber
Bragg grating. Usually, environmental factors play negative roles in the process
of intensity demodulation. A drift-eliminating method of CFG intensity
demodulation has been created and tested in our lab. Three CFG sensors were
involved in this research. Two of them with close wavelength bands connected in
series were used as a strain sensing unit; the third one was used as a
referencing grating working within the reflective wavelength spectrum of the
other two. It is shown that the signal ratio of the sensing unit and the
referencing grating is a linear function of the loading strain. The linearity is
as high as 99.79%.
PMID- 24921884
TI - Fabrication and characterization of a deep ultraviolet wire grid polarizer with a
chromium-oxide subwavelength grating.
AB - A wire grid polarizer comprised of chromium oxide is designed for a micro
lithography system using an ArF excimer laser. Optical properties for some
material candidates are calculated using a rigorous coupled-wave analysis. The
chromium oxide wire grid polarizer with a 90 nm period is fabricated by a double
patterning technique using KrF lithography and dry etching. The extinction ratio
of the grating is greater than 20 dB (100:1) at a wavelength of 193 nm.
Differences between the calculated and experimental results are discussed.
PMID- 24921885
TI - Computationally efficient video restoration for Nyquist sampled imaging sensors
combining an affine-motion-based temporal Kalman filter and adaptive Wiener
filter.
AB - In this paper, we present a computationally efficient video restoration algorithm
to address both blur and noise for a Nyquist sampled imaging system. The proposed
method utilizes a temporal Kalman filter followed by a correlation-model based
spatial adaptive Wiener filter (AWF). The Kalman filter employs an affine
background motion model and novel process-noise variance estimate. We also
propose and demonstrate a new multidelay temporal Kalman filter designed to more
robustly treat local motion. The AWF is a spatial operation that performs
deconvolution and adapts to the spatially varying residual noise left in the
Kalman filter stage. In image areas where the temporal Kalman filter is able to
provide significant noise reduction, the AWF can be aggressive in its
deconvolution. In other areas, where less noise reduction is achieved with the
Kalman filter, the AWF balances the deconvolution with spatial noise reduction.
In this way, the Kalman filter and AWF work together effectively, but without the
computational burden of full joint spatiotemporal processing. We also propose a
novel hybrid system that combines a temporal Kalman filter and BM3D processing.
To illustrate the efficacy of the proposed methods, we test the algorithms on
both simulated imagery and video collected with a visible camera.
PMID- 24921886
TI - Combining transverse field detectors and color filter arrays to improve
multispectral imaging systems.
AB - This work focuses on the improvement of a multispectral imaging sensor based on
transverse field detectors (TFDs). We aimed to achieve a higher color and
spectral accuracy in the estimation of spectral reflectances from sensor
responses. Such an improvement was done by combining these recently developed
silicon-based sensors with color filter arrays (CFAs). Consequently, we
sacrificed the filter-less full spatial resolution property of TFDs to narrow
down the spectrally broad sensitivities of these sensors. We designed and
performed several experiments to test the influence of different design features
on the estimation quality (type of sensor, tunability, interleaved polarization,
use of CFAs, type of CFAs, number of shots), some of which are exclusive to TFDs.
We compared systems that use a TFD with systems that use normal monochrome
sensors, both combined with multispectral CFAs as well as common RGB filters
present in commercial digital color cameras. Results showed that a system that
combines TFDs and CFAs performs better than systems with the same type of
multispectral CFA and other sensors, or even the same TFDs combined with
different kinds of filters used in common imaging systems. We propose CFA+TFD
based systems with one or two shots, depending on the possibility of using longer
capturing times or not. Improved TFD systems thus emerge as an interesting
possibility for multispectral acquisition, which overcomes the limited accuracy
found in previous studies.
PMID- 24921887
TI - Continuum fusion solutions for replacement target models in electro-optic
detection.
AB - The additive target model is used routinely in the statistical detection of
opaque targets, despite its phenomenological inaccuracy. The more appropriate
replacement target model is seldom used, because the standard method for
producing a detection algorithm from it proves to be intractable, unless narrow
restrictions are imposed. Now, the recently developed continuum fusion (CF)
methodology allows an expanded solution set to the general replacement target
problem. It also provides a mechanism for producing approximate solutions for the
standard approach. We illustrate the principles of CF by using them to generate
both types of answers for the correct detection model.
PMID- 24921888
TI - Enhancement of imagery of objects with highly dynamic brightness and large
rotational motion.
AB - We report on application of multi-frame super-resolution (SR) to sampling limited
imagery that models space objects (SOs). The difficulties of multi-frame image
processing of SOs include abrupt illumination changes and complex in scene SO
motion. These conditions adversely affect the accuracy of motion estimation
necessary for resolution enhancement. We analyze the motion estimation errors
from the standpoint of an optical flow (OF) interpolation error metric and show
dependence of the object tracking accuracy on brightness changes and on the pixel
displacement values between subsequent images. Despite inaccuracies of motion
estimation, we demonstrate spatial acuity enhancement of the pixel limited
resolution of model SO motion imagery by applying a SR algorithm that accounts
for OF errors. In addition to visual inspection, image resolution improvement
attained in the experiments is assessed quantitatively; a 1.8* resolution
enhancement is demonstrated.
PMID- 24921889
TI - Video rate nine-band multispectral short-wave infrared sensor.
AB - Short-wave infrared (SWIR) imaging sensors are increasingly being used in
surveillance and reconnaissance systems due to the reduced scatter in haze and
the spectral response of materials over this wavelength range. Typically SWIR
images have been provided either as full motion video from framing panchromatic
systems or as spectral data cubes from line-scanning hyperspectral or
multispectral systems. Here, we describe and characterize a system that bridges
this divide, providing nine-band spectral images at 30 Hz. The system integrates
a custom array of filters onto a commercial SWIR InGaAs array. We measure the
filter placement and spectral response. We demonstrate a simple simulation
technique to facilitate optimization of band selection for future sensors.
PMID- 24921890
TI - Characterization of the AWARE 10 two-gigapixel wide-field-of-view visible imager.
AB - System requirements for many military electro-optic and IR camera systems reflect
the need for both wide-field-of-view situational awareness as well as high
resolution imaging for target identification. In this work we present a new
imaging system architecture designed to perform both functions simultaneously and
the AWARE 10 camera as an example at visible wavelengths. We first describe the
basic system architecture and user interface followed by a laboratory
characterization of the system optical performance. We then describe a field
experiment in which the camera was used to identify several maritime targets at
varying range. The experimental results indicate that users of the system are
able to correctly identify ~10 m targets at between 4 and 6 km with 70% accuracy.
PMID- 24921891
TI - Compact camera for multispectral and conventional imaging based on patterned
filters.
AB - A multispectral camera concept is presented. The concept is based on using a
patterned filter in the focal plane, combined with scanning of the field of view.
The filter layout has stripes of different bandpass filters extending
orthogonally to the scan direction. The pattern of filter stripes is such that
all bands are sampled multiple times, while minimizing the total duration of the
sampling of a given scene point. As a consequence, the filter needs only a small
part of the area of an image sensor. The remaining area can be used for
conventional 2D imaging. A demonstrator camera has been built with six bands in
the visible and near infrared, as well as a panchromatic 2D imaging capability.
Image recording and reconstruction is demonstrated, but the quality of image
reconstruction is expected to be a main challenge for systems based on this
concept. An important advantage is that the camera can potentially be made very
compact, and also low cost. It is shown that under assumptions that are not
unreasonable, the proposed camera concept can be much smaller than a conventional
imaging spectrometer. In principle, it can be smaller in volume by a factor on
the order of several hundred while collecting the same amount of light per
multispectral band. This makes the proposed camera concept very interesting for
small airborne platforms and other applications requiring compact spectral
imagers.
PMID- 24921892
TI - Designing pictorial stimuli for perceptual experiments.
AB - The effects of design decisions in the development of systems that generate
images for human consumption, such as cameras and displays, are often evaluated
using real-world images. However, human observers can react differently to
complex pictorial stimuli over the course of a lengthy experiment. This study was
conducted to develop understanding of the optimal design of pictorial stimuli for
effective and efficient perceptual experiments. The goals were to understand the
impact of image content on visual attention and consistency of experimental
results and apply this understanding to develop guidelines for pictorial target
design for perceptual image comparison experiments. The efficacy of the proposed
guidelines was evaluated. While the fixation consistency results were generally
as expected, fixation consistency did not always equate to experimental response
consistency. Along with scene complexity, the image modifications and the
difficulty of the image equivalency decisions played a role in the experimental
response.
PMID- 24921893
TI - Analytical direct solutions of the Risley prism systems for tracking and
pointing.
AB - The Risley prism systems, which had many different configurations, are only
composed of two wedge prisms. The expressions of the direction cosines of the
refractive ray of the two wedge prisms are given by nonparaxial ray tracing in a
local coordinate referenced to the wedge prism, and the power of ray deviation of
the two wedge prisms are shown by curves for systems using prisms of different
materials and opening angles. The analytical direct solutions of the Risley prism
systems are derived from the expressions of the direction cosines of the wedge
prism through the coordinate transformation, and are also deduced from the two
exact orientations for the same pointing position of precision tracking and
pointing systems, which arise from applications of the Risley prisms to free
space communications. The exact expressions for the problem of precision tracking
are generalized to investigate the synthesis of tracking a given target, i.e., to
track a desirable path on some plane perpendicular to the optical axis of the
system by controlling the circular motion of the two prisms.
PMID- 24921894
TI - Imaging systems and applications: introduction to the feature.
AB - Imaging systems have numerous applications in industrial, military, consumer, and
medical settings. Assembling a complete imaging system requires the integration
of optics, sensing, image processing, and display rendering. This issue features
original research ranging from design of stimuli for human perception, optics
applications, and image enhancement to novel imaging modalities in both color and
infrared spectral imaging, gigapixel imaging as well as a systems perspective to
imaging.
PMID- 24921895
TI - Breast cancer stage at diagnosis among medically underserved women screened
through the Texas Breast and Cervical Cancer Services.
AB - The Texas Breast and Cervical Cancer Services (BCCS) program was established to
address the socioeconomic disparities in stage at diagnosis and outcomes among
breast cancer patients. This study examines the impact of Texas BCCS on stage at
diagnosis among low socioeconomic status (SES) breast cancer patients. This is a
retrospective analysis of women aged 40-64 years who were screened and diagnosed
with breast cancer through the Texas BCCS program (participants) as compared with
similar women living in low-SES census tracts and diagnosed outside the program
(comparison group) during 1995-2008. Incident cases among the participants were
compared with the comparison group as well. Stage at diagnosis was also analyzed
separately for the years 1995-2002 and 2003-2008 in order to estimate the effect
of BCCS-related Medicaid expansion in 2002. Over the study period of 1995-2008,
BCCS participants had a 1.23 (P value<0.0001) times higher odds, and BCCS
incident cases had 40% (P value<0.0001) lower odds of advanced stage at diagnosis
as compared with the comparison group. A statistically significant difference in
stage at diagnosis between the participants and the comparison group only existed
for the 2003-2008 (post-Medicaid) period (odds ratio: 1.39, P value<0.0001).
Texas BCCS program acts as a source of diagnosis and treatment access to many
suspected cancer cases, especially since the 2002 Medicaid expansion, leading to
more advanced stage at diagnosis among the BCCS cases as compared with other low
SES cases. Significant expansion of the program to serve a higher proportion of
the eligible population is needed to achieve its goals as a screening program.
PMID- 24921896
TI - Facilitating Glaucoma Diagnosis With Intereye Retinal Nerve Fiber Layer Asymmetry
Using Spectral-Domain Optical Coherence Tomography.
AB - PURPOSE: To test whether increased intereye retinal nerve fiber layer (RNFL)
asymmetry may be indicative of glaucoma. To determine the best statistical
methods and intereye RNFL cutoffs for differentiating between normal and glaucoma
subjects to better alert clinicians to early glaucomatous damage. METHODS: Sixty
six primary open-angle glaucoma (OAG) and 40 age-matched normal subjects had both
eyes imaged at the Massachusetts Eye and Ear Infirmary with a commercially
available spectral-domain optical coherence tomography (OCT) machine. Statistical
methodologies were used to find cutoffs that achieved the best sensitivities and
specificities for differentiating OAG from normal subjects. RESULTS: Intereye
RNFL asymmetry for global average, all quadrants, and all sectors was
significantly greater in OAG than normal subjects. Intereye RNFL asymmetry for
global average showed the greatest statistical difference (P<0.001) between OAG
(23.64 +/- 14.90 MUm) and normal eyes (3.58 +/- 3.96 MUm), with 6.60 times
greater asymmetry in OAG eyes. The inferior quadrant showed the second greatest
difference, with 3.91 times greater asymmetry in OAG eyes. Using a statistically
determined cutoff of 6.0 MUm as abnormal, intereye RNFL asymmetry for global
average achieved a sensitivity of 74.24% and specificity of 90% in
differentiating between normal and OAG subjects, achieving a better combination
of sensitivity and specificity than intereye RNFL asymmetry of any quadrant or
sector. CONCLUSIONS: Intereye RNFL asymmetry may be a useful clinical OCT
measurement to provide quantitative assessment of early glaucomatous damage.
Newly developed algorithms for intereye RNFL asymmetry may improve the ability to
detect glaucoma.
PMID- 24921897
TI - Effect of insulin-induced hypoglycaemia on the peripheral nervous system: focus
on adaptive mechanisms, pathogenesis and histopathological changes.
AB - Insulin-induced hypoglycaemia (IIH) is a common acute side effect in type 1 and
type 2 diabetic patients, especially during intensive insulin therapy. The
peripheral nervous system (PNS) depends on glucose as its primary energy source
during normoglycaemia and, consequently, it may be particularly susceptible to
IIH damage. Possible mechanisms for adaption of the PNS to IIH include increased
glucose uptake, utilisation of alternative energy substrates and the use of
Schwann cell glycogen as a local glucose reserve. However, these potential
adaptive mechanisms become insufficient when the hypoglycaemic state exceeds a
certain level of severity and duration, resulting in a sensory-motor neuropathy
with associated skeletal muscle atrophy. Large myelinated motor fibres appear to
be particularly vulnerable. Thus, although the PNS is not an obligate glucose
consumer, as is the brain, it appears to be more prone to IIH than the central
nervous system when hypoglycaemia is not severe (blood glucose level <= 2 mm),
possibly reflecting a preferential protection of the brain during periods of
inadequate glucose availability. With a primary focus on evidence from
experimental animal studies investigating nondiabetic IIH, the present review
discusses the effect of IIH on the PNS with a focus on adaptive mechanisms,
pathogenesis and histological changes.
PMID- 24921898
TI - Defining emergency department asthma visits for public health surveillance, North
Carolina, 2008-2009.
AB - INTRODUCTION: When using emergency department (ED) data sets for public health
surveillance, a standard approach is needed to define visits attributable to
asthma. Asthma can be the first (primary) or a subsequent (2nd through 11th)
diagnosis. Our study objective was to develop a definition of ED visits
attributable to asthma for public health surveillance. We evaluated the effect of
including visits with an asthma diagnosis in primary-only versus subsequent
positions. METHODS: The study was a cross-sectional analysis of population-level
ED surveillance data. Of the 114 North Carolina EDs eligible to participate in a
statewide surveillance system in 2008-2009, we used data from the 111 (97%) that
participated during those years. Included were all ED visits with an ICD-9-CM
diagnosis code for asthma in any diagnosis position (1 through 11). We formed 11
strata based on the diagnosis position of asthma and described common chief
complaint and primary diagnosis categories for each. Prevalence ratios compared
each category's proportion of visits that received either asthma- or cardiac
related procedure codes. RESULTS: Respiratory diagnoses were most common in
records of ED visits in which asthma was the first or second diagnosis, while
primary diagnoses of injury and heart disease were more common when asthma
appeared in positions 3-11. Asthma-related chief complaints and procedures were
most common when asthma was the first or second diagnosis, whereas cardiac
procedures were more common in records with asthma in positions 3-11. CONCLUSION:
ED visits should be defined as asthma-related when asthma is in the first or
second diagnosis position.
PMID- 24921899
TI - Accelerated weight gain among children during summer versus school year and
related racial/ethnic disparities: a systematic review.
AB - INTRODUCTION: The objective of this study was to compile and summarize research
examining variations in weight gain among students during the summer in
comparison to the school year, with a focus on racial/ethnic disparities and
students who are at risk of overweight. METHODS: A systematic search of PubMed
and Embase was conducted. Reference lists of identified articles and Google
Scholar were also reviewed. Studies that assessed summer weight gain in school
children were included. Inclusion criteria were: 1) a focus on children and
adolescents aged 5 to 17 attending school; 2) a measured body composition before
and after the summer vacation; 3) English-language articles; and 4) publication
in a peer-reviewed journal since January 1, 1990. Data were extracted from
selected studies in the following categories: study purpose, setting, study
design, population, sample size, data collection method, and findings. RESULTS:
Seven eligible studies were included in the review. Six of the 7 studies reported
accelerated summer weight gain for at least a portion of the study population,
with an effect of summer on weight gain identified for the following subgroups:
black, Hispanic, and overweight children and adolescents. CONCLUSION: There may
be a trend in increased rate of weight gain during summer school vacation,
particularly for high-risk groups, including certain racial/ethnic populations
and overweight children and adolescents. Potential solutions for the problem of
accelerated summer weight gain include greater access to recreational facilities,
physical activity programming, and summer food programs. Further research in this
area is needed as summer weight gain may exacerbate existing health disparities.
PMID- 24921900
TI - Strategies, actions, and outcomes of pilot state programs in public health
genomics, 2003-2008.
AB - State health departments in Michigan, Minnesota, Oregon, and Utah explored the
use of genomic information, including family health history, in chronic disease
prevention programs. To support these explorations, the Office of Public Health
Genomics at the Centers for Disease Control and Prevention provided cooperative
agreement funds from 2003 through 2008. The 4 states' chronic disease programs
identified advocates, formed partnerships, and assessed public data; they
integrated genomics into existing state plans for genetics and chronic disease
prevention; they developed projects focused on prevention of asthma, cancer,
cardiovascular disease, diabetes, and other chronic conditions; and they created
educational curricula and materials for health workers, policymakers, and the
public. Each state's program was different because of the need to adapt to
existing culture, infrastructure, and resources, yet all were able to enhance
their chronic disease prevention programs with the use of family health history,
a low-tech "genomic tool." Additional states are drawing on the experience of
these 4 states to develop their own approaches.
PMID- 24921901
TI - Demographic factors associated with perceptions about water safety and tap water
consumption among adults in Santa Clara County, California, 2011.
AB - The objective of this study was to examine differences in tap water consumption
and perceptions of bottle versus tap water safety for Hispanics and non-Hispanic
whites, as well as associations with other demographic characteristics. Data are
from the Santa Clara County, California, Dietary Practices Survey (2011; N =
306). We used logistic regression to examine associations between demographic
characteristics and 1) perceptions that bottled water is safer than tap and 2)
primarily consuming tap water. Hispanics were less likely than non-Hispanic
whites to primarily drink tap water (OR = 0.33; 95% CI, 0.11-0.99), although
there was no significant difference in perceptions that bottled water is safer
between these groups (OR = 0.50; 95% CI, 0.11-2.27). Hispanics may be an
important population for interventions promoting tap water consumption.
PMID- 24921902
TI - Role of public-private partnerships in tackling the tobacco and obesity
epidemics.
AB - In response to the illness and death caused by preventable chronic diseases, the
US Department of Health and Human Services created Communities Putting Prevention
to Work to support community efforts in tackling tobacco use and obesity through
policy, systems, and environmental change. As part of this program, 10 national
nonprofit organizations with prevention expertise were funded and matched with
specific community objectives. Most tobacco and obesity-related matched
objectives were successfully accomplished by communities. Public-private
partnerships should be considered when addressing chronic disease prevention.
PMID- 24921903
TI - Sweet potato (Ipomoea batatas [L.] Lam)--a valuable medicinal food: a review.
AB - Ipomoea batatas (L.) Lam, also known as sweet potato, is an extremely versatile
and delicious vegetable that possesses high nutritional value. It is also a
valuable medicinal plant having anti-cancer, antidiabetic, and anti-inflammatory
activities. Sweet potato is now considered a valuable source of unique natural
products, including some that can be used in the development of medicines against
various diseases and in making industrial products. The overall objective of this
review is to give a bird's-eye view of the nutritional value, health benefits,
phytochemical composition, and medicinal properties of sweet potato.
Specifically, this review outlines the biological activities of some of the sweet
potato compounds that have been isolated, the pharmacological action of the sweet
potato extract, clinical studies, and plausible medicinal applications of sweet
potato (along with a safety evaluation), and demonstrates the potential of sweet
potato as a medicinal food.
PMID- 24921904
TI - Outcomes of partial nephrectomy for clinical T1b and T2 renal tumors.
AB - PURPOSE OF REVIEW: To review current status of partial nephrectomy for treatment
of T1b and T2 renal mass, focusing oncological and renal functional outcomes
reported in the last 18 months, and to understand the emerging role of minimally
invasive surgery (MIS) techniques in nephron-sparing management of T1b/T2 tumors.
RECENT FINDINGS: With recent long-term oncological equivalence to radical
nephrectomy and renal functional benefit, partial nephrectomy is becoming an
alternate standard to radical nephrectomy in the management of T1b tumors. The
role of partial nephrectomy in nonelective treatment of T2 tumors is more
controversial; however, recent publication of two large North American series
have added to other contemporary work that suggests oncologic equivalence and
renal functional benefit compared to radical nephrectomy in select patients.
Emerging data demonstrate feasibility of MIS for increasing the proportion of
T1b/T2 tumors; however, recent trends analyses demonstrate that the majority of
T1b/T2 partial nephrectomy are still carried out by open surgery, and concerns
continue about prolonged ischemic times and risk of bleeding in various MIS
settings. SUMMARY: Management of T1b and T2 renal masses is transforming with
adoption of partial nephrectomy as a safe and feasible surgical option with
comparable oncological and improved renal function outcomes compared to radical
nephrectomy. MIS techniques play an expanding, though still limited, role, and
more robust prospective data is requisite before drawing overarching conclusions.
PMID- 24921905
TI - Variant histology: role in management and prognosis of nonmuscle invasive bladder
cancer.
AB - PURPOSE OF REVIEW: The true clinical significance of variant histology is
controversial and diagnosis is challenging, especially in the setting of
nonmuscle invasive (NMI) disease. If the presence of variant architecture in NMI
identifies a high-risk population with a worse prognosis and better suited for
early aggressive intervention (i.e., radical cystectomy), then treatment
recommendations should reflect this notion. This review outlines the current
evidence and determines whether histologic variants should change management of
patients with nonmuscle invasive bladder cancer. RECENT FINDINGS: Patients with
high-risk NMI tumors and variant histology should be offered early cystectomy,
especially if harboring pure squamous, adenocarcinoma, sarcomatoid, plasmacytoid,
or micropapillary disease. In patients with small cell disease, systemic primary
chemotherapy is the ideal option followed by local therapy for primary tumor
control. For squamous/glandular differentiation, nested variant, and other rare
variants, intravesical therapy is an option based on standard risk stratification
in patients with NMI disease. Diligence is needed in the presence of variant
histology to minimize the risk of understaging as well as close surveillance to
not compromise the opportunity of cure. SUMMARY: The management of nonmuscle
invasive bladder cancer with variant histology is challenging, largely in part to
the high risk of understaging and the background of already existing controversy
regarding the management of high-risk NMI disease for standard urothelial cell
carcinoma (early cystectomy vs. intravesical therapy). Future studies should be
focused identifying if variant architecture confers different tumor biology than
that of pure urothelial carcinoma, and if this difference translates into
innovations in bladder sparing therapies.
PMID- 24921906
TI - New agents for bacillus Calmette-Guerin-refractory nonmuscle invasive bladder
cancer.
AB - PURPOSE OF REVIEW: Radical cystectomy is the standard of care for patients who
fail intravesical bacillus Calmette-Guerin (BCG) for nonmuscle invasive bladder
cancer (NMIBC). For patients unwilling or unable to undergo cystectomy, numerous
local therapies exist, although few are approved by the Food and Drug
Administration. This review describes available therapies for this challenging
clinical entity. RECENT FINDINGS: Combination intravesical chemotherapy, targeted
therapy, and drug delivery enhancement have all been under recent investigation
and are promising, although none has proven superior as of yet. SUMMARY: While
BCG is standard treatment for intermediate and high-risk NMIBC, many patients
fail therapy with recurrence or progression. Early cystectomy is the standard of
care for BCG failure; however, many patients are unwilling or unable to undergo
cystectomy. Multiple intravesical therapies have been used in this BCG failure
population with moderate success, and, recently, technologies to improve drug
delivery or create novel drugs have also been applied. Comparing efficacy of
these therapies remain challenging as study cohorts are heterogeneous and study
designs are variable. However, there are an increasing number of novel treatment
options that can be offered to patients faced with recurrent NMIBC after BCG who
seek bladder-sparing therapy.
PMID- 24921907
TI - Nephron-sparing surgery for tumors in a solitary kidney.
AB - PURPOSE OF REVIEW: Presence of a tumor in a solitary kidney is an absolute
indication for performing nephron-sparing surgery (NSS). In the past two decades,
new modalities for treatment of small renal mass have emerged but despite their
evolution and promising results, partial nephrectomy remains the cornerstone of
surgical treatment in this setting. Herein, we review the literature surrounding
NSS in patients with a solitary kidney. RECENT FINDINGS: Data from large
retrospective, single and multi-institutional series indicate that open partial
nephrectomy in patients with a solitary kidney can achieve oncological control as
well as renal function preservation with acceptable complication profile.
Available data indicate that employing parenchymal cooling can mitigate the
deleterious effects of prolonged clamp time during partial nephrectomy.
Introduction of laparoscopic and, more recently, robotic approach have allowed
minimally invasive approach in selected patients undergoing partial nephrectomy.
Large comparative studies comparing minimally invasive approaches to open
technique are lacking. SUMMARY: Established and emerging NSS techniques along
with our better understating of the factors affecting function after surgery have
fostered improvement of delivery of care in the setting of renal tumor(s) in a
solitary kidney. Future developments should focus on minimizing the invasiveness
of treatments while further improving cancer control and functional preservation.
PMID- 24921908
TI - Progress made in the use of animal models for the study of high-risk, nonmuscle
invasive bladder cancer.
AB - PURPOSE OF REVIEW: High-risk, nonmuscle invasive bladder cancer (HR-NMIBC)
represents a costly and difficult-to-treat disease, the molecular pathogenesis of
which has a limited understanding. Most preclinical models for the study of
bladder cancer are more appropriate for the study of advanced disease. However,
recent key advances in preclinical animal models places us at an opportune
position to better understand HR-NMIBC. RECENT FINDINGS: Discoveries in the basic
sciences allow us to better understand tumor biology when building models of
bladder cancer. Of note, a key study on urothelial progenitor cells recently
highlighted an important role for Sonic hedgehog-positive cells and retinoid
signaling that is essential for urothelial development and regeneration. In the
translational realm, transgenic mouse models continue to be used, with a recent
interest in the role of Wnt/beta-catenin in urothelial carcinomas. Tissue
recombination models are also being increasingly utilized to better recreate the
tissue microenvironment and better understand stromal-epithelial interactions and
the impact of genetic alterations on tissue differentiation. Lastly, the avatar
mouse systems, which involve direct xenotransplantation of human tumor specimens
into immunocompromised mice, represent an additional approach to study cancer
characteristics in a preserved tissue context. SUMMARY: With molecular
alterations remaining an unclear area of our understanding of HR-NMIBC,
preclinical models of bladder cancer serve as essential tools to discover
specific genetic compromises in disease pathogenesis and the therapeutics to
treat them.
PMID- 24921909
TI - The association of diabetes and anti-diabetic medications with clinical outcomes
in multiple myeloma.
AB - BACKGROUND: Insulin/insulin-like growth factor-1 signalling may underlie the
promoting effect of type 2 diabetes on cancer. This study examined the
association of diabetes, including steroid-induced diabetes (SID), and the impact
of anti-diabetic medication on clinical outcomes of multiple myeloma (MM).
METHODS: A retrospective review was conducted of 1240 MM patients. Overall
survival (OS) and MM disease status prior to death were analysed. RESULTS:
Diabetic patients had a significantly shorter OS than non-diabetic patients
(median: 65.4 vs 98.7 months). In multivariate analysis, SID was a significant
predictor of decreased OS, along with age, comorbidity, MM stage, and cytogenetic
abnormalities. Analyzing only the diabetic MM patients, Cox regression showed
that metformin predicted an increased OS, whereas use of insulin/analogues
predicted a decreased OS. Competing risk analysis showed that DM was associated
with increased cumulative incidence of death with progressive MM. Among the
diabetics, multivariate regression showed that insulin/analogues were associated
with increased, but metformin with decreased death with progressive MM. Potential
immortal time bias was evaluated by landmark analyses. CONCLUSIONS: DM, SID in
particular, is associated with poor clinical outcomes in MM. Insulin/analogues
are associated with poor outcomes, whereas metformin is associated with improved
outcomes. No conclusion about causal relationships can be made at this time.
Managing hyperglycaemia with non-insulin regimens should be investigated in
randomised trials.
PMID- 24921910
TI - Identifying patients at risk of emergency admission for colorectal cancer.
AB - BACKGROUND: Patients whose colorectal cancer is treated after an emergency
admission tend to have late-stage cancer and a poor prognosis. We identified risk
factors for an emergency admission by linking data from the National Bowel Cancer
Audit (NBCA) and the English Hospital Episode Statistics (HES), an administrative
database of all admissions to English National Health Service hospitals, which
includes data on mode of admission. METHODS: We identified all adults included in
the NBCA with a primary diagnosis of bowel cancer, excluding cancer of the
appendix, between August 2007 and July 2011 whose record could be linked to HES.
Multivariable logistic regression was used to estimate adjusted odds ratios (OR)
for an emergency admission for colorectal cancer. All risk factors were adjusted
for cancer site and calendar year. RESULTS: 97,909 adults were identified with a
primary diagnosis of bowel cancer and 82,777 patients could be linked to HES.
Patients who were older, female, of a non-white ethnic background, and more
socioeconomically deprived, and those with dementia or cardiac, neurologic and
liver disease had an increased risk of presenting as an emergency admission. The
strongest risk factors were age (90 compared with 70 years: OR 2.99, 95% CI 2.84
to 3.15), dementia (OR 2.46, 2.18 to 2.79), and liver disease (OR 1.87, 1.69 to
2.08). CONCLUSIONS: Our study identifies risk factors that may impair health
seeking behaviour and access to healthcare. An earlier recognition of symptoms in
patients with these risk factors may contribute to better outcomes.
PMID- 24921911
TI - Treatment preferences and involvement in treatment decision making of patients
with endometrial cancer and clinicians.
AB - BACKGROUND: Vaginal brachytherapy (VBT) in high-intermediate-risk endometrial
cancer (EC) provides a significant reduction in the risk of local cancer
recurrence, but without survival benefit and with increased mucosal atrophy. Five
year local control is estimated to be similar for VBT and a watchful waiting
policy (WWP), in which patients receive VBT combined with external radiation in
case of a recurrence. Our aim was to assess treatment preferences of EC patients
and clinicians regarding VBT and WWP, and to evaluate their preferred and
perceived involvement in treatment decision making. METHODS: Interviews were held
with 95 treated EC patients. The treatment trade-off method was used to assess
the minimally desired benefit from VBT in local control. Patients' preferred and
perceived involvement in decision making were assessed using a questionnaire.
Seventy-seven clinicians completed a questionnaire assessing their minimally
desired benefit and preferred involvement in decision making. RESULTS: Minimally
desired benefit of VBT was significantly lower for patients than for clinicians
(median=0 vs 8%, P<0.001), for irradiated than for non-irradiated patients
(median=0 vs 6.5%, P<0.001), and for radiation oncologists than for
gynaecologists (median=4 vs 13%, P<0.001). Substantial variation existed within
the groups of patients and clinicians. Participants preferred the patient and
clinician to share in the decision about VBT. However, irradiated patients
indicated low perceived involvement in actual treatment decision making.
CONCLUSIONS: We found variations between and within patients and clinicians in
minimally desired benefit from VBT. However, the recurrence risk at which
patients preferred VBT was low. Our results showed that patients consider active
participation in decision making essential.
PMID- 24921912
TI - Surgery combined with controlled-release doxorubicin silk films as a treatment
strategy in an orthotopic neuroblastoma mouse model.
AB - BACKGROUND: Neuroblastoma tumour resection goal is maximal tumour removal. We
hypothesise that combining surgery with sustained, local doxorubicin application
can control tumour growth. METHODS: We injected human neuroblastoma cells into
immunocompromised mouse adrenal gland. When KELLY cell-induced tumour volume was
>300 mm(3), 80-90% of tumour was resected and treated as follows: instantaneous
release silk film with 100 MUg doxorubicin (100IR), controlled-release film with
200 MUg (200CR) over residual tumour bed; and 100 and 200 MUg intravenous
doxorubicin (100IV and 200IV). Tumour volume was measured and histology analysed.
RESULTS: Orthotopic tumours formed with KELLY, SK-N-AS, IMR-32, SH-SY5Y cells.
Tumours reached 1800+/-180 mm(3) after 28 days, 2200+/-290 mm(3) after 35 days,
1280+/-260 mm(3) after 63 days, and 1700+/-360 mm(3) after 84 days, respectively.
At 3 days post KELLY tumour resection, tumour volumes were similar across all
groups (P=0.6210). Tumour growth rate was similar in untreated vs control film,
100IV vs 100IR, and 100IV vs 200IV. There was significant difference in 100IR vs
200CR (P=0.0004) and 200IV vs 200CR (P=0.0003). Tumour growth with all
doxorubicin groups was slower than that of control (P: <0.0001-0.0069). At the
interface of the 200CR film and tumour, there was cellular necrosis, surrounded
by apoptotic cells before reaching viable tumour cells. CONCLUSIONS: Combining
surgical resection and sustained local doxorubicin treatment is effective in
tumour control. Administering doxorubicin in a local, controlled manner is
superior to giving an equivalent intravenous dose in tumour control.
PMID- 24921913
TI - Prognostic significance of CD44 variant 2 upregulation in colorectal cancer.
AB - BACKGROUND: CD133 and CD44 are putative cancer stem cell (CSC) markers in
colorectal cancer (CRC). However, their clinical significance is currently
unclear. Here, we evaluated primary CRC cell isolates to determine the
significance of several CSC markers, including CD133 and CD44, as predictors of
tumourigenesis and prognosis. METHODS: CD133- and CD44-positive cells from fresh
clinical samples of 77 CRCs were selected by flow cytometric sorting and
evaluated for tumourigenicity following subcutaneous transplantation into
NOD/SCID mice. Cancer stem cell marker expression was examined in both xenografts
and a complementary DNA library compiled from 167 CRC patient samples. RESULTS:
CD44(+), CD133(+) and CD133(+)CD44(+) sub-populations were significantly more
tumourigenic than the total cell population. The clinical samples expressed
several transcript variants of CD44. Variant 2 was specifically overexpressed in
both primary tumours and xenografts in comparison with the normal mucosa. A
prognostic assay using qRT-PCR showed that the CD44v2(high) group (n=84, 5-year
survival rate (5-OS): 0.74) had a significantly worse prognosis (P=0.041) than
the CD44v2(low) group (n=83, 5-OS: 0.88). CONCLUSIONS: CD44 is an important CSC
marker in CRC patients. Furthermore, CRC patients with high expression of CD44v2
have a poorer prognosis than patients with other CD44 variants.
PMID- 24921914
TI - MiR-224 promotes the chemoresistance of human lung adenocarcinoma cells to
cisplatin via regulating G1/S transition and apoptosis by targeting
p21(WAF1/CIP1).
AB - BACKGROUND: Increasing evidence has shown that microRNAs (miRNAs) can serve as
oncogenes and tumour suppressors to participate in tumour development. However,
the roles of miRNAs in chemoresistance of human lung adenocarcinoma (LA) remain
largely undefined. METHODS: On the basis of miRNA microarray data, miR-224 was
identified as the most upregulated miRNA in cisplatin (DDP; cis
diamminedichloroplatinum II)-resistant A549 cells compared with parental A549
cells. The aim of our study was to investigate the roles of miR-224 in the
formation of DDP-resistant phenotype of LA cells and its possible molecular
mechanisms. RESULTS: Here we showed that miR-224 could promote the in vitro and
in vivo DDP resistance of LA cells via regulating G1/S cell cycle transition and
apoptosis. p21(WAF1/CIP1), a potent cyclin-dependent kinase inhibitor, was
identified as the direct and functional target gene of miR-224. Overexpression of
p21(WAF1/CIP1) could phenocopy the effect of miR-224 downregulation and silencing
of p21(WAF1/CIP1) could partially reverse the effect of miR-224 downregulation on
DDP resistance of DDP-resistant LA cells. In addition, miR-224 could affect the
G1/S transition of cell cycle and apoptosis in LA cells through the
p21(WAF1/CIP1)-pRb pathway and the intrinsic mitochondrial death pathway.
Furthermore, miR-224 was found to be downregulated in DDP-responding LA tissues,
and its expression was inversely correlated with p21(WAF1/CIP1). Multivariate
analyses indicated that the status of miR-224 might be an independent prognostic
factor for predicting the survival of LA patients. CONCLUSIONS: Our findings shed
novel light on the roles of miR-224/p21(WAF1/CIP1) signalling in the DDP
resistance of LA cells, and targeting it will be a potential strategic approach
for reversing the DDP resistance in human LAs.
PMID- 24921915
TI - Elevated expression of myosin X in tumours contributes to breast cancer
aggressiveness and metastasis.
AB - BACKGROUND: Myosin X (MYO10) was recently reported to promote tumour invasion by
transporting integrins to filopodial tips in breast cancer. However, the role of
MYO10 in tumours remains poorly defined. Here, we report that MYO10 is required
in invadopodia to mediate invasive growth and extracellular matrix degradation,
which depends on the binding of MYO10's pleckstrin homology domain to
PtdIns(3,4,5)P3. METHODS: The expression of MYO10 and its associations with
clinicopathological and biological factors were examined in breast cancer cells
and breast cancer specimens (n=120). Cell migration and invasion were
investigated after the silencing of MYO10. The ability of cells to form
invadopodia was studied using a fluorescein isothiocyanate-conjugated gelatin
degradation assay. A mouse model was established to study tumour invasive growth
and metastasis in vivo. RESULTS: Elevated MYO10 levels were correlated with
oestrogen receptor status, progesterone receptor status, poor differentiation,
and lymph node metastasis. Silencing MYO10 reduced cell migration and invasion.
Invadopodia were responsible for MYO10's role in promoting invasion. Furthermore,
decreased invasive growth and lung metastasis were observed in the MYO10-silenced
nude mouse model. CONCLUSIONS: Our findings suggest that elevated MYO10
expression increases the aggressiveness of breast cancer; this effect is
dependent on the involvement of MYO10 in invadopodial formation.
PMID- 24921916
TI - The prognostic impact of the neutrophil-to-lymphocyte ratio in patients with
small-cell lung cancer.
AB - BACKGROUND: The neutrophil-to-lymphocyte ratio (NLR) and platelet-to-lymphocyte
ratio (PLR) are prognostic factors for various types of cancer. In this study, we
assessed the association of NLR and PLR with the prognosis of small-cell lung
cancer (SCLC) in patients who received the standard treatment. METHODS: We
retrospectively reviewed patients who were diagnosed with SCLC and treated with
platinum-based chemotherapy between July 2006 and October 2013 in Gyeongsang
National University Hospital Regional Cancer Center and Changwon Samsung
Hospital. RESULTS: In total, 187 patients were evaluated. Compared with low NLR
(<4), high NLR (?4) at diagnosis was associated with poor performance status,
advanced stage, and lower response rate. Median overall survival (OS) and
progression-free survival (PFS) were worse in the high-NLR group (high vs low,
11.17 vs 9.20 months, P=0.019 and 6.90 vs 5.49 months, P=0.005, respectively). In
contrast, PLR at diagnosis was not associated with OS or PFS (P=0.467 and
P=0.205, respectively). In multivariate analysis, stage, lactate dehydrogenase,
and NLR at diagnosis were independent prognostic factors for OS and PFS.
CONCLUSIONS: NLR is easily measurable and reflects the SCLC prognosis. A future
prospective study is warranted to confirm our results.
PMID- 24921917
TI - The expression of platelet-activating factor receptor modulates the cisplatin
sensitivity of ovarian cancer cells: a novel target for combination therapy.
AB - BACKGROUND: Ovarian cancer has the highest mortality rate of the gynaecological
cancers. Although cisplatin (CDDP) is an effective treatment for ovarian cancer,
recurrence is frequent and leads to death. The objective was to explore the role
and possible mechanisms of platelet-activating factor receptor (PAFR) signalling
in CDDP-treated ovarian cancer cells. METHODS: The upregulation of PAFR in CDDP
treated ovarian cancer cells was observed using realtime PCR and Western blot.
The potential role of PAFR in modulating the CDDP sensitivity was assessed using
a pharmacological inhibitor and siRNA knockdown. The PAFR-activated signalling
pathways involved in cell responses to CDDP were assessed. RESULTS: Cisplatin
induced increased PAFR expression in two ovarian cancer cell lines. The
upregulation of PAFR by CDDP correlated with the time-dependent accumulation of
NF-kappaB and HIF-1alpha in the nucleus. The inhibition of PAFR sensitised the
ovarian cancer cells to CDDP. The PI3K and ERK pathways lie downstream of
activated PAFR in CDDP-treated cells and their inhibition enhanced CDDP
sensitivity. Finally, co-treatment with a PAFR antagonist (Ginkgolide B) and CDDP
markedly reduced tumour growth in an in vivo model of ovarian cancer.
CONCLUSIONS: Together, these findings suggest that PAFR is a novel and promising
therapeutic target for sensitising ovarian cancer cells to CDDP.
PMID- 24921918
TI - Possible pro-carcinogenic association of endotoxin on lung cancer among Shanghai
women textile workers.
AB - BACKGROUND: Endotoxin (lipopolysaccharide) is a widespread contaminant in many
environmental settings. Since the 1970s, there has been generally consistent
evidence indicating reduced risks for lung cancer associated with occupational
endotoxin exposure. METHODS: We updated a case-cohort study nested within a
cohort of 267,400 female textile workers in Shanghai, China. We compared exposure
histories of 1456 incident lung cancers cases diagnosed during 1989-2006 with
those of a reference subcohort of 3022 workers who were free of lung cancer at
the end of follow-up. We applied Cox proportional hazards modelling to estimate
exposure-response trends, adjusted for age and smoking, for cumulative exposures
lagged by 0, 10, and 20 years, and separately for time windows of ?15 and >15
years since first exposure. RESULTS: We observed no associations between
cumulative exposure and lung cancer, irrespective of lag interval. In contrast,
analyses by exposure time windows revealed modestly elevated, but not
statistically significant relative risks (~1.27) at the highest three exposure
quintiles for exposures that occurred >15 years since first exposure.
CONCLUSIONS: The findings do not support a protective effect of endotoxin, but
are suggestive of possible lung cancer promotion with increasing time since first
exposure.
PMID- 24921919
TI - Feasibility RCT of definitive chemoradiotherapy or chemotherapy and surgery for
oesophageal squamous cell cancer.
AB - BACKGROUND: The optimal treatment for localised oesophageal squamous cell
carcinoma (SCC) is uncertain. We assessed the feasibility of an RCT comparing
neoadjuvant treatment and surgery with definitive chemoradiotherapy. METHODS: A
feasibility RCT in three centres examined incident patients and reasons for
ineligibility using multi-disciplinary team meeting records. Eligible patients
were offered participation in the RCT with integrated qualitative research
involving audio-recorded recruitment appointments and interviews with patients to
inform recruitment training for staff. RESULTS: Of 375 patients with oesophageal
SCC, 42 (11.2%) were eligible. Reasons for eligibility varied between centres,
with significantly differing proportions of patients excluded because of total
tumour length (P=0.002). Analyses of audio-recordings and patient interviews
showed that recruiters had challenges articulating the trial design in simple
terms, balancing treatment arms and explaining the need for randomisation. Before
analyses of the qualitative data and recruiter training no patients were
randomised. Following training in one centre 5 of 16 eligible patients were
randomised. CONCLUSIONS: An RCT of surgical vs non-surgical treatment for SCC of
the oesophagus is not feasible in the UK alone because of the low number of
incident eligible patients. A trial comparing diverse treatment approaches may be
possible with investment to support the recruitment process.
PMID- 24921920
TI - Structurally diverse MDM2-p53 antagonists act as modulators of MDR-1 function in
neuroblastoma.
AB - BACKGROUND: A frequent mechanism of acquired multidrug resistance in human
cancers is overexpression of ATP-binding cassette transporters such as the Multi
Drug Resistance Protein 1 (MDR-1). Nutlin-3, an MDM2-p53 antagonist, has
previously been reported to be a competitive MDR-1 inhibitor. METHODS: This study
assessed whether the structurally diverse MDM2-p53 antagonists, MI-63, NDD0005,
and RG7388 are also able to modulate MDR-1 function, particularly in p53 mutant
neuroblastoma cells, using XTT-based cell viability assays, western blotting, and
liquid chromatography-mass spectrometry analysis. RESULTS: Verapamil and the MDM2
p53 antagonists potentiated vincristine-mediated growth inhibition in a
concentration-dependent manner when used in combination with high MDR-1
expressing p53 mutant neuroblastoma cell lines at concentrations that did not
affect the viability of cells when given alone. Liquid chromatography-mass
spectrometry analyses showed that verapamil, Nutlin-3, MI-63 and NDD0005, but not
RG7388, led to increased intracellular levels of vincristine in high MDR-1
expressing cell lines. CONCLUSIONS: These results show that in addition to Nutlin
3, other structurally unrelated MDM2-p53 antagonists can also act as MDR-1
inhibitors and reverse MDR-1-mediated multidrug resistance in neuroblastoma cell
lines in a p53-independent manner. These findings are important for future
clinical trial design with MDM2-p53 antagonists when used in combination with
agents that are MDR-1 substrates.
PMID- 24921921
TI - Population-based comparison of prognostic factors in invasive micropapillary and
invasive ductal carcinoma of the breast.
AB - BACKGROUND: Invasive micropapillary carcinoma (IMPC) is a variant of breast
carcinoma with a higher propensity for lymph node metastases compared with
invasive ductal carcinoma (IDC). METHODS: Retrospective analysis of 636 IMPC and
297 735 IDC cases in the Surveillance, Epidemiology and End RESULTS database
comparing disease-specific survival (DSS) and overall survival (OS) between IMPC
and IDC. RESULTS: A higher percentage of IMPC cases (52.0%) had nodal metastases
compared with IDC cases (34.6%). The 5-year DSS and OS for IMPC was 91.8% and
82.9%, respectively compared with 88.6% and 80.5% for IDC, respectively. For both
IMPC and IDC, oestrogen-receptor positivity was associated with better survival,
while having four or more positive lymph nodes or larger tumour size correlated
with worse survival. Radiotherapy provided a survival benefit for both
histological types. CONCLUSIONS: Despite IMPC's higher propensity for lymph node
metastasis, IMPC has DSS and OS that compare favourably with IDC.
PMID- 24921922
TI - Off-pump compared to minimal extracorporeal circulation surgery in coronary
artery bypass grafting.
AB - OBJECTIVE: Coronary artery bypass grafting (CABG) using extracorporeal
circulation (ECC) is still the gold standard. However, alternative techniques
have been developed to avoid ECC and its potential adverse effects. These
encompass minimal extracorporeal circulation (MECC) or off-pump coronary artery
bypass grafting (OPCAB). However, the prevailing potential benefits when
comparing MECC and OPCABG are not yet clearly established. METHODS: In this
retrospective study we investigated the potential benefits of MECC and OPCABG in
697 patients undergoing CABG. Of these, 555 patients had been operated with MECC
and 142 off-pump. The primary endpoint was Troponin T level as an indicator for
myocardial damage. RESULTS: Study groups were not significantly different in
general. However, patients undergoing OPCABG were significantly older (65.01
years +/- 9.5 vs. 69.39 years +/- 9.5; p value <0.001) with a higher Logistic
EuroSCORE I (4.92% +/- 6.5 vs. 5.88% +/- 6.8; p value = 0.017). Operating off
pump significantly reduced the need for intra-operative blood products (0.7% vs.
8.6%; p-value <0.001) and the length of stay in the intensive care unit (ICU)
(2.04 days +/- 2.63 vs. 2.76 days +/- 2.79; p value <0.001). Regarding other
blood values a significant difference could not be found in the adjusted
calculations. The combined secondary endpoint, major cardiac or cerebrovascular
events (MACCE), was equal in both groups as well. CONCLUSIONS: Coronary artery
bypass grafting using MECC or OPCABG are two comparable techniques with
advantages for OPCABG regarding the reduced need for intra-operative blood
products and shorter length of stay in the ICU. However serological values and
combined endpoint MACCE did not differ significantly in both groups.
PMID- 24921923
TI - Spatial Transmission of 2009 Pandemic Influenza in the US.
AB - The 2009 H1N1 influenza pandemic provides a unique opportunity for detailed
examination of the spatial dynamics of an emerging pathogen. In the US, the
pandemic was characterized by substantial geographical heterogeneity: the 2009
spring wave was limited mainly to northeastern cities while the larger fall wave
affected the whole country. Here we use finely resolved spatial and temporal
influenza disease data based on electronic medical claims to explore the spread
of the fall pandemic wave across 271 US cities and associated suburban areas. We
document a clear spatial pattern in the timing of onset of the fall wave,
starting in southeastern cities and spreading outwards over a period of three
months. We use mechanistic models to tease apart the external factors associated
with the timing of the fall wave arrival: differential seeding events linked to
demographic factors, school opening dates, absolute humidity, prior immunity from
the spring wave, spatial diffusion, and their interactions. Although the onset of
the fall wave was correlated with school openings as previously reported, models
including spatial spread alone resulted in better fit. The best model had a
combination of the two. Absolute humidity or prior exposure during the spring
wave did not improve the fit and population size only played a weak role. In
conclusion, the protracted spread of pandemic influenza in fall 2009 in the US
was dominated by short-distance spatial spread partially catalysed by school
openings rather than long-distance transmission events. This is in contrast to
the rapid hierarchical transmission patterns previously described for seasonal
influenza. The findings underline the critical role that school-age children play
in facilitating the geographic spread of pandemic influenza and highlight the
need for further information on the movement and mixing patterns of this age
group.
PMID- 24921924
TI - The role of platelets in the pathogenesis of viral hemorrhagic fevers.
AB - Viral hemorrhagic fevers (VHF) are acute zoonotic diseases that, early on, seem
to cause platelet destruction or dysfunction. Here we present the four major ways
viruses affect platelet development and function and new evidence of molecular
factors that are preferentially induced by the more pathogenic members of the
families Flaviviridae, Bunyaviridae, Arenaviridae, and Filoviridae. A systematic
search was performed through the main medical electronic databases using as
parameters all current findings concerning platelets in VHF. Additionally, the
review contains information from conference proceedings.
PMID- 24921925
TI - Potential role of Flavobacterial gliding-motility and type IX secretion system
complex in root colonization and plant defense.
AB - Members of the Flavobacterium genus are often highly abundant in the rhizosphere.
Nevertheless, the physiological characteristics associated with their enhanced
rhizosphere competence are currently an enigma. Flavobacteria possess a unique
gliding-motility complex that is tightly associated with a recently characterized
Bacteroidetes-specific type IX protein secretion system, which distinguishes them
from the rest of the rhizosphere microbiome. We hypothesize that proper
functionality of this complex may confer a competitive advantage in the
rhizosphere. To test this hypothesis, we constructed mutant and complement root
associated flavobacterial variants with dysfunctional secretion and gliding
motility, and tested them in a series of in planta experiments. These mutants
demonstrated significantly lower rhizosphere persistence (approximately 10-fold),
plant root colonization (approximately fivefold), and seed adhesion capacity
(approximately sevenfold) than the wild-type strains. Furthermore, the biocontrol
capacity of the mutant strain toward foliar-applied Clavibacter michiganensis was
significantly impaired relative to the wild-type strain, suggesting a role of the
gliding and secretion complex in plant protection. Collectively, these results
provide an initial link between the high abundance of flavobacteria in the
rhizosphere and their unique physiology, indicating that the flavobacterial
gliding-motility and secretion complex may play a central role in root
colonization and plant defense.
PMID- 24921929
TI - Electric field structures in thin films: formation and properties.
AB - A newly discovered class of molecular materials, so-called "spontelectrics",
display spontaneous electric fields. Here we show that the novel properties of
spontelectrics can be used to create composite spontelectrics, illustrating how
electric fields in solid films may be structured on the nanoscale by combining
layers of different spontelectric materials. This is demonstrated using the
spontelectric materials nitrous oxide, toluene, isoprene, isopentane, and CF2Cl2.
These yield a variety of tailored electric field structures, with individual
layers harboring fields between 10(7) and 10(8) V/m. Fields may be of the same
sign or of opposite sign, the latter enabling the creation of nanoscale potential
wells. The formation of fields is followed using an established electron beam
technique, employing the ASTRID synchrotron storage ring. The influence of
temperature on heterolayer structures, displaying new Curie effects, and the
nature of the interfacial region between different spontelectrics are also
discussed.
PMID- 24921928
TI - Early embryogenesis-specific expression of the rice transposon Ping enhances
amplification of the MITE mPing.
AB - Miniature inverted-repeat transposable elements (MITEs) are numerically
predominant transposable elements in the rice genome, and their activities have
influenced the evolution of genes. Very little is known about how MITEs can
rapidly amplify to thousands in the genome. The rice MITE mPing is quiescent in
most cultivars under natural growth conditions, although it is activated by
various stresses, such as tissue culture, gamma-ray irradiation, and high
hydrostatic pressure. Exceptionally in the temperate japonica rice strain EG4
(cultivar Gimbozu), mPing has reached over 1000 copies in the genome, and is
amplifying owing to its active transposition even under natural growth
conditions. Being the only active MITE, mPing in EG4 is an appropriate material
to study how MITEs amplify in the genome. Here, we provide important findings
regarding the transposition and amplification of mPing in EG4. Transposon display
of mPing using various tissues of a single EG4 plant revealed that most de novo
mPing insertions arise in embryogenesis during the period from 3 to 5 days after
pollination (DAP), and a large majority of these insertions are transmissible to
the next generation. Locus-specific PCR showed that mPing excisions and
insertions arose at the same time (3 to 5 DAP). Moreover, expression analysis and
in situ hybridization analysis revealed that Ping, an autonomous partner for
mPing, was markedly up-regulated in the 3 DAP embryo of EG4, whereas such up
regulation of Ping was not observed in the mPing-inactive cultivar Nipponbare.
These results demonstrate that the early embryogenesis-specific expression of
Ping is responsible for the successful amplification of mPing in EG4. This study
helps not only to elucidate the whole mechanism of mPing amplification but also
to further understand the contribution of MITEs to genome evolution.
PMID- 24921927
TI - Protein kinase C and extracellular signal-regulated kinase regulate movement,
attachment, pairing and egg release in Schistosoma mansoni.
AB - Protein kinases C (PKCs) and extracellular signal-regulated kinases (ERKs) are
evolutionary conserved cell signalling enzymes that coordinate cell function.
Here we have employed biochemical approaches using 'smart' antibodies and
functional screening to unravel the importance of these enzymes to Schistosoma
mansoni physiology. Various PKC and ERK isotypes were detected, and were
differentially phosphorylated (activated) throughout the various S. mansoni life
stages, suggesting isotype-specific roles and differences in signalling
complexity during parasite development. Functional kinase mapping in adult worms
revealed that activated PKC and ERK were particularly associated with the adult
male tegument, musculature and oesophagus and occasionally with the oesophageal
gland; other structures possessing detectable activated PKC and/or ERK included
the Mehlis' gland, ootype, lumen of the vitellaria, seminal receptacle and
excretory ducts. Pharmacological modulation of PKC and ERK activity in adult
worms using GF109203X, U0126, or PMA, resulted in significant physiological
disturbance commensurate with these proteins occupying a central position in
signalling pathways associated with schistosome muscular activity, neuromuscular
coordination, reproductive function, attachment and pairing. Increased activation
of ERK and PKC was also detected in worms following praziquantel treatment, with
increased signalling associated with the tegument and excretory system and
activated ERK localizing to previously unseen structures, including the cephalic
ganglia. These findings support roles for PKC and ERK in S. mansoni homeostasis,
and identify these kinase groups as potential targets for chemotherapeutic
treatments against human schistosomiasis, a neglected tropical disease of
enormous public health significance.
PMID- 24921926
TI - The microbiota-gut-brain axis in functional gastrointestinal disorders.
AB - Functional gastrointestinal disorders (FGIDs) are highly prevalent and pose a
significant burden on health care and society, and impact patients' quality of
life. FGIDs comprise a heterogeneous group of disorders, with unclear underlying
pathophysiology. They are considered to result from the interaction of altered
gut physiology and psychological factors via the gut-brain axis, where brain and
gut symptoms are reciprocally influencing each other's expression. Intestinal
microbiota, as a part of the gut-brain axis, plays a central role in FGIDs.
Patients with Irritable Bowel Syndrome, a prototype of FGIDs, display altered
composition of the gut microbiota compared with healthy controls and benefit, at
the gastrointestinal and psychological levels, from the use of probiotics and
antibiotics. This review aims to recapitulate the available literature on FGIDs
and microbiota-gut-brain axis.
PMID- 24921931
TI - sigma(ECF) factors of gram-positive bacteria: a focus on Bacillus subtilis and
the CMNR group.
AB - The survival of bacteria to different environmental conditions depends on the
activation of adaptive mechanisms, which are intricately driven through gene
regulation. Because transcriptional initiation is considered to be the major step
in the control of bacterial genes, we discuss the characteristics and roles of
the sigma factors, addressing (1) their structural, functional and phylogenetic
classification; (2) how their activity is regulated; and (3) the promoters
recognized by these factors. Finally, we focus on a specific group of alternative
sigma factors, the so-called sigma(ECF) factors, in Bacillus subtilis and some of
the main species that comprise the CMNR group, providing information on the roles
they play in the microorganisms' physiology and indicating some of the genes
whose transcription they regulate.
PMID- 24921932
TI - Pharmacokinetics of anti-HBV polyoxometalate in rats.
AB - Polyoxometalates are non-nucleoside analogs that have been proven to exhibit
broad-spectrum antiviral activity. In particular, Cs2K4Na[SiW9Nb3O40].H2O 1 shows
low toxicity and high activity against HBV. The preclinical pharmacokinetics of
Compound 1 in rats were characterized by establishing and applying inductively
coupled plasma-mass spectrometry method to determine the concentration of W in
plasma, urine, feces, bile and organ samples. The quantitative ICP-MS method
demonstrated good sensitivity and application in the pharmacokinetics study of
polyoxometalates. The pharmacokinetic behavior of Compound 1 after intravenous or
oral administration fit a two-compartment model. Tmax ranges from 0.1 h to 3 h
and the T1/2 of Compound 1 is between 20 h and 30 h. The absolute bioavailability
of Compound 1 at 45, 180 and 720 mg/kg groups were 23.68%, 14.67% and 11.93%,
respectively. The rates of plasma protein binding of Compound 1 at 9, 18 and 36
mg/ml of Compound 1 are 62.13+/-9.41%, 71.20+/-24.98% and 49.00+/-25.59%,
respectively. Compound 1 was widely distributed throughout the body, and high
levels of compound 1 were found in the kidney and liver. The level of Compound 1
in excretion was lower: 30% for urine, 0.28% for feces and 0.42% for bile,
respectively. For elaborate pharmacokinetic characteristics to be fully
understood, the metabolism of Compound 1 needs to be studied further.
PMID- 24921930
TI - Vitamin D induces increased systolic arterial pressure via vascular reactivity
and mechanical properties.
AB - BACKGROUND/AIMS: The aim of this study was to evaluate whether supplementation of
high doses of cholecalciferol for two months in normotensive rats results in
increased systolic arterial pressure and which are the mechanisms involved.
Specifically, this study assesses the potential effect on cardiac output as well
as the changes in aortic structure and functional properties. METHODS: Male
Wistar rats were divided into three groups: 1) Control group (C, n = 20), with no
supplementation of vitamin D, 2) VD3 (n = 19), supplemented with 3,000 IU vitamin
D/kg of chow; 3) VD10 (n = 21), supplemented with 10,000 IU vitamin D/kg of chow.
After two months, echocardiographic analyses, measurements of systolic arterial
pressure (SAP), vascular reactivity, reactive oxygen species (ROS) generation,
mechanical properties, histological analysis and metalloproteinase-2 and -9
activity were performed. RESULTS: SAP was higher in VD3 and VD10 than in C rats
(p = 0.001). Echocardiographic variables were not different among groups.
Responses to phenylephrine in endothelium-denuded aortas was higher in VD3
compared to the C group (p = 0.041). Vascular relaxation induced by acetylcholine
(p = 0.023) and sodium nitroprusside (p = 0.005) was impaired in both
supplemented groups compared to the C group and apocynin treatment reversed
impaired vasodilation. Collagen volume fraction (<0.001) and MMP-2 activity (p =
0.025) was higher in VD10 group compared to the VD3 group. Elastin volume
fraction was lower in VD10 than in C and yield point was lower in VD3 than in C.
CONCLUSION: Our findings support the view that vitamin D supplementation
increases arterial pressure in normotensive rats and this is associated with
structural and functional vascular changes, modulated by NADPH oxidase, nitric
oxide, and extracellular matrix components.
PMID- 24921933
TI - A computational model of the fetal circulation to quantify blood redistribution
in intrauterine growth restriction.
AB - Intrauterine growth restriction (IUGR) due to placental insufficiency is
associated with blood flow redistribution in order to maintain delivery of
oxygenated blood to the brain. Given that, in the fetus the aortic isthmus (AoI)
is a key arterial connection between the cerebral and placental circulations,
quantifying AoI blood flow has been proposed to assess this brain sparing effect
in clinical practice. While numerous clinical studies have studied this
parameter, fundamental understanding of its determinant factors and its
quantitative relation with other aspects of haemodynamic remodeling has been
limited. Computational models of the cardiovascular circulation have been
proposed for exactly this purpose since they allow both for studying the
contributions from isolated parameters as well as estimating properties that
cannot be directly assessed from clinical measurements. Therefore, a
computational model of the fetal circulation was developed, including the key
elements related to fetal blood redistribution and using measured cardiac outflow
profiles to allow personalization. The model was first calibrated using patient
specific Doppler data from a healthy fetus. Next, in order to understand the
contributions of the main parameters determining blood redistribution, AoI and
middle cerebral artery (MCA) flow changes were studied by variation of cerebral
and peripheral-placental resistances. Finally, to study how this affects an
individual fetus, the model was fitted to three IUGR cases with different degrees
of severity. In conclusion, the proposed computational model provides a good
approximation to assess blood flow changes in the fetal circulation. The results
support that while MCA flow is mainly determined by a fall in brain resistance,
the AoI is influenced by a balance between increased peripheral-placental and
decreased cerebral resistances. Personalizing the model allows for quantifying
the balance between cerebral and peripheral-placental remodeling, thus providing
potentially novel information to aid clinical follow up.
PMID- 24921935
TI - Practical and convenient synthesis of 1,6-di- or 1,2,5,6-tetra-arylhexa-1,3,5
trienes by the dimerization of Pd(0)-complexed alkenylcarbenes generated from pi
allylpalladium intermediates.
AB - Pd(0)-complexed 3-aryl or 2,3-diaryl propenylcarbenes generated from alpha-silyl
, alpha-germyl-, or alpha-boryl-sigma-allylpalladium intermediates undergo self
dimerization to provide 1,6-di- or 1,2,5,6-tetraarylhexa-1,3,5-trienes in good to
high yields. This method allows the use of a pi-allylpalladium intermediate for a
carbenoid precursor. Furthermore, the obtained 1,2,5,6-tetraarylhexa-1,3,5
trienes exhibit aggregation-induced emission enhancement property.
PMID- 24921934
TI - Contribution of auditory working memory to speech understanding in mandarin
speaking cochlear implant users.
AB - PURPOSE: To investigate how auditory working memory relates to speech perception
performance by Mandarin-speaking cochlear implant (CI) users. METHOD: Auditory
working memory and speech perception was measured in Mandarin-speaking CI and
normal-hearing (NH) participants. Working memory capacity was measured using
forward digit span and backward digit span; working memory efficiency was
measured using articulation rate. Speech perception was assessed with: (a) word
in-sentence recognition in quiet, (b) word-in-sentence recognition in speech
shaped steady noise at +5 dB signal-to-noise ratio, (c) Chinese disyllable
recognition in quiet, (d) Chinese lexical tone recognition in quiet. Self
reported school rank was also collected regarding performance in schoolwork.
RESULTS: There was large inter-subject variability in auditory working memory and
speech performance for CI participants. Working memory and speech performance
were significantly poorer for CI than for NH participants. All three working
memory measures were strongly correlated with each other for both CI and NH
participants. Partial correlation analyses were performed on the CI data while
controlling for demographic variables. Working memory efficiency was
significantly correlated only with sentence recognition in quiet when working
memory capacity was partialled out. Working memory capacity was correlated with
disyllable recognition and school rank when efficiency was partialled out. There
was no correlation between working memory and lexical tone recognition in the
present CI participants. CONCLUSIONS: Mandarin-speaking CI users experience
significant deficits in auditory working memory and speech performance compared
with NH listeners. The present data suggest that auditory working memory may
contribute to CI users' difficulties in speech understanding. The present pattern
of results with Mandarin-speaking CI users is consistent with previous auditory
working memory studies with English-speaking CI users, suggesting that the
lexical importance of voice pitch cues (albeit poorly coded by the CI) did not
influence the relationship between working memory and speech perception.
PMID- 24921936
TI - Functional Mode Electron-Transfer Theory.
AB - A solid approach has been developed to ascertain the correlation of electron
transfer with molecular vibration in a quantitative manner. Specifically, the
reaction coordinate is identified by maximizing the linear Pearson's correlation
coefficient between atomic displacement and the diabatic energy gap. In the limit
of fast molecular vibration, the rates of electron transfer driven by multiple
vibrational modes have been derived respectively under the strong and weak
vibronic coupling conditions. Our functional mode electron-transfer theory is
then justified by investigating the electron transfer of a betaine-30 molecule
from its first excited state to its ground state when being solvated in glycerol
triacetate. Among the 210 available vibrational modes of betaine-30, only seven
are essential to the electron transfer by cumulatively accounting for more than
60% of the total reorganization energy. Because all essential vibrational modes
are significantly faster than thermal fluctuation, the electron transfer is
primarily driven by intramolecular quantum tunneling. Interestingly, the
calculated reaction driving force of 1.95 eV is substantially greater than the
reorganization energy of 0.58 eV, placing the reaction in the inverted Marcus
region. Nevertheless, a sizable Franck-Condon factor of 1.58 * 10(-3) eV(-1) is
still achieved due to the large vibronically weighted zero-point energy of the
essential vibrational modes. After determining the electronic coupling strength
as 0.14 eV by the constrained density functional theory, the overall electron
transfer rate at 300 K is found to be 0.30 ps(-1), which agrees nearly perfectly
with experimental values.
PMID- 24921937
TI - Real-time dopamine measurement in awake monkeys.
AB - Fast-scan cyclic voltammetry (FSCV) is often used to measure real-time dopamine
(DA) concentrations in awake, behaving rodents. Extending this technique to work
in monkeys would provide a platform for advanced behavioral studies and a primate
model for preclinical research. The present study demonstrates the feasibility of
DA recordings in two awake monkeys (Macaca mulatta) using a mixture of techniques
adapted from rodent, primate and brain slice work. We developed a long carbon
fiber electrode to operate in the larger primate brain. This electrode was
lowered into the striatum each day using a recording chamber and a detachable
micromanipulator system. A manipulator also moved one or more tungsten
stimulating electrodes into either the nearby striatum or the ventral tegmental
area/substantia nigra pars compacta (VTA/SNc). We developed an electrical
stimulation controller to reduce artifacts during electrical stimulation. We also
introduce a stimulation-based methodology for estimating distances between
electrodes in the brain. Dopamine responses within the striatum were evoked by
either stimulation of the striatum near the FSCV electrode, or stimulation within
the VTA/SNc. Unexpected juice rewards also evoked dopamine responses in the
ventral striatum. Thus, we demonstrate that robust dopamine responses can be
recorded from awake, behaving primates with FSCV. In addition, we describe how a
stimulation technique borrowed from the neuroprosthetics field can activate the
distributed monkey midbrain dopamine system in a way that mimics rodent VTA
stimulation.
PMID- 24921938
TI - Cu/Mn co-oxidized cyclization for the synthesis of highly substituted pyrrole
derivatives from amino acid esters: a strategy for the biomimetic syntheses of
lycogarubin C and chromopyrrolic acid.
AB - An effective and concise approach to synthesis of tetrasubstituted pyrroles from
readily available amino acid esters by the promotion of Cu(OAc)2 in conjunction
with Mn(OAc)3 has been developed. This reaction proceeds through multiple
dehydrogenations, deamination, and oxidative cyclization. This oxidized system
tolerates substrates bearing various electron-donating or electron-withdrawing
groups. With this methodology, several key intermediates of natural products have
been effectively prepared, and the total syntheses of lycogarubin C and
chromopyrrolic acid have been completed in high efficiency.
PMID- 24921939
TI - Lightweight and flexible reduced graphene oxide/water-borne polyurethane
composites with high electrical conductivity and excellent electromagnetic
interference shielding performance.
AB - In this study, we developed a simple and powerful method to fabricate flexible
and lightweight graphene-based composites that provide high electromagnetic
interference (EMI) shielding performance. Electrospun waterborne polyurethane
(WPU) that featured sulfonate functional groups was used as the polymer matrix,
which was light and flexible. First, graphene oxide (GO)/WPU composites were
prepared through layer-by-layer (L-b-L) assembly of two oppositely charged
suspensions of GO, the cationic surfactant (didodecyldimethylammonium bromide,
DDAB)-adsorbed GO and intrinsic negatively charged GO, depositing on the
negatively charged WPU fibers. After the L-b-L assembly cycles, the GO bilayers
wrapped the WPU fiber matrix completely and revealed fine connections guided by
the electrospun WPU fibers. Then, we used hydroiodic acid (HI) to obtain highly
reduced GO (r-GO)/WPU composites, which exhibited substantially enhanced
electrical conductivity (approximately 16.8 S/m) and, moreover, showed a high EMI
shielding effectiveness (approximately 34 dB) over the frequency range from 8.2
to 12.4 GHz.
PMID- 24921940
TI - Response to 'Imprecise diagnosis of migraine with aura in the Women's Health
Study. Does it matter?'.
PMID- 24921941
TI - Performance of parasitological and molecular techniques for the diagnosis and
surveillance of gambiense sleeping sickness.
AB - OBJECTIVES: Recently, improvements have been made to diagnostics for gambiense
sleeping sickness control but their performance remains poorly documented and may
depend on specimen processing prior to examination. In a prospective study in the
Democratic Republic of the Congo, we compared the diagnostic performance of
several parasite detection techniques, immune trypanolysis and of m18S PCR on
whole blood stored in a stabilisation buffer or dried on filter paper. METHODS:
Individuals with CATT whole blood (WB) titer >=1?4 or with clinical signs
indicative for sleeping sickness were examined for presence of trypanosomes in
lymph node aspirate (LNA) and/or in blood. Blood was examined with Capillary
Centrifugation Technique (CTC), mini-Anion Exchange Centrifugation Technique
(mAECT) and mAECT on buffy coat (BC). PCR was performed on whole blood (i) stored
in guanidine hydrochloride EDTA (GE) stabilisation buffer and (ii) dried on
filter paper, and repeatability and reproducibility were assessed. Immune
trypanolysis (TL) was performed on plasma. RESULTS: A total of 237 persons were
included. Among 143 parasitologically confirmed cases, 85.3% had a CATT-WB titre
of >=1/8, 39.2% were positive in LNA, 47.5% in CTC, 80.4% in mAECT-WB, 90.9% in
mAECT-BC, 95.1% in TL and up to 89.5% in PCR on GE-stabilised blood. PCR on GE
stabilised blood showed highest repeatability (87.8%) and inter-laboratory
reproducibility (86.9%). Of the 94 non-confirmed suspects, respectively 39.4% and
23.4% were TL or PCR positive. Suboptimal specificity of PCR and TL was also
suggested by latent class analysis. CONCLUSION: The combination of LNA
examination with mAECT-BC offered excellent diagnostic sensitivity. For PCR,
storage of blood in stabilisation buffer is to be preferred over filter paper. TL
as well as PCR are useful for remote diagnosis but are not more sensitive than
mAECT-BC. For TL and PCR, the specificity, and thus usefulness for management of
non-confirmed suspects remain to be determined.
PMID- 24921942
TI - Inhibition of the polyamine system counteracts beta-amyloid peptide-induced
memory impairment in mice: involvement of extrasynaptic NMDA receptors.
AB - In Alzheimer's disease (AD), the beta-amyloid peptide (Abeta) has been causally
linked to synaptic dysfunction and cognitive impairment. Several studies have
shown that N-Methyl-D-Aspartate receptors (NMDAR) activation is involved in the
detrimental actions of Abeta. Polyamines, like spermidine and spermine, are
positive modulators of NMDAR function and it has been shown that their levels are
regulated by Abeta. In this study we show here that interruption of NMDAR
modulation by polyamines through blockade of its binding site at NMDAR by arcaine
(0.02 nmol/site), or inhibition of polyamine synthesis by DFMO (2.7 nmol/site),
reverses Abeta25-35-induced memory impairment in mice in a novel object
recognition task. Incubation of hippocampal cell cultures with Abeta25-35 (10 uM)
significantly increased the nuclear accumulation of Jacob, which is a hallmark of
NMDAR activation. The Abeta-induced nuclear translocation of Jacob was blocked
upon application of traxoprodil (4 nM), arcaine (4 uM) or DFMO (5 uM), suggesting
that activation of the polyamine binding site at NMDAR located probably at
extrasynaptic sites might underlie the cognitive deficits of Abeta25-35-treated
mice. Extrasynaptic NMDAR activation in primary neurons results in a stripping of
synaptic contacts and simplification of neuronal cytoarchitecture. Abeta25-35
application in hippocampal primary cell cultures reduced dendritic spine density
and induced alterations on spine morphology. Application of traxoprodil (4 nM),
arcaine (4 uM) or DFMO (5 uM) reversed these effects of Abeta25-35. Taken
together these data provide evidence that polyamine modulation of extrasynaptic
NMDAR signaling might be involved in Abeta pathology.
PMID- 24921943
TI - PPARgamma negatively regulates T cell activation to prevent follicular helper T
cells and germinal center formation.
AB - Peroxisome proliferator-activated receptor gamma (PPARgamma) is a transcription
factor that regulates lipid and glucose metabolism. Although studies of PPARgamma
ligands have demonstrated its regulatory functions in inflammation and adaptive
immunity, its intrinsic role in T cells and autoimmunity has yet to be fully
elucidated. Here we used CD4-PPARgammaKO mice to investigate PPARgamma-deficient
T cells, which were hyper-reactive to produce higher levels of cytokines and
exhibited greater proliferation than wild type T cells with increased ERK and AKT
phosphorylation. Diminished expression of IkappaBalpha, Sirt1, and Foxo1, which
are inhibitors of NF-kappaB, was observed in PPARgamma-deficient T cells that
were prone to produce all the signature cytokines under Th1, Th2, Th17, and Th9
skewing condition. Interestingly, 1-year-old CD4-PPARgammaKO mice spontaneously
developed moderate autoimmune phenotype by increased activated T cells,
follicular helper T cells (TFH cells) and germinal center B cells with glomerular
inflammation and enhanced autoantibody production. Sheep red blood cell
immunization more induced TFH cells and germinal centers in CD4-PPARgammaKO mice
and the T cells showed increased of Bcl-6 and IL-21 expression suggesting its
regulatory role in germinal center reaction. Collectively, these results suggest
that PPARgamma has a regulatory role for TFH cells and germinal center reaction
to prevent autoimmunity.
PMID- 24921944
TI - A human monoclonal antibody targeting the stem cell factor receptor (c-Kit)
blocks tumor cell signaling and inhibits tumor growth.
AB - Stem cell factor receptor (c-Kit) exerts multiple biological effects on target
cells upon binding its ligand stem cell factor (SCF). Aberrant activation of c
Kit results in dysregulated signaling and is implicated in the pathogenesis of
numerous cancers. The development of more specific and effective c-Kit therapies
is warranted given its essential role in tumorigenesis. In this study, we
describe the biological properties of CK6, a fully human IgG1 monoclonal antibody
against the extracellular region of human c-Kit. CK6 specifically binds c-Kit
receptor with high affinity (EC 50 = 0.06 nM) and strongly blocks its interaction
with SCF (IC 50 = 0.41 nM) in solid phase assays. Flow cytometry shows CK6
binding to c-Kit on the cell surface of human small cell lung carcinoma (SCLC),
melanoma, and leukemia tumor cell lines. Furthermore, exposure to CK6 inhibits
SCF stimulation of c-Kit tyrosine kinase activity and downstream signaling
pathways such as mitogen-activated protein kinase (MAPK) and protein kinase B
(AKT), in addition to reducing tumor cell line growth in vitro. CK6 treatment
significantly decreases human xenograft tumor growth in NCI-H526 SCLC (T/C% = 57)
and Malme-3M melanoma (T/C% = 58) models in vivo. The combination of CK6 with
standard of care chemotherapy agents, cisplatin and etoposide for SCLC or
dacarbazine for melanoma, more potently reduces tumor growth (SCLC T/C% = 24,
melanoma T/C% = 38) compared with CK6 or chemotherapy alone. In summary, our
results demonstrate that CK6 is a c-Kit antagonist antibody with tumor growth
neutralizing properties and are highly suggestive of potential therapeutic
application in treating human malignancies harboring c-Kit receptor.
PMID- 24921945
TI - Resource supply overrides temperature as a controlling factor of marine
phytoplankton growth.
AB - The universal temperature dependence of metabolic rates has been used to predict
how ocean biology will respond to ocean warming. Determining the temperature
sensitivity of phytoplankton metabolism and growth is of special importance
because this group of organisms is responsible for nearly half of global primary
production, sustains most marine food webs, and contributes to regulate the
exchange of CO2 between the ocean and the atmosphere. Phytoplankton growth rates
increase with temperature under optimal growth conditions in the laboratory, but
it is unclear whether the same degree of temperature dependence exists in nature,
where resources are often limiting. Here we use concurrent measurements of
phytoplankton biomass and carbon fixation rates in polar, temperate and tropical
regions to determine the role of temperature and resource supply in controlling
the large-scale variability of in situ metabolic rates. We identify a
biogeographic pattern in phytoplankton metabolic rates, which increase from the
oligotrophic subtropical gyres to temperate regions and then coastal waters.
Variability in phytoplankton growth is driven by changes in resource supply and
appears to be independent of seawater temperature. The lack of temperature
sensitivity of realized phytoplankton growth is consistent with the limited
applicability of Arrhenius enzymatic kinetics when substrate concentrations are
low. Our results suggest that, due to widespread resource limitation in the
ocean, the direct effect of sea surface warming upon phytoplankton growth and
productivity may be smaller than anticipated.
PMID- 24921946
TI - Grazing behaviour of a non-herbivorous characin: revisiting plasticity.
AB - Feeding behaviour and diet of Bryconamericus microcephalus differed between
canopy conditions. At the open canopy site, a behavioural modification, grazing
on algae, was observed. This was also reflected in gut content analysis and
suggests behavioural plasticity in response to resource availability.
PMID- 24921947
TI - Pure chondrosarcomas of the uterus: a rare uterine tumour.
PMID- 24921949
TI - Heteronuclear proton double quantum-carbon single quantum scalar correlation in
solids.
AB - A new NMR experiment that exploits the advantages of proton double quantum (DQ)
NMR through a proton DQ-carbon single quantum (SQ) correlation experiment in the
solid state is proposed. Analogous to the previously proposed 2D (1)H (DQ)-(13)C
refocused INEPT experiment (Webber et al., 2010), the correlation between (1)H
and (13)C is achieved through scalar coupling evolution, while the double quantum
coherence among protons is generated through dipolar couplings. However, the new
experiment relies on (13)C transverse coherence for scalar transfer. The new
experiment dubbed MAS-J-(1)H (DQ)-(13)C-HMQC, is particularly suited for
unlabeled molecules and can provide higher sensitivity than its INEPT
counterpart. The experiment is applied to four different samples.
PMID- 24921948
TI - Intervention effects on adolescent physical activity in the multicomponent SPACE
study: a cluster randomized controlled trial.
AB - BACKGROUND: Multicomponent school-based interventions have the potential to
reduce the age-related decline in adolescents' physical activity (PA), yet there
is not consistent evidence to guide non-curricular and school environment
interventions. The aim of this study was to assess the effectiveness of a
multicomponent environmental school-based intervention, designed to reduce the
age-related decline in PA among adolescents. METHODS: A cluster randomized
controlled trial was conducted with 7 intervention and 7 control schools.
Baseline measurements were carried out in spring 2010 with 2 years of follow-up.
A total of 1,348 students (11-13 years, in grade 5 and 6) enrolled in the study
at baseline. The 14 schools included in the study were located in the Region of
Southern Denmark. The intervention consisted of organizational and physical
changes in the school environment with a total of 11 intervention components. The
primary outcome measure was overall PA (cpm, counts per minute) and was supported
by analyses of time spent in MVPA, and time spent sedentary. Furthermore, a
secondary outcome measure was PA in school time and during recess. PA was
measured using accelerometer (Actigraph GT3X). RESULTS: A total of 797 students
completed the trial and had valid accelerometer data. No significant difference
was found for overall PA with an adjusted difference of -19.1 cpm (95% CI: -93,
53) or for school time activity with an adjusted difference of 6 cpm (95% CI:
73, 85). A sensitivity analysis revealed a positive significant intervention
effect of PA in recess with an adjusted difference of 95 cpm. CONCLUSIONS: No
evidence was found of the overall effect of a non-curricular multicomponent
school-based intervention on PA among Danish adolescents. The intervention was
positively associated with PA during school time and recess, however, with small
estimates. Lack of effect on overall PA could be due to both program theory and
different degrees of implementation. TRIAL REGISTRATION: www.Controlled
Trials.com ISRCTN79122411.
PMID- 24921950
TI - One-pot fabrication of rattle-like capsules with multicores by pickering-based
polymerization with nanoparticle nucleation.
AB - Rattle-like polymer capsules with multicores in one shell are facilely fabricated
by oil-in-water Pickering emulsion polymerization for the first time. The oil
phase contains hydrophobic silica nanoparticles dispersed in polymerizable
monomer, styrene, and unpolymerizable solvent, hexadecane. The multicore rattle
like capsules are facilely produced after the polymerization of monomers in the
oil droplets. The key point of this one-pot method lies in the nucleation of
hydrophobic silica and the phase separation between the resulting polystyrene and
hexadecane. The influences of the contents of silica, hexadecane, cross-linker,
and stabilizer on the structure and morphology of rattle-like capsules are
systematically investigated. Moreover, functionalization of the rattle-like
capsules can be developed easily by varying hydrophobic nucleation nanoparticles
in the oil phase. This work opens up a new route to fabricate multilevel capsules
or spheres.
PMID- 24921951
TI - Phase transitions and chain dynamics of surfactants intercalated into the
galleries of naturally occurring clay mineral magadiite.
AB - We investigate conformational dynamics and phase transitions of surfactant
molecules confined in the layered galleries of the organo-modified, natural
polysilicate clay, magadiite. We have shown that our approach to studying this
class of materials is capable of delivering detailed information on the molecular
mobility of the confined molecules. From the analysis of the measured
heteronuclear dipolar couplings, the orientational order parameters of the C-H
bonds along the hydrocarbon chain have been determined. Three phases have been
observed in the nanocomposite, characterized by distinct dynamical states of the
surfactant. At room temperature, restricted mobility of the molecules led to the
adoption of an essentially all-trans conformation by the chains. This behavior
can be described by a model incorporating small-angle wobbling around the long
molecular axes of the chains. Upon heating, dynamic transformation takes place,
resulting in a rotator type solid phase where molecules in extended all-trans
conformations undergo fast and unrestricted rotation about their respective
symmetry axes. The second phase transition is associated with chain melting and
the onset of translational dynamics and results in an essentially liquid
crystalline-like state of the organic component. The mobility of the surfactant
is one of the key factors facilitating the efficient penetration of
macromolecules in the process of preparing of polymer/organoclay nanocomposites.
The exploration of dynamic properties of the functionalizing organic layer should
provide important input into the improved design of new organic-inorganic hybrid
materials.
PMID- 24921952
TI - Is the pain visual analogue scale linear and responsive to change? An exploration
using Rasch analysis.
AB - OBJECTIVES: Pain visual analogue scales (VAS) are commonly used in clinical
trials and are often treated as an interval level scale without evidence that
this is appropriate. This paper examines the internal construct validity and
responsiveness of the pain VAS using Rasch analysis. METHODS: Patients (n = 221,
mean age 67, 58% female) with chronic stable joint pain (hip 40% or knee 60%) of
mechanical origin waiting for joint replacement were included. Pain was scored on
seven daily VASs. Rasch analysis was used to examine fit to the Rasch model.
Responsiveness (Standardized Response Means, SRM) was examined on the raw ordinal
data and the interval data generated from the Rasch analysis. RESULTS: Baseline
pain VAS scores fitted the Rasch model, although 15 aberrant cases impacted on
unidimensionality. There was some local dependency between items but this did not
significantly affect the person estimates of pain. Daily pain (item difficulty)
was stable, suggesting that single measures can be used. Overall, the SRMs
derived from ordinal data overestimated the true responsiveness by 59%. Changes
over time at the lower and higher end of the scale were represented by large
jumps in interval equivalent data points; in the middle of the scale the reverse
was seen. CONCLUSIONS: The pain VAS is a valid tool for measuring pain at one
point in time. However, the pain VAS does not behave linearly and SRMs vary along
the trait of pain. Consequently, Minimum Clinically Important Differences using
raw data, or change scores in general, are invalid as these will either under- or
overestimate true change; raw pain VAS data should not be used as a primary
outcome measure or to inform parametric-based Randomised Controlled Trial power
calculations in research studies; and Rasch analysis should be used to convert
ordinal data to interval data prior to data interpretation.
PMID- 24921953
TI - A RRKM study and a DFT assessment on gas-phase fragmentation of formamide-M(2+)
(M = Ca, Sr).
AB - A kinetic study of the unimolecular reactivity of formamide-M(2+) (M = Ca, Sr)
systems was carried out by means of RRKM statistical theory using high-level DFT.
The results predict M(2+), [M(NH2)](+) and [HCO](+) as the main products,
together with an intermediate that could eventually evolve to produce
[M(NH3)](2+) and CO, for high values of internal energy. In this framework, we
also evaluated the influence of the external rotational energy on the reaction
rate constants. In order to find a method to perform reliable electronic
structure calculations for formamide-M(2+) (M = Ca, Sr) at a relatively low
computational cost, an assessment of different methods was performed. In the
first assessment twenty-one functionals, belonging to different DFT categories,
and an MP2 wave function method using a small basis set were evaluated.
CCSD(T)/cc-pWCVTZ single point calculations were used as reference. A second
assessment has been performed on geometries and energies. We found BLYP/6-31G(d)
and G96LYP/6-31+G(d,p) as the best performing methods, for formamide-Ca(2+) and
formamide-Sr(2+), respectively. Furthermore, a detailed assessment was done on
RRKM reactivity and G96LYP/6-31G(d) provided results in agreement with higher
level calculations. The combination of geometrical, energetics and kinetics
(RRKM) criteria to evaluate DFT functionals is rather unusual and provides an
original assessment procedure. Overall, we suggest using G96LYP as the best
performing functional with a small basis set for both systems.
PMID- 24921955
TI - Stand-alone Cipralex Symposium, Lisbon, 2006.
PMID- 24921956
TI - Guidelines in major depressive disorder, and their limitations.
AB - Depression is a highly disabling, recurrent disease that imposes a significant
burden on the individual, their close contacts, and on society. Despite the
existence of treatment guidelines for major depression, management frequently
falls short of these recommendations, sometimes due to inadequate doses or
duration of prescribed antidepressant medication; at other times secondary to
patient non-adherence to the recommended treatment. Evidence-based treatment
guidelines developed by the major mental health organizations advise that
patients who have experienced either a first or a recurrent episode of major
depression should receive continued therapeutic doses of medication for at least
4-6 months following symptom remission, to reduce the risk of relapse. Further to
this, antidepressant prophylaxis is beneficial in any patient with a history of
three or more major depressive episodes, or two or more episodes in the last 5
years. Selective serotonin reuptake inhibitors (SSRIs) have demonstrated
excellent efficacy and tolerability in the treatment and prophylaxis of major
depression, as well as being associated with significant reduction in suicide
risk in some populations. Escitalopram, an atypical SSRI that has shown
superiority to conventional SSRIs and venlafaxine in clinical studies, has
demonstrated particular benefits in severely depressed patients, in whom its
efficacy appears to increase with increasing severity of depression. In the
absence of formal treatment guidelines for severe depression, or comborbid
depression and anxiety, escitalopram appears to be a logical treatment choice.
PMID- 24921957
TI - The new guidelines from the British Association for Psychopharmacology for
anxiety disorders.
AB - Anxiety disorders, which often commence in childhood, are among the most common
psychological disorders encountered in primary care practice, with 1 year and
lifetime prevalence (excluding post-traumatic stress disorder) of 12.0 and 21.1%,
respectively. Because of their wide range of clinical presentation and
comorbidity with other disorders such as depression, they are frequently under
recognized. These factors, coupled with lack of physician confidence in their
ability to treat these conditions, leads to under-treatment. Treatment of anxiety
disorders is further complicated by a significant lag time between first onset
and initial contact with a treatment provider. Even for those anxiety disorders
characterized by relatively early treatment contact (i.e. panic disorder and
generalized anxiety disorder), <50% of patients are treated at first onset of
symptoms. Following treatment, approximately 50% of patients significantly
improve over 6-16 months, but complete recovery is uncommon. To help remedy this
situation, the British Association for Pharmacology (BAP) has issued
comprehensive evidence-based guidelines (developed from a consensus meeting 20-21
May 2004 involving clinical experts and representatives of user groups) to aid
clinicians in diagnosing and treating anxiety disorders. The guidelines focus on
pharmacological interventions for all primary anxiety disorders in adults (18-65
years), and are intended for doctors in primary care, as well as specialist
practice. Importantly, the guidelines cover the difficult topic of treatment non
response. The BAP guidelines link treatment recommendations with quality of
evidence, allowing clinicians to judge the confidence they might place in
particular recommendations.
PMID- 24921958
TI - Challenges in the treatment of anxiety disorders: beyond guidelines.
AB - Anxiety disorders are highly prevalent, come in many forms and are often chronic,
with many patients requiring long-term maintenance therapy. Anxiety and
depression may also be comorbid in up to 50% of patients, leading to problems
during diagnosis and treatment. Despite their frequency, the recognition and
treatment of anxiety disorders is frequently suboptimal, with as few as 15% of
patients obtaining treatment consistent with evidence-based care recommendations.
Current treatment guidelines for anxiety disorders include a range of
pharmacological and non-pharmacological approaches. However, the use of these
guidelines alone may not be sufficient to improve patient outcomes. Optimal
treatments for anxiety should be based on chronic disease management and balance
efficacy with long-term tolerability. Current first-line therapies should include
broad-spectrum agents that have proven efficacy in treating both anxiety and
depression and are effective across all treatment phases. The allosteric
serotonin reuptake inhibitor (ASRI), escitalopram, is a particularly effective
treatment, offering high rates of remission combined with relatively low rates of
discontinuation due to adverse events. Combination therapy involving medication
and psychological approaches, e.g., cognitive behavioral therapy, may also be
helpful. Novel approaches to delivering psychotherapy and self-management via the
Internet may address accessibility issues for evidence-based psychological
treatments.
PMID- 24921959
TI - Closing the antidepressant efficacy gap between clinical trials and real patient
populations.
AB - Overall, patient outcomes in the primary care of depression are seldom as good as
those achieved in clinical trials - the "efficacy gap". Many factors contribute
to this, including poor patient compliance, poor family and social support and
negative media reporting of antidepressants. Indeed, negative media reporting has
had far more impact on physicians' prescribing of antidepressants than have
regulatory agencies, partly as a result of changing public attitudes. Negative
media reports linking SSRIs to increased child suicide rates have also resulted
in a decline in the prescribing of SSRIs to this age group, but with no
concomitant increase in the prescribing of fluoxetine, the only antidepressant
recommended for the treatment of children. There are also inadequacies in the
guidelines available to primary care givers that might contribute to the efficacy
gap. Guidelines can be too specific for clinical practice - especially where
depression coexists with anxiety disorders - and too passive, resulting in
delayed or inadequate intervention. Evidence suggests that many physicians prefer
to be more proactive. In the recent AHEAD survey, physicians identified faster
resolution of symptoms as the property most desirable for improving
antidepressant therapy. There is recent evidence that structured long-term
therapy and easily-implemented measurement-based care procedures can improve
remission rates and help bridge the efficacy gap. If these can be allied with
greater public/media understanding of depression and its treatment, along with
improved guidelines, then significant progress can be anticipated in the
management of mood disorders.
PMID- 24921960
TI - Synthesis of nucleobase-caged peptide nucleic acids having improved photochemical
properties.
AB - A nucleobase-caged peptide nucleic acid (PNA) having a (6-bromo-7
methoxycoumarin)-4-ylmethoxycarbonyl (Bmcmoc) caging group was newly synthesized.
The Bmcmoc-caged PNAs were photolyzed to produce parent PNAs with a high
photochemical efficiency. Introduction of a single Bmcmoc group was sufficient to
suppress polymerase chain reaction (PCR) clamping activity and triplex invasion
complex formation. Photo-mediated restoration of the PCR clamping activity was
also demonstrated.
PMID- 24921961
TI - Dry paths effectively reduce road mortality of small and medium-sized terrestrial
vertebrates.
AB - Wildlife passages are widely used mitigation measures designed to reduce the
adverse impacts of roads on animals. We investigated whether road kills of small
and medium-sized terrestrial vertebrates can be reduced by constructing dry paths
adjacent to streams that pass under road bridges. The study was carried out in
southern Finland during the summer of 2008. We selected ten road bridges with dry
paths and ten bridges without them, and an individual dry land reference site for
each study bridge on the basis of landscape and traffic features. A total of 307
dead terrestrial vertebrates were identified during the ten-week study period.
The presence of dry paths decreased the amount of road-killed terrestrial
vertebrates (Poisson GLMM; p < 0.001). That was true also when considering
amphibians alone (p < 0.001). The evidence on road-kills on mammals was not such
clear. In the mammal model, a lack of dry paths increased the amount of carcasses
(p = 0.001) whereas the number of casualties at dry path bridges was comparable
with dry land reference sites. A direct comparison of the dead ratios suggests an
average efficiency of 79% for the dry paths. When considering amphibians and
mammals alone, the computed effectiveness was 88 and 70%, respectively. Our
results demonstrate that dry paths under road bridges can effectively reduce road
kills of small and medium-sized terrestrial vertebrates, even without guiding
fences. Dry paths seemed to especially benefit amphibians which are a threatened
species group worldwide and known to suffer high traffic mortality.
PMID- 24921962
TI - Role of primary substrate composition and concentration on attenuation of trace
organic chemicals in managed aquifer recharge systems.
AB - This study was undertaken to investigate the role of primary substrate
composition and concentration on the attenuation of biodegradable emerging trace
organic chemicals (TOrCs) in simulated managed aquifer recharge (MAR) systems.
Four sets of soil columns were established in the laboratory, each receiving
synthetic feed solutions comprising different ratios and concentrations of
peptone-yeast and humic acid as the primary substrate to investigate the effect
on removal of six TOrCs (atenolol, caffeine, diclofenac, gemfibrozil, primidone,
and trimethoprim). Based on abiotic control experiments, adsorption was not
identified as a significant attenuation mechanism for primidone, gemfibrozil and
diclofenac. Caffeine, atenolol and trimethoprim displayed initial adsorptive
losses, however, adsorption coefficients derived from batch tests confirmed that
adsorption was limited and in the long-term experiment, biodegradation was the
dominant attenuation process. Within a travel time of 16 h, caffeine - an easily
degradable compound exhibited removal exceeding 75% regardless of composition or
concentration of the primary substrate. Primidone - a poorly degradable compound,
showed no removal in any column regardless of the nature of the primary
substrate. The composition and concentration of the primary substrate, however,
had an effect on attenuation of moderately degradable TOrCs, such as atenolol,
gemfibrozil and diclofenac, with the primary substrate composition seeming to
have a larger impact on TOrC attenuation than its concentration. When the primary
substrate consisted mainly of refractory substrate (humic acid), higher removal
of the moderately degradable TOrCs was observed. The microbial communities in the
columns receiving more refractory carbon, were noted to be more diverse and hence
likely able to express a wider range of enzymes, which were more suitable for
TOrC transformation. The effect of the primary substrate on microbial community
composition, diversity and gene expression potential confirmed its influence on
TOrC degradation.
PMID- 24921963
TI - The role of social values in the management of ecological systems.
AB - The concept of value is central to the practice and science of ecological
management and conservation. There is a well-developed body of theory and
evidence that explores concepts of value in different ways across different
disciplines including philosophy, economics, sociology and psychology. Insight
from these disciplines provides a robust and sophisticated platform for
considering the role of social values in ecological conservation, management and
research. This paper reviews theories of value from these disciplines and
discusses practical tools and instruments that can be utilised by researchers and
practitioners. A distinction is highlighted between underlying values that shape
people's perception of the world (e.g. altruistic or biospheric value
orientations), and the values that people assign to things in the world (e.g.
natural heritage, money). Evidence from numerous studies has shown that there are
multiple pathways between these values and attitudes, beliefs and behaviours
relevant to ecological management and conservation. In an age of increasing
anthropogenic impacts on natural systems, recognising how and why people value
different aspects of ecological systems can allow ecological managers to act to
minimise conflict between stakeholders and promote the social acceptability of
management activities. A series of practical guidelines are provided to enable
social values to be better considered in ecosystem management and research.
PMID- 24921964
TI - Is environmental management an economically sustainable business?
AB - This paper investigates whether environmental management is an economically
sustainable business. While firms invest in green production and green supply
chain activities with the primary purpose of reducing their environmental impact,
the reciprocal relationships with economic performance need to be clarified.
Would firms and suppliers adjust their environmental strategies if the higher
economic value that environmental management generates is reinvested in greening
actions? We found out that environmental management positively influences
economic performance as second order (long term) target, to be reached
conditioned by higher environmental performance; in addition, firms can increase
their performance if they reinvest the higher economic value gained through
environmental management in green practices: While investing in environmental
management programs is a short term strategy, economic rewards can be obtained
only with some delays. Consequently, environmental management is an economically
sustainable business only for patient firms. In the evaluation of these
reciprocal relationships, we discovered that green supply chain initiatives are
more effective and more economically sustainable than internal actions.
PMID- 24921965
TI - Development of an electrochemical sensor based on the catalysis of ferrocene
actuated hemin/G-quadruplex enzyme for the detection of potassium ions.
AB - Sensitive and selective sensors need to be explored to detect the physiological
potassium level due to its important role in the living organisms. In the present
system, a novel electron transfer mediator actuated electrocatalytical biosensor
was demonstrated to assay K(+) based on the conformational change of DNA. With
the hybridization between the complementary bases and the self-folding of guanine
rich nucleic acid sequence, the horseradish peroxidase-mimicking enzyme (HRP
DNAzyme) was formed and brought to approach the ferrocene (Fc) unit on Au
nanoparticles (AuNPs). Thus, in the system, Fc unit acted as the relay,
stimulating the electrical contact of HRP-DNAzyme with the electrode to obtain
the bioelectrocatalyze reduction signal. Under the Fc actuated catalysis of HRP
DNAzyme and amplification of Au nanoparticles, the obtained biosensor exhibited a
sensitive detection for K(+). A satisfying result of a wide linear range and low
detection limit were obtained with the novel electrocatalytical biosensor which
was then applied in real samples.
PMID- 24921966
TI - Priority setting for evaluation: developing a strategic evaluation portfolio.
AB - Resources for evaluation are frequently scarce and best use should be made of
them to deliver against the typical purposes of an evaluation function to (i)
enhance accountability and (ii) promote operational improvement and learning.
This paper presents a method for analyzing and prioritizing potential evaluations
to improve the selection of a portfolio of activities that give the greatest pay
off. The method establishes the relative priority of 'evaluation opportunities'
against criteria that relate to the usual primary purposes of an evaluation
function. The method was developed in the context of a multilateral organization
but is of general utility to the wider evaluation community and, with suitable
adaptation can be applied to help ensure that scarce evaluation resources are
used to their best advantage. A range of benefits are expected to accrue to an
organization from adopting a more thorough, analytical priority setting process.
These include: The paper describes a priority setting method, including the key
criteria that are used to assess 'evaluation opportunities', and presents
different analyses of an evaluation portfolio. Examples from a practical
application of the approach to the preparation of an evaluation work plan in a
multilateral environmental agency are given.
PMID- 24921967
TI - Regional itching and hyperhidrosis in myeloproliferative disorder with fibrosis:
studies on a muscarinic cholinergic receptor M3.
PMID- 24921968
TI - Perception of risk of HIV infections and sexual behaviour of the sexually active
university students in Zimbabwe.
AB - The study sought to establish university students' perceptions of risk of HIV
infections. A cross-sectional survey was conducted on 345 sexually active
students at two universities in Zimbabwe (one state and one private). Results
revealed that above a quarter of the respondents felt at risk of getting HIV due
to their regular partners' sexual behaviours and more than half felt at risk of
getting HIV due to their casual partners' sexual behaviours. In addition, a third
of the respondents acknowledged the HIV risk due to their own sexual behaviours.
More state university respondents felt exposed to HIV infections due to own
sexual behaviours than their private university counterparts. Despite these
revelations, only 66.56% had earlier thought of their chances of getting infected
with HIV. Personal HIV risk perceptions were low, reported by 27.76% of the
sexually active respondents. Almost all respondents described their fellows'
sexual behaviours as either risky or very risky.
PMID- 24921969
TI - Pallidal stimulation in Parkinson's disease does not induce apathy.
AB - BACKGROUND: Whereas apathy is known as a common consequence of subthalamic
nucleus deep brain stimulation in Parkinson's disease, few studies have
investigated the psychiatric consequences of internal globus pallidus deep brain
stimulation. METHOD: Twenty consecutive parkinsonian patients who underwent
bilateral pallidal stimulation were assessed 3 months prior to surgery (M-3) and
at both 3 (M3) and 6 months (M6) after surgery, using psychiatric,
neuropsychological, and motor scales. Apathy, mood state, and anxiety state were
scored using the Apathy Evaluation Scale, the Montgomery-Asberg Depression Rating
Scale, and the anxiety scale from the Association for Methodology and
Documentation in Psychiatry, respectively. RESULTS: The mean apathy score
remained stable between the preoperative M-3 assessment (37.2+/-6.2) and both the
postoperative M3 (36.9+/-7.5) and M6 (37.2+/-5.0) assessments. The mean
depression score did not differ between the M-3 assessment and M3 and M6
assessments. There was no difference between the preoperative mean anxiety score
and both the postoperative M3 and M6 scores. The mean score for the Mattis
Dementia Rating Scale remained stable at each study visit. CONCLUSIONS: The main
result of this study is the absence of deterioration in psychiatric and cognitive
scores 3 months and 6 months after pallidal stimulation.
PMID- 24921970
TI - Small-molecule EGFR tyrosine kinase inhibitors for the treatment of cancer.
AB - INTRODUCTION: EGFR has been implicated in various malignancies such as NSCLC,
breast, head and neck, and pancreatic cancer. Numerous drugs have been developed
in order to target the tyrosine domain of EGFR as an approach in cancer
treatment. AREAS COVERED: This article focuses on the different generations of
EGFR tyrosine kinase inhibitors (TKIs). This spans from the emergence of the
first-generation EGFR-TKIs to overcoming drug resistance using second-generation
EGFR-TKIs and to reducing adverse effect (AE) using mutant-selective third
generation EGFR-TKIs. EXPERT OPINION: Current TKI treatment is frequently
accompanied by drug resistance and/or serious AEs. There has been the promise of
advancements in second-generation EGFR-TKIs that could overcome drug resistance,
acting as second- or third-line salvage treatment, but this promise has yet to be
met. That being said, both issues are currently being addressed with mutant
selective EGFR-TKIs with the expectation of bringing more EGFR-targeted therapy
into the next phase of cancer therapy in the future.
PMID- 24921971
TI - Fluorescence in rhoda- and iridacyclopentadienes neglecting the spin-orbit
coupling of the heavy atom: the ligand dominates.
AB - We present a detailed photophysical study and theoretical analysis of 2,5
bis(arylethynyl)rhodacyclopenta-2,4-dienes (1a-c and 2a-c) and a 2,5
bis(arylethynyl)iridacyclopenta-2,4-diene (3). Despite the presence of heavy
atoms, these systems display unusually intense fluorescence from the S1 excited
state and no phosphorescence from T1. The S1 -> T1 intersystem crossing (ISC) is
remarkably slow with a rate constant of 108 s-1 (i.e., on the nanosecond time
scale). Traditionally, for organometallic systems bearing 4d or 5d metals, ISC is
2-3 orders of magnitude faster. Emission lifetime measurements suggest that the
title compounds undergo S1 -> T1 interconversion mainly via a thermally activated
ISC channel above 233 K. The associated experimental activation energy is found
to be DeltaHISC = 28 kJ mol-1 (2340 cm-1) for 1a, which is supported by density
functional theory (DFT) and time-dependent DFT calculations [DeltaHISC(calc.) =
11 kJ mol-1 (920 cm-1) for 1a-H]. However, below 233 K a second, temperature
independent ISC process via spin-orbit coupling occurs. The calculated lifetime
for this S1 -> T1 ISC process is 1.1 s, indicating that although this is the main
path for triplet state formation upon photoexcitation in common organometallic
luminophores, it plays a minor role in our Rh compounds. Thus, the organic pi
chromophore ligand seems to neglect the presence of the heavy rhodium or iridium
atom, winning control over the excited-state photophysical behavior. This is
attributed to a large energy separation of the ligand-centered highest occupied
molecular orbital (HOMO) and lowest unoccupied MO (LUMO) from the metal-centered
orbitals. The lowest excited states S1 and T1 arise exclusively from a HOMO-to
LUMO transition. The weak metal participation and the cumulenic distortion of the
T1 state associated with a large S1-T1 energy separation favor an "organic-like"
photophysical behavior.
PMID- 24921972
TI - Changes in the rheological properties of wheat dough during short-term storage of
wheat.
AB - BACKGROUND: The aim of the present study was to investigate the ability of the
small- and large-deformation fundamental rheological tests to monitor the changes
during short-term post-harvest storage of wheat. RESULTS: At the end of wheat
storage period, wet gluten quantity decreased, while gluten index increased in
comparison to those of freshly harvested samples. The rheological properties of
dough changed over the pre-defined period of storage in terms of becoming more
elastic and less extensible in comparison to that of freshly harvested wheat.
Visco-elastic properties of weaker flour samples changed more markedly during
storage than those of stronger flours, indicating that the intensity of dough
rheological changes during wheat storage might be dependent on gluten quality and
were the characteristic of wheat variety. CONCLUSION: It was shown that small
deformation dynamic oscillation and large deformation creep-recovery tests can be
successfully employed to monitor the changes in flour quality during wheat
storage and that required storage period after wheat harvesting has to be defined
according to wheat variety initial rheological properties and its gluten quality.
PMID- 24921974
TI - Self-assembly of an all-thiol-stabilized {Ag28S23} high-nuclearity luminescent
nanocluster with a "crab-like" shape.
AB - We report a rare all-thiol-stabilized [Ag28(S(t)Bu)23](5+) ({Ag28S23} for short)
nanocluster with a "crab-like" shape in compound [Ag28(S(t)Bu)23](CF3COO)5.8CH3OH
(1), which has been synthesized by the self-assembly of AgS(t)Bu with CF3COOH,
Et3N and KBr/KI in methanol. The diffuse reflection spectrum and luminescence
spectra of 1 were investigated.
PMID- 24921973
TI - Role of C-C motif ligand 2 and C-C motif receptor 2 in murine pulmonary graft
versus-host disease after lipopolysaccharide inhalations.
AB - Environmental exposures are a potential trigger of chronic pulmonary graft-versus
host disease (pGVHD) after successful recovery from hematopoietic cell transplant
(HCT). We hypothesized that inhalations of LPS, a prototypic environmental
stimulus, trigger pGVHD via increased pulmonary recruitment of donor-derived
antigen-presenting cells (APCs) through the C-C motif ligand 2 (CCL2)-C-C motif
receptor 2 (CCR2) chemokine axis. B10.BR(H2(k)) and C57BL/6(H2(b)) mice underwent
allogeneic (Allo) or syngeneic (Syn) HCT with wild-type (WT) C57BL/6, CCL2(-/-),
or CCR2(-/-) donors. After 4 weeks, recipient mice received daily inhaled LPS for
5 days and were killed at multiple time points. Allo mice exposed to repeated
inhaled LPS developed prominent lymphocytic bronchiolitis, similar to human
pGVHD. The increase in pulmonary T cells in Allo mice after LPS exposures was
accompanied by increased CCL2, CCR2, and Type-1 T-helper cytokines as well as by
monocytes and monocyte-derived dendritic cells (moDCs) compared with Syn and
nontransplanted controls. Using CCL2(-/-) donors leads to a significant decrease
in lung DCs but to only mildly reduced CD4 T cells. Using CCR2(-/-) donors
significantly reduces lung DCs and moDCs but does not change T cells. CCL2 or
CCR2 deficiency does not alter pGVHD pathology but increases airway
hyperreactivity and IL-5 or IL-13 cytokines. Our results show that hematopoietic
donor-derived CCL2 and CCR2 regulate recruitment of APCs to the Allo lung after
LPS exposure. Although they do not alter pathologic pGVHD, their absence is
associated with increased airway hyperreactivity and IL-5 and IL-13 cytokines.
These results suggest that the APC changes that result from CCL2-CCR2 blockade
may have unexpected effects on T cell differentiation and physiologic outcomes in
HCT.
PMID- 24921976
TI - Relationship of irritable bowel syndrome (IBS) and major depression in Mumbai,
India *.
PMID- 24921975
TI - Psychiatric evaluation of competency in cancer patients.
AB - This study was conducted to investigate the profile of patients referred for
psychiatric evaluation of competency among patients with cancer. Among the 1721
referred cancer patients, 43 (2.5%) were referred for competency evaluation. The
most common reason for competency evaluation was refusal of test or treatment,
and the common psychiatric diagnoses were adjustment disorders, delirium and
personality disorders. Cancer patients with personality disorders were more
likely to be evaluated as competent, while patients with delirium and dementia
were often incompetent; those with adjustment disorders, major depression and
psychotic illness could be either competent or incompetent. While these findings
were almost consistent with those reported from previous studies, some results
may be unique to cancer patients.
PMID- 24921977
TI - Pulmonary abnormalities and carotid atherosclerosis in ex-smokers without airflow
limitation.
AB - It is well-established that COPD patients have a burden of vascular disease that
cannot be fully-explained by smoking history but the mechanistic links between
atherosclerosis and pulmonary disease in COPD patients are not well-understood.
Moreover, in ex-smokers without symptoms or other evidence of COPD, subclinical
pulmonary and vascular disease, although potentially present, has not been
described or evaluated. Hence our aim was to use sensitive three-dimensional (3D)
pulmonary and carotid imaging to quantify pulmonary airway/parenchyma
abnormalities and atherosclerosis in ex-smokers without airflow limitation or
symptoms consistent with COPD. We evaluated 61 subjects without airflow
limitation including 34 never- (72 +/- 6 years) and 27 ex-smokers (73 +/- 9
years), who provided written informed consent to spirometry, plethysmography,
(3)He magnetic resonance imaging (MRI) and carotid ultrasound (US) and, for ex
smokers alone, thoracic X-ray computed tomography (CT). Ex-smokers had
significantly greater (3)He ventilation defect percent (VDP = 7%, p = 0.001) and
carotid total plaque volume (TPV = 250 mm(3), p = 0.002) than never-smokers,
although there were no significant differences for spirometry or plethysmography,
and CT airway and emphysema measurements were normal. There were univariate
relationships for (3)He VDP with carotid intima media thickness (IMT, r = 0.42, p
= 0.004), TPV (r = 0.41, p = 0.006) and vessel wall volume (VWV, r = 0.40, p =
0.007). Multivariate models that included age, BMI, FEV1, DLCO and VDP showed
that only VDP significantly predicted IMT (beta = 0.41, p = 0.001), VWV (beta =
0.45, p = 0.003) and TPV (beta = 0.38, p = 0.005). In summary, there was imaging
evidence of mild airways disease and carotid plaque burden that were related and
significantly greater in ex-smokers without airflow limitation than in never
smokers.
PMID- 24921978
TI - Diazaquinomycins E-G, novel diaza-anthracene analogs from a marine-derived
Streptomyces sp.
AB - As part of our program to identify novel secondary metabolites that target drug
resistant ovarian cancers, a screening of our aquatic-derived actinomycete
fraction library against a cisplatin-resistant ovarian cancer cell line (OVCAR5)
led to the isolation of novel diaza-anthracene antibiotic diazaquinomycin E
(DAQE; 1), the isomeric mixture of diazaquinomycin F (DAQF; 2) and
diazaquinomycin G (DAQG; 3), and known analog diazaquinomycin A (DAQA; 4). The
structures of DAQF and DAQG were solved through deconvolution of X-Ray
diffraction data of their corresponding co-crystal. DAQE and DAQA exhibited
moderate LC50 values against OVCAR5 of 9.0 and 8.8 MUM, respectively. At lethal
concentrations of DAQA, evidence of DNA damage was observed via induction of
apoptosis through cleaved-PARP. Herein, we will discuss the isolation, structure
elucidation, and biological activity of these secondary metabolites.
PMID- 24921979
TI - Link between domoic acid production and cell physiology after exchange of
bacterial communities between toxic Pseudo-nitzschia multiseries and non-toxic
Pseudo-nitzschia delicatissima.
AB - Bacteria are known to influence domoic acid (DA) production by Pseudo-nitzschia
spp., but the link between DA production and physiology of diatoms requires more
investigation. We compared a toxic P. multiseries to a non-toxic P.
delicatissima, investigating links between DA production, physiological
parameters, and co-occurring bacteria. Bacterial communities in cultures of both
species were reduced by antibiotic treatment, and each of the diatoms was
inoculated with the bacterial community of the other species. The physiology of
P. delicatissima was minimally affected by the absence of bacteria or the
presence of alien bacteria, and no DA was detected. P. multiseries grew faster
without bacteria, did not produce a significant amount of DA, and exhibited
physiological characteristics of healthy cells. When grown with alien bacteria,
P. multiseries did not grow and produced more DA; the physiology of these cells
was affected, with decreases in chlorophyll content and photosynthetic
efficiency, an increase in esterase activity, and almost 50% mortality of the
cells. The alien bacterial community had morphological and cellular
characteristics very different from the original bacteria, and the number of free
living bacteria per algal cell was much higher, suggesting the involvement of
bacteria in DA production.
PMID- 24921980
TI - Switching from efavirenz to nevirapine in children: 1-week dose escalation
strategy.
PMID- 24921981
TI - Chirality sensitive binding of tryptophan enantiomers with pristine single wall
carbon nanotubes.
AB - We report the differential binding nature of pristine single wall carbon
nanotubes (SWNTs) with tryptophan enantiomers. The differential co-operative
response between the pristine SWNTs (topologically chiral) and L- and D
tryptophan (geometrically chiral) provides the insight that geometrical chirality
itself manifests with topological chirality in a complex way.
PMID- 24921982
TI - Cohesive energy and line energy of graphene.
AB - The theory presented enables calculating the cohesive energy and line energy (the
work of formation of the unit border line length) of graphene from the first
principles data, which also yields estimate of the line tension of graphene at
zero temperature. Contributions from chemical bonds and dispersion forces have
been estimated separately to give a summary value of 16.7 * 10(-10) J m(-1) for
the line energy of graphene. The significance of line energy and line tension for
nanotube formation is discussed.
PMID- 24921983
TI - Optic disc characteristics in patients with glaucoma and combined superior and
inferior retinal nerve fiber layer defects.
AB - IMPORTANCE: Eyes with initial bihemifield defects show faster progression
compared with eyes with initial single-hemifield involvement, suggesting greater
optic nerve susceptibility to glaucomatous damage. We hypothesized that certain
disc phenotypes may exist in patients with glaucoma who have bihemispheric
structural damage at the initial stage of the disease. OBJECTIVE: To identify the
optic disc characteristics related to bihemispheric retinal nerve fiber layer
(RNFL) defects in early-stage glaucoma. DESIGN, SETTING, AND PARTICIPANTS: A
cross-sectional study of 136 patients with early-stage primary open-angle
glaucoma from a glaucoma referral practice. Eyes were divided into those with
RNFL defects in the superior or the inferior hemisphere (group 1) and those with
bihemispheric RNFL defects (group 2). We measured the degree of horizontal tilt
angle and RNFL thickness using spectral-domain optical coherence tomography. We
performed multivariate logistic regression analysis to determine potential risk
factors related to the bihemispheric RNFL defects. EXPOSURES: Bihemispheric RNFL
defects. MAIN OUTCOMES AND MEASURES: Disc ovality (defined as the ratio between
the longest and shortest diameters of the optic disc), the degree of horizontal
tilt angle, and the presence of bihemispheric RNFL defects. Asymmetry in RNFL
thickness between hemispheres was defined as the difference between the superior
and inferior mean RNFL thickness. RESULTS: Disc ovality (mean [SD], 1.09 [0.12]
in group 1 vs 1.18 [0.18] in group 2; difference, -0.09; 95% CI, -0.14 to -0.03),
proportion of tilted discs (5.3% vs 17.5%, respectively; difference, -12.2; 95%
CI, -13.0 to -11.4), and horizontal tilt angle (mean [SD], 4.17 degrees [4.13
degrees ] vs 5.93 degrees [4.84 degrees ], respectively; difference, -1.76; 95%
CI, -3.47 to -0.03) were significantly different between groups 1 and 2 (P =
.001, P = .03, and P = .045, respectively). The asymmetry in RNFL thickness
decreased with increased disc ovality (exponentiation of the B coefficient, 1.67;
95% CI, 1.10-2.55; P = .02), although associations were not identified with
spherical equivalent, axial length, or the angle between the temporal retinal
veins. In multivariate logistic analysis, disc ovality was suggested to be an
independent risk factor for bihemispheric RNFL defects, after controlling for
mean deviation, age, axial length, and disc area (P = .02). CONCLUSIONS AND
RELEVANCE: Optic disc tilt appears to be associated with bihemispheric RNFL
defects in patients with early glaucoma, regardless of their refractive status.
These data suggest that disc tilt, associated with bihemispheric structural
damages, is a risk factor for glaucoma progression.
PMID- 24921984
TI - Analysis of deep brain stimulation electrode characteristics for neural
recording.
AB - OBJECTIVE: Closed-loop deep brain stimulation (DBS) systems have the potential to
optimize treatment of movement disorders by enabling automatic adjustment of
stimulation parameters based on a feedback signal. Evoked compound action
potentials (ECAPs) and local field potentials (LFPs) recorded from the DBS
electrode may serve as suitable closed-loop control signals. The objective of
this study was to understand better the factors that influence ECAP and LFP
recording, including the physical presence of the electrode, the geometrical
dimensions of the electrode, and changes in the composition of the peri-electrode
space across recording conditions. APPROACH: Coupled volume conductor-neuron
models were used to calculate single-unit activity as well as ECAP responses and
LFP activity from a population of model thalamic neurons. MAIN RESULTS: Comparing
ECAPs and LFPs measured with and without the presence of the highly conductive
recording contacts, we found that the presence of these contacts had a negligible
effect on the magnitude of single-unit recordings, ECAPs (7% RMS difference
between waveforms), and LFPs (5% change in signal magnitude). Spatial averaging
across the contact surface decreased the ECAP magnitude in a phase-dependent
manner (74% RMS difference), resulting from a differential effect of the contact
on the contribution from nearby or distant elements, and decreased the LFP
magnitude (25% change). Reductions in the electrode diameter or recording contact
length increased signal energy and increased spatial sensitivity of single neuron
recordings. Moreover, smaller diameter electrodes (500 um) were more selective
for recording from local cells over passing axons, with the opposite true for
larger diameters (1500 um). Changes in electrode dimensions had phase-dependent
effects on ECAP characteristics, and generally had small effects on the LFP
magnitude. ECAP signal energy and LFP magnitude decreased with tighter contact
spacing (100 um), compared to the original dimensions (1500 um), with the
opposite effect on the ECAP at longer contact-to-contact distances (2000 um).
Finally, acute edema reduced the single neuron and population ECAP signal energy,
as well as LFP magnitude, and glial encapsulation had the opposite effect, after
accounting for loss of cells in the peri-electrode space. SIGNIFICANCE: This
study determined recording conditions and electrode designs that influence ECAP
and LFP recording fidelity.
PMID- 24921985
TI - Asthma control and management in 8,000 European patients: the REcognise Asthma
and LInk to Symptoms and Experience (REALISE) survey.
AB - BACKGROUND: Asthma is one of the most common chronic diseases in the world, and
previous studies have reported low levels of control. Recent developments in the
availability and use of online sources of information about asthma might add to
patients' knowledge and help improve control. AIMS: To investigate whether asthma
control has improved by assessing levels of symptoms, exacerbations and Global
Initiative for Asthma-defined control in a real-life population of patients who
use the Internet and social media, as well as evaluate patient perception of
control and attitudes to asthma. METHODS: Online surveys were conducted among
8,000 patients with asthma (aged 18-50 years, >=2 prescriptions in the previous 2
years, use of social media) from 11 European countries. RESULTS: Levels of asthma
control were low: 45% of respondents had uncontrolled asthma. Acute exacerbations
were common: 44% of respondents reported having used oral steroids for asthma in
the previous 12 months, 24% had visited an emergency department and 12% had been
hospitalised. More than 80% of respondents (overall, and among those with a
history of exacerbations) considered their asthma to be controlled. Of those who
had an exacerbation requiring oral steroids, 75% regarded their asthma as not
serious. CONCLUSIONS: Asthma control in Europe remains poor; symptoms and
exacerbations are common. Many patients regard their asthma as controlled and not
serious despite experiencing symptoms and exacerbations. There is a need to
assess patients' control, risk and inhaler technique, and to ensure that patients
are prescribed, and take, appropriate treatments.
PMID- 24921986
TI - Synergistic plasmonic and photonic crystal light-trapping: architectures for
optical up-conversion in thin-film solar cells.
AB - We demonstrate, numerically, that with a 60 nanometer layer of optical up
conversion material, embedded with plasmonic core-shell nano-rings and placed
below a sub-micron silicon conical-pore photonic crystal it is possible to absorb
sunlight well above the Lambertian limit in the 300-1100 nm range. With as little
as 500 nm, equivalent bulk thickness of silicon, the maximum achievable photo
current density (MAPD) is about 36 mA/cm2, using above-bandgap sunlight. This
MAPD increases to about 38 mA/cm2 for one micron of silicon. Our architecture
also provides solar intensity enhancement by a factor of at least 1400 at the sub
bandgap wavelength of 1500 nm, due to plasmonic and photonic crystal resonances,
enabling a further boost of photo-current density from up-conversion of sub
bandgap sunlight. With an external solar concentrator, providing 100 suns, light
intensities sufficient for significant nonlinear up-conversion can be realized.
Two-photon absorption of sub-bandgap sunlight is further enhanced by the large
electromagnetic density of states in the photonic crystal at the re-emission
wavelength near 750 nm. It is suggested that this synergy of plasmonic and
photonic crystal resonances can lead to unprecedented power conversion efficiency
in ultra-thin-film silicon solar cells.
PMID- 24921987
TI - Hexagonal sphere gratings for enhanced light trapping in crystalline silicon
solar cells.
AB - Enhanced absorption of near infrared light in silicon solar cells is important
for achieving high conversion efficiencies while reducing the solar cell's
thickness. Hexagonal gratings on the rear side of solar cells can achieve such
absorption enhancement. Our wave optical simulations show photocurrent density
gains of up to 3 mA/cm2 for solar cells with a thickness of 40 um and a planar
front side. Hexagonal sphere gratings have been fabricated and optical
measurements confirm the predicted absorption enhancement. The measured
absorption enhancement corresponds to a photocurrent density gain of 1.04 mA/cm2
for planar wafers with a thickness of 250 um and 1.49 mA/cm2 for 100 um.
PMID- 24921988
TI - Optical absorption enhancement in 3D silicon oxide nano-sandwich type solar cell.
AB - Recent research in the field of photovoltaic and solar cell fabrication has shown
the potential to significantly enhance light absorption in thin-film solar cells
by using surface texturing and nanostructure coating techniques. In this paper,
for the first time, we propose a new method for nano sandwich type thin-film
solar cell fabrication by combining the laser amorphization (2nd solar cell
generation) and laser nanofibers generation (3rd solar cell generation)
techniques. In this novel technique, the crystalline silicon is irradiated by
megahertz frequency femtosecond laser pulses under ambient conditions and the
multi-layer of amorphorized silicon and nano fibrous layer are generated in the
single-step on top of the silicon substrate. Light spectroscopy results show
significant enhancement of light absorption in the generated multi layers solar
cells (Silicon Oxide nanofibers / thin-film amorphorized silicon). This method is
single step and no additional materials are added and both layers of the
amorphorized thin-film silicon and three-dimensional (3D) silicon oxide
nanofibrous structures are grown on top of the silicon substrate after laser
irradiation. Finally, we suggest how to maximize the light trapping and optical
absorption of the generated nanofibers/thin-film cells by optimizing the laser
pulse duration.
PMID- 24921989
TI - Photovoltaic electrical properties of aqueous grown ZnO antireflective
nanostructure on Cu(In,Ga)Se2 thin film solar cells.
AB - A solution-grown subwavelength antireflection coating has been investigated for
enhancing the photovoltaic efficiency of thin film solar cells. The 100-nm-height
ZnO nanorods coating benefited the photocurrent of Cu(In,Ga)Se2 solar cells from
31.7 to 34.5 mA/cm2 via the decrease of surface light reflectance from 14.5% to
7.0%, contributed by the gradual refractive index profile between air and AZO
window layer. The further reduction of surface reflectance to 2.3% in the case of
540-nm-height nanorods, yet, lowered the photocurrent to 29.5 mA/cm2, attributed
to the decrease in transmittance. The absorption effect of hydrothermal grown ZnO
nanorods was explored to optimize the antireflection function in enhancing
photovoltaic performances.
PMID- 24921990
TI - A sensor-less LED dimming system based on daylight harvesting with BIPV systems.
AB - Artificial lighting in office buildings typically requires 30% of the total
energy consumption of the building, providing a substantial opportunity for
energy savings. To reduce the energy consumed by indoor lighting, we propose a
sensor-less light-emitting diode (LED) dimming system using daylight harvesting.
In this study, we used light simulation software to quantify and visualize
daylight, and analyzed the correlation between photovoltaic (PV) power generation
and indoor illumination in an office with an integrated PV system. In addition,
we calculated the distribution of daylight illumination into the office and
dimming ratios for the individual control of LED lights. Also, we were able
directly to use the electric power generated by PV system. As a result, power
consumption for electric lighting was reduced by 40 - 70% depending on the season
and the weather conditions. Thus, the dimming system proposed in this study can
be used to control electric lighting to reduce energy use cost-effectively and
simply.
PMID- 24921991
TI - Design of wide-angle selective absorbers/emitters with dielectric filled metallic
photonic crystals for energy applications.
AB - The design and simulation of a wide angle, spectrally selective absorber/emitter
metallic photonic crystal (MPhC) is presented. By using dielectric filled
cavities, the angular, spectrally selective absorption/emission of the MPhC is
dramatically enhanced over an air filled design by minimizing diffraction losses.
Theoretical analysis is performed and verified via rigorous coupled wave analysis
(RCWA) based simulations. An efficiency comparison of the dielectric filled
designs for solar thermophotovoltaic applications is performed for the absorber
and emitter which yields a 7% and 15.7% efficiency improvement, respectively,
compared to air filled designs. The converted power output density is also
improved by 33.5%.
PMID- 24921992
TI - Enhancements of the emission and light extraction of a radiating dipole coupled
with localized surface plasmon induced on a surface metal nanoparticle in a light
emitting device.
AB - The radiated power enhancement and more congregated radiation of a radiating
dipole within a GaN material when it is coupled with the localized surface
plasmon (LSP) resonance modes induced on a surface Ag nanoparticle (NP) are
numerically demonstrated. The numerical study is based on an algorithm including
the induction of LSP resonance on the Ag NP by the source dipole and the feedback
effect of the LSP resonance field on the source dipole behavior. The spectral
peaks of radiated power enhancement correspond to the substrate LSP resonance
modes with mode fields mainly distributed around the bottom of the Ag NP such
that the coupling system radiates mainly into the GaN half-space. By moving the
radiating dipole laterally away from the bottom of the Ag NP, the spectral peaks
of radiated power enhancement red shift and their levels diminish with increasing
lateral distance. The radiation patterns in the GaN half-space show more
congregated radiation around the vertical direction, indicating that the light
extraction efficiency can be enhanced in an LSP-coupled light-emitting device
with surface metal NPs.
PMID- 24921993
TI - Suppressing lossy-film-induced angular mismatches between reflectance and
transmittance extrema: optimum optical designs of interlayers and AR coating for
maximum transmittance into active layers of CIGS solar cells.
AB - The investigation of optimum optical designs of interlayers and antireflection
(AR) coating for achieving maximum average transmittance (T(ave)) into the CuIn(1
x)Ga(x)Se2 (CIGS) absorber of a typical CIGS solar cell through the suppression
of lossy-film-induced angular mismatches is described. Simulated-annealing
algorithm incorporated with rigorous electromagnetic transmission-line network
approach is applied with criteria of minimum average reflectance (R(ave)) from
the cell surface or maximum T(ave) into the CIGS absorber. In the presence of one
MgF2 coating, difference in R(ave) associated with optimum designs based upon the
two distinct criteria is only 0.3% under broadband and nearly omnidirectional
incidence; however, their corresponding T(ave) values could be up to 14.34%
apart. Significant T(ave) improvements associated with the maximum-T(ave)-based
design are found mainly in the mid to longer wavelengths and are attributed to
the largest suppression of lossy-film-induced angular mismatches over the entire
CIGS absorption spectrum. Maximum-T(ave)-based designs with a MgF2 coating
optimized under extreme deficiency of angular information is shown, as opposed to
their minimum-R(ave)-based counterparts, to be highly robust to omnidirectional
incidence.
PMID- 24921994
TI - Performance of GaN-based light-emitting diodes fabricated using GaN epilayers
grown on silicon substrates.
AB - Light extraction of GaN-based light-emitting diodes grown on Si(111) substrate
(GaN-on-Si based LEDs) is presented in this study. Three different designs of GaN
on-Si based LEDs with the lateral structure, lateral structure on mirror/Si(100)
substrate, and vertical structure on mirror/Si(100) substrate were epitaxially
grown by metalorganic chemical vapor deposition and fabricated using chemical
lift-off and double-transfer techniques. Current-voltage, light output power, far
field radiation patterns, and electroluminescence characteristics of these three
LEDs were discussed. At an injection current of 700 mA, the output powers of LEDs
with the lateral structure on mirror/Si(100) substrate and vertical structure on
mirror/Si(100) substrate were measured to be 155.07 and 261.07 mW, respectively.
The output powers of these two LEDs had 70.63% and 187.26% enhancement compared
to that of LED with the lateral structure, respectively. The result indicated
this vertical structure LED was useful in improving the light extraction due to
an enhancement in light scattering efficiency while the high-reflection mirror
and diffuse surfaces were employed.
PMID- 24921995
TI - Index-matched IWKB method for the measurement of spatially varying refractive
index profiles within thin-film photovoltaics.
AB - In many thin-film photovoltaic devices, the photoactive layer has a spatially
varying refractive index in the substrate-normal direction, but measurement of
this variation with high spatial resolution is difficult due to the thinness of
these layers (typically 200 nm for organic photovoltaics). We demonstrate a new
method for reconstructing the depth-dependent refractive-index profile with high
spatial resolution (~10 nm at a wavelength of 500 nm) in thin (200 nm)
photoactive layers by depositing a relatively thick index-matched layer (1-10
MUm) adjacent to the photoactive layer and applying the Inverse Wentzel-Kramers
Brillouin (IWKB) method. This novel technique, which we refer to as index-matched
IWKB (IM-IWKB), is applicable to any thin film, including the photoactive layers
of a broad range of thin-film photovoltaics.
PMID- 24921996
TI - Photoelectrochemical activity on Ga-polar and N-polar GaN surfaces for energy
conversion.
AB - Hydrogen generation through direct photoelectrolysis of water was studied using
photoelectrochemical cells made of different facets of free-standing polar GaN
system. To build the fundamental understanding at the differences of surface
photochemistry afforded by the GaN {0001}and {000-1}polar surfaces, we correlated
the relationship between the surface structure and photoelectrochemical
performance on the different polar facets. The photoelectrochemical measurements
clearly revealed that the Ga-polar surface had a more negative onset potential
relative to the N-polar surface due to the much negative flat-band potential. At
more positive applied voltages, however, the N-polar surface yielded much higher
photocurrent with conversion efficiency of 0.61% compared to that of 0.55% by
using the Ga-polar surface. The reason could be attributed to the variation in
the band structure of the different polar facets via Mott-Schottky analyses.
Based on this work, understanding the facet effect on photoelectrochemical
activity can provide a blueprint for the design of materials in solar hydrogen
applications.
PMID- 24921997
TI - Experimental measurements of a prototype high concentration Fresnel lens CPV
module for the harvesting of diffuse solar radiation.
AB - A prototype concentrator photovoltaic (CPV) module with high solar concentration,
an added low-cost solar cell, and an adjoining multi-junction solar cell is
fabricated and experimentally demonstrated. In the present CPV module, the low
cost solar cell captures diffuse solar radiation penetrating the concentrator
lens and the multi-junction cell captures concentrated direct solar radiation. On
sun test results show that the electricity generated by a Fresnel lens-based CPV
module with an additional crystalline silicon solar cell is greater than that for
a conventional CPV module by a factor of 1.44 when the mean ratio of diffuse
normal irradiation to global normal irradiation at the module aperture is 0.4.
Several fundamental optical characteristics are presented for the present module.
PMID- 24921998
TI - Monte Carlo study of PbSe quantum dots as the fluorescent material in luminescent
solar concentrators.
AB - In this paper, Monte Carlo simulations were performed to determine the potential
efficiencies of luminescent solar concentrator (LSC) systems using PbSe quantum
dots (QDs) as the active fluorescent material. The simulation results suggest
that PbSe QD LSCs display good absorption characteristics, but yield limited LSC
power conversion efficiency due to self-absorption and down-conversion loss. It
is proposed that the self-absorption loss can be reduced by utilizing Forster
resonance energy transfer between two different sizes of PbSe QDs, yielding
pronounced improvement in the optical efficiency of LSCs.
PMID- 24921999
TI - Laser-induced plasma generation and evolution in a transient spray.
AB - The behaviors of laser-induced plasma and fuel spray were investigated by
visualizing images with an ultra-high-speed camera. Time-series images of laser
induced plasma in a transient spray were visualized using a high-speed color
camera. The effects of a shockwave generated from the laser-induced plasma on the
evaporated spray behavior were investigated. The interaction between a single
droplet and the laser-induced plasma was investigated using a single droplet
levitated by an ultrasonic levitator. Two main conclusions were drawn from these
experiments: (1) the fuel droplets in the spray were dispersed by the shockwave
generated from the laser-induced plasma; and (2) the plasma position may have
shifted due to breakdown of the droplet surface and the lens effect of droplets.
PMID- 24922000
TI - Analytical solution for haze values of aluminium-induced texture (AIT) glass
superstrates for a-Si:H solar cells.
AB - Light scattering at randomly textured interfaces is essential to improve the
absorption of thin-film silicon solar cells. Aluminium-induced texture (AIT)
glass provides suitable scattering for amorphous silicon (a-Si:H) solar cells.
The scattering properties of textured surfaces are usually characterised by two
properties: the angularly resolved intensity distribution and the haze. However,
we find that the commonly used haze equations cannot accurately describe the
experimentally observed spectral dependence of the haze of AIT glass. This is
particularly the case for surface morphologies with a large rms roughness and
small lateral feature sizes. In this paper we present an improved method for haze
calculation, based on the power spectral density (PSD) function of the randomly
textured surface. To better reproduce the measured haze characteristics, we
suggest two improvements: i) inclusion of the average lateral feature size of the
textured surface into the haze calculation, and ii) considering the opening angle
of the haze measurement. We show that with these two improvements an accurate
prediction of the haze of AIT glass is possible. Furthermore, we use the new
equation to define optimum morphology parameters for AIT glass to be used for a
Si:H solar cell applications. The autocorrelation length is identified as the
critical parameter. For the investigated a-Si:H solar cells, the optimum
autocorrelation length is shown to be 320 nm.
PMID- 24922001
TI - Investigation of optical absorptance of one-dimensionally periodic silicon
gratings as solar absorbers for solar cells.
AB - A rigorous design using periodic silicon (Si) gratings as absorbers for solar
cells in visible and near-infrared regions is numerically presented. The
structure consists of a subwavelength Si grating layer on top of an Si substrate.
Ranges of grating dimensions are preliminary considered satisfying simple and
feasible fabrication techniques with an aspect ratio defined as the ratio of the
grating thickness (d) and the grating lamella width (w), with 0 < d/w < 1.0. The
subwavelength grating structure (SGS) is assumed to comprise different lamella
widths and slits within each period in order to finely tune the grating profile
such that the absorptance is significantly enhanced in the whole wavelength
region. The results showed that the compound SGS yields an average absorptance of
0.92 which is 1.5 larger than that of the Si plain and conventional grating
structures. It is shown that the absorptance spectrum of the proposed SGS is
insensitive to the angle of incidence of the incoming light. The absorptance
enhancement is also investigated by computing magnetic field, energy density, and
Poynting vector distributions. The results presented in this study show that the
proposed method based on nanofabrication techniques provides a simple and
promising solution to design solar energy absorbers or other energy harvesting
devices.
PMID- 24922002
TI - Photovoltaic effect in multiphase Bi-Mn-O thin films.
AB - We report an external solar power conversion efficiency of ~0.1% in Bi-Mn-O thin
films grown onto (111) oriented Niobium doped SrTiO3 (STO) single crystal
substrate by pulse laser deposition (PLD). The films contain BiMnO3 (BMO) and
Mn3O4 (MO) phases, which both grow epitaxially. The growth conditions were
tailored to obtain films with different Bi/Mn ratios. The films were subsequently
illuminated under a sun simulator (AM 1.5 G). We find that the Bi/Mn ratio in the
film affects the magnitude of the photo induced voltage and photocurrent and
therefore the photovoltaic conversion efficiency. Specifically, a higher Bi/Mn
ratio (towards unity) in the film increases the power conversion efficiency. This
effect is described in terms of a more favorable energy band alignment of the
film/substrate hetero-structure junction, which controls photo carrier
separation.
PMID- 24922003
TI - Breakdown plasma and vortex flow control for laser ignition using a combination
of nano- and femto-second lasers.
AB - The breakdown plasma and successive flow leading to combustion are controlled by
the combination of a nano-second Nd:YAG laser and a femto-second Ti:Sapphire
(TiS) laser. The behaviors are captured by an intensified charged coupled device
(ICCD) camera and a high-speed schlieren optical system. The TiS laser determines
the initial position of the breakdown by supplying the initial electrons in the
optical axis of focusing YAG laser pulses. We show that the initial position of
the breakdown can be controlled by the incident position of the TiS laser. In
addition, the ignition lean limit of the flammable mixture changes depending on
the TiS laser incident position, which is influenced by hot gas distribution and
the flow in the flame kernel.
PMID- 24922004
TI - Optimization of generalized dielectric nanostructures for enhanced light trapping
in thin-film photovoltaics via boosting the local density of optical states.
AB - Recent work has shown that using a high-index cladding atop a lower-index
photovoltaic absorber enables absorption of light beyond the ergodic (4n2) limit.
In this paper, we propose a generalized optimization method for deriving optimal
geometries that allow for such enhancement. Specifically, we adapted the direct
binary-search algorithm to optimize a complex 2-D multi-layer structure with the
explicit goal of increasing photocurrent. We show that such an optimization
results in enhancing the local density of optical states in an ultra-thin
absorber, which forms a slot-waveguide geometry in the presence of a higher-index
overcladding. Numerical simulations confirmed optical absorption approaching 100%
and absorption-enhancement beyond the ergodic (4n2) limit for specific spectral
bands of interest. Our method provides a direct, intuitive and computationally
scalable approach for designing light-trapping nanostructures.
PMID- 24922005
TI - Antitumor efficacy of the anti-interleukin-6 (IL-6) antibody siltuximab in mouse
xenograft models of lung cancer.
AB - INTRODUCTION: Interleukin-6 (IL-6) can activate downstream signaling pathways in
lung cancer cells, such as the STAT3 pathway, and is reported to be produced by
tumor cells with activating EGFR mutations. We examined IL-6/STAT3 in lung cancer
tumor tissues and the effects of siltuximab, a neutralizing antibody to human IL
6, in mouse models of lung cancer. METHODS: IL-6 and STAT3 activation levels were
compared with tumor histology and presence of KRAS mutations in snap-frozen, non
small-cell lung cancer tumors. The effects of siltuximab alone or in combination
with erlotinib were examined in mouse xenograft models constructed using three
cell line xenograft models and one primary explant mouse model. We examined the
influence of cancer-associated fibroblasts (CAFs) on tumor growth and siltuximab
effects. RESULTS: IL-6 levels were higher in tumors of squamous cell versus
adenocarcinoma histology and were not associated with presence of KRAS mutations.
Tyrosine phosphorylation status of STAT3 did not correlate with tumor IL-6
levels. Serine phosphorylation of STAT3 was correlated with KRAS mutation status.
Both tumor and stromal cells contributed to total IL-6 within tumors. Siltuximab
had minimal effect as a single agent in xenografts with tumor cells alone;
however, in models coadministered with CAFs, siltuximab had more potent effects
on tumor inhibition. We observed no effects of combined erlotinib and siltuximab.
CONCLUSIONS: IL-6 is elevated in subsets of human NSCLCs, especially with
squamous cell histology. Tumors supported by stromal production of IL-6 seem to
be the most vulnerable to tumor growth inhibition by siltuximab.
PMID- 24922006
TI - A high content clonogenic survival drug screen identifies mek inhibitors as
potent radiation sensitizers for KRAS mutant non-small-cell lung cancer.
AB - INTRODUCTION: Traditional clonogenic survival and high throughput colorimetric
assays are inadequate as drug screens to identify novel radiation sensitizers. We
developed a method that we call the high content clonogenic survival assay (HCSA)
that will allow screening of drug libraries to identify candidate radiation
sensitizers. METHODS: Drug screen using HCSA was done in 96 well plates. After
drug treatment, irradiation, and incubation, colonies were stained with crystal
violet and imaged on the INCell 6000 (GE Health). Colonies achieving 50 or more
cells were enumerated using the INCell Developer image analysis software. A proof
of-principle screen was done on the KRAS mutant lung cancer cell line H460 and a
Custom Clinical Collection (146 compounds). RESULTS: Multiple drugs of the same
class were found to be radiation sensitizers and levels of potency seemed to
reflect the clinical relevance of these drugs. For instance, several PARP
inhibitors were identified as good radiation sensitizers in the HCSA screen.
However, there were also a few PARP inhibitors not found to be sensitizing that
have either not made it into clinical development, or in the case of BSI-201, was
proven to not even be a PARP inhibitor. We discovered that inhibitors of pathways
downstream of activated mutant KRAS (PI3K, AKT, mTOR, and MEK1/2) sensitized H460
cells to radiation. Furthermore, the potent MEK1/2 inhibitor tramenitib
selectively enhanced radiation effects in KRAS mutant but not wild-type lung
cancer cells. CONCLUSIONS: Drug screening for novel radiation sensitizers is
feasible using the HCSA approach. This is an enabling technology that will help
accelerate the discovery of novel radiosensitizers for clinical testing.
PMID- 24922007
TI - Synergistic antitumor activity of recombinant human Apo2L/tumor necrosis factor
related apoptosis-inducing ligand (TRAIL) in combination with carboplatin and
pemetrexed in malignant pleural mesothelioma.
AB - INTRODUCTION: Malignant pleural mesothelioma (MPM) is an aggressive, currently
incurable tumor with increasing incidence in industrialized countries. Tumor
necrosis factor-related, apoptosis-inducing ligand (TRAIL) is a member of the TNF
family, which induces cancer cell death through extrinsic apoptotic pathway,
while sparing normal cells. The aim of this study was to investigate the
antitumor activity of recombinant human Apo2L/TRAIL (dulanermin) in combination
with chemotherapy in MPM in vitro and in vivo. METHODS: In the present studies,
we employed a panel of MPM cell lines to test the antitumor activity of
recombinant human Apo2L/TRAIL (T) in combination with carboplatin and pemetrexed
(CP) in vitro and SCID mice. RESULTS: Results demonstrated a significant increase
of apoptosis in cell lines treated with CPT compared with those receiving CP or T
as single agents. This synergistic effect was dependent on the ability of CP to
increase the expression of the TRAIL receptors DR4 and DR5 in a p53 manner. The
CPT combination was also effective in blocking the growth of MPM cell lines in a
SCID mice preclinical model. CONCLUSIONS: CPT increases MPM cell death in vitro
and in vivo compared with CP. In vitro results suggest that chemotherapy
sensitizes MPM to TRAIL-dependent apoptosis through p53 activation and subsequent
upregulation of DRs.
PMID- 24922008
TI - Diagnostic performance of low-dose computed tomography screening for lung cancer
over five years.
AB - INTRODUCTION: Low-dose computed tomography (LD-CT) screening can reduce lung
cancer mortality; however, it is essential to improve nodule management
protocols. We analyze the performance of the diagnostic protocol of the
Continuous Observation of SMOking Subjects single-center screening study, after
long-term follow-up. METHODS: Between 2004 and 2005, 5203 asymptomatic high-risk
individuals (>=20 pack-years, aged 50 years or older) were enrolled to undergo
annual LD-CT for 5 years. Nodules 5 mm or smaller underwent repeat LD-CT a year
later. Nodules larger than 5.0 mm and 8.0 mm or smaller received LD-CT 3 to 6
months later. Nodules larger than 8.0 mm or growing underwent CT-positron
emission tomography. True positives were any stage prevalent lung cancer,
progressing nodules diagnosed at stage 1, localized multifocal cancer, or new
nodules diagnosed at any stage. False negatives were progressing nodules
diagnosed at stage >1. False positives were benign nodules resected surgically.
RESULTS: Compliance was 79% over 5 years; 175 primary lung cancers were detected
(0.76% per year), 136 (77.7%) were N0M0 and three were interval cancers. Eleven
second primary lung cancers were diagnosed. Resectability was 87.4%;
postoperative mortality 0.6%. Recall was 6.4% overall, 10.1% at baseline. False
negatives were 14 of 175 (8%). Protocol sensitivity was 158 of 175 (90%);
specificity 4994 of 5028 (99.4%); positive predictive value was 158 of 187
(84.5%); and negative predictive value was 4994 of 5016 (99.7%). Twenty-nine of
204 (14.2%) benign lesions were diagnosed surgically. Five-year overall and
cancer-specific survival were 78% (95% confidence interval, 72-84) and 82% (95%
confidence interval, 76%-88%) respectively. CONCLUSIONS: The performance of the
CT protocol was satisfactory with an acceptable number of benign lesions biopsied
surgically, low recall rate, and good oncological outcomes. However, interval and
advanced cancers, and misdiagnoses, need to be reduced, perhaps by risk modeling
and use of serum markers.
PMID- 24922009
TI - Sample features associated with success rates in population-based EGFR mutation
testing.
AB - INTRODUCTION: Epidermal growth factor receptor (EGFR) mutation testing has become
critical in the treatment of patients with advanced non-small-cell lung cancer.
This study involves a large cohort and epidemiologically unselected series of
EGFR mutation testing for patients with nonsquamous non-small-cell lung cancer in
a North American population to determine sample-related factors that influence
success in clinical EGFR testing. METHODS: Data from consecutive cases of
Canadian province-wide testing at a centralized diagnostic laboratory for a 24
month period were reviewed. Samples were tested for exon-19 deletion and exon-21
L858R mutations using a validated polymerase chain reaction method with 1% to 5%
detection sensitivity. RESULTS: From 2651 samples submitted, 2404 samples were
tested with 2293 samples eligible for analysis (1780 histology and 513 cytology
specimens). The overall test-failure rate was 5.4% with overall mutation rate of
20.6%. No significant differences in the failure rate, mutation rate, or mutation
type were found between histology and cytology samples. Although tumor
cellularity was significantly associated with test-success or mutation rates in
histology and cytology specimens, respectively, mutations could be detected in
all specimen types. Significant rates of EGFR mutation were detected in cases
with thyroid transcription factor (TTF)-1-negative immunohistochemistry (6.7%)
and mucinous component (9.0%). CONCLUSIONS: EGFR mutation testing should be
attempted in any specimen, whether histologic or cytologic. Samples should not be
excluded from testing based on TTF-1 status or histologic features. Pathologists
should report the amount of available tumor for testing. However, suboptimal
samples with a negative EGFR mutation result should be considered for repeat
testing with an alternate sample.
PMID- 24922010
TI - Combined analysis of V20, VS5, pulmonary fibrosis score on baseline computed
tomography, and patient age improves prediction of severe radiation pneumonitis
after concurrent chemoradiotherapy for locally advanced non-small-cell lung
cancer.
AB - INTRODUCTION: We aimed to develop a more accurate model for predicting severe
radiation pneumonitis (RP) after concurrent chemoradiotherapy for non-small-cell
lung cancer. METHODS: We retrospectively analyzed data from 122 patients with
locally advanced non-small-cell lung cancer treated with concurrent
chemoradiotherapy. Several dose-volume histogram metrics including absolute lung
volume spared from a 5 Gy dose (VS5) were analyzed for an association with RP
above NCI-CTC grade 3 (RP >= G3). Clinical factors including pulmonary fibrosis
score (PFS) and pulmonary emphysema score on baseline chest computed tomography
(CT) were also analyzed. RESULTS: Fourteen patients (11.4%) developed RP greater
than or equal to G3. On univariate analysis, all dose-volume histogram metrics,
sex, and PFS on baseline CT were significantly (p < 0.05) associated with
occurrence of RP greater than or equal to G3. Multivariate analysis revealed that
V20 greater than or equal to 26%, VS5 less than 1500 cc, age greater than or
equal to 68 years, and PFS on baseline CT greater than or equal to 2 were
significant risk factors. Thus, we defined a new predictive risk score (PRS) that
combines these factors. The cumulative incidence of RP greater than or equal to
G3 at 12 months were 0%, 7.8%, 26.6%, and 71.4% when the PRS was 0, 3-5, 6-8, and
9-14, respectively (p < 0.001). This PRS was superior at predicting RP than both
V20 and VS5 combined, or V20 alone by receiver operating characteristic analysis
(area under the curve, 0.888 versus 0.779 versus 0.678). CONCLUSIONS: V20, VS5,
age, and PFS on baseline CT are independent and significant risk factors for
occurrence of severe RP. Combining these factors may improve the predictability
of severe RP.
PMID- 24922011
TI - Patient-centered outcomes among lung cancer screening recipients with computed
tomography: a systematic review.
AB - INTRODUCTION: Lung cancer screening using low-dose computed tomography (LDCT) is
now widely recommended for adults who are current or former heavy smokers. It is
important to evaluate the impact of screening on patient-centered outcomes. Among
current and former smokers eligible for lung cancer screening, we sought to
determine the consequences of screening with LDCT, and subsequent results, on
patient-centered outcomes such as quality of life, distress, and anxiety.
METHODS: We searched the Cochrane Central Register of Controlled Trials and
Cochrane Database of Systematic Reviews (through the fourth Quarter 2012),
MEDLINE (2000 to May 31, 2013), reference lists of articles, and Scopus for
relevant English-language studies and systematic reviews. To evaluate the effect
of LDCT screening on patient-centered outcomes, we included only randomized
controlled trials (RCTs) involving asymptomatic adults. To evaluate the
association of particular results and/or recommendations from a screening LDCT
with patient-centered outcomes, we included results from RCTs as well as from
cohort studies. RESULTS: A total of 8215 abstracts were reviewed. Five
publications from two European RCTs and one publication from a cohort study
conducted in the United States met inclusion criteria. The process of LDCT lung
cancer screening was associated with short-term psychologic discomfort in many
people but did not affect distress, worry, or health-related quality of life.
False-positive results were associated with short-term increases in distress that
returned to levels that were similar to those among people with negative results.
Negative results were associated with short-term decreases in distress.
CONCLUSIONS: As lung cancer screening is implemented in the general population,
it will be important to evaluate its association with patient-centered outcomes.
People considering lung cancer screening should be aware of the possibility of
distress caused by false-positive results. Clinicians may want to consider
tailoring communication strategies that can decrease the distress associated with
these results.
PMID- 24922012
TI - Probing microstructural information of anisotropic scattering media using
rotation-independent polarization parameters.
AB - Polarization parameters contain rich information on the micro- and macro
structure of scattering media. However, many of these parameters are sensitive to
the spatial orientation of anisotropic media, and may not effectively reveal the
microstructural information. In this paper, we take polarization images of
different textile samples at different azimuth angles. The results demonstrate
that the rotation insensitive polarization parameters from rotating linear
polarization imaging and Mueller matrix transformation methods can be used to
distinguish the characteristic features of different textile samples. Further
examinations using both experiments and Monte Carlo simulations reveal that the
residue rotation dependence in these polarization parameters is due to the
oblique incidence illumination. This study shows that such rotation independent
parameters are potentially capable of quantitatively classifying anisotropic
samples, such as textiles or biological tissues.
PMID- 24922013
TI - Encrypted imaging based on algebraic implementation of double random phase
encoding.
AB - The security of important information captured by sensors and cameras is
currently a growing concern as information theft via techniques such as side
channel attacks become increasingly more prevalent. Double random phase encoding
(DRPE) is an optical encryption method based on optical Fourier transform that is
currently being used to implement secure coherent optical systems. In this paper,
we propose a new DRPE implementation for incoherent optical systems based on
integral photography that can be applied to "encrypted imaging (EI)" to optically
encrypt an image before it is captured by an image sensor. Because the proposed
incoherent DRPE is constituted from conventional DRPE by rewriting the optical
encryption via discretization and Euler's formula, its security level is the same
as that of conventional DRPE. The results of an experiment in which we encrypted
a plaintext image optically and then decrypted it numerically demonstrate that
our proposed incoherent optical security system is feasible.
PMID- 24922014
TI - Aerosols in coastal and inland areas in the equatorial African belt.
AB - Aerosols affect the climate directly through absorption and reflection of
sunlight back to space and indirectly by acting as cloud condensation nuclei.
This paper is based on more than three decades of satellite data (1979-1994 and
1996-2012) from total ozone mapping spectrometer (TOMS) and ozone monitoring
instrument (OMI), which have provided measurements of backscattered radiances in
the wavelength range from 331 to 380 nm. These data have been used to determine
the aerosol climatology and to investigate the influence of the aerosol index
(AI) on the ultraviolet index (UVI) in coastal land areas in Serrekunda (13.28
degrees N, 16.34 degrees W), The Gambia, and Dar-es-Salaam (6.8 degrees S, 39.26
degrees E), Tanzania, as well as in inland areas in Kampala (0.19 degrees N,
32.34 degrees E), Uganda. Heavy aerosol loadings were found to occur in the dry
seasons at all three locations. To reduce the influence of clouds, we disregarded
TOMS and OMI data for days during which the UV reflectivity was larger than 9%
and investigated the correlation of the AI with the UVI for the remaining days at
the three locations. We found a high correlation coefficient of 0.82 for
Serrekunda, but poor correlation for Kampala and Dar-es-Salaam. The average AI
for Serrekunda was found to be about three times higher than that for Kampala or
Dar-es-Salaam, and a positive trend was found for the AI in Kampala and Dar-es
Salaam, whereas a negative trend was found for the AI in Serrekunda.
PMID- 24922015
TI - itom: an open source metrology, automation, and data evaluation software.
AB - Modern optical sensors and measurement systems usually are a powerful combination
of optical elements, active hardware components like actuators or sensing devices
as well as a sophisticated control software and data evaluation algorithms. In
order to develop and operate such systems, it is necessary to have a flexible,
intuitive, and fast underlying software framework that also allows for rapid
prototyping of a sensor in a dynamic lab environment. This software must be able
to control and communicate with all necessary hardware devices and has to provide
all the highly performant evaluation, data, and image processing algorithms
required. In this publication, we want to present the open source measurement and
data evaluation software suite itom, which has been designed considering the
denoted requirements and whose development began in 2011.
PMID- 24922016
TI - Fabry-Perot-based Fourier-transform hyperspectral imaging allows multi-labeled
fluorescence analysis.
AB - We demonstrate the ability of our hyperspectral imaging device, based on a
scanning Fabry-Perot interferometer, to obtain a single hyper-image of a sample
marked with different fluorescent molecules, and to unambiguously discriminate
them by observing their spectral fingerprints. An experiment carried out with
cyanines, fluorescein, and quantum dots emitting in the yellow-orange region,
demonstrates the feasibility of multi-labeled fluorescence microscopy without the
use of multiple filter sets or dispersive means.
PMID- 24922017
TI - Optimization of fixture layouts of glass laser optics using multiple kernel
regression.
AB - We aim to build an integrated fixturing model to describe the structural
properties and thermal properties of the support frame of glass laser optics.
Therefore, (a) a near global optimal set of clamps can be computed to minimize
the surface shape error of the glass laser optic based on the proposed model, and
(b) a desired surface shape error can be obtained by adjusting the clamping
forces under various environmental temperatures based on the model. To construct
the model, we develop a new multiple kernel learning method and call it multiple
kernel support vector functional regression. The proposed method uses two layer
regressions to group and order the data sources by the weights of the kernels and
the factors of the layers. Because of that, the influences of the clamps and the
temperature can be evaluated by grouping them into different layers.
PMID- 24922018
TI - Mode-locked fiber lasers based on doped fiber arrays.
AB - We designed a new kind of mode-locked fiber laser based on fiber arrays, where
the central core is doped. A theoretical model is given for an all-fiber self
starting mode-locked laser based on this kind of doped fiber array. Two different
kinds of fiber lasers with negative dispersion and positive dispersion are
simulated and discussed. The stable mode-locked pulses are generated from initial
noise conditions by the realistic parameters. The process of self-starting mode
locking multipulse transition and the relationship between the energy of the
central core and the propagation distance of the pulses are discussed. Finally,
we analyze the difference between the averaged mode-locked laser and the discrete
mode-locked laser.
PMID- 24922019
TI - Temperature dependence of laser-induced micro/nanostructures for femtosecond
laser irradiation of silicon.
AB - The temperature dependence (from 25 degrees C to 350 degrees C) of laser-induced
micro/nanostructures for multiple linearly polarized femtosecond laser pulse
(pulse duration tau=35 fs, wavelength lambda=800 nm) irradiation of silicon in
air is studied experimentally. Distinct micro/nanostructures are fabricated at
elevated temperature. Low spatial frequency, laser-induced periodic ripple
structures (LSFL), which are perpendicular to the polarization of the laser beam,
are formed at all temperatures. Micrometer-size grooves, which are oriented
perpendicular to the LSFL ripples, have been observed in the central part of the
irradiated area above 150 degrees C. The threshold to fabricate the LSFL ripples
goes from 1.65 to 2 kJ/m2 while the temperature of the substrate increases from
25 degrees C to 350 degrees C. The possible mechanism of the temperature
dependence of the micro/nanostructure generation is also discussed. These results
demonstrate that temperature is an important parameter to be tuned to tailor the
micro/nanostructure fabrication.
PMID- 24922020
TI - Performance analysis of pupil-matching optical differential receivers in space-to
ground laser communication.
AB - In the paper, the principle and structure of a pupil-matching optical
differential receiver consisting of double 4f confocal lens groups is introduced
to overcome atmosphere turbulences in space-to-ground laser communication. Using
the scalar diffraction theory, a systematic analysis of 4f lens groups is
formulated mathematically. Based on Seidel aberration, lens aberrations produced
by the inherent unideal lens and mutual alignment errors of double 4f lens groups
primarily caused by relative axial displacement of the foci and vertical position
change of the optical axes are studied mathematically and detailed. Under the
effects of varying aberrations on the double 4f lens groups, we evaluate the
performance of this receiving system by the model of power penalty for a given
10(-9) bit error ratio. Simulated results of the relationship between power
penalty and the different root-mean-square errors are concluded in order to put
forward the requirement of machining precision of individual components. That
will be helpful in optimizing the design of these groups in the optical receiver.
PMID- 24922021
TI - Role of dynamic effects in the characterization of multilayers by means of power
spectral density.
AB - In this paper, we present measurements of angle- and wavelength-resolved diffuse
scattering of EUV radiation on a Mo/Si multilayer. Our sample is optimized for
high reflectivity at 13.5 nm wavelength near-normal incidence. We present a
rigorous theoretical analysis of the off-specular EUV scattering on the basis of
the distorted-wave Born approximation. We prove that the determination of the
interface roughness power spectral density (PSD) is only possible by considering
geometry-dependent and dynamic contributions. The scattering from multilayer
mirrors leads to an intrinsic enhancement in off-specular intensity independent
of roughness properties. The thickness oscillations in the scattering intensity
(Kiessig fringes) are found to cause additional dynamic enhancement in analogy to
Bragg-like peaks for grazing incidence geometry. Considering these effects, the
interface PSD is consistently determined.
PMID- 24922022
TI - Easy-aligned off-axis three-mirror system with wide field of view using freeform
surface based on integration of primary and tertiary mirror.
AB - An off-axis three-mirror system (OTS) was designed based on the primary mirror
and tertiary mirror (TM) integrated on a single substrate in order to solve the
OTS drawbacks, such as the alignment difficulty and the large opto-mechanical
weight. Furthermore, an optical freeform surface that can increase the optimizing
degrees of freedom (DOF) was applied on the TM in order to achieve a wide field
of view (FOV). An example with a focal length of 1200 mm, F-number of 12, and FOV
of 10 degrees *4 degrees was given, and the maximum wave front error (WFE) RMS
was 0.0126lambda, indicating a good imaging quality. The design result shows that
the number of alignment DOF was reduced from 12 to 6, and the weight of the
mirror support assembly can also be lighter. An XY polynomial, established as an
even function of x, was employed as the TM surface, so we obtained an axial
symmetrical imaging quality about the x axis, and the axial symmetry aberration
performance also brings considerable convenience to alignment and testing for the
OTS.
PMID- 24922023
TI - Standoff two-color quantum ghost imaging through turbulence.
AB - Recently, a two-color quantum ghost imaging configuration was proposed by
Karmakar et al. [Phys. Rev. A81, 033845 (2010)]. By illuminating an object
located far away from the source and detector, with a signal beam of long
wavelength to avoid absorption of short wavelengths in the atmosphere while a
reference beam of short wavelength is detected locally, this imaging
configuration can be appropriate for standoff sensing. In practice, the signal
beam must propagate through atmosphere in the presence of serious turbulence. We
analyzed theoretically the performance of this ghost imaging configuration
through turbulence. Based on the Gaussian state source model and extended Huygens
Fresnel integral, a formula is derived to depict the ghost image formed through
turbulence of a standoff reflective object. Numerical calculations are also given
according to the formula. The results show that the image quality will be
degraded by the turbulence, but the resolution can be improved by means of
optimizing the wavelengths of the reference and signal beams even when the
turbulence is very serious.
PMID- 24922024
TI - Analytical description of interference between two misaligned and mismatched
complete Gaussian beams.
AB - A typical application for laser interferometers is a precision measurement of
length changes that results in interferometric phase shifts. Such phase changes
are typically predicted numerically, due to the complexity of the overlap
integral that needs to be solved. In this paper we will derive analytical
representations of the interferometric phase and contrast (aka fringe visibility)
for two beam interferometers, both homodyne and heterodyne. The fundamental
Gaussian beams can be arbitrarily misaligned and mismatched to each other. A
limitation of the analytical result is that both beams must be detected
completely, which can experimentally be realized by a sufficiently large single
element photodetector.
PMID- 24922025
TI - Bistability and all-optical flip-flop with active microring resonator.
AB - In this work, we study the bistability of an active nonlinear microring resonator
and design a flip-flop based on the active microring resonator. In the presence
of nonlinear and linear loss, we use Er-doped gain medium in the microring to
obtain gain to compensate for the loss of the resonator. Both analytical and
numerical methods are used to solve the propagation in the microring with double
couplers, and we obtain the hysteresis loops of the microring. The results
obtained by the two methods reveal that, in the presence of nonlinearity in
microring resonators, the system exhibits bistability, and the gain in the
microring leads to a decrease of the bias power when the active microring is
taken as a bistable switcher. Basing on the bistability of the microring, we
realize a set-reset flip-flop by adding a positive or negative feedback onto the
bias. We also find that the duration of the set and reset pulses must exceed the
field buildup time of the microring if we want to achieve the switching of the
bias signal. In our design, the duration time is about 2 ps.
PMID- 24922026
TI - Residual dispersion compensation over the S + C + L + U wavelength bands using
highly birefringent octagonal photonic crystal fiber.
AB - An octagonal photonic crystal fiber (PCF) with an elliptical shape in the center
core is numerically investigated for residual dispersion compensation in the
wavelength range 1460-1675 nm. The designed fiber exhibits flattened negative
dispersion over the S + C + L + U wavelength bands and an average dispersion of
465.5 ps/(nm.km) with an absolute dispersion variation of 10.5 ps/(nm.km). In
addition, the proposed PCF shows a high birefringence of 2.68*10(-2) at the
operating wavelength 1550 nm, which meets the requirement of high birefringence.
Moreover, the variation of two air holes in the first ring up to 5% ensures an
average dispersion of -491.5 ps/(nm.km) with a dispersion variation of 13
ps/(nm.km), and birefringence reaches up to 3*10(-2). Furthermore, to evaluate
the sensitivity of the fiber dispersion properties, +/-5% variation in the
optimum parameters is studied.
PMID- 24922027
TI - Photoluminescence characterization of KH2PO4 crystal: application to three
dimensional growth-sector identification.
AB - In this work, rapidly grown KH2PO4 (KDP) crystals extracted from the prismatic
and the pyramidal growth sectors of crystal boules were analyzed using
photoluminescence measurements. From the spectra, we deduced a robust criterion
to discriminate between both growth sectors in an unknown KDP plate. Moreover,
spatially resolved photoluminescence was shown to enable a local probing of
different planes in the bulk of the material leading to accurate and
nondestructive three-dimensional mapping of the sector boundary, which is often
the weakest point in terms of laser-damage resistance in rapidly grown KDP
crystals.
PMID- 24922028
TI - Determination of the surface form error of a spherical mirror with phase shifting
Sagnac interferometer.
AB - A polarization Sagnac interferometer (SI) is used to produce two laterally
separated, identical, convergent emergent beams with linear orthogonal
polarizations. The emergent p-polarized and s-polarized beams converge toward
their respective focal points. The test and reference spherical mirrors are
placed at confocal positions with respect to the s and p focal points so as to
normally reflect back the test and reference beams through the SI that recombines
the test and reference waves. Polarization phase shifting interferometry is
applied to obtain the surface form error of the test surface with respect to the
reference surface. A two-step measurement procedure eliminates the system
aberrations. Results obtained for a concave spherical test surface with respect
to a convex spherical reference surface are presented. The optical configuration
is relatively less susceptible to external mechanical vibration.
PMID- 24922029
TI - Corrective finishing of extreme ultraviolet photomask blanks by precessed bonnet
polisher.
AB - The progressive transition from Excimer to extreme ultraviolet (EUV) lithography
is driving a need for flatter and smoother photomask blanks. It is, however,
proving difficult to meet the next-generation specification with the conventional
chemical mechanical polishing technology commonly used for finishing photomask
blanks. This paper reports on the application of subaperture computer numerical
control precessed bonnet polishing technology to the corrective finishing of
photomask substrates for EUV lithography. Full-factorial analysis was used to
identify process parameters capable of delivering microroughness below 0.5 nm rms
while retaining relatively high removal rates. Experimental results show that
masks prepolished to 300-600 nm peak-to-valley (P-V) flatness by
chemical/mechanical polishing can then be improved down to 50-100 nm P-V flatness
using the automated technology described in this paper. A series of edge
polishing experiments also hints at the possibility of increasing the quality
area beyond the 142 mm square defined in the official EUV photomask
specification.
PMID- 24922030
TI - High-repetition-rate and short-pulse-width electro-optical cavity-dumped
YVO4/Nd:GdVO4 laser.
AB - In this paper, an electro-optical cavity-dumped 1.06 MUm laser using
YVO4/Nd:GdVO4 composite crystal under 808 nm diode-laser pumping was reported.
Theoretical calculations showed that the temperature distribution in
YVO4/Nd:GdVO4 crystal was lower than that in GdVO4/Nd:GdVO4 and Nd:GdVO4 crystals
under the same conditions. A constant 3.8+/-0.3 ns pulse width was obtained and
the repetition rate could reach up to 50 kHz with a maximum average output power
of 5.6 W and slope efficiency of 40.7%, corresponding to a peak power of 31.1 kW.
PMID- 24922031
TI - Derivative matrices of a skew ray for spherical boundary surfaces and their
applications in system analysis and design.
AB - In a previous paper [Appl. Opt.52, 4151 (2013)], we presented the first- and
second-order derivatives of a ray for a flat boundary surface to design prisms.
In this paper, that scheme is extended to determine the Jacobian and Hessian
matrices of a skew ray as it is reflected/refracted at a spherical boundary
surface. The validity of the proposed approach as an analysis and design tool is
demonstrated using an axis-symmetrical system for illustration purpose. It is
found that these two matrices can provide the search direction used by existing
gradient-based schemes to minimize the merit function during the optimization
stage of the optical system design process. It is also possible to make the
optical system designs more automatic, if the image defects can be extracted from
the Jacobian and Hessian matrices of a skew ray.
PMID- 24922032
TI - Three-dimensional inline inspection for substrate warpage and ball grid array
coplanarity using stereo vision.
AB - We present a method for full-field 3D measurement of substrate warpage and ball
grid array coplanarity, which is suitable for inline back-end inspection and
process monitoring. For evaluating the performance of the proposed system, the
linearity between our system and a reference confocal microscope is studied by
repeating measurements 35 times with a particular substrate sample (38 mm*28.5
mm). The point-to-point correlation coefficient with 1sigma between two methods
is 0.968+/-0.002, and the 2sigma difference is 25.15+/-0.20 MUm for warpage
measurement. 1sigma repeatability of the substrate warpage is 4.2 MUm. For BGA
coplanarity inspection the bump level correlation coefficient is 0.957+/-0.001
and the 2sigma difference is 28.79+/-0.14 MUm. 1sigma repeatability of BGA
coplanarity is 3.7 MUm. Data acquisition takes about 0.2 s for full field
measurements.
PMID- 24922033
TI - Investigation of pitch and angle in the gradual-triangle lenticular lens for
point-blank LED fog lamp.
AB - The effects of different pitch and angle of gradual-triangle lenticular lens for
the point-blank LED fog lamp were investigated under the standard of ECE R19. The
novel LED fog lamp was assembled from a point-blank LED light source, a parabolic
reflector, and a gradual-triangle lenticular lens. Light tracing analysis was
used for the design of the gradual-triangle lenticular lens. The pitch, which
varied from 1 to 6 mm, and the apex angle, which changed from 5 to 32 deg, were
both investigated in regard to the gradual-triangle lenticular lens. The optimum
pitch was 5 mm, and the efficiency of the lamp system and lenticular lens could
reach 93% and 98.1% by simulation, respectively. The results of experiment had
over 94%, which is similar to that of simulation by normalized cross correlation
(NCC) for the light intensity.
PMID- 24922034
TI - Improved algorithm based on a fiber loop: applications for optical water vapor
sensor.
AB - An improved algorithm based on a fiber loop optical structure is proposed for
measurement of water vapor concentration. Compared with the traditional
algorithm, the intrinsic loss of optical devices in the loop is no longer
necessary to be accurately measured but instead is directly eliminated by
normalization. The concentration of the analyte can be determined by only two
pulses without the need for exponential curve fitting, which leads to a
significant reduction in the amount of data required to make a measurement. The
restriction on the inherent loss of the fiber loop system also can be eased. In
addition, a background absorption problem caused by the water vapor existing
inside internal end-face gaps of optical components is solved. The mean absolute
error of 29 parts per million by volume has been achieved with a 6.5 cm gas gap
by using the new algorithm.
PMID- 24922035
TI - Simultaneous measurement of phase and local orientation of linearly polarized
light: implementation and measurement results.
AB - Optical components manipulating both polarization and phase of wave fields find
many applications in today's optical systems. With modern lithography methods it
is possible to fabricate optical elements with nanostructured surfaces from
different materials capable of generating spatially varying, locally linearly
polarized-light distributions, tailored to the application in question. Since
such elements in general also affect the phase of the light field, the
characterization of the function of such elements consists in measuring the phase
and the polarization of the generated light, preferably at the same time. Here,
we will present first results of an interferometric approach for a simultaneous
and spatially resolved measurement of both phase and polarization, as long as the
local polarization at any point is linear (e.g., for radially or azimuthally
polarized light).
PMID- 24922036
TI - Portable multispectral imaging system based on light-emitting diodes for spectral
recovery from 370 to 1630 nm.
AB - To expand and investigate the potential of spectral imaging, we developed a
portable multispectral system using light-emitting diodes. This system recovers
spectral information from the UV to the near IR over a large area using two
different image sensors synchronized with 23 bands of illumination. The system
was assessed for spectral reconstruction through simulations and experimental
measurements by means of two methods of spectral reconstruction and three
different evaluation metrics. The results over a Macbeth ColorChecker chart and
other samples, including pigments usually employed in art paintings, are compared
and discussed. The portable multispectral system using LEDs constitutes a cost
effective and versatile method for spectral imaging.
PMID- 24922037
TI - Approach to visualization of and optical sensing by Bloch surface waves in noble
or base metal-based plasmonic photonic crystal slabs.
AB - The Bloch surface wave resonance (SWR) was visualized with the aid of plasmon
absorption in a dielectric/metal/dielectric sandwich terminating a one
dimensional photonic crystal (PhC). An SWR peak in calculated spectra of such a
plasmonic photonic crystal (PPhC) slab comprising a noble or base metal layer was
demonstrated to be sensitive to a negligible variation of refractive index of a
medium adjoining to the slab. The considered structure of PPhC slabs can be of
practical importance because the metal layer is protected by a capping dielectric
layer from contact with analytes and, consequently, from deterioration. We found
that, in case of PPhC slabs, gold (the key element of the surface plasmon
resonance-based biosensors) can be replaced by other metals. The PPhC-based
sensors can be low-cost, reusable, and robust sensors having a sensitivity
surpassing that of the known optical sensors.
PMID- 24922038
TI - Observation of the waveguide resonance in a periodically patterned high
refractive index broadband antireflection coating.
AB - Grating waveguide structures have been prepared by the deposition of a high
refractive index broadband antireflection coating onto a patterned fused silica
substrate. Aluminum oxide and hafnium oxide as well as mixtures thereof have been
used as coating materials. Optical reflection measurements combined with atomic
force microscopy have been used to characterize the structures. Upon illumination
with a TE wave, the best structure shows a narrow reflection peak located at 633
nm at an incidence angle of about 17 degrees . The peak reflectance of that
sample accounts for more than 89%. Off-resonance interference structures appear
strongly suppressed in the spectrum between 450 and 800 nm because of the
characteristics of the designed antireflection layer. The structure thus
possesses a notch filter spectral characteristic in a broad spectral range.
PMID- 24922039
TI - Experimental verification of compressive reflectance field acquisition.
AB - We demonstrate compressive sensing (CS) of the eight-dimensional reflectance
field (RF), which describes spatial and angular information of light rays toward
and from an object. The RF is also known as the bidirectional scattering surface
reflectance distribution function. In this method, incident rays and reflected
rays to/from the object are modulated by variable coding masks, and the modulated
rays are multiplexed onto an image sensor. The images captured with multiple mask
patterns are decoded by a CS algorithm. The RF of the object was successfully
reconstructed from less than half of the number of measurements required with
conventional methods.
PMID- 24922040
TI - Evaluation of the Military Functional Assessment Program: Preliminary Assessment
of the Construct Validity Using an Archived Database of Clinical Data.
AB - BACKGROUND: Several important factors must be considered when deciding to return
a soldier to duty after a traumatic brain injury (TBI). Premature return
increases risk for not only second-impact syndrome during the acute phase but
also permanent changes from repetitive concussions. Thus, there is a critical
need for return-to-duty (RTD) assessment criteria that encompass the spectrum of
injury and disease experienced by US soldiers, particularly TBI. OBJECTIVES: To
provide evidence-based standards to eventually serve as criteria for operational
competence and performance of a soldier after injury. Specifically, the
relationships between clinical assessments and novel military-specific tasks were
evaluated. METHOD: Exploratory analyses (including nonparametric tests and
Spearman rank correlations) of an archived database. PARTICIPANTS: A total of 79
patients with TBI who participated in an RTD assessment program at a US Army
rehabilitation and recovery center. MAIN MEASURES: Military Functional Assessment
Program (to determine a soldier's operational competence and performance after
TBI) tasks; Dizziness Handicap Inventory; Dynamic Visual Acuity (vestibular
function); Sensory Organization Test (postural control); Repeatable Battery for
the Assessment of Neuropsychological Status (neuropsychological screening test);
Beck Depression Inventory-II; Beck Anxiety Inventory; Comprehensive Trail Making
Test (visual search and sequencing); posttraumatic stress disorder checklist
military version; Alcohol Use Disorders Identification Test; Epworth Sleepiness
Scale; Patient Health Questionnaire; and Military Acute Concussion Evaluation.
RESULTS: Selected military operational assessment tasks correlated significantly
with clinical measures of vestibular function, psychological well-being, and
cognitive function. Differences on occupational therapy assessments, a concussion
screening tool, and a self-report health questionnaire were seen between those
who passed and those who failed the RTD assessment. Specifically, those who
passed the RTD assessment scored more favorably on these clinical assessments.
CONCLUSIONS: This study demonstrated convergent validity between Military
Functional Assessment Program tasks and clinical assessment scores. The Military
Functional Assessment Program shows promise for augmenting decision making
related to RTD and soldier skills. Additional research is needed to determine the
effectiveness of this program in predicting RTD success.
PMID- 24922041
TI - The Relationship Between Postconcussive Symptoms and Quality of Life in Veterans
With Mild to Moderate Traumatic Brain Injury.
AB - OBJECTIVE: To assess the relationship between postconcussive symptoms and quality
of life (QOL) in Veterans with mild to moderate traumatic brain injury (TBI).
METHODS: Sixty-one Operation Enduring Freedom/Operation Iraqi Freedom/Persian
Gulf War Veterans with a history of mild or moderate TBI, more than 6 months
postinjury, and 21 demographically matched Veteran controls were administered
self-report measures of QOL (World Health Organization Quality of Life-BREF) and
postconcussive symptom severity (Neurobehavioral Symptom Inventory). RESULTS:
Perceived QOL was significantly worse in Veterans with mild-moderate TBI than in
controls. In the TBI group, QOL was predominantly associated with affective
symptoms, and moderate to strong correlations with fatigue and depression were
evident across all QOL areas. Multivariate analyses revealed depression and
fatigue to be the best predictors of Psychological, Social, and Environmental
QOL, whereas sleep difficulty best predicted Physical QOL in mild-moderate TBI.
CONCLUSION: Veterans with post-acute mild-moderate TBI evidence worse QOL than
demographically matched Veteran controls. Affective symptoms, and specifically
those of fatigue, depression, and sleep difficulty, appear to be the most
relevant postconcussive symptoms predicting QOL in this population. These
findings underscore the importance of examining specific symptoms as they relate
to post-acute TBI QOL and provide guidance for treatment and intervention
studies.
PMID- 24922042
TI - The Reliability of a Computer-Assisted Telephone Interview Version of the Ohio
State University Traumatic Brain Injury Identification Method.
AB - OBJECTIVES: Provide test-retest reliability (>5 months) of the Ohio State
University Traumatic Brain Injury Identification Method modified for use as a
computer-assisted telephone interview (CATI) to capture traumatic brain injury
(TBI) and other substantial bodily injuries among a representative sample of
noninstitutionalized adults living in Colorado. DESIGN: Four subsamples of 50
individuals, including people with no major lifetime injury, a major lifetime
injury but no TBI, TBI with no loss of consciousness, and TBI with loss of
consciousness, were interviewed using the CATI Ohio State University Traumatic
Brain Injury Identification Method between 6 and 18 months after an initial
interview. PARTICIPANTS: Stratified random sample of Coloradans (n = 200)
selected from a larger study of TBI. PRIMARY MEASURES: Cumulative, Severity and
Age-related indices were assessed for long-term reliability. Cumulative indices
were those that summed the total number of specific TBI severities across the
lifetime; Severity indices included measures of the most severe type of injury
incurred throughout the lifetime; and Age-related indices assessed the timing of
specific injury types across the lifespan. RESULTS: Test-retest reliabilities
ranged from poor to excellent. The indices demonstrating the greatest reliability
were Severity measures, with intraclass correlations for ordinal indices ranging
from 0.62 to 0.78 and Cohen kappa ranging from 0.50 to 0.62. One Cumulative
outcome demonstrated high reliability (0.70 for number of TBIs with loss of
consciousness >=30 minutes), while the remaining Cumulative outcomes demonstrated
low reliability, ranging from 0.06 to 0.21. Age-related test-retest reliabilities
were fair to poor, with intraclass correlations of 0.38 to 0.49 and Cohen kappa
of 0.32 and 0.34. CONCLUSION: The CATI-modified Ohio State University Traumatic
Brain Injury Identification Method used in this study is an effective measure for
evaluating the maximum TBI severity incurred throughout the lifetime within a
general population survey. The CATI measure is not recommended for use in
determining cumulative counts of lifetime incidence of TBI.
PMID- 24922044
TI - Safety and efficacy of first-generation and second-generation drug-eluting stents
in the setting of acute coronary syndromes.
AB - Drug-eluting stents (DESs) are known to reduce in-stent restenosis rate, compared
with bare metal stents (BMSs). Stent thrombosis, one of the most dangerous
complications of DES, has emerged as a major concern. This issue has limited the
use of DES in unstable coronary artery disease till recent years. In fact, acute
coronary syndrome (ACS) and the subsequent activation of the hemocoagulative
pathway could represent a prothrombotic environment, thus limiting the use of DES
in this situation. Nowadays, there is increasing evidence in literature of
similar stent thrombosis rates both for BMS and DES in ACS, and most
interventional cardiologists are confident with the use of DES in ACS. The aim of
this article is to review the current literature on this topic in order to
compare first-generation and second-generation DES vs. BMS as concerns safety and
efficacy.
PMID- 24922045
TI - Intracoronary optical coherence tomography: a review of clinical applications.
AB - Optical coherence tomography (OCT) is a light-based technology that provides very
high spatial resolution images. OCT has been initially employed as a research
tool to investigate plaque morphology and stent strut coverage. The introduction
of frequency domain OCT allowing fast image acquisition during a prolonged
contrast injection via the guiding catheter has made OCT applicable for guidance
of coronary interventions. In this manuscript, the various applications of OCT
are reviewed, from assessment of plaque vulnerability and severity to
characteristics of unstable lesions and thrombus burden to stent optimization and
evaluation of late results.
PMID- 24922043
TI - Global Outcome Trajectories After TBI Among Survivors and Nonsurvivors: A
National Institute on Disability and Rehabilitation Research Traumatic Brain
Injury Model Systems Study.
AB - OBJECTIVE: To compare long-term functional outcome trajectories of individuals
with traumatic brain injury (TBI) who survive with those who expire more than 5
years postinjury, using individual growth curve analysis. DESIGN: Secondary
analysis of data from a multicenter longitudinal cohort study. SETTING: Acute
inpatient rehabilitation facilities that are current or former TBI Model Systems.
PARTICIPANTS: Individuals 16 years and older with a primary diagnosis of TBI.
MAIN OUTCOME MEASURES: Glasgow Outcome Scale-Extended; Disability Rating Scale.
RESULTS: Individuals in the TBI Model Systems who expire several years after
injury demonstrate worse functional status at baseline and a steeper rate of
decline over time as measured by both the Glasgow Outcome Scale-Extended and the
Disability Rating Scale. There was significant variability in each growth
parameter (P < .05) for both instruments. A reduced model was built for each
outcome, including all covariates that related significantly to the growth
parameters. An interactive tool was created for each outcome to generate
individual-level trajectories based on various combinations of covariate values.
CONCLUSION: Individuals with TBI who die several years after injury demonstrate
functional trajectories that differ markedly from those of survivors.
Opportunities should be sought for health management interventions to improve
health and longevity after TBI.
PMID- 24922046
TI - Design of the rosuvastatin pretreatment to reduce embolization during Carotid
Artery Stenting trial.
AB - BACKGROUND: Carotid artery stenting (CAS) is a worldwide diffuse intervention,
but may be associated with distal plaque component embolization, and sometimes
major and minor stroke. Statin use has been demonstrated to reduce
atherosclerotic plaque burden, but its effect in reducing distal embolization
during carotid stenting has not yet been well validated. AIMS: With the
Rosuvastatin Pretreatment to Reduce Embolization during Carotid Artery Stenting
trial, we aim to discover if a pretreatement with high doses of rosuvastatin in
dyslipidemic patients is able to reduce periprocedural cerebral ischemic
complications following carotid stenting. METHODS: This is a phase III
prospective, randomized controlled trial. All consecutive patients with
asymptomatic carotid stenosis at least 80% will be randomized to a 6-week
rosuvastatin treatment followed by carotid stenting, and to direct carotid
stenting. Carotid stenting will be performed following common practice with
distal or proximal embolic protection. The primary efficacy end point of the
trial will be the prevalence of 'relevant' embolization during CAS, as a
surrogate end point for cerebral ischemic complications. Other laboratory and
clinical data will be registered and patients will be followed up to 1 year. In
order to obtain the expected superiority of statin pretreatment on primary end
point, a population of 130 patients will be enrolled into the study. CONCLUSION:
In conclusion, with the Rosuvastatin Pretreatment to Reduce Embolization during
Carotid Artery Stenting trial, we want to evaluate whether a high dose of
rosuvastatin for 6 weeks before CAS in asymptomatic patients with severe carotid
stenosis is able to reduce the rate of plaque embolization during the procedure,
thus suggesting a possible reduction in cerebral ischemic complications.
PMID- 24922047
TI - Emergency department mental health presentations by people born in refugee source
countries: an epidemiological logistic regression study in a Medicare Local
region in Australia.
AB - This study investigated if people born in refugee source countries are
disproportionately represented among those receiving a diagnosis of mental
illness within emergency departments (EDs). The setting was the Cities of Greater
Dandenong and Casey, the resettlement region for one-twelfth of Australia's
refugees. An epidemiological, secondary data analysis compared mental illness
diagnoses received in EDs by refugee and non-refugee populations. Data was the
Victorian Emergency Minimum Dataset in the 2008-09 financial year. Univariate and
multivariate logistic regression created predictive models for mental illness
using five variables: age, sex, refugee background, interpreter use and preferred
language. Collinearity, model fit and model stability were examined. Multivariate
analysis showed age and sex to be the only significant risk factors for mental
illness diagnosis in EDs. 'Refugee status', 'interpreter use' and 'preferred
language' were not associatedwith a mental health diagnosis following risk
adjustment forthe effects ofage and sex. The disappearance ofthe univariate
association after adjustment for age and sex is a salutary lesson for Medicare
Locals and other health planners regarding the importance of adjusting analyses
of health service data for demographic characteristics.
PMID- 24922048
TI - Seven years of patient-controlled epidural analgesia in a Swedish hospital: a
prospective survey.
AB - BACKGROUND: Epidural analgesia for postoperative pain relief needs to be
monitored regularly in order to evaluate benefits and avoid potential hazards.
OBJECTIVES: To evaluate efficacy and safety profile of a ward-based regimen for
postoperative epidural analgesia combining patient-controlled epidural analgesia
(PCEA) and continuous epidural infusion (CEI). DESIGN: We conducted a prospective
survey in all patients who received postoperative epidural analgesia between
March 2004 and February 2011. PATIENTS: We analysed 4663 patients undergoing
elective and acute surgery. SETTING: University hospital in Sweden. RESULTS: The
median level of catheter insertion ranged from T8 in high abdominal surgery to
T11 in gynaecological surgery. Mean infusion rate was 5.4 +/- 1.6 ml h and was
adjusted for age. Mean duration was 3.3 +/- 2.1 days. Eighty-seven percent of the
patients rated satisfaction 8 to 10 out of 10 on a post-treatment scale. Reasons
for termination were elective in 77.1%, due to inadequate pain relief in 11.4%,
due to suspected infection in 0.7% and due to other causes in 10.9%. Of the
latter, dislodgement of the catheter (4.0%) and leakage from the puncture site
(1.4%) dominated. Catheter reinsertion was performed in 5.3% of the patients, and
in 5.0%, the treatment was converted into an intravenous (i.v.) morphine patient
controlled analgesia regimen. The incidence of motor blockade was 1.7%, sedation
2.1%, nausea 12.8% and pruritus 18.8%. Bolus doses accounted for 16% of the total
infusion volume. No epidural haematoma was seen. One epidural abscess requiring
antibiotic treatment occurred. CONCLUSION: Our prospective survey indicates that
PCEA in combination with CEI is effective and relatively well tolerated. The
incidence of side effects is generally low and severe adverse events very rare.
PMID- 24922049
TI - Toxic and protective effects of inhaled anaesthetics on the developing animal
brain: systematic review and update of recent experimental work.
AB - BACKGROUND: Accumulating preclinical data indicate that neonatal exposure to
general anaesthetics is detrimental to the central nervous system. Some studies,
however, display potential protective effects of exactly the same anaesthetic
agents on the immature brain. The effects of inhaled anaesthetics on the
developing brain have received close attention from researchers, clinicians and
the public in recent decades. OBJECTIVES: To summarise the preclinical evidence
reported in the last 5 years on both the deleterious effects and the
neuroprotective potential in special indications, of inhaled anaesthetics on the
developing brain. DESIGN: A systematic review. DATA SOURCES: PubMed search
performed in June 2013. ELIGIBILITY CRITERIA: Search terms included brain,
development, inhaled anaesthetic, toxicity and protection within the scope of the
last 5 years with animals. The reference lists of relevant articles and recent
reviews were also hand-searched for additional studies. The type, dose and
exposure duration of anaesthetics, species and age of animals, histopathologic
indicators, outcomes and affected brain areas, neuro developmental test modules
and outcomes, as well as other outcomes and comments were summarised. RESULTS:
Two hundred and nineteen relevant titles were initially revealed. In total, 81
articles were identified, with 68 articles assessing the detrimental effects
induced by inhaled anaesthetics in the immature brain along with possible
treatments. The remaining 13 articles focused on the protective profile of
inhaled anaesthetics on perinatal hypoxic-ischaemic brain injury. Administration
of inhaled anaesthetic agents to the immature brain was shown to be deleterious
in several preclinical studies. In perinatal hypoxic-ischaemic brain injury
models, pre- and postconditioning of inhalational anaesthetics exerted
neuroprotective effects. CONCLUSION: The majority of studies have linked inhaled
anaesthetics to toxic effects in the neonatal brain of rodents, piglets and
primates. Only a few studies, however, could demonstrate long-lasting cognitive
impairment. The results of inhalational anaesthetic-induced neuroprotection in
perinatal hypoxic-ischaemic brain injury are a promising basis for more research
in this field. In general, prospective clinical trials are needed to further
differentiate the effects of inhaled anaesthetics on the immature brain.
PMID- 24922050
TI - Comparative assessment of ELISAs using recombinant saposin-like protein 2 and
recombinant cathepsin L-1 from Fasciola hepatica for the serodiagnosis of human
Fasciolosis.
AB - Two recombinant Fasciola hepatica antigens, saposin-like protein-2 (recSAP2) and
cathepsin L-1 (recCL1), were assessed individually and in combination in enzyme
linked immunosorbent assays (ELISA) for the specific serodiagnosis of human
fasciolosis in areas of low endemicity as encountered in Central Europe. Antibody
detection was conducted using ProteinA/ProteinG (PAG) conjugated to alkaline
phosphatase. Test characteristics as well as agreement with results from an ELISA
using excretory-secretory products (FhES) from adult stage liver flukes was
assessed by receiver operator characteristic (ROC) analysis, specificity,
sensitivity, Youdens J and overall accuracy. Cross-reactivity was assessed using
three different groups of serum samples from healthy individuals (n=20), patients
with other parasitic infections (n=87) and patients with malignancies (n=121).
The best combined diagnostic results for recombinant antigens were obtained using
the recSAP2-ELISA (87% sensitivity, 99% specificity and 97% overall accuracy)
employing the threshold (cut-off) to discriminate between positive and negative
reactions that maximized Youdens J. The findings showed that recSAP2-ELISA can be
used for the routine serodiagnosis of chronic fasciolosis in clinical
laboratories; the use of the PAG-conjugate offers the opportunity to employ, for
example, rabbit hyperimmune serum for the standardization of positive controls.
PMID- 24922051
TI - Negative example selection for protein function prediction: the NoGO database.
AB - Negative examples - genes that are known not to carry out a given protein
function - are rarely recorded in genome and proteome annotation databases, such
as the Gene Ontology database. Negative examples are required, however, for
several of the most powerful machine learning methods for integrative protein
function prediction. Most protein function prediction efforts have relied on a
variety of heuristics for the choice of negative examples. Determining the
accuracy of methods for negative example prediction is itself a non-trivial task,
given that the Open World Assumption as applied to gene annotations rules out
many traditional validation metrics. We present a rigorous comparison of these
heuristics, utilizing a temporal holdout, and a novel evaluation strategy for
negative examples. We add to this comparison several algorithms adapted from
Positive-Unlabeled learning scenarios in text-classification, which are the
current state of the art methods for generating negative examples in low-density
annotation contexts. Lastly, we present two novel algorithms of our own
construction, one based on empirical conditional probability, and the other using
topic modeling applied to genes and annotations. We demonstrate that our
algorithms achieve significantly fewer incorrect negative example predictions
than the current state of the art, using multiple benchmarks covering multiple
organisms. Our methods may be applied to generate negative examples for any type
of method that deals with protein function, and to this end we provide a database
of negative examples in several well-studied organisms, for general use (The NoGO
database, available at: bonneaulab.bio.nyu.edu/nogo.html).
PMID- 24922053
TI - S-oxygenation of thiocarbamides V: oxidation of tetramethylthiourea by chlorite
in slightly acidic media.
AB - The reaction between tetramethylthiourea (TTTU) and slightly acidic chlorite has
been studied. The reaction is much faster than comparable oxidations of the
parent thiourea compound as well as other substituted thioureas. The
stoichiometry of the reaction in excess oxidant showed a complete desulfurization
of the thiocarbamide to yield the corresponding urea and sulfate: 2ClO2(-) +
(Me2N)2C ? S + H2O -> (Me2N)2C ? O + SO4(2-) + 2Cl(-) + 2H(+). The reaction
mechanism is unique in that the most stable metabolite before formation of the
corresponding urea is the S-oxide. This is one of the rare occasions in which a
low-molecular-weight S-oxide has been stabilized without the aid of large steric
groups. ESI-MS data show almost quantitative formation of the S-oxide and
negligible formation of the sulfinic and sulfonic acids. TTTU, in contrast to
other substituted thioureas, can only stabilize intermediate oxoacids, before
formation of sulfate, in the form of zwitterions. With a stoichiometric excess of
TTTU over oxidant, the TTTU dimer is the predominant product. Chlorine dioxide,
which is formed from the reaction of excess chlorite and HOCl, is a very
important reactant in the overall mechanism. It reacts rapidly with TTTU to
reform ClO2(-). Oxidation of TTTU by chlorite has a complex dependence on acid as
a result of chlorous acid dissociation and protonation of the thiol group on TTTU
in high-acid conditions, which renders the thiol center a less effective
nucleophile.
PMID- 24922055
TI - Prevalence of trachoma in the north region of Cameroon: results of a survey in 15
health districts.
AB - BACKGROUND: To estimate the prevalence of trachoma in the North Region of
Cameroon in order to facilitate the planning of trachoma control activities in
this region, a survey was carried out in 2011 and 2012 in 15 health districts
(HDs). METHODOLOGY: A cross-sectional, two-stage cluster random sampling survey
was carried out. The survey focused on two target populations: children aged 1 to
9 years for the prevalence of Trachomatous Inflammation-Follicular (TF) and those
aged 15 and over for the prevalence of Trachomatous Trichiasis (TT). The sample
frame was an exhaustive list of villages and neighborhoods of HDs. The World
Health Organization simplified trachoma grading system was used for the
recognition and registration of cases of trachoma. PRINCIPAL FINDINGS: 30,562
children aged 1 to 9 years and 24,864 people aged 15 and above were examined. In
children aged 1-9 years, the overall prevalence of TF was 4.2% (95% confidence
intervals (CI): 4.0-4.5%). Three (3) of 15 HDs in the region showed TF prevalence
of >= 10% (Poli, Rey Bouba, and Tchollire). The overall TT prevalence was 0.25%
(95% CI: 0.20-0.33%). There were estimated 1265 TT cases in the region. The
prevalence of blindness was 0.01% (95% CI: 0.00-0.03%), low vision was 0.11% (95%
CI: 0.07-0.17%), and corneal opacity was 0.22% (95% CI: 0.17-0.29%).
CONCLUSIONS/SIGNIFICANCE: This survey provides baseline data for the planning of
activities to control trachoma in the region. The overall prevalence of TF in the
region is 4.2%, and that of TT is 0.2%; three HDs have a TF prevalence >= 10%.
These three HDs are eligible for mass drug administration with azythromycin,
along with the implementation of the "F" and "E" components of the SAFE strategy.
PMID- 24922054
TI - JNK controls the onset of mitosis in planarian stem cells and triggers apoptotic
cell death required for regeneration and remodeling.
AB - Regeneration of lost tissues depends on the precise interpretation of molecular
signals that control and coordinate the onset of proliferation, cellular
differentiation and cell death. However, the nature of those molecular signals
and the mechanisms that integrate the cellular responses remain largely unknown.
The planarian flatworm is a unique model in which regeneration and tissue renewal
can be comprehensively studied in vivo. The presence of a population of adult
pluripotent stem cells combined with the ability to decode signaling after
wounding enable planarians to regenerate a complete, correctly proportioned
animal within a few days after any kind of amputation, and to adapt their size to
nutritional changes without compromising functionality. Here, we demonstrate that
the stress-activated c-jun-NH2-kinase (JNK) links wound-induced apoptosis to the
stem cell response during planarian regeneration. We show that JNK modulates the
expression of wound-related genes, triggers apoptosis and attenuates the onset of
mitosis in stem cells specifically after tissue loss. Furthermore, in pre
existing body regions, JNK activity is required to establish a positive balance
between cell death and stem cell proliferation to enable tissue renewal,
remodeling and the maintenance of proportionality. During homeostatic degrowth,
JNK RNAi blocks apoptosis, resulting in impaired organ remodeling and rescaling.
Our findings indicate that JNK-dependent apoptotic cell death is crucial to
coordinate tissue renewal and remodeling required to regenerate and to maintain a
correctly proportioned animal. Hence, JNK might act as a hub, translating wound
signals into apoptotic cell death, controlled stem cell proliferation and
differentiation, all of which are required to coordinate regeneration and tissue
renewal.
PMID- 24922056
TI - Percutaneous fetal cardiac interventions for structural heart disease.
AB - INTRODUCTION: Prenatal diagnosis provides valuable information regarding a
variety of congenital heart defects. Some defects occur early in gestation with
little change throughout pregnancy, whereas others evolve during mid and late
gestation. Fetal cardiac intervention (FCI) affords the opportunity to interrupt
progression of disease in this latter category, resulting in improved perinatal
and lifelong outcomes. AIM: This chapter addresses three lesions for which
percutaneous FCI can be utilized: (1) aortic stenosis with evolving hypoplastic
left heart syndrome, for which aortic valvuloplasty may prevent left ventricular
hypoplasia and has yielded a biventricular circulation in approximately one third
of cases; (2) hypoplastic left heart syndrome with intact atrial septum, for
which relief of atrial restriction has potential to improve perinatal survival;
and (3) pulmonary atresia with intact ventricular septum and evolving right
ventricular hypoplasia, for which pulmonary valvuloplasty has resulted in a
biventricular circulation in the majority of patients. The pathophysiology,
rationale for intervention, patient selection criteria, procedural technique, and
outcomes for each lesion will be reviewed. This chapter will also review
complications of FCI and their treatment, and maternal and fetal anesthesia
specific to FCI. The importance of a specialized center with experience managing
infants delivered after FCI will also be addressed.
PMID- 24922057
TI - BioAssemblyModeler (BAM): user-friendly homology modeling of protein homo- and
heterooligomers.
AB - Many if not most proteins function in oligomeric assemblies of one or more
protein sequences. The Protein Data Bank provides coordinates for biological
assemblies for each entry, at least 60% of which are dimers or larger assemblies.
BioAssemblyModeler (BAM) is a graphical user interface to the basic steps in
homology modeling of protein homooligomers and heterooligomers from the
biological assemblies provided in the PDB. BAM takes as input up to six different
protein sequences and begins by assigning Pfam domains to the target sequences.
The program utilizes a complete assignment of Pfam domains to sequences in the
PDB, PDBfam (http://dunbrack2.fccc.edu/protcid/pdbfam), to obtain templates that
contain any or all of the domains assigned to the target sequence(s). The
contents of the biological assemblies of potential templates are provided, and
alignments of the target sequences to the templates are produced with a profile
profile alignment algorithm. BAM provides for visual examination and mouse
editing of the alignments supported by target and template secondary structure
information and a 3D viewer of the template biological assembly. Side-chain
coordinates for a model of the biological assembly are built with the program
SCWRL4. A built-in protocol navigation system guides the user through all stages
of homology modeling from input sequences to a three-dimensional model of the
target complex. AVAILABILITY: http://dunbrack.fccc.edu/BAM.
PMID- 24922059
TI - A reweighted l1-minimization based compressed sensing for the spectral estimation
of heart rate variability using the unevenly sampled data.
AB - In this paper, a reweighted l1-minimization based Compressed Sensing (CS)
algorithm incorporating the Integral Pulse Frequency Modulation (IPFM) model for
spectral estimation of HRV is introduced. Knowing as a novel sensing/sampling
paradigm, the theory of CS asserts certain signals that are considered sparse or
compressible can be possibly reconstructed from substantially fewer measurements
than those required by traditional methods. Our study aims to employ a novel
reweighted l1-minimization CS method for deriving the spectrum of the modulating
signal of IPFM model from incomplete RR measurements for HRV assessments. To
evaluate the performance of HRV spectral estimation, a quantitative measure,
referred to as the Percent Error Power (PEP) that measures the percentage of
difference between the true spectrum and the spectrum derived from the incomplete
RR dataset, was used. We studied the performance of spectral reconstruction from
incomplete simulated and real HRV signals by experimentally truncating a number
of RR data accordingly in the top portion, in the bottom portion, and in a random
order from the original RR column vector. As a result, for up to 20% data
truncation/loss the proposed reweighted l1-minimization CS method produced, on
average, 2.34%, 2.27%, and 4.55% PEP in the top, bottom, and random data
truncation cases, respectively, on Autoregressive (AR) model derived simulated
HRV signals. Similarly, for up to 20% data loss the proposed method produced
5.15%, 4.33%, and 0.39% PEP in the top, bottom, and random data-truncation cases,
respectively, on a real HRV database drawn from PhysioNet. Moreover, results
generated by a number of intensive numerical experiments all indicated that the
reweighted l1-minimization CS method always achieved the most accurate and high
fidelity HRV spectral estimates in every aspect, compared with the l1
minimization based method and Lomb's method used for estimating the spectrum of
HRV from unevenly sampled RR data.
PMID- 24922058
TI - Comparison of REST cistromes across human cell types reveals common and context
specific functions.
AB - Recent studies have shown that the transcriptional functions of REST are much
broader than repressing neuronal genes in non-neuronal systems. Whether REST
occupies similar chromatin regions in different cell types and how it interacts
with other transcriptional regulators to execute its functions in a context
dependent manner has not been adequately investigated. We have applied ChIP-seq
analysis to identify the REST cistrome in human CD4+ T cells and compared it with
published data from 15 other cell types. We found that REST cistromes were
distinct among cell types, with REST binding to several tumor suppressors
specifically in cancer cells, whereas 7% of the REST peaks in non-neuronal cells
were ubiquitously called and <25% were identified for >= 5 cell types.
Nevertheless, using a quantitative metric directly comparing raw ChIP-seq
signals, we found the majority (~80%) was shared by >= 2 cell types. Integration
with RNA-seq data showed that REST binding was generally correlated with low gene
expression. Close examination revealed that multiple contexts were correlated
with reduced expression of REST targets, e.g., the presence of a cognate RE1
motif and cellular specificity of REST binding. These contexts were shown to play
a role in differential corepressor recruitment. Furthermore, transcriptional
outcome was highly influenced by REST cofactors, e.g., SIN3 and EZH2 co-occupancy
marked higher and lower expression of REST targets, respectively. Unexpectedly,
the REST cistrome in differentiated neurons exhibited unique features not
observed in non-neuronal cells, e.g., the lack of RE1 motifs and an association
with active gene expression. Finally, our analysis demonstrated how REST could
differentially regulate a transcription network constituted of miRNAs, REST
complex and neuronal factors. Overall, our findings of contexts playing critical
roles in REST occupancy and regulatory outcome provide insights into the
molecular interactions underlying REST's diverse functions, and point to novel
roles of REST in differentiated neurons.
PMID- 24922060
TI - Pharmacokinetic compatibility of ginsenosides and Schisandra Lignans in Shengmai
san: from the perspective of p-glycoprotein.
AB - BACKGROUND: Phytochemical-mediated alterations in P-glycoprotein (P-gp) activity
may result in herb-drug interactions by altering drug pharmacokinetics. Shengmai
san, a traditional Chinese herbal medicine composed by Panax Ginseng, Ophiopogon
Japonicus, and Schisandra Chinensis, is routinely being used for treating various
coronary heart diseases. In our previous studies, Schisandra Lignans Extract
(SLE) was proved as a strong P-gp inhibitor, and herein, the compatibility of
Shengmai-san was studied by investigating the influence of SLE on the
pharmacokinetics of the ginsenosides from the perspective of P-gp. METHODOLOGY:
Pharmacokinetic experiments were firstly performed based on in vitro uptake,
efflux and transport experiments in Caco-2, LLC-PK1 wild-type and MDR1
overexpressing L-MDR1 cells. During the whole experiment, digoxin, a classical P
gp substrate, was used as a positive control drug to verify the cells used are
the valid models. Meanwhile, the effects of SLE on the pharmacokinetics of
ginsenosides were further investigated in rats after single-dose and multi-dose
of SLE. RESULTS AND CONCLUSIONS: The efflux ratios of ginsenoside Rb2, Rc, Rg2,
Rg3, Rd and Rb1 were found more than 3.5 in L-MDR1 cells and can be decreased
significantly by verapamil (a classical P-gp inhibitor). Contrarily, the efflux
ratios of other ginsenosides (Rh1, F1, Re, and Rg1) were lower than 2.0 and not
affected by verapamil. Then, the effects of SLE on the uptake and transport of
ginsenosides were investigated, and SLE was found can significantly enhance the
uptake and inhibit the efflux ratio of ginsenoside Rb2, Rc, Rg2, Rg3, Rd and Rb1
in Caco-2 and L-MDR1 cells. Besides, In vivo experiments showed that single-dose
and multi-dose of SLE at 500 mg/kg could increase the area under the plasma
concentration time curve of Rb2, Rc and Rd significantly without affecting
terminal elimination half-time. In conclusion, SLE could enhance the exposure of
ginsenosides Rb2, Rc, Rg2, Rg3, Rd and Rb1 significantly.
PMID- 24922061
TI - Influence of Charged Groups on the Properties of Zwitterionic Moieties: A
Molecular Simulation Study.
AB - Zwitterionic carboxybetaine and sulfobetaine materials have shown an excellent
ability to resist nonspecific protein adsorption. It is desirable to obtain a
better understanding of zwitterionic materials based on their molecular
structures. This work aims to understand the roles of charged groups in
zwitterionic moieties and to design new protein-resistant zwitterionic moieties
beyond carboxybetaine and sulfobetaine. We conducted molecular simulations to
study the hydration, self-association, and protein interactions of 12
zwitterionic moieties derived from three anionic groups (carboxylic, sulfonate,
and sulfate) and four cationic groups (quaternary ammonium, tertiary ammonium,
secondary ammonium, and primary ammonium). The partial charges of atoms in these
moieties were obtained from quantum chemical calculations. Hydration was studied
by evaluating the hydration free energy of moieties and the hydration structure
and dynamics of the charged groups. All zwitterionic moieties have strong
hydration, but their structural and dynamic properties depend on the types of
cationic and anionic groups involved. The self-association and protein
interactions of zwitterionic moieties also show relationships with the charged
groups. Our simulation results indicate good protein-resistant ability of several
zwitterionic moieties, one of which has also been shown by recent experiments.
PMID- 24922062
TI - Retinoic acid therapy resistance progresses from unilineage to bilineage in HL-60
leukemic blasts.
AB - Emergent resistance can be progressive and driven by global signaling
aberrations. All-trans retinoic acid (RA) is the standard therapeutic agent for
acute promyelocytic leukemia, but 10-20% of patients are not responsive, and
initially responsive patients relapse and develop retinoic acid resistance. The
patient-derived, lineage-bipotent acute myeloblastic leukemia (FAB M2) HL-60 cell
line is a potent tool for characterizing differentiation-induction therapy
responsiveness and resistance in t(15;17)-negative cells. Wild-type (WT) HL-60
cells undergo RA-induced granulocytic differentiation, or monocytic
differentiation in response to 1,25-dihydroxyvitamin D3 (D3). Two sequentially
emergent RA-resistant HL-60 cell lines, R38+ and R38-, distinguishable by RA
inducible CD38 expression, do not arrest in G1/G0 and fail to upregulate CD11b
and the myeloid-associated signaling factors Vav1, c-Cbl, Lyn, Fgr, and c-Raf
after RA treatment. Here, we show that the R38+ and R38- HL-60 cell lines display
a progressive reduced response to D3-induced differentiation therapy. Exploiting
the biphasic dynamic of induced HL-60 differentiation, we examined if resistance
related defects occurred during the first 24 h (the early or "precommitment"
phase) or subsequently (the late or "lineage-commitment" phase). HL-60 were
treated with RA or D3 for 24 h, washed and retreated with either the same,
different, or no differentiation agent. Using flow cytometry, D3 was able to
induce CD38, CD11b and CD14 expression, and G1/G0 arrest when present during the
lineage-commitment stage in R38+ cells, and to a lesser degree in R38- cells.
Clustering analysis of cytometry and quantified Western blot data indicated that
WT, R38+ and R38- HL-60 cells exhibited decreasing correlation between phenotypic
markers and signaling factor expression. Thus differentiation induction therapy
resistance can develop in stages, with initial partial RA resistance and moderate
vitamin D3 responsiveness (unilineage maturation block), followed by bilineage
maturation block and progressive signaling defects, notably the reduced
expression of Vav1, Fgr, and c-Raf.
PMID- 24922064
TI - Radiation-induced accelerated senescence: a fate worse than death?
PMID- 24922063
TI - Leptin is an anti-apoptotic effector in placental cells involving p53
downregulation.
AB - Leptin, a peripheral signal synthetized by the adipocyte to regulate energy
metabolism, can also be produced by placenta, where it may work as an autocrine
hormone. We have previously demonstrated that leptin promotes proliferation and
survival of trophoblastic cells. In the present work, we aimed to study the
molecular mechanisms that mediate the survival effect of leptin in placenta. We
used the human placenta choriocarcinoma BeWo and first trimester Swan-71 cell
lines, as well as human placental explants. We tested the late phase of
apoptosis, triggered by serum deprivation, by studying the activation of Caspase
3 and DNA fragmentation. Recombinant human leptin added to BeWo cell line and
human placental explants, showed a decrease on Caspase-3 activation. These
effects were dose dependent. Maximal effect was achieved at 250 ng leptin/ml.
Moreover, inhibition of endogenous leptin expression with 2 uM of an antisense
oligonucleotide, reversed Caspase-3 diminution. We also found that the cleavage
of Poly [ADP-ribose] polymerase-1 (PARP-1) was diminished in the presence of
leptin. We analyzed the presence of low DNA fragments, products from apoptotic
DNA cleavage. Placental explants cultivated in the absence of serum in the
culture media increased the apoptotic cleavage of DNA and this effect was
prevented by the addition of 100 ng leptin/ml. Taken together these results
reinforce the survival effect exerted by leptin on placental cells. To improve
the understanding of leptin mechanism in regulating the process of apoptosis we
determined the expression of different intermediaries in the apoptosis cascade.
We found that under serum deprivation conditions, leptin increased the anti
apoptotic BCL-2 protein expression, while downregulated the pro-apoptotic BAX and
BID proteins expression in Swan-71 cells and placental explants. In both models
leptin augmented BCL-2/BAX ratio. Moreover we have demonstrated that p53, one of
the key cell cycle-signaling proteins, is downregulated in the presence of leptin
under serum deprivation. On the other hand, we determined that leptin reduced the
phosphorylation of Ser-46 p53 that plays a pivotal role for apoptotic signaling
by p53. Our data suggest that the observed anti-apoptotic effect of leptin in
placenta is in part mediated by the p53 pathway. In conclusion, we provide
evidence that demonstrates that leptin is a trophic factor for trophoblastic
cells.
PMID- 24922066
TI - Mixed layer depth trends in the Bay of Biscay over the period 1975-2010.
AB - Wintertime trends in mixed layer depth (MLD) were calculated in the Bay of Biscay
over the period 1975-2010 using the Simple Ocean Data Assimilation (SODA)
package. The reliability of the SODA database was confirmed correlating its
results with those obtained from the experimental Argo database over the period
2003-2010. An iso-thermal layer depth (TLD) and an iso-pycnal layer depth (PLD)
were defined using the threshold difference method with DeltaT = 0.5 degrees C
and Deltasigmatheta = 0.125 kg/m3. Wintertime trends of the MLD were calculated
using winter extended (December-March) anomalies and annual maxima. Trends
calculated for the whole Bay of Biscay using both parameters (TLD and PLD) showed
to be dependent on the area. Thus, MLD became deeper in the southeastern corner
and shallower in the rest of the area. Air temperature was shown to play a key
role in regulating the different spatial behavior of the MLD. Negative air
temperature trends localized in the southeastern corner coincide with MLD
deepening in this area, while, positive air temperature trends are associated to
MLD shoaling in the rest of the bay. Additionally, the temperature trend
calculated along the first 700 m of the water column is in good agreement with
the different spatial behavior revealed for the MLD trend.
PMID- 24922065
TI - RNase J is required for processing of a small number of RNAs in Rhodobacter
sphaeroides.
AB - All bacteria contain multiple exoribonucleases to ensure a fast breakdown of
different RNA molecules, either for maturation or for complete degradation to the
level of mononucleotides. This efficient RNA degradation plays pivotal roles in
the post-transcriptional gene regulation, in RNA processing and maturation as
well as in RNA quality control mechanisms and global adaption to stress
conditions. Besides different 3'-to-5' exoribonucleases mostly with overlapping
functions in vivo many bacteria additionally possess the 5'-to-3'
exoribonuclease, RNase J, to date the only known bacterial ribonuclease with this
activity. An RNA-seq approach was applied to identify specific targets of RNase J
in the alpha-proteobacterium Rhodobacter sphaeroides. Only few transcripts were
strongly affected by the lack of RNase J implying that its function is mostly
required for specific processing/degradation steps in this bacterium. The
accumulation of diverse RNA fragments in the RNase J deletion mutant points to
RNA features that apparently cannot be targeted by the conventional 3'
exoribonucleases in Gram-negative bacteria.
PMID- 24922067
TI - Long-term experiment on physiological responses to synergetic effects of ocean
acidification and photoperiod in the Antarctic sea ice algae Chlamydomonas sp.
ICE-L.
AB - Studies on ocean acidification have mostly been based on short-term experiments
of low latitude with few investigations of the long-term influence on sea ice
communities. Here, the combined effects of ocean acidification and photoperiod on
the physiological response of the Antarctic sea ice microalgae Chlamydomonas sp.
ICE-L were examined. There was a general increase in growth, PSII photosynthetic
parameters, and N and P uptake in continuous light, compared to those exposed to
regular dark and light cycles. Elevated pCO2 showed no consistent effect on
growth rate (p=0.8) and N uptake (p=0.38) during exponential phrase, depending on
the photoperiod but had a positive effect on PSII photosynthetic capacity and P
uptake. Continuous dark reduced growth, photosynthesis, and nutrient uptake.
Moreover, intracellular lipid, mainly in the form of PUFA, was consumed at 80%
and 63% in low and high pCO2 in darkness. However, long-term culture under high
pCO2 gave a more significant inhibition of growth and Fv/Fm to high light stress.
In summary, ocean acidification may have significant effects on Chlamydomonas sp.
ICE-L survival in polar winter. The current study contributes to an understanding
of how a sea ice algae-based community may respond to global climate change at
high latitudes.
PMID- 24922068
TI - Fragmentation of bicyclic gamma-silyloxy-beta-hydroxy-alpha-diazolactones as an
approach to ynolides.
AB - Medium-sized ynolides were prepared by the Lewis acid-mediated fragmentation of
bicyclic gamma-silyloxy-beta-hydroxy-alpha-diazolactones in which the Cbeta
Cgamma bond is the ring fusion bond. Although these lactone fragmentation
substrates reacted somewhat less efficiently than their carbocyclic counterparts,
the fragmentation provided 11-membered ynolides in up to 84% yield. Unlike prior
fragmentations of similar substrates, elevated temperatures were required to
obtain optimum yields of the ynolide products. The ynolides reported herein have
ring sizes of 10 or 11, which are the smallest reported to date.
PMID- 24922069
TI - Platelet activation and anti-phospholipid antibodies collaborate in the
activation of the complement system on platelets in systemic lupus erythematosus.
AB - Anti-phospholipid (aPL) antibodies are important contributors to development of
thrombosis in patients with the autoimmune rheumatic disease systemic lupus
erythematosus (SLE). The underlying mechanism of aPL antibody-mediated thrombosis
is not fully understood but existing data suggest that platelets and the
complement system are key components. Complement activation on platelets is seen
in SLE patients, especially in patients with aPL antibodies, and has been related
to venous thrombosis and stroke. The aim of this study was to investigate if aPL
antibodies could support classical pathway activation on platelets in vitro as
well as in SLE patients. Furthermore, we investigated if complement deposition on
platelets was associated with vascular events, either arterial or venous, when
the data had been adjusted for traditional cardiovascular risk factors. Finally,
we analyzed if platelet complement deposition, both C1q and C4d, was specific for
SLE. We found that aPL antibodies supported C4d deposition on platelets in vitro
as well as in SLE patients (p = 0.001 and p<0.05, respectively). Complement
deposition on platelets was increased in SLE patients when compared with healthy
individuals (p<0.0001). However, high levels of C4d deposition and a pronounced
C1q deposition were also seen in patients with rheumatoid arthritis and systemic
sclerosis. In SLE, C4d deposition on platelets was associated with platelet
activation, complement consumption, disease activity and venous (OR = 5.3, p =
0.02), but not arterial, thrombosis, observations which were independent of
traditional cardiovascular risk factors. In conclusion, several mechanisms
operate in SLE to amplify platelet complement deposition, of which aPL antibodies
and platelet activation were identified as important contributors in this
investigation. Complement deposition on platelets was identified as a marker of
venous, but not arterial thrombosis, in SLE patients independently of traditional
risk factors and aPL antibodies. Further studies are needed to elucidate the role
of complement deposition on platelets in development of venous thrombosis.
PMID- 24922070
TI - Wnt3a mitigates acute lung injury by reducing P2X7 receptor-mediated alveolar
epithelial type I cell death.
AB - Acute lung injury (ALI) is characterized by pulmonary endothelial and epithelial
cell damage, and loss of the alveolar-capillary barrier. We have previously shown
that P2X7 receptor (P2X7R), a cell death receptor, is specifically expressed in
alveolar epithelial type I cells (AEC I). In this study, we hypothesized that
P2X7R-mediated purinergic signaling and its interaction with Wnt/beta-catenin
signaling contributes to AEC I death. We examined the effect of P2X7R agonist 2'
3'-O-(4-benzoylbenzoyl)-ATP (BzATP) and Wnt agonist Wnt3a on AEC I death in vitro
and in vivo. We also assessed the therapeutic potential of Wnt3a in a clinically
relevant ALI model of intratracheal lipopolysaccharide (LPS) exposure in
ventilated mice. We found that the activation of P2X7R by BzATP caused the death
of AEC I by suppressing Wnt/beta-catenin signaling through stimulating glycogen
synthase kinase-3beta (GSK-3beta) and proteasome. On the other hand, the
activation of Wnt/beta-catenin signaling by Wnt3a, GSK-3beta inhibitor, or
proteasome inhibitor blocked the P2X7R-mediated cell death. More importantly,
Wnt3a attenuated the AEC I damage caused by intratracheal instillation of BzATP
in rats or LPS in ventilated mice. Our results suggest that Wnt3a overrides the
effect of P2X7R on the Wnt/beta-catenin signaling to prevent the AEC I death and
restrict the severity of ALI.
PMID- 24922071
TI - LincRNA-ROR induces epithelial-to-mesenchymal transition and contributes to
breast cancer tumorigenesis and metastasis.
AB - LncRNAs have critical roles in various biological processes ranging from
embryonic development to human diseases, including cancer progression, although
their detailed mechanistic functions remain illusive. The lncRNA linc-ROR has
been shown to contribute to the maintenance of induced pluripotent stem cells and
embryonic stem cells. In this study, we discovered that linc-ROR was upregulated
in breast tumor samples, and ectopic overexpression of linc-ROR in immortalized
human mammary epithelial cells induced an epithelial-to-mesenchymal transition
(EMT) program. Moreover, we showed that linc-ROR enhanced breast cancer cell
migration and invasion, which was accompanied by generation of stem cell
properties. Contrarily, silencing of linc-ROR repressed breast tumor growth and
lung metastasis in vivo. Mechanistically, our data revealed that linc-ROR was
associated with miRNPs and functioned as a competing endogenous RNA to mi-205.
Specifically, linc-ROR prevented the degradation of mir-205 target genes,
including the EMT inducer ZEB2. Thus our results indicate that linc-ROR functions
as an important regulator of EMT and can promote breast cancer progression and
metastasis through regulation of miRNAs. Potentially, the findings of this study
implicate the relevance of linc-ROR as a possible therapeutic target for
aggressive and metastatic breast cancers.
PMID- 24922072
TI - Genetic deficiency of neuronal RAGE protects against AGE-induced synaptic injury.
AB - Synaptic dysfunction and degeneration is an early pathological feature of aging
and age-related diseases, including Alzheimer's disease (AD). Aging is associated
with increased generation and deposition of advanced glycation endproducts
(AGEs), resulting from nonenzymatic glycation (or oxidation) proteins and lipids.
AGE formation is accelerated in diabetes and AD-affected brain, contributing to
cellular perturbation. The extent of AGEs' involvement, if at all, in alterations
in synaptic structure and function is currently unknown. Here we analyze the
contribution of neuronal receptor of AGEs (RAGE) signaling to AGE-mediated
synaptic injury using novel transgenic neuronal RAGE knockout mice specifically
targeted to the forebrain and transgenic mice expressing neuronal dominant
negative RAGE (DN-RAGE). Addition of AGEs to brain slices impaired hippocampal
long-term potentiation (LTP). Similarly, treatment of hippocampal neurons with
AGEs significantly decreases synaptic density. Such detrimental effects are
largely reversed by genetic RAGE depletion. Notably, brain slices from mice with
neuronal RAGE deficiency or DN-RAGE are resistant to AGE-induced LTP deficit.
Further, RAGE deficiency or DN-RAGE blocks AGE-induced activation of p38
signaling. Taken together, these data show that neuronal RAGE functions as a
signal transducer for AGE-induced synaptic dysfunction, thereby providing new
insights into a mechanism by which the AGEs-RAGE-dependent signaling cascade
contributes to synaptic injury via the p38 MAP kinase signal transduction
pathway. Thus, RAGE blockade may be a target for development of interventions
aimed at preventing the progression of cognitive decline in aging and age-related
neurodegenerative diseases.
PMID- 24922073
TI - DYRK1A promotes dopaminergic neuron survival in the developing brain and in a
mouse model of Parkinson's disease.
AB - In the brain, programmed cell death (PCD) serves to adjust the numbers of the
different types of neurons during development, and its pathological reactivation
in the adult leads to neurodegeneration. Dual-specificity tyrosine-(Y)
phosphorylation regulated kinase 1A (DYRK1A) is a pleiotropic kinase involved in
neural proliferation and cell death, and its role during brain growth is
evolutionarily conserved. Human DYRK1A lies in the Down syndrome critical region
on chromosome 21, and heterozygous mutations in the gene cause microcephaly and
neurological dysfunction. The mouse model for DYRK1A haploinsufficiency (the
Dyrk1a(+/-) mouse) presents neuronal deficits in specific regions of the adult
brain, including the substantia nigra (SN), although the mechanisms underlying
these pathogenic effects remain unclear. Here we study the effect of DYRK1A copy
number variation on dopaminergic cell homeostasis. We show that mesencephalic DA
(mDA) neurons are generated in the embryo at normal rates in the Dyrk1a
haploinsufficient model and in a model (the mBACtgDyrk1a mouse) that carries
three copies of Dyrk1a. We also show that the number of mDA cells diminishes in
postnatal Dyrk1a(+/-) mice and increases in mBACtgDyrk1a mice due to an abnormal
activity of the mitochondrial caspase9 (Casp9)-dependent apoptotic pathway during
the main wave of PCD that affects these neurons. In addition, we show that the
cell death induced by 1-methyl-4-phenyl-1,2,3,6 tetrahydropyridine (MPTP), a
toxin that activates Casp9-dependent apoptosis in mDA neurons, is attenuated in
adult mBACtgDyrk1a mice, leading to an increased survival of SN DA neurons 21
days after MPTP intoxication. Finally, we present data indicating that Dyrk1a
phosphorylation of Casp9 at the Thr125 residue is the mechanism by which this
kinase hinders both physiological and pathological PCD in mDA neurons. These data
provide new insight into the mechanisms that control cell death in brain DA
neurons and they show that deregulation of developmental apoptosis may contribute
to the phenotype of patients with imbalanced DYRK1A gene dosage.
PMID- 24922074
TI - Loss of function of the ALS protein SigR1 leads to ER pathology associated with
defective autophagy and lipid raft disturbances.
AB - Intracellular accumulations of altered, misfolded proteins in neuronal and other
cells are pathological hallmarks shared by many neurodegenerative diseases
including amyotrophic lateral sclerosis (ALS). Mutations in several genes give
rise to familial forms of ALS. Mutations in Sigma receptor 1 have been found to
cause a juvenile form of ALS and frontotemporal lobar degeneration (FTLD). We
recently described altered localization, abnormal modification and loss of
function of SigR1 in sporadic ALS. In order to further elucidate the molecular
mechanisms underlying SigR1-mediated alterations in sporadic and familial ALS, we
extended our previous studies using neuronal SigR1 knockdown cell lines. We found
that loss of SigR1 leads to abnormal ER morphology, mitochondrial abnormalities
and impaired autophagic degradation. Consistent with these results, we found that
endosomal trafficking of EGFR is impaired upon SigR1 knockdown. Furthermore, in
SigR1-deficient cells the transport of vesicular stomatitis virus glycoprotein is
inhibited, leading to the accumulation of this cargo protein in the Golgi
apparatus. Moreover, depletion of SigR1 destabilized lipid rafts and associated
calcium mobilization, confirming the crucial role of SigR1 in lipid raft and
intracellular calcium homeostasis. Taken together, our results support the notion
that loss of SigR1 function contributes to ALS pathology by causing abnormal ER
morphology, lipid raft destabilization and defective endolysosomal pathways.
PMID- 24922075
TI - Bcl-xL controls a switch between cell death modes during mitotic arrest.
AB - Antimitotic agents such as microtubule inhibitors (paclitaxel) are widely used in
cancer therapy while new agents blocking mitosis onset are currently in
development. All these agents impose a prolonged mitotic arrest in cancer cells
that relies on sustained activation of the spindle assembly checkpoint and may
lead to subsequent cell death by incompletely understood molecular events. We
have investigated the role played by anti-apoptotic Bcl-2 family members in the
fate of mitotically arrested mammary tumor cells treated with paclitaxel, or
depleted in Cdc20, the activator of the anaphase promoting complex. Under these
conditions, a weak and delayed mitotic cell death occurs that is caspase- and
Bax/Bak-independent. Moreover, BH3 profiling assays indicate that viable cells
during mitotic arrest are primed to die by apoptosis and that Bcl-xL is required
to maintain mitochondrial integrity. Consistently, Bcl-xL depletion, or treatment
with its inhibitor ABT-737 (but not with the specific Bcl-2 inhibitor ABT-199),
during mitotic arrest converts cell response to antimitotics to efficient caspase
and Bax-dependent apoptosis. Apoptotic priming under conditions of mitotic arrest
relies, at least in part, on the phosphorylation on serine 62 of Bcl-xL, which
modulates its interaction with Bax and its sensitivity to ABT-737. The phospho
mimetic S62D-Bcl-xL mutant is indeed less efficient than the corresponding
phospho-deficient S62A-Bcl-xL mutant in sequestrating Bax and in protecting
cancer cells from mitotic cell death or yeast cells from Bax-induced growth
inhibition. Our results provide a rationale for combining Bcl-xL targeting to
antimitotic agents to improve clinical efficacy of antimitotic strategy in cancer
therapy.
PMID- 24922078
TI - Defect in HSP90 expression in highly differentiated human CD8(+) T lymphocytes.
PMID- 24922080
TI - Interindividual differences in attentional control profiles among younger and
older adults.
AB - This study aimed at characterizing the individual variability in three
attentional control functions (shifting, inhibition, and updating), among 75
older and 75 younger adults. It also examined the intellectual and health
variables associated with different cognitive profiles. Cluster analyses
identified three separate attentional control profiles for both age groups, but
the patterns of variability were strikingly different. Younger adults' profiles
were characterized by homogeneous performance across domains and differed only in
their overall level of performance. In contrast, older adults' profiles were
characterized by uneven levels of performance across domains and inhibition stood
out as critical in distinguishing between profiles. One subgroup of older adults
had poor inhibition and more adverse lifestyle characteristics and appeared more
cognitively vulnerable. In conclusion, subgroups of younger and older adults with
different attentional control profiles can be identified, but the expression of
variability changes with age as older adults' profiles become more heterogeneous.
PMID- 24922081
TI - Innopsy 2007.
PMID- 24922076
TI - Augmentation of NAD(+) by NQO1 attenuates cisplatin-mediated hearing impairment.
AB - Cisplatin (cis-diaminedichloroplatinum-II) is an extensively used
chemotherapeutic agent, and one of its most adverse effects is ototoxicity. A
number of studies have demonstrated that these effects are related to oxidative
stress and DNA damage. However, the precise mechanism underlying cisplatin
associated ototoxicity is still unclear. The cofactor nicotinamide adenine
dinucleotide (NAD(+)) has emerged as a key regulator of cellular energy
metabolism and homeostasis. Here, we demonstrate for the first time that, in
cisplatin-mediated ototoxicity, the levels and activities of SIRT1 are suppressed
by the reduction of intracellular NAD(+) levels. We provide evidence that the
decrease in SIRT1 activity and expression facilitated by increasing poly(ADP
ribose) transferase (PARP)-1 activation and microRNA-34a through p53 activation
aggravates cisplatin-mediated ototoxicity. Moreover, we show that the induction
of cellular NAD(+) levels using beta-lapachone (beta-Lap), whose intracellular
target is NQO1, prevents the toxic effects of cisplatin through the regulation of
PARP-1 and SIRT1 activity. These results suggest that direct modulation of
cellular NAD(+) levels by pharmacological agents could be a promising therapeutic
approach for protection from cisplatin-induced ototoxicity.
PMID- 24922077
TI - Identification of a natural product-like STAT3 dimerization inhibitor by
structure-based virtual screening.
AB - STAT3 regulates a variety of genes involved with cell proliferation,
differentiation, apoptosis, angiogenesis, metastasis, inflammation, and immunity.
The purpose of this study was to apply molecular docking techniques to identify
STAT3 inhibitors from a database of over 90000 natural product and natural
product-like compounds. The virtual screening campaign furnished 14 hit
compounds, from which compound 1 emerged as a top candidate. Compound 1 inhibited
STAT3 DNA-binding activity in vitro and attenuated STAT3-directed transcription
in cellulo with selectivity over STAT1 and with comparable potency to the well
known STAT3 inhibitor S3I-201. Furthermore, compound 1 inhibited STAT3
dimerization and decreased STAT3 phosphorylation in cells without affecting STAT1
dimerization and phosphorylation. Compound 1 also exhibited selective anti
proliferative activity against cancer cells over normal cells in vitro. Molecular
docking analysis suggested that compound 1 might putatively function as an
inhibitor of STAT3 dimerization by binding to the SH2 domain. This study also
validates the use of in silico techniques to identify inhibitors of protein
protein interactions, which are typically considered difficult to target with
small molecules.
PMID- 24922082
TI - Palladium-catalyzed oxidative cyclization of tertiary enamines for synthesis of
1,3,4-trisubstituted pyrroles and 1,3-disubstituted indoles.
AB - A novel and efficient palladium-catalyzed intramolecular oxidative cyclization of
tertiary enamines for the synthesis of 1,3,4-trisubstituted pyrroles and 1,3
disubstituted indoles has been developed. Trifluoroacetic acid plays an important
role in the reaction. A series of pyrroles and indoles with substitution patterns
that are not easily accessible by traditional routes were synthesized in good
yields under mild conditions.
PMID- 24922083
TI - Micelle-induced versatile sensing behavior of bispyrene-based fluorescent
molecular sensor for picric acid and PYX explosives.
AB - The effect of surfactant micelles on the photophysical properties of a cationic
bispyrene fluorophore, Py-diIM-Py, was systemically examined. The results from
series of measurements including UV-vis absorption, steady-state fluorescence
emission, quantum yield, fluorescence lifetime, and time-resolved emission
spectra reveal that the cationic fluorophore is only encapsulated by the anionic
sodium dodecyl sulfate (SDS) surfactant micelles and not incorporated in the
cationic dodecyltrimethylammonium bromide (DTAB) and neutral Triton X-100 (TX100)
surfactant micelles. This different fluorophore location in the micellar
solutions significantly influences its sensing behavior to various explosives.
Fluorescence quenching studies reveal that the simple variation of micellar
systems leads to significant changes in the sensitivity and selectivity of the
fluorescent sensor to explosives. The sensor exhibits an on-off response to
multiple explosives with the highest sensitivity to picric acid (PA) in the
anionic SDS micelles. In the cationic DTAB micelles, it displays the highest on
off responses to PYX. Both the sensitivity and selectivity to PYX in the cationic
micelles are enhanced compared with that to PA in the anionic micelles. However,
the poor encapsulation in the neutral surfactant TX100 micelles leads to
fluorescence instability of the fluorophore and fails to function as a sensor
system. Time-resolved fluorescence decays in the presence of explosives reveal
that the quenching mechanism of two micellar sensor systems to explosives is
static in nature. The present work demonstrates that the electrostatic
interaction between the cationic fluorophore and differently charged micelles
plays a determinative role in adjusting its distribution in micellar solutions,
which further influences the sensing behavior of the obtained micellar sensor
systems.
PMID- 24922084
TI - Availability and use of molecular microbiological and immunological tests for the
diagnosis of tuberculosis in europe.
AB - INTRODUCTION: Currently only limited data exist regarding the availability and
clinical use of molecular and immunological tests for tuberculosis (TB) in the
European setting. METHODS: Web-based survey of Paediatric-Tuberculosis-Network
European-Trialsgroup (ptbnet) and Tuberculosis-Network-European-Trialsgroup
(TBnet) members conducted June to December 2013. Both networks comprise
clinicians, microbiologists, epidemiologists and researchers predominately based
in Europe. RESULTS: 191 healthcare professionals from 31 European countries
participated. Overall, 26.8% of respondents did not have access to the Xpert
MTB/RIF assay; only 44.6% had access to the assay in-house. However, a
substantial proportion had access to other commercial and/or non-commercial PCR
based assays for TB (68.8% and 31.8%, respectively). Only 6.4% did not have
access to any PCR-based assays for TB. A large proportion of participants with
access to the Xpert MTB/RIF assay had used it for the analysis of non-respiratory
samples [pleural fluid: 36.5%, gastric aspirates: 34.7%, cerebrospinal fluid:
34.7%, stool samples: 4.3%, blood/serum: 2.6%, 'other samples' (which included
biopsy/tissue samples, lymph node aspirates, joint aspirates and urine samples):
16.5%]. Regarding interferon-gamma release assays, a greater proportion of
respondents had access to the QuantiFERON-TB Gold assay (84.7%) than to the T
SPOT.TB assay (52.2%). CONCLUSIONS: Both immunological and molecular TB tests are
widely available across Europe. The QuantiFERON-TB Gold assay is more widely used
than the T-SPOT.TB assay, which may reflect the difficulties of integrating an
ELISPOT assay into the routine laboratory setting. Although Xpert MTB/RIF assays
are optimised and solely licensed for the analysis of sputum samples, in clinical
practice they are commonly used for non-respiratory samples. Further research is
needed to establish how current molecular TB tests impact on patient care and
outcome in the routine clinical setting.
PMID- 24922085
TI - Determination of plasma protein binding of positron emission tomography
radioligands by high-performance frontal analysis.
AB - Positron emission tomography (PET) is an imaging technique based on the use of
radioligands labeled with short lived radionuclides, such as (11)C (t1/2=20.4min)
and (18)F (t1/2=109.8min), which as a consequence often requires rapid plasma
protein binding analysis methods. In addition, PET radioligands can suffer from
non-specific binding to the membrane when ultrafiltraion, which is the most
commonly used method for measuring protein binding in PET, is employed. In this
study a high-performance frontal analysis (HPFA) method based on incorporation of
a gel filtration column (discovery((r)) BIO GFC 100, 50mm*4.6mm, 5MUm, 100A) into
a radio-LC system with phosphate buffered saline (PBS, pH 7.4) at a flow rate of
3ml/min as mobile phase was developed and investigated for four PET radioligands.
The minimum injection volume (MIV) of plasma, which is a crucial factor in HPFA,
was determined to be 200MUl (human), 500MUl (monkey), 700MUl (human) and 1000MUl
(monkey) for these four radioligands. The MIV values increased as a higher
fraction of the radioligand was present in the protein-free form. The protein
binding results obtained were in good agreement with ultrafiltration and the
method did not suffer from non-specific binding. The short analysis time (<12min)
allowed multiple protein binding measurements during time course of a human
[(11)C]PBR28 PET study.
PMID- 24922086
TI - Structural elucidation of propoxyphenyl isobutyl aildenafil, adulterant in a
health supplement using high-resolution Orbitrap mass spectrometry.
AB - A new sildenafil analogue, propoxyphenyl isobutyl aildenafil has been found in
trace quantity from one health supplement. It has been purified by preparative
high performance liquid chromatography (HPLC). The structural elucidation of this
compound has been carried out using high-resolution Orbitrap mass spectrometry
under two fragmentation modes, namely High energy Collision Dissolution (HCD) and
Collision Induced Dissolution (CID). Under MS(3) experiments and CID mode, the
isobutyl-bonded fragments of propoxyphenyl isobutyl aildenafil at m/z 313 and 297
have been compared with the reference ions derived from isobutyl sildenafil. The
accurate mass measurement of each product ions has been carried out with the aid
of Mass Frontier software (version 5.0). The mass error of all product ions is
not more than 5.0ppm.
PMID- 24922087
TI - Atomic-scale wear of amorphous hydrogenated carbon during intermittent contact: a
combined study using experiment, simulation, and theory.
AB - In this study, we explore the wear behavior of amplitude modulation atomic force
microscopy (AM-AFM, an intermittent-contact AFM mode) tips coated with a common
type of diamond-like carbon, amorphous hydrogenated carbon (a-C:H), when scanned
against an ultra-nanocrystalline diamond (UNCD) sample both experimentally and
through molecular dynamics (MD) simulations. Finite element analysis is utilized
in a unique way to create a representative geometry of the tip to be simulated in
MD. To conduct consistent and quantitative experiments, we apply a protocol that
involves determining the tip-sample interaction geometry, calculating the tip
sample force and normal contact stress over the course of the wear test, and
precisely quantifying the wear volume using high-resolution transmission electron
microscopy imaging. The results reveal gradual wear of a-C:H with no sign of
fracture or plastic deformation. The wear rate of a-C:H is consistent with a
reaction-rate-based wear theory, which predicts an exponential dependence of the
rate of atom removal on the average normal contact stress. From this, kinetic
parameters governing the wear process are estimated. MD simulations of an a-C:H
tip, whose radius is comparable to the tip radii used in experiments, making
contact with a UNCD sample multiple times exhibit an atomic-level removal
process. The atomistic wear events observed in the simulations are correlated
with under-coordinated atomic species at the contacting surfaces.
PMID- 24922088
TI - Enhancing the electrical properties of a flexible transparent graphene-based
field-effect transistor using electropolished copper foil for graphene growth.
AB - Flexible transparent graphene-based field-effect transistors (Gr-FETs) were
fabricated using large-area single-layer graphene synthesized through low
pressure chemical vapor deposition on a pretreated copper (Cu) foil, followed by
transfer of the graphene from the Cu foil to a poly(ethylene terephthalate) (PET)
substrate. The electropolishing method was adopted to smooth the surface of the
Cu foil, which is a crucial factor because it affects the defect density of
graphene films on the PET substrate after transfer and the electronic transport
property of the graphene-based devices. The influence of the electropolishing
process on the graphene properties was examined using a Raman spectroscope, a
scanning electron microscope, and an optical microscope. When the
electropolishing process was adopted to improve the graphene quality, the carrier
mobility of the flexible transparent Gr-FETs was enhanced from 90 to 340 cm(2)/(V
s). Furthermore, variation of the carrier mobility was lower than 10% when the
bending radius of the flexible device was decreased from 6.0 to 1.0 cm.
PMID- 24922089
TI - Pd-catalyzed carbonylation for the construction of tertiary and quaternary carbon
centers with sp(3) carbon partners.
AB - The first examples of a Pd-catalyzed carbonylation of aryl boronic acids with
sp(3) carbon partners are presented. Various boronic acids were shown to react
with 1,3-diesters and 1,3-diketones to afford structurally unique carbonyl
compounds. By employing 2-substituted 1,3-diesters, synthetically-challenging
quaternary carbon centres were accessed. In total, 42 examples of aryl carbonyl
compounds were prepared in moderate to good yields. The catalytic system features
the use of a bidentated phosphine ligand and a relatively low CO pressure (5
atm), providing an easy, alternative method for the preparation of triketones.
PMID- 24922090
TI - Do self-assessed oral health and treatment need associate with clinical findings?
Results from the Finnish Nationwide Health 2000 Survey.
AB - OBJECTIVE: To associate self-assessed oral health and treatment need with
clinically determined findings. MATERIALS AND METHODS: As part of the Finnish
nationwide comprehensive Health 2000 Survey, the present cross-sectional study
included dentate participants aged 30-64 years who self-assessed their oral
health and treatment need in an interview and who underwent a clinical oral
health examination (n = 4385). Self-assessed oral health and treatment need were
used as subjective indicators. Clinically determined dental and periodontal
status described objective dental and periodontal health and treatment need. The
evaluation of relationships between subjective and objective findings was based
on two-by-two tables and multivariate analyses. RESULTS: The better the self
assessed oral health, the better the objective dental and periodontal health.
Those reporting need for treatment more often had the objective need in terms of
dental or periodontal treatment, also when controlling for background factors. Of
the subjective indicators, good self-assessed oral health best reflected the
absence of clinically determined dental or periodontal treatment need. Those who
reported a need for treatment were mainly adults with an objective dental and
periodontal treatment need. CONCLUSIONS: Self-assessed good oral health is a
fairly good estimate for the absence of clinically determined dental and
periodontal treatment need. As implication for practice, self-assessed data could
be used for screening purposes for oral health service planning and for priority
allocation in large adult populations.
PMID- 24922091
TI - Individual changes in dental fear among children and parents: a longitudinal
study.
AB - OBJECTIVE: The aim was to study longitudinal changes in dental fear among
children and one of their parents separately for girls, boys, mothers and fathers
over a 3.5-year period. MATERIALS AND METHODS: 11-12-year-old children in Pori,
Finland (n = 1691) and one of their parents were invited to participate in this
longitudinal study. Dental fear was measured in 2001, 2003 and 2005 when the
children were 11-12, 13-14 and 15-16-years-old, respectively. The participants
were asked if they were afraid of dental care (1 = 'not afraid', 2 = 'slightly
afraid', 3 = 'afraid to some degree', 4 = 'quite afraid', 5 = 'very afraid' and 6
= 'I don't know'). The participants' gender was also registered. Mean values of
the change scores were studied. Prevalence and incidence of dental fear and
changes in dichotomized dental fear (responses 4-5 = high dental fear and
responses 1-3 = low dental fear) were studied using cross-tabulations and
Cochran's Q test. RESULTS: Overall, the prevalence of dental fear slightly
increased and female preponderance in dental fear became more evident during the
follow-up. Of the mothers and children with high dental fear at the baseline, 24%
and 56%, respectively, reported not to be fearful at the end of the follow-up.
CONCLUSIONS: Dental fear seems to be more stable in adulthood than in childhood.
Thus, it might be better to intervene in dental fear during childhood rather than
during adulthood.
PMID- 24922093
TI - Awareness and perception of human papilloma virus vaccine among healthcare
professionals in Nigeria.
AB - Human papilloma virus (HPV) is a necessary cause of cervical cancer and cervical
cancer is largely a vaccine-preventable disease. The aim of the study was to
document the knowledge of healthcare professionals of the HPV vaccine and its
acceptability. It was a cross-sectional study in three of the six geo-political
zones of Nigeria, carried out between June 2010 and January 2011. The 602 adult
Nigerian respondents were made up of 147 (24.4%) males and 455 (75.6%) females
aged 20-57 years, with a mean of 34.3 +/- 7.9 years; most were under 40 years
(70.1%) and married (n = 394; 65.5%). In total, 548 (91%) were aware of HPV;
83.4% knew HPV as an STI; 78.2% knew HPV can cause cervical cancer but only 265
(44.0%) were aware of the HPV vaccine. Among the healthcare professionals
studied, nurses were the least aware of the existence of the HPV vaccine (chi(2)
= 1.54, p = 0.001). A total of 489 (81.0%) would approve HPV vaccine for their
teenage daughters. The men were more likely to accept the vaccination of their
daughters than the women (chi(2) = 14.76, p = 0.002). The unmarried were more
favourably disposed to vaccination of teenagers than the married (chi(2) = 27.37,
p = 0.001). Safety concerns were the commonest reasons expressed by the 7% who
were reluctant to accept the vaccination of their teenage daughters. In
conclusion, healthcare providers are the custodians of health in a community, yet
have low knowledge of a vaccine that can prevent the commonest cancer in women in
sub-Saharan Africa.
PMID- 24922092
TI - Influence of different drying methods on microtensile bond strength of self
adhesive resin cements to dentin.
AB - OBJECTIVE: This study investigated the effect of different drying methods of
dentin surface on the bonding efficacy of self-adhesive resin cements (SRCs).
MATERIALS AND METHODS: Three SRCs (RelyX U200, RU; Maxcem Elite, ME; and BisCem,
BC) and one resin-modified glass ionomer cement (RelyX Luting 2, RL) were used.
The characteristics of the materials were evaluated using thermogravimetric
analysis and surface roughness and contact angle measurements. Human dentin
surfaces were finished with 600-grit silicon carbide paper and assigned to three
groups according to these drying methods: ethanol dehydration, drying by waiting
for 10 s after blot-drying and blot-drying. The four cements were used for luting
composite overlays to the dried dentin. After 24 h storage at 37 degrees C and
100% relative humidity, stick-shaped specimens with a cross-sectional area of 0.8
mm(2) were prepared and stressed to failure in tension at a crosshead speed of
0.5 mm/min (n = 27). Failure modes of fractured specimens were assessed by
optical and scanning electron microscopy. RESULTS: RL was the most hydrophilic,
followed by BC and ME and then RU. All the luting cements luted to ethanol
dehydrated dentin showed zero bond strengths. For the three SRCs, drying by
waiting produced higher microtensile bond strengths than blot-drying. RU showed
the best bonding performance in the above two dentin conditions. RL showed
significantly higher bond strength in blot-drying condition than in drying-by
waiting (p < 0.001). CONCLUSIONS: This study suggests that dentin surface
moisture has a crucial effect on the bond strength of SRCs.
PMID- 24922094
TI - Removal of uranium and gross radioactivity from coal bottom ash by CaCl2 roasting
followed by HNO3 leaching.
AB - A roast-leach method using CaCl2 and HNO3 to remove uranium and gross
radioactivity in coal bottom ash was investigated. Heat treatment of the ash with
100% CaCl2 (900 degrees C, 2h) significantly enhanced uranium leachability (>95%)
compared with direct acid-leaching (22.6-25.5%). The removal efficiency of
uranium and gross radioactivity increased steeply with increasing CaCl2 content,
from 10% to 50%, and a HNO3 leaching time from 5 min to 1h, but remained nearly
constant or decreased slightly with increasing CaCl2 dosage >50% or acid-leaching
time >1h. The majority of the uranium (87.3%), gross alpha (92.9%) and gross beta
(84.9%) were removed under the optimized roast-leach conditions (50% CaCl2, 1M
HNO3 leaching for 1h). The mineralogical characteristics of roasted clinker
indicated that molten CaCl2 promoted the incorporation of Ca into silica and
silicates and resulted in its progressive susceptibility to acid attack. Uranium
and other radionuclides, most likely present in the form of silicates or in
association with miscellaneous silicates in the highest density fraction (>2.5g
mL(-1)), were probably leached out as the result of the acid decomposition of
newly formed "gelatinizing silicates".
PMID- 24922096
TI - Quantitative assessment of the relationship between radiant heat exposure and
protective performance of multilayer thermal protective clothing during dry and
wet conditions.
AB - The beneficial effect of clothing on a person is important to the criteria for
people exposure to radiant heat flux from fires. The thermal protective
performance of multilayer thermal protective clothing exposed to low heat fluxes
during dry and wet conditions was studied using two designed bench-scale test
apparatus. The protective clothing with four fabric layers (outer shell, moisture
barrier, thermal linear and inner layer) was exposed to six levels of thermal
radiation (1, 2, 3, 5, 7 and 10kW/m(2)). Two kinds of the moisture barrier (PTFE
and GoreTex) with different vapor permeability were compared. The outside and
inside surface temperatures of each fabric layer were measured. The fitting
analysis was used to quantitatively assess the relationship between the
temperature of each layer during thermal exposure and the level of external heat
flux. It is indicated that there is a linear correlation between the temperature
of each layer and the radiant level. Therefore, a predicted equation is developed
to calculate the thermal insulation of the multilayer clothing from the external
heat flux. It can also provide some useful information on the beneficial effects
of clothing for the exposure criteria of radiant heat flux from fire.
PMID- 24922095
TI - Exposure to low dose benzo[a]pyrene during early life stages causes symptoms
similar to cardiac hypertrophy in adult zebrafish.
AB - Growing evidence indicates that polycyclic aromatic hydrocarbons (PAHs) can lead
to cardiac hypertrophy and recent research indicates that exposure to low dose
crude oil during early embryonic development may lead to impacts on heart health
at later life stages. The aim of this study was to evaluate whether exposure
during early life stages to low dose benzo[a]pyrene (BaP), as a high-ring PAH,
would lead to cardiac hypertrophy at later life stages. Zebrafish were exposed to
low dose BaP until 96 hpf, then transferred to clean water and maintained for a
year before histological and molecular biological analysis. Our results showed
that exposure to low level BaP during early life stages increased heart weight to
body weight ratios and deposited collagen in the heart of adult zebrafish. ANP,
BNP and c-Myc were also induced in the heart of adult zebrafish by BaP. These
results proved that low level BaP exposure during early life stages caused
symptoms similar to cardiac hypertrophy in adult zebrafish. Our results displayed
an elevated expression of CdC42, RhoA, p-ERK1, 2 and Rac1. Therefore, the
mechanism of the cardiac hypertrophy caused by BaP exposure during early life
stages may be through inducing the expression of CdC42, RhoA and Rac1, together
with activating ERK1, 2.
PMID- 24922097
TI - Arsenic uptake and depuration kinetics in Microcystis aeruginosa under different
phosphate regimes.
AB - Strategies used by Microcystis aeruginosa, bloom-forming cyanobacteria, for
potential inorganic arsenic (arsenate and arsenite) uptake, and depuration
kinetics under phosphate-enriched (+P) and depleted (-P) treatments were examined
via short- and long-term experiments. Phosphate depletion improved arsenate or
arsenite uptake rate constants. M. aeruginosa arsenite influx occurred
considerably faster than arsenate influx under +P or -P treatments. Different
phosphate regimes yielded significant impacts on long-term but not on short-term
arsenic (As) uptake. In addition, considerable differences were observed in short
term As efflux between live and dead cells after arsenate or arsenite pre
exposure. Arsenic depuration rates in live M. aeruginosa cells were affected not
only by accumulation rates of different As inorganic species but also by
phosphate concentrations in tested media, which was inferred from estimated
kinetic parameters. Specifically, +P was clearly found to inhibit As efflux after
live M. aeruginosa cells were pre-exposed to As(V). Efflux was higher for dead
cells no matter the inorganic As species involved. Owing to higher As uptake and
depuration rates under -P treatments, P deficiency will considerably accelerate
As uptake and efflux processes in aquatic environments.
PMID- 24922098
TI - Facile synthesis of porous single crystalline ZnO nanoplates and their
application in photocatalytic reduction of Cr(VI) in the presence of phenol.
AB - Porous single crystalline ZnO nanoplates were successfully synthesized through a
facile and cost-effective hydrothermal process at low temperature condition,
followed by annealing of the zinc carbonate hydroxide hydrate precursors. The as
prepared products were characterized by X-ray diffraction (XRD), scanning
electron microscopy (SEM), transmission electron microscopy (TEM), and Brunauer
Emmett-Teller (BET) measurements. The porous single crystalline ZnO nanoplates
are with 12nm thickness and pore ranging from 10nm to several tens of nanometers.
The porous structure of the ZnO nanoplates caused large amount of surface defects
which worked as photogenerated holes' shallow trappers and largely restrained the
recombination of photogenerated electrons and holes, resulting in a significantly
high photocatalytic activity and durability toward the photoreduction of Cr(VI)
under UV irradiation. Moreover, a synergistic effect, that is, increased
photocatalytic reduction of Cr(VI) and degradation of phenol, can be observed.
Furthermore, the synergistic photocatalytic mechanism has also been discussed.
Those results present an enlightenment to employ porous single crystalline
nanomaterials to remove Cr(VI) and organic pollutants simultaneously.
PMID- 24922099
TI - The impact of health education transmitted via social media or text messaging on
adolescent and young adult risky sexual behavior: a systematic review of the
literature.
AB - Despite the increased use of social media and text messaging among adolescents,
it is unclear how effective education transmitted via these mechanisms is for
reducing sexual risk behavior. Accordingly, we conducted a systematic review of
the literature to examine the effectiveness of social media and text messaging
interventions designed to increase sexually transmitted disease (STD) knowledge,
increase screening/testing, decrease risky sexual behaviors, and reduce the
incidence of STDs among young adults aged 15 through 24 years. Eleven studies met
our inclusion criteria. Most of the included studies used a control group to
explore intervention effects and included both young men and women. Sample sizes
ranged from 32 to 7606 participants, and follow-up periods ranged between 4 weeks
and 12 months. These studies provide preliminary evidence indicating that social
media and text messaging can increase knowledge regarding the prevention of STDs.
These interventions may also affect behavior, such as screening/testing for STDs,
sexual risk behaviors, and STD acquisition, but the evidence for effect is weak.
Many of these studies had several limitations that future research should
address, including a reliance on self-reported data, small sample sizes, poor
retention, low generalizability, and low analytic rigor. Additional research is
needed to determine the most effective and engaging approaches for young men and
women.
PMID- 24922100
TI - Topical application of trichloroacetic acid is efficacious for the treatment of
internal anal high-grade squamous intraepithelial lesions in HIV-positive men.
AB - OBJECTIVES: To assess the efficacy of topical 80% trichloroacetic acid (TCA) to
treat internal anal high-grade squamous intraepithelial lesions (HSILs) in HIV
positive individuals. METHODS: All patients who attended the University of
Pittsburgh Anal Dysplasia Clinic for treatment of biopsy-proven internal anal
HSIL with topical TCA between July 1, 2009, and June 30, 2012, and who had 1 or
more follow-up visits to assess treatment efficacy were included in the analysis.
Recurrence of HSIL was assessed in July 1, 2013. RESULTS: A total of 98 HSILs
from 72 patients were treated, and 77 (78.6%) resolved to normal epithelium or
low-grade SIL during follow-up. Forty-eight (49.0%) and 27 (27.6%) of lesions
resolved with 1 and 2 TCA treatments, respectively, whereas 1 lesion (1%) each
resolved with 3 and 4 TCA treatments. Twenty-one (21.4%) lesions in 20 patients
remained without resolution after TCA treatments. These patients were offered an
alternative treatment. During follow-up, 8 (15.1%) of 53 patients had a lesion
that recurred at the index site (11/53 [20.8%], inclusive of adjacent lesions)
and 17 patients had new lesions diagnosed. CONCLUSIONS: Topical TCA is an
efficacious treatment of internal anal HSIL in an anal dysplasia clinic setting
with high-resolution anoscopy capacity. Advantages of TCA for this recurrent
disease process include the following: low cost, no requirement for special
equipment beyond that for high-resolution anoscopy, and painless application
procedure. A larger prospective comparative study would better define efficacy
and patient acceptability between treatment methods.
PMID- 24922101
TI - Associations of sexually transmitted infections with condom problems among young
men who have sex with men.
AB - BACKGROUND: Young men who have sex with men (YMSM) are disproportionately
infected with sexually transmitted infections (STIs). Condom use is the most
widely available means of preventing the transmission of STIs, but effectiveness
depends on correct use. Condom errors such as using an oil-based lubricant have
been associated with condom failures such as breakage. Little research has been
done on the impact of condom problems on the likelihood of contracting an STI.
METHODS: Data came from Crew 450, a longitudinal study of HIV risk among YMSM (N
= 450). All self-report data were collected using computer-assisted self
interview technology, and clinical testing was done for gonorrhea, chlamydia, and
HIV. RESULTS: Nearly all participants made at least 1 error, with high rates of
using oil-based lubricant and incomplete use. No differences were found in rates
of condom problems during anal sex with a man versus vaginal sex with a woman.
Black YMSM reported significantly higher use of oil-based lubricants than white
and Hispanic YMSM, an error significantly associated with HIV status (adjusted
odds ratio, 2.60; 95% confidence interval, 1.04-6.51). Participants who reported
a condom failure were significantly more likely to have an STI (adjusted odds
ratio, 3.27; 95% confidence interval, 1.31-8.12). CONCLUSIONS: Young men who have
sex with men report high rates of condom problems, and condom failures were
significantly associated with STIs after controlling for unprotected sex.
Educational programs are needed to enhance correct condom use among YMSM. Further
research is needed on the role of oil-based lubricants in explaining racial
disparities in STIs and HIV.
PMID- 24922102
TI - Multilevel risk factors for greater HIV infection of black men who have sex with
men in New York City.
AB - BACKGROUND: There is a large and disproportionate burden of HIV in black men who
have sex with men (MSM) which is not adequately explained by racial/ethnic
differences in risk behaviors. However, social factors may account for this
disparity in HIV infection. We examine the extent to which both individual risk
behaviors and social factors reduce the effect of black race and may account for
the disparity in HIV infection of black MSM. METHODS: In a cross-sectional study
in New York City in 2011, MSM were venue sampled, interviewed, and HIV tested.
Variables associated (P < 0.10) both with black race and testing HIV positive
were analyzed using multivariate logistic regression. RESULTS: Of 416
participants who were HIV tested and did not self-report being positive, 19.5%
were black, 41.1% were Hispanic, 30.5% were white, and 8.9% were of other
race/ethnicity. Overall, 8.7% tested positive (24.7% of blacks, 7.6% of
Hispanics, 1.0% of whites, and 5.4% of other). The effect of black race versus
non-black race/ethnicity with testing HIV positive declined by 49.2%, (crude odds
ratio, 6.5 [95% confidence interval, 3.2-13.3] vs. adjusted odds ratio, 3.3 [95%
confidence interval, 1.5-7.5]), after adjustment for having a black last sex
partner, not having tested for HIV in the past 12 months, Brooklyn residency, and
having an annual income less than US$20,000. CONCLUSIONS: Greater HIV infection
risk of black MSM may result from social factors and less frequent HIV testing
than from differences in risk behaviors. To reduce the disparity in HIV infection
of black MSM, multilevel interventions that both ameliorate social risk factors
and increase the frequency of HIV testing are needed.
PMID- 24922103
TI - Toll-like receptor polymorphisms are associated with increased neurosyphilis
risk.
AB - BACKGROUND: Single-nucleotide polymorphisms (SNPs) in toll-like receptors (TLR)
1, 2, and 6 impair cell signaling in response to spirochetal lipoproteins. We
investigated whether common SNPs in TLR1, TLR2, or TLR6 were associated with
laboratory- or clinically-defined neurosyphilis. METHODS: Polymorphisms in the
genes for TLR1 (a T->G mutation at position 1805), TLR2 (a G->A mutation at
position 2258), and TLR6 (a C->T mutation at position 745) were sought in 456
white patients with syphilis. Laboratory-defined neurosyphilis included a
reactive cerebrospinal fluid (CSF)-Venereal Disease Research Laboratory (VDRL)
test. Clinically-defined neurosyphilis included new vision or hearing loss.
Controls had CSF white blood cells of 5/MUL or less, nonreactive CSF-Venereal
Disease Research Laboratory, and no vision or hearing loss. RESULTS: Overall,
26.2% of patients had laboratory-defined and 36.2% had clinically-defined
neurosyphilis. Compared with controls, patients with any of the 3 SNPs were more
likely to have laboratory-defined neurosyphilis. Those with TLR2 or TLR6 SNPs
were more likely to have clinically-defined neurosyphilis. These associations
were independent of serum rapid plasma reagin titer. CONCLUSIONS: A common TLR1
polymorphism is associated with an increased risk of laboratory-defined
neurosyphilis, and common TLR2 and TLR6 polymorphisms are associated with an
increased risk of both laboratory- and clinically-defined neurosyphilis. These
data suggest that host factors impact the natural history of syphilis.
PMID- 24922104
TI - Incident sexually transmitted infection as a biomarker for high-risk sexual
behavior after diagnosis of acute HIV.
AB - BACKGROUND: Sexually transmitted infection (STI) diagnosis after diagnosis of
acute HIV infection (AHI) indicates ongoing high-risk sexual behavior and
possible risk of HIV transmission. We assessed predictors of STI acquisition and
the effect of time since care entry on STI incidence in patients with AHI in care
and receiving consistent risk-reduction messaging. METHODS: Data on incident
gonorrhea, chlamydia, trichomoniasis, primary/secondary syphilis, demographic,
and clinical risk factors were abstracted from medical charts for patients
diagnosed as having AHI and engaged in care. Poisson regression models using
generalized estimating equations were fit to estimate incidence rates (IRs), IR
ratios, and robust 95% confidence intervals. RESULTS: Among 185 patients with
AHI, 26 (14%) were diagnosed as having at least 1 incident STI over 709.4 person
years; 46 STIs were diagnosed during follow-up (IR, 6.8/100 person-years). The
median time from HIV care entry to first STI diagnosis was 609 days (range, 168
1681 days). Men who have sex with men (P = 0.03), a shorter time between
presentation to medical care and AHI diagnosis (P = 0.06), and STI diagnosis
before AHI diagnosis (P = 0.0003) were predictors of incident STI. Sexually
transmitted infection IR greater than 1 year after entering care was double that
of patients in care 1 year or less (IR ratio, 2.0; 95% confidence interval, 0.8
4.9). HIV viral load was above the limits of detection within 1 month of 11 STI
diagnoses in 6 patients (23.1%) (median, 15,898 copies/mL; range, 244-152,000
copies/mL). CONCLUSIONS: Despite regular HIV care, STI incidence was high among
this primarily young, men who have sex with men AHI cohort. Early antiretroviral
initiation may decrease HIV transmission given ongoing risk behaviors despite
risk-reduction messaging.
PMID- 24922105
TI - Periodic presumptive treatment for women with prevalent vaginal infections:
secondary analysis of data from a randomized controlled trial.
PMID- 24922106
TI - Translation of "at-home" HIV testing: response to Katz et al. and Hurt and
Powers.
PMID- 24922107
TI - Health reform and shifts in funding for sexually transmitted infection services.
AB - BACKGROUND: In the Affordable Care Act era, no-cost-to-patient publicly funded
sexually transmitted infection (STI) clinics have been challenged as the standard
STI care delivery model. This study examined the impact of removing public
funding and instituting a flat fee within an STI clinic under state-mandated
insurance coverage. METHODS: Cross-sectional database analysis examined changes
in visit volumes, demographics, and payer mix for 4 locations in Massachusetts'
largest safety net hospital (STI clinic, primary care [PC], emergency department
[ED], obstetrics/gynecology [OB/GYN] for 3 periods: early health reform
implementation, reform fully implemented but public STI clinic funding retained,
termination of public funding and institution of a US$75 fee in STI clinic for
those not using insurance). RESULTS: Sexually transmitted infection visits
decreased 20% in STI clinic (P < 0.001), increased 107% in PC (P < 0.001),
slightly decreased in ED, and did not change in OB/GYN. The only large
demographic shift observed was in the sex of PC patients--women comprised 51% of
PC patients seen for STI care in the first time period, but rose sharply to 70%
in the third time period (P < 0.0001). After termination of public funding, 50%
of STI clinic patients paid flat fee, 35% used public insurance, and 15% used
private insurance. CONCLUSIONS: Mandatory insurance, public funding loss, and
institution of a flat STI clinic fee were associated with overall decreases in
STI visit volume, with significant STI clinic visit decreases and PC STI visit
increases. This may indicate partial shifting of STI services into PC. Half of
STI clinic patients chose to pay the flat fee even after reform was fully
implemented.
PMID- 24922108
TI - Sexually transmitted disease clinics in the era of the affordable care act: is it
time to tear down the walls?
PMID- 24922110
TI - Advanced nanoarchitectures of silver/silver compound composites for photochemical
reactions.
AB - Silver/silver compound (SSC) composites have received much attention as a type of
potential materials in photochemical reactions due to their high efficiency,
facile syntheses and availability of raw materials. This article reviews the
state-of-the-art progress on the advanced nanoarchitectures of SSC composites. We
begin with a survey on the general synthetic strategies for SSC composites, and
then step into relatively detailed methods for size and morphology tunable two
component and more delicate multi-component SSC nanostructures. In addition, the
electronic structure-related mechanisms of such materials and the recent studies
on their stability are summarized. This review also highlights some perspectives
on challenges related to the SSC composites and the possible research in the
future.
PMID- 24922109
TI - Insurance and billing concerns among patients seeking free and confidential
sexually transmitted disease care: New York City sexually transmitted disease
clinics 2012.
AB - BACKGROUND: Historically, New York City (NYC) Department of Health and Mental
Hygiene (DOHMH) sexually transmitted disease (STD) clinics have operated
completely free of charge but will soon begin billing patients for services. To
inform billing strategies, we surveyed NYC DOHMH STD clinic patients in fall 2012
to examine response to the prospect of billing insurance and charging sliding
scale fees for services. METHODS: A total of 5017 individuals were surveyed from
all patients accessing clinic services between September and December 2012 at 8
NYC DOHMH STD clinics. The anonymous survey was provided at registration to all
patients, in English or Spanish. The data were analyzed to determine patient
insurance status and other characteristics related to billing for STD services.
RESULTS: More than half of respondents (51.0%) were uninsured, and 42.3% were
unemployed. For 20.2% of respondents, billing would pose a considerable barrier
to care. Nearly half of those insured (48.4%) said that they would not be willing
to share insurance information with the STD clinics. CONCLUSIONS: Respondents who
said they would not access STD clinic services if charged represent approximately
13,600 individuals each year who, if not promptly diagnosed and treated
elsewhere, could be a continuing source of STIs including HIV. Confidentiality
concerns and income are potential obstacles to billing insurance or charging a
direct fee for STD services. New York City DOHMH plans to take the concerns
raised in the survey findings into account when designing our billing system and
carefully evaluate its impact to ensure that the need for accessible,
confidential STD services continues to be met.
PMID- 24922111
TI - The P1/P2 protein heterodimers assemble to the ribosomal stalk at the moment when
the ribosome is committed to translation but not to the native 60S ribosomal
subunit in Saccharomyces cerevisiae.
AB - The four structural acidic ribosomal proteins that dissociate from P1A/P2B and
P1B/P2A heterodimers of Saccharomyces cerevisiae were searched in the 60S
ribosomal subunit, the 80S monosome, and the polysomal fractions after ribosome
profile centrifugation in sucrose gradients in TMN buffer, and after dissociation
of monosomes and polysomes to small and large ribosomal subunits in LMS buffer.
Analysis by isoelectric focusing, sodium dodecyl sulfate-polyacrylamide gel
electrophoresis, and Western blotting of these fractions or the purified acidic
protein samples showed eight bands that correspond to the acidic ribosomal
proteins in the 60S dissociated subunits of the 80S monosome and polysomes. After
samples had been radiolabeled with (32)P, four bands were shown to correspond to
the phosphorylated form of the acidic ribosomal proteins located in the 80S
monosome and the polysomes. Surprisingly, native 60S subunits have no acidic
ribosomal proteins. Altogether, these findings indicate that P1/P2 heterodimers
bind to P0 when both ribosomal subunits are joined and committed to translation,
and they detached from the stalk, just after the small and large ribosomal
subunits were separated from the mRNA. Evidence that the phosphorylated and
unphosphorylated P1 and P2 acidic ribosomal proteins are part of the functional
stalk is also presented.
PMID- 24922113
TI - Antifouling coating with controllable and sustained silver release for long-term
inhibition of infection and encrustation in urinary catheters.
AB - Urinary tract infections constitute a large proportion of nosocomial infections,
and the urinary catheter is the most important predisposing factor. Encrustation
induced by urease-producing uropathogens like Proteus mirabilis causes further
complications. In the present work, a strategy for controllable and sustained
release of silver over several weeks has been developed for combating bacterial
infection and encrustation in urinary devices. Silver nanoparticles (AgNPs) were
first immobilized on polydopamine (PDA) pre-treated silicone catheter surface and
this was followed by another PDA coating. The number of AgNP-PDA bilayers could
be manipulated to control the amount of silver loaded and its subsequent release.
Poly(sulfobetaine methacrylate-co-acrylamide) was then grafted to provide an
antifouling outer layer, and to ensure free diffusion of Ag from the surface. The
micron-scale combination of an antifouling coating with AgNP-PDA bilayers reduced
colonization of the urinary catheter by uropathogens by approximately two orders
of magnitude. With one and two AgNP-PDA bilayers, the coated catheter could
resist encrustation for 12 and 45 days, respectively, compared with approximately
6 days with the DoverTM silver-coated catheter. Such anti-infective and anti
encrustation catheters can potentially have a large impact on reducing patient
morbidity and healthcare expenditure.
PMID- 24922114
TI - Immobility reaction at birth in newborn infant.
AB - OBJECTIVE: To describe an immobility reaction (IR) that was not previously
reported at or immediately after birth in human newborns. METHOD: We analyzed 31
videos of normal term vaginal deliveries recorded from Time 0 of birth defined as
the as the moment that lies between the birth of the thorax and the pelvis of the
infant. We searched for perinatal factors associated with newborn's IR. RESULTS:
IR at birth was observed in 8 of the 31 newborns. The main features of their
behavior were immobilization, frozen face, shallow breathing and bradycardia. One
of the 8 newborns had sudden collapse 2h after birth. We found significant
relationships between maternal prenatal stress (PS) and IR (p=.037), and a close
to significant one between infants' lividness at Time 0 and IR (p=.053). The
first breath of the 31 newborns occurred before and was not associated with the
first cry (p<.001). DISCUSSION: The main features of IR at birth are similar to
those of the universal most severe response to severe stress or danger. The
relationship with PS suggests that children who had IR at birth might be at risk
for similar disorders as those associated with PS. Sudden neonatal collapse of
one of the IR newborns needs further research to determine if they are at risk
for sudden infant death syndrome. CONCLUSION: This first report of an IR reaction
at birth in human infants could open up new paths for improving early neonatal
care. Further research is needed for maternal PS, stress hormones, umbilical cord
blood pH measurements in IR newborns. The challenge of education and support for
parents of IR newborns is outlined.
PMID- 24922112
TI - Cellular noise and information transmission.
AB - The technological revolution in biological research, and in particular the use of
molecular fluorescent labels, has allowed investigation of heterogeneity of
cellular responses to stimuli on the single cell level. Computational,
theoretical, and synthetic biology advances have allowed predicting and
manipulating this heterogeneity with an exquisite precision previously reserved
only for physical sciences. Functionally, this cell-to-cell variability can
compromise cellular responses to environmental signals, and it can also enlarge
the repertoire of possible cellular responses and hence increase the adaptive
nature of cellular behaviors. And yet quantification of the functional importance
of this response heterogeneity remained elusive. Recently the mathematical
language of information theory has been proposed to address this problem. This
opinion reviews the recent advances and discusses the broader implications of
using information-theoretic tools to characterize heterogeneity of cellular
behaviors.
PMID- 24922115
TI - The C-score: a Bayesian framework to sharply improve proteoform scoring in high
throughput top down proteomics.
AB - The automated processing of data generated by top down proteomics would benefit
from improved scoring for protein identification and characterization of highly
related protein forms (proteoforms). Here we propose the "C-score" (short for
Characterization Score), a Bayesian approach to the proteoform identification and
characterization problem, implemented within a framework to allow the infusion of
expert knowledge into generative models that take advantage of known properties
of proteins and top down analytical systems (e.g., fragmentation propensities,
"off-by-1 Da" discontinuous errors, and intelligent weighting for site-specific
modifications). The performance of the scoring system based on the initial
generative models was compared to the current probability-based scoring system
used within both ProSightPC and ProSightPTM on a manually curated set of 295
human proteoforms. The current implementation of the C-score framework generated
a marked improvement over the existing scoring system as measured by the area
under the curve on the resulting ROC chart (AUC of 0.99 versus 0.78).
PMID- 24922118
TI - How disorder controls the kinetics of triplet charge recombination in
semiconducting organic polymer photovoltaics.
AB - Recent experiments by Rao et al. (Nature, 2013, 500, 435-439) indicate that
recombination of triplet charge-separated states is suppressed in organic polymer
fullerene based bulk-heterojunction (BHJ) photovoltaic cells exhibiting a high
degree of crystallinity in the fullerene phase relative to systems with more
disorder. In this paper, we use a series of Frenkel-exciton lattice models to
rationalize these results in terms of wave-function localization, interface
geometry, and density of states. In one-dimensional co-linear and co-facial
models of the interface, increasing local energetic disorder in one phase
localizes the interfacial triplet charge-transfer ((3)CT) states and increases
the rate at which these states relax to form lower-energy triplet excitons. In
two dimensional BHJ models, energetic disorder within the fullerene phase plays
little role in further localizing states pinned to the interface. However,
inhomogeneous broadening introduces strong coupling between the interfacial (3)CT
and nearby fullerene triplet excitons and can enhance the decay of these states
in systems with higher degrees of energetic disorder.
PMID- 24922117
TI - New symptom-based predictive tool for survival at seven and thirty days developed
by palliative home care teams.
AB - AIM: This study sought to develop models to predict survival at 7 and 30 days
based on symptoms detected by palliative home care teams (PHCTs). MATERIALS AND
METHODS: This prospective analytic study included a 6-month recruitment period
with patient monitoring until death or 180 days after recruitment. The inclusion
criteria consisted of age greater than 18 years, advanced cancer, and treatment
provided by participating PHCTs between April and July 2009. The study variables
included death at 7 or 30 days, survival time, age, gender, place of residence,
type of tumor and extension, presence of 11 signs and symptoms measured with a 0
3 Likert scale, functional and cognitive status, and use of a subcutaneous
butterfly needle. The statistics applied included a descriptive analysis
according to the percentage or mean+/-standard deviation. For symptom comparison
between surviving and nonsurviving patients, the chi(2) test was used.
Classification and regression tree (CART) methodology was used for model
development. An internal validation system (cross-validation with 10 partitions)
was used to ensure generalization of the models. The area under the receiver
operating characteristics (ROC) curve was calculated (with a 95% confidence
interval) to assess the validation of the models. RESULTS: A total of 698
patients were included. The mean age of the patients was 73.7+/-12 years, and
60.3% were male. The most frequent type of neoplasm was digestive (37.6%). The
mean Karnofsky score was 51.8+/-14, the patients' cognitive status according to
the Pfeiffer test was 2.6+/-4 errors, and 8.3% of patients required a
subcutaneous butterfly needle. Each model provided 8 decision rules with a
probability assignment range between 2.2% and 99.1%. The model used to predict
the probability of death at 7 days included the presence of anorexia and
dysphagia and the level of consciousness, and this model produced areas under the
curve (AUCs) of 0.88 (0.86-0.90) and 0.81 (0.79-0.83). The model used to predict
the probability of death at 30 days included the presence of asthenia and
anorexia and the level of consciousness, and this model produced AUCs of 0.78
(0.77-0.80) and 0.77 (0.75-0.79). CONCLUSION: For patients with advanced cancer
treated by PHCTs, the use of classification schemes and decision trees based on
specific symptoms can help clinicians predict survival at 7 and 30 days.
PMID- 24922119
TI - Sedentary behaviour and social anxiety in obese individuals: the mediating role
of body esteem.
AB - Given that the prevalence of social anxiety in obese individuals is high, it is
necessary that we increase our knowledge about the related factors that cause
social anxiety in obese individuals. The present study sought to examine the role
of body esteem as a mediator between sedentary behaviour and social anxiety. The
participants were 207 overweight and obese individuals who completed the self
report measures. The structural equation modelling displayed that obese
individuals with sedentary behaviour and poor body esteem were more likely to
show social anxiety. Body esteem partially mediated between sedentary behaviour
and social anxiety. Our results highlight the role of sedentary behaviour and
body esteem as promising avenues for reducing social anxiety in obese
individuals.
PMID- 24922120
TI - Triple comorbid trajectories of tobacco, alcohol, and marijuana use as predictors
of antisocial personality disorder and generalized anxiety disorder among urban
adults.
AB - OBJECTIVES: We modeled triple trajectories of tobacco, alcohol, and marijuana use
from adolescence to adulthood as predictors of antisocial personality disorder
(ASPD) and generalized anxiety disorder (GAD). METHODS: We assessed urban African
American and Puerto Rican participants (n = 816) in the Harlem Longitudinal
Development Study, a psychosocial investigation, at 4 time waves (mean ages = 19,
24, 29, and 32 years). We used Mplus to obtain the 3 variable trajectories of
tobacco, alcohol, and marijuana use from time 2 to time 5 and then conducted
logistic regression analyses. RESULTS: A 5-trajectory group model, ranging from
the use of all 3 substances (23%) to a nonuse group (9%), best fit the data.
Membership in the trajectory group that used all 3 substances was associated with
an increased likelihood of both ASPD (adjusted odds ratio [AOR] = 6.83; 95% CI =
1.14, 40.74; P < .05) and GAD (AOR = 4.35; 95% CI = 1.63, 11.63; P < .001) in
adulthood, as compared with the nonuse group, with control for earlier proxies of
these conditions. CONCLUSIONS: Adults with comorbid tobacco, alcohol, and
marijuana use should be evaluated for use of other substances and for ASPD, GAD,
and other psychiatric disorders. Treatment programs should address the use of all
3 substances to decrease the likelihood of comorbid psychopathology.
PMID- 24922121
TI - Rules and values: a coordinated regulatory and educational approach to the public
health crises of chronic pain and addiction.
AB - Chronic pain and opioid addiction are 2 pressing public health problems, and
prescribing clinicians often lack the skills necessary to manage these
conditions. Our study sought to address the benefits of a coalition of an
academic medical center pain faculty and government agencies in addressing the
high unintentional overdose death rates in New Mexico. New Mexico's 2012-2013
mandated chronic pain and addiction education programs studied more than 1000
clinicians. Positive changes were noted in precourse and postcourse surveys of
knowledge, self-efficacy, and attitudes. Controlled substance dispensing data
from the New Mexico Board of Pharmacy also demonstrated safer prescribing. The
total morphine and Valium milligram equivalents dispensed have decreased
continually since 2011. There was also a concomitant decline in total drug
overdose deaths.
PMID- 24922122
TI - The case for improving the health of ex-prisoners.
AB - The global prison population exceeds 10 million and continues to grow; more than
30 million people are released from custody annually. These individuals are
disproportionately poor, disenfranchised, and chronically ill. There are
compelling, evidence-based arguments for improving health outcomes for ex
prisoners on human rights, public health, criminal justice, and economic grounds.
These arguments stand in stark contrast to current policy and practice in most
settings. There is also a dearth of evidence to guide clinicians and policymakers
on how best to care for this large and growing population during and after their
transition from custody to community. Well-designed longitudinal studies,
clinical trials, and burden of disease studies are pivotal to closing this
evidence gap.
PMID- 24922123
TI - Severe physical violence and Black women's health and well-being.
AB - OBJECTIVES: We evaluated the association between intimate partner violence and
the mental and physical health status of US Caribbean Black and African American
women. METHODS: We used 2001 to 2003 cross-sectional data from the National
Survey of American Life-the most detailed study to date of physical and mental
health disorders of Americans of African descent. We assessed participants'
health conditions by the Diagnostic and Statistical Manual of Mental Disorders,
Fourth Edition (Washington, DC; American Psychological Association) Composite
International Diagnostic Interview. RESULTS: We found differences in health
conditions between abused African American and Caribbean Black women. There were
increased risks for lifetime dysthymia, alcohol dependence, drug abuse, and poor
perceived health for African American victims of partner abuse, and binge eating
disorder was associated with partner violence among Caribbean Black women.
CONCLUSIONS: Severe intimate partner violence was associated with negative mental
and physical health outcomes for US Black women, with different patterns between
African American and Caribbean Blacks. Understanding intimate partner violence
experiences of US Black women requires recognition of key intragroup differences,
including nativity and immigrant status, and their differential relationships to
women's health.
PMID- 24922124
TI - Tobacco smoke incursions in multiunit housing.
AB - OBJECTIVES: We sought to describe the prevalence of secondhand tobacco smoke
incursions reported by multiunit housing (MUH) residents, pinpoint factors
associated with exposure, and determine whether smoke-free building policy was
associated with prevalence of reported tobacco smoke incursions. METHODS: Data
are from a 2011 nationally representative dual-frame survey (random-digit-dial
and Internet panels) of US adults aged 18 years and older. Individuals who lived
in MUH and who reported no smoking in their homes for the past 3 months, whether
or not they reported being smokers themselves, were included in this study.
Incursions were defined as smelling tobacco smoke in their building or unit.
RESULTS: Of 562 respondents, 29.5% reported smoke incursions in their buildings.
Of these, 16% reported incursions in their own unit, 36.2% of which occurred at
least weekly. Government-subsidized housing and partial smoke-free policies were
associated with a higher likelihood of reporting smoke incursions. CONCLUSIONS:
Many residents of multiunit housing are exposed to tobacco smoke in their units
and buildings. Partial smoke-free policies do not appear to protect residents and
might increase the likelihood of incursions in residents' individual units.
PMID- 24922125
TI - The component model of infrastructure: a practical approach to understanding
public health program infrastructure.
AB - Functioning program infrastructure is necessary for achieving public health
outcomes. It is what supports program capacity, implementation, and
sustainability. The public health program infrastructure model presented in this
article is grounded in data from a broader evaluation of 18 state tobacco control
programs and previous work. The newly developed Component Model of Infrastructure
(CMI) addresses the limitations of a previous model and contains 5 core
components (multilevel leadership, managed resources, engaged data, responsive
plans and planning, networked partnerships) and 3 supporting components
(strategic understanding, operations, contextual influences). The CMI is a
practical, implementation-focused model applicable across public health programs,
enabling linkages to capacity, sustainability, and outcome measurement.
PMID- 24922126
TI - The interplay of friendship networks and social networking sites: longitudinal
analysis of selection and influence effects on adolescent smoking and alcohol
use.
AB - OBJECTIVES: We examined the coevolution of adolescent friendships and peer
influences with respect to their risk behaviors and social networking site use.
METHODS: Investigators of the Social Network Study collected longitudinal data
during fall 2010 and spring 2011 from 10th-grade students in 5 Southern
California high schools (n = 1434). We used meta-analyses of stochastic actor
based models to estimate changes in friendship ties and risk behaviors and the
effects of Facebook and MySpace use. RESULTS: Significant shifts in adolescent
smoking and drinking occurred despite little change in overall prevalence rates.
Students with higher levels of alcohol use were more likely to send and receive
friendship nominations and become friends with other drinkers. They were also
more likely to increase alcohol use if their friends drank more. Adolescents
selected friends with similar Facebook and MySpace use habits. Exposure to
friends' risky online pictures increased smoking behaviors but had no significant
effects on alcohol use. CONCLUSIONS: Our findings support a greater focus on
friendship selection mechanisms in school-based alcohol use interventions. Social
media platforms may help identify at-risk adolescent groups and foster positive
norms about risk behaviors.
PMID- 24922127
TI - Meta-analysis of all-cause mortality according to serum 25-hydroxyvitamin D.
AB - We examined the relationship between serum 25-hydroxyvitamin D (25[OH]D) and all
cause mortality. We searched biomedical databases for articles that assessed 2 or
more categories of 25(OH)D from January 1, 1966, to January 15, 2013. We
identified 32 studies and pooled the data. The hazard ratio for all-cause
mortality comparing the lowest (0-9 nanograms per milliliter [ng/mL]) to the
highest (> 30 ng/mL) category of 25(OH)D was 1.9 (95% confidence interval = 1.6,
2.2; P < .001). Serum 25(OH)D concentrations less than or equal to 30 ng/mL were
associated with higher all-cause mortality than concentrations greater than 30
ng/mL (P < .01). Our findings agree with a National Academy of Sciences report,
except the cutoff point for all-cause mortality reduction in this analysis was
greater than 30 ng/mL rather than greater than 20 ng/mL.
PMID- 24922128
TI - Health literacy environmental scans of community-based dental clinics in
Maryland.
AB - OBJECTIVES: We conducted health literacy environmental scans in 26 Maryland
community-based dental clinics to identify institutional characteristics and
provider practices that affect dental services access and dental caries
education. METHODS: In 2011-2012 we assessed user friendliness of the clinics
including accessibility, signage, facility navigation, educational materials, and
patient forms. We interviewed patients and surveyed dental providers about their
knowledge and use of communication techniques. RESULTS: Of 32 clinics, 26
participated. Implementation of the health literacy environmental scan tools was
acceptable to the dental directors and provided clinic directors with information
to enhance care and outreach. We found considerable variation among clinic
facilities, operations, and content of educational materials. There was less
variation in types of insurance accepted, no-show rates, methods of communicating
with patients, and electronic health records use. Providers who had taken a
communication skills course were more likely than those who had not to use
recommended communication techniques. CONCLUSIONS: Our findings provide insight
into the use of health literacy environmental scan tools to identify clinic and
provider characteristics and practices that can be used to make dental
environments more user friendly and health literate.
PMID- 24922129
TI - Military service, exposure to trauma, and health in older adulthood: an analysis
of northern Vietnamese survivors of the Vietnam War.
AB - OBJECTIVES: We sought to better understand the association between early life
exposure to war and trauma and older adult health status in a developing setting.
METHODS: We analyzed data of 405 Vietnamese men and women in 1 northern Vietnam
commune who entered early adulthood during the Vietnam War and who are now
entering late adulthood (i.e., ages 55 years and older in 2010). RESULTS: The
toll of war's trauma in the aging northern Vietnamese population was perceptible
in the association between exposure to war trauma and various measures of
physical health, including negative self-reported health and somatic symptoms.
Killing another person and being exposed to toxic substances in warfare was
especially detrimental to health in older adulthood. War traumas were likely
implicated more strongly as determinants of late adulthood health in men than in
women. The weak association between trauma exposure and reported depressive
symptoms raised questions about measuring mental health. CONCLUSIONS: Military
service and war trauma were important determinants of older adult health beyond
the US context, given the widespread waging of war and concentration of recent
armed conflicts within developing societies.
PMID- 24922130
TI - A framework for describing health care delivery organizations and systems.
AB - Describing, evaluating, and conducting research on the questions raised by
comparative effectiveness research and characterizing care delivery organizations
of all kinds, from independent individual provider units to large integrated
health systems, has become imperative. Recognizing this challenge, the Delivery
Systems Committee, a subgroup of the Agency for Healthcare Research and Quality's
Effective Health Care Stakeholders Group, which represents a wide diversity of
perspectives on health care, created a draft framework with domains and elements
that may be useful in characterizing various sizes and types of care delivery
organizations and may contribute to key outcomes of interest. The framework may
serve as the door to further studies in areas in which clear definitions and
descriptions are lacking.
PMID- 24922131
TI - Additional benefits of medical screening in a dental setting.
PMID- 24922132
TI - Evolution and convergence of state laws governing controlled substance
prescription monitoring programs, 1998-2011.
AB - OBJECTIVES: We sought to collect and characterize all laws governing the
operation of prescription monitoring programs (PMPs), state-level databases that
collect patient-specific prescription information, which have been suggested as a
tool for reducing prescription drug overdose fatalities. METHODS: We utilized a
structured legal research protocol to systematically identify, review, and code
all PMP statutes and regulations effective from 1998 through 2011. These laws
were then abstracted along eleven domains, including reporting provisions, data
sharing, and data access. RESULTS: PMP characteristics vary greatly among states
and across time. We observed an increase in the types and frequency of data
required to be reported, the types of individuals permitted to access PMP data,
and the percentage of PMPs authorized to proactively identify outlier prescribers
and patients. As of 2011, 10 states required PMPs to report suspicious activity
to law enforcement, while only 3 required reporting to the patient's physician.
None required linkage to drug treatment or required all prescribers to review PMP
data before prescribing. Few explicitly address data retention. CONCLUSIONS:
State PMP laws are heterogeneous and evolving. Future studies of PMP
effectiveness should take these variations into account.
PMID- 24922133
TI - Expanded access to naloxone among firefighters, police officers, and emergency
medical technicians in Massachusetts.
AB - Naloxone is a medication that reverses respiratory depression from opioid
overdose if given in time. Paramedics routinely administer naloxone to opioid
overdose victims in the prehospital setting, and many states are moving to
increase access to the medication. Several jurisdictions have expanded naloxone
administration authority to nonparamedic first responders, and others are
considering that step. We report here on policy change in Massachusetts, where
several communities have equipped emergency medical technicians, law enforcement
officers, and firefighters with naloxone.
PMID- 24922134
TI - Educational disparities in the burden of disability: contributions of disease
prevalence and disabling impact.
AB - OBJECTIVES: We assessed the contributions of the prevalence and disabling impact
of specific diseases to educational disparities in the prevalence of disability.
METHODS: We examined a large representative survey of the Dutch population, the
Dutch Permanent Survey of Living Conditions (2001-2007; n = 24 883; ages 40-97
years). We attributed the prevalence of disability to chronic diseases by using
their empirical associations and assuming independent competing causes of
disability. We estimated contributions of prevalence and the disabling impact of
diseases to disparities in disability using counterfactuals. RESULTS: We found
that the prevalence of disability in individuals with only an elementary
education was 19 to 20 percentage points higher than that in individuals with
tertiary education. Sixty-five percent of this difference could be attributed to
specific chronic diseases, but more so to their disabling impact (49%-51%) than
to their prevalence (20%-29%). Back pain, neck or arm conditions, and peripheral
vascular disease contributed most to the disparity in men, and arthritis, back
pain, and chronic nonspecific lung disease contributed most to the disparity in
women. CONCLUSIONS: Educational disparities in the burden of disability were
primarily caused by high disabling impacts of chronic diseases among low educated
groups. Tackling disparities might require more effective treatment or
rehabilitation of disability in lower socioeconomic groups.
PMID- 24922135
TI - Differences in time use and activity patterns when adding a second job:
implications for health and safety in the United States.
AB - OBJECTIVES: We compared work and lifestyle activities for workers who work in 1
job with those who work in multiple jobs during a 1-week period. METHODS: We used
information from the 2003-2011 American Time Use Survey to classify workers into
6 work groups based on whether they were a single (SJH) or multiple (MJH) job
holder and whether they worked their primary, other, multiple, or no job on the
diary day. RESULTS: The MJHs often worked 2 part-time jobs (20%), long weekly
hours (27% worked 60+ hours), and on weekends. The MJHs working multiple jobs on
the diary day averaged more than 2 additional work hours (2.25 weekday, 2.75
weekend day; P < .05), odd hours (more often between 5 pm and 7 am), with more
work travel time (10 minutes weekday, 9 minutes weekend day; P < .05) and less
sleep (-45 minutes weekday, -62 minutes weekend day; P < .05) and time for other
household (P < .05) and leisure (P < .05) activities than SJHs. CONCLUSIONS:
Because of long work hours, long daily commutes, multiple shifts, and less sleep
and leisure time, MJHs may be at heightened risk of fatigue and injury.
PMID- 24922136
TI - Traffic fatality reductions: United States compared with 25 other countries.
AB - OBJECTIVES: I compared US traffic fatality trends with those in 25 other
countries. METHODS: I have introduced a new measure for comparing safety in
different countries: traffic deaths in a specific year relative to largest annual
number recorded. I used only data from the International Road Traffic Accident
Database. RESULTS: The United States is a unique outlier. Fatalities in all 25
other countries declined further after reaching their maximum values. For
example, the United States and the Netherlands both reached maximum values in
1972. From 1972 to 2011 US deaths declined by 41%, whereas those in the
Netherlands declined by 81%. If US fatalities had declined by 81% there would
have been 22 000 fewer US road deaths in 2011. If the United States matched
percentage declines of 6 additional countries, US deaths would have declined by
more than 20 000. CONCLUSIONS: If US traffic deaths had declined by the same
percentage as in any 1 of 7 other countries, more than 20 000 fewer Americans
would have been killed in 2011.
PMID- 24922137
TI - Industry actors, think tanks, and alcohol policy in the United kingdom.
AB - Corporate actors seek to influence alcohol policies through various means,
including attempts to shape the evidential content of policy debates. In this
case study, we examined how SABMiller engaged the think tank Demos to produce
reports on binge drinking, which were heavily promoted among policymakers at
crucial stages in the development of the UK government's 2012 alcohol strategy.
One key report coincided with other SABMiller-funded publications, advocating
measures to enhance parenting as an alternative to minimum unit pricing. In this
instance, the perceived independence of an influential think tank was used to
promote industry interests in tactics similar to those of transnational tobacco
corporations. This approach is in keeping with other alcohol industry efforts to
marginalize the peer-reviewed literature.
PMID- 24922139
TI - Brockmann et al. respond.
PMID- 24922140
TI - Twenty thousand more Americans killed annually because US traffic-safety policy
rejects science.
PMID- 24922138
TI - Determinants of increased opioid-related mortality in the United States and
Canada, 1990-2013: a systematic review.
AB - We review evidence of determinants contributing to increased opioid-related
mortality in the United States and Canada between 1990 and 2013. We identified 17
determinants of opioid-related mortality and mortality increases that we
classified into 3 categories: prescriber behavior, user behavior and
characteristics, and environmental and systemic determinants. These determinants
operate independently but interact in complex ways that vary according to
geography and population, making generalization from single studies inadvisable.
Researchers in this area face significant methodological difficulties; most of
the studies in our review were ecological or observational and lacked control
groups or adjustment for confounding factors; thus, causal inferences are
difficult. Preventing additional opioid-related mortality will likely require
interventions that address multiple determinants and are tailored to specific
locations and populations.
PMID- 24922141
TI - Intervention model for contaminated consumer products: a multifaceted tool for
protecting public health.
AB - Lead-based paint and occupational lead hazards remain the primary exposure
sources of lead in New York City (NYC) children and men, respectively. Lead
poisoning has also been associated with the use of certain consumer products in
NYC. The NYC Department of Health and Mental Hygiene developed the Intervention
Model for Contaminated Consumer Products, a comprehensive approach to identify
and reduce exposure to lead and other hazards in consumer products. The model
identifies hazardous consumer products, determines their availability in NYC,
enforces on these products, and provides risk communication and public education.
Implementation of the model has resulted in removal of thousands of contaminated
products from local businesses and continues to raise awareness of these
hazardous products.
PMID- 24922142
TI - Effects of lowering the minimum alcohol purchasing age on weekend assaults
resulting in hospitalization in New Zealand.
AB - OBJECTIVES: We estimated the effects on assault rates of lowering the minimum
alcohol purchasing age in New Zealand from 20 to 18 years. We hypothesized that
the law change would increase assaults among young people aged 18 to 19 years
(the target group) and those aged 15 to 17 years via illegal sales or alcohol
supplied by older friends or family members. METHODS: Using Poisson regression,
we examined weekend assaults resulting in hospitalization from 1995 to 2011.
Outcomes were assessed separately by gender among young people aged 15 to 17
years and those aged 18 to 19 years, with those aged 20 and 21 years included as
a control group. RESULTS: Relative to young men aged 20 to 21 years, assaults
increased significantly among young men aged 18 to 19 years between 1995 and 1999
(the period before the law change), as well as the postchange periods 2003 to
2007 (incidence rate ratio [IRR] = 1.21; 95% confidence interval [CI] = 1.05,
1.39) and 2008 to 2011 (IRR = 1.20; 95% CI = 1.05, 1.37). Among boys aged 15 to
17 years, assaults increased during the postchange periods 1999 to 2003 (IRR =
1.28; 95% CI = 1.10, 1.49) and 2004 to 2007 (IRR = 1.25; 95% CI = 1.08, 1.45).
There were no statistically significant effects among girls and young women.
CONCLUSIONS: Lowering the minimum alcohol purchasing age increased weekend
assaults resulting in hospitalization among young males 15 to 19 years of age.
PMID- 24922143
TI - Community mobilization and empowerment of female sex workers in Karnataka State,
South India: associations with HIV and sexually transmitted infection risk.
AB - OBJECTIVES: We examined the impact of community mobilization (CM) on the
empowerment, risk behaviors, and prevalence of HIV and sexually transmitted
infection in female sex workers (FSWs) in Karnataka, India. METHODS: We conducted
behavioral-biological surveys in 2008 and 2011 in 4 districts of Karnataka,
India. We defined exposure to CM as low, medium (attended nongovernmental
organization meeting or drop-in centre), or high (member of collective or peer
group). We used regression analyses to explore whether exposure to CM was
associated with the preceding outcomes. Pathway analyses explored the degree to
which effects could be attributable to CM. RESULTS: By the final survey, FSWs
with high CM exposure were more likely to have been tested for HIV (adjusted odd
ratio [AOR] = 25.13; 95% confidence interval [CI] = 13.07, 48.34) and to have
used a condom at last sex with occasional clients (AOR = 4.74; 95% CI = 2.17,
10.37), repeat clients (AOR = 4.29; 95% CI = 2.24, 8.20), and regular partners
(AOR = 2.80; 95% CI = 1.43, 5.45) than FSWs with low CM exposure. They were also
less likely to be infected with gonorrhea or chlamydia (AOR = 0.53; 95% CI =
0.31, 0.87). Pathway analyses suggested CM acted above and beyond peer education;
reduction in gonorrhea or chlamydia was attributable to CM. CONCLUSIONS: CM is a
central part of HIV prevention programming among FSWs, empowering them to better
negotiate condom use and access services, as well as address other concerns in
their lives.
PMID- 24922144
TI - Adolescent immunization coverage and implementation of new school requirements in
Michigan, 2010.
AB - OBJECTIVES: We examined the effect of Michigan's new school rules and vaccine
coadministration on time to completion of all the school-required vaccine series,
the individual adolescent vaccines newly required for sixth grade in 2010, and
initiation of the human papillomavirus (HPV) vaccine series, which was
recommended but not required for girls. METHODS: Data were derived from the
Michigan Care Improvement Registry, a statewide Immunization Information System.
We assessed the immunization status of Michigan children enrolled in sixth grade
in 2009 or 2010. We used univariable and multivariable Cox regression models to
identify significant associations between each factor and school completeness.
RESULTS: Enrollment in sixth grade in 2010 and coadministration of adolescent
vaccines at the first adolescent visit were significantly associated with
completion of the vaccines required for Michigan's sixth graders. Children
enrolled in sixth grade in 2010 had higher coverage with the newly required
adolescent vaccines by age 13 years than did sixth graders in 2009, but there was
little difference in the rate of HPV vaccine initiation among girls. CONCLUSIONS:
Education and outreach efforts, particularly regarding the importance and
benefits of coadministration of all recommended vaccines in adolescents, should
be directed toward health care providers, parents, and adolescents.
PMID- 24922146
TI - Self, medicated.
PMID- 24922145
TI - Tobacco retailer proximity and density and nicotine dependence among smokers with
serious mental illness.
AB - OBJECTIVES: We examined the density and proximity of tobacco retailers and
associations with smoking behavior and mental health in a diverse sample of 1061
smokers with serious mental illness (SMI) residing in the San Francisco Bay Area
of California. METHODS: Participants' addresses were geocoded and linked with
retailer licensing data to determine the distance between participants' residence
and the nearest retailer (proximity) and the number of retailers within 500-meter
and 1-kilometer service areas (density). RESULTS: More than half of the sample
lived within 250 meters of a tobacco retailer. A median of 3 retailers were
within 500 meters of participants' residences, and a median of 12 were within 1
kilometer. Among smokers with SMI, tobacco retailer densities were 2-fold greater
than for the general population and were associated with poorer mental health,
greater nicotine dependence, and lower self-efficacy for quitting. CONCLUSIONS:
Our findings provide further evidence of the tobacco retail environment as a
potential vector contributing to tobacco-related disparities among individuals
with SMI and suggest that this group may benefit from progressive environmental
protections that restrict tobacco retail licenses and reduce aggressive point-of
sale marketing.
PMID- 24922147
TI - Associations of adolescent hopelessness and self-worth with pregnancy attempts
and pregnancy desire.
AB - OBJECTIVES: We examined the associations of pregnancy desire (ambivalence or
happiness about a pregnancy in the next year) and recent pregnancy attempts with
hopelessness and self-worth among low-income adolescents. METHODS: To evaluate
independent associations among the study variables, we conducted gender
stratified multivariable logistic regression analyses with data derived from 2285
sexually experienced 9- to 18-year-old participants in the Mobile Youth Survey
between 2006 and 2009. RESULTS: Fifty-seven percent of youths reported a desire
for pregnancy and 9% reported pregnancy attempts. In multivariable analyses,
hopelessness was positively associated and self-worth was negatively associated
with pregnancy attempts among both female and male youths. Hopelessness was
weakly associated (P = .05) with pregnancy desire among female youths.
CONCLUSIONS: The negative association of self-worth and the positive association
of hopelessness with pregnancy attempts among young men as well as young women
and the association of hopelessness with pregnancy desire among young women raise
questions about why pregnancy is apparently valued by youths who rate their
social and cognitive competence as low and who live in an environment with few
options for material success.
PMID- 24922148
TI - State Medicaid expansion, community interventions, and health care disparities in
a United States-Mexico border community.
AB - OBJECTIVES: We investigated whether access to and use of health care services
increased among residents of a low-income, predominantly Mexican American border
community affected by the expansion of Arizona's Medicaid program in 2001 and
multiple community-level programs and policies. METHODS: We used data from a
probability sample of 1623 adult residents of Douglas, Arizona, who participated
in cross-sectional health surveys in 1998 and 2010. Response rates were 83% and
86%, respectively. RESULTS: In 2010, participants were more likely to have a
usual source of care, to have visited a provider in the previous year, and to
have been screened for diabetes and hypertension and less likely to have delayed
needed care or to have seen a regular provider in Mexico (P < .001 for all
outcomes). Improvements in access to and use of health care were most pronounced
among residents with less than a high school education, which reduced or
eliminated educational disparities in health care. CONCLUSIONS: Expansion of
public insurance programs can effectively reduce health care disparities when
paired with other community-level policies and programs that target medically
underserved populations.
PMID- 24922149
TI - Impact of state cigarette taxes on disparities in maternal smoking during
pregnancy.
AB - OBJECTIVES: We evaluated the impact of state tobacco control policies on
disparities in maternal smoking during pregnancy. METHODS: We analyzed 2000-2010
National Vital Statistics System natality files with 17 699 534 births from 28
states and the District of Columbia that used the 1989 revision of the birth
certificate. We conducted differences-in-differences regression models to assess
whether changes in cigarette taxes and smoke-free legislation were associated
with changes in maternal smoking during pregnancy and number of cigarettes
smoked. To evaluate disparities, we included interaction terms between maternal
race/ethnicity, education, and cigarette taxes. RESULTS: Although maternal
smoking decreased from 11.6% to 8.9%, White and Black women without a high school
degree had some of the highest rates of smoking (39.7% and 16.4%, respectively).
These same women were the most responsive to cigarette tax increases, but not to
smoke-free legislation. For every $1.00 cigarette tax increase, low-educated
White and Black mothers decreased smoking by nearly 2 percentage points and
smoked between 14 and 22 fewer cigarettes per month. CONCLUSIONS: State cigarette
taxes may be an effective population-level intervention to decrease racial/ethnic
and socioeconomic disparities in maternal smoking during pregnancy.
PMID- 24922150
TI - Public bicycle share programs and head injuries.
AB - OBJECTIVES: We evaluated the effect of North American public bicycle share
programs (PBSPs), which typically do not offer helmets with rentals, on the
occurrence of bicycle-related head injuries. METHODS: We analyzed trauma center
data for bicycle-related injuries from 5 cities with PBSPs and 5 comparison
cities. We used logistic regression models to compare the odds that admission for
a bicycle-related injury would involve a head injury 24 months before PBSP
implementation and 12 months afterward. RESULTS: In PBSP cities, the proportion
of head injuries among bicycle-related injuries increased from 42.3% before PBSP
implementation to 50.1% after (P < .01). This proportion in comparison cities
remained similar before (38.2%) and after (35.9%) implementation (P = .23). Odds
ratios for head injury were 1.30 (95% confidence interval = 1.13, 1.67) in PBSP
cities and 0.94 (95% confidence interval = 0.79, 1.11) in control cities
(adjusted for age and city) when we compared the period after implementation to
the period before. CONCLUSIONS: Results suggest that steps should be taken to
make helmets available with PBSPs. Helmet availability should be incorporated
into PBSP planning and funding, not considered an afterthought following
implementation.
PMID- 24922151
TI - Impact of texting laws on motor vehicular fatalities in the United States.
AB - Using a panel study design, we examined the effects of different types of texting
bans on motor vehicular fatalities. We used the Fatality Analysis Reporting
System and a difference-in-differences approach to examine the incidence of fatal
crashes in 2000 through 2010 in 48 US states with and without texting bans. Age
cohorts were constructed to examine the impact of these bans on age-specific
traffic fatalities. Primarily enforced laws banning all drivers from texting were
significantly associated with a 3% reduction in traffic fatalities in all age
groups, and those banning only young drivers from texting had the greatest impact
on reducing deaths among those aged 15 to 21 years. Secondarily enforced
restrictions were not associated with traffic fatality reductions in any of our
analyses.
PMID- 24922152
TI - The next generation of users: prevalence and longitudinal patterns of tobacco use
among US young adults.
AB - OBJECTIVES: We monitored the prevalence and patterns of use of the array of
tobacco products available to young adults, who are at risk for initiation and
progression to established tobacco use. METHODS: We used data from waves 1 to 3
of GfK's KnowledgePanel (2011-2012), a nationally representative cohort of young
adults aged 18 to 34 years (n = 2144). We examined prevalence and patterns of
tobacco product use over time, associated demographics, and state-level tobacco
policy. We used multivariable logistic regression to determine predictors of
initiation of cigarettes as well as noncombustible and other combustible
products. RESULTS: The prevalence of ever tobacco use rose from 57.28% at wave 1
to 67.43% at wave 3. Use of multiple products was the most common pattern (66.39%
of tobacco users by wave 3). Predictors of initiation differed by product type
and included age, race/ethnicity, policy, and use of other tobacco products.
CONCLUSIONS: Tobacco use is high among young adults and many are using multiple
products. Efforts to implement policy and educate young adults about the risks
associated with new and emerging products are critical to prevent increased
initiation of tobacco use.
PMID- 24922153
TI - Sources of racial/ethnic differences in awareness of HIV vaccine trials.
AB - OBJECTIVES: We explored the relative effects of 2 awareness components-exposure
and attention-on racial/ethnic differences in HIV vaccine trial awareness among
men who have sex with men (MSM). METHODS: Surveys assessing awareness of and
attitudes toward HIV vaccine trials were administered to 1723 MSM in 6 US cities.
Proxy measures of exposure included use of HIV resources and other health care
services, community involvement, income, and residence. Attention proxy measures
included research attitudes, HIV susceptibility, and HIV message fatigue. Using
logistic regression models, we assessed the extent to which these proxies
accounted for racial/ethnic differences in vaccine trial awareness. RESULTS:
White MSM reported significantly (P < .01) higher rates of HIV vaccine trial
awareness (22%) compared with Latino (17%), Black (13%) and "other" (13%) MSM.
Venue-based exposure proxies and research-directed attitudinal attention proxies
were significantly associated with awareness, but only accounted for the White
Latino disparity in awareness. No proxies accounted for the White-Black or White
"other" differentials in awareness. CONCLUSIONS: Sources of disparities in
awareness of HIV vaccine trials remain to be explained. Future trials seeking to
promote diverse participation should explore additional exposure and attention
mediators.
PMID- 24922154
TI - Prevalence, harm perceptions, and reasons for using noncombustible tobacco
products among current and former smokers.
AB - OBJECTIVES: We provided estimates of noncombustible tobacco product (electronic
nicotine delivery systems [ENDS]; snus; chewing tobacco, dip, or snuff; and
dissolvables) use among current and former smokers and examined harm perceptions
of noncombustible tobacco products and reasons for their use. METHODS: We
assessed awareness of, prevalence of, purchase of, harm perceptions of, and
reasons for using noncombustible tobacco products among 1487 current and former
smokers from 8 US designated market areas. We used adjusted logistic regression
to identify correlates of noncombustible tobacco product use. RESULTS: Of the
sample, 96% were aware of at least 1 noncombustible tobacco product, but only 33%
had used and 21% had purchased one. Noncombustible tobacco product use was
associated with being male, non-Hispanic White, younger, and more nicotine
dependent. Respondents used noncombustible tobacco products to cut down or quit
cigarettes, but only snus was associated with a higher likelihood of making a
quit attempt. Users of noncombustible tobacco products, particularly ENDS, were
most likely to endorse the product as less harmful than cigarettes. CONCLUSIONS:
Smokers may use noncombustible tobacco products to cut down or quit smoking.
However, noncombustible tobacco product use was not associated with a reduction
in cigarettes per day or cessation.
PMID- 24922155
TI - Termination of medicaid policies and implications for the Affordable Care Act.
PMID- 24922156
TI - Language and stigmatization in addiction medicine.
PMID- 24922157
TI - Latent tuberculosis infection screening in foreign-born populations: a successful
mobile clinic outreach model.
AB - OBJECTIVES: We evaluated the efficacy of a mobile medical clinic (MMC) screening
program for detecting latent tuberculosis infection (LTBI) and active
tuberculosis. METHODS: A LTBI screening program in a MMC in New Haven,
Connecticut, used medical surveys to examine risk factors and tuberculin skin
test (TST) screening eligibility. We assessed clinically relevant correlates of
total (prevalent; n = 4650) and newly diagnosed (incident; n = 4159) LTBI from
2003 to 2011. RESULTS: Among 8322 individuals, 4159 (55.6%) met TST screening
eligibility criteria, of which 1325 (31.9%) had TST assessed. Similar to LTBI
prevalence (16.8%; 779 of 4650), newly diagnosed LTBI (25.6%; 339 of 1325) was
independently correlated with being foreign-born (adjusted odds ratio [AOR] =
8.49; 95% confidence interval [CI] = 5.54, 13.02), Hispanic (AOR = 3.12; 95% CI =
1.88, 5.20), Black (AOR = 2.16; 95% CI = 1.31, 3.55), employed (AOR = 1.61; 95%
CI = 1.14, 2.28), and of increased age (AOR = 1.04; 95% CI = 1.02, 1.05).
Unstable housing (AOR = 4.95; 95% CI = 3.43, 7.14) and marijuana use (AOR = 1.57;
95% CI = 1.05, 2.37) were significantly correlated with incident LTBI, and being
male, heroin use, interpersonal violence, employment, not having health
insurance, and not completing high school were significantly correlated with
prevalent LTBI. CONCLUSIONS: Screening for TST in MMCs successfully identifies
high-risk foreign-born, Hispanic, working, and uninsured populations and
innovatively identifies LTBI in urban settings.
PMID- 24922158
TI - Evaluating the effect of state regulation of federally licensed firearm dealers
on firearm homicide.
AB - Effective federal regulation of firearm dealers has proven difficult.
Consequently, many states choose to implement their own regulations. We examined
the impact of state-required licensing, record keeping of sales, allowable
inspections, and mandatory theft reporting on firearm homicide from 1995 to 2010.
We found that lower homicide rates were associated with states that required
licensing and inspections. We concluded that firearm dealer regulations might be
an effective harm reduction strategy for firearm homicide.
PMID- 24922159
TI - Discrimination and substance use disorders among Latinos: the role of gender,
nativity, and ethnicity.
AB - OBJECTIVES: We examined the relationship between discrimination and substance use
disorders among a diverse sample of Latinos. We also investigated whether the
relationship between discrimination and substance use disorders varied by gender,
nativity, and ethnicity. METHODS: Our analyses focused on 6294 Latinos who
participated in the National Epidemiologic Survey on Alcohol and Related
Conditions from 2004 to 2005. We used multinomial logistic regression to examine
the association between discrimination and substance use disorders. RESULTS:
Discrimination was significantly associated with increased odds of alcohol and
drug use disorders among Latinos. However, the relationship between
discrimination and substance use disorders varied by gender, nativity, and
ethnicity. Discrimination was associated with increased odds of alcohol and drug
use disorders for certain groups, such as women, US-born Latinos, and Mexicans,
but this relationship did not follow the same pattern for other subgroups.
CONCLUSIONS: It is important to determine which subgroups among Latinos may be
particularly vulnerable to the negative effects of discrimination to address
their needs.
PMID- 24922160
TI - Primary prevention of lead poisoning: protecting children from unsafe housing.
AB - OBJECTIVES: We examined the effects of changes in Rhode Island's Lead Hazard
Mitigation Law in 2005 on children's blood lead levels. METHODS: We used 2005 to
2009 data from Rhode Island's Lead Elimination Surveillance System; city tax
assessor records in Central Falls, Pawtucket, Providence, and Woonsocket, Rhode
Island; and records of conformance to the state's lead hazard mitigation law, to
assess the extent to which legislation changes resulted in minimizing children's
exposure to lead. RESULTS: During the 5-year study, the proportion of properties
that complied with the new law increased for properties that housed young
children. However, the majority of rental properties did not comply with the law.
Children's lead levels declined by approximately 1 microgram per deciliter on
average in properties that did comply, demonstrating that the law could have a
protective effect for children. CONCLUSIONS: Legislation changes increased the
proportion of properties that were certified as nonhazardous, leading to
decreased blood lead levels for children living in these properties. However,
legislation cannot be a highly effective primary prevention strategy if it does
not cover all properties where children live and is not strictly enforced.
PMID- 24922161
TI - The impact of data suppression on local mortality rates: the case of CDC WONDER.
AB - CDC WONDER (Centers for Disease Control and Prevention Wide-Ranging Online Data
for Epidemiologic Research) is the nation's primary data repository for health
statistics. Before WONDER data are released to the public, data cells with fewer
than 10 case counts are suppressed. We showed that maps produced from suppressed
data have predictable geographic biases that can be removed by applying
population data in the system and an algorithm that uses regional rates to
estimate missing data. By using CDC WONDER heart disease mortality data, we
demonstrated that effects of suppression could be largely overcome.
PMID- 24922162
TI - Effects of the It's Your Game . . . Keep It Real program on dating violence in
ethnic-minority middle school youths: a group randomized trial.
AB - OBJECTIVES: We examined whether It's Your Game . . . Keep It Real (IYG) reduced
dating violence among ethnic-minority middle school youths, a population at high
risk for dating violence. METHODS: We analyzed data from 766 predominantly ethnic
minority students from 10 middle schools in southeast Texas in 2004 for a group
randomized trial of IYG. We estimated logistic regression models, and the primary
outcome was emotional and physical dating violence perpetration and victimization
by ninth grade. RESULTS: Control students had significantly higher odds of
physical dating violence victimization (adjusted odds ratio [AOR] = 1.52; 95%
confidence interval [CI] = 1.20, 1.92), emotional dating violence victimization
(AOR = 1.74; 95% CI = 1.36, 2.24), and emotional dating violence perpetration
(AOR = 1.58; 95% CI = 1.11, 2.26) than did intervention students. The odds of
physical dating violence perpetration were not significantly different between
the 2 groups. Program effects varied by gender and race/ethnicity. CONCLUSIONS:
IYG significantly reduced 3 of 4 dating violence outcomes among ethnic-minority
middle school youths. Although further study is warranted to determine if IYG
should be widely disseminated to prevent dating violence, it is one of only a
handful of school-based programs that are effective in reducing adolescent dating
violence behavior.
PMID- 24922163
TI - Missed opportunity for alcohol problem prevention among army active duty service
members postdeployment.
AB - OBJECTIVES: We identified to what extent the Department of Defense postdeployment
health surveillance program identifies at-risk drinking, alone or in conjunction
with psychological comorbidities, and refers service members who screen positive
for additional assessment or care. METHODS: We completed a cross-sectional
analysis of 333 803 US Army active duty members returning from Iraq or
Afghanistan deployments in fiscal years 2008 to 2011 with a postdeployment health
assessment. Alcohol measures included 2 based on self-report quantity-frequency
items-at-risk drinking (positive Alcohol Use Disorders Identification Test
alcohol consumption questions [AUDIT-C] screen) and severe alcohol problems
(AUDIT-C score of 8 or higher)-and another based on the interviewing provider's
assessment. RESULTS: Nearly 29% of US Army active duty members screened positive
for at-risk drinking, and 5.6% had an AUDIT-C score of 8 or higher. Interviewing
providers identified potential alcohol problems among only 61.8% of those
screening positive for at-risk drinking and only 74.9% of those with AUDIT-C
scores of 8 or higher. They referred for a follow-up visit to primary care or
another setting only 29.2% of at-risk drinkers and only 35.9% of those with AUDIT
C scores of 8 or higher. CONCLUSIONS: This study identified missed opportunities
for early intervention for at-risk drinking. Future research should evaluate the
effect of early intervention on long-term outcomes.
PMID- 24922164
TI - Preconception stress, birth weight, and birth weight disparities among US women.
AB - OBJECTIVES: We examined the impact of preconception acute and chronic stressors
on offspring birth weight and racial/ethnic birth weight disparities. METHODS: We
included birth weights for singleton live first (n = 3512) and second (n = 1901)
births to White, Mexican-origin Latina, other-origin Latina, and Black women
reported at wave IV of the National Longitudinal Study of Adolescent Health (2007
2008; ages 24-32 years). We generated factor scores for preconception acute and
chronic stressors from wave I (1994-1995; ages 11-19 years) or wave III (2001
2002; ages 18-26 years) for the same cohort of women. RESULTS: Linear regression
models indicated that chronic stressors, but not acute stressors, were inversely
associated with birth weight for both first and second births (b = -192; 95%
confidence interval = -270, -113; and b = -180; 95% confidence interval = -315,
45, respectively), and partially explained the disparities in birth weight
between the minority racial/ethnic groups and Whites. CONCLUSIONS: Preconception
chronic stressors contribute to restricted birth weight and to racial/ethnic
birth weight disparities.
PMID- 24922165
TI - Socioeconomic disparities in telephone-based treatment of tobacco dependence.
AB - OBJECTIVES: We examined socioeconomic disparities in tobacco dependence treatment
outcomes from a free, proactive telephone counseling quitline. METHODS: We
delivered cognitive-behavioral treatment and nicotine patches to 6626 smokers and
examined socioeconomic differences in demographic, clinical, environmental, and
treatment use factors. We used logistic regressions and generalized estimating
equations (GEE) to model abstinence and account for socioeconomic differences in
the models. RESULTS: The odds of achieving long-term abstinence differed by
socioeconomic status (SES). In the GEE model, the odds of abstinence for the
highest SES participants were 1.75 times those of the lowest SES participants.
Logistic regression models revealed no treatment outcome disparity at the end of
treatment, but significant disparities 3 and 6 months after treatment.
CONCLUSIONS: Although quitlines often increase access to treatment for some lower
SES smokers, significant socioeconomic disparities in treatment outcomes raise
questions about whether current approaches are contributing to tobacco-related
socioeconomic health disparities. Strategies to improve treatment outcomes for
lower SES smokers might include novel methods to address multiple factors
associated with socioeconomic disparities.
PMID- 24922166
TI - Changes in experiences with discrimination across pregnancy and postpartum: age
differences and consequences for mental health.
AB - OBJECTIVES: We aimed to contribute to growing research and theory suggesting the
importance of examining patterns of change over time and critical life periods to
fully understand the effects of discrimination on health, with a focus on the
period of pregnancy and postpartum and mental health outcomes. METHODS: We used
hierarchical linear modeling to examine changes across pregnancy and postpartum
in everyday discrimination and the resulting consequences for mental health among
predominantly Black and Latina, socioeconomically disadvantaged young women who
were receiving prenatal care in New York City. RESULTS: Patterns of change in
experiences with discrimination varied according to age. Among the youngest
participants, discrimination increased from the second to third trimesters and
then decreased to lower than the baseline level by 1 year postpartum; among the
oldest participants, discrimination decreased from the second trimester to 6
months postpartum and then returned to the baseline level by 1 year postpartum.
Within-subjects changes in discrimination over time predicted changes in
depressive and anxiety symptoms at subsequent points. Discrimination more
strongly predicted anxiety symptoms among participants reporting food insecurity.
CONCLUSIONS: Our results support a life course approach to understanding the
impact of experiences with discrimination on health and when to intervene.
PMID- 24922167
TI - Transition to adulthood and antiretroviral adherence among HIV-positive young
Black men who have sex with men.
AB - OBJECTIVES: We conducted a qualitative study of HIV-positive young Black men who
have sex with men (YBMSM) to explore their experiences of living with HIV and
adhering to antiretroviral medications (ARVs) within the developmental context of
their transition to adulthood. METHODS: We conducted life history interviews with
20 HIV-positive YBMSM in Atlanta, Georgia, engaged in outpatient HIV care. We
addressed these questions: (1) How do YBMSM living with HIV experience the
transition to adulthood? and (2) What are the important sociocontextual
influences on ARV adherence for YBMSM? RESULTS: Successful transition to
adulthood and optimal ARV adherence were inextricably linked. HIV's detrimental
impact on development was moderated by the degree of physical illness at
diagnosis. Many participants described resilient trajectories while coping with
HIV. Adherence problems occurred primarily among participants who were not
meeting their developmental goals. CONCLUSIONS: Our findings support the need for
early diagnosis and linkage to care, as well as the need to develop holistic,
resilience-based interventions focusing on transition to adulthood. These
findings have implications for individual clinical outcomes as well as ARV-based
prevention efforts among YBMSM.
PMID- 24922168
TI - Estimating the proportion of cases of lung cancer legally attributable to
smoking: a novel approach for class actions against the tobacco industry.
AB - OBJECTIVES: The plaintiffs' lawyers for a class action suit, which was launched
in Quebec on behalf of all patients with lung cancer whose disease was caused by
cigarette smoking, asked us to estimate what proportion of lung cancer cases in
Quebec, if they hypothetically could be individually evaluated, would satisfy the
criterion that it is "more likely than not" that smoking caused the disease.
METHODS: The novel methodology we developed is based on the dose-response
relationship between smoking and lung cancer, for which we use the pack-years as
a measure of smoking, and the distribution of pack-years of smoking among cases.
RESULTS: We estimated that the amount of smoking required to satisfy the "more
likely than not" criterion is between 3 and 11 pack-years. More than 90% of the
Quebec cases satisfied even the most conservative of these thresholds.
CONCLUSIONS: More than 90% of cases of lung cancer in Quebec are legally
attributable to smoking. The methodology enhances the ability to conduct class
action suits against the tobacco industry.
PMID- 24922169
TI - Mortality from sexually transmitted diseases in reproductive-aged women: United
States, 1999-2010.
AB - OBJECTIVES: I estimated the sexually transmitted disease-related mortality among
US reproductive-aged women from 1999 to 2010. METHODS: I estimated mortality from
National Center for Health Statistics' Multiple Cause of Death data. I defined
reproductive age as 15 to 44 years. For diseases partially caused by sexual
transmission, I estimated the proportion attributable to sexual transmission from
the literature. To calculate mortality rates, I estimated number of deaths from
each disease and Census Bureau population for reproductive-aged women for 1999 to
2010. RESULTS: From 1999 to 2010, the cumulative sexually transmitted disease
related mortality rate decreased by 49%, from 5.3 to 2.7 deaths per 100 000. The
primary contributors were HIV and human papilloma virus infections. Mortality
from sexually transmitted HIV infection decreased by 62%, from 3.4 to 1.3 deaths
per 100 000. Mortality from human papilloma virus-associated gynecologic cancers
decreased by 19%, from 1.6 deaths per 100 000 in 1999 to 1.3 deaths per 100 000
in 2010. CONCLUSIONS: Screening and treatment for sexually transmitted diseases
may reduce mortality. Research is needed to determine whether sexually
transmitted disease-related morbidity among reproductive-aged women has decreased
over the past decade.
PMID- 24922170
TI - Self-management: a comprehensive approach to management of chronic conditions.
AB - For both clinical and economic reasons, the increasing number of persons living
with chronic conditions represents a public health issue of growing importance.
Emphasizing patient responsibility, and acting in concert with the provider
community, self-management represents a promising strategy for treating chronic
conditions-moving beyond education to teaching individuals to actively identify
challenges and solve problems associated with their illness. Self-management also
shows potential as an effective paradigm across the prevention spectrum (primary,
secondary, and tertiary) by establishing a pattern for health early in life and
providing strategies for mitigating illness and managing it in later life. We
suggest ways to advance research methods and practical applications of self
management as steps in its future development and implementation.
PMID- 24922171
TI - Women's health and the Affordable Care Act: high hopes versus harsh realities?
AB - Our population-based survey of 1078 randomly sampled US women, aged 18 to 55
years, sought to characterize their understanding of and attitudes toward the
Affordable Care Act (ACA). Most women, especially socially disadvantaged groups,
had negative or uncertain attitudes toward the ACA and limited understanding of
its health benefits, including its relevance for their own health service
coverage and utilization. Our findings are important for continued research,
policy, and practice, with implications for whether, when, and how improved
coverage will translate to improved access and outcomes for US women.
PMID- 24922172
TI - A comparison of cessation counseling received by current smokers at US dentist
and physician offices during 2010-2011.
AB - OBJECTIVES: We compared patient-reported receipt of smoking cessation counseling
from US dentists and physicians. METHODS: We analyzed the 2010 to 2011 Tobacco
Use Supplement of the Current Population Survey to assess receipt of smoking
cessation advice and assistance by a current smoker from a dentist or physician
in the past 12 months. RESULTS: Current adult smokers were significantly less
likely to be advised to quit smoking during a visit to a dentist (31.2%) than to
a physician (64.8%). Among physician patients who were advised to quit, 52.7%
received at least 1 form of assistance beyond the simple advice to quit; 24.5% of
dental patients received such assistance (P < .05). Approximately 9.4 million
smokers who visited a dentist in 2010 to 2011 did not receive any cessation
counseling. CONCLUSIONS: Our results indicate a need for intensified efforts to
increase dentist involvement in cessation counseling. System-level changes,
coupled with regular training, may enhance self-efficacy of dentists in engaging
patients in tobacco cessation counseling.
PMID- 24922173
TI - Transient childhood psychosis after upper respiratory infection.
AB - Two cases of psychosis after upper respiratory infection were reported. New
mental illness among children was substantiated after analyzing the cause of
psychosis during the past 10 years of practice. It is confirmed that there is an
association between psychosis and upper respiratory infection.
PMID- 24922174
TI - Fat content and composition in retail samples of Australian beef mince.
AB - Nutrient composition data, representative of the retail supply, is required to
support labelling and dietetic practice. Because beef mince represents
approximately 30% of all beef dishes prepared in Australian households, a
national survey of the different types of mince available for purchase in
representative retail outlets was conducted. Sixty-one samples of beef mince from
24 retail outlets in New South Wales, Queensland, Victoria and Western Australia
were collected in 2010 and analysed for moisture, protein, total fat and fatty
acid profile. A variety of 18 different descriptors were used at point of sale
with "Premium" (n = 15) and "Regular" (n = 8) the most commonly used terms. The
analysed fat content of "Premium" samples varied from 2.2 g/100 g to 8.0 g/100 g.
Forty-eight percent (n = 29) of the samples were categorised as low fat (<5 g/100
g; mean 4.1 g/100 g), 21% as medium fat (5-10 g/100 g; mean 8.9 g/100 g) and 31%
as high fat (>10 g/100 g; mean 10.4 g/100 g). There was no significant difference
between the types of mince available for purchase in low versus high socio
economic suburbs (Chi-square, p > 0.05). In conclusion, the fat content of the
majority of retail beef mince in Australia is <10 g/100 g and a variety of
descriptors are used at point of sale, all of which do not necessarily reflect
analysed fat content.
PMID- 24922175
TI - Zinc fortification decreases ZIP1 gene expression of some adolescent females with
appropriate plasma zinc levels.
AB - Zinc homeostasis is achieved after intake variation by changes in the expression
levels of zinc transporters. The aim of this study was to evaluate dietary intake
(by 24-h recall), absorption, plasma zinc (by absorption spectrophotometry) and
the expression levels (by quantitative PCR), of the transporters ZIP1 (zinc
importer) and ZnT1 (zinc exporter) in peripheral white blood cells from 24
adolescent girls before and after drinking zinc-fortified milk for 27 day. Zinc
intake increased (p < 0.001) from 10.5 +/- 3.9 mg/day to 17.6 +/- 4.4 mg/day, and
its estimated absorption from 3.1 +/- 1.2 to 5.3 +/- 1.3 mg/day. Mean plasma zinc
concentration remained unchanged (p > 0.05) near 150 ug/dL, but increased by 31
ug/dL (p < 0.05) for 6/24 adolescents (group A) and decreased by 25 ug/dL (p <
0.05) for other 6/24 adolescents (group B). Expression of ZIP1 in blood
leukocytes was reduced 1.4-fold (p < 0.006) in group A, while for the expression
of ZnT1 there was no difference after intervention (p = 0.39). An increase of
dietary zinc after 27-days consumption of fortified-milk did not increase (p >
0.05) the plasma level of adolescent girls but for 6/24 participants from group A
in spite of the formerly appropriation, which cellular zinc uptake decreased as
assessed by reduction of the expression of ZIP1.
PMID- 24922176
TI - Ordered carbon nanotubes-n-dodecyl tetraethylene monoether liquid crystal
composites through phase separation induced by poly(ethylene glycol).
AB - Carbon nanotubes (CNTs) were incorporated into a lyotropic liquid crystal (LLC)
matrix at room temperature through spontaneous phase separation. The phase
separation process occurred in n-dodecyl tetraethylene monoether (C12E4)
solutions induced by the hydrophilic polymer, poly(ethylene glycol) (PEG). It was
found that the molecular weight of PEG has a significant effect on the CNTs-C12E4
system, which not only influences the phase behavior of the system but also
changes the properties of the CNTs-LLC composites. Polarized optical microscopy
(POM) images, combined with small-angle X-ray scattering (SAXS) results, indicate
that CNTs incorporate within the layers of the lamellar LLCs without destroying
the structure of LLCs. Moreover, UV-vis absorption, Raman spectra and rheological
measurements were performed to investigate the characteristic properties of the
CNTs-LLC composites. This study not only gives a more comprehensive understanding
of polymer-induced phase separation, but also expands the potential uses of CNTs
LLC composites in nanotechnology.
PMID- 24922178
TI - Gd(DOTAlaP): exploring the boundaries of fast water exchange in gadolinium-based
magnetic resonance imaging contrast agents.
AB - Here, we describe the synthesis of the single amino acid chelator DOTAlaP and
four of its derivatives. The corresponding gadolinium(III) complexes were
investigated for their kinetic inertness, relaxometric properties at a range of
fields and temperatures, water exchange rate, and interaction with human serum
albumin (HSA). Derivatives with one inner-sphere water (q = 1) were determined to
have a mean water residency time between 8 and 6 ns in phoshate-buffered saline
at 37 degrees C. The corresponding europium complexes were also formed and used
to obtain information on the hydration number of the corresponding coordination
complexes. Two complexes capable of binding HSA were also synthesized, of which
one, Gd(5b), contains no inner-sphere water, while the other derivative, Gd(4b),
is a mixture of ca. 15% q =1 and 85% q = 0. In the presence of HSA, the latter
displayed a very short mean water residency time (tauM(310) = 2.4 ns) and
enhanced relaxivity at intermediate and high fields. The kinetic inertness of
Gd(4b) with respect to complex dissociation was decreased compared to its DOTAla
analogue but still 100-fold more inert than [Gd(BOPTA)(H2O)](2-). Magnetic
resonance imaging in mice showed that Gd(4b) was able to provide 38% better
vessel to muscle contrast compared to the clinically used HSA binding agent MS
325.
PMID- 24922179
TI - Drug development for pediatric neurogenic bladder dysfunction: dosing, endpoints,
and study design.
AB - Pediatric drug development is challenging when a product is studied for a
pediatric disease that has a different underlying etiology and pathophysiology
compared to the adult disease. Neurogenic bladder dysfunction (NBD) is such a
therapeutic area with multiple unsuccessful development programs. The objective
of this study was to critically evaluate clinical trial design elements that may
have contributed to unsuccessful drug development programs for pediatric NBD.
Trial design elements of drugs tested for pediatric NBD were identified from
trials submitted to the U.S. Food and Drug Administration. Data were extracted
from publically available FDA reviews and labeling and included trial design,
primary endpoints, enrollment eligibilities, and pharmacokinetic data. A total of
four products were identified. Although all four programs potentially provided
clinically useful information, only one drug (oxybutynin) demonstrated efficacy
in children with NBD. The lack of demonstrable efficacy for the remainder of the
products illustrates that future trials should give careful attention to testing
a range of doses, using objectively measured, clinically meaningful endpoints,
and selecting clinical trial designs that are both interpretable and feasible.
Compiling the drug development experience with pediatric NBD will facilitate an
improved approach for future drug development for this, and perhaps other,
therapeutic areas.
PMID- 24922180
TI - Controlled in situ fabrication of Ag2O/AgO thin films by a dry chemical route at
room temperature for hybrid solar cells.
AB - Silver oxides (Ag2O and AgO) have attracted increasing attention as potential
solar cell materials for photovoltaic devices due to their ideal bandgap and non
toxicity. In order to eliminate the complicated synthesis and harsh reaction
conditions (e.g. high temperature, high vacuum, high energy input, electron beam
instrumentation) required by most synthetic strategies, we developed a very
facile dry chemical approach to directly prepare AgxO species on the ITO
substrate by taking advantage of a UV-O3 surrounding in a controlled way. We
systematically investigated the effects of relative humidity, reaction
temperature, and the silver deposition technique on the formation of silver oxide
(AgO or Ag2O) thin films. A possible synthetic mechanism for the formation of AgO
and Ag2O is proposed. More importantly, we have designed and successfully
fabricated novel inorganic hybrid Ag2O/Bi2O3 heterojunction thin films for the
first time which exhibit significantly improved photocurrent compared with pure
Bi2O3 films.
PMID- 24922181
TI - Levosulpiride in somatoform disorders: A double-blind, placebo-controlled cross
over study.
AB - Reliable data on the psychopharmacotherapy of somatoform disorders (SDs) are
scanty because of patients' poor psychopathological awareness and compliance, the
need for combination treatment, and the lack of suitable instruments for clinical
diagnosis and assessment. The aim of the present study was to investigate the
efficacy and tolerability of low doses of levosulpiride in the treatment of SDs.
Seventy-four patients with SDs diagnosed according to ICD-10 and DSM-IIIR
criteria by means of the Comprehensive International Schedule for Somatoform
Disorders-Somatoform Disorders Schedule (CISSD-SDS) were treated for 4 weeks
either with levosulpiride (50 mg b.i.d.) or placebo, under double-blind, cross
over conditions. The clinical evaluation was performed using CISSD-SDS. Side
effects were evaluated using the Simpson and Angus Extrapyramidal Side Effects
Scale (EPSE) and specific check-lists for anticholinergic and endocrine side
effects. Levosulpiride significantly reduced the number of SD symptoms compared
to placebo ( P =0.007) after 4 weeks of treatment. Eighty per cent of positive
responses were observed during treatment with levosulpiride in the placebo
levosulpiride sequence; on the other hand, only 44% of positive responses were
found during treatment with active compound in the levosulpiride-placebo sequence
( P <0.002). Levosulpiride also determined a more evident reduction of the total
number of SD symptoms compared to placebo ( P <0.001). There were no differences
in endocrine and anticholinergic side effects between levosulpiride and placebo.
In the levosulpiride group, a higher percentage of patients (13.4 vs. 2.8%; P
=0.029) showed signs of extrapyramidal system involvement compared to placebo.
Levosulpiride appears to be a well-tolerated and effective drug for the treatment
for SDs.
PMID- 24922182
TI - Quality of Life in 833 outpatients with major depression treated with open-label
venlafaxine extended release: An observational 24-week study.
AB - BACKGROUND Quality of Life (QoL) assessments are common in medicine and,
recently, in psychiatry, mostly in patients with chronic mental illness. We
evaluated QoL in depressed outpatients treated with venlafaxine-XR over a period
of 24 weeks. METHOD We evaluated 833 patients with DSM-IV major depression using
the Hamilton Depression Rating Scale (HAM-D), the Hamilton Anxiety Rating Scale
(HAM-A), the Montgomery-Asberg Depression Rating Scale (MADRS), and the QoL in
Depression Scale (QLDS). The patients received venlafaxine-XR and we evaluated
them after 4, 8, and 24 weeks of treatment. RESULTS HAM-D scores decreased from a
baseline of 24.6 - 6.3 to 6.0 - 5.5 (mean - SD; P <0.0001) after 24
weeks. HAM-A scores decreased from a baseline of 32.3 - 7.9 to 6.8 - 6.8 (
P <0.0001) after 24 weeks. QLDS scores decreased from a baseline of 25.8 - 5.8
to 6.6 - 7.5 ( P <0.0001) after 24 weeks, indicating improvement in QoL. The
response after 4 weeks was also significant and continued improving during the
study. Venlafaxine-XR was shown to be safe and well tolerated. DISCUSSION Open
label venlafaxine-XR was safe, effective, well tolerated, and improved not only
depression and anxiety symptoms, but also QoL, in outpatients with major
depression. This study has the limitations of any non-randomized, non-blinded
multiple-site clinical trial.
PMID- 24922183
TI - Veganism Is a Viable Alternative to Conventional Diet Therapy for Improving Blood
Lipids and Glycemic Control.
AB - The American Diabetes Association (ADA) and the National Cholesterol Education
Program (NCEP) have each outlined a set of dietary recommendations aimed at
improving glycemic control and blood lipids, respectively. However, traditional
vegan diets (low-fat diets that proscribe animal product consumption) are also
effective at improving glycemic control, and dietary portfolios (vegan diets that
contain prescribed amounts of plant sterols, viscous fibers, soy protein, and
nuts) are also effective at improving blood lipids. The purpose of this review
was to compare the effects of traditional vegan diets and dietary portfolios with
ADA and NCEP diets on body weight, blood lipids, blood pressure, and glycemic
control. The main findings are that traditional vegan diets appear to improve
glycemic control better than ADA diets in individuals with type 2 diabetes
mellitus (T2DM), while dietary portfolios have been consistently shown to improve
blood lipids better than NCEP diets in hypercholesterolemic individuals.
PMID- 24922184
TI - Comparative analysis of bacterial diversity and communities inhabiting the fairy
ring of Tricholoma matsutake by barcoded pyrosequencing.
AB - AIMS: Comparative analysis of the soil bacterial communities inhabiting the fairy
ring of Tricholoma matsutake. METHODS AND RESULTS: The bacterial communities in
soil samples collected from inside, beneath and outside the T. matsutake fairy
ring were investigated using barcoded pyrosequencing. A total of 15 129 reads
were obtained, and 500-536 operational taxonomic units were observed at a 97%
similarity level. Taxonomic analysis showed similar taxa distribution patterns
inside and beneath the fairy ring. Three bacterial phyla, Proteobacteria,
Acidobacteria and Actinobacteria, were dominant in all sampling sites. A heat-map
analysis of the bacterial genera showed that the uncultured bacterium EU445199
was remarkably abundant outside the fairy ring, and the uncultured bacteria
GU727715 and DQ451510 were more and less abundant, respectively, beneath the
fairy ring than inside and outside the fairy ring. CONCLUSIONS: The results
indicated that there was no significant difference in bacterial diversity inside,
beneath and outside the fairy ring even though T. matsutake is predominant
beneath the fairy ring. SIGNIFICANCE AND IMPACT OF THE STUDY: This study is the
first report on the numerous culturable, unculturable and unclassified bacteria
in the fairy ring of T. matsutake using the pyrosequencing method.
PMID- 24922185
TI - The ultrastructure of type I collagen at nanoscale: large or small D-spacing
distribution?
AB - D-Spacing is the most significant topographic feature of type I collagen fibril,
and it is important for our understanding of the structure and function in
collagens. Traditionally, the D-spacing of type I collagen fibril was shown to
have a singular value of 67 nm, but recent works indicated that the D-spacing
values have a large distribution of up to 10 nm when measured by atomic force
microscopy. We found that this large distribution of D-spacing values mainly
resulted from image drift during measurement. Note that the D-spacing was
homogeneous in a single type I collagen fibril. Our statistical analysis
indicated that the D-spacing values of type I collagen fibrils exhibited only a
narrow distribution of 2.5 nm around the value of 67 nm. In addition, the D
spacing values of the collagen fibrils were nearly identical not only within a
single fibril bundle, but also in different fibril bundles. The measurement of
the D-spacing values of collagen may provide important structural information in
many research areas such as collagen related diseases, construction of molecular
model of collagen, and collagen fibrogenesis.
PMID- 24922187
TI - A mystery caller evaluation of emergency contraception supply practices in
community pharmacies in Victoria, Australia.
AB - The aim of this study was to determine supply practices of Victorian community
pharmacies in relation to the emergency contraceptive pill (ECP), following
release of an updated guideline by the Pharmaceutical Society of Australia.
Telephone call scripts were developed for three scenarios in which the ECP was
requested: outside the licensed 72-h time frame (Scenario 1); by a woman under 16
years (Scenario 2); and for future use (Scenario 3). From 1222 pharmacies, 515
were randomly selected and allocated into three groups: 177 to Scenario 1 and 169
to each of Scenarios 2 and 3. Pharmacists' responses were categorised as 'yes',
'no' or 'ambiguous' and descriptive statistics were calculated. The results are
as follows. Scenario 1: over half (55.4%; 92/166) declined supply and most
referred to the doctor, citing the time frame or the ECP as no longer being
effective reasons. Decreased effectiveness was readily discussed among those
willing to supply. Scenario 2: more than half (53.9%, 89/165) agreed to supply,
assessing the request against eligibility criteria outlined in the guideline;
however, 5.6% (5/89) were only willing if the woman obtained a doctor's
prescription or recommendation. Scenario 3: less than half (40.5%; 66/163)
declined supply, mainly due to no therapeutic need. Only four respondents willing
to give the ECP knew that supply was bona fide. In conclusion, pharmacists'
practices are variable and not always in line with the recommendations of the
Pharmaceutical Society of Australia guideline. Pharmacists' awareness of the
guideline needs to be raised so women can unobtrusively access the ECP.
PMID- 24922186
TI - Soluble epoxide hydrolase inhibitor attenuates inflammation and airway
hyperresponsiveness in mice.
AB - Control of airway inflammation is critical in asthma treatment. Soluble epoxide
hydrolase (sEH) has recently been demonstrated as a novel therapeutic target for
treating inflammation, including lung inflammation. We hypothesized that
pharmacological inhibition of sEH can modulate the inflammatory response in a
murine ovalbumin (OVA) model of asthma. BALB/c mice were sensitized and exposed
to OVA over 6 weeks. A sEH inhibitor (sEHI) was administered for 2 weeks.
Respiratory system compliance, resistance, and forced exhaled nitric oxide were
measured. Lung lavage cell counts were performed, and selected cytokines and
chemokines in the lung lavage fluid were measured. A LC/MS/MS method was used to
measure 87 regulatory lipids mediators in plasma, lung tissue homogenates, and
lung lavage fluid. The pharmacological inhibition of sEH increased concentrations
of the antiinflammatory epoxy eicosatrienoic acids and simultaneously decreased
the concentrations of the proinflammatory dihydroxyeicosatrienoic acids and
dihydroxyoctadecenoic acids. All monitored inflammatory markers, including FeNO
levels, and total cell and eosinophil numbers in the lung lavage of OVA-exposed
mice were reduced by sEHI. The type 2 T helper cell (Th2) cytokines (IL-4, IL-5)
and chemokines (Eotaxin and RANTES) were dramatically reduced after sEHI
administration. Resistance and dynamic lung compliance were also improved by
sEHI. We demonstrated that sEHI administration attenuates allergic airway
inflammation and airway responsiveness in a murine model. sEHI may have potential
as a novel therapeutic strategy for allergic asthma.
PMID- 24922188
TI - SiPM optical crosstalk amplification due to scintillator crystal: effects on
timing performance.
AB - For a given photon detection efficiency (PDE), the primary, Poisson distributed,
dark count rate of the detector (DCR0) is one of the most limiting factors
affecting the timing resolution of a silicon photomultiplier (SiPM) in the
scintillation light readout. If the effects of DCR0 are removed through a
suitable baseline compensation algorithm or by cooling, it is possible to clearly
observe another phenomenon that limits the PDE, and thus the timing resolution of
the detector. It is caused by the optical crosstalk of the SiPM, which is
significantly increased by the presence of the scintillator. In this paper, we
describe this phenomenon, which is also easily observed from the reverse I-V
curve of the device, and we relate it to the measured coincidence resolving time
in 511 keV gamma-ray measurements. We discuss its consequences on the SiPM design
and, in particular, we observe that there is an optimal cell size, dependent on
both SiPM and crystal parameters, that maximizes the PDE in presence of optical
crosstalk. Finally, we report on a crosstalk simulator developed to study the
phenomenon and we compare the simulation results obtained for different SiPM
technologies, featuring different approaches to the reduction of the crosstalk.
PMID- 24922189
TI - Sensitive allele-specific real-time PCR test for mutations in BRAF codon V600 in
skin melanoma.
AB - Mutations at BRAF codon V600 are used as predictive biomarkers for targeted
therapy of skin melanoma. Here, a simple sensitive test to detect mutations of
BRAF-V600 was developed using real-time PCR with allele-specific primers and
TaqMan probes. Two versions of the test using sense and antisense allele-specific
primers were designed and evaluated. The test detected 1% mutant allele V600E/K
in 10 ng DNA standard made from wild-type human DNA spiked with BRAF-V600E or the
V600K plasmid. The test was validated on clinical formalin-fixed paraffin
embedded samples of skin melanoma using pyrosequencing as a reference method. In
the clinical samples, we detected the common mutation V600E, as well as the rare
mutations V600K, V600E2 (codon GAA), V600E2 K601del, V600D-K601del, and V600R. In
comparison with pyrosequencing, both versions of the test had 100% specificity
with sensitivities of 97 and 86% for sense and antisense allele-specific primers,
respectively. Using the PCR test with sense allele-specific primers, mutations in
V600 were found in 33 of 51 Russian patients (64.7%) with cutaneous melanoma.
This closed-tube real-time PCR test can be used as a simple and sensitive assay
for mutations of BRAF-V600 in cutaneous melanoma.
PMID- 24922190
TI - Accuracy of sentinel lymph node dissection for melanoma staging in the presence
of a collision tumour with a lymphoproliferative disease.
AB - Sentinel lymph node dissection (SLND) identifies melanoma patients with
metastatic disease who would benefit from radical lymph node dissection (RLND).
Rarely, patients with melanoma have an underlying lymphoproliferative disease,
and melanoma metastases might develop as collision tumours in the sentinel lymph
node (SLN). The aim of this study was to measure the incidence and examine the
effect of collision tumours on the accuracy of SLND and on the validity of
staging in this setting. Between 1998 and 2012, 750 consecutive SLNDs were
performed in melanoma patients using the triple technique (lymphoscintigraphy,
gamma probe and blue dye). The validity of SLND in collision tumours was
analysed. False negativity was reflected by the disease-free survival. The
literature was reviewed on collision tumours in melanoma. Collision tumours of
melanoma and chronic lymphocytic leukaemia (CLL) were found in two SLN and in one
RLND (0.4%). Subsequent RLNDs of SLND-positive cases were negative for melanoma.
The patient with negative SLND developed relapse after 28 months with an inguinal
lymph node metastasis of melanoma; RLND showed collision tumours. The literature
review identified 12 cases of collision tumours. CLL was associated with
increased melanoma incidence and reduced overall survival. This is, to our
knowledge, the first assessment of the clinical value of SLND when collision
tumours of melanoma and CLL are found. In this small series of three patients
with both malignancies present in the same lymph node basin, lymphocytic
infiltration of the CLL did not alter radioisotope uptake into the SLN. No false
negative result was observed. Our data suggest the validity of SLND in collision
tumours, but given the rarity of the problem, further studies are necessary to
confirm this reliability.
PMID- 24922191
TI - Acneiform eruption in a patient with metastatic melanoma after ceasing
combination dabrafenib/trametinib therapy.
AB - BRAF inhibitors (BRAFi) and MEK inhibitors (MEKi) increase survival in BRAF
mutant metastatic melanoma patients; however, they induce a well-known spectrum
of cutaneous side effects during treatment. Whereas the BRAFi dabrafenib induces
cutaneous squamous cell carcinomas and verrucal keratosis, the MEKi trametinib
frequently induces acneiform eruptions that are reversible after drug
discontinuation. Furthermore, when dabrafenib and trametinib are used in
combination, there are fewer cutaneous toxicities. We report a patient with BRAF
mutant metastatic melanoma treated with the BRAFi/MEKi combination therapy who
developed an acneiform eruption after treatment discontinuation rather than
during active therapy. Moreover, the eruption resolved when the combination
treatment was reintroduced and recurred after increasing the dose of trametinib.
The eruption may be explained by the longer half-life of trametinib (4.5 days)
compared with dabrafenib (5.2 h). This is the first case reported with this
particular side effect induced after stopping the treatment and could become more
frequent as the BRAFi/MEKi combination of drugs is more frequently prescribed.
PMID- 24922192
TI - Aid effectiveness in rebuilding the Afghan health system: a reflection.
AB - The Paris Declaration defined five components of aid effectiveness: ownership,
alignment, harmonisation, managing for results and mutual accountability.
Afghanistan, which has received a high level of donor aid for health since 2002,
has seen significant improvements in health indicators, expanded access to health
services and an increased range of services. Do the impressive health outcomes in
this fragile state mean that aid has been effectively utilised? The factors that
contributed to the success of the Ministry of Public Health (MOPH)-donor
partnership include as follows: Ownership: a realistic role for the MOPH as the
steward of the health sector that was clearly articulated to all stakeholders;
Donor alignment: donor coordination and collaboration initiated by the MOPH;
Joint decisions: participatory decision-making by the MOPH and donors, such as
the major decision to use contracts with nongovernmental organisations for health
service delivery; Managing for results: basing programmes on available evidence,
supplementing that evidence where possible and performance monitoring of health
sector activities using multiple data sources; Reliable aid flows: the
availability of sufficient donor funding for more than 10 years for MOPH
priorities, such as the Basic Package of Health Services, and other programmes
that boosted system development and capacity building; Human factors: these
include a critical mass of individuals with the right experience and expertise
being deployed at the right time and able to look beyond agency mandates and
priorities to support sector reform and results. These factors, which made aid to
Afghanistan effective, can be applied in other countries.
PMID- 24922193
TI - Fluorescence adaptive optics scanning laser ophthalmoscope for detection of
reduced cones and hypoautofluorescent spots in fundus albipunctatus.
AB - IMPORTANCE: Fundus albipunctatus (FA) is a form of congenital stationary night
blindness characterized by yellow-white spots, which were classically described
as subretinal. Although night blindness and delayed dark adaptation are hallmarks
of this condition, recent studies have described a macular phenotype,
particularly among older patients. Using a fluorescence adaptive optics scanning
laser ophthalmoscope (FAOSLO), this study provides in vivo morphologic data at
the cellular level in FA. OBJECTIVE: To study the cone photoreceptors and the
albipunctate spots in FA at single-cell resolution. DESIGN, SETTING, AND
PARTICIPANT: A woman in her 30s with FA underwent a complete ophthalmic
examination, including conventional imaging tests, at the University of
Rochester. A FAOSLO was used to obtain infrared reflectance images of the cone
mosaic at the central fovea and along the superior and temporal meridians to 10
degrees eccentricity. Cone density was measured at the foveal center, and cone
spacing was calculated in sampling windows eccentrically. In the area of the
albipunctate spots, autofluorescence FAOSLO images (excitation, 561 nm; emission,
624 Delta 40 nm) were simultaneously obtained. MAIN OUTCOMES AND MEASURES:
Structural appearance of cones, cone density and spacing, and reflectance and
autofluorescence of albipunctate spots. RESULTS: Cone density was reduced to 70%
of the lower limit of the normal range at the foveal center (78.7 * 10(3)
cones/mm(2); mean [SD] reference range, 199 [87] * 10(3) cones/mm(2)), and cone
spacing was increased eccentrically to 10 degrees (sign test, P = .045).
Individual cone central core reflectances appeared dim, suggesting loss of
photoreceptor outer segments. The albipunctate spots were hypoautofluorescent. No
photoreceptors or retinal pigment epithelium cells were identified at the
locations of the albipunctate spots. CONCLUSIONS AND RELEVANCE: Although the
predominant clinical symptom of night blindness and the electroretinography
results suggest a primary rod dysfunction, examination with a FAOSLO demonstrates
that cone density is also reduced. This finding may represent an early sign of
progression to macular phenotype in FA. The hypoautofluorescence suggests that
the albipunctate spots do not represent lipofuscin.
PMID- 24922195
TI - Elevated serum levels of calprotectin (myeloid-related protein 8/14) in patients
with ankylosing spondylitis and its association with disease activity and quality
of life.
AB - BACKGROUND: Calprotectin is one of the major leukocyte S100 proteins showing both
calcium binding and antimicrobial characteristics. The serum level of
calprotectin is markedly elevated in patients with inflammatory bowel disease,
rheumatoid arthritis, as well as systemic lupus erythematosus and has been
suggested to play a prominent role in both progression and pathogenesis of these
diseases. AIM: The purpose of this study was to investigate the serum level of
calprotectin in patients with ankylosing spondylitis (AS) and its association
with disease activity and other clinical characteristics of AS. MATERIALS AND
METHODS: Thirty-one patients who met the modified New York criteria for AS and 45
healthy controls were included in this study. Both Bath AS disease activity index
and AS disease activity score were applied on the patients with AS for the
assessment of disease activity; Bath AS functional index, for the assessment of
functional activity; Bath AS radiology index, for the assessment of radiological
damage; and the AS quality of life questionnaire for the assessment of disease
related life status. Spinal and hip measurements were performed using Bath AS
metrology index. The serum level of calprotectin was determined using enzyme
linked immunosorbent assay kit. RESULTS: Mean serum level of calprotectin was
significantly higher in the patients with AS compared with healthy controls (P =
0.003). Serum levels of calprotectin did not correlate with Bath AS disease
activity index, AS disease activity score, Bath AS functional index, Bath AS
radiology index, Bath AS metrology index, modified Schober, chest expansion, AS
quality of life questionnaire, erythrocyte sedimentation rate, and C-reactive
protein values (P > 0.05). CONCLUSIONS: Our results suggest that calprotectin
might play an important role in the pathogenetic mechanisms of AS; however, the
calprotectin levels did not correlate with the measurements of disease activity,
functional abilities, radiological damage, and the quality of life in these
patients. Further insight into this area of research might provide opportunities
to develop novel treatment strategies, which take into account the role of these
peptides in the pathogenetic mechanisms of AS.
PMID- 24922196
TI - Prolonged QT interval in ST-elevation myocardial infarction and mortality: new
prognostic scale with QT, Killip and age.
AB - AIMS: To analyze the relation between prolonged QT interval and mortality in
patients with ST-elevation myocardial infarction and complementarity with Killip,
Thrombolysis in Myocardial Infarction (TIMI) and Acute Physiology and Chronic
Health Evaluation-II (APACHE-II) scales. METHODS: A nested cohort case-control
study was conducted in a Spanish hospital. The cohort consisted of patients with
ST-elevation myocardial infarction admitted between 2008 and 2010 (n = 524). The
cases were the patients who died (n = 38) and the controls (n = 81) were a random
sample of those who survived (one of every six). RESULTS: The corrected QT (QTc)
interval of first ECG (prehospital-or-hospital admission) was prolonged in 18 of
the 35 patients who died (51.4%) and in 12 of the controls (16.7%; P < 0.001).
APACHE-II, TIMI and Killip scores were higher in the patients who had died (P <
0.001). Mortality with prolonged QTc (19.3%) was 20%, and 4.5% were with normal
QTc (80.7%; P < 0.001).Logistic regression showed a relation between mortality
with prolonged QTc and TIMI [odds ratio (OR) 3.57(1.16-10.97)]. A second model
was constructed with APACHE-II and prolonged QTc [OR 6.47(1.77-23.59)]; receiver
operating characteristic (ROC) curve area [0.92(0.87-0.97)], and individually,
for APACHE-II was 0.88 (0.81-0.95). A new score was constructed: QTc (not
prolonged: 0 points, prolonged: 7 points), age (<65 years: 0 points, 65-74 years:
6 points, >=75 years: 9 points), Killip (I: 0 points, II-III: 4 points, IV: 17
points). ROC area: 0.88. CONCLUSIONS: Hospital mortality was higher with
prolonged QTc at prehospital-or-hospital admission, given equal Killip, TIMI and
APACHE values. Discrimination of Killip, TIMI and APACHE values can be improved
with prolonged QTc. Discrimination of a model including Killip, age and prolonged
QTc is quite good. We have made a new simple prognostic scale with these
variables.
PMID- 24922194
TI - Two splicing factors carrying serine-arginine motifs, TSR1 and TSR1IP, regulate
splicing, mRNA stability, and rRNA processing in Trypanosoma brucei.
AB - In trypanosomes, mRNAs are processed by trans-splicing; in this process, a common
exon, the spliced leader, is added to all mRNAs from a small RNA donor, the
spliced leader RNA (SL RNA). However, little is known regarding how this process
is regulated. In this study we investigated the function of two serine-arginine
rich proteins, TSR1 and TSR1IP, implicated in trans-splicing in Trypanosoma
brucei. Depletion of these factors by RNAi suggested their role in both cis- and
trans-splicing. Microarray was used to examine the transcriptome of the silenced
cells. The level of hundreds of mRNAs was changed, suggesting that these proteins
have a role in regulating only a subset of T. brucei mRNAs. Mass-spectrometry
analyses of complexes associated with these proteins suggest that these factors
function in mRNA stability, translation, and rRNA processing. We further
demonstrate changes in the stability of mRNA as a result of depletion of the two
TSR proteins. In addition, rRNA defects were observed under the depletion of
U2AF35, TSR1, and TSR1IP, but not SF1, suggesting involvement of SR proteins in
rRNA processing.
PMID- 24922197
TI - Variations of electroanatomic substrates and markers of successful ablation in
idiopathic left ventricular tachycardia: role of electroanatomic substrates and
potential mechanism of tachycardia.
AB - OBJECTIVES: The variation of the substrates of verapamil-sensitive idiopathic
left ventricular tachycardia (ILVT) was not understood. The purpose of this study
was to investigate the variation of electroanatomic substrate [slow conduction
zone (SCZ) and left ventricular conduction system (LVCS)] in ILVT and control
individuals and markers of successful ablation. METHODS: Electroanatomical
mapping was performed during sinus rhythm in 20 ILVT patients and 26 control
individuals with paroxysmal supraventricular tachycardia. LVCS and SCZ were
tagged in geometry and the anatomic aspects were investigated. RESULTS: According
to the distribution of Purkinje potential, LVCS was distinguished into three
types: left bundle branch (LBB) was divided into two discrete fascicles without
interconnections; divided into three separate fascicles; and fanlike structure
distribution over septum broadly. The length of LBB and its fascicles in patients
with ILVT were slightly longer than those of controls (P > 0.05). In the ILVT
group, the SCZ was located at the inferoposterior septum in 17, inferior apical
septum in one and two SCZs were located at the posterior and mid-septal in the
other two patients, which were greater in size and longer in length than those of
six controls (P < 0.05). At the crossover junction area with diastolic potential
and Purkinje potential, with the size of 1.5 +/- 0.4 cm(2), concealed
entertainment and ablation were obtained successfully in all patients with ILVT.
CONCLUSION: The anatomy of the LVCS and SCZ is highly variable in patients with
ILVT, and the crossover junction area with diastolic potential and Purkinje
potential might be a marker of ablation.
PMID- 24922198
TI - Ivabradine treatment prevents dobutamine-induced increase in heart rate in
patients with acute decompensated heart failure.
AB - BACKGROUND: Ivabradine is a heart rate (HR)-lowering agent acting by inhibiting
the If-channel. Dobutamine does increase the HR and has some deleterious effects
on myocardium. So, we aimed to evaluate whether ivabradine treatment blunts a
dobutamine-induced increase in HR. METHODS: The main study population consisted
of 58 acute decompensated heart failure patients requiring inotropic support with
left-ventricular ejection fraction below 35%, who were randomized to ivabradine
(n = 29) or control (n = 29). All patients underwent Holter recording for 6 h and
then dobutamine was administered at incremental doses of 5, 10 and 15 MUg/kg/min,
with 6-h steps. Holter recording was continued during dobutamine infusion.
Ivabradine 7.5 mg was given at the initiation of dobutamine and readministered at
12 h of infusion. Also, a nonrandomized beta-blocker group with 15 patients
receiving beta-blocker was included in the analysis. Control and beta-blocker
groups did not receive ivabradine. RESULTS: In the control group, mean HR
gradually and significantly increased at each step of dobutamine infusion (81 +/-
11, 90 +/- 16, 97 +/- 14 and 101 +/- 16 b.p.m., respectively; P = 0.001), whereas
no significant increase in HR was observed in the ivabradine group (82 +/- 17, 82
+/- 15, 85 +/- 14 and 83 +/- 12 b.p.m., respectively; P = 0.439). Mean HR was
also found to significantly increase during dobutamine infusion in the beta
blocker group (75 +/- 13, 82 +/- 13, 86 +/- 14 and 88 +/- 13 b.p.m.,
respectively; P = 0.001). The median increase in HR from baseline was
significantly higher in the control group compared to those in the ivabradine
group (5 vs. 2 b.p.m.; P = 0.007 at first step, 13 vs. 5 b.p.m.; P = 0.001 at
second step and 18 vs. 6 b.p.m.; P = 0.0001 at third step of dobutamine,
respectively). CONCLUSIONS: Ivabradine treatment prevents dobutamine-induced
increase in HR and may be useful in reducing HR-related adverse effects of
dobutamine.
PMID- 24922200
TI - Dimensionless parameterization of lidar for laser remote sensing of the
atmosphere and its application to systems with SiPM and PMT detectors.
AB - In this paper, we show a renewed approach to the generalized methodology for
atmospheric lidar assessment, which uses the dimensionless parameterization as a
core component. It is based on a series of our previous works where the problem
of universal parameterization over many lidar technologies were described and
analyzed from different points of view. The modernized dimensionless
parameterization concept applied to relatively new silicon photomultiplier
detectors (SiPMs) and traditional photomultiplier (PMT) detectors for remote
sensing instruments allowed predicting the lidar receiver performance with sky
background available. The renewed approach can be widely used to evaluate a broad
range of lidar system capabilities for a variety of lidar remote-sensing
applications as well as to serve as a basis for selection of appropriate lidar
system parameters for a specific application. Such a modernized methodology
provides a generalized, uniform, and objective approach for evaluation of a broad
range of lidar types and systems (aerosol, Raman, DIAL) operating on different
targets (backscatter or topographic) and under intense sky background conditions.
It can be used within the lidar community to compare different lidar instruments.
PMID- 24922201
TI - Integral image rendering procedure for aberration correction and size
measurement.
AB - The challenge in rendering integral images is to use as much information
preserved by the light field as possible to reconstruct a captured scene in a
three-dimensional way. We propose a rendering algorithm based on the projection
of rays through a detailed simulation of the optical path, considering all the
physical properties and locations of the optical elements. The rendered images
contain information about the correct size of imaged objects without the need to
calibrate the imaging device. Additionally, aberrations of the optical system may
be corrected, depending on the setup of the integral imaging device. We show
simulation data that illustrates the aberration correction ability and
experimental data from our plenoptic camera, which illustrates the capability of
our proposed algorithm to measure size and distance. We believe this rendering
procedure will be useful in the future for three-dimensional ophthalmic imaging
of the human retina.
PMID- 24922202
TI - Advanced birefringence measurements in standard terahertz time-domain
spectroscopy.
AB - Polarization-sensitive (PS) terahertz (THz) technology can be used for
investigating anisotropic materials that are opaque for visible light. A full
characterization of an anisotropic material requires the extraction of the
birefringence as well as the orientation of the optical axis from the measurement
data. We present an approach based on THz time-domain spectroscopy (TDS) that
exploits the spectral content of the THz signal for determining these two
parameters from only two measurements. In contrast to an earlier approach with a
more sophisticated PS-THz system and quasi-circularly polarized THz radiation,
now a simple standard THz-TDS system can be employed. After a description of the
mathematical model for data analysis we demonstrate the applicability of our
method for a lithium niobate crystal and furthermore for a glass-fiber reinforced
polymer sample, for which the orientation of the optical axis and birefringence
are obtained in a spatially resolved way, showing the potential of the method
also for PS-THz imaging. As no specialized setup or components are required, our
approach can be easily and extensively applied for the analysis of anisotropic
samples at THz frequencies.
PMID- 24922203
TI - Ytterbium-doped large-mode-area silica fiber fabricated by using chelate
precursor doping technique.
AB - We reported on a highly effective chelate precursor doping technique for Yb-doped
large-mode-area (LMA) fiber manufacture. By accurately controlling the
evaporation temperature and flow rate of carrier gas, the chelate precursor
doping technique is capable of making Yb-doped LMA silica fiber with good
uniformity free of center dip, low numerical aperture of ~0.056, large preform
core size of 4.46 mm, and appropriate cladding absorption of 1.17 dB/m at 976.4
nm. Based on a single-end-pump all-fiber oscillator laser setup, the laser output
at 1080 nm reached 700 W with slope efficiency of 54.2%.
PMID- 24922204
TI - Investigation of the Young's modulus and thermal expansion of amorphous titania
doped tantala films.
AB - The current generation of advanced gravitational wave detectors utilize titania
doped tantala/silica multilayer stacks for their mirror coatings. The properties
of the low-refractive-index silica are well known; however, in the absence of
detailed direct measurements, the material parameters of Young's modulus and
coefficient of thermal expansion (CTE) of the high refractive index material,
titania-doped tantala, have been assumed to be equal to values measured for pure
tantala coatings. In order to ascertain the true values necessary for thermal
noise calculations, we have undertaken measurements of Young's modulus and CTE
through the use of nanoindentation and thermal-bending measurements. The
measurements were designed to assess the effects of titania-doping concentration
and post-deposition heat-treatment on the measured values in order to evaluate
the possibility of optimizing material parameters to further improve thermal
noise in the detector. Young's modulus measurements on pure tantala and 25% and
55% titania-doped tantala show a wide range of values, from 132 to 177 GPa, which
are dependent on both titania concentration and heat-treatment. Measurements of
CTE give values of (3.9+/-0.1)*10-6 K-1 and (4.9+/-0.3)*10-6 K-1 for 25% and 55%
titania-doped tantala, respectively, without dependence on post-deposition heat
treatment.
PMID- 24922205
TI - Comparative analysis on viewing angle change in Fresnel and Fourier holographic
images reconstructed by a tilted plane wave.
AB - We carry out a comparative analysis on a viewing angle change in Fresnel and
Fourier holographic images reconstructed by a tilted plane wave. A tilted plane
wave illuminating an on-axis hologram generates a diffractive wave carrying the
holographic image in a paraxial region of a new diffraction axis. The
reconstructed image in the Fresnel hologram is deformed along the new viewing
direction, which is well described as Affine transformation. In the Fourier
holographic image, the replica of the image is formed without its deformation
when the hologram is placed in the front focal plane of the lens, whereas in the
case of a hologram that is located at a distance different from a focal length,
image deformation arises. This property is investigated through numerical
simulation based on a wide-angle diffraction phenomenon. We also perform a
similar interpretation for high-order diffraction images appearing in the sampled
Fourier hologram and discuss a method for enlarging the viewing angle of the
holographic image.
PMID- 24922206
TI - Modified dwell time optimization model and its applications in subaperture
polishing.
AB - The optimization of dwell time is an important procedure in deterministic
subaperture polishing. We present a modified optimization model of dwell time by
iterative and numerical method, assisted by extended surface forms and tool paths
for suppressing the edge effect. Compared with discrete convolution and linear
equation models, the proposed model has essential compatibility with arbitrary
tool paths, multiple tool influence functions (TIFs) in one optimization, and
asymmetric TIFs. The emulational fabrication of a Phi200 mm workpiece by the
proposed model yields a smooth, continuous, and non-negative dwell time map with
a root-mean-square (RMS) convergence rate of 99.6%, and the optimization costs
much less time. By the proposed model, influences of TIF size and path interval
to convergence rate and polishing time are optimized, respectively, for typical
low and middle spatial-frequency errors. Results show that (1) the TIF size is
nonlinear inversely proportional to convergence rate and polishing time. A TIF
size of ~1/7 workpiece size is preferred; (2) the polishing time is less
sensitive to path interval, but increasing the interval markedly reduces the
convergence rate. A path interval of ~1/8-1/10 of the TIF size is deemed to be
appropriate. The proposed model is deployed on a JR-1800 and MRF-180 machine.
Figuring results of Phi920 mm Zerodur paraboloid and Phi100 mm Zerodur plane by
them yield RMS of 0.016lambda and 0.013lambda (lambda=632.8 nm), respectively,
and thereby validate the feasibility of proposed dwell time model used for
subaperture polishing.
PMID- 24922207
TI - Design of an omnidirectional optical antenna for ultraviolet communication.
AB - In this paper we propose an omnidirectional large field-optical antenna with a
dual-mirror structure and field devices and demonstrate its utilization in
ultraviolet (UV) communications. Theoretical analysis shows that it is suitable
for short-range UV communication. Simulation indicates that the optical gain is
32, and the system has a good spot uniformity. Additionally, incident angles of
incident ray meet the requirement of the interference filter (+/-10 degrees ).
Outdoor experiments show that the angle of FOV is in the range of +/-20 degrees
~+/-80 degrees and a SNR increase of 31 dB compared with bare tube is observed,
demonstrating the effectiveness of the omnidirectional optical antenna structure
for free-space UV communication.
PMID- 24922208
TI - Partially coherent digital in-line holographic microscopy in characterization of
a microscopic target.
AB - Digital holographic microscopy enables the capture of large three-dimensional
volumes. Instead of using a laser as an illumination source, partially coherent
alternatives can be used, such as light-emitting diodes, which produce parasitic
reflection and speckle-free holograms. Captured high-contrast holograms are
suitable for the characterization of micrometer-sized particles. As the
reconstructed phase is not usable in the case of multiple overlapping objects,
depth extraction can be conducted on a reconstructed intensity. This work
introduces a novel depth extraction algorithm that takes into consideration the
possible locations of multiple objects at various depths in the imaged volume.
The focus metric, the Tamura coefficient, is applied for each pixel in the
reconstructed amplitude throughout the volume. This work also introduces an
optimized version of the algorithm, which is run in two stages. During the first
stage, coarse positions of the objects are extracted by applying the Tamura
coefficient to nonoverlapping window blocks of intensity reconstructions. The
second stage produces high-precision characterizations of the objects by
calculating the Tamura coefficient with overlapping window blocks around axial
positions extracted in the first stage. Experimental results with real-world
microscopic objects show the effectiveness of the proposed method.
PMID- 24922209
TI - Theoretical modeling of lossy mode resonance based refractive index sensors with
ITO/TiO2 bilayers.
AB - A lossy mode resonance (LMR) based fiber optic refractive index (RI) sensor
utilizing indium tin oxide (ITO)/titanium dioxide (TiO2) bilayers has been
theoretically investigated. Specifically, the effect of different thickness
ratios of bilayers on the sensitivity to surrounding medium RI variations was
studied. It was observed that for a specific thickness ratio, a two fold increase
in sensitivity can be achieved in comparison to conventional LMR sensors using a
single absorbing thin film. The sensitivity can be enhanced by tuning the total
bilayer thickness and bilayer thickness ratio for the desired application, which
is not possible in conventional LMR sensors.
PMID- 24922210
TI - Analytic study of traveling-wave velocity variation in line-focusing schemes for
plasma x-ray lasers.
AB - Efficient amplification of coherent short-wavelength pulses along a plasma gain
column requires traveling-wave excitation with the sweep velocity matched to the
signal group velocity. Through simulations incorporating the gain dynamics of the
system, we show that the group velocity is not constant but increases
monotonically along the line focus due to strong saturation. We demonstrate a
line-focusing configuration that results in traveling wave excitation with the
sweep velocity well matched to the spatially varying group velocity. Moreover, we
show through numerical simulations that the improved velocity matching yields a
significant improvement in signal amplification.
PMID- 24922211
TI - Design and optimization of an adaptive optics system for a high-average-power
multi-slab laser (HiLASE).
AB - We report numerical and experimental results obtained with an optical setup that
simulates the heating and cooling processes expected in a multi-slab high-average
power laser head. We have tested the performance of an adaptive optics system
consisting of a photo-controlled deformable mirror (PCDM) and a Shack-Hartmann
wavefront sensor for the effective correction of the generated wavefront
aberrations. The performance of the adaptive optics system is characterized for
different layouts of the actuator array and for different configurations of the
heating mechanisms. The numerical results are benchmarked using a PCDM, which
allowed us to experimentally compare the performances of different deformable
mirrors.
PMID- 24922212
TI - Frequency doubling of a passively mode-locked monolithic distributed Bragg
reflector diode laser.
AB - In this work, frequency doubling of a passively mode-locked 3.5 mm long
monolithic distributed Bragg reflector diode laser is investigated
experimentally. At 1064 nm, optical pulses with a duration of 12.4 ps are
generated at a repetition rate of 13 GHz and a peak power of 825 mW, resulting in
an average power of 133 mW. Second-harmonic generation is carried out in a
periodically poled MgO-doped LiNbO3 ridge waveguide at a normalized nonlinear
conversion efficiency of 930%/W. A maximum average second-harmonic power of 40.9
mW, corresponding to a pulse energy of 3.15 pJ, is reached in the experiment at
an opto-optical conversion efficiency of 30.8%. The normalized nonlinear
conversion efficiency in mode-locked operation is more than 2 times larger
compared to continuous-wave operation.
PMID- 24922213
TI - Coherent characteristics of solid-state lasers with corner cubes.
AB - A corner cube (CC) as a peculiar coherent combination element is first, to the
best of our knowledge, theoretically and experimentally proved by the authors.
When a CC is used as a total-reflecting mirror in the solid-state laser resonator
it can improve the laser far-field energy focalization. Furthermore, the
differences between the coherent characteristics of the lasers with a corner cube
resonator (CCR) and those with a Fabry-Perot resonator have been investigated,
respectively. Theoretical calculation and numerical simulation have proved that
the symmetric output beams of the CCR laser are coherent and the adjacent output
beams are partially coherent. Based on these special coherent characteristics, a
new laser coherent combining configuration, in which a CC was utilized as a total
reflecting mirror, was proposed and experimentally investigated. In our
experiments, the measured far-field intensity profiles of coherent combing laser
arrays are in good agreement with the numerical simulation. These novel coherent
characteristics of a CC may be important for applications in solid-state lasers
and laser coherent combining systems, and coherent combination may be one of the
development trends and future research directions for CCR lasers.
PMID- 24922214
TI - Silver nanowire composite thin films as transparent electrodes for
Cu(In,Ga)Se2/ZnS thin film solar cells.
AB - Solution processed silver nanowire indium-tin oxide nanoparticle (AgNW-ITONP)
composite thin films were successfully applied as the transparent electrodes for
Cu(In,Ga)Se2 (CIGS) thin film solar cells with ZnS buffer layers. Properties of
the AgNW-ITONP thin film and its effects on performance of CIGS/ZnS thin film
solar cells were studied. Compared with the traditional sputtered ITO electrodes,
the AgNW-ITONP thin films show comparable optical transmittance and electrical
conductivity. Furthermore, the AgNW-ITONP thin film causes no physical damage to
the adjacent surface layer and does not need high temperature annealing, which
makes it very suitable to use as transparent conductive layers for heat or
sputtering damage-sensitive optoelectronic devices. By using AgNW-ITONP
electrodes, the required thickness of the ZnS buffer layers for CIGS thin film
solar cells was greatly decreased.
PMID- 24922215
TI - Fiber-optic ground settlement sensor based on low-coherent interferometry.
AB - Ground settlement (GS) monitoring is a basic prerequisite in civil engineering. A
commercialized instrument to meet this requirement has been available with
millimeter accuracy. Major difficulties to improve this to micrometer scale,
which are needed in special cases such as in high-speed railways, are challenged
by the long stability of the sensor in the condition of the extremely slow
settlement. A fiber-optic GS methodology was proposed by using a scanning low
coherent Michelson interferometer. One of the paths of the interferometer is
formed by the liquid surface, and therefore the readout of the interferometer can
make the measurement of the surface approach a micrometer scale. The liquid
contained chambers are hydraulically connected together at the bottom by using a
water-filled tube. The liquid surface inside each chamber is at the same level
initially. One of the chambers is located on stable ground or at a point that can
be easily surveyed, too. The others are located at the points where settlement or
heave is to be measured. Differential settlement, or heave, between the chambers
will result in an apparent rise or fall of the liquid level, which biased the
initial equal status. The experimental results demonstrated that the best
accuracy of +/-20 MUm for GS monitoring was obtained with a reference
compensation sensor.
PMID- 24922216
TI - Laser frequency stability transfer using a fiber-based interferometer.
AB - We have demonstrated a method for laser frequency stability transfer using a
fiber-based Young's interferometer. An 858 nm external cavity diode laser is
stabilized to within 1e-8 from 10 s to 4000 s, referenced to a Rubidium
stabilized 780 nm DBR diode laser using the interferometer as a frequency
stability-transferring link. The system is simple to build and can link any two
laser wavelengths within the fiber operating range.
PMID- 24922217
TI - Mean intensity of vortex Bessel beams propagating in turbulent atmosphere.
AB - Transformation of vortex Bessel beams during propagation in turbulent atmosphere
is theoretically analyzed. Deforming influence of the random inhomogeneity of the
turbulent medium on propagation of diffraction-free beams leads to disappearance
of their invariant properties. In the given research, features of evolution of
the spatial structure of distribution of mean intensity of vortex Bessel beams in
turbulent atmosphere are analyzed. A quantitative criterion of possibility of
carrying over of a dark central domain by vortex Bessel beams in a turbulent
atmosphere is derived. The analysis of the behavior of several physical
parameters of mean-level optical radiation shows that the shape stability of a
vortex Bessel beam increases with the topological charge of this beam during its
propagation in a turbulent atmosphere.
PMID- 24922218
TI - Simulating the dispersive behavior of semiconductors using the Lorentzian-Drude
model for photovoltaic devices.
AB - Unique light-trapping structures that improve the efficiency of thin-film solar
cells require advanced computational methods that can simulate the propagation of
light through the thickness of each material in the solar cell. The simulations
community that uses the Lorentz-Drude (LD) model cannot precisely simulate the
propagation of light through the entire spectrum of the Sun, due to the
difficulty in extrapolating the coefficients of each solar cell material. In this
paper, a new technique for modeling dispersive and absorptive material over the
Sun's entire wavelength range (200-1700 nm) using the LD model is suggested. The
new numerical models are used for simulating light propagation through various
one-dimensional light-trapping structures, including metal backreflectors and
distributed Bragg reflectors. All the numerical simulation results show agreement
with previously published theoretical and experimental results. The proposed
simulation technique will help the simulations community in using the LD model to
simulate the propagation of light in solar cells more accurately.
PMID- 24922219
TI - Spectral interdependence of remote-sensing reflectance and its implications on
the design of ocean color satellite sensors.
AB - Using 901 remote-sensing reflectance spectra (R(rs)(lambda), sr-1, lambda from
400 to 700 nm with a 5 nm resolution), we evaluated the correlations of
R(rs)(lambda) between neighboring spectral bands in order to characterize (1) the
spectral interdependence of R(rs)(lambda) at different bands and (2) to what
extent hyperspectral R(rs)(lambda) can be reconstructed from multiband
measurements. The 901 R(rs) spectra were measured over a wide variety of aquatic
environments in which water color varied from oceanic blue to coastal green or
brown, with chlorophyll-a concentrations ranging from ~0.02 to >100 mg m-3,
bottom depths from ~1 m to >1000 m, and bottom substrates including sand, coral
reef, and seagrass. The correlation coefficient of R(rs)(lambda) between
neighboring bands at center wavelengths lambda(k) and lambda(l),
r(Deltalambda)(lambda(k), lambda(l)), was evaluated systematically, with the
spectral gap (Deltalambda=lambda(l)-lambda(k)) changing between 5, 10, 15, 20,
25, and 30 nm, respectively. It was found that r(Deltalambda) decreased with
increasing Deltalambda, but remained >0.97 for Deltalambda<=20 nm for all
spectral bands. Further, using 15 spectral bands between 400 and 710 nm, we
reconstructed, via multivariant linear regression, hyperspectral R(rs)(lambda)
(from 400 to 700 nm with a 5 nm resolution). The percentage difference between
measured and reconstructed R(rs) for each band in the 400-700 nm range was
generally less than 1%, with a correlation coefficient close to 1.0. The mean
absolute error between measured and reconstructed R(rs) was about 0.00002 sr-1
for each band, which is significantly smaller than the R(rs) uncertainties from
all past and current ocean color satellite radiometric products. These results
echo findings of earlier studies that R(rs) measurements at ~15 spectral bands in
the visible domain can provide nearly identical spectral information as with
hyperspectral (contiguous bands at 5 nm spectral resolution) measurements. Such
results provide insights for data storage and handling of large volume
hyperspectral data as well as for the design of future ocean color satellite
sensors.
PMID- 24922220
TI - Design of resonant cavity structure for efficient high-temperature operation of
single-photon avalanche photodiodes.
AB - A novel design of a single-photon avalanche photodiode (SPAD) is proposed based
on resonant cavity (RC) structure, and its performance is studied. In the
proposed structure, InAlAs/InGaAs distributed Bragg reflectors (DBRs) are
employed as top and bottom mirrors and the quantum efficiency (QE) of the
absorption region is calculated considering the effect of the RC. Results show
that using 12 periods of DBRs as a bottom reflector without incorporation of a
top mirror can enhance the QE to about 90% at room temperature. For this RC
enhanced SPAD, a single-photon quantum efficiency (SPQE) is obtained of about
0.35 at T=300 K. For temperatures lower than T=260 K, SPQE is about 1. Results
show that although the RC doesn't affect the dark current, for a given SPQE the
dark count rate is lower for the RC-SPAD.
PMID- 24922221
TI - Variation of spectral properties of dielectric ionic crystal in the terahertz
range due to the polariton absorption.
AB - The dispersion equations for polariton waves in dielectric ionic crystal with the
absorption are obtained. The self-consistent solutions of the system of Maxwell
electromagnetic field equations and the equations of motion of ions have been
used. The elastic and absorption properties of the crystal are taken into account
in the ion equations of motion. It is shown that the separated equations of
motion for positive and negative ions allow obtaining all branches of phonon and
polariton spectrum by the example of the ionic crystal of cubic symmetry at the
terahertz range. It has been shown that the variation of absorption in the
crystal leads to changing of the character of spectrum branch and the polariton
velocities.
PMID- 24922222
TI - SPM and XPM nonlinear effects in plasmonic directional couplers, considering the
ponderomotive metal nonlinearity.
AB - In this paper, a two-dimensional nonlinear plasmonic directional coupler (2D
NPDC), with 90 degrees waveguide bends, has been numerically analyzed by the
finite-difference time-domain (FDTD) method, considering the nonlinear response
of metal due to the ponderomotive force. It has been shown that the required
switching power of the 2D-NPDC is 0.05% of that when only the dielectric is
nonlinear and the nonlinearity of metal is neglected. Also, the cross-phase
modulation (XPM) nonlinear effect has been investigated, which the power for
switching is decreased significantly compared to the one with the self-phase
modulation (SPM) effect.
PMID- 24922223
TI - Spatially compressed dual-wavelength excitation Raman spectrometer.
AB - The design and operation of a novel dual-laser excitation Raman instrument is
described. The use of two lasers of differing wavelengths allows for a Raman
spectrum covering all fundamental modes of vibration to be collected while
minimizing fluorescence and allowing for spatial compression of the spectrum on
an imaging detector. The use of diode lasers with integrated distributed Bragg
reflector gratings facilitates the use of an integrated thermoelectric cooler to
allow collection of shifted excitation spectra for both of the lasers, further
enhancing the rejection of fluorescence. An example is given, which uses seven
excitation wavelengths for each laser to reconstruct the Raman spectrum of a
solvent in the presence of a highly fluorescent dye by using a sequentially
shifted excitation Raman reconstruction algorithm.
PMID- 24922224
TI - High-resolution wide-dynamic range electronically scanned white-light
interferometry.
AB - A novel high-resolution wide-dynamic range electronically scanned white-light
interferometry-based interrogation technique is presented. By using off-the-shelf
optical components, this technique is capable of reaching a subnanometer
resolution. The technique relies on a simple optical setup in which the wedge and
camera axes are mutually inclined for a very small angle in the horizontal plane
and two-dimensional fringe pattern analysis. Resolution below 0.3 nm and dynamic
range of 106 dB have been achieved with a signal-to-noise ratio lower than 25 dB.
PMID- 24922225
TI - Thermal-dynamical analysis of blister formation in chirped mirror irradiated by
single femtosecond lasers.
AB - The laser-induced damage behaviors of chirped mirrors (CMs) are studied by single
800 nm, 38 fs lasers. The CMs provide group delay dispersion of around -60 fs2
and average reflectivity of about 99.4% with bandwidth range of 200-300 nm at a
central wavelength of 800 nm. Interestingly, a circular blister feature appears
in the CMs at a wide range of laser fluence. An optical microscope, atomic force
microscope, scanning electron microscope, and surface profiler are applied to
describe the blister characteristics. An adiabatic expansion model of ideal gas
is adopted to illustrate the formation dynamics of blisters. The evolution of
blisters can be explained by partial evaporation of the film and a subsequent gas
expansion, driving the bulging of the film stack up to the stress limit, where
the blister fractures. According to this model, the energy absorption ratio of
blisters increases monotonously with increasing laser fluence before the
occurrence of the focal spot confinement effect.
PMID- 24922226
TI - Generation of multiterawatt vortex laser beams.
AB - We report the fabrication of large-area phase masks on thin fused-silica
substrates that are suitable for shaping multiterawatt femtosecond laser beams.
We apply these phase masks for the generation of intense femtosecond optical
vortices. We further quantify distortions of the vortex beam patterns that result
from several common types of mask defects.
PMID- 24922227
TI - Rugate filters used in slit-lamp delivery to improve color rendering of
illumination for retinal photocoagulation.
AB - Laser filters and color filters used in slit-lamp delivery have been developed by
the rugate approach to improve color rendering of illumination for retinal
photocoagulation. The double-notch laser filter is designed according to the
required laser lines and incident angle. Based on the transmission spectrum of a
double-notch laser filter and the spectral power distribution (SPD) of the light
source of a slit lamp, a scanning search algorithm is applied to find the optimal
transmission spectrum of a multi-notch color filter for the best color rendering
index of illumination. Open-source software is used to design the refractive
index profile of the rugate filters, which are deposited by the reactive pulse
magnetron sputtering. Both the theoretical analysis of SPD of slitlamp delivery
with consideration of the deposited rugate filters and the experimental results
demonstrate that the color rendering property of illumination can be improved
remarkably, thus making fundus observation and retinal laser photocoagulation
more reliable and efficient.
PMID- 24922228
TI - Design of a lens-to-channel waveguide system as a solar concentrator structure.
AB - We present a lens-to-channel waveguide solar concentrator, where the lens array
and the channel waveguide act as the primary and the secondary concentrator.
Sunlight collected by the lens array is coupled into channel waveguides and exits
from one end of the tapered waveguide directly onto photovoltaic cells. A 45
degrees coupler is placed at each lens focal point to couple light into the
waveguides. This configuration eliminates any inherent decoupling losses. We
provide a detailed math model and simulation results using exemplar system
parameters, showing that this structure can achieve 800x concentration at 89.1%
optical efficiency under +/-0.7 degrees incidence angle.
PMID- 24922229
TI - Improving performances of Fresnel CPV systems: Fresnel-RXI Kohler concentrator.
AB - The optical design presented here has been done in order to achieve superior
optical performance in comparison with the state-of-the-art Fresnel CPV systems.
The design consists of a Photovoltaic Concentrator (CPV) comprising a Fresnel
lens (F) as a Primary Optical Element (POE) and a dielectric solid RXI as a
Secondary Optical Element (SOE), both with free-form surfaces (i.e. neither
rotational nor linearly symmetric). It is the first time the RXI-type geometry
has been applied to a CPV secondary. This concentrator has ultra-high CAP value
ready to accommodate more efficient cells eventually to be developed and used
commercially in future.
PMID- 24922230
TI - Dish-based high concentration PV system with Kohler optics.
AB - We present work at the Steward Observatory Solar Lab on a high concentration
photovoltaic system in which sunlight focused by a single large paraboloidal
mirror powers many small triple-junction cells. The optical system is of the XRX
Kohler type, comprising the primary reflector (X) and a ball lens (R) at the
focus that reimages the primary reflector onto an array of small reflectors (X)
that apportion the light to the cells. We present a design methodology that
provides generous tolerance to mis-pointing, uniform illumination across
individual cells, minimal optical loss and even distribution between cells, for
efficient series connection. An operational prototype has been constructed with a
3.3m x 3.3m square primary reflector of 2m focal length powering 36 actively
cooled triple-junction cells at 1200x concentration (geometric). The measured end
to-end system conversion efficiency is 28%, including the parasitic loss of the
active cooling system. Efficiency ~32% is projected for the next system.
PMID- 24922231
TI - Enhanced photocarrier generation in large-scale photonic nanostructures
fabricated from vertically aligned quantum dots.
AB - We demonstrate enhanced photocarrier generation using photonic nanostructures
fabricated by a wet etching technique with vertically aligned quantum dots (QDs).
Using photoluminescence excitation spectroscopy, we found that the photocarrier
generation in Ge/Si QDs placed close to the surface is enhanced below the band
gap energy of crystalline silicon. The enhancement is explained by light trapping
owing to the photonic nanostructures. Electromagnetic wave simulations indicate
that the photonic nanostructure with a subwavelength size will be available to
light trapping for efficient photocarrier generation by increasing their dip
depth.
PMID- 24922232
TI - A two-step design method for high compact rotationally symmetric optical system
for LED surface light source.
AB - A two-step optimization method is proposed to design a compact single-surface far
field illumination system, satisfying the requirements of illuminance uniformity
and light control efficiency with h/D less than 3:1. In the first step, the
conventional tailored edge-ray design (TED) method is employed to generate
prescribed illumination distribution for the rotationally symmetric optical
system, and an optimization process is added to reach a balance between
illuminance uniformity and light control efficiency. Based on the improved TED
method, we can construct an initial optical system more accurate than that
obtained by point source assumption. In the second step, an iterative feedback
modification process is employed to optimize the initial optical system, so that
the degradation of performance due to insufficient control of skew rays is
mitigated. Because the initial optical system constructed in the first step is
accurate enough, the second-step feedback modification can converge to a
satisfactory result within several iterations. As an example, a free-form
rotationally symmetric lens with the height of h = 25 mm is designed for a
discoidal LED source with the diameter of D = 10 mm. Both high illuminance
uniformity of 0.75 and high light control efficiency of 0.86 are obtained
simultaneously. The method can be further used to achieve more complex non
uniform illumination distributions. The design of an optical system with h/D =
2.5:1 and a circular linear illumination distribution is demonstrated.
PMID- 24922233
TI - GRIN planar waveguide concentrator used with a single axis tracker.
AB - It is generally accepted that small to medium level concentrators could be used
as cost-competitive replacements for tracked solar panels. The objective is to
design a system that can reach a good level of sun concentration with only one
sun-tracking axis and is cheap to fabricate. As the most critical parameter for
all concentrator designs, optical efficiency needed improvement to reduce the
cost of power produced by our system. By using a graded-index planar waveguide
with an index profile similar to SELFOC fiber, the ray's path can be controlled.
Also, the concentrator can be fabricated in a single block, which reduces Fresnel
reflections. Overall, the optical efficiency can be improved by as much as 33%
compared to the same system made with a homogeneous waveguide. Furthermore, the
ability to cost-effectively fabricate the concentrator by molding can be
preserved, making it possible to reduce the cost of the solar power produced.
PMID- 24922234
TI - Light trapping in a polymer solar cell by tailored quantum dot emission.
AB - We propose a polymer photovoltaic device with a new scattering mechanism based on
photon absorption and re-emission in a quantum dot layer. A matrix of aluminum
nanorods with optimized radius and period are used to modify the coupling of
light emitted from the quantum dots into the polymer layer. Our analysis shows
that this architecture is capable of increasing the absorption of an ordinary
polymer photovoltaic device by 28%.
PMID- 24922235
TI - Spatio-temporal dynamics behind the shock front from compacted metal nanopowders.
AB - Laser ablated shock waves from compacted metal nanoenergetic powders of Aluminum
(Al), Nickel coated Aluminum (Ni-Al) was characterized using shadowgraphy
technique and compared with that from Boron Potassium Nitrate (BKN), Ammonium
Perchlorate (AP) and Potassium Bromide (KBr) powders. Ablation is created by
focused second harmonic (532 nm, 7 ns) of Nd:YAG laser. Time resolved
shadowgraphs of propagating shock front and contact front revealed dynamics and
the precise time of energy release of materials under extreme ablative pressures.
Among the different compacted materials studied, Al nanopowders have maximum
shock velocity and pressure behind the shock front compared to others.
PMID- 24922236
TI - Red-emitting silicon quantum dot phosphors in warm white LEDs with excellent
color rendering.
AB - We demonstrate red-emitting silicon quantum dot (SiQD) phosphors as a low-cost
and environment-friendly alternative to rare-earth element phosphors or CdSe
quantum dots. After surface passivation, the SiQD-phosphors achieve high
photoluminescence quantum yield = 51% with 365-nm excitation. The phosphors also
have a peak photoluminescence wavelength at 630 nm and a full-width-at-half
maximum of 145 nm. The relatively broadband red emission is ideal for forming the
basis of a warm white spectrum. With 365-nm or 405-nm LED pumping and the
addition of green- and/or blue-emitting rare-earth element phosphors, warm white
LEDs with color rendering index ~95 have been achieved.
PMID- 24922237
TI - Imperfectly geometric shapes of nanograting structures as solar absorbers with
superior performance for solar cells.
AB - The expectation of perfectly geometric shapes of subwavelength grating (SWG)
structures such as smoothness of sidewalls and sharp corners and nonexistence of
grating defects is not realistic due to micro/nanofabrication processes. This
work numerically investigates optical properties of an optimal solar absorber
comprising a single-layered silicon (Si) SWG deposited on a finite Si substrate,
with a careful consideration given to effects of various types of its imperfect
geometry. The absorptance spectra of the solar absorber with different geometric
shapes, namely, the grating with attached nanometer-sized features at the top and
bottom of sidewalls and periodic defects within four and ten grating periods are
investigated comprehensively. It is found that the grating with attached features
at the bottom absorbs more energy than both the one at the top and the perfect
grating. In addition, it is shown that the grating with defects in each fourth
period exhibits the highest average absorptance (91%) compared with that of the
grating having defects in each tenth period (89%), the grating with attached
features (89%), and the perfect one (86%). Moreover, the results indicate that
the absorptance spectrum of the imperfect structures is insensitive to angles of
incidence. Furthermore, the absorptance enhancement is clearly demonstrated by
computing magnetic field, energy density, and Poynting vector distributions. The
results presented in this study prove that imperfect geometries of the
nanograting structure display a higher absorptance than the perfect one, and
provide such a practical guideline for nanofabrication capabilities necessary to
be considered by structure designers.
PMID- 24922238
TI - Compound biomimetic structures for efficiency enhancement of Ga0.5In0.5P/GaAs/Ge
triple-junction solar cells.
AB - Biomimetic nanostructures have shown to enhance the optical absorption of
Ga0.5In0.5P/GaAs/Ge triple junction solar cells due to excellent antireflective
(AR) properties that, however, are highly dependent on their geometric
dimensions. In practice, it is challenging to control fabrication conditions
which produce nanostructures in ideal periodic arrangements and with tapered side
wall profiles, leading to sacrificed AR properties and solar cell performance. In
this work, we introduce compound biomimetic nanostructures created by depositing
a layer of silicon dioxide (SiO2) on top of titanium dioxide (TiO2)
nanostructures for triple junction solar cells. The device exhibits
photogenerated current and power conversion efficiency that are enhanced by ~8.9%
and ~6.4%, respectively, after deposition due to their improved antireflection
characteristics. We further investigate and verify the optical properties of
compound structures via a rigorous coupled wave analysis model. The additional
SiO2 layer not only improves the geometric profile, but also serves as a double
layer dielectric coating. It is concluded that the compound biomimetic
nanostructures exhibit superior AR properties that are relatively insensitive to
fabrication constraints. Therefore, the compound approach can be widely adopted
for versatile optoelectronic devices and applications.
PMID- 24922239
TI - Aluminum plasmonic nanoparticles enhanced dye sensitized solar cells.
AB - We present an investigation on utilizing plasmonic aluminium (Al) nanoparticles
(NPs) to enhance the optical absorption of dye-sensitized solar cells (DSCs). The
Al NPs exhibit not only the light absorption enhancement in solar cells with
localized surface plasmon (LSP) effect but also the chemical stability to
iodide/triiodide electrolyte. Besides, the lower work function (~4.06 eV),
compared with that of TiO2 (~4.6 eV), may suppress the quenching processes, such
as charge transfer to metal NPs, to reduce the loss. Thus, high concentration of
Al NPs could be incorporated into the TiO2 anodes, and the power conversion
efficiency (PCE) of DSCs is improved by nearly 13%. Moreover, electrochemical
impedance spectroscopy (EIS) characterization also indicates that the plasmonic
DSCs with Al NPs present better electrochemical performance than regular ones,
which contributes to the improvement of PCE of the device.
PMID- 24922240
TI - Optimization and analysis of 3D nanostructures for power-density enhancement in
ultra-thin photovoltaics under oblique illumination.
AB - Nanostructures have the potential to significantly increase the output power
density of ultra-thin photovoltaic devices by scattering incident sunlight into
resonant guided modes. We applied a modified version of the direct-binary-search
algorithm to design such nanostructures in order to maximize the output power
density under oblique-illumination conditions. We show that with appropriate
design of nanostructured cladding layers, it is possible for a 10nm-thick organic
absorber to produce an average peak power-density of 4 mW/cm2 with incident polar
angle ranging from -90 degrees to 90 degrees and incident azimuthal angle
ranging from -23.5 degrees to 23.5 degrees . Using careful modal and spectral
analysis, we further show that an optimal trade-off of absorption at lambda~510
nm among various angles of incidence is essential to excellent performance under
oblique illumination. Finally, we show that the optimized device with no sun
tracking can produce on an average 7.23 times more energy per year than that
produced by a comparable unpatterned device with an optimal anti-reflection
coating.
PMID- 24922241
TI - Optical properties of nanopillar AlGaN/GaN MQWs for ultraviolet light-emitting
diodes.
AB - Nanopillar AlGaN/GaN multiple quantum wells ultraviolet light-emitting diodes
(LEDs) were fabricated by nanosphere lithography and dry-etching. The optical
properties of the nanopillar LEDs were characterized by both temperature
dependent and time-resolved photoluminescence measurements. Compared to an as
grown sample, the nanopillar sample has a PL emission peak blue-shift of 7 meV, a
42% enhanced internal quantum efficiency at room temperature and a reduced
radiative recombination lifetime from 870 picosecond to 621 picosecond at 7K.
These results are directly from the suppressed quantum confined stark effect that
is due to the strain relaxation in the nanopillar MQWs, further revealed by micro
Raman measurement. Additionally, finite-difference time domain simulation also
proves better light extraction efficiency in the nanopillar LEDs.
PMID- 24922242
TI - Electrochemically synthesized broadband antireflective and hydrophobic GaOOH
nanopillars for III-V InGaP/GaAs/Ge triple-junction solar cell applications.
AB - We report the efficiency enhancement of III-V InGaP/GaAs/ Ge triple-junction (TJ)
solar cells using a novel structure, i.e., vertically-oriented gallium oxide
hydroxide (GaOOH) nanopillars (NPs), as an antireflection coating. The optical
reflectance properties of rhombus-shaped GaOOH NPs, which were synthesized by a
simple, low-cost, and large-scalable electrochemical deposition method, were
investigated, together with a theoretical analysis using the rigorous coupled
wave analysis method. For the GaOOH NPs, the solar weighted reflectance of ~8.5%
was obtained over a wide wavelength range of 300-1800 nm and their surfaces
exhibited a high water contact angle of ~130 degrees (i.e., hydrophobicity). To
simply demonstrate the feasibility of device applications, the GaOOH NPs were
incorporated into a test-grown InGaP/GaAs/Ge TJ solar cell structure. For the
InGaP/GaAs/Ge TJ solar cell with broadband antireflective GaOOH NPs, the
conversion efficiency (eta) of ~16.47% was obtained, indicating an increased
efficiency by 3.47% compared to the bare solar cell (i.e., eta~13%).
PMID- 24922243
TI - Dual-band infrared perfect absorber based on asymmetric T-shaped plasmonic array.
AB - An infrared dual-band perfect absorber based on asymmetric T-shaped plasmonic
array is designed and numerically investigated. Two distinct absorption peaks are
achieved by localized surface plasmon polariton (LSPP) mode over a wide incident
angular range. Both the absorption peaks can be finely tuned independently by
varying the geometry of the structure. In our proposed structure, the period of
the T-shaped structures becomes less and the multiple LSPP peaks are suppressed,
which result in the sideband of absorption peaks very low. This dual-band perfect
absorber has potential applications such as in infrared imaging devices, thermal
bolometers, and wavelength selective radiators.
PMID- 24922244
TI - Performance-limiting factors for GaAs-based single nanowire photovoltaics.
AB - GaAs nanowires (NWs) offer the possibility of decoupling light absorption from
charge transport for high-performance photovoltaic (PV) devices. However, it is
still an open question as to whether these devices can exceed the Shockley
Queisser efficiency limit for single-junction PV. In this work, single standing
GaAs-based nanowire solar cells in both radial and vertical junction
configurations is analyzed and compared to a planar thin-film design. By using a
self-consistent, electrical-optically coupled 3D simulator, we show the design
principles for nanowire and planar solar cells are significantly different;
nanowire solar cells are vulnerable to surface and contact recombination, while
planar solar cells suffer significant losses due to imperfect backside mirror
reflection. Overall, the ultimate efficiency of the GaAs nanowire solar cell with
radial and vertical junction is not expected to exceed that of the thin-film
design, with both staying below the Shockley-Queisser limit.
PMID- 24922245
TI - Type II GaSb quantum ring solar cells under concentrated sunlight.
AB - A type II GaSb quantum ring solar cell is fabricated and measured under the
concentrated sunlight. The external quantum efficiency confirms the extended
absorption from the quantum rings at long wavelength coinciding with the
photoluminescence results. The short-circuit current of the quantum ring devices
is 5.1% to 9.9% more than the GaAs reference's under various concentrations.
While the quantum ring solar cell does not exceed its GaAs counterpart in
efficiency under one-sun, the recovery of the open-circuit voltages at higher
concentration helps to reverse the situation. A slightly higher efficiency
(10.31% vs. 10.29%) is reported for the quantum ring device against the GaAs one.
PMID- 24922246
TI - Side-illuminating LED luminaires with accurate projection in high uniformity and
high optical utilization factor for large-area field illumination.
AB - A novel light luminaire is proposed and experimentally analyzed, which accurately
projects light into a large rectangular area to achieve uniform illumination and
a high optical utilization factor at the target. Side-illuminating luminaires for
large-scale illuminated area are typically set with an elevated tilt angle to
enlarge the illuminated area. However, the light pattern is bent thereby reducing
the uniformity and optical utilization factor at the target. In this paper, we
propose an efficient and useful approach with a rotationally symmetric projection
lens that is trimmed to adjust the bending effect and to form a rectangular
illumination light pattern on the ground. The design concept is demonstrated and
verified. Several potential applications such as highly uniform illumination with
fitting shapes for sport courts are analyzed and discussed.
PMID- 24922247
TI - High-efficiency, broad-band and wide-angle optical absorption in ultra-thin
organic photovoltaic devices.
AB - Metal nanogratings as one of the promising architectures for effective light
trapping in organic photovoltaics (OPVs) have been actively studied over the past
decade. Here we designed a novel metal nanowall grating with ultra-small period
and ultra-high aspect-ratio as the back electrode of the OPV device. Such grating
results in the strong hot spot effect in-between the neighboring nanowalls and
the localized surface plasmon effect at the corners of nanowalls. These combined
effects make the integrated absorption efficiency of light over the wavelength
range from 400 to 650 nm in the active layer for the proposed structure, with
respect to the equivalent planar structure, increases by 102% at TM polarization
and by 36.5% at the TM/TE hybrid polarization, respectively. Moreover, it is
noted that the hot spot effect in the proposed structure is more effective for
ultra-thin active layers, which is very favorable for the exciton dissociation
and charge collection. Therefore such a nanowall grating is expected to improve
the overall performance of OPV devices.
PMID- 24922248
TI - Strong broadband absorption in GaAs nanocone and nanowire arrays for solar cells.
AB - We studied the influence of geometric parameters on the optical absorption of
gallium arsenide (GaAs) nanocone and nanowire arrays via finite difference time
domain simulations. We optimized the structural parameters of the nanocone and
nanowire arrays to maximize the ultimate efficiency across a range of lengths
from 100 to 1000 nm. Nanocone arrays were found to have improved solar
absorption, short-circuit current density, and ultimate efficiencies over
nanowire arrays for a wide range of lengths. Detailed simulations reveal that
nanocones have superior absorption due to reduced reflection from their smaller
tip and reduced transmission from their larger base. Breaking the vertical mirror
symmetry of nanowires results in a broader absorption spectrum such that overall
efficiencies are enhanced for nanocones. We also evaluated the electric field
intensity, carrier generation and angle-dependent optical properties of nanocones
and nanowires. The carrier generation in nanocone arrays occurs away from the
surface and is more uniform over the entire structure, which should result in
less recombination losses than in nanowire arrays.
PMID- 24922249
TI - GaN-based light-emitting diodes with graphene/indium tin oxide transparent layer.
AB - We have demonstrated a gallium nitride (GaN)-based green light-emitting diode
(LED) with graphene/indium tin oxide (ITO) transparent contact. The ohmic
characteristic of the p-GaN and graphene/ITO contact could be preformed by
annealing at 500 degrees C for 5 min. The specific contact resistance of p
GaN/graphene/ITO (3.72E-3 Omega.cm2) is one order less than that of p-GaN/ITO. In
addition, the 20-mA forward voltage of LEDs with graphene/ITO transparent (3.05
V) is 0.09 V lower than that of ITO LEDs (3.14 V). Besides, We have got an output
power enhancement of 11% on LEDs with graphene/ITO transparent contact.
PMID- 24922250
TI - Reflectance properties of silicon moth-eyes in response to variations in angle of
incidence, polarisation and azimuth orientation.
AB - We report a study of the optical properties of silicon moth-eye structures using
a custom-made fully automated broadband spectroscopic reflectometry system
(goniometer). This measurement system is able to measure specular reflectance as
a function of wavelength, polar incidence angle and azimuth orientation angle,
from normal to near-parallel polar incidence angle. The system uses a linear
polarized broadband super-continuum laser light source. It is shown that a moth
eye structure composed of a regular array of protruding silicon rods, with finite
sidewall angle reduces reflectance and sensitivity to incident wavelength in
comparison to truly cylindrical rods with perpendicular sidewalls. It is also
shown that moth-eye structures have omnidirectional reflectance properties in
response to azimuth orientation of the sample. The importance of applying the
reflectometer setup to study the optical properties of solar cell antireflective
structures is highlighted.
PMID- 24922251
TI - Optical properties associated with strain relaxations in thick InGaN epitaxial
films.
AB - Structural and optical properties of thick InGaN layers with strain and
composition inhomogeneities are investigated. High resolution x-ray diffractions
(XRD) and reciprocal space mapping (RSM) along an asymmetric axis reveal that the
In composition inhomogeneity is accompanied by strain relaxations during the
growth of thick InGaN layers. According to the structural analysis, the commonly
observed double photoluminescence (PL) peaks have been confirmed to be associated
with the strain relaxation in thick InGaN films. Temperature-dependent PL
measurements further indicate that the relaxed phase in InGaN films exhibits
better emission efficiency than the strained phase. Recombination dynamics reveal
that the carrier localization effect is more pronounced in the relaxed phase due
to the compositional pulling effect. The correlations between emission efficiency
and localization effect in thick InGaN films are discussed.
PMID- 24922252
TI - A study on the optics of copper indium gallium (di)selenide (CIGS) solar cells
with ultra-thin absorber layers.
AB - We present a systematic study of the effect of variation of the zinc oxide (ZnO)
and copper indium gallium (di)selenide (CIGS) layer thickness on the absorption
characteristics of CIGS solar cells using a simulation program based on finite
element method (FEM). We show that the absorption in the CIGS layer does not
decrease monotonically with its layer thickness due to interference effects.
Ergo, high precision is required in the CIGS production process, especially when
using ultra-thin absorber layers, to accurately realize the required thickness of
the ZnO, cadmium sulfide (CdS) and CIGS layer. We show that patterning the ZnO
window layer can strongly suppress these interference effects allowing a higher
tolerance in the production process.
PMID- 24922253
TI - Plasmonic ITO-free polymer solar cell.
AB - The aluminum and sliver multilayered nano-grating structure is fabricated by
laser interference lithography and the intervals between nanoslits is filled with
modified PEDOT:PSS. The grating structured transparent electrode functions as the
anti-reflection layer which not only decreases the reflected light but also
increases the absorption of the active layer. The performances of P3HT:PC61BM
solar cells are studied experimentally and theoretically in detail. The field
intensities of the transverse magnetic (TM) and transverse electrical (TE) waves
distributed in the active layer are simulated by rigorous coupled wave analysis
(RCWA). The power conversion efficiency of the plasmonic ITO-free polymer solar
cell can reach 3.64% which is higher than ITO based polymer solar cell with
efficiency of 3.45%.
PMID- 24922254
TI - A study on luminescence properties and energy transfer mechanism for
NaCaY(PO4)2:Eu2+,Mn2+ phosphors for LED applications.
AB - A color-tunable NaCaY(PO4)2:Eu2+,Mn2+, was synthesized by a solid state reaction.
NaCaY(PO4)2 crystallizes in the hexagonal structure system with space group of
P6222 and Z = 1. The NaCaY(PO4)2:Eu2+ exhibits blue-greenish emission and broad
excitation bands corresponding to the allowed f->d electronic transition of Eu2+.
In addition, via the design of efficient energy transfer from Eu2+ to Mn2+, a
high quality of white-emitting light could be generated in the optimized
composition of NaCaY(PO4)2:1%Eu2+, 0.5%Mn2+ with CIE coordinates of
(0.3389,0.3531) and CRI of 82, which is superior than that of blue chip and YAG
phosphors. The results indicate that as-synthesized NaCaY(PO4)2:Eu2+,Mn2+
phosphors exhibits the potential to be an n-UV convertible phosphor.
PMID- 24922255
TI - Enhanced up-conversion for photovoltaics via concentrating integrated optics.
AB - Concentrating optics are integrated into up-conversion photovoltaic (UC-PV)
devices to independently concentrate sub-band-gap photons on the up-conversion
layer, without affecting the full solar concentration on the overlying solar
cell. The UC-PV devices consist of silicon solar cells optimized for up
conversion, coupled with tapered and parabolic dielectric concentrators, and
hexagonal sodium yttrium fluoride (beta-NaYF4) up-converter doped with 25%
trivalent erbium (Er3+). A normalized external quantum efficiency of 1.75x10-2
cm2/W and 3.38x10-2 cm2/W was obtained for the UC-PV device utilizing tapered and
parabolic concentrators respectively. Although low to moderate concentration was
shown to maximize UC, higher concentration lead to saturation and reduced
external quantum efficiency. The presented work highlights some of the
implications associated with the development of UC-PV devices and designates a
substantial step for integration in concentrating PV.
PMID- 24922256
TI - Light trapping design for low band-gap polymer solar cells.
AB - We demonstrate numerically a 2-D nanostructured design for light trapping in a
low band-gap polymer solar cell. Finite element method simulations are used to
study the effect of varying nanostructure periodicity, height, and shape on
active layer absorption. Maintaining a constant active layer thickness of 100 nm
we observe an enhancement in solar absorption of almost 40% relative to a planar
cell. Improvements of this magnitude enable single-junction, low-band-gap cells
to achieve power conversion efficiencies of 11.2% and perform competitively with
even state-of-the-art tandem cells. Our design is also shown to significantly
outperform tandem cells at off-normal angles of incidence.
PMID- 24922257
TI - Reduced optical loss in mechanically stacked multi-junction organic solar cells
exhibiting complementary absorptions.
AB - This paper describes a promising approach toward preparing effective electrical
and optical interconnections for tandem organic photovoltaic devices (OPVs). The
first subcell featured a semi-transparent electrode, which allowed a portion of
the solar irradiation to pass through and to enter the second subcell exhibiting
complementary absorption behavior. The resulting multi-junction OPV had multiple
contacts such that the subcells could be easily connected either in series or in
parallel. More importantly, we used UV-curable epoxy to "mechanically" stack the
two subcells and to eliminate the air gap between them, thereby reducing the
optical loss induced by mismatches of refractive indices. Therefore, an improved
power conversion efficiency of approximately 6.5% has been achieved.
PMID- 24922258
TI - Influence of carrier localization on high-carrier-density effects in AlGaN
quantum wells.
AB - The influence of carrier localization on photoluminescence efficiency droop and
stimulated emission is studied in AlGaN multiple quantum wells with different
strength of carrier localization. We observe that carrier delocalization at low
temperatures predominantly enhances the nonradiative recombination and causes the
droop, while the main effect of the delocalization at elevated temperatures is
enhancement of PL efficiency due to increasing contribution of bimolecular
recombination of free carriers. When the carrier thermal energy exceeds the
dispersion of the potential fluctuations causing the carrier localization, the
droop is caused by stimulated carrier recombination.
PMID- 24922259
TI - Proof of principle demonstration of a self-tracking concentrator.
AB - We present to the best of our knowledge the first successful demonstration of a
planar, self-tracking solar concentrator system capable of a 2-dimensional
angular acceptance of over 40 degrees . The light responsive mechanism allows for
efficient waveguide coupling and light concentration independently of the angle
of incidence within the angular range. A coupling feature is created at the focal
spot of the optical system by locally melting a phase change material which acts
as an actuator due to the large thermal expansion. A dichroic prism membrane
reflects the visible light so that it is efficiently coupled into a waveguide at
the point of the created coupling feature. We show simulation results for
concentration and efficiency, validated by an experimental proof of concept
demonstration of a self-tracking concentrator array element. Simulations show
that a system based on this approach can achieve 150X effective concentration by
scaling the system collecting area to reasonable dimensions (40 x 10 cm2).
PMID- 24922260
TI - Color-by-blue display using blue quantum dot light-emitting diodes and green/red
color converting phosphors.
AB - We report a novel full-color display based on the generation of full-color by a
highly efficient blue QD-LED light approach, or so called color-by-blue QD-LED
display. This newly proposed color-by-blue QD-LED display combines a blue
CdZnS/ZnS QD-LED blue subpixel and excitation source with front-emitting
green/red phosphor subpixels. It is carefully estimated that the detailed display
characteristics as well as full color-conversion and reasonable device efficiency
of blue, green, and red satisfy the minimum requirements for display application.
Also, we would like to emphasize that the proposed blue, green, and red device
shows maximum luminance of 1570, 12920, and 3120 cd/m2, respectively, luminous
efficiency of 1.5, 12.1, and 2.5 cd/A, respectively, and external quantum
efficiency of 6.8, 2.8, and 2.0%, respectively. It is expected that full color
generation by color-by-blue QD-LED will lead to further technological
advancements in the area of efficient and facile display applications.
PMID- 24922261
TI - Micro-concentrators for a microsystems-enabled photovoltaic system.
AB - A 100X magnification, +/- 2.5 degrees field of view micro-concentrating optical
system has been developed for a microsystems-enabled photovoltaic (MEPV)
prototype module using 250 um diameter multi-junction "stacked" PV cells.
PMID- 24922262
TI - Spectrum splitting metrics and effect of filter characteristics on photovoltaic
system performance.
AB - During the past few years there has been a significant interest in spectrum
splitting systems to increase the overall efficiency of photovoltaic solar energy
systems. However, methods for comparing the performance of spectrum splitting
systems and the effects of optical spectral filter design on system performance
are not well developed. This paper addresses these two areas. The system
conversion efficiency is examined in detail and the role of optical spectral
filters with respect to the efficiency is developed. A new metric termed the
Improvement over Best Bandgap is defined which expresses the efficiency gain of
the spectrum splitting system with respect to a similar system that contains the
highest constituent single bandgap photovoltaic cell. This parameter indicates
the benefit of using the more complex spectrum splitting system with respect to a
single bandgap photovoltaic system. Metrics are also provided to assess the
performance of experimental spectral filters in different spectrum splitting
configurations. The paper concludes by using the methodology to evaluate spectrum
splitting systems with different filter configurations and indicates the overall
efficiency improvement that is possible with ideal and experimental designs.
PMID- 24922263
TI - How to assess light trapping structures versus a Lambertian Scatterer for solar
cells?
AB - We propose a new figure of merit to assess the performance of light trapping
nanostructures for solar cells, which we call the light trapping efficiency
(LTE). The LTE has a target value of unity to represent the performance of an
ideal Lambertian scatterer, although this is not an absolute limit but rather a
benchmark value. Since the LTE aims to assess the nanostructure itself, it is, in
principle, independent of the material, fabrication method or technology used. We
use the LTE to compare numerous proposals in the literature and to identify the
most promising light trapping strategies. We find that different types of
photonic structures allow approaching the Lambertian limit, which shows that the
light trapping problem can be approached from multiple directions. The LTE of
theoretical structures significantly exceeds that of experimental structures,
which highlights the need for theoretical descriptions to be more comprehensive
and to take all relevant electro-optic effects into account.
PMID- 24922264
TI - Designing optical free-form surfaces for extended sources.
AB - LED lighting has been a strongly growing field for the last decade. The
outstanding features of LED, like compactness and low operating temperature take
the control of light distributions to a new level. Key for this is the
development of sophisticated optical elements that distribute the light as
intended. The optics design method known as tailoring relies on the point source
assumption. This assumption holds as long as the optical element is large
compared to the LED chip. With chip sizes of 1 mm2 this is of no concern if each
chip is endowed with its own optic. To increase the power of a luminaire, LED
chips are arranged to form light engines that reach several cm in diameter. In
order to save costs and space it is often desirable to use a single optical
element for the light engine. At the same time the scale of the optics must not
be increased in order to trivially keep the point source assumption valid. For
such design tasks point source algorithms are of limited usefulness. New methods
that take into account the extent of the light source have to be developed. We
present two such extended source methods. The first method iteratively adapts the
target light distribution that is fed into a points source method while the
second method employs a full phase space description of the optical system.
PMID- 24922266
TI - Focus issue introduction: Laser Ignition Conference.
AB - The purpose of this feature issue is to share information on laser ignition and
related sciences and technologies. This feature offers five papers in the field
that cover aspects of laser-induced laser ignition, including novel giant pulse
micro-lasers, new phenomena of laser breakdown, advanced combustion systems and
applications. These topics were chosen from the first Laser Ignition Conference
(LIC) covering the topics of high brightness lasers for ignition and diagnostics,
laser ignited engines for power generators and vehicles, and from a joint
symposium with the Laser Display Conference covering applications of high
brightness lasers.
PMID- 24922267
TI - A hybrid simulated method for analyzing the optical efficiency of a head-mounted
display with a quasi-crystal OLED panel.
AB - Organic light emitting diodes (OLEDs) with a quasi-crystal (QC) structure are
analyzed and applied in a head-mounted display (HMD) system in this study. We
adopt a hybrid simulated method to evaluate the light extraction efficiency (LEE)
and far-field pattern in the air, and study the relationship between them. The
simulation results show that OLEDs implanted with the QC structure can provide a
collimated far-field pattern to increase the brightness. Using this 10-fold QC
arrangement the maxima LEE of the OLEDs can be increased by 1.20 times. Compared
with conventional OLEDs, the viewing angle of the OLED panel decreases from 120
degrees to 26 degrees with an improvement in the optical efficiency of the HMD
system by 2.66 times. Moreover, the normalized on-axis intensity in the pupil of
the eyepiece can be enlarged up to 3.95 times which suggests that the OLED panel
can save 74.68% energy while achieving the same on-axis intensity as conventional
OLEDs.
PMID- 24922268
TI - Relation of immune semaphorin/plexin signaling to carcinogenesis.
AB - Semaphorins and plexins represent a highly conserved group of proteins that have
recently been found to exert widespread regulatory functions outside the nervous
system, including angiogenesis and immune regulation. Furthermore, these
molecules are definitely implicated in the etiology of carcinogenesis and immune
disorders. Their expression patterns and levels are deregulated in cancer cells
and in cells of the tumor milieu. During the multistep development of cancer, its
characteristic features include sustained tumor cell proliferation, resistance to
cell death, limitless replicative capacity, activation of angiogenesis along with
invasion and metastatic spread, cancer-related smoldering inflammation, and
evasion of antitumor immune responses. The diversity of the semaphorin/plexin
complexes and, thus, the multiple stimulated molecular interactions allow varied
and diverse cell signaling events. The elicited transduction pathways might be
involved in modifying the intricate mechanisms of tumorigenesis. Indeed, these
pleiotropic signals may influence not only the intrinsic properties of cancer
cells but they could also represent a possible link in mediating the cross-talk
between tumor cells and the surrounding multiple stromal cells. In tumorigenesis,
however, a dual role of different semaphorins is proposed, as some of them may
elicit tumor regression, whereas others definitely promote cancer cell survival
and progression. The current antitumoral or prosurvival responsiveness to
semaphorins is mainly cell context dependent; nevertheless, their precise
relation to cancer networks has not yet been fully elucidated. Here, we survey
the many faces of a subset of the large semaphorin family, termed immune
semaphorins, in carcinogenesis.
PMID- 24922269
TI - Synthesis of the Pitstop family of clathrin inhibitors.
AB - This protocol describes the synthesis of two classes of clathrin inhibitors,
Pitstop 1 and Pitstop 2, along with two inactive analogs that can be used as
negative controls (Pitstop inactive controls, Pitnot-2 and Pitnot-2-100). Pitstop
induced inhibition of clathrin TD function acutely interferes with clathrin
mediated endocytosis (CME), synaptic vesicle recycling and cellular entry of HIV,
whereas clathrin-independent internalization pathways and secretory traffic
proceed unperturbed; these reagents can, therefore, be used to investigate
clathrin function, and they have potential pharmacological applications. Pitstop
1 is synthesized in two steps: sulfonation of 1,8-naphthalic anhydride and
subsequent reaction with 4-amino(methyl)aniline. Pitnot-1 results from the
reaction of 4-amino(methyl)aniline with commercially available 4-sulfo-1,8
naphthalic anhydride potassium salt. Reaction of 1-naphthalene sulfonyl chloride
with pseudothiohydantoin followed by condensation with 4-bromobenzaldehyde yields
Pitstop 2. The synthesis of the inactive control commences with the condensation
of 4-bromobenzaldehyde with the rhodanine core. Thioketone methylation and
displacement with 1-napthylamine affords the target compound. Although Pitstop 1
series compounds are not cell permeable, they can be used in biochemical assays
or be introduced into cells via microinjection. The Pitstop 2-series compounds
are cell permeable. The synthesis of these compounds does not require specialist
equipment and can be completed in 3-4 d. Microwave irradiation can be used to
reduce the synthesis time. The synthesis of the Pitstop 2 family is easily
adaptable to enable the synthesis of related compounds such as Pitstop 2-100 and
Pitnot-2-100. The procedures are also simple, efficient and amenable to scale-up,
enabling cost-effective in-house synthesis for users of these inhibitor classes.
PMID- 24922270
TI - Generation of a transgenic ORFeome library in Drosophila.
AB - Overexpression screens can be used to explore gene function in Drosophila
melanogaster, but to demonstrate their full potential, comprehensive and
systematic collections of fly strains are required. Here we provide a protocol
for high-throughput cloning of Drosophila open-reading frames (ORFs) that are
regulated by upstream activation sequences (UAS sites); the resulting GAL4
inducible UAS-ORF plasmid library is then used to generate Drosophila strains by
PhiC31 integrase-mediated site-specific integration. We also provide details for
FLP/FRT-mediated in vivo exchange of epitope tags (or regulatory regions) in the
ORF library strains, which further extends the potential applications of the
library. These transgenic UAS-ORF strains are a useful resource to complement and
validate genetic experiments performed with loss-of-function mutants and RNA
interference (RNAi) lines. The duration of the complete protocol strongly depends
on the number of ORFs required, but embryos can be injected and balanced fly
stocks can be established within ~7-8 weeks for a few genes.
PMID- 24922271
TI - Porous nucleating agents for protein crystallization.
AB - Solving the structure of proteins is pivotal to achieving success in rational
drug design and in other biotechnological endeavors. The most powerful method for
determining the structure of proteins is X-ray crystallography, which relies on
the availability of high-quality crystals. However, obtaining such crystals is a
major hurdle. Nucleation is the crucial prerequisite step, which requires
overcoming an energy barrier. The presence in a protein solution of a nucleant, a
solid or a semiliquid substance that facilitates overcoming that barrier allows
crystals to grow under ideal conditions, paving the way for the formation of high
quality crystals. The use of nucleants provides a unique means for optimizing the
diffraction quality of crystals, as well as for discovering new crystallization
conditions. We present a protocol for controlling the nucleation of protein
crystals that is applicable to a wide variety of nucleation-inducing substances.
Setting up crystallization trials using these nucleating agents takes an
additional few seconds compared with conventional setup, and it can accelerate
crystallization, which typically takes several days to months.
PMID- 24922273
TI - Insights from arsenate adsorption on rutile (110): grazing-incidence X-ray
absorption fine structure spectroscopy and DFT+U study.
AB - Insights into the bonding of As(V) at the metal oxide/aqueous interface can
further our understanding of its fate and transport in the environment. The
motivation of this work is to explore the interfacial configuration of As(V) on
single crystal rutile (110) using grazing-incidence X-ray absorption fine
structure spectroscopy (GI-XAFS) and planewave density functional calculations
with on-site repulsion (DFT+U). In contrast to the commonly considered corner
sharing bidentate binuclear structure, tetrahedral As(V) binds as an edge/corner
sharing tridentate binuclear complex on rutile (110), as evidenced by observation
of three As-Ti distances at 2.83, 3.36, and 4.05 A. In agreement with the GI-XAFS
analysis, our DFT+U calculations for this configuration resulted in the lowest
adsorption energy among five possible alternatives. In addition, the electron
density difference further demonstrated the transfer of charge between surface Ti
atoms and O atoms in AsO4. This charge transfer consequently induced the
formation of a chemical bond, which is also confirmed by the partial density of
states analysis. Our results may shed new light on coupling the GI-XAFS and DFT
approaches to explore molecular-scale adsorption mechanisms on single crystal
surfaces.
PMID- 24922272
TI - Preparation of core-shell NaGdF4 nanoparticles doped with luminescent lanthanide
ions to be used as upconversion-based probes.
AB - Sodium gadolinium fluoride (NaGdF4) is an ideal host material for the
incorporation of luminescent lanthanide ions because of its high photochemical
stability, low vibrational energy and its ability to mediate energy exchanges
between the lanthanide dopants. This protocol describes the detailed experimental
procedure for synthesizing core-shell NaGdF4 nanoparticles that incorporate
lanthanide ions into different layers for efficiently converting a single
wavelength, near-IR excitation into a tunable visible emission. These
nanoparticles can then be used as luminescent probes in biological samples, in 3D
displays, in solar energy conversion and in photodynamic therapy. The NaGdF4
nanoparticles are grown through co-precipitation in a binary solvent mixture of
oleic acid and 1-octadecene. Doping by lanthanides with controlled compositions
and concentrations can be achieved concomitantly with particle growth. The
lanthanide-doped NaGdF4 nanoparticles then serve as seed crystals for subsequent
epitaxial growth of shell layers comprising different lanthanide dopants. The
entire procedure for the preparation and isolation of the core-shell
nanoparticles comprising two epitaxial shell layers requires ~15 h for
completion.
PMID- 24922274
TI - Simultaneous determination of thirteen aminoalcohol-diterpenoid alkaloids in the
lateral roots of Aconitum carmichaeli by solid-phase extraction-liquid
chromatography-tandem mass spectrometry.
AB - Aminoalcohol-diterpenoid alkaloids have been reported as the cardioactive
components in the lateral roots of Aconitum carmichaeli (Fuzi) according to
recent studies. Determination of these effective components is of great
significance for quality control purposes for Fuzi. Here we report, for the
first, the development and validation of a new method to determine the 13
aminoalcohol-diterpenoid alkaloids in Fuzi by using a simple and accurate solid
phase extraction-liquid chromatography-tandem mass spectrometry. The
chromatographic analysis was performed on an ODS column with methanol-0.1 %
formic acid (80 : 20, v/v) as the mobile phase. The quantification was performed
using MS/MS detection in the positive ion mode with multiple reaction monitoring.
Linearity was observed within a range of concentrations of 20-2,000 ng/mL. For
all the analytes, the r value was greater than 0.9990. The limit of detection and
the limit of quantitation were less than 0.5 ng/mL and 2.0 ng/mL, respectively.
The intraday and interday precisions were less than 5% and 10%, respectively. The
accuracy was within the range of 90 to 105%. This method was successfully applied
to determine the 13 aminoalcohol-diterpenoid alkaloids in Fuzi from different
origins and with different processing methods.
PMID- 24922275
TI - Human ovarian cancer multicellular spheroids: a model for testing
antiproliferation activity of Devil's club (Oplopanax horridus) and anticancer
agents.
AB - This study was conducted to employ an ovarian cancer Ovcar 10 three-dimensional
model to assess the antiproliferation activity of the medicinal plant Devil's
club, Oplopanax horridus, and its active compound, alone and in combination, with
chemotherapeutic agents compared to Ovcar 10 two-dimensional cells grown as
monolayer cells. Ovcar 10 three-dimensional spheroids were prepared with a rotary
cell culture system. Cell counting kit-8 assessed the antiproliferation activity.
Apoptosis-related gene expression in three-dimensional spheroids and two-
dimensional cells was analyzed with an apoptosis antibody array. Flow cytometry
was used to analyze the cell cycle. Ovcar 10 cells formed compact three
dimensional spheroids after 5 days of culture in a rotary culture system. Ovcar
10 three-dimensional spheroids were significantly more resistant to killing by
Devil's club extract, its active compound alone, gemcitabine, and paclitaxel, but
not cisplatin compared to two-dimensional cells, with IC50 levels closer to that
observed in vivo. Devil's club extract and its active compound alone
significantly enhanced the antiproliferation activity of cisplatin and
gemcitabine at some concentrations, but did not affect the activity of
paclitaxel. A number of apoptosis-related genes were differentially expressed in
three-dimensional spheroids, two-dimensional cells, and cells treated with
Devil's club extract compared to untreated controls. In three-dimensional
spheroids, the proportion of cells in the G2/M phase was slightly increased and
the S phase was slightly decreased compared to two-dimensional cells. Ovcar 10
cells in three-dimensional spheroids altered the expression of multiple apoptosis
related genes, which may have contributed to the increased resistance of the
cells to some drugs.
PMID- 24922276
TI - Useful methods for targeted plant selection in the discovery of potential new
drug candidates.
AB - The efficient and effective selection of appropriate plants for investigative
purposes in a drug discovery program is of crucial importance for a successful
outcome. A variety of approaches have been used by researchers with varying
levels of success. A variety of different approaches to plant selection are
discussed, including the ethnomedicinal approach, some ecological approaches, and
the use of combinatorial and computational methodologies.
PMID- 24922277
TI - Meta-analysis of the differentially expressed breast cancer-related microRNA
expression profiles.
AB - MicroRNAs (miRNAs), as non-coding RNA molecules, play an important role in
regulating gene expression in cancer development. Meta-analysis was used to
screen overlapping differentially expressed miRNAs (DEmiRNAs) in three studies.
The miRanda was used to identify target genes related to overlapping DEmiRNAs.
These Gene Ontology (GO) and Encyclopaedia of Genes and Genomes (KEGG) database
were applied to further predict the function of these target genes. As a result,
we obtained seven overlapping miRNAs and six significantly over-represented GO
terms closely related to breast cancer. After KEGG pathways analysis, a total of
seven key target genes were involved in the Wnt signalling pathway (p = 0.0002).
Our findings from this study suggest that the altered levels of miRNAs might have
great potential to serve as novel, non-invasive biomarkers for early detection of
breast cancer.
PMID- 24922278
TI - Fast Surface Crystal Growth on Molecular Glasses and Its Termination by the Onset
of Fluidity.
AB - Organic glasses can grow crystals much faster on the free surface than in the
interior, a phenomenon important for fabricating stable amorphous materials. This
surface process differs from and is faster than the glass-to-crystal (GC) growth
mode existing in the bulk of molecular glasses. We report that similar to GC
growth, surface crystal growth terminates if glasses are heated to gain fluidity.
In their steady growth below the glass transition temperature Tg, surface
crystals rise above the amorphous surface while spreading laterally and are
surrounded by depressed grooves. Above Tg, the growth becomes slower, sometimes
unstable. This damage is stronger on segregated needles (alpha indomethacin,
nifedipine, and o-terphenyl) than on crystals growing in compact domains (gamma
indomethacin). This effect arises because the onset of liquid flow causes the
wetting and embedding of upward-growing surface crystals. Segregated needles are
at greater risk because their slow-growing flanks appear stationary relative to
liquid flow at a low temperature. The disruption of surface crystal growth by
fluidity supports the view that the process occurs by surface diffusion, not
viscous flow. Compared to the bulk GC mode, surface crystal growth is disrupted
less abruptly by fluidity. Nevertheless, to the extent that fluidity damages
them, both processes are solid-state phenomena terminated in the liquid state.
PMID- 24922279
TI - Hypokalaemia-induced rhabdomyolysis after treatment of post-Kala-azar dermal
Leishmaniasis (PKDL) with high-dose AmBisome in Bangladesh-a case report.
PMID- 24922280
TI - Populational survey of arthropods on transgenic common bean expressing the rep
gene from Bean golden mosaic virus.
AB - Genetically modified (GM) crops is considered the fastest adopted crop technology
in the history of modern agriculture. However, possible undesirable and
unintended effects must be considered during the research steps toward
development of a commercial product. In this report we evaluated effects of a
common bean virus resistant line on arthropod populations, considered as non
target organisms. This GM bean line (named M1/4) was modified for resistance
against Bean golden mosaic virus (BGMV) by expressing a mutated REP protein,
which is essential for virus replication. Biosafety studies were performed for a
period of three years under field conditions. The abundance of some species was
significantly higher in specific treatments in a particular year, but not
consistently different in other years. A regular pattern was not observed in the
distribution of insects between genetically modified and conventional treatments.
Data analyses showed that minor differences observed can be attributed to random
variation and were not consistent enough to conclude that the treatments were
different. Therefore the present study indicates that the relative abundance of
species are similar in transgenic and non-transgenic fields.
PMID- 24922282
TI - Virtual scarce water in China.
AB - Water footprints and virtual water flows have been promoted as important
indicators to characterize human-induced water consumption. However,
environmental impacts associated with water consumption are largely neglected in
these analyses. Incorporating water scarcity into water consumption allows better
understanding of what is causing water scarcity and which regions are suffering
from it. In this study, we incorporate water scarcity and ecosystem impacts into
multiregional input-output analysis to assess virtual water flows and associated
impacts among 30 provinces in China. China, in particular its water-scarce
regions, are facing a serious water crisis driven by rapid economic growth. Our
findings show that inter-regional flows of virtual water reveal additional
insights when water scarcity is taken into account. Consumption in highly
developed coastal provinces is largely relying on water resources in the water
scarce northern provinces, such as Xinjiang, Hebei, and Inner Mongolia, thus
significantly contributing to the water scarcity in these regions. In addition,
many highly developed but water scarce regions, such as Shanghai, Beijing, and
Tianjin, are already large importers of net virtual water at the expense of water
resource depletion in other water scarce provinces. Thus, increasingly importing
water-intensive goods from other water-scarce regions may just shift the pressure
to other regions, but the overall water problems may still remain. Using the
water footprint as a policy tool to alleviate water shortage may only work when
water scarcity is taken into account and virtual water flows from water-poor
regions are identified.
PMID- 24922281
TI - An HMM-based comparative genomic framework for detecting introgression in
eukaryotes.
AB - One outcome of interspecific hybridization and subsequent effects of evolutionary
forces is introgression, which is the integration of genetic material from one
species into the genome of an individual in another species. The evolution of
several groups of eukaryotic species has involved hybridization, and cases of
adaptation through introgression have been already established. In this work, we
report on PhyloNet-HMM-a new comparative genomic framework for detecting
introgression in genomes. PhyloNet-HMM combines phylogenetic networks with hidden
Markov models (HMMs) to simultaneously capture the (potentially reticulate)
evolutionary history of the genomes and dependencies within genomes. A novel
aspect of our work is that it also accounts for incomplete lineage sorting and
dependence across loci. Application of our model to variation data from
chromosome 7 in the mouse (Mus musculus domesticus) genome detected a recently
reported adaptive introgression event involving the rodent poison resistance gene
Vkorc1, in addition to other newly detected introgressed genomic regions. Based
on our analysis, it is estimated that about 9% of all sites within chromosome 7
are of introgressive origin (these cover about 13 Mbp of chromosome 7, and over
300 genes). Further, our model detected no introgression in a negative control
data set. We also found that our model accurately detected introgression and
other evolutionary processes from synthetic data sets simulated under the
coalescent model with recombination, isolation, and migration. Our work provides
a powerful framework for systematic analysis of introgression while
simultaneously accounting for dependence across sites, point mutations,
recombination, and ancestral polymorphism.
PMID- 24922284
TI - The haemophilia certification system in Canada.
PMID- 24922285
TI - The national haemophilia program standards, evaluation and oversight systems in
the United States of America.
PMID- 24922286
TI - Haemophilia Centre Certification Systems: optional or optimal choice for
healthcare systems?
PMID- 24922287
TI - Haemophilia Centre certification system across Europe: the Belgian experience.
PMID- 24922288
TI - Quality of haemophilia care in The Netherlands: new standards for optimal care.
AB - BACKGROUND: In the Netherlands, the first formal haemophilia comprehensive care
centre was established in 1964, and Dutch haemophilia doctors have been organised
since 1972. Although several steps were taken to centralise haemophilia care and
maintain quality of care, treatment was still delivered in many hospitals, and
formal criteria for haemophilia treatment centres as well as a national
haemophilia registry were lacking. MATERIAL AND METHODS: In collaboration with
patients and other stakeholders, Dutch haemophilia doctors have undertaken a
formal process to draft new quality standards for the haemophilia treatment
centres. First a project group including doctors, nurses, patients and the
institute for harmonisation of quality standards undertook a literature study on
quality standards and performed explorative visits to several haemophilia
treatment centres in the Netherlands. Afterwards concept standards were defined
and validated in two treatment centres. Next, the concept standards were
evaluated by haemophilia doctors, patients, health insurance representatives and
regulators. Finally, the final version of the standards of care was approved by
Central body of Experts on quality standards in clinical care and the Dutch
Ministry of Health. RESULTS: A team of expert auditors have been trained and,
together with an independent auditor, will perform audits in haemophilia centres
applying for formal certification. Concomitantly, a national registry for
haemophilia and allied disorders is being set up. DISCUSSION: It is expected that
these processes will lead to further concentration and improved quality of
haemophilia care in the Netherlands.
PMID- 24922289
TI - A certification/accreditation model for Haemophilia Centres in Italy.
AB - BACKGROUND: The Italian Association of Haemophilia Centres has developed a
voluntary programme of professional accreditation of Haemophilia Centres, run by
its members. Participation in the programme, which aims to foster staff
involvement in clinical governance, includes both medical personnel and nurses.
MATERIALS AND METHODS: Accreditation is awarded provided the candidate
Haemophilia Centre is able to adhere to a pre-established set of quality
standards and meet a number of clinical and organisational requirements,
previously defined on the basis of evidence-based medicine. Self-evaluation is
the first step in the programme, followed by a site visit by a team of peer
professionals experienced in quality auditing. RESULTS: The programme has so far
involved 21 Italian Haemophilia Centres. The comparison between self- and peer
evaluation revealed less discrepancies for disease-related than for
organisational requirements, the latter being met to a lesser degree by most
Haemophilia Centres. DISCUSSION: This programme of professional accreditation
developed by the Italian Association of Haemophilia Centres has the potential to
describe, monitor and improve clinical and organisational performances in the
management of patients with haemophilia and allied inherited coagulation
disorders. It should also be seen as a contribution to the implementation of the
strategy for improving professional governance in Haemophilia Centres.
PMID- 24922290
TI - The Italian institutional accreditation model for Haemophilia Centres.
AB - BACKGROUND: In Italy, basic health needs of patients with inherited bleeding
disorders are met by a network of 50 haemophilia centres belonging to the Italian
Association of Haemophilia Centres. Further emerging needs, due to the increased
life expectancy of this patient group, require a multi-professional clinical
management of the disease and provide a challenge to the organisation of
centres.In order to achieve harmonised quality standards of haemophilia care
across Italian Regions, an institutional accreditation model for haemophilia
centres has been developed. MATERIAL AND METHODS: To develop an accreditation
scheme for haemophilia centres, a panel of experts representing medical and
patient bodies, the Ministry of Health and Regional Health Authorities has been
appointed by the National Blood Centre. Following a public consultation, a
technical proposal in the form of recommendations for Regional Health Authorities
has been formally submitted to the Ministry of Health and has formed the basis
for a proposal of Agreement between the Government and the Regions. RESULTS: The
institutional accreditation model for Haemophilia Centres was approved as an
Agreement between the Government and the Regions in March 2013. It identified 23
organisational requirements for haemophilia centres covering different areas and
activities. DISCUSSION: The Italian institutional accreditation model aims to
achieve harmonised quality standards across Regions and to implement continuous
improvement efforts, certified by regional inspection systems. The identified
requirements are considered as necessary and appropriate in order to provide
haemophilia services as "basic healthcare levels" under the umbrella of the
National Health Service. This model provides Regions with a flexible
institutional accreditation scheme that can be potentially extended to other rare
diseases.
PMID- 24922291
TI - The European Haemophilia Network (EUHANET).
PMID- 24922292
TI - The methodology for defining the European standards for the certification of
Haemophilia Centres in Europe.
AB - INTRODUCTION: Work Package 4 Development of the standardisation criteria of the
European Haemophilia Network project has the main objective of implementing a
common and shared European strategy for a certification system for two levels of
Haemophilia Centres: European Haemophilia Treatment Centres and European
Haemophilia Comprehensive Care Centres in the Member States of the European
Union. MATERIALS AND METHODS: An inclusive and participatory process for
developing shared standards and criteria for the management of patients with
inherited bleeding disorders has been carried out. The process has been
implemented through four different consultation events involving the entire
European community of stakeholders that significantly contributed in the drafting
of the European Guidelines for the certification of Haemophilia Centres. RESULTS:
The Guidelines set the standards for the designation of centres that provide
specialised and multidisciplinary care (Haemophilia Comprehensive Care Centres)
as well as local routine care (Haemophilia Treatment Centres). Standards cover
several issues such as: general requirements; patient care; advisory services;
laboratory; networking of clinical and specialised services. CONCLUSIONS: The
drafting of the European Guidelines for the certification of Haemophilia Centres
was performed adopting a rigorous methodological approach. In order to build the
widest possible consensus to the quality standards, the main institutional and
scientific stakeholders have been involved. The resulting document will
significantly contribute in promoting standardisation in the quality of diagnosis
and treatment in European Haemophilia Centres.
PMID- 24922293
TI - The European standards of Haemophilia Centres.
AB - INTRODUCTION: The European haemophilia community of professionals and patients
has agreed on the principles of haemophilia care to address comprehensive optimal
delivery of care which is nowadays scattered throughout Europe. Many of the
health facilities call themselves Haemophilia Centres despite their variation in
size, expertise and services provided. Only a small number of countries have
Haemophilia Centre accreditation systems in place. METHODS: In the framework of
the European Haemophilia Network project, following an inclusive process of
stakeholder involvement, the European Guidelines for the certification of
haemophilia centres have been developed in order to set quality standards for
European Haemophilia Centres and criteria for their certification. RESULTS: The
Guidelines define the standards and criteria for the designation of two levels of
care delivery: European Haemophilia Treatment Centres, providing local routine
care, and European Haemophilia Comprehensive Care Centres, providing specialised
and multi-disciplinary care and functioning as tertiary referral centres.
Additionally, they define standards about general requirements, patient care,
provision of an advisory service and establishment of network of clinical and
specialised services. CONCLUSIONS: The implementation of the European Guidelines
for the certification of Haemophilia Centres will contribute to the reduction of
health inequalities through the standardisation of quality of care in European
Union Member States and could represent a model to be taken into consideration
for other rare disease groups.
PMID- 24922294
TI - Institutional accreditation of Health Services in Italy: the long road to
quality.
PMID- 24922295
TI - Current and evolving features in the clinical management of haemophilia.
PMID- 24922296
TI - Uncovered needs in the management of inherited bleeding disorders in Italy.
PMID- 24922297
TI - The social burden and quality of life of patients with haemophilia in Italy.
AB - BACKGROUND: In Italy, the project on the social burden and quality of life (QoL)
of patients with haemophilia investigates costs from a society perspective and
provides an overview of their quality of life. Moreover, as life expectancy
increased in recent years along with new treatment strategies implemented in the
last decades, it analyses trends of costs other than drugs simulating impacts
during patient whole life. MATERIAL AND METHODS: We ran a web-based cross
sectional survey supported by the Italian Federation of Haemophilia Societies in
recruiting patients with haemophilia and their caregivers. We developed a
questionnaire to collect information on demographic characteristics, healthcare
and social services consumption, formal and informal care utilisation,
productivity loss and quality of life. In particular, quality of life was
assessed through the EuroQoL tool. Last, we applied the illness cost method from
a society perspective. RESULTS: On average, quality of life is worse in adult
patients compared to child and caregivers: more than 75% of adult patients
declare physical problems, 43% of adult patients and 54% of their parents have
anxiety problems. Assuming a society perspective, the estimated mean annual total
cost per patient in 2012 is 117,732 ?. Drugs represent 92% of total costs.
Focusing on costs other than drugs, each additional point of EuroQoL tool implies
a costs' reduction of 279 ?. The impact of age varies across age groups: each
added year implies a total decrease of costs up to 46.6 years old. Afterwards,
every additional year increases costs. DISCUSSION: Quality of life of patients
with haemophilia and their caregivers improved and it influences positively on
consumed resources and on their contribution to the social-economic system. Costs
other than drugs for patients with haemophilia follow the same trends of general
population.
PMID- 24922298
TI - Current status of Italian Registries on inherited bleeding disorders.
PMID- 24922299
TI - Definition of an organisational model for the prevention and reduction of health
and social impacts of inherited bleeding disorders.
AB - INTRODUCTION: Due to the increase in life expectancy, patients with haemophilia
and other inherited bleeding disorders are experiencing age-related comorbidities
that present new challenges. In order to meet current and emerging needs, a model
for healthcare pathways was developed through a project funded by the Italian
Ministry of Health. The project aimed to prevent or reduce the social-health
burden of the disease and its complications. MATERIAL AND METHODS: The National
Blood Centre appointed a panel of experts comprising clinicians, patients,
National and Regional Health Authority representatives. Following an analysis of
the scientific and regulatory references, the panel drafted a technical proposal
containing recommendations for Regional Health Authorities, which has been
formally submitted to the Ministry of Health. Finally, a set of indicators to
monitor haemophilia care provision has been defined. RESULTS: In the technical
document, the panel of experts proposed the adoption of health policy
recommendations summarised in areas, such as: multidisciplinary integrated
approach for optimal healthcare provision; networking and protocols for emergency
care; home therapy; registries/databases; replacement therapy supply and
distribution; recruitment and training of experts in bleeding disorders. The
recommendations became the content of proposal of agreement between the
Government and the Regions. Monitoring and evaluation of haemophilia care through
the set of established indicators was partially performed due to limited
available data. CONCLUSIONS: The project provided recommendations for the
clinical and organisational management of patient with haemophilia. A particular
concern was given to those areas that play a critical role in the comorbidities
and complications prevention. Recommendations are expected to harmonise
healthcare care delivery across regional networks and building the foundation for
the national haemophilia network.
PMID- 24922300
TI - The Italian National Centre for Rare Diseases: where research and public health
translate into action.
PMID- 24922301
TI - The Italian National Rare Diseases Registry.
AB - INTRODUCTION: Rare disease registries are a priority at European level and
specific actions are being implemented by the European Commission to support
their development.In Italy, a National Registry of rare diseases has been
established in 2001 as a network of regional registries. The latter have
gradually been established and the full coverage of the Italian territory was
attained during 2011. METHODS: Here we describe the basic features of the
National Registry of rare diseases; the activities carried out to promote
consistent operations in the regional registries; and the overall quality and
composition of the records collected. RESULTS: After a validation process,
including removal of duplicate records, 110,841 records of patients with rare
diseases, single and with group denominations, are stored in the National
Registry of rare diseases. They correspond to the overall diagnoses communicated
to national registry by regional registries up to 30 June 2012.The quality of the
data collected by the the National Registry of rare diseases has been assessed
with respect to completeness and consistency of procedures. Variables
characterising case and diagnosis showed a very limited number of missing values.
Records reported at least one case of 485 rare conditions. DISCUSSION: To date,
the National Registry of rare diseases is a surveillance system with the main
objective of producing epidemiologic evidence on rare diseases in Italy, and of
supporting policy making and health services planning.Data quality still
represents a limitation for any sound epidemiological estimate of rare diseases
in Italy. However, improvements of the quality of collected data and the
completeness of case notifications should be strengthened.
PMID- 24922302
TI - The Italian National Plan for Rare Diseases.
PMID- 24922303
TI - Piedmont and Aosta Valley inter-regional network in the context of the Italian
National Network for rare diseases.
PMID- 24922304
TI - Centres of Expertise and European Reference Networks: key issues in the field of
rare diseases. The EUCERD Recommendations.
AB - BACKGROUND: Rare diseases, because of their intrinsic characteristics - large
number of disorders and syndromes, low individual prevalence, severity, often
limited information, lack of therapies - can benefit from collaboration and
sharing of expertise while maximising the limited resources available for these
conditions. Therefore, the development of Centres of Expertise (CEs) and European
Reference Networks (ERNs) in this field is crucial.The European Union Committee
of Experts on Rare Diseases (EUCERD) has been charged to assist the European
Commission with the preparation and implementation of activities in the field of
rare diseases in Europe. In particular, EUCERD has assisted the EC in drawing up
the recommendations issued in the Commission Communication and in the Council
Recommendation. In this paper the authors focus on the EUCERD Recommendations on
CEs and one on ERNs. MATERIALS AND METHODS: Recommendations on CEs and ERNs are
the result of two different processes, developed through iterative reviews and
discussions at workshops and EUCERD meetings, and according to the European Union
documents. RESULTS: EUCERD has issued two complementary Recommendations, one on
CEs (2011) and a second on ERNs (2013). Both address multiple targets (from
Member States to Centres, and patient organisations), with the objective of
helping them define and organise CEs and ERNs. CONCLUSIONS: The establishment,
designation, financial support, and evaluation of CEs throughout Europe allow RD
patients and local health care providers to identify high-quality specialised
services that can simplify disease management and improve patients' care. The
EUCERD Recommendations are useful instruments to help and guide stakeholders in
the development of CEs and ERNs and thus ensure equity of access to services and
care for rare diseases patients across Europe.
PMID- 24922305
TI - European Reference Networks for Rare Diseases: the vision of patients.
PMID- 24922307
TI - Pharmacogenomics of human uridine diphospho-glucuronosyltransferases and clinical
implications.
AB - Glucuronidation by uridine diphospho-glucuronosyltransferase enzymes (UGTs) is a
major phase II biotransformation pathway and, complementary to phase I metabolism
and membrane transport, one of the most important cellular defense mechanisms
responsible for the inactivation of therapeutic drugs, other xenobiotics, and
endogenous molecules. Interindividual variability in UGT pathways is significant
and may have profound pharmacological and toxicological implications. Several
genetic and genomic processes underlie this variability and are discussed in
relation to drug metabolism and diseases such as cancer.
PMID- 24922306
TI - The HY5-PIF regulatory module coordinates light and temperature control of
photosynthetic gene transcription.
AB - The ability to interpret daily and seasonal alterations in light and temperature
signals is essential for plant survival. This is particularly important during
seedling establishment when the phytochrome photoreceptors activate
photosynthetic pigment production for photoautotrophic growth. Phytochromes
accomplish this partly through the suppression of phytochrome interacting factors
(PIFs), negative regulators of chlorophyll and carotenoid biosynthesis. While the
bZIP transcription factor long hypocotyl 5 (HY5), a potent PIF antagonist,
promotes photosynthetic pigment accumulation in response to light. Here we
demonstrate that by directly targeting a common promoter cis-element (G-box), HY5
and PIFs form a dynamic activation-suppression transcriptional module responsive
to light and temperature cues. This antagonistic regulatory module provides a
simple, direct mechanism through which environmental change can redirect
transcriptional control of genes required for photosynthesis and photoprotection.
In the regulation of photopigment biosynthesis genes, HY5 and PIFs do not operate
alone, but with the circadian clock. However, sudden changes in light or
temperature conditions can trigger changes in HY5 and PIFs abundance that adjust
the expression of common target genes to optimise photosynthetic performance and
growth.
PMID- 24922308
TI - Comparative pathogenesis of Alkhumra hemorrhagic fever and Kyasanur forest
disease viruses in a mouse model.
AB - Kyasanur Forest disease virus (KFDV) and Alkhumra hemorrhagic fever virus (AHFV)
are genetically closely-related, tick-borne flaviviruses that cause severe, often
fatal disease in humans. Flaviviruses in the tick-borne encephalitis (TBE)
complex typically cause neurological disease in humans whereas patients infected
with KFDV and AHFV predominately present with hemorrhagic fever. A small animal
model for KFDV and AHFV to study the pathogenesis and evaluate countermeasures
has been lacking mostly due to the need of a high biocontainment laboratory to
work with the viruses. To evaluate the utility of an existing mouse model for
tick-borne flavivirus pathogenesis, we performed serial sacrifice studies in
BALB/c mice infected with either KFDV strain P9605 or AHFV strain Zaki-1.
Strikingly, infection with KFDV was completely lethal in mice, while AHFV caused
no clinical signs of disease and no animals succumbed to infection. KFDV and high
levels of pro-inflammatory cytokines were detected in the brain at later time
points, but no virus was found in visceral organs; conversely, AHFV Zaki-1 and
elevated levels of cytokines were found in the visceral organs at earlier time
points, but were not detected in the brain. While infection with either virus
caused a generalized leukopenia, only AHFV Zaki-1 induced hematologic
abnormalities in infected animals. Our data suggest that KFDV P9605 may have lost
its ability to cause hemorrhagic disease as the result of multiple passages in
suckling mouse brains. However, likely by virtue of fewer mouse passages, AHFV
Zaki-1 has retained the ability to replicate in visceral organs, cause
hematologic abnormalities, and induce pro-inflammatory cytokines without causing
overt disease. Given these striking differences, the use of inbred mice and the
virus passage history need to be carefully considered in the interpretation of
animal studies using these viruses.
PMID- 24922309
TI - Validation of the Pangao PG-800B5 for clinical use and self-measurement according
to the European Society of Hypertension International Protocol revision 2010.
AB - OBJECTIVES: This study aimed to validate the Pangao PG-800B5 upper arm blood
pressure monitor according to the European Society of Hypertension International
Protocol revision 2010. METHODS: A total of 33 participants, 16 men and 17 women,
were included in the device evaluation. The protocol requirements were followed
precisely. RESULTS: The mean age of the participants was 56.4+/-21.0 years (range
22-84 years). The mean systolic blood pressure was 143.6+/-25.5 mmHg (range 98
188 mmHg), the mean diastolic blood pressure was 85.7+/-17.2 mmHg (range 49-125
mmHg), and the mean arm circumference was 26.1+/-2.2 cm (range 23-32 cm). On
average, the device overestimated the systolic blood pressure by 0.9+/-4.2 mmHg
and diastolic blood pressure by 0.7+/-4.5 mmHg. CONCLUSIONS: The device passed
all requirements, fulfilling the standards of the protocol. Therefore, the Pangao
PG-800B5 upper arm blood pressure monitor can be recommended for clinical use and
self-measurement in an adult population.
PMID- 24922310
TI - CGBayesNets: conditional Gaussian Bayesian network learning and inference with
mixed discrete and continuous data.
AB - Bayesian Networks (BN) have been a popular predictive modeling formalism in
bioinformatics, but their application in modern genomics has been slowed by an
inability to cleanly handle domains with mixed discrete and continuous variables.
Existing free BN software packages either discretize continuous variables, which
can lead to information loss, or do not include inference routines, which makes
prediction with the BN impossible. We present CGBayesNets, a BN package focused
around prediction of a clinical phenotype from mixed discrete and continuous
variables, which fills these gaps. CGBayesNets implements Bayesian likelihood and
inference algorithms for the conditional Gaussian Bayesian network (CGBNs)
formalism, one appropriate for predicting an outcome of interest from, e.g.,
multimodal genomic data. We provide four different network learning algorithms,
each making a different tradeoff between computational cost and network
likelihood. CGBayesNets provides a full suite of functions for model exploration
and verification, including cross validation, bootstrapping, and AUC
manipulation. We highlight several results obtained previously with CGBayesNets,
including predictive models of wood properties from tree genomics, leukemia
subtype classification from mixed genomic data, and robust prediction of
intensive care unit mortality outcomes from metabolomic profiles. We also provide
detailed example analysis on public metabolomic and gene expression datasets.
CGBayesNets is implemented in MATLAB and available as MATLAB source code, under
an Open Source license and anonymous download at http://www.cgbayesnets.com.
PMID- 24922311
TI - Crisis of Japanese vascular flora shown by quantifying extinction risks for 1618
taxa.
AB - Although many people have expressed alarm that we are witnessing a mass
extinction, few projections have been quantified, owing to limited availability
of time-series data on threatened organisms, especially plants. To quantify the
risk of extinction, we need to monitor changes in population size over time for
as many species as possible. Here, we present the world's first quantitative
projection of plant species loss at a national level, with stochastic simulations
based on the results of population censuses of 1618 threatened plant taxa in 3574
map cells of ca. 100 km2. More than 500 lay botanists helped monitor those taxa
in 1994-1995 and in 2003-2004. We projected that between 370 and 561 vascular
plant taxa will go extinct in Japan during the next century if past trends of
population decline continue. This extinction rate is approximately two to three
times the global rate. Using time-series data, we show that existing national
protected areas (PAs) covering ca. 7% of Japan will not adequately prevent
population declines: even core PAs can protect at best <60% of local populations
from decline. Thus, the Aichi Biodiversity Target to expand PAs to 17% of land
(and inland water) areas, as committed to by many national governments, is not
enough: only 29.2% of currently threatened species will become non-threatened
under the assumption that probability of protection success by PAs is 0.5, which
our assessment shows is realistic. In countries where volunteers can be organized
to monitor threatened taxa, censuses using our method should be able to quantify
how fast we are losing species and to assess how effective current conservation
measures such as PAs are in preventing species extinction.
PMID- 24922312
TI - Depression in primary care patients with coronary heart disease: baseline
findings from the UPBEAT UK study.
AB - BACKGROUND: An association between depression and coronary heart disease is now
accepted but there has been little primary care research on this topic. The
UPBEAT-UK studies are centred on a cohort of primary patients with coronary heart
disease assessed every six months for up to four years. The aim of this research
was to determine the prevalence and associations of depression in this cohort at
baseline. METHOD: Participants with coronary heart disease were recruited from
general practice registers and assessed for cardiac symptoms, depression, quality
of life and social problems. RESULTS: 803 people participated. 42% had a
documented history of myocardial infarction, 54% a diagnosis of ischaemic heart
disease or angina. 44% still experienced chest pain. 7% had an ICD-10 defined
depressive disorder. Factors independently associated with this diagnosis were
problems living alone (OR 5.49, 95% CI 2.11-13.30), problems carrying out usual
activities (OR 3.71, 95% CI 1.93-7.14), experiencing chest pain (OR 3.27, 95% CI
1.58-6.76), other pains or discomfort (OR 3.39, 95% CI 1.42-8.10), younger age
(OR 0.95 per year 95% CI 0.92-0.98). CONCLUSION: Problems living alone, chest
pain and disability are important predictors of depression in this population.
PMID- 24922314
TI - 9(th) International Forum on Mood and Anxiety Disorders.
PMID- 24922315
TI - 9(th) International Forum on Mood and Anxiety Disorders.
PMID- 24922316
TI - 9(th) International Forum on Mood and Anxiety Disorders.
PMID- 24922313
TI - Opposing effects of alpha2- and beta-adrenergic receptor stimulation on quiescent
neural precursor cell activity and adult hippocampal neurogenesis.
AB - Norepinephrine regulates latent neural stem cell activity and adult hippocampal
neurogenesis, and has an important role in modulating hippocampal functions such
as learning, memory and mood. Adult hippocampal neurogenesis is a multi-stage
process, spanning from the activation and proliferation of hippocampal stem
cells, to their differentiation into neurons. However, the stage-specific effects
of noradrenergic receptors in regulating adult hippocampal neurogenesis remain
poorly understood. In this study, we used transgenic Nestin-GFP mice and
neurosphere assays to show that modulation of alpha2- and beta-adrenergic
receptor activity directly affects Nestin-GFP/GFAP-positive precursor cell
population albeit in an opposing fashion. While selective stimulation of alpha2
adrenergic receptors decreases precursor cell activation, proliferation and
immature neuron number, stimulation of beta-adrenergic receptors activates the
quiescent precursor pool and enhances their proliferation in the adult
hippocampus. Furthermore, our data indicate no major role for alpha1-adrenergic
receptors, as we did not observe any change in either the activation and
proliferation of hippocampal precursors following selective stimulation or
blockade of alpha1-adrenergic receptors. Taken together, our data suggest that
under physiological as well as under conditions that lead to enhanced
norepinephrine release, the balance between alpha2- and beta-adrenergic receptor
activity regulates precursor cell activity and hippocampal neurogenesis.
PMID- 24922317
TI - The role of abiotic environmental conditions and herbivory in shaping bacterial
community composition in floral nectar.
AB - Identifying the processes that drive community assembly has long been a central
theme in ecology. For microorganisms, a traditional prevailing hypothesis states
that "everything is everywhere, but the environment selects". Although the
bacterial community in floral nectar may be affected by both atmosphere (air
borne bacteria) and animals as dispersal vectors, the environmental and
geographic factors that shape microbial communities in floral nectar are unknown.
We studied culturable bacterial communities in Asphodelus aestivus floral nectar
and in its typical herbivorous bug Capsodes infuscatus, along an aridity
gradient. Bacteria were sampled from floral nectar and bugs at four sites,
spanning a geographical range of 200 km from Mediterranean to semi-arid
conditions, under open and bagged flower treatments. In agreement with the niche
assembly hypothesis, the differences in bacterial community compositions were
explained by differences in abiotic environmental conditions. These results
suggest that microbial model systems are useful for addressing macro-ecological
questions. In addition, similar bacterial communities were found in the nectar
and on the surface of the bugs that were documented visiting the flowers. These
similarities imply that floral nectar bacteria dispersal is shaped not only by
air borne bacteria and nectar consumers as previously reported, but also by
visiting vectors like the mirid bugs.
PMID- 24922318
TI - Palladium(II)/Lewis acid synergistically catalyzed allylic C-H olefination.
AB - The first allylic C-H olefination with alpha-diazo esters synergistically
catalyzed by a palladium(II) complex and (salen)CrCl has been established to
directly generate conjugated polyene derivatives in moderate to high yields and
with excellent stereoselectivities.
PMID- 24922319
TI - Inhibition of PAI-1 antiproteolytic activity against tPA by RNA aptamers.
AB - Plasminogen activator inhibitor-1 (PAI-1; SERPINE1) inhibits the plasminogen
activators: tissue-type plasminogen activator (tPA) and urokinase-type
plasminogen activator (uPA). Elevated levels of PAI-1 have been correlated with
an increased risk for cardiovascular disease. Pharmacologically suppressing PAI-1
might prevent, or successfully treat PAI-1 related vascular diseases. This can
potentially be accomplished by using small RNA molecules (aptamers). This study's
goal is to develop RNA aptamers to a region of PAI-1 that will prevent the
ability of PAI-1 to interact with the plasminogen activators. The aptamers were
generated through a systematic evolution of ligands via exponential enrichment
approach that ensures the creation of RNA molecules that bind to our target
protein, PAI-1. In vitro assays were used to determine the effect of these
aptamers on PAI-1's inhibitory activity. Three aptamers that bind to PAI-1 with
affinities in the nanomolar range were isolated. The aptamer clones R10-4 and R10
2 inhibited PAI-1's antiproteolytic activity against tPA and disrupted PAI-1's
ability to form a stable covalent complex with tPA. Increasing aptamer
concentrations correlated positively with an increase in cleaved PAI-1. To the
best of our knowledge, this is the first report of RNA molecules that inhibit the
antiproteolytic activity of PAI-1.
PMID- 24922320
TI - Discovering functional modules across diverse maize transcriptomes using COB, the
Co-expression Browser.
AB - Tools that provide improved ability to relate genotype to phenotype have the
potential to accelerate breeding for desired traits and to improve our
understanding of the molecular variants that underlie phenotypes. The
availability of large-scale gene expression profiles in maize provides an
opportunity to advance our understanding of complex traits in this agronomically
important species. We built co-expression networks based on genome-wide
expression data from a variety of maize accessions as well as an atlas of
different tissues and developmental stages. We demonstrate that these networks
reveal clusters of genes that are enriched for known biological function and
contain extensive structure which has yet to be characterized. Furthermore, we
found that co-expression networks derived from developmental or tissue atlases as
compared to expression variation across diverse accessions capture unique
functions. To provide convenient access to these networks, we developed a public,
web-based Co-expression Browser (COB), which enables interactive queries of the
genome-wide networks. We illustrate the utility of this system through two
specific use cases: one in which gene-centric queries are used to provide
functional context for previously characterized metabolic pathways, and a second
where lists of genes produced by mapping studies are further resolved and
validated using co-expression networks.
PMID- 24922321
TI - Combined spinal epidural analgesia for labor using sufentanil epidurally versus
intrathecally: a retrospective study on the influence on fetal heart trace.
AB - OBJECTIVE: We retrospectively compared a protocol using sufentanil and
ropivacaine intrathecally with a protocol in which only ropivacaine was
administered intrathecally and sufentanil was used epidurally to evaluate whether
banning sufentanil from the intrathecal space results in a decreased incidence of
adverse fetal heart rate changes. METHODS: Some 520 cardiotocographic tracings
were examined for changes in fetal heart rate and uterine activity following two
different protocols of combined spinal epidural analgesia. Charts were consulted
for neonatal and labor outcome. RESULTS: When sufentanil was used epidurally
instead of intrathecally, the incidence of adverse changes in fetal heart trace
was less, demonstrated by a higher percentage of normal reassuring tracings
(74.5% vs. 60.4% when sufentanil was used intrathecally; P=0.007), less tracings
showing bradycardia (7.5% vs. 14.1%; P=0.035), and more tracings displaying 3 or
more accelerations in fetal heart rate in 45 min (93.5% vs. 83.9%; P=0.003)
together with less episodes of tachycardia (3.5% vs. 11.4%; P=0.005). There were
no differences in labor and neonatal outcome. CONCLUSIONS: Based on fetal heart
tracing, it seems favorable to ban sufentanil from the intrathecal compartment.
PMID- 24922322
TI - Revisiting the coding potential of the E. coli genome through Hfq co
immunoprecipitation.
AB - Hfq is a global regulator of gene expression in bacteria undergoing adaptation to
changing environmental conditions. Its major function is to promote RNA-RNA
interactions between regulatory small RNAs (sRNAs) and their target mRNAs.
Previously, we demonstrated that Hfq binds many antisense RNAs (asRNAs) in vitro
and hypothesized that Hfq may play a role in regulating gene expression via
asRNAs. To investigate the E. coli Hfq-binding transcriptome in more detail, we
co-immunoprecipitated and deep-sequenced RNAs bound to Hfq in vivo. We detected
many new Hfq-binding sRNAs and observed that almost 300 mRNAs bind to Hfq. Among
these, several are known to be sRNA targets. We identified 25 novel RNAs, which
are transcribed from within protein coding regions and named them intragenic RNAs
(intraRNAs). Furthermore, 67 asRNAs were co-immunoprecipitated with Hfq,
demonstrating that Hfq binds antisense transcripts in vivo. Northern blot
analyses confirmed the deep-sequencing results and demonstrated that many of the
novel Hfq-binding RNAs identified are regulated by Hfq.
PMID- 24922323
TI - A new method to quantify within dive foraging behaviour in marine predators.
AB - Studies on diving behaviour classically divide a dive into three phases: the
descent, bottom and ascent phases, with foraging assumed to occur during the
bottom phase. The greater complexity of dive revealed through modern, high
resolution data highlights the need to re-assess this approach and to consider a
larger number of phases within individual dives. Two southern elephant seals
(SES) were fitted with a head mounted Time Depth Recorder (TDR) and an
accelerometer from which prey capture attempts were estimated. A Weddell seal was
also fitted with a TDR. TDRs for both species recorded depth once per second. We
quantified the within dive behaviour using an automated broken stick algorithm
identifying the optimal number of segments within each dive. The vertical
sinuosity of the segments was used to infer two types of behaviours, with highly
sinuous segments indicating "hunting" and less sinuous segments indicating
"transiting". Using the broken stick method the seals alternated between
"hunting" and "transit" modes with an average of 6+/-2 and 7+/-0.02 behavioural
phases within each dive for the Weddell seal and SES, respectively. In SES, 77%
of prey capture attempts (identified from the acceleration data) occurred in
highly sinuous phases ("hunting") as defined by our new approach. SES spent more
time in transit mode within a dive, and hunting mostly occurred during the bottom
phase. Conversely the Weddell seal spent more time in hunting mode which also
occurred during bottom phase but occurred mostly at shallower depths. Such
differences probably reflect different foraging tactics and habitat use. For both
species, hunting time differs significantly from bottom time previously used as a
proxy for the time spent foraging in a dive. The hunting time defined by our
method therefore provides a more accurate fine-scale description of the seals'
foraging behaviour.
PMID- 24922324
TI - Annotation of long non-coding RNAs expressed in collaborative cross founder mice
in response to respiratory virus infection reveals a new class of interferon
stimulated transcripts.
AB - The outcome of respiratory virus infection is determined by a complex interplay
of viral and host factors. Some potentially important host factors for the
antiviral response, whose functions remain largely unexplored, are long non
coding RNAs (lncRNAs). Here we systematically inferred the regulatory functions
of host lncRNAs in response to influenza A virus and severe acute respiratory
syndrome coronavirus (SARS-CoV) based on their similarity in expression with
genes of known function. We performed total RNA-Seq on viral-infected lungs from
eight mouse strains, yielding a large data set of transcriptional responses.
Overall 5,329 lncRNAs were differentially expressed after infection. Most of the
lncRNAs were co-expressed with coding genes in modules enriched in genes
associated with lung homeostasis pathways or immune response processes. Each
lncRNA was further individually annotated using a rank-based method, enabling us
to associate 5,295 lncRNAs to at least one gene set and to predict their
potential cis effects. We validated the lncRNAs predicted to be interferon
stimulated by profiling mouse responses after interferon-alpha treatment.
Altogether, these results provide a broad categorization of potential lncRNA
functions and identify subsets of lncRNAs with likely key roles in respiratory
virus pathogenesis. These data are fully accessible through the MOuse NOn-Code
Lung interactive database (MONOCLdb).
PMID- 24922325
TI - Multiparametric MRI characterization and prediction in autism spectrum disorder
using graph theory and machine learning.
AB - This study employed graph theory and machine learning analysis of multiparametric
MRI data to improve characterization and prediction in autism spectrum disorders
(ASD). Data from 127 children with ASD (13.5+/-6.0 years) and 153 age- and gender
matched typically developing children (14.5+/-5.7 years) were selected from the
multi-center Functional Connectome Project. Regional gray matter volume and
cortical thickness increased, whereas white matter volume decreased in ASD
compared to controls. Small-world network analysis of quantitative MRI data
demonstrated decreased global efficiency based on gray matter cortical thickness
but not with functional connectivity MRI (fcMRI) or volumetry. An integrative
model of 22 quantitative imaging features was used for classification and
prediction of phenotypic features that included the autism diagnostic observation
schedule, the revised autism diagnostic interview, and intelligence quotient
scores. Among the 22 imaging features, four (caudate volume, caudate-cortical
functional connectivity and inferior frontal gyrus functional connectivity) were
found to be highly informative, markedly improving classification and prediction
accuracy when compared with the single imaging features. This approach could
potentially serve as a biomarker in prognosis, diagnosis, and monitoring disease
progression.
PMID- 24922328
TI - Anatomic double-bundle reconstruction with free tendon graft for chronic ulnar
instability of the thumb metacarpophalangeal joint.
AB - Failed primary treatment of thumb metacarpophalangeal ligament injuries may lead
to chronic instability. Different treatments have been described such as
secondary ligament suture, fusion, dynamic or static procedures. Techniques to
restrain the joint stability with various grafts of different configurations have
been published, but most of these address only the proper collateral ligament.
Experimental data revealed the importance of the accessory collateral ligament in
stabilizing the metacarpophalangeal joint. In a former, true anatomic ligament
reconstruction a bone-tendon graft was used; the tendon was split longitudinally
to reconstruct both parts of the collateral ligament. This technique was modified
by the author: a resorbable interference screw was used to fix a free tendon
graft double in the metacarpal head, creating 2 identical bundles for
reconstruction of both the proper and the accessory collateral ligament. The
results of the first 10 patients with chronic ulnar instability in their
otherwise intact thumb are reported. The mean follow-up was 15 months. Eight
patients had excellent result and 2 patients had good result according to the
Glickel grading system. The mean loss of motion in the metacarpophalengeal joint
was 9% and the mean loss of pinch strength was 9% compared with the contralateral
thumb. The technical details, pitfalls, complications, and rehabilitation are
described in this paper.
PMID- 24922327
TI - Stereoscopic analysis of optic nerve head parameters in primary open angle
glaucoma: the glaucoma stereo analysis study.
AB - PURPOSE: The Glaucoma Stereo Analysis Study (GSAS), a cross sectional multicenter
collaborative study, used a stereo fundus camera to assess various morphological
parameters of the optic nerve head (ONH) in glaucoma patients and investigated
the relationships between these parameters and patient characteristics. SUBJECTS
AND METHODS: The study included 187 eyes of 187 subjects with primary open angle
glaucoma or normal tension glaucoma (male: female = 100: 87, age = 61+/-9
years). Stereo pairs of ONH photographs were made with a stereo fundus camera
(nonmyd WX). ONH morphological parameters were calculated with prototype analysis
software. In addition to 35 standard parameters, we defined three novel
parameters: disc tilt angle, rim decentering, and the absolute value of rim
decentering. The correlation between each parameter and patient characteristics
was analyzed with Spearman's rank correlation coefficient. RESULTS: Patient
characteristics included refractive error of -3.38+/-3.75 diopters, intraocular
pressure (IOP) of 13.6+/-2.6 mmHg, and visual field mean deviation (MD) of
4.71+/-3.26 dB. Representative ONH parameters included a horizontal disc width of
1.66+/-0.28 mm, vertical disc width of 1.86+/-0.23 mm, disc area of 2.42+/-0.63
mm2, cup area of 1.45+/-0.57 mm2, and cup volume of 0.31+/-0.22 mm3. Correlation
analysis revealed significant negative associations between vertical cup-to-disc
ratio (0.82+/-0.08) and MD (r = -0.40, P<0.01) and between disc tilt angle
(10.5+/-12.5 degrees) and refractive error (r = -0.36, P<0.01). Seventy-five
percent of the eyes had a positive value for rim decentering (0.30+/-0.42),
indicating that rim thinning manifested more often as an inferior lesion than a
superior lesion. CONCLUSION: We used stereoscopic analysis to establish a
database of ONH parameters, which may facilitate future studies of glaucomatous
changes in ONH morphology.
PMID- 24922326
TI - Temporal trends in the Swedish HIV-1 epidemic: increase in non-B subtypes and
recombinant forms over three decades.
AB - BACKGROUND: HIV-1 subtype B (HIV-1B) still dominates in resource-rich countries
but increased migration contributes to changes in the global subtype
distribution. Also, spread of non-B subtypes within such countries occurs. The
trend of the subtype distribution from the beginning of the epidemic in the
country has earlier not been reported in detail. Thus the primary objective of
this study is to describe the temporal trend of the subtype distribution from the
beginning of the HIV-1 epidemic in Sweden over three decades. METHODS: HIV-1 pol
sequences from patients (n = 3967) diagnosed in Sweden 1983-2012, corresponding
to >40% of patients ever diagnosed, were re-subtyped using several automated
bioinformatics tools. The temporal trends of subtypes and recombinants during
three decades were described by a multinomial logistic regression model. RESULTS:
All eleven group M HIV-1 subtypes and sub-subtypes (78%), 17 circulating
recombinant forms (CRFs) (19%) and 32 unique recombinants forms (URF) (3%) were
identified. When all patients were analysed, there was an increase of newly
diagnosed HIV-1C (RR, 95%CI: 1.10, 1.06-1.14), recombinants (1.20, 1.17-1.24) and
other pure subtypes (1.11, 1.07-1.16) over time compared to HIV-1B. The same
pattern was found when all patients infected in Sweden (n = 1165) were analysed.
Also, for MSM patients infected in Sweden (n = 921), recombinant forms and other
pure subtypes increased. SIGNIFICANCE: Sweden exhibits one of the most diverse
subtype epidemics outside Africa. The increase of non-B subtypes is due to
migration and to a spread among heterosexually infected patients and MSM within
the country. This viral heterogeneity may become a hotspot for development of
more diverse and complex recombinant forms if the epidemics converge.
PMID- 24922329
TI - A modified ulnar translocation reconstruction technique for Campanacci grade 3
giant cell tumors of the distal radius using a clover leaf plate.
AB - BACKGROUND: Campanacci grade 3 giant cell tumors of the distal radius are locally
aggressive and in close proximity to the median nerve, articular cartilage,
flexor and extensor tendons, and the radial artery. Although several
reconstructive techniques have been described, it is unclear to what degree these
procedures restore function. DESCRIPTION OF TECHNIQUE: We present a modified
ipsilateral ulna translocation technique using a clover leaf plate, for
reconstruction of en bloc resected distal radius. This has the theoretical
advantage of ensuring a solid, pain-free wrist arthrodesis, while preserving the
forearm rotational axis and minimizing functional loss, without the associated
donor site morbidity or allograft rejection issues of other reconstructive
techniques. PATIENTS AND METHODS: Between 2006 and 2013, 3 patients underwent
this procedure for Campanacci grade 3 giant cell tumors. All patients were right
hand-dominant females, aged 24, 35, and 46 years, respectively. Two cases
involved the right radius. Patients were reviewed retrospectively with clinical
examination, functional assessment [the Toronto Extremity Salvage Score (TESS)
for upper limb], and radiographs. The review period was 30, 51, and 41 months,
respectively. RESULTS: The length of distal radius resected was 70, 50, and 35
mm, respectively. All achieved clear margins. There were no complications and
there have been no recurrences. All ulnocarpal translocations achieved
radiographic fusion. Patient's averaged 80-degree pronation, 70-degree
supination, and clinical TESS scores of 86 at most recent follow-up. CONCLUSIONS:
This technique achieved a painless and functional wrist arthrodesis with
partially restored wrist motion, without complications. This technique has the
advantage of negating remote donor site morbidity and/or allograft rejection
issues of other techniques. LEVEL OF EVIDENCE: Level III, therapeutic study.
PMID- 24922330
TI - Can hospices predict which patients will die within six months?
AB - OBJECTIVE: To determine whether it is possible to predict, at the time of hospice
enrollment, which patients will die within 6 months. DESIGN: Electronic health
record-based retrospective cohort study. SETTING: Patients admitted to 10
hospices in the CHOICE network (Coalition of Hospices Organized to Investigate
Comparative Effectiveness). PARTICIPANTS: Hospice patients. MAIN OUTCOME
MEASURES: Mortality at 6 months following hospice admission. RESULTS: Among
126,620 patients admitted to 10 hospices, 118,532 (93.6%) died within 6 months.
In a multivariable logistic regression model, five characteristics were
independent predictors of 6-month mortality. For instance, patients younger than
65 years were less likely to die within 6 months (odds ratio [OR] 0.64; 95%
confidence interval [CI] 0.45-0.91; p=0.014). Conversely, male patients were more
likely to die within 6 months (OR 1.47; 95% CI 1.05-2.02; p=;0.036). After
adjusting for other variables in this model, there were several subgroups with a
low probability of 6-month probability (e.g., stroke and Palliative Performance
Scale [PPS] score=50; adjusted probability of 6-month mortality=39.4%; 95% CI:
13.9%-72.5%). However, 95% confidence intervals of these 6-month mortality
predictions extended above 50%. CONCLUSIONS: Hospices might use several variables
to identify patients with a relatively low risk for 6-month mortality and who
therefore may become ineligible to continue hospice services if they fail to show
significant disease progression.
PMID- 24922331
TI - Bisphosphonate-induced osteonecrosis of the maxilla presenting as a cicatricial
ectropion.
AB - CASE REPORT: A 63-year-old gentleman, who was being treated with bisphosphonates
for multiple myeloma, presented with a cicatricial ectropion of the lower eyelid,
without exposure keratopathy. A CT scan demonstrated extensive destruction of
bone with an infraorbital fracture surrounded by sclerotic bony changes. The
patient was managed conservatively with discontinuation of bisphosphonate therapy
and topical ocular lubricants. The patient's condition remained unchanged a year
after this initial management.
PMID- 24922332
TI - Co-immobilized poly(ethylene glycol)-block-polyamines promote sensitivity and
restrict biofouling on gold sensor surface for detecting factor IX in human
plasma.
AB - In order to detect an extremely low amount of human coagulation factor IX (FIX),
poly(ethylene glycol) (PEG)/aptamer co-immobilized surface was constructed using
original PEG-polyamine surface modification agents on surface plasmon resonance
(SPR) sensor chip. Initially, a gold (Au) sensor chip of SPR was modified using
poly(ethylene glycol)-b-poly[2-(N,N-dimethylamino)ethyl methacrylate] (PEG-b
PAMA) followed by treatment with SH-dT20 and was duplexed with anti-FIX aptamer
extended using A24. Furthermore, the co-immobilization of pentaethylenehexamine
terminated poly(ethylene glycol) (N6-PEG) on the sensing surface completely
quenched bio-fouling. On this dual tethered PEG-surface, we determined that the
dissociation constant for FIX-aptamer interaction was 37 +/- 10 pM, and the
sensitivity of detection could reach up to 800 fM on using aptamer-FIX-antibody
sandwich pattern detected by gold nanoparticle-conjugated anti-mouse antibody. We
could detect FIX in the presence of abundant albumin. Furthermore, to mimic the
actual detection of FIX in clinical samples, we demonstrated our experimental
results with human blood plasma instead of FIX. Higher-sensitivity was attained
because of dual polymers immobilized on Au surface, and this can emerge as a
common strategy for any aptamer-protein interactions. The selective binding of
aptamer in human blood plasma described here indicates the suitability of the
present strategy for detection in clinically relevant samples.
PMID- 24922333
TI - Amino acid misincorporation in recombinant biopharmaceutical products.
AB - Microbial and mammalian host systems have been used extensively for the
production of protein biotherapeutics. Generally these systems rely on the
production of a specific gene sequence encoding one therapeutic product. Analysis
of these protein products over many years has proven that this was not always the
case, with multiple species of the intended product being produced due to amino
acid misincorporation or mistranslation during biosynthesis of the protein. This
review is the first to give a comprehensive overview of the occurrence and
analysis of these misincorporations. Furthermore, using the latest data on
misincorporation in native human proteins we explore potential considerations for
producing a specification for misincorporation for the development of a human
biotherapeutic protein product in a production environment.
PMID- 24922334
TI - Microbial production of amino acids and derived chemicals: synthetic biology
approaches to strain development.
AB - Amino acids are produced at the multi-million-ton-scale with fermentative
production of l-glutamate and l-lysine alone being estimated to amount to more
than five million tons in the year 2013. Metabolic engineering constantly
improves productivities of amino acid producing strains, mainly Corynebacterium
glutamicum and Escherichia coli strains. Classical mutagenesis and screening have
been accelerated by combination with intracellular metabolite sensing. Synthetic
biology approaches have allowed access to new carbon sources to realize a
flexible feedstock concept. Moreover, new pathways for amino acid production as
well as fermentative production of non-native compounds derived from amino acids
or their metabolic precursors were developed. These include dipeptides,
alpha,omega-diamines, alpha,omega-diacids, keto acids, acetylated amino acids and
omega-amino acids.
PMID- 24922336
TI - Intravenously administered indocyanine green may cause falsely high near-infrared
cerebral oximetry readings.
AB - BACKGROUND: Near-infrared spectroscopy assesses cerebral tissue oxygen saturation
(Scto2) based on the absorption spectra of oxygenated and deoxygenated
hemoglobin. It has been reported that IV-administered dyes including methylene
blue, indigo carmine, and indocyanine green (ICG) may cause falsely low-pulse
oximetry readings (Spo2). Although methylene blue and indigo carmine may also
decrease Scto2, the effect of ICG has not been documented. METHODS: Simultaneous
changes in the heart rate, arterial blood pressure, Scto2, and Spo2 were measured
after IV administration of ICG (12.5 mg diluted in 5.0 mL 0.9% NaCl) in 15
patients undergoing carotid endarterectomy under sevoflurane-remifentanil
anesthesia. RESULTS: After the dye administration, no change in heart rate or
arterial blood pressure was observed in any patient. Scto2 increased by 13.3+/
4.0 percentage points, reaching the peak at 42.0+/-28.4 seconds after the
administration, whereas Spo2 decreased by 1.9+/-1.2 percentage points, reaching
the peak at 64.0+/-42.5 seconds (P<0.0001 both). CONCLUSIONS: ICG falsely
increases the spectroscopy-determined cerebral oxygen saturation for up to 12
minutes but dampens pulse oximetry readings.
PMID- 24922335
TI - Pyrene chromophores for the photoreversal of psoralen interstrand crosslinks.
AB - Applying psoralen interstrand crosslinks for the photoactivation of nucleic acids
is a new concept. To find chromophores that can efficiently stimulate crosslink
repair we screened several pyrenes and appended them to peptide nucleic acids for
their site-selective addressing. Even though pyrenes conjugated to uracil
revealed desirable spectroscopic properties they were not effective in crosslink
reversal. In contrast, bare pyrenes are well suitable for crosslink repair with
350 nm light showing an uncaging efficiency similar to classical photocaging
groups.
PMID- 24922337
TI - Prolonged mechanical ventilation is associated with pulmonary complications,
increased length of stay, and unfavorable discharge destination among patients
with subdural hematoma.
AB - BACKGROUND: Although subdural hematoma (SDH) is common in neurocritical practice,
little is known about SDH patients requiring prolonged mechanical ventilation
(PMV). We aimed to determine predictors of PMV and its relationship with outcome
in patients with SDH. METHODS: SDH patients admitted to Rush University
neurointensive care unit from January 2009 to March 2012 were reviewed. Duration
of intubation, pulmonary complications, demographics, treatment, discharge
disposition, and length of stay (LOS) were reviewed. PMV was defined as duration
of intubation >4 days. Univariate and multivariate analyses were performed to
identify predictors of PMV and association with outcome among survivors with SDH.
RESULTS: Of the 288 survivors with SDH, the mean age was 68, and of them 179 were
male. A total of 137 required surgical SDH evacuation. Pneumonia occurred in 26
patients. Forty-eight patients (17%) required intubation, with duration of
intubation being 1 to 20 days (median 3.0). Factors independently associated with
PMV included alcohol abuse (OR, 4.31; 95% CI, 1.36-13.67), admission GCS<15 (OR,
11; 95% CI, 2.36-51.52), and surgical evacuation (OR, 9.27; 95% CI, 1.93-44.54).
PMV predicted pneumonia (OR, 5.85; 95% CI, 1.52-22.57), tracheostomy (OR, 26.67;
95% CI, 2.93-242.67), increased LOS, and unfavorable discharge destination (OR,
73.1; 95% CI, 14.03-380.69). CONCLUSIONS: PMV is associated with pulmonary
complications, increased LOS, and unfavorable discharge destination in patients
with SDH. Alcohol abuse, admission GCS, and surgical evacuation are associated
with PMV among patients with SDH. Future studies should investigate the role of
early tracheostomy in high-risk patients and impact on outcomes.
PMID- 24922338
TI - Use of tracheal tube as a splint to prevent intraoperative kinking of gas
sampling line.
PMID- 24922339
TI - Spatial and temporal variation in type 1 diabetes incidence in Western Australia
from 1991 to 2010: increased risk at higher latitudes and over time.
AB - This study analysed spatial and temporal variation in childhood incidence of type
1 diabetes mellitus (T1DM) among Western Australia's 36 Health Districts from
1991 to 2010. There was a strong latitudinal gradient of 3.5% (95% CI, 0.2-7.2)
increased risk of T1DM per degree south of the Equator, as averaged across the
range 15-35 degrees south. This pattern is consistent with the hypothesis of
vitamin D deficiency at higher latitudes. In addition there was a 2.4% (95% CI,
1.3-3.6) average increase in T1DM incidence per year. These effects could not be
explained by population density, socioeconomic status, remoteness or ethnicity.
PMID- 24922340
TI - Incidence of unplanned oophorectomy at laparoscopic ovarian cystectomy for
clinically benign cysts.
AB - Laparoscopic surgery is the preferred approach in women with ovarian cysts and a
low risk of malignancy, and the aim in young women should be to preserve the
ovary. We are not aware of any data on the success of conservative surgery in
preserving the affected ovary and this is the reason why we decided to
investigate the incidence of unplanned oophorectomy, when a woman is originally
scheduled for laparoscopic ovarian cystectomy for clinically benign cysts. We
reviewed the medical notes of the 123 women who underwent surgery for clinically
benign ovarian cysts between November 2004 and May 2012. The operative procedures
performed were ovarian cystectomies (n = 119), salpingo-oophorectomy (n = 2) and
fenestration (n = 2). In total, 61 women underwent a concomitant procedure. In
our study, we found that planned laparoscopic cystectomy was mostly successful,
with only 1.6% of patients in our series requiring unplanned oophorectomy.
PMID- 24922341
TI - Dinuclear Cu(I) complexes of pyridyl-diazadiphosphetidines and
aminobis(phosphonite) ligands: synthesis, structural studies and
antiproliferative activity towards human cervical, colon carcinoma and breast
cancer cells.
AB - The copper(i) complexes containing phosphorus donor ligands such as
diazadiphosphetidine, cis-{(o-OCH2C5H4N)P(MU-N(t)Bu)}2 (1) and
aminobis(phosphonite), C6H5N{P(OC6H3(OMe-o)(C3H5-p))2}2 (2, PNP), have been
synthesized. Treatment of 1 with copper iodide afforded the 1D coordination
polymer [{Cu(MU-I)}2{(o-OCH2C5H4N)P(MU-N(t)Bu)}2]n (3). Treatment of 3 with 2,2'
bipyridine (bpy) and 1,10-phenanthroline (phen) produced mixed-ligand complexes
[(L)2Cu2{(o-OCH2C5H4N)P(MU-N(t)Bu)}2][I]2 (4 L = bpy; 5 L = phen) in good yields.
The reaction of 2 with copper iodide yielded a rare tetranuclear copper complex
[(CuI)2C6H5N(PR2)2]2 (6), which on subsequent treatment with various pyridyl
ligands produced binuclear complexes [{Cu(MU-I)(py)}2(MU-PNP)] (7), [Cu2(MU
I)(bpy)2(MU-PNP)]I (8), [Cu2(MU-I)I(bpy)(MU-PNP)] (9), [Cu2(phen)(bpy)(MU
PNP)](OTf)2 (10), [Cu2(MU-I)I(phen)(MU-PNP)] (11) and [Cu2(MU-I)(phen)2(MU-PNP)]I
(12), in an almost quantitative yield. The new copper(i) complexes (4, 5 and 7
12) were tested for anti-cancer activity against three human tumor cell lines.
Compounds 5, 10 and 12 showed in vitro antitumor activity 5-7 fold higher than
cisplatin, the most used anticancer drug. These three most potent compounds (5,
10 and 12) were chosen for detailed study to understand their mechanism of
action. The copper(i) compounds studied in the present investigation were found
to inhibit tumor cell growth by arresting cells at the S-phase of the cell cycle.
The characteristic nuclear morphology of treated cells showed signs of DNA
damage. The experimental evidence clearly indicated that these compounds
initiated apoptosis, which is mediated through the p53 pathway.
PMID- 24922343
TI - Relaxation pathways of photoexcited iodide-methanol clusters: a computational
investigation.
AB - Upon photoexcitation of iodide-methanol clusters, I(-)(CH3OH)n, to a charge
transfer-to-solvent (CTTS) excited state, extensive relaxation was found to
occur, accompanied by a convoluted modulation of the stability of the excited
electron, which ultimately decreases substantially. In order to develop a
molecular-level understanding of the relaxation processes of CTTS excited I(
)(CH3OH)n, high-level quantum chemical calculations are first used to investigate
the ground, excited, and ionized states of I(-)(CH3OH)n (n = 2). Because of the
relatively small size of I(-)(CH3OH)2, it was possible to characterize the
contributions of solvent-solvent interactions to the stability of the CTTS
excited cluster relative to dissociation into methanol, iodine, and a free
electron, which exhibits a substantial dependence on the cluster geometric
configuration. Ab initio molecular dynamics simulations of CTTS excited I(
)(CH3OH)3 are then performed to shed some light onto the nature of the relaxation
pathways involved in the modulation of the stability of the excited electron in
larger clusters. Simulation results suggest that separation of I and (CH3OH)3(-)
accompanied by solvent reorganization in the latter can initially stabilize the
excited electron, while gradual cluster fragmentation to I, (CH3OH)2(-), and
CH3OH ultimately destabilizes it. This work shows, for the first time, that the
inability of small CTTS excited I(-)(CH3OH)n to retain a solvated electron may be
attributed to the limited hydrogen-bonding capacity of CH3OH, which increases the
propensity for fragmentation to smaller clusters with lower excess-electron
binding energies, and highlights the critical role of intricate molecular
interactions in the electron solvation process.
PMID- 24922342
TI - Small-colony variants and phenotype switching of intracellular Staphylococcus
aureus in chronic rhinosinusitis.
AB - BACKGROUND: Chronic rhinosinusitis (CRS) has been linked to the gram-positive
bacteria Staphylococcus aureus (S. aureus) in its biofilm or intracellular forms.
Recent evidence suggests that S. aureus also exists in a small-colony variant
(SCV) form as a mechanism of altering its virulence capabilities. The aim of this
study was to investigate the presence of SCVs in sinonasal mucosa of CRS patients
and whether the phenomenon of phenotype switching can be applied to intracellular
epithelial infections. METHODS: Sinonasal specimens were examined for the
presence of intramucosal S. aureus and characterized to the strain level. An
airway epithelial cell culture infection model was utilized to investigate
whether bacteria were capable of alterations in virulence phenotype. RESULTS:
Intramucosal organisms harvested from sinonasal biopsies demonstrate phenotypic
growth patterns and lack of coagulase activity consistent with SCVs.
Intracellular infection of airway epithelial cell cultures with S. aureus led to
decreased secretion of enterotoxins and phenotypic growth alterations consistent
with SCVs. CONCLUSIONS: Regulation of S. aureus virulence factors is a dynamic
process, and exposure to the intracellular environment appears to provide the
necessary conditions to enable these alterations in an attempt for the bacterium
to survive and persist within host tissues. Further work is required to ascertain
whether SCVs in CRS hold a clinically relevant pathogenic role in recalcitrant
disease.
PMID- 24922345
TI - One-step synthesis of graphene/polyaniline hybrids by in situ intercalation
polymerization and their electromagnetic properties.
AB - A new method is introduced for the preparation of graphene/polyaniline hybrids
using a one-step intercalation polymerization of aniline inside the expanded
graphite. The structural and morphological characterizations were performed by X
ray diffraction analysis, transmission electron microscopy and field emission
scanning electron microscopy. Both the experimental and first-principles
simulated results show that the aniline cation formed by aniline and H(+) tends
to be drawn towards the electron-enriched zone and to intercalate into the
interlayer of graphite. Subsequently, an in situ polymerization leads to the
separation of graphite into graphene sheet, resulting from the exothermic effect
and more vigorous movements of the chain molecules of polyaniline. The
interactions between polyaniline and graphene were confirmed by Fourier transform
infrared spectroscopy and Raman spectra. In addition, the graphene/polyaniline
hybrid exhibited a breakthrough in the improvement of microwave absorption.
PMID- 24922347
TI - Beyond Cairo: sexual and reproductive rights of young people in the new
development agenda.
AB - At the 1994 United Nations International Conference on Population and Development
(ICPD), women's rights advocates fundamentally shifted the way the global
development community views poverty, gender equality and reproductive rights.
While the ICPD's call to action led to a marked improvement in reproductive
health, more remains to be done to secure the sexual and reproductive rights and
health of all, particularly for young people. As we approach the 20-year
anniversary of Cairo, several global processes are happening concurrently that
have implications for the future of the sexual and reproductive health and rights
agenda, including the 20-year review of progress towards achieving the Cairo
Programme of Action, the review of the Millennium Development Goals, and the open
group discussions about the sustainable development goals and the new development
agenda post-2015. There are five key areas of action where significant investment
is needed moving forward to ensure young people's access and safeguard their
rights: repeal outdated laws and create new policies that safeguard young
people's health and rights; provide youth-friendly sexual and reproductive health
services; guarantee young people's access to information and education; end
gender discrimination and ensure government accountability.
PMID- 24922346
TI - Hypoxia-induced changes in protein s-nitrosylation in female mouse brainstem.
AB - Exposure to hypoxia elicits an increase in minute ventilation that diminishes
during continued exposure (roll-off). Brainstem N-methyl-D-aspartate receptors
(NMDARs) and neuronal nitric oxide synthase (nNOS) contribute to the initial
hypoxia-induced increases in minute ventilation. Roll-off is regulated by
platelet-derived growth factor receptor-beta (PDGFR-beta) and S
nitrosoglutathione (GSNO) reductase (GSNOR). S-nitrosylation inhibits activities
of NMDAR and nNOS, but enhances GSNOR activity. The importance of S-nitrosylation
in the hypoxic ventilatory response is unknown. This study confirms that
ventilatory roll-off is virtually absent in female GSNOR(+/-) and GSNO(-/-) mice,
and evaluated the location of GSNOR in female mouse brainstem, and temporal
changes in GSNOR activity, protein expression, and S-nitrosylation status of
GSNOR, NMDAR (1, 2A, 2B), nNOS, and PDGFR-beta during hypoxic challenge. GSNOR
positive neurons were present throughout the brainstem, including the nucleus
tractus solitarius. Protein abundances for GSNOR, nNOS, all NMDAR subunits and
PDGFR-beta were not altered by hypoxia. GSNOR activity and S-nitrosylation status
temporally increased with hypoxia. In addition, nNOS S-nitrosylation increased
with 3 and 15 minutes of hypoxia. Changes in NMDAR S-nitrosylation were detected
in NMDAR 2B at 15 minutes of hypoxia. No hypoxia-induced changes in PDGFR-beta S
nitrosylation were detected. However, PDGFR-beta phosphorylation increased in the
brainstems of wild-type mice during hypoxic exposure (consistent with roll-off),
whereas it did not rise in GSNOR(+/-) mice (consistent with lack of roll-off).
These data suggest that: (1) S-nitrosylation events regulate hypoxic ventilatory
response; (2) increases in S-nitrosylation of NMDAR 2B, nNOS, and GSNOR may
contribute to ventilatory roll-off; and (3) GSNOR regulates PDGFR-beta
phosphorylation.
PMID- 24922348
TI - B-type natriuretic peptide trends after pediatric heart transplantation.
AB - BNP is increasingly utilized in the management of pediatric HT recipients.
Performing a retrospective single-center chart review, we sought to describe BNP
changes during the first year after HT and identify factors that affect its
trend. After exclusion for rejection, 316 BNP levels from 50 patients were
evaluated. BNP underwent an exponential decline 120 days after HT followed by a
plateau. Log10 BNP decline strongly correlated with time (r = -0.70, p < 0.0001).
Initial BNP was less in pretransplant VAD (p = 0.0016) and lower post-HT inotrope
use (p = 0.0043). Infant recipients, IT >4 h, and those bridged medically were
associated with higher plateau BNP. Multivariable logistic regression
demonstrated IT >4 h independently predicted plateau BNP in the upper quartile
(OR 7.1, p = 0.02). No significant change in BNP coincided with rejection (N = 6
patients) without severe hemodynamic compromise. BNP correlated modestly with
right atrial pressure (r = 0.4652, p < 0.0001) and pulmonary capillary wedge
pressure (r = 0.2660, p < 0.001), but poorly with echocardiogram (r = -0.18, p =
0.003). Trending BNP could help provide insight into how the graft recovers after
HT and IT >4 h independently predicted higher plateau BNP and may reflect subtle
changes in graft performance.
PMID- 24922349
TI - Neuroanatomical correlates of categorizing emotional valence.
AB - Categorization is fundamental to cognition, and evidence suggests that
categorizing emotional stimuli holds a privileged position in human information
processing. According to theories on embodied emotion, the subjective emotional
feeling elicited by a stimulus plays a causal role in its categorization. Using
functional MRI, we tested the hypothesis that categorizing emotional stimuli in
terms of valence would activate structures involved in valence-specific
experience of emotion. On each trial, two pictures from the International
Affective Picture System were presented successively. Upon viewing the second
picture, participants categorized it as belonging to the same valence category as
or a different valence category from the first picture. Categorization activated
an exclusively left-lateralized set of regions implicated in taxonomic
categorization (i.e. judging whether two items are of the same kind) including
the middle temporal gyrus and precuneus, as well as the posterior cingulate
cortex. Critically, for negative pictures categorization activated structures
that underlie the experience of negative emotions (anterior insula, left
orbitofrontal cortex), whereas for positive pictures categorization activated
structures that underlie the experience of positive emotions (dorsomedial and
ventromedial prefrontal cortex). Consistent with predictions derived from
theories on embodied emotion, these results suggest that experience of emotion
contributes to categorizing emotional valence.
PMID- 24922350
TI - SIRT2 mediates oxidative stress-induced apoptosis of differentiated PC12 cells.
AB - Sirtuin 2 (SIRT2) is a member of the sirtuin family. Previous studies have
suggested that SIRT2 mediates the cell death in models of Parkinson's disease and
Huntington's disease. However, the role of SIRT2 in oxidative stress-induced cell
death has remained unclear. In this study, we investigated the roles of SIRT2 in
oxidative stress-induced cell death using differentiated PC12 cells as a cell
model. We found that H2O2 induced a significant increase in the SIRT2 level in
the cells. Both SIRT2 silencing and the SIRT2 inhibitor AGK2 significantly
decreased H2O2-induced apoptosis, partially by inhibiting caspase-3 activation.
We further found that silencing of SIRT2 led to decreased reactive oxygen species
levels in the H2O2-treated cells. Collectively, our observations have suggested
that SIRT2 plays a significant role in oxidative stress-induced cell death.
PMID- 24922352
TI - Photo- and bio-reactivity patterns of dissolved organic matter from biomass and
soil leachates and surface waters in a subtropical wetland.
AB - Dissolved organic carbon (DOC) measurements and optical properties were applied
to assess the photo- and bio-reactivity of dissolved organic matter (DOM) from
different sources, including biomass leaching, soil leaching and surface waters
in a subtropical wetland ecosystem. Samples were exposed to light and/or dark
incubated through controlled laboratory experiments. Changes in DOC, ultraviolet
(UV-Vis) visible absorbance, and excitation-emission matrix (EEM) fluorescence
combined with parallel factor analysis (PARAFAC) were performed to assess sample
degradation. Degradation experiments showed that while significant amounts of DOC
were consumed during bio-incubation for biomass leachates, a higher degree of bio
recalcitrance for soil leachate and particularly surface waters was displayed.
Photo- and bio-humification transformations were suggested for sawgrass,
mangrove, and seagrass leachates, as compared to substantial photo-degradation
and very little to almost no change after bio-incubation for the other samples.
During photo-degradation in most cases the EEM-PARAFAC components displayed photo
decay as compared to a few cases which featured photo-production. In contrast
during bio-incubation most EEM-PARAFAC components proved to be mostly bio
refractory although some increases and decreases in abundance were also observed.
Furthermore, the sequential photo- followed by bio-degradation showed, with some
exceptions, a "priming effect" of light exposure on the bio-degradation of DOM,
and the combination of these two processes resulted in a DOM composition more
similar to that of the natural surface water for the different sub-environments.
In addition, for leachate samples there was a general enrichment of one of the
EEM-PARAFAC humic-like component (Ex/Em: <260(305)/416 nm) during photo
degradation and an enrichment of a microbial humc-like component (Ex/Em:
<260(325)/406 nm and of a tryptophan-like component (Ex/Em: 300/342 nm) during
the bio-degradation process. This study exemplifies the effectiveness of optical
property and EEM-PARAFAC in the assessment of DOM reactivity and highlights the
importance of the coupling of photo- and bio-degradation processes in DOM
degradation.
PMID- 24922351
TI - Functional effects of mutations in the tropomyosin-binding sites of tropomodulin1
and tropomodulin3.
AB - Tropomodulins (Tmods) interact with tropomyosins (TMs) via two TM-binding sites
and cap the pointed ends of TM-coated actin filaments. To study the functional
interplay between TM binding and TM-actin filament capping by Tmods, we
introduced disabling mutations into the first, second, or both TM-binding sites
of full-length Tmod1 (Tmod1-L27G, Tmod1-I131D, and Tmod1-L27G/I131D,
respectively) and full-length Tmod3 (Tmod3-L29G, Tmod3-L134D, and Tmod3
L29G/L134D, respectively). Tmod1 and Tmod3 showed somewhat different TM-binding
site utilization, but nearly all TM binding was abolished in Tmod1-L27G/I131D and
Tmod3-L29G/L134D. Disruption of Tmod-TM binding had a modest effect on Tmod1's
ability and no effect on Tmod3's ability to stabilize TM-actin pointed ends
against latrunculin A-induced depolymerization. However, disruption of Tmod-TM
binding did significantly impair the ability of Tmod3 to reduce elongation rates
at pointed ends with alpha/betaTM, albeit less so with TM5NM1, and not at all
with TM5b. For Tmod1, disruption of Tmod-TM binding only slightly impaired its
ability to reduce elongation rates with alpha/betaTM and TM5NM1, but not at all
with TM5b. Thus, Tmod-TM binding has a greater influence on Tmods' ability to
inhibit subunit association as compared to dissociation from TM-actin pointed
ends, particularly for alpha/betaTM, with Tmod3's activity being more dependent
on TM binding than Tmod1's activity. Nevertheless, disruption of Tmod1-TM binding
precluded Tmod1 targeting to thin filament pointed ends in cardiac myocytes,
suggesting that the functional effects of Tmod-TM binding on TM-coated actin
filament capping can be significantly modulated by the in vivo conformation of
the pointed end or other factors in the intracellular environment.
PMID- 24922353
TI - A Tire-Sulfur Hybrid Adsorption Denitrification (T-SHAD) process for
decentralized wastewater treatment.
AB - Nitrogen discharges from decentralized wastewater treatment (DWT) systems
contribute to surface and groundwater contamination. However, the high
variability in loading rates, long idle periods and lack of regular maintenance
presents a challenge for biological nitrogen removal in DWT. A Tire-Sulfur Hybrid
Adsorption Denitrification (T-SHAD) process was developed that combines nitrate
(NO3(-)) adsorption to scrap tire chips with sulfur-oxidizing denitrification.
This allows the tire chips to adsorb NO3(-) when the influent loading exceeds the
denitrification capacity of the biofilm and release it when NO3(-) loading rates
are low (e.g. at night). Three waste products, scrap tire chips, elemental sulfur
pellets and crushed oyster shells, were used as a medium in adsorption, leaching,
microcosm and up-flow packed bed bioreactor studies of NO3(-) removal from
synthetic nitrified DWT wastewater. Adsorption isotherms showed that scrap tire
chips have an adsorption capacity of 0.66 g NO3(-)-N kg(-1) of scrap tires.
Leaching and microcosm studies showed that scrap tires leach bioavailable organic
carbon that can support mixotrophic metabolism, resulting in lower effluent SO4(2
) concentrations than sulfur oxidizing denitrification alone. In column studies,
the T-SHAD process achieved high NO3(-)-N removal efficiencies under steady state
(90%), variable flow (89%) and variable concentration (94%) conditions.
PMID- 24922354
TI - Effects of mechanical disintegration of activated sludge on the activity of
nitrifying and denitrifying bacteria and phosphorus accumulating organisms.
AB - The purpose of the study was to analyse the impact of hydrodynamic disintegration
of thickened excess activated sludge, performed at different levels of energy
density (70, 140 and 210 kJ/L), on the activity of microorganisms involved in
nutrient removal from wastewater, i.e. nitrifiers, denitrifiers and phosphorus
accumulating organisms (PAOs). Ammonium and nitrogen utilisation rates and
phosphorus release rates for raw and disintegrated sludge were determined using
batch tests. The experiment also included: 1) analysis of organic and nutrient
compound release from activated sludge flocs, 2) determination of the sludge
disintegration degree (DD), and 3) evaluation of respiratory activity of the
biomass by using the oxygen uptake rate (OUR) batch test. It was shown that the
activity degree of the examined groups of microorganisms depended on energy
density and related sludge disintegration degree, and that inactivation of
individual groups of microorganisms occurred at different values of DD. Least
resistant to the destruction of activated sludge flocs turned out to be
phosphorus accumulating organisms, while the most resistant were denitrifiers. A
decrease of 20-40% in PAO activity was noted already at DD equal to 3-5%. The
threshold values of DD, after crossing which the inactivation of nitrifiers and
denitrifiers occurred, were equal to 8% and 10%, respectively. At lesser DD
values an increase in the activity of these groups of microorganisms was
observed, averaging 20.2-41.7% for nitrifiers and 9.98-36.3% for denitrifiers.
PMID- 24922355
TI - Combined EUS and CT for evaluating gastrointestinal submucosal tumors before
endoscopic resection.
AB - GOALS: The aim of this study was to evaluate the combination of endoscopic
ultrasonography (EUS) and computed tomography (CT) in predicting the maneuvers
for therapeutic endoscopy for gastrointestinal submucosal tumors (SMTs). METHODS:
Patients with SMTs, who were scheduled for endoscopic resection, were randomized
to preoperative performance of both EUS and CT (group A) or EUS only (group B).
The following data were collected: therapeutic maneuvers, duration of procedure,
dose of propofol, resected lesion size, and complications. RESULTS: A total of 36
patients were included in group A and 36 patients were included in group B.
Endoscopic submucosal excavation was performed in 43 patients, endoscopic full
thickness resection in 18 patients, and submucosal tunneling endoscopic resection
in 11 patients. No significant differences were observed between the two groups
(P>0.05). The coincidence rate between the preoperative program and the actual
endoscopic procedures in group A was higher than that in group B (83.3 vs. 61.1%,
P<0.05). The procedural time in group A was less than that in group B (39.36+/
17.83 vs. 48.06+/-12.03 min, P<0.05), and the dose of propofol in group A was
less than that in group B (249.18+/-125.12 vs. 304.16+/-102.61 mg, P<0.05). The
mean resected lesion size was 2.32+/-1.46 cm in group A and 2.12+/-0.75 cm in
group B, without differences (P>0.05). A total of 14 cardiopulmonary
complications and seven endoscopic complications occurred, without significant
differences between the two groups (P>0.05). CONCLUSION: EUS combined with CT can
better evaluate SMTs compared with EUS only in predicting the maneuvers for
therapeutic endoscopy.
PMID- 24922356
TI - Angiogenesis and proliferation markers in adjacent cirrhotic tissue could predict
hepatocellular carcinoma outcome after liver transplantation.
AB - INTRODUCTION: The current staging systems for hepatocellular carcinoma (HCC) do
not sufficiently predict outcomes after liver transplantation (LT). The present
study assessed whether some tissue markers related to proliferation and
angiogenesis have prognostic value. PATIENTS AND METHODS: The expression of CD34,
vascular endothelial growth factor (VEGF), VEGFR2, VEGFR1, angiopoietin-1,
angiopoietin-2, TIE2, COX-2, and proliferating cell nuclear antigen (PCNA) in
tumor and adjacent cirrhotic tissue samples from 36 patients with HCC (n=10 with
tumor recurrence after LT) was determined by immunochemistry. Microvessel density
was assessed by CD34 staining and the PCNA labeling index calculated as the
percentage of positive cells among at least 1000 hepatocyte nuclei studied in
each sample using the computer program ContimUZ. VEGF, VEGFR2, VEGFR-1,
angiopoietin-1, angiopoietin-2, TIE2, and COX-2 staining were evaluated by two
blinded pathologists. The tumor recurrence rate was analyzed after a minimum
follow-up of 36 months. RESULTS: A higher proliferation index in both tumor and
adjacent cirrhotic tissue was related to HCC recurrence. The proliferation index
in tumor tissue was also related to microvascular invasion. High expression
(staining in >=50% of hepatocytes) of COX2 [P=0.025, odds ratio (OR)=7.5, 95%
confidence interval (CI) 1.3-43.4], VEGF (P=0.01, OR=12, 95% CI 1.8-80.4), and
its receptor VEGFR-2 (P=0.02, OR=8.5, 95% CI 1.4-49.5) in cirrhotic liver tissue,
but not tumor tissue, was related to HCC recurrence after LT. CONCLUSION: A high
proliferation index in tumor and cirrhotic tissue and high expression levels of
some angiogenic markers in adjacent cirrhotic tissue could be predictive of tumor
recurrence after LT.
PMID- 24922357
TI - Small bowel capsule endoscopy in obscure gastrointestinal bleeding: normalcy is
not reassuring.
AB - BACKGROUND/AIM: Small bowel capsule endoscopy (SBCE) is currently a fundamental
tool in the etiological study of obscure gastrointestinal bleeding (OGIB).
However, the impact of a negative exam and the risk of rebleeding are not
entirely known. The aim of this study was to evaluate the outcomes of patients
with OGIB and a negative SBCE examination in terms of follow-up duration,
additional diagnostic studies, and achievement of a diagnosis as well as to
assess the incidence of rebleeding and possible associated factors. MATERIALS AND
METHODS: We retrospectively analyzed 256 patients who consecutively underwent an
SBCE examination for the study of OGIB between April 2006 and December 2011, and
then selected the 79 whose results excluded potentially bleeding lesions. Eleven
patients were lost to follow-up and the remaining 68 were eligible for a nested
case-control analysis. Pre-SBCE and post-SBCE information was collected,
including follow-up interval and incidence of rebleeding, defined as admission to
the hospital for symptomatic anemia, need for blood transfusion, decrease in
hemoglobin value of greater than 2 g/dl, or evidence of melena or hematochezia.
Univariate analysis included age, sex, OGIB presentation (occult or visible),
hemoglobin levels at presentation, and comorbidities. RESULTS: In the 68 patients
analyzed, the mean age was 52+/-18 years and 61.8% were women. The OGIB was
occult in 54 patients (79.4%) and overt in 14 patients (20.6%). Patients were
followed up for an average of 32 months. Thirty-nine patients (57.4%) underwent
further diagnostic investigations during the period of follow-up and a cause for
the gastrointestinal bleeding was found in five of them. Rebleeding was
documented in 16 (23.5%) patients, occurring on average 15+/-13.8 months after
the SBCE. Male sex was associated significantly with higher incidence of
rebleeding (P=0.004). CONCLUSION: Approximately one quarter of patients with OGIB
and negative SBCE examination will experience rebleeding, with higher incidence
among men; thus, a negative SBCE in this setting is not reassuring. As the vast
majority of rebleeding episodes seem to occur within the following 2 years after
SBCE, the maintenance of regular medical surveillance during the above-mentioned
period of time after a negative SBCE seems advisable.
PMID- 24922358
TI - Vapor sensing characteristics of nanoelectromechanical chemical sensors
functionalized using surface-initiated polymerization.
AB - Surface-initiated polymerization has been used to grow thick, uniform poly(methyl
methacrylate) films on nanocantilever sensors. Cantilevers with these coatings
yielded significantly greater sensitivity relative to bare devices as well as
relative to devices that had been coated with drop-cast polymer films. The
devices with surface-initiated polymer films also demonstrated high selectivity
toward polar analytes. Surface-initiated polymerization can therefore provide a
straightforward, reproducible method for large-scale functionalization of
nanosensors.
PMID- 24922359
TI - Experimental and numerical investigation of contact-area-limited doping for top
contact pentacene thin-film transistors with Schottky contact.
AB - Effects of contact-area-limited doping for pentacene thin-film transistors with a
bottom-gate, top-contact configuration were investigated. The increase in the
drain current and the effective field-effect mobility was achieved by preparing
hole-doped layers underneath the gold contact electrodes by coevaporation of
pentacene and 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (F4TCNQ),
confirmed by using a thin-film organic transistor advanced simulator (TOTAS)
incorporating Schottky contact with a thermionic field emission (TFE) model.
Although the simulated electrical characteristics fit the experimental results
well only in the linear regime of the transistor operation, the barrier height
for hole injection and the gate-voltage-dependent hole mobility in the pentacene
transistors were evaluated with the aid of the device simulation. This
experimental data analysis with the simulation indicates that the highly-doped
semiconducting layers prepared in the contact regions can enhance the charge
carrier injection into the active semiconductor layer and concurrent trap filling
in the transistor channel, caused by the mitigation of a Schottky energy barrier.
This study suggests that both the contact-area-limited doping and the device
simulation dealing with Schottky contact are indispensable in designing and
developing high-performance organic thin-film transistors.
PMID- 24922362
TI - How Lewis acidity of the cationic framework affects KNaNbOF(5) polymorphism.
AB - The valence matching principle is used to explain the loss of inversion symmetry
in the noncentrosymmetric (NCS) polymorph of KNaNbOF5 in comparison to its
centrosymmetric (CS) polymorph. The [NbOF5](2-) anion has five contacts to both
potassium and sodium in the NCS polymorph, whereas in the CS polymorph there are
only four contacts to potassium and six contacts to sodium. The lower average
Lewis acidity of the cationic framework in the NCS polymorph relative to the CS
polymorph reflects the loss of inversion symmetry. This lower average Lewis
acidity is achieved during hydrothermal synthesis with a potassium-rich solution
when the K:Na ratio in the reaction is greater than 1:1, as the Lewis acidity of
potassium is lower than that of sodium. The contrasting coordination environments
are manifested in secondary distortions that weaken the primary Nb?O interaction
and lengthen the Nb?O bond in the NCS polymorph. An unusual heat-induced phase
transition from the CS to the NCS polymorph was studied with in situ powder X-ray
diffraction. The transition to the NCS polymorph upon cooling occurs through an
intermediate phase(s).
PMID- 24922360
TI - Platelet factor 4 protects bone marrow mesenchymal stem cells from acute
radiation injury.
AB - OBJECTIVE: The aim of this study was to find a new radiation protector, platelet
factor 4 (PF4) and to identify its effect on haemopoietic microenvironment in
vitro and in vivo. METHODS: Radiation damage on bone marrow mesenchymal stem
cells ex and in vitro was set up as models. Growth curve analysis, clonogenic
survival assay, FACSCaliburTM (BD Immunocytometry Systems, San Jose, CA), 5
ethynyl-2'-deoxyuridine immunofluorescence staining and quantitative reverse
transcription-polymerase chain reaction were employed to assess the
characterization of bone marrow mesenchymal stem cells (BMSCs), proliferation,
apoptosis, cell cycle and gene expression. RESULTS: A dose- and time-dependent
enhancement of cell viability and survival was observed for PF4 treatment along
with 500 cGy gamma-radiation in vitro. The same phenomena were noted in vivo,
including enhancement of adherence and proliferation ability while inhibition of
cell apoptosis, which were associated with a short-term decrease in the G0/G1
ratio owing to S phase arrest. These were accompanied with enhanced Bcl-2
expression and p53/p21 loss. CONCLUSION: These results uncover that PF4 might be
a novel therapeutic approach, which could reduce DNA damage and increase survival
of BMSCs, in part, by inhibiting p53/p21 axis and facilitating DNA damage repair.
ADVANCES IN KNOWLEDGE: This study explores the feasibility of a new
radioprotector and hence may be clinically important.
PMID- 24922363
TI - Structure reconstruction of TiO2-based multi-wall nanotubes: first-principles
calculations.
AB - A new method of theoretical modelling of polyhedral single-walled nanotubes based
on the consolidation of walls in the rolled-up multi-walled nanotubes is
proposed. Molecular mechanics and ab initio quantum mechanics methods are applied
to investigate the merging of walls in nanotubes constructed from the different
phases of titania. The combination of two methods allows us to simulate the
structures which are difficult to find only by ab initio calculations. For
nanotube folding we have used (1) the 3-plane fluorite TiO2 layer; (2) the
anatase (101) 6-plane layer; (3) the rutile (110) 6-plane layer; and (4) the 6
plane layer with lepidocrocite morphology. The symmetry of the resulting single
walled nanotubes is significantly lower than the symmetry of initial coaxial
cylindrical double- or triple-walled nanotubes. These merged nanotubes acquire
higher stability in comparison with the initial multi-walled nanotubes. The wall
thickness of the merged nanotubes exceeds 1 nm and approaches the corresponding
parameter of the experimental patterns. The present investigation demonstrates
that the merged nanotubes can integrate the two different crystalline phases in
one and the same wall structure.
PMID- 24922364
TI - Efficiency improvement by polarization-reversed electron blocking structure in
GaN-based Light-emitting diodes.
AB - Polarization-reversed electron-blocking structure, which had negative
polarization charges localized at the interface between the last quantum barrier
(LQB) and electron-blocking layer (EBL), was demonstrated to remarkably improve
the light-emitting efficiency of GaN-based blue light-emitting diodes (LEDs)
numerically and experimentally. The improvement was attributed to the enhanced
electron-blocking effectiveness by the elevated conduction band nearby the
LQB/EBL interface. Nevertheless, the efficiency droop was not mitigated because
the decrease of electron-leakage was accompanied by the increase of Auger
recombination.
PMID- 24922361
TI - Adiposity, fractional exhaled nitric oxide, and asthma in U.S. children.
AB - RATIONALE: Whether allergic airway inflammation mediates the association between
overweight or obesity and childhood asthma is unknown. OBJECTIVES: To examine
adiposity, asthma, and fractional exhaled nitric oxide (FeNO) in U.S. children.
METHODS: Cross-sectional study of indicators of adiposity or obesity, FeNO (a
biomarker of eosinophilic airway inflammation), and asthma in 2,681 children aged
6-17 years in the 2007-2010 National Health and Nutrition Examination Survey.
Adiposity measures included body mass index (BMI), percent body fat (PBF), and
waist circumference (WC). MEASUREMENTS AND MAIN RESULTS: BMI, PBF, and WC were
associated with asthma among children with low FeNO (odds ratio, 1.54-1.68; P <
0.01), but not among children with increased FeNO. Among children without asthma,
BMI, PBF, and WC were associated with higher FEV1 and FVC, and lower FEV1/FVC.
Among children with asthma and a high FeNO, all adiposity indicators were
associated with decreased FEV1/FVC (beta = -1.5% to -1.7% per z score) but not
with FEV1 or FVC. Higher BMI or PBF was associated with worse asthma severity or
control in children with asthma and increased FeNO, but not in children with
asthma and low FeNO. Similar results were obtained in a secondary multivariate
analysis of overweight or obesity (defined as BMI >=85th percentile) and asthma
or indicators of asthma severity or control, stratified by FeNO level.
CONCLUSIONS: Adiposity indicators are associated with asthma in children with low
FeNO. Among children with asthma, adiposity indicators are associated with worse
asthma severity or control in those with high FeNO.
PMID- 24922365
TI - UV polarization lidar for remote sensing new particles formation in the
atmosphere.
AB - Understanding new particles formation in the free troposphere is key for air
quality and climate change, but requires accurate observation tools. Here, we
discuss on the optical requirements ensuring a backscattering device, such as a
lidar, to remotely observe nucleation events promoted by nonspherical desert dust
or volcanic ash particles. By applying the Mie theory and the T-matrix code, we
numerically simulated the backscattering coefficient of spherical freshly
nucleated particles and nonspherical particles. We hence showed that, to remotely
observe such nucleation events with an elastic lidar device, it should operate in
the UV spectral range and be polarization-resolved. Two atmospheric case studies
are proposed, on nucleation events promoted by desert dust, or volcanic ash
particles. This optical pathway might be useful for climate, geophysical and
fundamental purposes, by providing a range-resolved remote observation of
nucleation events.
PMID- 24922366
TI - Cooperative electromagnetic interactions between nanoparticles for solar energy
harvesting.
AB - The cooperative electromagnetic interactions between discrete resonators have
been widely used to modify the optical properties of metamaterials. Here we
propose a general approach for engineering these interactions both in the dipolar
approximation and for any higher-order description. Finally we apply this
strategy to design broadband absorbers in the visible range from simple n-ary
arrays of metallic nanoparticles.
PMID- 24922367
TI - RCWA and FDTD modeling of light emission from internally structured OLEDs.
AB - We report on the fabrication and simulation of a green OLED with an Internal
Light Extraction (ILE) layer. The optical behavior of these devices is simulated
using both Rigorous Coupled Wave Analysis (RCWA) and Finite Difference Time
Domain (FDTD) methods. Results obtained using these two different techniques show
excellent agreement and predict the experimental results with good precision. By
verifying the validity of both simulation methods on the internal light
extraction structure we pave the way to optimization of ILE layers using either
of these methods.
PMID- 24922368
TI - A novel integrated structure of thin film GaN LED with ultra-low thermal
resistance.
AB - This study proposes a novel packaging structure for vertical thin-GaN LED
applications by integration of LED chip and silicon-based packaging process. The
vertical thin film LED is directly mounted on package submount. The shortest
thermal path structure from junction to package submount achieves the lowest
thermal resistance of 1.65 K/W for LED package. Experimental results indicate
that low thermal resistance significant improved forward current up to 4.6A with
1.125 * 1.125 mm2 LED chip size.
PMID- 24922369
TI - Annealing of SnO2 thin films by ultra-short laser pulses.
AB - Post-deposition annealing by ultra-short laser pulses can modify the optical
properties of SnO2 thin films by means of thermal processing. Industrial grade
SnO2 films exhibited improved optical properties after picosecond laser
irradiation, at the expense of a slightly increased sheet resistance [Proc. SPIE
8826, 88260I (2013)]. The figure of merit phi = T10 / R(sh) was increased up to
59% after laser processing. In this paper we study and discuss the causes of this
improvement at the atomic scale, which explain the observed decrease of
conductivity as well as the observed changes in the refractive index n and
extinction coefficient k. It was concluded that the absorbed laser energy
affected the optoelectronic properties preferentially in the top 100-200 nm
region of the films by several mechanisms, including the modification of the
stoichiometry, a slight desorption of dopant atoms (F), adsorption of hydrogen
atoms from the atmosphere and the introduction of laser-induced defects, which
affect the strain of the film.
PMID- 24922370
TI - Zinc oxide nanowire arrays for silicon core/shell solar cells.
AB - The optics of core / shell nanowire solar cells was investigated. The optical
wave propagation was studied by finite difference time domain simulations using
realistic interface morphologies. The interface morphologies were determined by a
3D surface coverage algorithm, which provides a realistic film formation of
amorphous silicon films on zinc oxide nanowire arrays. The influence of the
nanowire dimensions on the interface morphology and light trapping was
investigated and optimal dimensions of the zinc oxide nanowire were derived.
PMID- 24922371
TI - Optoelectrical characteristics of green light-emitting diodes containing thick
InGaN wells with digitally grown InN/GaN.
AB - Compared with conventionally grown thin InGaN wells, thick InGaN wells with
digitally grown InN/GaN exhibit superior optical properties. The activation
energy (48 meV) of thick InGaN wells (generated by digital InN/GaN growth from
temperature-dependent integrated photoluminescence intensity) is larger than the
activation energy (25 meV) of conventionally grown thin InGaN wells. Moreover,
thick InGaN wells with digitally grown InN/GaN exhibit a smaller sigma value (the
degree of localization effects) of 19 meV than that of conventionally grown thin
InGaN wells (23 meV). Compared with green light-emitting diodes (LEDs) with
conventional thin InGaN wells, the improvement in 20-A/cm2 output power for LEDs
containing thick InGaN wells with digitally grown InN/GaN is approximately 23%.
PMID- 24922372
TI - Antireflection-enhanced color by a natural graded refractive index (GRIN)
structure.
AB - Nanostructured materials like graded refractive index (GRIN) structures in moth
eyes have inspired the design of novel antireflective coatings. Such structures
are more flexible than uniform coatings, but applications have been mainly
limited to broadband antireflection in solar cells and LEDs. Here we show that
cylindrical pigment granules in two bird species (Polyplectron bicalcaratum and
Patagioenas fasciata) form a GRIN that suppresses interference and expands the
range of colors produced by a multilayer. These results demonstrate that a GRIN
structure can function like a pigment (i.e. through selective, independent
wavelength blocking) to generate unique colors and may inspire the design of
novel antireflective and structurally colored coatings.
PMID- 24922373
TI - Nanoimprinted backside reflectors for a-Si:H thin-film solar cells: critical role
of absorber front textures.
AB - The development of optimal backside reflectors (BSRs) is crucial for future low
cost and high efficiency silicon (Si) thin-film solar cells. In this work,
nanostructured polymer substrates with aluminum coatings intended as BSRs were
produced by positive and negative nanoimprint lithography (NIL) techniques, and
hydrogenated amorphous silicon (a-Si:H) was deposited hereon as absorbing layers.
The relationship between optical properties and geometry of front textures was
studied by combining experimental reflectance spectra and theoretical
simulations. It was found that a significant height variation on front textures
plays a critical role for light-trapping enhancement in solar cell applications.
As a part of sample preparation, a transfer NIL process was developed to overcome
the problem of low heat deflection temperature of polymer substrates during solar
cell fabrication.
PMID- 24922374
TI - Effects of InGaN layer thickness of AlGaN/InGaN superlattice electron blocking
layer on the overall efficiency and efficiency droops of GaN-based light emitting
diodes.
AB - The operating voltage, light output power, and efficiency droops of GaN-based
light emitting diodes (LEDs) were improved by introducing Mg-doped AlGaN/InGaN
superlattice (SL) electron blocking layer (EBL). The thicker InGaN layers of
AlGaN/InGaN SL EBL could have a larger effective electron potential height and
lower effective hole potential height than that of AlGaN EBL. This thicker InGaN
layer could prevent electron leakage into the p-region of LEDs and improve hole
injection efficiency to achieve a higher light output power and less efficiency
droops with the injection current. The low lateral resistivity of Mg-doped
AlGaN/InGaN SL would have superior current spreading at high current injection.
PMID- 24922375
TI - Novel broadband glass phosphors for high CRI WLEDs.
AB - New broadband glass phosphors with excellent thermal stability were proposed and
experimentally demonstrated for white light-emitting-diodes (WLEDs). The novel
glass phosphors were realized through dispersing multiple phosphors into SiO2
based glass (SiO2-Na2O-Al2O3-CaO) at 680 degrees C. Y3Al5O12:Ce3+ (YAG),
Lu3Al5O12:Ce3+ (LuAG), and CaAlSiN3: Eu2+ (nitride) phosphor crystals were chosen
respectively as the yellow, green, and red emitters of the glass phosphors. The
effect of sintering temperature on inter-diffusion reduction between phosphor
crystals and amorphous SiO2 in nitride-doped glass phosphors was studied and
evidenced by the aid of high-resolution transmission electron microscopy (HRTEM).
Broadband glass phosphors with high quantum-yield of 55.6% were thus successfully
realized through the implementation of low sintering temperature. Proof-of
concept devices utilizing the novel broadband phosphors were developed to
generate high-quality cool-white light with trisstimulus coordinates (x, y) =
(0.358, 0.288), color-rending index (CRI) = 85, and correlated color temperature
(CCT) = 3923K. The novel broadband glass phosphors with excellent thermal
stability are essentially beneficial to the applications for next-generation
solid-state indoor lighting, especially in the area where high power and absolute
reliability are required.
PMID- 24922376
TI - InAs/GaAsSb quantum dot solar cells.
AB - The hybrid structure of GaAs/GaAsSb quantum well (QW)/InAs quantum dots solar
cells (QDSCs) is analyzed using power-dependent and temperature-dependent
photoluminescence. We demonstrate that placing the GaAsSb QW beneath the QDs
forms type-II characteristics that initiate at 12% Sb composition. Current
density-voltage measurements demonstrate a decrease in power efficiency with
increasing Sb composition. This could be attributed to increased valence band
potential in the GaAsSb QW that subsequently limits hole transportation in the QD
region. To reduce the confinement energy barrier, a 2 nm GaAs wall is inserted
between GaAsSb QW and InAs QDs, leading to a 23% improvement in power efficiency
for QDSCs.
PMID- 24922377
TI - Light diffraction by concentrator Fresnel lenses.
AB - Fresnel lenses are widely used in concentrating photovoltaic (CPV) systems as
primary optical elements focusing sunlight onto small solar cells or onto
entrance apertures of secondary optical elements attached to the solar cells.
Calculations using the Young-Maggi-Rubinowicz theory of diffraction yield
analytical expressions for the amount of light spilling outside these target
areas due to diffraction at the edges of the concentrator Fresnel lenses.
Explicit equations are given for the diffraction loss due to planar Fresnel
lenses with small prisms and due to arbitrarily shaped Fresnel lenses.
Furthermore, the cases of illumination by monochromatic, polychromatic, totally
spatially coherent and partially spatially coherent light (e.g. from the solar
disc) are treated, resulting in analytical formulae. Examples using realistic
values show losses due to diffraction of up to several percent.
PMID- 24922378
TI - Enhancement of light-extraction efficiency of organic light-emitting diodes using
silica nanoparticles embedded in TiO2 matrices.
AB - We investigate two types of internal light-extraction layer structures for
organic light-emitting diodes (OLEDs) that consist of silica nanoparticles (NPs)
embedded in high-refractive-index TiO2 matrices. The composite of silica NPs and
TiO2 matrices was coated on the glass substrate and fabricated with and without a
SiO2 planarization layer. An increase in the optical out-coupling efficiency by a
factor of 2.0 was obtained at a high luminance of 3,000 cd/m2 from OLEDs
containing the silica NPs embedded in TiO2 matrices between glass substrates and
Zn-doped In2O3 (IZO) electrodes after additional planarization processes. This is
consistent with the analytical result using the finite-difference time-domain
(FDTD) method. Randomly distributed silica NPs acting as scattering centers could
reduce the optical loss when extracting light. By using additional planarization
processes with a PECVD-derived SiO2 layer, one can assure that smoother surfaces
provide higher out-coupling efficiency, which attain 100% and 97% enhancements in
power (lm/W) and current (cd/A) efficiencies, respectively.
PMID- 24922379
TI - Maximal power output by solar cells with angular confinement.
AB - Angularly selective filters can increase the efficiency of radiatively limited
solar cells. A restriction of the acceptance angle is linked to the kind of
utilizable solar spectrum (global or direct radiation). This has to be considered
when calculating the potential enhancement of both the efficiency and the power
output. In this paper, different concepts to realize angularly selective filters
are compared regarding their limits for efficiency and power output per unit
area. First experimental results of a promising system based on a thin-film
filter as the angularly selective element are given to demonstrate the practical
relevance of such systems.
PMID- 24922380
TI - Wafer-scale surface roughening for enhanced light extraction of high power
AlGaInP-based light-emitting diodes.
AB - A new approach to surface roughening was established and optimized in this paper
for enhancing the light extraction of high power AlGaInP-based LEDs, by combining
ultraviolet (UV) assisted imprinting with dry etching techniques. In this
approach, hexagonal arrays of cone-shaped etch pits are fabricated on the surface
of LEDs, forming gradient effective-refractive-index that can mitigate the
emission loss due to total internal reflection and therefore increase the light
extraction efficiency. For comparison, wafer-scale FLAT-LEDs without any surface
roughening, WET-LEDs with surface roughened by wet etching, and DRY-LEDs with
surface roughened by varying the dry etching time of the AlGaInP layer, were
fabricated and characterized. The average output power for wafer-scale FLAT-LEDs,
WET-LEDs, and DRY3-LEDs (optimal) at 350 mA was found to be 102, 140, and 172 mW,
respectively, and there was no noticeable electrical degradation with the WET
LEDs and DRY-LEDs. The light output was increased by 37.3% with wet etching, and
68.6% with dry etching surface roughening, respectively, without compromising the
electrical performance of LEDs. A total number of 1600 LED chips were tested for
each type of LEDs. The yield of chips with an optical output power of 120 mW and
above was 0.3% (4 chips), 42.8% (684 chips), and 90.1% (1441 chips) for FLAT
LEDs, WET-LEDs, and DRY3-LEDs, respectively. The dry etching surface roughening
approach developed here is potentially useful for the industrial mass production
of wafer-scale high power LEDs.
PMID- 24922381
TI - Broadband down-conversion for silicon solar cell by ZnSe/phosphor
heterostructure.
AB - Down-conversion is a feasible way to improve conversion efficiency of silicon
solar cell. However, the width of excitation band for down-converter based on
trivalent lanthanide ions is still not satisfying. Here, we designed and
fabricated a heterostructural down-converter composed of Y2O3: [(Tb3+-Yb3+), Li+]
quantum cutting phosphor and ZnSe. The ZnSe phase was used to absorb the incident
light with energy larger than its bandgap, and transfer the energy to Tb3+-Yb3+
quantum cutting couple. Short-wavelength incident light was finally converted
into a strong Yb3+ emission at about 1000 nm, locating at the maximal spectral
response of silicon solar cell. The excitation band of the down-conversion covers
a wide region of 250-550 nm. Benefiting from the energy match between ZnSe
bandgap and 7F6->5D4 absorption of Tb3+ ions, the bandwidth of down-conversion is
almost maximized.
PMID- 24922382
TI - Planar waveguide LED illuminator with controlled directionality and divergence.
AB - We present a versatile illumination system where white light emitting diodes are
coupled through a planar waveguide to periodically patterned extraction features
at the focal plane of a two dimensional lenslet array. Adjusting the position of
the lenslet array allows control over both the directionality and divergence of
the emitted beam. We describe an analytic design process, and show optimal
designs can achieve high luminous emittance (1.3x104 lux) over a 2x2 foot
aperture with over 75% optical efficiency while simultaneously allowing beam
steering over +/- 60 degrees and divergence control from +/- 5 degrees to fully
hemispherical output. Finally, we present experimental results of a prototype
system which validate the design model.
PMID- 24922383
TI - Highly reliable Ti-based ohmic contact to N-polar n-type GaN for vertical
geometry light-emitting diodes by using a Ta barrier layer.
AB - The formation of thermally stable and low resistance Ti/Al-based ohmic contacts
to N-polar n-GaN for high-power vertical light-emitting diodes (VLEDs) using a Ta
diffusion barrier is presented. Before annealing, both Ti/Al/Au and Ti/Ta/Al/Au
contacts reveal ohmic behavior with specific contact resistances of 2.4 * 10-4
and 1.2 * 10-4 Omegacm2, respectively. However, unlike the Ti/Al/Au samples that
are electrically degraded with increasing annealing time at 250 degrees C, the
Ti/Ta/Al/Au samples remain thermally stable even after annealing for 600 min.
LEDs fabricated with the Ti/Ta/Al/Au contacts yield 8.3% higher output power (at
300 mA) than LEDs with the Ti/Al/Au contact. X-ray photoemission spectroscopy
results show that the Ta layer serves as an efficient barrier to the indiffusion
of oxygen toward the GaN. On the basis of the XPS and electrical results, the
annealing dependence of the electrical characteristics of Ti/Al-based contacts
are described and discussed.
PMID- 24922384
TI - The use of the adding-doubling method for the optical optimization of planar
luminescent down shifting layers for solar cells.
AB - To enhance the efficiency of solar cells, a luminescent down shifting layer can
be applied in order to adapt the solar spectrum to the spectral internal quantum
efficiency of the semiconductor. Optimization of such luminescent down shifting
layers benefits from quick and direct evaluation methods. In this paper, the
potential of the adding-doubling method is investigated to simulate the optical
behavior of an encapsulated solar cell including a planar luminescent down
shifting layer. The results of the adding-doubling method are compared with
traditional Monte Carlo ray tracing simulations. The average relative deviation
is found to be less than 1.5% for the absorptance in the active layer and the
reflectance from the encapsulated cell, while the computation time can be
decreased with a factor 52. Furthermore, the adding-doubling method is adopted to
investigate the suitability of the SrB4O7:5%Sm2 + ,5%Eu2 + phosphor as a
luminescent down shifting material in combination with a Copper Indium Gallium
Selenide solar cell. A maximum increase of 9.0% in the short-circuit current can
be expected if precautions are taken to reduce the scattering by matching the
refractive index of host material to the phosphor particles. To be useful as
luminescent down shifting material, the minimal value of the quantum yield of the
phosphor is determined to be 0.64.
PMID- 24922386
TI - Reducing the efficiency droop by lateral carrier confinement in InGaN/GaN quantum
well nanorods.
AB - Efficiency droop is a major obstacle facing high-power application of InGaN/GaN
quantum-well (QW) light-emitting diodes (LEDs). In this paper, we report the
suppression of efficiency droop induced by the process of density-activated
defect recombination in nanorod structures of a-plane InGaN/GaN QWs. In the high
carrier density regime, the retained emission efficiency in a dry-etched nanorod
sample is observed to be over two times higher than that in its parent QW sample.
We further argue that such improvement is a net effect that the lateral carrier
confinement overcomes the increased surface trapping introduced during
fabrication.
PMID- 24922385
TI - On the mechanisms of InGaN electron cooler in InGaN/GaN light-emitting diodes.
AB - Electron overflow limits the quantum efficiency of InGaN/GaN light-emitting
diodes. InGaN electron cooler (EC) can be inserted before growing InGaN/GaN
multiple quantum wells (MQWs) to reduce electron overflow. However, detailed
mechanisms of how the InGaN EC contributes to the efficiency improvement have
remained unclear so far. In this work, we theoretically propose and
experimentally demonstrate an electron mean-free-path model, which reveals the
InGaN EC reduces the electron mean free path in MQWs, increases the electron
capture rate and also reduces the valence band barrier heights of the MQWs, in
turn promoting the hole transport into MQWs.
PMID- 24922387
TI - Multilayer nanoparticle arrays for broad spectrum absorption enhancement in thin
film solar cells.
AB - In this paper, we present a theoretical study on the absorption efficiency
enhancement of a thin film amorphous Silicon (a-Si) photovoltaic cell over a
broad spectrum of wavelengths using multiple nanoparticle arrays. The light
absorption efficiency is enhanced in the lower wavelengths by a nanoparticle
array on the surface and in the higher wavelengths by another nanoparticle array
embedded in the active region. The efficiency at intermediate wavelengths is
enhanced by the simultaneous resonance from both nanoparticle layers. We optimize
this design by tuning the radius of particles in both arrays, the period of the
array and the distance between the two arrays. The optimization results in a
total quantum efficiency of 62.35% for a 0.3 MUm thick a-Si substrate.
PMID- 24922388
TI - GaN-based light-emitting diodes on graphene-coated flexible substrates.
AB - We demonstrate GaN-based thin light-emitting diodes (LEDs) on flexible polymer
and paper substrates covered with chemical vapor deposited graphene as a
transparent-conductive layer. Thin LEDs were fabricated by lifting the sapphire
substrate off by Excimer laser heating, followed by transfer of the LEDs to the
flexible substrates. These substrates were coated with tri-layer graphene by a
wet transfer method. Optical and electrical properties of thin laser lift-offed
LEDs on the flexible substrates were characterized under both relaxed and
strained conditions. The graphene on paper substrates remained conducting when
the graphene/paper structure was folded. The high transmittance, low sheet
resistance and high failure strain of the graphene make it an ideal candidate as
the transparent and conductive layer in flexible optoelectronics.
PMID- 24922389
TI - Time domain simulation of tandem silicon solar cells with optimal textured light
trapping enabled by the quadratic complex rational function.
AB - Amorphous silicon/crystalline silicon (a-Si/c-Si) micromorph tandem cells, with
best confirmed efficiency of 12.3%, have yet to fully approach their theoretical
performance limits. In this work, we consider a strategy for improving the light
trapping and charge collection of a-Si/c-Si micromorph tandem cells using random
texturing with adjustable short-range correlations and long-range periodicity. In
order to consider the full-spectrum absorption of a-Si and c-Si, a novel
dispersion model known as a quadratic complex rational function (QCRF) is applied
to photovoltaic materials (e.g., a-Si, c-Si and silver). It has the advantage of
accurately modeling experimental semiconductor dielectric values over the entire
relevant solar bandwidth from 300-1000 nm in a single simulation. This wide-band
dispersion model is then used to model a silicon tandem cell stack (ITO/a-Si:H/c
Si:H/silver), as two parameters are varied: maximum texturing height h and
correlation parameter f. Even without any other light trapping methods, our front
texturing method demonstrates 12.37% stabilized cell efficiency and 12.79 mA/cm2
in a 2 MUm-thick active layer.
PMID- 24922390
TI - Enhanced electroluminescence using Ta2O5/ZnO/HfO2 asymmetric double
heterostructure in ZnO/GaN-based light emitting diodes.
AB - ZnO/GaN-based light-emitting diodes (LEDs) with improved asymmetric double
heterostructure of Ta2O5/ZnO/HfO2 have been fabricated. Electroluminescence (EL)
performance has been enhanced by the HfO2 electron blocking layer and further
improved by continuing inserting the Ta2O5 hole blocking layer. The origins of
the emission have been identified, which indicated that the Ta2O5/ZnO/HfO2
asymmetric structure could more effectively confine carriers in the active i-ZnO
layer and meanwhile suppresses of radiation from GaN. This device exhibits
superior stability in long-time running. It's hoped that the asymmetric double
heterostructure may be helpful for the development of the future ZnO-based LEDs.
PMID- 24922391
TI - Efficiency improvement of a vertical light-emitting diode through surface plasmon
coupling and grating scattering.
AB - The enhancement of output intensity, the generation of polarized output, and the
reduction of the efficiency droop effect in a surface plasmon (SP) coupled
vertical light-emitting diode (LED) with an Ag nano-grating structure located
between the p-GaN layer and the wafer bonding metal for inducing SP coupling with
the InGaN/GaN quantum wells (QWs) are demonstrated. In fabricating the vertical
LED, the patterned sapphire substrate is removed with a photoelectrochemical
liftoff technique. Based on the reflection measurement from the metal grating
structure and the numerical simulation result, it is found that the localized
surface plasmon (LSP) resonance induced around the metal grating crest plays the
major role in the SP-QW coupling process although a hybrid mode of LSP and
surface plasmon polariton can be generated in the coupling process. By adding a
surface grating structure to the SP-coupled vertical LED on the n-GaN side, the
output intensity is further enhanced, the output polarization ratio is further
increased, and the efficiency droop effect is further suppressed.
PMID- 24922392
TI - Influence of V-pits on the efficiency droop in InGaN/GaN quantum wells.
AB - We discuss the influence of V-pits and their energy barrier, originating from its
facets of (101-1) planes, on the luminescence efficiency of InGaN LEDs.
Experimental analysis using cathodoluminescence (CL) exhibits that thin facets of
V-pits of InGaN quantum wells (QWs) appear to be effective in improving the
emission intensity, preventing the injected carriers from recombining non
radiatively with threading dislocations (TDs). Our theoretical calculation based
on the self-consistent approach with adopting k?p method reveals that higher V
pit energy barrier heights in InGaN QWs more efficiently suppress the non
radiative recombination at TDs, thus enhancing the internal quantum efficiency
(IQE).
PMID- 24922393
TI - Enhanced power conversion efficiency of quantum dot sensitized solar cells with
near single-crystalline TiO2 nanohelixes used as photoanodes.
AB - Photo-electrodes with tailored three-dimensional nanostructures offer a large
enhancement in light harvesting capability for various optoelectronic devices
enabled by strong light scattering in the nanostructures as well as improved
charge transport. Here we present an array of three-dimensional titanium dioxide
(TiO2) nanohelixes fabricated by the oblique angle deposition method as a
multifunctional photoanode for CdSe quantum dot sensitized solar cells (QDSSCs).
The CdSe QDSSC with a TiO2 nanohelix photoanode shows a 100% higher power
conversion efficiency despite less light being absorbed in CdSe QDs when compared
with a conventional TiO2 nanoparticle photoanode. We attribute the higher power
conversion efficiency to strong light scattering by the TiO2 nanohelixes and much
enhanced transport and collection of photo-generated carriers enabled by the
unique geometry and near-single crystallinity of the TiO2 nanohelix structure.
PMID- 24922394
TI - Aperiodic and randomized dielectric mirrors: alternatives to metallic back
reflectors for solar cells.
AB - Dielectric mirrors have recently emerged for solar cells due to the advantages of
lower cost, lower temperature processing, higher throughput, and zero plasmonic
absorption as compared to conventional metallic counterparts. Nonetheless, in the
past, efforts for incorporating dielectric mirrors into photovoltaics were not
successful due to limited bandwidth and insufficient light scattering that
prevented their wide usage. In this work, it is shown that the key for ultra
broadband dielectric mirrors is aperiodicity, or randomization. In addition, it
has been proven that dielectric mirrors can be widely applicable to thin-film and
thick wafer-based solar cells to provide for light trapping comparable to
conventional metallic back reflectors at their respective optimal geometries.
Finally, the near-field angular emission plot of Poynting vectors is conducted,
and it further confirms the superior light-scattering property of dielectric
mirrors, especially for diffuse medium reflectors, despite the absence of surface
plasmon excitation. The preliminary experimental results also confirm the high
feasibility of dielectric mirrors for photovoltaics.
PMID- 24922395
TI - Illumination of dense urban areas by light redirecting panels.
AB - With the high population growth rate, especially in developing countries, and the
scarcity of land resources, buildings are becoming so close to each other,
depriving the lower floors and the alleys from sunlight and consequently causing
health problems. Therefore, there is an urgent need for cost-effective efficient
light redirecting panels that guide sun rays into those dim places. In this
paper, we address this problem. A novel sine wave based panel is presented to
redirect/diverge light downward and enhance the illumination level in those dark
places. Simulation results show that the proposed panel improves the illuminance
values by more than 200% and 400% in autumn and winter respectively, operates
over wide solar altitude ranges, and redirects light efficiently. Experimental
and simulation results are in good agreement.
PMID- 24922396
TI - Phase space considerations for light path lengths in planar, isotropic absorbers.
AB - Fundamental limits for path lengths of light in isotropic absorbers are
calculated. The method of calculation is based on accounting for occupied states
in optical phase space. Light trapping techniques, such as scattering or
diffraction, are represented by the way how the available states are occupied.
One finding of the presented investigation is that the path length limit is
independent of the light trapping mechanism and only depends on the conditions
for light incidence to, and escape from the absorber. A further finding is that
the maximum path length is obtained for every light trapping mechanisms which
results in a complete filling of the available states in phase space. For
stationary solar cells, the Yablonovitch limit of 4dn2, with n the refractive
index of the absorber, is a very good approximation of this limit.
PMID- 24922397
TI - Design of a high efficiency ultrathin CdS/CdTe solar cell using back surface
field and backside distributed Bragg reflector.
AB - A high efficiency CdS/CdTe solar cell was designed with a reduced CdTe absorber
layer thickness and a distributed Bragg reflector (DBR) as an optical reflector
and a ZnTe layer as back surface field (BSF) layer. Simulation results showed
that with combination of DBR and BSF layers and 0.3 um thick CdTe, the conversion
efficiency was increased about 3.2% as compared with a reference cell (with 4 um
thick CdTe layer). It was also shown that the efficiency can be increased up to
6.02% by using a long carrier lifetime in the absorber layer. Under global AM
1.5G conditions, the proposed cell structure had an open-circuit voltage of 1.062
V, a short-circuit current density of 24.64 mA/cm2, and a fill factor of 81.3%,
corresponding to a total area conversion efficiency of 21.02%.
PMID- 24922398
TI - Near-unity broadband absorption designs for semiconducting nanowire arrays via
localized radial mode excitation.
AB - We report design methods for achieving near-unity broadband light absorption in
sparse nanowire arrays, illustrated by results for visible absorption in GaAs
nanowires on Si substrates. Sparse (<5% fill fraction) nanowire arrays achieve
near unity absorption at wire resonant wavelengths due to coupling into 'leaky'
radial waveguide modes of individual wires and wire-wire scattering processes.
From a detailed conceptual development of radial mode resonant absorption, we
demonstrate two specific geometric design approaches to achieve near unity
broadband light absorption in sparse nanowire arrays: (i) introducing multiple
wire radii within a small unit cell array to increase the number of resonant
wavelengths, yielding a 15% absorption enhancement relative to a uniform nanowire
array and (ii) tapering of nanowires to introduce a continuum of diameters and
thus resonant wavelengths excited within a single wire, yielding an 18%
absorption enhancement over a uniform nanowire array.
PMID- 24922399
TI - High performance GaN-based flip-chip LEDs with different electrode patterns.
AB - A high-performance flip-chip light-emitting diode (FCLED) with a Ni/Ag metallic
film as high reflectivity mirror (92.67%) of p-type electrode was successfully
fabricated. The effect of geometric electrode patterns on the blue InGaN/GaN LEDs
was investigated and analyzed qualitatively its current spreading in the active
region. With different electrode patterns, these devices were experimented and
simulated by simple electrical circuits in order to confirm its current-voltage
characteristics and light emission pattern. It was found that the forward
voltages of these FCLEDs were about 3.6 V (@350 mA). The light output power of
FCLEDs with circle-round type electrode was 368 mW at an injection current of 700
mA. From these optoelectronic measurement and thermal infrared images, we
proposed some design methodologies for improved current spreading, light output
power, droop efficiency and thermal performance.
PMID- 24922400
TI - Retrieval of vertical particle concentration profiles by optical remote sensing:
a model study.
AB - Water-leaving radiance is subject to depth variability of the water constituents.
The optical penetration depth is strongly dependent on the wavelength lambda,
which allows to retrieve a non-uniform vertical profile of an optically-active
constituent CTSM(z) from remote-sensing reflectance Rrs(lambda,Cz). We define the
apparent particle concentration CTSM,app(lambda) of a vertically homogeneous
water column whose Rrs(lambda,Cconst) matches Rrs(lambda,Cz). Subsequently, we
define a vertically-weighted averaged particle concentration CTSM,ave(lambda),
only dependent on CTSM(z), and retrieve CTSM(z) by minimizing the error between
CTSM,app(lambda) and CTSM,ave(lambda) with genetic algorithms. We conclude that
the retrieval is excellent if the sub-surface maximum lays close to the surface
or the background concentration of CTSM(z) is low. Conversely, results worsen for
opposite conditions, due to insufficient signal strength from superimposed sub
surface maxima.
PMID- 24922402
TI - Energy transfer in Eu3+ doped scheelites: use as thermographic phosphor.
AB - In this paper the luminescence of the scheelite-based CaGd2(1-x)Eu2x(WO4)4 solid
solutions is investigated as a function of the Eu content and temperature. All
phosphors show intense red luminescence due to the 5D0 - 7F2 transition in Eu3+,
along with other transitions from the 5D1 and 5D0 excited states. For high Eu3+
concentrations the intensity ratio of the emission originating from the 5D1 and
5D0 levels has a non-conventional temperature dependence, which could be
explained by a phonon-assisted cross-relaxation process. It is demonstrated that
this intensity ratio can be used as a measure of temperature with high spatial
resolution, allowing the use of these scheelites as thermographic phosphor. The
main disadvantage of many thermographic phosphors, a decreasing signal for
increasing temperature, is absent.
PMID- 24922403
TI - Enhanced light trapping in solar cells with a meta-mirror following generalized
Snell's law.
AB - As the performance of photovoltaic cells approaches the Shockley-Queisser limit,
appropriate schemes are needed to minimize the losses without compromising the
current performance. In this paper we propose a planar absorber-mirror light
trapping structure where a conventional mirror is replaced by a meta-mirror with
asymmetric light scattering properties. The meta-mirror is tailored to have
reflection in asymmetric modes that stay outside the escape cone of the
dielectric, hence trapping light with unit probability. Ideally, the meta-mirror
can be designed to have such light trapping for any angle of incidence onto the
absorber-mirror structure. We illustrate the concept by using a simple gap
plasmon meta-mirror. Even though the response of the mirror is non-ideal with the
unwanted scattering modes reducing the light absorption, we observe an order of
magnitude enhancement compared to single pass absorption in the absorber. The
bandwidth of the enhancement can be matched with the range of wavelengths close
to the solar cell absorber band-edge where improved light absorption is required.
PMID- 24922404
TI - Post-growth CdCl2 treatment on CdTe thin films grown on graphene layers using a
close-spaced sublimation method.
AB - We investigated the morphological, structural and optical properties of CdCl2
treated cadmium telluride (CdTe) thin films deposited on defective graphene using
a close-spaced sublimation (CSS) system. Heat treatment in the presence of CdCl2
caused recrystallization of CSS-grown CdTe over the as-deposited structures. The
preferential (111) orientation of as-deposited CdTe films was randomized after
post-growth CdCl2 treatment. New small grains (bumps) on the surface of CdCl2
treated CdTe films were ascribed to nucleation of the CdTe grains during the
CdCl2 treatment. The properties of as-deposited and CdCl2-treated CdTe films were
characterized by room temperature micro-photoluminescence, micro-Raman
spectroscopy, scanning electron microscopy, and X-ray diffraction analysis. Our
results are useful to demonstrate a substrate configuration CdTe thin film solar
cells.
PMID- 24922405
TI - Laterally assembled nanowires for ultrathin broadband solar absorbers.
AB - We studied optical resonances in laterally oriented Si nanowire arrays by
conducting finite-difference time-domain simulations. Localized Fabry-Perot and
whispering-gallery modes are supported within the cross section of each nanowire
in the array and result in broadband light absorption. Comparison of a nanowire
array with a single nanowire shows that the current density (J(SC)) is preserved
for a range of nanowire morphologies. The J(SC) of a nanowire array depends on
the spacing of its constituent nanowires, which indicates that both diffraction
and optical antenna effects contribute to light absorption. Furthermore, a
vertically stacked nanowire array exhibits significantly enhanced light
absorption because of the emergence of coupled cavity-waveguide modes and the
mitigation of a screening effect. With the assumption of unity internal quantum
efficiency, the J(SC) of an 800-nm-thick cross-stacked nanowire array is 14.0
mA/cm2, which yields a ~60% enhancement compared with an equivalent bulk film
absorber. These numerical results underpin a rational design strategy for
ultrathin solar absorbers based on assembled nanowire cavities.
PMID- 24922406
TI - Royal College of Obstetricians and Gynaecologists guidelines: how evidence-based
are they?
AB - Evidence-based medicine aims to translate scientific research into good medical
practice. The Royal College of Obstetricians and Gynaecologists publishes
recommendations and guidelines to guide clinicians in decision-making. In this
study, the evidence base underlying the 'Green-top Guidelines' has been analysed
in order to establish the quality of research underlying recommendations. During
this descriptive study of 1,682 individual recommendations, the authors found
that only 9-12% of the guidelines were based on the best quality (Grade A)
evidence. The authors believe that this type of analysis serves to provide
greater clarity for clinicians and patients using guidelines and recommendations
in the field of obstetrics and gynaecology to make collaborative clinical
decisions.
PMID- 24922407
TI - Association between plasma apelin levels and coronary collateral development in
patients with stable angina pectoris.
AB - Apelin is an endogenous ligand for the orphan G protein-coupled receptor (APJ
receptor). Apelin is predominantly expressed in endocardial and vascular
endothelial cells, while APJ receptor is localized to endothelial and smooth
muscle cells, and cardiomyocytes. Apelin has recently attracted much attention
due to its promotive effects on angiogenesis and its protective effects against
mycardial infarction. In this issue of Atherosclerosis, Akboga et al.
investigated plasma apelin levels in patients with stable angina and severe
coronary artery stenosis and provided the first evidence that higher plasma
apelin levels are associated with better coronary collateral development,
suggesting that apelin plays a role in coronary collateral development.
PMID- 24922408
TI - Interactions between intestinal microbiota and innate immune system in pediatric
inflammatory bowel disease: Retraction.
PMID- 24922409
TI - WGO's new member society and new training center.
PMID- 24922410
TI - Absolute surface metrology by rotational averaging in oblique incidence
interferometry.
AB - A modified method for measuring the absolute figure of a large optical flat
surface in synchrotron radiation by a small aperture interferometer is presented.
The method consists of two procedures: the first step is oblique incidence
measurement; the second is multiple rotating measurements. This simple method is
described in terms of functions that are symmetric or antisymmetric with respect
to reflections at the vertical axis. Absolute deviations of a large flat surface
could be obtained when mirror antisymmetric errors are removed by N-position
rotational averaging. Formulas are derived for measuring the absolute surface
errors of a rectangle flat, and experiments on high-accuracy rectangle flats are
performed to verify the method. Finally, uncertainty analysis is carried out in
detail.
PMID- 24922411
TI - Noise tolerance in wavelength-selective switching of optical differential
quadrature-phase-shift-keying pulse train by collinear acousto-optic devices.
AB - Optical switching of high-bit-rate quadrature-phase-shift-keying (QPSK) pulse
trains using collinear acousto-optic (AO) devices is theoretically discussed.
Since the collinear AO devices have wavelength selectivity, the switched optical
pulse trains suffer from distortion when the bandwidth of the pulse train is
comparable to the pass bandwidth of the AO device. As the AO device, a sidelobe
suppressed device with a tapered surface-acoustic-wave (SAW) waveguide and a
Butterworth-type filter device with a lossy SAW directional coupler are
considered. Phase distortion of optical pulse trains at 40 to 100 Gsymbols/s in
QPSK format is numerically analyzed. Bit-error-rate performance with additive
Gaussian noise is also evaluated by the Monte Carlo method.
PMID- 24922412
TI - Efficient frequency doubling at 399 nm.
AB - We describe a reliable, high-power, and narrow-linewidth laser source at 399 nm,
which is useful for cooling and trapping of ytterbium atoms. A continuous-wave
titanium-sapphire laser at 798 nm is frequency doubled using a lithium triborate
crystal in an enhancement cavity. Up to 1.0 W of light at 399 nm has been
obtained from 1.3 W of infrared light, with an efficiency of 80%.
PMID- 24922413
TI - Circularly polarized light with high degree of circularity and low azimuthal
error sensitivity.
AB - The generation of circularly polarized light with a high circularity degree and
low azimuthal error sensitivity was analyzed using a system composed by two
waveplates. It is shown how the high circularity degree is achieved using a
combination of a half- (lambda/2) and a quarter- (lambda/4) waveplate
lambda/2+lambda/4 configuration. However, the lowest azimuthal sensitivity under
small variations in the azimuths of the waveplates is obtained by employing a
lambda/4+lambda/2 configuration. Analytical calculus particularized for quartz
and MgF2 waveplates is presented.
PMID- 24922414
TI - Eliminating bias drift error in lock-in frequency for a resonant fiber optic
gyro.
AB - The accuracy of the resonant frequency servo loop is a major concern for high
performance operation of a resonant fiber optic gyro. This is usually resolved by
adopting the central frequency of the laser source to track the resonance of the
optical fiber ring resonator in one direction. However, the drift of the resonant
frequency arising from resonator temperature fluctuation must be eliminated to
maintain this accuracy. The traditional proportional integral (PI) frequency
servo loop cannot address this issue very well. For instance, a bias error as
large as tens or even hundreds of degrees/hour has been observed at the
demodulated output of a resonant frequency servo loop. In this paper, we propose
a method to eliminate this bias error by adding a double integral term in the
traditional PI-based resonant frequency servo loop. We demonstrate that the
double integral term can precisely track the linear resonant frequency drift,
evidenced by our experimentally achieved close-to-zero bias error of -0.0009
deg/h at the demodulated output of the resonant frequency servo loop.
PMID- 24922415
TI - Sub-nanometer flattening of 45 cm long, 45 actuator x-ray deformable mirror.
AB - We have built a 45 cm long x-ray deformable mirror (XDM) of super-polished single
crystal silicon that has 45 actuators along the tangential axis. After assembly,
the surface height error was 19 nm rms. With use of high-precision visible-light
metrology and precise control algorithms, we have actuated the XDM and flattened
its entire surface to 0.7 nm rms controllable figure error. This is, to our
knowledge, the first sub-nanometer active flattening of a substrate longer than
15 cm.
PMID- 24922416
TI - Novel calibration method for structured-light system with an out-of-focus
projector.
AB - A structured-light system with a binary defocusing technique has the potential to
have more extensive application due to its high speeds, gamma-calibration-free
nature, and lack of rigid synchronization requirements between the camera and
projector. However, the existing calibration methods fail to achieve high
accuracy for a structured-light system with an out-of-focus projector. This paper
proposes a method that can accurately calibrate a structured-light system even
when the projector is not in focus, making it possible for high-accuracy and high
speed measurement with the binary defocusing method. Experiments demonstrate that
our calibration approach performs consistently under different defocusing
degrees, and a root-mean-square error of about 73 MUm can be achieved with a
calibration volume of 150(H) mm*250(W) mm*200(D)mm.
PMID- 24922417
TI - LED surgical lighting system with multiple free-form surfaces for highly sterile
operating theater application.
AB - Although the ventilation system is widely employed in the operating theater, a
strictly sterile surgical environment still cannot be ensured because of laminar
disturbance, which is mainly caused by the surgical lighting system. Abandoning
traditional products, we propose an LED surgical lighting system, which can
alleviate the laminar disturbance and provide an appropriate lighting condition
for surgery. It contains a certain amount of LED lens units, which are embedded
in the ceiling and arranged around the air supply smallpox. The LED lens unit
integrated with an LED light source and a free-form lens is required to produce a
uniform circular illumination with a large tolerance to the change of lighting
distance. To achieve such a dedicated lens, two free-form refractive surfaces,
which are converted into two ordinary differential equations by the design method
presented in this paper, are used to deflect the rays. The results show that the
LED surgical lighting system can provide an excellent illumination environment
for surgery, and, apparently, the laminar disturbance also can be relieved.
PMID- 24922418
TI - Parastigmatic corneal surfaces.
AB - Principal meridians of the corneal vertex of the human ocular system are not
always orthogonal. To study these irregular surfaces at the vertex, which have
principal meridians with an angle different from 90 degrees , we attempt to
define so-called parastigmatic surfaces; these surfaces allow us to correct
several classes of irregular astigmatism, with nonorthogonal principal meridians,
using a simple refractive surface. We will create a canonical surface to describe
the surfaces of the human cornea with a short and simple formula, using two
additional parameters to the current prescription: the angle between principal
meridians and parharmonic variation of curvatures between them.
PMID- 24922419
TI - Nonpolarizing color mirrors on a high-reflecting metal base.
AB - Optically variable devices made from optical-interference coatings create
chromatic color mirrors that have minimal polarization differences with
increasing incidence angle. These metal-dielectric-metal designs produce
narrowband, high reflectance in the visible wavelength region. Broader-band
reflectance regions, similar to those created by multilayer dielectric stacks,
can be replicated on a high-reflecting metal base such as aluminum, maintaining
the same nonpolarizing effects of the narrowband designs. These designs are
intended for reflective systems used for display where reduced angle sensitivity
is paramount. However, these designs can also be adapted for large angular
dependent color shift, such as in effect pigments. Design examples and layer
material suggestions are given depending on the application requirements.
PMID- 24922420
TI - Terahertz gas sensing based on a simple one-dimensional photonic crystal cavity
with high-quality factors.
AB - We report in this paper terahertz gas sensing using a simple 1D photonic crystal
cavity. The resonant frequencies of the cavity depend linearly on the refractive
index of the ambient gas, which can then be measured by monitoring the resonance
shift. Although quite easy to manufacture, this cavity exhibits high-quality
factors, facilitating the realization of high sensitivity in the gas refractive
index sensing. In our experiment, 6% of the change of hydrogen concentration in
air, which corresponds to a refractive index change of 1.4*10-5, can be steadily
detected, and different gas samples can be easily identified. Our experimental
results are consistent with the theoretically calculated spectral responses of
the cavity using the transfer matrix method.
PMID- 24922421
TI - Role of modulation frequency in a hybrid Q-switched Nd:LuVO4 laser with an
acousto-optic modulator and a Cr4+:YAG saturable absorber.
AB - This study presents a hybrid Q-switched Nd:LuVO4 laser with an acousto-optic
modulator (AOM) and a Cr4+:YAG saturable absorber. The importance of the
modulation frequency of the AOM in this dual-loss modulation system is examined
with two distinct observations: (1) the periodic-ramp pulse characteristics with
the modulation frequency, and (2) the existence of the intrinsic modulation
frequency for minimizing the pulsewidth. The shortest pulsewidth and the highest
peak power were 10.6 ns and 13.9 kW, respectively, at a pump power of 10 W.
Compared with the passively Q-switched laser, which has a pulsewidth of 18.3 ns,
the ratio of pulse compression reaches 42%. Finally, numerical simulations based
on the rate equations are used to verify the experimental results.
PMID- 24922422
TI - Reduction of optical Kerr-effect induced error in a resonant micro-optic gyro by
light-intensity feedback technique.
AB - As a type of main optical error source in the resonant micro-optic gyro (RMOG),
the optical Kerr-effect brings a nonzero bias to the output of the RMOG. The
light-intensity fluctuations are interpreted as the cause. To eliminate the
drifts due to the optical Kerr-effect, the intensities of the clockwise (CW) and
counterclockwise (CCW) lightwaves circulating in the resonator should be equal at
all times. Through theoretical analysis and experimental investigation, a linear
relationship between the second-harmonic demodulated signal and the light
intensity input to the resonator is demonstrated for the sinusoidally phase
modulated RMOG. Both our numerical simulation and experimental verification are
carried out, which, for the first time to the best of our knowledge, demonstrate
that the second-harmonic demodulated signal can be used as a feedback error
signal to reduce both the input-intensity mismatch between the CW and CCW
lightwaves and their intensity fluctuations. By applying the light-intensity
feedback loop to the closed-loop RMOG, the light-intensity fluctuations are
reduced to 2.7*10-5, down from 5.86%. As a result, the optical-Kerr effect
induced error is effectively reduced.
PMID- 24922423
TI - Remote-focusing microscopy with long working distance objective lenses.
AB - Remote-focusing microscopy has recently attracted a lot of interest due to its
high-speed axial scanning capabilities. In this paper, we modeled remote-focusing
microscopy, based on a pair of long working distance objective lenses. Three
dimensional intensity distributions of the point spread functions (PSFs) are
calculated, and no significant spherical aberrations are introduced over a large
volume of 100 MUm*100 MUm*150 MUm. The validity of the scheme is verified by
imaging biological samples and microelectronic chips at the imaging depth of 150
MUm without introducing aberrations in the experiment.
PMID- 24922424
TI - Simple far-field radiative thermal rectifier using Fabry-Perot cavities based
infrared selective emitters.
AB - We present a thermal rectification device concept based on far-field radiative
exchange between two selective emitters. Rectification is achieved due to a large
contrast between the two selective emitters' thermo-optical properties. A simple
device constituted by two multilayer samples made of metallic (Au) and
semiconductor (Si and HDSi) thin films is proposed. This device shows a
rectification ratio increasing with temperature up to 19% for a temperature
difference of DeltaT=370 K. Further optimization would allow larger
rectification values. The presented results might be useful for energy conversion
devices, engineering of smart radiative coolers/insulators, and development of
thermal logical circuits.
PMID- 24922425
TI - Compensation of polarization distortions in Faraday isolators by means of
magnetic field inhomogeneity.
AB - We propose a new type of Faraday isolator with compensation of the azimuthally
symmetric component of polarization distortions by means of magnetic field
inhomogeneity. The depolarization was attenuated in experiments by a factor of 7.
PMID- 24922426
TI - Quality-guided phase unwrapping implementation: an improved indexed interwoven
linked list.
AB - Quality-guided phase unwrapping (QGPU) is a widely used technique, and an adjoin
list plays a very important role in the QGPU process. Indexed interwoven linked
list (I2L2) is a data structure for implementing the adjoin list. In this paper,
we propose three improvements on the I2L2. The first improvement is resumed
searching, which records the highest nonempty level in the I2L2 and reduces the
computational redundancy; the second is an adaptive mapping between the quality
values and the I2L2 levels, which reduces the effect of concentrated quality
value distribution. Last, I2L2-H, a new variant of the I2L2 combining the
advantages of both the I2L2 and heap, is developed. With these three
improvements, the improved I2L2 is over 6 times faster than the original one in
the best cases, and it can process large phase maps in almost real time.
PMID- 24922427
TI - Comparative study of ring and random cavities for fiber lasers.
AB - An experimental comparison of three fiber laser structures with the same Raman
gain medium is presented in order to establish the main pros and cons of each
basic scheme. The first fiber laser is based on a hybrid ring-random fiber laser,
the second one is a pure ring fiber laser, and the last one is a random fiber
laser. Several aspects have been taken into account in the study. First, from the
optical point of view, the parameters of interest compared are output power,
lasing threshold, slope efficiency, power fluctuations, and the longitudinal
modes have been analyzed. Second, the possible utilization of fiber lasers in
digital modulated optical communication systems is also studied.
PMID- 24922428
TI - Spectral radiance source based on supercontinuum laser and wavelength tunable
bandpass filter: the spectrally tunable absolute irradiance and radiance source.
AB - A new spectrally tunable source for calibration of radiometric detectors in
radiance, irradiance, or power mode has been developed and characterized. It is
termed the spectrally tunable absolute irradiance and radiance source (STAIRS).
It consists of a supercontinuum laser, wavelength tunable bandpass filter, power
stabilization feedback control scheme, and output coupling optics. It has the
advantages of relative portability and a collimated beam (low etendue), and is an
alternative to conventional sources such as tungsten lamps, blackbodies, or
tunable lasers. The supercontinuum laser is a commercial Fianium SC400-6-02,
which has a wavelength range between 400 and 2500 nm and a total power of 6 W.
The wavelength tunable bandpass filter, a PhotonEtc laser line tunable filter
(LLTF), is tunable between 400 and 1000 nm and has a bandwidth of 1 or 2 nm
depending on the wavelength selected. The collimated laser beam from the LLTF
filter is converted to an appropriate spatial and angular distribution for the
application considered (i.e., for radiance, irradiance, or power mode calibration
of a radiometric sensor) with the output coupling optics, for example, an
integrating sphere, and the spectral radiance/irradiance/power of the source is
measured using a calibration optical sensor. A power stabilization feedback
control scheme has been incorporated that stabilizes the source to better than
0.01% for averaging times longer than 100 s. The out-of-band transmission of the
LLTF filter is estimated to be < -65 dB (0.00003%), and is sufficiently low for
many end-user applications, for example the spectral radiance calibration of
earth observation imaging radiometers and the stray light characterization of
array spectrometers (the end-user optical sensor). We have made initial
measurements of two end-user instruments with the STAIRS source, an array
spectrometer and ocean color radiometer.
PMID- 24922429
TI - Tomography by point source digital holographic microscopy.
AB - We propose a tomographic method for point source inline holographic microscopy.
By recording a set of holograms at different illumination angles, shadowing
effects are eliminated resulting in three-dimensional images with the same
precision at the micrometer-scale in all directions. The advantage of our
tomographic approach is that it works for both absorbing and phase objects,
regardless of the change of refractive index at interfaces. We develop the method
with computer simulations and demonstrate its strength by presenting experimental
results for micrometer-sized polystyrene beads and a cotton fiber.
PMID- 24922430
TI - Plasmon hybridization in composite nanostructures with tunable resonances and
vertex truncation analysis.
AB - An Ag/SiO2/Ag sandwich delta nanostar with three sharp angles (30 degrees ) is
proposed. The extinction efficiency property with a variation in environment
refractive index has been investigated in detail by the finite difference time
domain method. The refractive index response sensitivity is 482.67 nm/RIU. And
the correlations between resonance wavelengths and thickness of the dielectric
layer are also established. It reveals that as the thickness increases, the peak
wavelength turns to red shift, and a tunable resonance wavelength is achieved
through the thickness adjusting of the SiO2 layer. The maximum of the electric
field enhancement is 833.776 with the thickness of the dielectric layer h=40 nm.
Moreover, the influence of the vertex truncation on the extinction spectra and
the refractive index sensitivity has also been analyzed.
PMID- 24922431
TI - Green function method for the time domain simulation of pulse propagation.
AB - Based on the Green function method, the nonlinear Schrodinger equation is
directly solved in the time domain (without Fourier transform). Because the
dispersion and nonlinear effects are calculated simultaneously, it does not bring
any spurious effect such as the split-step method in which the step size has to
be carefully controlled by an error estimation. By this time domain solution, the
pulse fission is analyzed, and we obtain the relationship between the minimum T0
(the half-width at 1/e-intensity point of a pulse) and dispersion coefficients
(beta2, beta3, and beta4). Thus the concrete dispersion values, which have an
impact on ultrashort pulses (the quantity units is femtosecond or attosecond),
are listed. It has been demonstrated that pulse fission occurs in the normal and
anomalous dispersion regimes, even though fourth-order dispersion and the fifth
order nonlinear effects are not taken into account.
PMID- 24922432
TI - Phase retrieval applied to stellar occultation for asteroid silhouette
characterization.
AB - Here we expand on the current methods of characterizing small astronomical
bodies, particularly asteroids, by viewing stellar occultation events. Stellar
occultation has proven to be a viable method for determining the size of moons
and asteroids; however, it comes with some limitations. In general the method
does not consider or use all of the known diffraction effects that occur and thus
provides a nominal radius--not a shape--of the occluder. We show that most
stellar occultation events involving small near-Earth asteroids occur with low
Fresnel numbers. This in effect renders the traditional methods useless to
characterize the shape, because no sharp shadow exists. We show that using
similar data collection to that of the traditional occultation method and
inverting a Fresnel diffraction equation by a phase retrieval process can yield a
complete reconstruction of the silhouette of the occluder. The effect of noise in
the measurements is also discussed. A practical example applied to the asteroid
25143 Itokawa is shown.
PMID- 24922433
TI - Homodyne interferometry with quadrature fringe detection for absolute gravimeter.
AB - An absolute gravimeter is based on interferometric displacement measurement
during repeated free falls of a target mirror in a high vacuum. A suitable
homodyne quadrature laser interferometer is developed and reported. Standard
deviation of the mean absolute gravity value in a typical two-day measurement
session is less than 1*10-8 m/s2. Additionally, digital demodulation of the
interference signal using a 90 degrees phase-shift filter based on the Hilbert
transform is presented. A combination of optical and digital quadrature phase
shifts is shown to be helpful to improve accuracy in homodyne interferometers
with the accelerated target mirror.
PMID- 24922434
TI - Frame frequency prediction for Risley-prism-based imaging laser radar.
AB - A dual-wedge scanner has potential applications in laser imaging radar. To
realize fast scanning imaging without a blind region, the rotation rates of the
wedges have to be controlled to perform beam scanning along appropriate track
paths. The first-order paraxial approximation method is employed to investigate
the 2D scan patterns and path density for different angular frequency ratios of
the wedges rotating steadily in the same and opposite directions. The frame rate
of no-blind-region scanning imaging is estimated in terms of the imaging coverage
requirement. The internal relations between the rotation rates, the instantaneous
field of view (IFOV), and the imaging velocity are revealed. The results show
that the spiral scanning trace, resulting from co-rotating wedges, is dense in
the center and sparse at the edge of the scanning field. The reverse results can
be obtained for the rosette scanning trace, resulting from counter-rotating
wedges. The denser the scanning trace is, the longer the scan period is. The
faster the wedges rotate and the wider the IFOV is, the higher the frame rate is.
When the ratio of the width of IFOV to the angular radius of the scanning field
is 0.15, the frame rate of no-blind-region spiral scanning imaging can be up to
18 fps for wedge rotation rate of 12000 r/min, and that for rosette scanning
imaging can be up to 20 fps.
PMID- 24922435
TI - Large-area irradiance-mode spectral response measurements of solar cells by a
light-emitting, diode-based integrating sphere source.
AB - An irradiance-mode absolute differential spectral response (SR) measurement
system based on a light emitting diode (LED) array is described. The LEDs are
coupled to an integrating sphere whose output irradiance is uniform to better
than 2% over an area of 160 mm by 160 mm. SR measurements of solar cells when
subject to diffuse irradiation, as provided by the integrating sphere, are
compared with collimated irradiance SR measurements. Issues originating from the
differences in angular response of the reference versus the test cells are also
investigated. The SR curves of large-area cells with dimensions of up to 155 mm
are measured and then used to calculate the cell's short circuit current (I(sc)),
if illuminated by a defined solar spectrum. The resulting values of I(sc) agree
well with the values obtained from secondary measurements.
PMID- 24922436
TI - Development of an all-optical temperature insensitive nonpendulum-type tilt
sensor employing fiber Bragg gratings.
AB - A design strategy for the development of an all-optical, temperature insensitive,
nonpendulum-type tilt sensor employing fiber Bragg gratings (FBGs) is proposed
and experimentally demonstrated. Being nonpendulum-type, there is no possibility
of any inherent friction and the limiting effects at mechanical joints. The
sensor is observed to be capable of measuring magnitude, as well as the direction
of inclination from horizontal strategically more effectively and without any
inherent instability. This is reflected in a relatively better resolution (better
than 0.004 degrees ), a better accuracy (~+/-0.05 degrees ), and a lesser maximum
discrepancy (~+/-0.001 nm) during the forward as well as the reverse tilt.
Sensitivity of the proposed sensor, theoretically proportional to the half of the
mass employed in the sensor design, is observed to be 0.012 nm/ degrees with a
comparatively smaller mass. Importantly, sensor response is characterized by a
very high degree of reversibility and repeatability over the designed/observed
dynamic range.
PMID- 24922437
TI - Photonic crystal fiber based dual-wavelength Q-switched fiber laser using
graphene oxide as a saturable absorber.
AB - A Q-switched dual-wavelength fiber laser with narrow channel spacing is proposed
and demonstrated. The fiber laser is built around a 3 m long erbium doped fiber
as the gain medium and a 10 cm long photonic crystal fiber (PCF) as the element
used to generate the dual-wavelength output. The PCF has a solid core
approximately 4.37 MUm in diameter and is surrounded by microscopic air-holes
with a diameter of about 5.06 MUm each as well as a zero-dispersion wavelength of
about 980 nm. A graphene oxide based saturable absorber is used to generate the
desired pulsed output. At the maximum pump power of 72 mW the laser is capable of
generating pulses with a repetition rate and pulse-width of 31.0 kHz and 7.0 MUs,
respectively, as well as an average output power and pulse energy of 0.086 mW and
2.8 nJ, respectively. The proposed fiber laser has substantial potential for use
in applications that require longer duration pulsed outputs such as in range
finding and terahertz radiation generation.
PMID- 24922438
TI - Standards for ultrashort-laser-pulse-measurement techniques and their
consideration for self-referenced spectral interferometry.
AB - Issues important for new ultrashort-pulse-measurement techniques include the
generation of theoretical example traces for common pulses, validity ranges,
ambiguities, coherent artifacts, device calibration sensitivity, iterative
retrieval convergence, and feedback regarding measurement accuracy.
Unfortunately, in the past, such issues have gone unconsidered, yielding long
histories of unsatisfactory measurements. We review these issues here in the hope
that future proposers of new techniques will consider them without delay, and, as
an example, we address them for a relatively new technique: self-referenced
spectral interferometry.
PMID- 24922439
TI - Modeling of multiple-optical-axis pattern-integrated interference lithography
systems.
AB - The image quality and collimation in a multiple-optical-axis pattern-integrated
interference lithography system are evaluated for an elementary optical system
composed of single-element lenses. Image quality and collimation are individually
and jointly optimized for these lenses. Example images for a jointly optimized
system are simulated using a combination of ray tracing and Fourier analysis.
Even with these nonoptimized components, reasonable fidelity is shown to be
possible.
PMID- 24922440
TI - Composition optimization of scintillating rare-earth nanocrystals in oxide glass
ceramics for radiation spectroscopy.
AB - Glass-ceramic nanocomposites comprising GdBr3/CeBr3 loaded sodium-aluminosilicate
glasses in which scintillating crystallites are precipitated in situ from a host
glass matrix were studied. This materials system shows promise as an alternative
to single-crystal scintillators, with potential to be fabricated into a wide
variety of sizes, shapes, and compositions. Batch compositions containing 15-18
mol. % GdBr3 and 3-4 mol. % CeBr3 were prepared and analyzed for photoluminescent
light yield. Light yield peaked with rare-earth content of 15 mol. % GdBr3 and 4
mol. % CeBr3. Preliminary ceramization studies on this composition found that the
precipitated phase more closely matched a Gd2O3-CeO2 mixture rather than the
GdBr3(Ce) that was targeted.
PMID- 24922441
TI - Multifilter phase imaging with partially coherent light.
AB - A novel quantitative phase imaging method is shown to estimate phase accurately
over a wide range of length scales using Kohler illumination from an extended
incoherent source. The method is based on estimating the longitudinal intensity
derivative in the transport-of-intensity equation via convolution with multiple
Savitzky-Golay differentiation filters and generalizes methods previously
developed for coherent imaging to the practical scenario of partially coherent
imaging. The resulting noise and resolution performance are evaluated via
numerical simulation and demonstrated experimentally using a blazed transmission
grating as well as a single-mode fiber as test objects.
PMID- 24922442
TI - Infrared lidar observations of stratospheric aerosols.
AB - We observed the stratospheric aerosol layer at 34 degrees north latitude with a
photon-counting 1574 nm lidar on three occasions in 2011. During all of the
observations, we also operated a nearby 523.5 nm micropulse lidar and acquired
National Weather Service upper air data. We analyzed the lidar data to find
scattering ratio profiles and the integrated aerosol backscatter at both
wavelengths and then calculated the color ratio and wavelength exponent for lidar
backscattering from the stratospheric aerosols. The visible-light integrated
backscatter values of the layer were in the range 2.8-3.5*10-4 sr-1 and the
infrared integrated backscatter values ranged from 2.4 to 3.7*10-5 sr-1. The
wavelength exponent was determined to be 1.9+/-0.2.
PMID- 24922443
TI - Size- and support-dependent evolution of the oxidation state and structure by
oxidation of subnanometer cobalt clusters.
AB - Size-selected subnanometer cobalt clusters with 4, 7, and 27 cobalt atoms
supported on amorphous alumina and ultrananocrystalline diamond (UNCD) surfaces
were oxidized after exposure to ambient air. Grazing incidence X-ray absorption
near-edge spectroscopy (GIXANES) and near-edge X-ray absorption fine structure
(NEXAFS) were used to characterize the clusters revealed a strong dependency of
the oxidation state and structure of the clusters on the surface. A dominant
Co(2+) phase was identified in all samples. However, XANES analysis of cobalt
clusters on UNCD showed that ~10% fraction of a Co(0) phase was identified for
all three cluster sizes and about 30 and 12% fraction of a Co(3+) phase in 4, 7,
and 27 atom clusters, respectively. In the alumina-supported clusters, the
dominating Co(2+) component was attributed to a cobalt aluminate, indicative of a
very strong binding to the support. NEXAFS showed that in addition to strong
binding of the clusters to alumina, their structure to a great extent follows the
tetrahedral morphology of the support. All supported clusters were found to be
resistant to agglomeration when exposed to reactive gases at elevated
temperatures and atmospheric pressure.
PMID- 24922444
TI - Effect of disease stage on progression of hydroxychloroquine retinopathy.
AB - IMPORTANCE: Hydroxychloroquine sulfate retinopathy can progress after the drug is
stopped. It is not clear how this relates to the stage of retinopathy or whether
early screening with modern imaging technology can prevent progression and visual
loss. OBJECTIVE: To determine the relationship between progression of retinopathy
and the severity of disease using objective data from optical coherence
tomography and assess the value of early screening for the toxic effects of
hydroxychloroquine. DESIGN, SETTING, AND PARTICIPANTS: Clinical findings in
patients with hydroxychloroquine retinopathy were monitored with repeated
anatomical and functional examinations for 13 to 40 months after the drug was
stopped in a referral practice in a university medical center. Eleven patients
participated, with the severity of toxic effects categorized as early (patchy
parafoveal damage shown on field or objective testing), moderate (a 50%-100%
parafoveal ring of optical coherence tomography thinning but intact retinal
pigment epithelium), and severe (visible bull's-eye damage). MAIN OUTCOMES AND
MEASURES: Visual acuity, white 10-2 visual field pattern density plots, fundus
autofluorescence, spectral-density optical coherence tomography cross sections,
thickness (from cube diagrams), and ellipsoid zone length. RESULTS: Visual acuity
and visual fields showed no consistent change. Fundus autofluorescence showed
little or no change except in severe cases in which the bull's-eye damage
expanded progressively. Optical coherence tomography cross sections showed little
visible change in early and moderate cases but progressive foveal thinning
(approximately 7 MUm/y) and loss of ellipsoid zone (in the range of 100 MUm/y) in
severe cases, which was confirmed by quantitative measurements. The measurements
also showed some foveal thinning (approximately 4 MUm/y) and deepening of
parafoveal loss in moderate cases, but the breadth of the ellipsoid zone remained
constant in both early and moderate cases. A few cases showed a suggestion of
ellipsoid zone improvement. CONCLUSIONS AND RELEVANCE: Patients with
hydroxychloroquine retinopathy involving the retinal pigment epithelium
demonstrated progressive damage on optical coherence tomography for at least 3
years after the drug was discontinued, including loss of foveal thickness and
cone structure. Cases recognized before retinal pigment epithelium damage
retained foveal architecture with little retinal thinning. Early recognition of
hydroxychloroquine toxic effects before any fundus changes are visible, using
visual fields and optical coherence tomography (along with fundus
autofluorescence and multifocal electroretinography as indicated), will greatly
minimize late progression and the risk of visual loss.
PMID- 24922445
TI - [What is your diagnosis? A "fat arm". Onchocerciasis].
AB - We report a case of edema of the right forearm with pruriginous papules and
eosinophilia in the blood. The patient had lived in a forested area of Cameroon.
The clinical, laboratory, and geographical findings led to a diagnosis of
onchocerciasis, despite the absence of microfilaments on skin biopsy samples. The
patient was successfully treated with ivermectin and doxycycline.
PMID- 24922446
TI - [Evidence in rehabilitation on pneumological diseases: COPD and asthma
bronchiale].
PMID- 24922447
TI - [Rehabilitation after acute myocardial infarction].
PMID- 24922448
TI - [Letter from a reader].
PMID- 24922449
TI - [Reply].
PMID- 24922450
TI - [The implementation of the ICF into REHADAT's information system].
PMID- 24922451
TI - Use of metallomics and metabolomics to assess metal pollution in Donana National
Park (SW Spain).
AB - Monitoring organism exposure to heavy metals has acquired increased importance in
the last decades. The mouse Mus spretus has been used to assess the biological
response to contaminants in the relevant ecological area of Donana National Park
(DNP) and surrounding areas (SW Spain), where many migrating birds land for
breeding and feeding every year. A metallomics approach, based on the
characterization of metal biomolecules using size exclusion chromatography
coupled with inductively coupled plasma-mass spectrometry (SEC-ICP-MS) and a
metabolomics approach based on direct infusion to a mass spectrometer (DI-ESI
QTOF-MS) followed by a partial linear square-discriminant analysis (PLS-DA), were
used to compare the biological responses of M. spretus living in three areas of
DNP (the reference) and surrounding areas (El Partido and El Matochal). The
activities of key antioxidant enzymes, such as Cu/Zn-SOD, Mn-SOD, CAT, GR, and
guaiacol peroxidase, were also determined in connection with environmental
contamination issues. The results show differences caused by the presence of
metals in the ecosystem that affected to the levels of metals and
metalloproteins, such as MT, Cu/Zn-SOD, or Mn-CA, the breakdown of membrane
phospholipids, perturbations in metabolic pathways, related to energy metabolism,
and oxidative stress.
PMID- 24922452
TI - Preliminary evaluation of a personal healthcare system prototype for cognitive
eRehabilitation in a living assistance domain.
AB - The integration of rehabilitation systems in an ambient assisted living
environment can provide a powerful and versatile tool for long-term stroke
rehabilitation goals. This paper introduces a novel concept of a personalized
cognitive rehabilitation system in a naturalistic setting. The proposed platform
was developed within the CogWatch project, with the intent of fostering
independence in activities of daily living in patients with apraxia and action
disorganization syndrome. Technical usability was evaluated in a series of pilot
experiments, which illustrate how this approach may help to retrain patients in
activities of daily living. The first system prototype has been tested with 36
participants divided into three groups, providing an exploratory evaluation of
the usability of this solution and its acceptability. The technical solutions
used within the CogWatch project are targeted to meet both the end users' needs
from the interaction and usability point of views and the clinical requirements
associated with the use of such systems. The challenges behind the development of
ambient assisted living systems for cognitive rehabilitation are discussed.
PMID- 24922453
TI - Normalized GNSS interference pattern technique for altimetry.
AB - It is well known that reflected signals from Global Navigation Satellite Systems
(GNSS) can be used for altimetry applications, such as monitoring of water levels
and determining snow height. Due to the interference of these reflected signals
and the motion of satellites in space, the signal-to-noise ratio (SNR) measured
at the receiver slowly oscillates. The oscillation rate is proportional to the
change in the propagation path difference between the direct and reflected
signals, which depends on the satellite elevation angle. Assuming a known
receiver position, it is possible to compute the distance between the antenna and
the surface of reflection from the measured oscillation rate. This technique is
usually known as the interference pattern technique (IPT). In this paper, we
propose to normalize the measurements in order to derive an alternative model of
the SNR variations. From this model, we define a maximum likelihood estimate of
the antenna height that reduces the estimation time to a fraction of one period
of the SNR variation. We also derive the Cramer-Rao lower bound for the IPT and
use it to assess the sensitivity of different parameters to the estimation of the
antenna height. Finally, we propose an experimental framework, and we use it to
assess our approach with real GPS L1 C/A signals.
PMID- 24922454
TI - Augmented GNSS differential corrections minimum mean square error estimation
sensitivity to spatial correlation modeling errors.
AB - Railway signaling is a safety system that has evolved over the last couple of
centuries towards autonomous functionality. Recently, great effort is being
devoted in this field, towards the use and exploitation of Global Navigation
Satellite System (GNSS) signals and GNSS augmentation systems in view of lower
railway track equipments and maintenance costs, that is a priority to sustain the
investments for modernizing the local and regional lines most of which lack
automatic train protection systems and are still manually operated. The objective
of this paper is to assess the sensitivity of the Linear Minimum Mean Square
Error (LMMSE) algorithm to modeling errors in the spatial correlation function
that characterizes true pseudorange Differential Corrections (DCs). This study is
inspired by the railway application; however, it applies to all transportation
systems, including the road sector, that need to be complemented by an
augmentation system in order to deliver accurate and reliable positioning with
integrity specifications. A vector of noisy pseudorange DC measurements are
simulated, assuming a Gauss-Markov model with a decay rate parameter inversely
proportional to the correlation distance that exists between two points of a
certain environment. The LMMSE algorithm is applied on this vector to estimate
the true DC, and the estimation error is compared to the noise added during
simulation. The results show that for large enough correlation distance to
Reference Stations (RSs) distance separation ratio values, the LMMSE brings
considerable advantage in terms of estimation error accuracy and precision.
Conversely, the LMMSE algorithm may deteriorate the quality of the DC
measurements whenever the ratio falls below a certain threshold.
PMID- 24922455
TI - Innovative pressure sensor platform and its integration with an end-user
application.
AB - This paper describes the fully integration of an innovative and low-cost pressure
sensor sheet based on a bendable and printed electronics technology. All
integration stages are covered, from most low-level functional system, like
physical analog sensor data acquisition, followed by embedded data processing, to
end user interactive visual application. Data acquisition embedded software and
hardware was developed using a Rapid Control Prototyping (RCP). Finally, after
first electronic prototype successful testing, a Taylor-made electronics was
developed, reducing electronics volume to 3.5 cm * 6 cm * 2 cm with a maximum
power consumption of 765 mW for both electronics and pressure sensor sheet.
PMID- 24922456
TI - The design, fabrication and characterization of a transparent atom chip.
AB - This study describes the design and fabrication of transparent atom chips for
atomic physics experiments. A fabrication process was developed to define the
wire patterns on a transparent glass substrate to create the desired magnetic
field for atom trapping experiments. An area on the chip was reserved for the
optical access, so that the laser light can penetrate directly through the glass
substrate for the laser cooling process. Furthermore, since the thermal
conductivity of the glass substrate is poorer than other common materials for
atom chip substrate, for example silicon, silicon carbide, aluminum nitride.
Thus, heat dissipation copper blocks are designed on the front and back of the
glass substrate to improve the electrical current conduction. The testing results
showed that a maximum burnout current of 2 A was measured from the wire pattern
(with a width of 100 MUm and a height of 20 MUm) without any heat dissipation
design and it can increase to 2.5 A with a heat dissipation design on the front
side of the atom chips. Therefore, heat dissipation copper blocks were designed
and fabricated on the back of the glass substrate just under the wire patterns
which increases the maximum burnout current to 4.5 A. Moreover, a maximum burnout
current of 6 A was achieved when the entire backside glass substrate was recessed
and a thicker copper block was electroplated, which meets most requirements of
atomic physics experiments.
PMID- 24922457
TI - A comprehensive study on technologies of tyre monitoring systems and possible
energy solutions.
AB - This article presents an overview on the state of the art of Tyre Pressure
Monitoring System related technologies. This includes examining the latest
pressure sensing methods and comparing different types of pressure transducers,
particularly their power consumption and measuring range. Having the aim of this
research to investigate possible means to obtain a tyre condition monitoring
system (TCMS) powered by energy harvesting, various approaches of energy
harvesting techniques were evaluated to determine which approach is the most
applicable for generating energy within the pneumatic tyre domain and under
rolling tyre dynamic conditions. This article starts with an historical review of
pneumatic tyre development and demonstrates the reasons and explains the need for
using a tyre condition monitoring system. Following this, different tyre pressure
measurement approaches are compared in order to determine what type of pressure
sensor is best to consider in the research proposal plan. Then possible energy
harvesting means inside land vehicle pneumatic tyres are reviewed. Following
this, state of the art battery-less tyre pressure monitoring systems developed by
individual researchers or by world leading tyre manufacturers are presented.
Finally conclusions are drawn based on the reviewed documents cited in this
article and a research proposal plan is presented.
PMID- 24922458
TI - Left ventricular strain and its pattern estimated from cine CMR and validation
with DENSE.
AB - Measurement of local strain provides insight into the biomechanical significance
of viable myocardium. We attempted to estimate myocardial strain from cine
cardiovascular magnetic resonance (CMR) images by using a b-spline deformable
image registration method. Three healthy volunteers and 41 patients with either
recent or chronic myocardial infarction (MI) were studied at 1.5 Tesla with both
cine and DENSE CMR. Regional circumferential and radial left ventricular strains
were estimated from cine and DENSE acquisitions. In all healthy volunteers, there
was no difference for peak circumferential strain (- 0.18 +/- 0.04 versus - 0.18
+/- 0.03, p = 0.76) between cine and DENSE CMR, however peak radial strain was
overestimated from cine (0.84 +/- 0.37 versus 0.49 +/- 0.2, p < 0.01). In the
patient study, the peak strain patterns predicted by cine were similar to the
patterns from DENSE, including the strain evolution related to recovery time and
strain patterns related to MI scar extent. Furthermore, cine-derived strain
disclosed different strain patterns in MI and non-MI regions, and regions with
transmural and non-transmural MI as DENSE. Although there were large variations
with radial strain measurements from cine CMR images, useful circumferential
strain information can be obtained from routine clinical CMR imaging. Cine strain
analysis has potential to improve the diagnostic yield from routine CMR imaging
in clinical practice.
PMID- 24922459
TI - Survival is affected by mutation type and molecular mechanism in vascular Ehlers
Danlos syndrome (EDS type IV).
AB - PURPOSE: We sought to characterize the natural history of vascular Ehlers-Danlos
syndrome in individuals with heterozygous COL3A1 mutations. METHODS: We reviewed
clinical records for details of vascular, bowel, and organ complications in 1,231
individuals (630 index cases and 601 relatives). RESULTS: Missense and splice
site mutations accounted for more than 90% of the 572 alterations that we had
identified in COL3A1. Median survival was 51 years but was influenced by gender
(lower in men) and by the type of mutation. CONCLUSION: Although vascular Ehlers
Danlos syndrome appears to be genetically homogeneous, allelic heterogeneity is
marked, and the natural history varies with gender and type of mutation in
COL3A1. These findings indicate that when counseling families, confirmation of
the presence of a COL3A1 mutation and its nature can help evaluate the risks of
complications. These data are also important ingredients in both the selection
and allocation of individuals to appropriate arms in clinical trials to assess
the effects of interventions.
PMID- 24922460
TI - Differences in BRCA counseling and testing practices based on ordering provider
type.
AB - PURPOSE: The purpose of this study was to assess potential differences in genetic
counseling services delivered by board-certified genetic health-care providers
versus non-genetic health-care providers. We evaluated (i) patient recall and
content of pretest genetic counseling for hereditary breast and ovarian cancer
and (ii) whether full BRCA1 and 2 gene sequencing was performed when less
expensive single-site or Ashkenazi Jewish founder mutation testing may have been
sufficient. METHODS: Participants completed a questionnaire and provided BRCA
test reports that included testing provider and type of test. Chi-square tests
and logistic regression were used for analysis. RESULTS: Of 473 participants,
>90% were white, female, and BRCA mutation carriers. Of the 276 (58%) with
genetic health-care provider involvement, 97% recalled a pretest discussion as
compared with 59% of those without genetic health-care provider involvement (P <
0.001). Among the subgroup who recalled a pretest discussion (n = 385), those
with genetic health-care provider involvement indicated higher adherence to eight
recognized genetic counseling elements, four of which were statistically
significant. Furthermore, involvement of a genetic health-care provider halved
the likelihood that comprehensive BRCA testing was ordered among the 266 for whom
single-site or multisite-3 testing may have been sufficient (P = 0.02).
CONCLUSION: Our results suggest that genetic health-care provider involvement is
associated with adherence to nationally recommended genetic counseling practices
and could potentially reduce costs of BRCA genetic testing.
PMID- 24922461
TI - Pregnancy-related deaths and complications in women with vascular Ehlers-Danlos
syndrome.
AB - PURPOSE: The purpose of this study was to characterize the nature and magnitude
of pregnancy risks in women with vascular Ehlers-Danlos syndrome. METHODS:
Pregnancy-related death rate was determined by a review of pedigrees of families
with vascular Ehlers-Danlos syndrome. Maternal morbidity was characterized
through semistructured interviews with women with vascular Ehlers-Danlos syndrome
or their next of kin. RESULTS: Pregnancy-related deaths occurred in 30 of 565
deliveries (5.3%). There was no difference in Kaplan-Meier survival curves
between parous versus nulliparous women with vascular Ehlers-Danlos syndrome.
Interviews with 39 women indicated that 46% of deliveries were uncomplicated. The
most common pregnancy-related complications were third-/fourth-degree lacerations
(20%) and preterm delivery (19%). Life-threatening complications occurred in
14.5% of deliveries and included arterial dissection/rupture (9.2%), uterine
rupture (2.6%), and surgical complications (2.6%). There were 5 maternal deaths
in 76 deliveries (6.5%). CONCLUSION: The risk of pregnancy-related complications
is increased in women with vascular Ehlers-Danlos syndrome compared with the
general population; however, survival data indicate that pregnancy does not
appear to affect overall mortality compared with nulliparous women with vascular
Ehlers-Danlos syndrome. The data were insufficient to determine whether mode or
timing of delivery influenced risk of complications. Women with vascular Ehlers
Danlos syndrome should be engaged in a shared decision-making process when
contemplating pregnancy and pregnancy management.
PMID- 24922462
TI - Effectiveness of the buccal mucosa route for methadone administration at the end
of life.
AB - BACKGROUND: Methadone is a useful analgesic for neuropathic and nociceptive pain.
However, there is little information about the use of methadone in the final days
of life when a patient cannot swallow, discouraging its use by many palliative
care physicians. OBJECTIVE: The purpose of this study was to investigate the
effectiveness of switching patients on oral methadone at the end of life to
methadone by the buccal mucosa route. METHODS: This is a case series comprising
36 patients on the Toronto Grace Palliative Care Unit (PCU) who took methadone
between 2010 and 2012. Their health records were retrospectively reviewed. When
patients could no longer swallow at the end of life, oral methadone was replaced
with methadone solution in a concentration of 10 mg/mL, placed in the space
between the buccal mucosa and lower molars. RESULTS: Twenty-five patients met
inclusion criteria for the series. Twenty-four (96%) of the patients tolerated
methadone by the buccal route and were able to continue receiving it that way
until they died. Methadone was discontinued by the buccal route in one case (4%)
because of intolerance to having medication placed in the oral cavity. Of the
patients who remained on buccal methadone, there was a need to increase the dose
in two patients with the remaining patients taking the same dose of buccal
methadone until they died. CONCLUSION: The cases reviewed suggest that methadone
is well tolerated and effective when switched to the buccal route in patients at
the end of life on a palliative care unit.
PMID- 24922463
TI - A low cytotoxic cationic metal-organic framework carrier for controllable drug
release.
AB - A positively charged porous drug carrier MOF-74-Fe(III) (1, MOF = metal-organic
framework), which could not be directly synthesized using ferric salts, was
prepared through the oxidation of the neutral crystal MOF-74-Fe(II). This
cationic host material exhibits very low cytotoxicity upon PC12 cells by 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyl tetrazolium (MTT) assay and high drug loading
capacity of ibuprofen anions (~15.9 wt %) through ion exchange and salt
penetration procedures. Controlled by anion exchange, two mechanisms were
involved in the drug release process with different drug delivery rates due to
the presence of coordinated or free ibuprofen anions, making the administration
of drug release more flexible.
PMID- 24922464
TI - Combination of optical and electrical loss analyses for a Si-phthalocyanine dye
sensitized solar cell.
AB - In order to promote the development of solar cells with varying types of
sensitizers including dyes and quantum dots, it is crucial to establish a general
experimental analysis that accounts for all important optical and electrical
losses resulting from interfacial phenomena. All of these varying types of solar
cells share common features where a mesoporous scaffold is used as a sensitizer
loading support as well as an electron transport material, which may result in
light scattering. The loss of efficiency at interfaces of the sensitizer, the
mesoporous TiO2 nanoparticle films, the FTO conductive layer, and the supportive
glass substrate should be considered in addition to the photoinduced electron
transport properties within a cell. On the basis of optical parameters, one can
obtain the internal quantum efficiency (IQE) of a solar cell, an important
parameter that cannot be directly measured but must be derived from several key
experiments. By integrating an optical loss model with an electrical loss model,
many solar cell parameters could be characterized from electro-optical
observables including reflectance, transmittance, and absorptance of the dye
sensitizer, the electron injection efficiency, and the charge collection
efficiency. In this work, an integrated electro-optical approach has been applied
to SiPc (Pc 61) dye-sensitized solar cells for evaluating the parameters
affecting the overall power conversion efficiency. The absorptance results of the
Pc 61 dye-sensitized solar cell provide evidence that the adsorbed Pc 61 forms
noninjection layers on TiO2 surfaces when the dye immersion time exceeds 120 min,
resulting in shading light from the active layer rather than an increase in
photoelectric current efficiency.
PMID- 24922465
TI - [Not Available].
PMID- 24922466
TI - Usage of benzodiazepines: A review.
AB - Abstract Purpose. The use of benzodiazepines remains a source of controversy.
Some prescribers believe that they are beneficial and espouse their use; others
regard their risk:benefit ratio as too adverse for any but occasional use. This
review considers these viewpoints based on the appropriate literature. Survey.
The recent English-language literature relating to this topic was surveyed. The
publications proved too heterogeneous for a formal meta-analysis, so a
descriptive review is provided. Overview. Surveys of benzodiazepine use provide
data mainly from the UK, Europe and North America. Prescribing patterns varied
widely but long-term usage is common and sometimes the norm. Conclusions. Long
term prescription of benzodiazepines still takes place despite general warnings
from the medical and other professions and drug regulatory bodies that long-term
use is unjustified both from the lack of a systemic database establishing such
efficacy and a large literature documenting the risks of long-term usage, such as
dependence. The young and the old are particularly at risk. Continued monitoring
is essential, but the regulatory authorities may need to take a more active role
in curbing such undesirable practice.
PMID- 24922467
TI - Suicidal and violent behaviour in mood disorders: A major public health problem.
A review for the clinician.
AB - Abstract Suicide attempt, and particularly completed suicide are relatively rare
events in the community, but they are very common among psychiatric patients.
Since over 90% of suicide victims suffer from (mostly untreated) current major
mental disorders (particularly from major depressive episode), psychiatric risk
factors are the clinically most useful predictors, especially if psychosocial and
demographic risk factors are also pesent. Violent behaviours associated with mood
disorders constitute a related yet independently also important aspect of this
illness, and assessment and management of violence is a key component of everyday
psychiatric practice. While most people with current mental disorder are not
violent, violence is more common among seriously mentally ill individuals than in
healthy persons. This is particularly true for untreated schizophrenics and
untreated patients with major mood disorders, first of all in the cases of
comorbid substance use disorders, mainly among those with current mania or
postpartum depression. Although specific clinical studies are lacking, it is very
lilely that successful acute and long-tem treatment of mood disorders can reduce
the risk of violent behaviour in this patient population.
PMID- 24922468
TI - Time patterns of inpatient suicides.
AB - Abstract Objective. Time patterns of suicides have been investigated in many
population-based studies, but there are no studies exploring time patterns of
suicides during psychiatric inpatient stay up to now. Methods. All inpatient
suicides (1998-2007) of a psychiatric university hospital were identified by the
German psychiatric basic documentation system (DGPPNBADO). Descriptive and
univariate analyses were performed to analyse time patterns of inpatient
suicides. Results. No significant differences could be found for the occurrence
of the 37 inpatient suicides due to season (chi(2)=1.595, P=0.696), month
(chi(2)=4.838, P=0.958) or weekday (chi(2)=5.189, P=0.550) of suicide.
Furthermore, we could not find an unequal distribution of suicides due to month
of birth (chi(2)=12.622, P=0.337). 78.4% of inpatient suicides occurred within 50
days after admission. Fourteen inpatients committed suicide around public
holidays, two around their birthday. Conclusions. Apart from a notably enhanced
risk of suicide in the period after admission, we could not find any time
patterns to be of high relevance to inpatient suicides. There may be more
relevant risk factors than cyclic or temporal variations.
PMID- 24922469
TI - Barriers of antenatal folate-supplementation: The role of depression and trait
anxiety on periconceptional folate-intake.
AB - Abstract Objective. The aim of the research was to reveal the effect of antenatal
depressive symptoms and trait-anxiety on folate supplementation. Higher levels of
depression, and trait-anxiety were hypothesized to be associated with
insufficient folate intake among pregnant women in early pregnancy. Methods.
Level of depressive symptoms, trait-anxiety, self-esteem, social capital, self
related health, and demographic factors (age, number of siblings, marital,
educational, employment, and socioeconomic-status) were established among 185
Hungarian women in the first trimester of their pregnancies. Depressive symptoms
and trait-anxiety were evaluated with the Short Hungarian Version of the Beck
Depression Inventory and the Hungarian version of the Spielberger State-Trait
Anxiety Inventory form Y (STAI-Y). Results. The higher levels of both trait
anxiety and self-esteem decreased the folate-intake significantly, OR=0.925
(95%CI=0.863-0.991; P=0.026) and OR=0.897 (95%CI=0.808-0.996; P=0.041) while
depressive symptoms did not have an effect on it. The lower level of education
(less than 8 elementary years) had similar effects (OR=0.228 [95%CI=0.064-0.817],
P=0,023). The lack of trust had a significant role too (P=0.043): those, who were
distrustful with other people, also had a lower chance of supplementation
(OR=0.620; 95%CI=0.390-0.986). Conclusions. The way to increase the openness
towards folate-supplementation leads through the treatment of psychological
disturbances and the restoration of social trust.
PMID- 24922470
TI - Incidence and risk for neutropenia/agranulocytosis among clozapine users: A
retrospective cohort study.
AB - Abstract Objective. To estimate the incidence and the risk of neutropenia or
agranulocytosis (the outcome) associated with clozapine use (the exposure), and
to identify risk factors. Methods. All data were derived from the computerized
hospital database. Adult psychiatric patients were identified, and 95 incident
clozapine users and 884 non-clozapine users were included. Cox proportional
hazards regression was used to estimate the hazards ratio (HR) of developing the
outcome after clozapine use adjusted for confounders. The interaction between
clozapine and valproic acid was assessed a posteriori. Results. Throughout the 24
month follow-up, the incidence of neutropenia was 6.3% in the clozapine group and
5.8% in the non-clozapine group. One agranulocytosis was found in the non
clozapine group. The HR (95% CI) for neutropenia were: clozapine 1.33 (0.54-3.25)
and age . 45 years 2.99 (1.63-5.48). Lithium, as an independent protective
factor, reduced the risk for neutropenia by 85% compared with patients who did
not receive lithium, HR 0.15 (95% CI 0.02-1.09). Valproic acid might potentiate
the clozapine-associated neutropenia (HR 5.10, 95% CI 0.70-37.12). Conclusion.
Clozapine might slightly increase the risk of neutropenia in psychiatric
patients. Concerning clozapine-associated neutropenia, older patients are at
increased risk and use of valproic acid concurrently with clozapine should be
avoided.
PMID- 24922471
TI - A prospective observational study of attention-deficit/hyperactivity disorder in
Central and Eastern Europe and Turkey: Symptom severity and treatment options in
a paediatric population.
AB - Abstract Objective. This study investigates the relationship between treatment
regimen, symptom severity, comorbidities and health outcomes of paediatric
patients with attention-deficit/hyperactivity disorder (ADHD) in Central and
Eastern Europe (CEE). Methods. Males and females aged 6-17 years with ADHD
symptoms participated in this 12-month, prospective, observational, non
randomised study. Symptoms and comorbidities were assessed using the Child and
Adolescent Symptom Inventory-4 Parent Checklists (CSI-4; ASI-4, categories L/O),
and the Clinical Global Impressions-ADHD-Severity scale (CGI-ADHD-S). Baseline
data are presented. Results. The study included 566 patients from Czech Republic,
Hungary, Romania, Slovakia and Turkey. Psychiatrists made all diagnoses using The
American Psychiatric Association Diagnostic and Statistical Manual of Mental
Disorders, Fourth Edition, Text Revision (DSM-IV), World Health Organization
International Statistical Classification of Diseases and Related Health Problems,
10th Revision (ICD-10), and "other" criteria (73, 27 and 0.4%, respectively).
Patients were grouped into two cohorts based on whether they were prescribed
psycho- and/or pharmacotherapy (n=443) or not (n=123). Patients receiving
prescribed treatment were older and demonstrated higher symptom severity scores
than those receiving no or "other" treatment. Most patients were prescribed
conventional treatment for ADHD at baseline. Conclusions. Continued assessment of
this population may aid the treatment and outcomes of ADHD in CEE.
PMID- 24922472
TI - Borderline personality and emotional reactivity to theoretical media events: A
pilot study.
AB - Abstract Objective. The purpose of this study was to determine if patients with
borderline personality emotionally react any differently than controls to
theoretical media events of different valences. Methods. In this cross-sectional
sample of convenience, we examined among 70 primary care patients the
relationship between borderline personality disorder, according to two diagnostic
measures (the borderline personality scale of the Personality Diagnostic
Questionaire-4 and the Self-Harm Inventory), and emotional reactions to three
types of theoretical media events - positive, negative, and neutral events.
Results. Participants with versus without borderline personality evidenced no
emotional differences to the various media events according to the Personality
Diagnostic Questionnaire-4. However, according to the Self-Harm Inventory,
participants with borderline personality symptomatology were more likely to rate
neutral events with greater emotional intensity, but not positive or negative
events. Conclusions. These findings suggest that patients with borderline
personality may tend to respond more dramatically to ambiguous stimuli, such as
neutral environmental events. We discuss the potential implications of these
findings.
PMID- 24922473
TI - Prophylactic continuous administration of landiolol, a novel beta1 blocker,
blunts hyperdynamic responses during electroconvulsive therapy without altering
seizure activity.
AB - Abstract Objective. In previous reports, it has been shown that many drugs may
act against hyperdynamic responses during electro-convulsive therapy (ECT). The
aim of this study was to conduct a randomized, placebo-controlled crossover study
to investigate the hemodynamic responses and seizure duration during ECT by
continuous administration of two doses of landiolol, a novel short-acting beta1
adrenergic blocker, including standard and high-dose. Methods. Thirty-two
patients undergoing ECT participated in this study. The control treatment was
infusion of saline alone. The standard-dose of landiolol, 0.125 mg/kg per min,
was infused over a 1-min period as a standard treatment. The high-dose landiolol
treatment was 0.25 mg/kg per min, also infused over a 1-min period. After
landiolol treatments, patients received landiolol at 0.04 mg/kg per min. Propofol
and succinylcholine was then administered, and electrical stimulation was
applied. Results. Both peak heart rate and mean arterial pressure after ECT was
lowest with high-dose landiolol treatment. Motor and EEG seizure duration did not
differ among the treatments. Conclusion. The results of this study show that high
dose landiolol treatment blunts hyperdynamic responses during ECT. Furthermore,
landiolol does not reduce the seizure duration.
PMID- 24922474
TI - Outcomes of the Chinese Basic Conversation Skill Module (CBCSM) for people with
schizophrenia having mild to moderate symptoms and dysfunction in Hong Kong.
AB - Abstract This study tested the effectiveness of a culturally adapted Chinese
Basic Conversation Skill Module (CBCSM) for Hong Kong Chinese with schizophrenia.
A total of 106 participants with schizophrenia who had mild to moderate levels of
symptoms and dysfunction were recruited between January 2004 and September 2005.
After random allocation, 35 participants were assigned to the CBCSM group with
skill generalization training (SGT), 35 participants were assigned to the CBCSM
group without SGT, and 36 participants were assigned to the placebo group. All
participants were assessed by a blind rater at baseline, 5 weeks after
commencement of skills training, and 3 and 6 months after completion of skills
training on conversation skill mastery, subjective personal well being, and self
esteem. After 15 sessions of intervention, the CBCSM group with SGT and the CBCSM
group outperformed the placebo group in social skills. At the 6-month follow-up,
social skill of CBCSM group with SGT was better than the CBCSM group and the
placebo group. CBCSM with SGT was found to be effective in improving conversation
skill of people with schizophrenia in Hong Kong. This combined strategy was also
shown to be better than mere application of CBCSM in helping conversation skill
mastery.
PMID- 24922475
TI - Validation of the Symptom Checklist SCL-27 in psychiatric patients: Psychometric
testing of a multidimensional short form.
AB - Abstract SCL-90-R, a multidimensional assessment instrument for mental health
status, is among the most widely used instruments for the evaluation of therapies
and quality management in mental institutions. With 90 items it is rather long
and has a high redundancy as can be seen in its highly correlated scales. Thus
many short versions have been constructed, among them the SCL-27, which was
devised as a screening tool. It has 27 items, retains six of the nine SCL-90
dimensions and has shown a good factor structure. So far it has only been
validated in non-psychiatric samples. The aim of this study is to determine
validity and other psychometric qualities of the SCL-27, compared to the SCL-90-R
within a group of 449 psychiatric patients. The study found a large concordance
between the symptom scales of the SCL-27 and the corresponding scales of the SCL
90-R. The SCL-27 further showed good reliability and a sensitivity to change
comparable to that of the 90-item version. A confirmatory factor analysis yields
an acceptable factor validity which is better than that of the long version. This
study concludes that the SCL-27 is suitable as a short assessment instrument for
psychological health in psychiatric patients.
PMID- 24922476
TI - The utility of the Mood Disorders Questionnaire as a screening tool in a
methadone maintenance treatment program.
AB - Abstract Objective. Comorbid mental illness amongst methadone maintenance therapy
clients may be common and screening may be warranted. The Mood Disorders
Questionnaire (MDQ) is a screening tool for bipolar disorder that has been
validated in other treatment settings. Its utility for patients with substance
use disorders is assessed in this study. Methods. Clients of a methadone
maintenance program were invited to complete the MDQ when they attended a public
Drug and Alcohol Service for their regular scheduled appointments. Information
about their history of substance use was also collected. Results. Eighty clients
(43 females, 37 males) aged 35 +/- 8.0 years (mean +/- SD) participated in the
study. Seventy-four clients completed the MDQ of which 36 (48.6%) obtained a
positive screen. A check of client files suggested that only three of the 74
participants had a current working diagnosis of bipolar disorder. These three
participants had screened positive on the MDQ. Conclusions. There was a high
prevalence of manic symptoms reported by participants, suggesting that screening
for bipolar disorder in this population may be warranted. However, there is a
risk of false positives with the MDQ, as it does not clearly differentiate
between symptoms of mania and drug intoxication.
PMID- 24922477
TI - No association between genetic markers in BDNF gene and lithium prophylaxis in a
Greek sample.
AB - Abstract Lithium efficacy is, at least partially, under genetic control. We
investigated the association between markers in BDNF and lithium prophylactic
efficacy. A set of 10 SNPs within BDNF were genotyped in a sample of 83 bipolar
patients. Response to lithium was assessed by presence or absence of any illness
phases during a period of 3 years of longitudinal observation. No significant
association was detected between the genetic variants tested in BDNF and lithium
prophylaxis. Despite the negative association, limitations including small sample
size suggest that larger scale genetic associations studies of these genes and
lithium prophylaxis are nonetheless indicated.
PMID- 24922478
TI - Kissing or "osculation" in frontotemporal dementia.
AB - The authors investigated the neuropsychiatry of kissing in frontotemporal
dementia. Among 15 patients, two had compulsive social kissing, bitemporal
involvement, and Kluver-Bucy symptoms, and four pursued kissing with sexually
disinhibited behavior. Future research should clarify the neuropsychiatric
significance of kissing behavior.
PMID- 24922479
TI - A chronic kidney disease risk score to determine tenofovir safety in a
prospective cohort of HIV-positive male veterans.
AB - OBJECTIVE: Tenofovir disoproxil fumarate is a widely used antiretroviral for HIV
infection that has been associated with an increased risk of chronic kidney
disease (CKD). Our objective was to derive a scoring system to predict 5-year
risk of developing CKD in HIV-infected individuals and to estimate difference in
risk associated with tenofovir use. DESIGN: We evaluated time to first occurrence
of CKD (estimated glomerular filtration rate <60 ml/min per 1.73 m(2)) in 21 590
HIV-infected men from the Veterans Health Administration initiating
antiretroviral therapy from 1997 to 2010. METHODS: We developed a point-based
score using multivariable Cox regression models. Median follow-up was 6.3 years,
during which 2059 CKD events occurred. RESULTS: Dominant contributors to the CKD
risk score were traditional kidney risk factors (age, glucose, SBP, hypertension,
triglycerides, proteinuria); CD4(+) cell count was also a component, but not HIV
RNA. The overall 5-year event rate was 7.7% in tenofovir users and 3.8% in
nonusers [overall adjusted hazard ratio 2.0, 95% confidence interval (CI) 1.8
2.2]. There was a progressive increase in 5-year CKD risk, ranging from less than
1% (zero points) to 16% (>=9 points) in nonusers of tenofovir, and from 1.4 to
21.4% among tenofovir users. The estimated number-needed-to-harm (NNH) for
tenofovir use ranged from 108 for those with zero points to 20 for persons with
at least nine points. Among tenofovir users with at least 1 year exposure, NNH
ranged from 68 (zero points) to five (>=9 points). CONCLUSION: The CKD risk score
can be used to predict an HIV-infected individual's absolute risk of developing
CKD over 5 years and may facilitate clinical decision-making around tenofovir
use.
PMID- 24922481
TI - Syphilis: an unusual cause of simultaneous aortic valve and ostial coronary
artery disease.
PMID- 24922480
TI - Rift valley Fever virus encephalitis is associated with an ineffective systemic
immune response and activated T cell infiltration into the CNS in an
immunocompetent mouse model.
AB - BACKGROUND: Rift Valley fever virus (RVFV) causes outbreaks of severe disease in
livestock and humans throughout Africa and the Arabian Peninsula. In people, RVFV
generally causes a self-limiting febrile illness but in a subset of individuals,
it progresses to more serious disease. One manifestation is a delayed-onset
encephalitis that can be fatal or leave the afflicted with long-term neurologic
sequelae. In order to design targeted interventions, the basic pathogenesis of
RVFV encephalitis must be better understood. METHODOLOGY/PRINCIPAL FINDINGS: To
characterize the host immune responses and viral kinetics associated with fatal
and nonfatal infections, mice were infected with an attenuated RVFV lacking NSs
(DeltaNSs) that causes lethal disease only when administered intranasally (IN).
Following IN infection, C57BL/6 mice developed severe neurologic disease and
succumbed 7-9 days post-infection. In contrast, inoculation of DeltaNSs virus
subcutaneously in the footpad (FP) resulted in a subclinical infection
characterized by a robust immune response with rapid antibody production and
strong T cell responses. IN-inoculated mice had delayed antibody responses and
failed to clear virus from the periphery. Severe neurological signs and
obtundation characterized end stage-disease in IN-inoculated mice, and within the
CNS, the development of peak virus RNA loads coincided with strong
proinflammatory responses and infiltration of activated T cells. Interestingly,
depletion of T cells did not significantly alter survival, suggesting that
neurologic disease is not a by-product of an aberrant immune response.
CONCLUSIONS/SIGNIFICANCE: Comparison of fatal (IN-inoculated) and nonfatal (FP
inoculated) DeltaNSs RVFV infections in the mouse model highlighted the role of
the host immune response in controlling viral replication and therefore
determining clinical outcome. There was no evidence to suggest that neurologic
disease is immune-mediated in RVFV infection. These results provide important
insights for the future design of vaccines and therapeutic options.
PMID- 24922482
TI - Capture and Amplification by Tailing and Switching (CATS). An ultrasensitive
ligation-independent method for generation of DNA libraries for deep sequencing
from picogram amounts of DNA and RNA.
AB - Massive parallel sequencing (MPS) technologies have paved the way into new areas
of research including individualized medicine. However, sequencing of trace
amounts of DNA or RNA still remains a major challenge, especially for degraded
nucleic acids like circulating DNA. This together with high cost and time
requirements impedes many important applications of MPS in medicine and
fundamental science. We have established a fast, cheap and highly efficient
protocol called 'Capture and Amplification by Tailing and Switching' (CATS) to
directly generate ready-to-sequence libraries for MPS from nanogram and picogram
quantities of both DNA and RNA. Furthermore, those DNA libraries are strand
specific, can be prepared within 2-3 h and do not require preliminary sample
amplification steps. To exemplify the capacity of the technique, we have
generated and sequenced DNA libraries from hundred-picogram amounts of
circulating nucleic acids isolated from human blood plasma, one nanogram of mRNA
enriched total RNA from cultured cells and few nanograms of bisulfite-converted
DNA. The approach for DNA library preparation from minimal and fragmented input
described here will find broad application in diverse research areas such as
translational medicine including therapy monitoring, prediction, prognosis and
early detection of various human disorders and will permit high-throughput DNA
sequencing from previously inaccessible material such as minute forensic and
archeological samples.
PMID- 24922483
TI - Model selection in systems biology depends on experimental design.
AB - Experimental design attempts to maximise the information available for modelling
tasks. An optimal experiment allows the inferred models or parameters to be
chosen with the highest expected degree of confidence. If the true system is
faithfully reproduced by one of the models, the merit of this approach is clear -
we simply wish to identify it and the true parameters with the most certainty.
However, in the more realistic situation where all models are incorrect or
incomplete, the interpretation of model selection outcomes and the role of
experimental design needs to be examined more carefully. Using a novel
experimental design and model selection framework for stochastic state-space
models, we perform high-throughput in-silico analyses on families of gene
regulatory cascade models, to show that the selected model can depend on the
experiment performed. We observe that experimental design thus makes confidence a
criterion for model choice, but that this does not necessarily correlate with a
model's predictive power or correctness. Finally, in the special case of linear
ordinary differential equation (ODE) models, we explore how wrong a model has to
be before it influences the conclusions of a model selection analysis.
PMID- 24922484
TI - Cognitive processing therapy for posttraumatic stress disorder delivered to rural
veterans via telemental health: a randomized noninferiority clinical trial.
AB - OBJECTIVE: To compare clinical and process outcomes of cognitive processing
therapy-cognitive only version (CPT-C) delivered via videoteleconferencing (VTC)
to in-person in a rural, ethnically diverse sample of veterans with posttraumatic
stress disorder (PTSD). METHOD: A randomized clinical trial with a noninferiority
design was used to determine if providing CPT-C via VTC is effective and "as good
as" in-person delivery. The study took place between March 2009 and June 2013.
PTSD was diagnosed per DSM-IV. Participants received 12 sessions of CPT-C via VTC
(n = 61) or in-person (n = 64). Assessments were administered at baseline,
midtreatment, immediately posttreatment, and 3 and 6 months posttreatment. The
primary clinical outcome was posttreatment PTSD severity, as measured by the
Clinician-Administered PTSD Scale. RESULTS: Clinical and process outcomes found
VTC to be noninferior to in-person treatment. Significant reductions in PTSD
symptoms were identified at posttreatment (Cohen d = 0.78, P < .05) and
maintained at 3- and 6-month follow-up (d = 0.73, P < .05 and d = 0.76, P < .05,
respectively). High levels of therapeutic alliance, treatment compliance, and
satisfaction and moderate levels of treatment expectancies were reported, with no
differences between groups (for all comparisons, F < 1.9, P > .17). CONCLUSIONS:
Providing CPT-C to rural residents with PTSD via VTC produced outcomes that were
"as good as" in-person treatment. All participants demonstrated significant
reductions in PTSD symptoms posttreatment and at follow-up. Results indicate that
VTC can offer increased access to specialty mental health care for residents of
rural or remote areas. TRIAL REGISTRATION: ClinicalTrials.gov identifier:
NCT00879255.
PMID- 24922485
TI - Repetitive transcranial magnetic stimulation for treatment-resistant depression:
a systematic review and meta-analysis.
AB - OBJECTIVE: To evaluate the efficacy of repetitive transcranial magnetic
stimulation (rTMS) in patients with major depressive disorder (MDD) and 2 or more
prior antidepressant treatment failures (often referred to as treatment-resistant
depression [TRD]). These patients are less likely to recover with medications
alone and often consider nonpharmacologic treatments such as rTMS. DATA SOURCES:
We searched MEDLINE, EMBASE, the Cochrane Library, PsycINFO, and the
International Pharmaceutical Abstracts for studies comparing rTMS with a sham
controlled treatment in TRD patients ages 18 years or older. STUDY SELECTION: We
included 18 good- or fair-quality TRD studies published from January 1, 1980,
through March 20, 2013. DATA EXTRACTION: We abstracted relevant data, assessed
each study's internal validity, and graded strength of evidence for change in
depressive severity, response rates, and remission rates. RESULTS: rTMS was
beneficial compared with sham for all outcomes. rTMS produced a greater decrease
in depressive severity (high strength of evidence), averaging a clinically
meaningful decrease on the Hamilton Depression Rating Scale (HDRS) of more than 4
points compared with sham (mean decrease = -4.53; 95% CI, -6.11 to -2.96). rTMS
resulted in greater response rates (high strength of evidence); those receiving
rTMS were more than 3 times as likely to respond as patients receiving sham
(relative risk = 3.38; 95% CI, 2.24 to 5.10). Finally, rTMS was more likely to
produce remission (moderate strength of evidence); patients receiving rTMS were
more than 5 times as likely to achieve remission as those receiving sham
(relative risk = 5.07; 95% CI, 2.50 to 10.30). Limited evidence and variable
treatment parameters prevented conclusions about which specific treatment options
are more effective than others. How long these benefits persist remains unclear.
CONCLUSIONS: For MDD patients with 2 or more antidepressant treatment failures,
rTMS is a reasonable, effective consideration.
PMID- 24922486
TI - Age in school cohort, borderline personality disorder, and neurofeedback.
PMID- 24922487
TI - The youngest children in each school cohort are overrepresented in referrals to
mental health services.
AB - OBJECTIVE: To investigate whether the youngest children in each school cohort are
overrepresented as users of specialist mental health services. METHOD: Dates of
birth were obtained for all 9,157 children and adolescents referred to specialist
mental health services in 3 London boroughs from 2008 to 2011. The actual
frequency of referrals by month of birth is compared to the expected frequency of
referrals as determined by birth statistics for the relevant age group. RESULTS:
August-born children, who are the youngest in their cohorts in England, represent
9.38% of referrals but only 8.59% of the population in the relevant age segment.
Hence, August-born children are overrepresented in referrals to specialist mental
health services (P value = .007). September- and October-born children, who are
the oldest in their cohorts, are underrepresented: September-born children
represent 8.62% of the population but 7.99% of referrals to mental health
services (P value = .032), and October-born children are 8.56% of the population
but 7.86% of referrals (P value = .016). CONCLUSIONS: Being among the youngest in
a school cohort is associated with a higher risk of referral to mental health
services, while being among the oldest is a protective factor.
PMID- 24922488
TI - Neurocognitive effects of neurofeedback in adolescents with ADHD: a randomized
controlled trial.
AB - OBJECTIVE: Neurofeedback aims to reduce symptoms of attention
deficit/hyperactivity disorder (ADHD), mainly attention problems. However, the
additional influence of neurofeedback over treatment as usual (TAU) on
neurocognitive functioning for adolescents with ADHD remains unclear. METHOD: By
using a multicenter parallel randomized controlled trial (RCT) design, male
adolescents with a DSM-IV-TR diagnosis of ADHD (mean age = 16.1 years; range, 12
24) were randomized to receive either a combination of TAU and neurofeedback (n =
45) or TAU (n = 26). Randomization was computer generated and stratified by age
group (ages 12 through 15, 16 through 20, and 21 through 24 years). The
neurofeedback intervention consisted of approximately 37 sessions over a period
of 25 weeks of theta/sensorimotor rhythm training on the vertex (Cz). Primary
neurocognitive outcomes included performance parameters derived from the D2 Test
of Attention, the Digit Span backward, the Stroop Color-Word Test and the Tower
of London, all assessed preintervention and postintervention. Data were collected
between December 2009 and July 2012. RESULTS: At postintervention, outcomes of
attention and/or motor speed were improved, with faster processing times for both
intervention conditions and with medium to large effect sizes (range, etap2 = .08
.54; P values < .023). In both groups, no improvements for higher executive
functions were observed. Results might partly resemble practice effects.
CONCLUSIONS: Although neurocognitive outcomes improved in all adolescents
receiving treatment for ADHD, no additional value for neurofeedback over TAU was
observed. Hence, this study does not provide evidence for using
theta/sensorimotor rhythm neurofeedback to enhance neurocognitive performance as
additional intervention to TAU for adolescents with ADHD symptoms. TRIAL
REGISTRATION: Trialregister.nl identifier: 1759.
PMID- 24922489
TI - Translating clinical science into effective therapies.
AB - Identifying a patient with treatment-resistant depression involves ensuring that
at least 2 evidence-based antidepressant trials from two different pharmacologic
classes have been undertaken and determining their impact on patients' symptoms,
functioning, quality-of-life and social relationships as outcomes. When assessing
depressive symptoms throughout the course of treatment, clinical judgment should
be supplemented by using standardized tools such as the 9-item Patient Health
Questionnaire (PHQ-9) and the Quick Inventory of Depressive Symptomatology
(QIDS). Adjunctive treatment strategies preserve the benefits of first-line
antidepressants in partial responders and potentially enhance the initial
antidepressant's effect through complementary mechanisms of action. Novel
"multimodal" pharmacotherapies with diverse potentially beneficial mechanisms of
action are in development, which have varying degrees of activity across multiple
monoamine systems including those regulated by serotonin, dopamine, and
glutamate.
PMID- 24922490
TI - Monitoring pharmacotherapy response, safety, and tolerability to enhance
adherence in bipolar disorder.
AB - Among patients with bipolar disorder, treatment nonadherence can be triggered by
lack of response or by medication-induced adverse effects. To track treatment
response, clinicians can have patients complete daily life and mood charts to
provide accurate information over time on patients' mood, adherence, side
effects, and life events. Rating scales like the CGI-BP are also an option for
assessing treatment response. In addition, clinicians should monitor patients for
common side effects related to bipolar depression treatments, such as sedation
and weight gain/metabolic abnormalities, which lead to increased medical problems
and shortened life expectancy. By implementing specific interventions to address
these effects, clinicians can help promote optimal outcomes for patients with
bipolar disorder.
PMID- 24922491
TI - Obsessive-compulsive disorder in youth: assessment and treatment.
AB - For pediatric patients with obsessive-compulsive disorder, clinicians must
address particular diagnostic and treatment challenges. Children with obsessive
compulsive disorder may have little insight into their obsessions and
compulsions, requiring clinicians to provide age-appropriate education,
motivation, and therapy. Treatment should take into account children's
developmental level, family accommodation, and comorbidities. If the onset of
obsessive-compulsive disorder is sudden, clinicians may use pediatric acute-onset
neuropsychiatric syndrome criteria and conduct appropriate tests.
PMID- 24922492
TI - A primer for the conceptualization of the mechanism of action of
electroconvulsive therapy, 1: defining the question.
AB - With regard to the question of how electroconvulsive therapy (ECT) acts, a common
answer is that the mechanism of action of the treatment is not well understood.
However, this is not true. There is a great deal of information available about
what ECT does in the brain, how it does it, and how these effects translate into
clinical actions. The very complexity of the available data makes it necessary
for the question about mechanisms to be properly defined with regard to
physiologic effects, adverse effects, and efficacy in different conditions. This
article presents a primer for the conceptualization of the mechanism of action of
ECT with special attention to understanding why the question and answer are
complex.
PMID- 24922493
TI - Update on best practices for managing bipolar depression.
PMID- 24922494
TI - Clinical predictors of ketamine response in treatment-resistant major depression.
AB - OBJECTIVE: The N-methyl-D-aspartate receptor antagonist ketamine has rapid
antidepressant effects in treatment-resistant major depressive disorder (MDD) and
bipolar depression. Clinical predictors may identify those more likely to benefit
from ketamine within clinically heterogeneous populations. METHOD: Data were
analyzed from 4 studies of treatment-resistant inpatients with DSM-IV-TR
diagnosed MDD or bipolar I or II depression. Patients who were currently
experiencing a moderate-to-severe major depressive episode were enrolled between
November 2004 and March 2013. All subjects received a single subanesthetic (0.5
mg/kg) ketamine infusion over 40 minutes. Patients were analyzed at the 230
minute postinfusion time point (n = 108), at day 1 (n = 82), and at day 7 (n =
71). Univariate Pearson correlations were performed for each variable with
percent change from baseline in the 17-item Hamilton Depression Rating Scale
(HDRS). Multivariate linear regression was then conducted for statistically
significant predictors (P <= .05, 2-tailed). RESULTS: Higher body mass index
correlated with greater HDRS improvement at 230 minutes (standardized beta =
0.30, P = .004) and at day 1 (standardized beta = -0.37, P = .001), but not at
day 7 (standardized beta = -0.18, P = .10). Family history of an alcohol use
disorder in a first-degree relative was associated with greater HDRS improvement
at day 1 (standardized beta = -0.27, P = .014) and day 7 (standardized beta =
0.41, P < .001). No prior history of suicide attempt(s) was associated with
greater improvement only at day 7 (standardized beta = 0.28, P = .01). The
overall statistical model explained 13%, 23%, and 36% of HDRS percent change
variance at 230 minutes, day 1, and day 7, respectively. CONCLUSIONS: Despite its
post hoc nature, this study identified several clinical correlates of ketamine's
rapid and durable antidepressant effects. Further investigation of these
relationships is critical for individualized treatment of depression.
PMID- 24922495
TI - Pharmacologic and behavioral interventions to improve cardiovascular risk factors
in adults with serious mental illness: a systematic review and meta-analysis.
AB - OBJECTIVE: Individuals with serious mental illness have high rates of
cardiovascular disease (CVD) risk factors and mortality. This systematic review
was conducted to evaluate pharmacologic and behavioral interventions to reduce
CVD risk in adults with serious mental illness. DATA SOURCES: MEDLINE, EMBASE,
PsycINFO, ClinicalTrials.gov, and Cochrane Database of Systematic Reviews were
searched from January 1980 to July 2012 for English language studies. Examples of
search terms used include schizophrenia, bipolar disorder, antipsychotics,
weight, glucose, lipid, and cardiovascular disease. STUDY SELECTION: Two
reviewers independently screened citations and identified 33 randomized
controlled trials of at least 2 months' duration that enrolled adults with
serious mental illness and evaluated pharmacologic or behavioral interventions
targeting weight, glucose, or lipid control. DATA EXTRACTION: Reviewers extracted
data, assessed applicability, and evaluated study quality; the team jointly
graded overall strength of evidence. RESULTS: We included 33 studies. Most
studies targeted weight control (28 studies). Compared with control groups,
weight control was improved with behavioral interventions (mean difference =
3.13 kg; 95% CI, -4.21 to -2.05), metformin (mean difference = -4.13 kg; 95% CI,
6.58 to -1.68), anticonvulsive medications topiramate and zonisamide (mean
difference = -5.11 kg; 95% CI, -9.48 to -0.74), and adjunctive or antipsychotic
switching to aripiprazole (meta-analysis not possible). Evidence was insufficient
for all other interventions and for effects on glucose and lipid control. The
small number of studies precluded analyses of variability in treatment effects by
patient characteristics. CONCLUSIONS: Few studies have evaluated interventions
addressing 1 or more CVD risk factors in people with serious mental illness.
Glucose- and lipid-related results were mainly reported as secondary outcome
assessments in studies of weight-management interventions. Comparative
effectiveness studies are needed to test multimodal strategies, agents known to
be effective in nonserious mental illness populations, and antipsychotic
management strategies.
PMID- 24922496
TI - Meta-analysis of selective serotonin reuptake inhibitor-associated QTc
prolongation.
AB - OBJECTIVE: To evaluate the association between selective serotonin reuptake
inhibitors (SSRIs) and corrected QT interval (QTc) prolongation via meta-analysis
of prospective studies. DATA SOURCES: PubMed/MEDLINE database (January 1, 1975
August 15, 2012), with additional reports identified using hand searches of
reference lists of relevant articles. Key words searched were QT, torsades de
pointes, and sudden cardiac death, combined with antidepressants, citalopram,
escitalopram, fluoxetine, sertraline, paroxetine, and fluvoxamine. English-,
Spanish-, and German-language articles were included. STUDY SELECTION: Two
reviewers independently identified prospective controlled studies in adults that
reported data related to QTc intervals prior to and following treatment with
SSRIs. DATA EXTRACTION AND SYNTHESIS: Three reviewers independently extracted
study-level data including population characteristics, method of QTc measurement
and treatment and outcome data. Two independent reviewers critiqued study
quality. Publication bias was assessed visually using a funnel plot and
quantitatively. Heterogeneity was measured using Cochran Q statistic. RESULTS:
Sixteen articles (with 25 distinct data subsets) involving 4,292 patients were
included. SSRIs were associated with a dose-dependent increase in QTc interval
compared to placebo (+6.10 milliseconds; 95% CI, 3.47-8.73; P < .001). Tricyclic
antidepressants (TCAs) were associated with a significantly greater QTc increase
than SSRIs (TCA prolongation, 7.05 milliseconds; 95% CI, 3.84-10.27 greater than
SSRIs; P < .001). With respect to specific SSRI agents, citalopram was associated
with significantly greater QTc prolongation than sertraline, paroxetine, and
fluvoxamine. CONCLUSIONS: SSRIs were associated with a modest but statistically
significant increase in the QTc interval, although to a lesser extent than TCAs;
this finding was not limited to any single study. Citalopram was associated with
more QTc prolongation than most other SSRIs.
PMID- 24922497
TI - Clinical staging in bipolar disorder: focus on cognition and functioning.
AB - OBJECTIVE: Clinical staging has increasingly been considered suitable for
psychiatric disorders such as bipolar disorder. A staging model of bipolar
disorder could help clinicians understand the mechanisms underlying the course of
the illness and guide prognosis and therapy. This study aimed to investigate
differences in functional status and cognitive functioning in patients in
different clinical stages of bipolar disorder. METHOD: Subjects who met DSM-IV
criteria for bipolar disorder (n = 54) were recruited from the Bipolar Disorders
Program at Hospital de Clinicas de Porto Alegre (Brazil) from October 2012 to
October 2013. All patients had been in remission (score < 7 on the 17-item HDRS
and the YMRS) for at least 1 month before assessment. They were classified into 4
clinical stages according to the model described by Kapczinski et al and compared
to 43 healthy controls. Functional status was assessed by using the Functioning
Assessment Short Test (FAST). Neuropsychological measures were performed to
investigate cognitive functioning. RESULTS: Significant differences in functional
status were found between patients in all stages compared to controls (F =
33.014, P < .001), except for stage I (P = .104). Additionally, a very strong
linear association was found between FAST scores and clinical stages, with FAST
scores increasing from stage I to IV (F = 149.55, P < .001). In the bipolar
group, stage I was associated with better occupational functioning than stage II
(F = 48.344, P = .003). Stage IV patients experienced greater impairment in
autonomy than stage III patients (F = 26.646, P = .004), and stage III patients
experienced poorer autonomy than those in stage II (P = .004). With regard to
cognitive measures, patients in late stages (stages III and IV) were more
impaired than healthy controls (P < .001). A similar performance was found
between patients in early stages (stages I and II) and healthy controls.
DISCUSSION: This study showed progressive functional changes from stage I to
stage IV of bipolar disorder, with a greater impairment in patients in later
stages of the illness. FAST scores seem to have a good discriminant ability to
distinguish between patients in early versus late stages of bipolar disorder and
could therefore contribute to the development of a bipolar disorder staging
system.
PMID- 24922498
TI - Psychiatric comorbidity in hospitalized adolescents with borderline personality
disorder.
AB - OBJECTIVE: The goal of this study was to carry out the first comprehensive
assessment of psychiatric comorbidity in adolescents (aged 12-17 years) with DSM
IV criteria for borderline personality disorder (BPD) compared to a psychiatric
comparison group without BPD. Complex comorbidity (a hallmark feature of adult
BPD and defined as having any mood or anxiety disorder plus a disorder of
impulsivity) was also examined as a distinguishing feature of adolescent BPD.
METHOD: Consecutively admitted patients (October 2008 to October 2012) to an
inpatient psychiatric hospital received parental consent and gave assent for
participation in the study (N = 418), with the final sample after exclusions
consisting of 335 adolescent inpatients. A comprehensive, multimethod approach to
determining psychiatric comorbidity was used, including both an interview-based
(categorical) and a questionnaire-based (dimensional) assessment as well as both
parent and adolescent self-report. Measures included the Diagnostic Interview
Schedule for Children (NIMH-DISC-IV), Child Behavior Checklist (CBCL), Youth Self
Report (YSR), Car, Relax Alone, Forget, Friends, Trouble (CRAFFT), and the
Childhood Interview for DSM-IV Borderline Personality Disorder (CI-BPD). RESULTS:
Thirty-three percent of the final sample met criteria for BPD. Adolescent
inpatients with BPD showed significantly higher rates of psychiatric comorbidity
compared to non-BPD psychiatric subjects for both internalizing (chi21 = 27.40, P
< .001) and externalizing (chi21 = 19.02, P < .001) diagnosis. Similarly, using
dimensional scores for self-reported symptoms, adolescent inpatients with BPD had
significantly higher rates of psychiatric comorbidity compared to non-BPD
subjects for internalizing (t329 = -6.63, P < .001) and externalizing (t329 =
7.14, P < .001) problems. Parent-reported symptoms were significantly higher in
the BPD group only when using a dimensional approach (internalizing: t321 =
3.42, P < .001; externalizing: t321 = -3.32, P < .001). Furthermore,
significantly higher rates of complex comorbidity were found for adolescents with
BPD (chi21 = 26.60, P < .001). Moreover, externalizing and internalizing problems
interacted in association with borderline traits (B = .25; P < .001).
CONCLUSIONS: Similar to findings in adult studies of BPD, adolescents with BPD
demonstrate significantly more complex comorbidity compared to psychiatric
subjects without BPD.
PMID- 24922499
TI - Enantioselective rhodium-catalyzed arylation of cyclic N-sulfamidate
alkylketimines: a new access to chiral beta-alkyl-beta-aryl amino alcohols.
AB - The enantioselective rhodium-catalyzed 1,2-addition of arylboronates to cyclic N
sulfamidate alkylketimines was developed. With a rhodium/diene complex as
catalyst, high enantioselectivity and broad functional group tolerance were
observed. The resulting sulfamidates can easily be converted into chiral beta
alkyl-beta-aryl amino alcohols.
PMID- 24922500
TI - A semiparametric Bayesian model for detecting synchrony among multiple neurons.
AB - We propose a scalable semiparametric Bayesian model to capture dependencies among
multiple neurons by detecting their cofiring (possibly with some lag time)
patterns over time. After discretizing time so there is at most one spike at each
interval, the resulting sequence of 1s (spike) and 0s (silence) for each neuron
is modeled using the logistic function of a continuous latent variable with a
gaussian process prior. For multiple neurons, the corresponding marginal
distributions are coupled to their joint probability distribution using a
parametric copula model. The advantages of our approach are as follows. The
nonparametric component (i.e., the gaussian process model) provides a flexible
framework for modeling the underlying firing rates, and the parametric component
(i.e., the copula model) allows us to make inferences regarding both
contemporaneous and lagged relationships among neurons. Using the copula model,
we construct multivariate probabilistic models by separating the modeling of
univariate marginal distributions from the modeling of a dependence structure
among variables. Our method is easy to implement using a computationally
efficient sampling algorithm that can be easily extended to high-dimensional
problems. Using simulated data, we show that our approach could correctly capture
temporal dependencies in firing rates and identify synchronous neurons. We also
apply our model to spike train data obtained from prefrontal cortical areas.
PMID- 24922501
TI - Continuous closed-loop decoder adaptation with a recursive maximum likelihood
algorithm allows for rapid performance acquisition in brain-machine interfaces.
AB - Closed-loop decoder adaptation (CLDA) is an emerging paradigm for both improving
and maintaining online performance in brain-machine interfaces (BMIs). The time
required for initial decoder training and any subsequent decoder recalibrations
could be potentially reduced by performing continuous adaptation, in which
decoder parameters are updated at every time step during these procedures, rather
than waiting to update the decoder at periodic intervals in a more batch-based
process. Here, we present recursive maximum likelihood (RML), a CLDA algorithm
that performs continuous adaptation of a Kalman filter decoder's parameters. We
demonstrate that RML possesses a variety of useful properties and practical
algorithmic advantages. First, we show how RML leverages the accuracy of updates
based on a batch of data while still adapting parameters on every time step.
Second, we illustrate how the RML algorithm is parameterized by a single,
intuitive half-life parameter that can be used to adjust the rate of adaptation
in real time. Third, we show how even when the number of neural features is very
large, RML's memory-efficient recursive update rules can be reformulated to also
be computationally fast so that continuous adaptation is still feasible. To test
the algorithm in closed-loop experiments, we trained three macaque monkeys to
perform a center-out reaching task by using either spiking activity or local
field potentials to control a 2D computer cursor. RML achieved higher levels of
performance more rapidly in comparison to a previous CLDA algorithm that adapts
parameters on a more intermediate timescale. Overall, our results indicate that
RML is an effective CLDA algorithm for achieving rapid performance acquisition
using continuous adaptation.
PMID- 24922502
TI - Sparseness, antisparseness and anything in between: the operating point of a
neuron determines its computational repertoire.
AB - A recent model of intrinsic plasticity coupled to Hebbian synaptic plasticity
proposes that adaptation of a neuron's threshold and gain in a sigmoidal response
function to achieve a sparse, exponential output firing rate distribution
facilitates the discovery of heavy-tailed or super- gaussian sources in the
neuron's inputs. We show that the exponential output distribution is irrelevant
to these dynamics and that, furthermore, while sparseness is sufficient, it is
not necessary. The intrinsic plasticity mechanism drives the neuron's threshold
large and positive, and we prove that in such a regime, the neuron will find
supergaussian sources; equally, however, if the threshold is large and negative
(an antisparse regime), it will also find supergaussian sources. Away from such
extremes, the neuron can also discover subgaussian sources. By examining a neuron
with a fixed sigmoidal nonlinearity and considering the synaptic strength fixed
point structure in the two-dimensional parameter space defined by the neuron's
threshold and gain, we show that this space is carved up into sub- and
supergaussian-input-finding regimes, possibly with regimes of simultaneous
stability of sub- and supergaussian sources or regimes of instability of all
sources; a single gaussian source may also be stabilized by the presence of a
nongaussian source. A neuron's operating point (essentially its threshold and
gain coupled with its input statistics) therefore critically determines its
computational repertoire. Intrinsic plasticity mechanisms induce trajectories in
this parameter space but do not fundamentally modify it. Unless the trajectories
cross critical boundaries in this space, intrinsic plasticity is irrelevant and
the neuron's nonlinearity may be frozen with identical receptive field refinement
dynamics.
PMID- 24922503
TI - Synchronization of stochastic competitive neural networks with different
timescales and reaction-diffusion terms.
AB - We propose a feedback controller for the synchronization of stochastic
competitive neural networks with different timescales and reaction-diffusion
terms. By constructing a proper Lyapunov-Krasovskii functional, as well as
employing stochastic analysis theory, the LaShall-type invariance principle for
stochastic differential delay equations, and a linear matrix inequality (LMI)
technique, a feedback controller is designed to achieve the asymptotical
synchronization of coupled stochastic competitive neural networks. A simulation
example is given to show the effectiveness of the theoretical results.
PMID- 24922504
TI - A nonparametric clustering algorithm with a quantile-based likelihood estimator.
AB - Clustering is a representative of unsupervised learning and one of the important
approaches in exploratory data analysis. By its very nature, clustering without
strong assumption on data distribution is desirable. Information-theoretic
clustering is a class of clustering methods that optimize information-theoretic
quantities such as entropy and mutual information. These quantities can be
estimated in a nonparametric manner, and information-theoretic clustering
algorithms are capable of capturing various intrinsic data structures. It is also
possible to estimate information-theoretic quantities using a data set with
sampling weight for each datum. Assuming the data set is sampled from a certain
cluster and assigning different sampling weights depending on the clusters, the
cluster-conditional information-theoretic quantities are estimated. In this
letter, a simple iterative clustering algorithm is proposed based on a
nonparametric estimator of the log likelihood for weighted data sets. The
clustering algorithm is also derived from the principle of conditional entropy
minimization with maximum entropy regularization. The proposed algorithm does not
contain a tuning parameter. The algorithm is experimentally shown to be
comparable to or outperform conventional nonparametric clustering methods.
PMID- 24922505
TI - Neuronal spike train entropy estimation by history clustering.
AB - Neurons send signals to each other by means of sequences of action potentials
(spikes). Ignoring variations in spike amplitude and shape that are probably not
meaningful to a receiving cell, the information content, or entropy of the signal
depends on only the timing of action potentials, and because there is no external
clock, only the interspike intervals, and not the absolute spike times, are
significant. Estimating spike train entropy is a difficult task, particularly
with small data sets, and many methods of entropy estimation have been proposed.
Here we present two related model-based methods for estimating the entropy of
neural signals and compare them to existing methods. One of the methods is fast
and reasonably accurate, and it converges well with short spike time records; the
other is impractically time-consuming but apparently very accurate, relying on
generating artificial data that are a statistical match to the experimental data.
Using the slow, accurate method to generate a best-estimate entropy value, we
find that the faster estimator converges to this value more closely and with
smaller data sets than many existing entropy estimators.
PMID- 24922506
TI - Influence of external inputs and asymmetry of connections on information
geometric measures involving up to ten neuronal interactions.
AB - The investigation of neural interactions is crucial for understanding information
processing in the brain. Recently an analysis method based on information
geometry (IG) has gained increased attention, and the property of the pairwise IG
measure has been studied extensively in relation to the two-neuron interaction.
However, little is known about the property of IG measures involving more
neuronal interactions. In this study, we systematically investigated the
influence of external inputs and the asymmetry of connections on the IG measures
in cases ranging from 1-neuron to 10-neuron interactions. First, the analytical
relationship between the IG measures and external inputs was derived for a
network of 10 neurons with uniform connections. Our results confirmed that the
single and pairwise IG measures were good estimators of the mean background input
and of the sum of the connection weights, respectively. For the IG measures
involving 3 to 10 neuronal interactions, we found that the influence of external
inputs was highly nonlinear. Second, by computer simulation, we extended our
analytical results to asymmetric connections. For a network of 10 neurons, the
simulation showed that the behavior of the IG measures in relation to external
inputs was similar to the analytical solution obtained for a uniformly connected
network. When the network size was increased to 1000 neurons, the influence of
external inputs almost disappeared. This result suggests that all IG measures
from 1-neuron to 10-neuron interactions are robust against the influence of
external inputs. In addition, we investigated how the strength of asymmetry
influenced the IG measures. Computer simulation of a 1000-neuron network showed
that all the IG measures were robust against the modulation of the asymmetry of
connections. Our results provide further support for an information-geometric
approach and will provide useful insights when these IG measures are applied to
real experimental spike data.
PMID- 24922507
TI - The transcription factor TFII-I promotes DNA translesion synthesis and genomic
stability.
AB - Translesion synthesis (TLS) enables DNA replication through damaged bases,
increases cellular DNA damage tolerance, and maintains genomic stability. The
sliding clamp PCNA and the adaptor polymerase Rev1 coordinate polymerase
switching during TLS. The polymerases Pol eta, iota, and kappa insert nucleotides
opposite damaged bases. Pol zeta, consisting of the catalytic subunit Rev3 and
the regulatory subunit Rev7, then extends DNA synthesis past the lesion. Here, we
show that Rev7 binds to the transcription factor TFII-I in human cells. TFII-I is
required for TLS and DNA damage tolerance. The TLS function of TFII-I appears to
be independent of its role in transcription, but requires homodimerization and
binding to PCNA. We propose that TFII-I bridges PCNA and Pol zeta to promote TLS.
Our findings extend the general principle of component sharing among divergent
nuclear processes and implicate TLS deficiency as a possible contributing factor
in Williams-Beuren syndrome.
PMID- 24922509
TI - Microbiota and metabolome associated with immunoglobulin A nephropathy (IgAN).
AB - This study aimed at investigating the fecal microbiota, and the fecal and urinary
metabolome of non progressor (NP) and progressor (P) patients with immunoglobulin
A nephropathy (IgAN). Three groups of volunteers were included in the study: (i)
sixteen IgAN NP patients; (ii) sixteen IgAN P patients; and (iii) sixteen healthy
control (HC) subjects, without known diseases. Selective media were used to
determine the main cultivable bacterial groups. Bacterial tag-encoded FLX
titanium amplicon pyrosequencing of the 16S rDNA and 16S rRNA was carried out to
determine total and metabolically active bacteria, respectively. Biochrom 30
series amino acid analyzer and gas-chromatography mass spectrometry/solid-phase
microextraction (GC-MS/SPME) analyses were mainly carried out for metabolomic
analyses. As estimated by rarefaction, Chao and Shannon diversity index, the
lowest microbial diversity was found in P patients. Firmicutes increased in the
fecal samples of NP and, especially, P patients due to the higher percentages of
some genera/species of Ruminococcaceae, Lachnospiraceae, Eubacteriaceae and
Streptococcaeae. With a few exceptions, species of Clostridium, Enterococcus and
Lactobacillus genera were found at the highest levels in HC. Bacteroidaceae,
Porphyromonadaceae, Prevotellaceae and Rikenellaceae families differed among NP,
P and HC subjects. Sutterellaceae and Enterobacteriaceae species were almost the
highest in the fecal samples of NP and/or P patients. Compared to HC subjects,
Bifidobacterium species decreased in the fecal samples of NP and P. As shown by
multivariate statistical analyses, the levels of metabolites (free amino acids
and organic volatile compounds) from fecal and urinary samples markedly
differentiated NP and, especially, P patients.
PMID- 24922510
TI - Proteomic selection of immunodiagnostic antigens for Trypanosoma congolense.
AB - Animal African Trypanosomosis (AAT) presents a severe problem for agricultural
development in sub-Saharan Africa. It is caused by several trypanosome species
and current means of diagnosis are expensive and impractical for field use. Our
aim was to discover antigens for the detection of antibodies to Trypanosoma
congolense, one of the main causative agents of AAT. We took a proteomic approach
to identify potential immunodiagnostic parasite protein antigens. One hundred and
thirteen proteins were identified which were selectively recognized by infected
cattle sera. These were assessed for likelihood of recombinant protein expression
in E. coli and fifteen were successfully expressed and assessed for their
immunodiagnostic potential by ELISA using pooled pre- and post-infection cattle
sera. Three proteins, members of the invariant surface glycoprotein (ISG) family,
performed favorably and were then assessed using individual cattle sera. One
antigen, Tc38630, evaluated blind with 77 randomized cattle sera in an ELISA
assay gave sensitivity and specificity performances of 87.2% and 97.4%,
respectively. Cattle immunoreactivity to this antigen diminished significantly
following drug-cure, a feature helpful for monitoring the efficacy of drug
treatment.
PMID- 24922508
TI - Computational modeling of allosteric regulation in the hsp90 chaperones: a
statistical ensemble analysis of protein structure networks and allosteric
communications.
AB - A fundamental role of the Hsp90 chaperone in regulating functional activity of
diverse protein clients is essential for the integrity of signaling networks. In
this work we have combined biophysical simulations of the Hsp90 crystal
structures with the protein structure network analysis to characterize the
statistical ensemble of allosteric interaction networks and communication
pathways in the Hsp90 chaperones. We have found that principal structurally
stable communities could be preserved during dynamic changes in the
conformational ensemble. The dominant contribution of the inter-domain rigidity
to the interaction networks has emerged as a common factor responsible for the
thermodynamic stability of the active chaperone form during the ATPase cycle.
Structural stability analysis using force constant profiling of the inter-residue
fluctuation distances has identified a network of conserved structurally rigid
residues that could serve as global mediating sites of allosteric communication.
Mapping of the conformational landscape with the network centrality parameters
has demonstrated that stable communities and mediating residues may act
concertedly with the shifts in the conformational equilibrium and could describe
the majority of functionally significant chaperone residues. The network analysis
has revealed a relationship between structural stability, global centrality and
functional significance of hotspot residues involved in chaperone regulation. We
have found that allosteric interactions in the Hsp90 chaperone may be mediated by
modules of structurally stable residues that display high betweenness in the
global interaction network. The results of this study have suggested that
allosteric interactions in the Hsp90 chaperone may operate via a mechanism that
combines rapid and efficient communication by a single optimal pathway of
structurally rigid residues and more robust signal transmission using an ensemble
of suboptimal multiple communication routes. This may be a universal requirement
encoded in protein structures to balance the inherent tension between resilience
and efficiency of the residue interaction networks.
PMID- 24922511
TI - A replication study for genome-wide gene expression levels in two layer lines
elucidates differentially expressed genes of pathways involved in bone remodeling
and immune responsiveness.
AB - The current replication study confirmed significant differences in gene
expression profiles of the cerebrum among the two commercial layer lines Lohmann
Selected Leghorn (LSL) and Lohmann Brown (LB). Microarray analyses were performed
for 30 LSL and another 30 LB laying hens kept in the small group housing system
Eurovent German. A total of 14,103 microarray probe sets using customized
Affymetrix ChiGene-1_0-st Arrays with 20,399 probe sets were differentially
expressed among the two layer lines LSL and LB (FDR adjusted P-value <0.05). An
at least 2-fold change in expression levels could be observed for 388 of these
probe sets. In LSL, 214 of the 388 probe sets were down- and 174 were up
regulated and vice versa for the LB layer line. Among the 174 up-regulated probe
sets in LSL, we identified 51 significantly enriched Gene ontology (GO) terms of
the biological process category. A total of 63 enriched GO-terms could be
identified for the 214 down-regulated probe sets of the layer line LSL. We
identified nine genes significantly differentially expressed between the two
layer lines in both microarray experiments. These genes play a crucial role in
protection of neuronal cells from oxidative stress, bone mineral density and
immune response among the two layer lines LSL and LB. Thus, the different
regulation of these genes may significantly contribute to phenotypic trait
differences among these layer lines. In conclusion, these novel findings provide
a basis for further research to improve animal welfare in laying hens and these
layer lines may be of general interest as an animal model.
PMID- 24922512
TI - Dissociative part-dependent resting-state activity in dissociative identity
disorder: a controlled FMRI perfusion study.
AB - BACKGROUND: In accordance with the Theory of Structural Dissociation of the
Personality (TSDP), studies of dissociative identity disorder (DID) have
documented that two prototypical dissociative subsystems of the personality, the
"Emotional Part" (EP) and the "Apparently Normal Part" (ANP), have different
biopsychosocial reactions to supraliminal and subliminal trauma-related cues and
that these reactions cannot be mimicked by fantasy prone healthy controls nor by
actors. METHODS: Arterial spin labeling perfusion MRI was used to test the
hypotheses that ANP and EP in DID have different perfusion patterns in response
to rest instructions, and that perfusion is different in actors who were
instructed to simulate ANP and EP. In a follow-up study, regional cerebral blood
flow of DID patients was compared with the activation pattern of healthy non
simulating controls. RESULTS: Compared to EP, ANP showed elevated perfusion in
bilateral thalamus. Compared to ANP, EP had increased perfusion in the
dorsomedial prefrontal cortex, primary somatosensory cortex, and motor-related
areas. Perfusion patterns for simulated ANP and EP were different. Fitting their
reported role-play strategies, the actors activated brain structures involved in
visual mental imagery and empathizing feelings. The follow-up study demonstrated
elevated perfusion in the left temporal lobe in DID patients, whereas non
simulating healthy controls had increased activity in areas which mediate the
mental construction of past and future episodic events. CONCLUSION: DID involves
dissociative part-dependent resting-state differences. Compared to ANP, EP
activated brain structures involved in self-referencing and sensorimotor actions
more. Actors had different perfusion patterns compared to genuine ANP and EP.
Comparisons of neural activity for individuals with DID and non-DID simulating
controls suggest that the resting-state features of ANP and EP in DID are not due
to imagination. The findings are consistent with TSDP and inconsistent with the
idea that DID is caused by suggestion, fantasy proneness, and role-playing.
PMID- 24922513
TI - Stable expression of mtlD gene imparts multiple stress tolerance in finger
millet.
AB - Finger millet is susceptible to abiotic stresses, especially drought and salinity
stress, in the field during seed germination and early stages of seedling
development. Therefore developing stress tolerant finger millet plants combating
drought, salinity and associated oxidative stress in these two growth stages is
important. Cellular protection through osmotic adjustment and efficient free
radical scavenging ability during abiotic stress are important components of
stress tolerance mechanisms in plants. Mannitol, an osmolyte, is known to
scavenge hydroxyl radicals generated during various abiotic stresses and thereby
minimize stress damage in several plant species. In this study transgenic finger
millet plants expressing the mannitol biosynthetic pathway gene from bacteria,
mannitol-1-phosphate dehydrogenase (mtlD), were developed through Agrobacterium
tumefaciens-mediated genetic transformation. mtlD gene integration in the
putative transgenic plants was confirmed by Southern blot. Further, performance
of transgenic finger millet under drought, salinity and oxidative stress was
studied at plant level in T1 generation and in T1 and T2 generation seedlings.
Results from these experiments showed that transgenic finger millet had better
growth under drought and salinity stress compared to wild-type. At plant level,
transgenic plants showed better osmotic adjustment and chlorophyll retention
under drought stress compared to the wild-type. However, the overall increase in
stress tolerance of transgenics for the three stresses, especially for oxidative
stress, was only marginal compared to other mtlD gene expressing plant species
reported in the literature. Moreover, the Agrobacterium-mediated genetic
transformation protocol developed for finger millet in this study can be used to
introduce diverse traits of agronomic importance in finger millet.
PMID- 24922514
TI - Metabolic impact of anti-angiogenic agents on U87 glioma cells.
AB - BACKGROUND: Glioma cells not only secrete high levels of vascular endothelial
growth factor (VEGF) but also express VEGF receptors (VEGFR), supporting the
existence of an autocrine loop. The direct impact on glioma cells metabolism of
drugs targeting the VEGF pathway, such as Bevacizumab (Bev) or VEGFR Tyrosine
Kinase Inhibitor (TKI), is poorly known. MATERIAL AND METHODS: U87 cells were
treated with Bev or SU1498, a selective VEGFR2 TKI. VEGFR expression was checked
with FACS flow cytometry and Quantitative Real-Time PCR. VEGF secretion into the
medium was assessed with an ELISA kit. Metabolomic studies on cells were
performed using High Resolution Magic Angle Spinning Spectroscopy (HR-MAS).
RESULTS: U87 cells secreted VEGF and expressed low level of VEGFR2, but no
detectable VEGFR1. Exposure to SU1498, but not Bev, significantly impacted cell
proliferation and apoptosis. Metabolomic studies with HR MAS showed that Bev had
no significant effect on cell metabolism, while SU1498 induced a marked increase
in lipids and a decrease in glycerophosphocholine. Accordingly, accumulation of
lipid droplets was seen in the cytoplasm of SU1498-treated U87 cells. CONCLUSION:
Although both drugs target the VEGF pathway, only SU1498 showed a clear impact on
cell proliferation, cell morphology and metabolism. Bevacizumab is thus less
likely to modify glioma cells phenotype due to a direct therapeutic pressure on
the VEGF autocrine loop. In patients treated with VEGFR TKI, monitoring lipids
with magnetic resonance spectroscopic (MRS) might be a valuable marker to assess
drug cytotoxicity.
PMID- 24922515
TI - Meeting report: Regulating with RNA in Bacteria 2013.
AB - On June 4-8, 2013, the 3rd Conference on Regulation with RNA in Bacteria took
place in Wurzburg, Germany. Following two earlier meetings in Berlin and San
Juan, this conference has established itself as the primary bi-annual meeting for
everyone interested in RNA-based regulations in prokaryotes. The 2013 meeting was
organized by Joel Belasco, Susan Gottesman, Franz Narberhaus, and Jorg Vogel.
Close to 300 participants from more than 27 countries in Europe, North America,
and Asia enjoyed four days of talks and posters on many experimental and
biocomputational aspects of prokaryotic RNA biology.
PMID- 24922516
TI - Anti-asialo GM1 NK cell depleting antibody does not alter the development of
bleomycin induced pulmonary fibrosis.
AB - Despite circumstantial evidence postulating a protective role for NK cells in
many fibrotic conditions, their contribution to the development of pulmonary
fibrosis has yet to be tested. Lung-migrating NK cells are thought to attenuate
the development of bleomycin induced pulmonary fibrosis (BIPF) by providing anti
fibrotic mediators and cytokines, such as IFN-gamma. If true, we reasoned that
depletion of NK cells during experimentally-induced fibrotic disease would lead
to exacerbated fibrosis. To test this, we treated mice with NK cell-depleting
antisera (anti-asialo GM1) and evaluated lung inflammation and fibrosis in the
BIPF model. While NK cell infiltration into the airways was maximal at day 10
after bleomycin injection, NK cells represented a minor portion (1-3%) of the
total leukocytes in BAL fluid. Anti-asialo GM1 significantly abrogated NK cell
numbers over the course of the disease. Depletion of NK cells with anti-asialo
GM1 before and throughout the BIPF model, or during just the fibrotic phase did
not alter fibrosis development or affect the levels of any of the pro
inflammatory/pro-fibrotic cytokines measured (IL-1beta, IL-17, IFN-gamma, TGF
beta and TNF-alpha). In addition, adoptively transferred NK cells, which were
detectable systemically and in the airways throughout BIPF, failed to impact lung
fibrosis. These findings indicate that NK cells likely do not play an essential
protective role in controlling pulmonary fibrosis development.
PMID- 24922518
TI - Circulating mediators of inflammation and immune activation in AIDS-related non
hodgkin lymphoma.
AB - BACKGROUND: Non-Hodgkin lymphoma (NHL) is the most common AIDS-related malignancy
in developed countries. An elevated risk of developing NHL persists among HIV
infected individuals in comparison to the general population despite the advent
of effective antiretroviral therapy. The mechanisms underlying the development of
AIDS-related NHL (A-NHL) are not fully understood, but likely involve persistent
B-cell activation and inflammation. METHODS: This was a nested case-control study
within the ongoing prospective Multicenter AIDS Cohort Study (MACS). Cases
included 47 HIV-positive male subjects diagnosed with high-grade B-cell NHL.
Controls were matched to each case from among participating HIV-positive males
who did not develop any malignancy. Matching criteria included time HIV+ or since
AIDS diagnosis, age, race and CD4+ cell count. Sera were tested for 161 serum
biomarkers using multiplexed bead-based immunoassays. RESULTS: A subset of 17
biomarkers, including cytokines, chemokines, acute phase proteins, tissue
remodeling agents and bone metabolic mediators was identified to be significantly
altered in A-NHL cases in comparison to controls. Many of the biomarkers included
in this subset were positively correlated with HIV viral load. A pathway analysis
of our results revealed an extensive network of interactions between current and
previously identified biomarkers. CONCLUSIONS: These findings support the current
hypothesis that A-NHL develops in the context of persistent immune stimulation
and inflammation. Further analysis of the biomarkers identified in this report
should enhance our ability to diagnose, monitor and treat this disease.
PMID- 24922519
TI - The interplay between the gut microbiota and the immune system.
AB - The impact of the gut microbiota on immune homeostasis within the gut and,
importantly, also at systemic sites has gained tremendous research interest over
the last few years. The intestinal microbiota is an integral component of a
fascinating ecosystem that interacts with and benefits its host on several
complex levels to achieve a mutualistic relationship. Host-microbial homeostasis
involves appropriate immune regulation within the gut mucosa to maintain a
healthy gut while preventing uncontrolled immune responses against the beneficial
commensal microbiota potentially leading to chronic inflammatory bowel diseases
(IBD). Furthermore, recent studies suggest that the microbiota composition might
impact on the susceptibility to immune-mediated disorders such as autoimmunity
and allergy. Understanding how the microbiota modulates susceptibility to these
diseases is an important step toward better prevention or treatment options for
such diseases.
PMID- 24922520
TI - Prognostic value of MET gene copy number and protein expression in patients with
surgically resected non-small cell lung cancer: a meta-analysis of published
literatures.
AB - BACKGROUND: The prognostic value of the copy number (GCN) and protein expression
of the mesenchymal-epithelial transition (MET) gene for survival of patients with
non-small cell lung cancer (NSCLC) remains controversial. This study aims to
comprehensively and quantitatively asses the suitability of MET GCN and protein
expression to predict patients' survival. METHODS: PubMed, Embase, Web of Science
and Google Scholar were searched for articles comparing overall survival in
patients with high MET GCN or protein expression with those with low level.
Pooled hazard ratio (HR) and 95% confidence intervals (CIs) were calculated using
the random and the fixed-effects models. Subgroup and sensitivity analyses were
also performed. RESULTS: Eighteen eligible studies enrolling 5,516 patients were
identified. Pooled analyses revealed that high MET GCN or protein expression was
associated with poor overall survival (OS) (GCN: HR = 1.90, 95% CI 1.35-2.68,
p<0.001; protein expression: HR = 1.52, 95% CI 1.08-2.15, p = 0.017). In Asian
populations (GCN: HR = 2.22, 95% CI 1.46-3.38, p<0.001; protein expression: HR =
1.89, 95% CI 1.34-2.68, p<0.001), but not in the non-Asian subset. For
adenocarcinoma, high MET GCN or protein expression indicated decreased OS (GCN:
HR = 1.49, 95% CI 1.05-2.10, p = 0.025; protein expression: HR = 1.69, 95% CI
1.31-2.19, p<0.001). Results were similar for multivariate analysis (GCN: HR =
1.61, 95% CI 1.15-2.25, p = 0.005; protein expression: HR = 2.18, 95% CI 1.60
2.97, p<0.001). The results of the sensitivity analysis were not materially
altered and did not draw different conclusions. CONCLUSIONS: Increased MET GCN or
protein expression was significantly associated with poorer survival in patients
with surgically resected NSCLC; this information could potentially further
stratify patients in clinical treatment.
PMID- 24922521
TI - Comparison of three shortened questionnaires for assessment of quality of life in
advanced cancer.
AB - OBJECTIVE: Quality of life (QoL) assessment questionnaires can be burdensome to
advanced cancer patients, thus necessitating the need for shorter assessment
instruments than traditionally available. We compare three shortened QoL
questionnaires in regards to their characteristics, validity, and reliability.
METHODS: A literature search was conducted to identify studies that employed or
discussed three abridged QoL questionnaires: the European Organization for
Research and Treatment of Cancer Quality of Life Core 15-Palliative Care (EORTC
QLQ-C15-PAL), the Functional Assessment of Cancer Therapy-General-7 (FACT-G7),
and the Functional Assessment of Chronic Illness Therapy-Palliative Care-14
(FACIT-PAL-14). Articles that discussed questionnaire length, intended use,
scoring procedure, and validation were included. RESULTS: The 7-item FACT-G7 is
the shortest instrument, whereas the EORTC QLQ-C15-PAL and the FACIT-PAL-14
contain 14 and 15 items, respectively. All three questionnaires have similar
recall period, item organization, and subscale components. Designed as core
questionnaires, all three maintain content and concurrent validity of their
unabridged original questionnaires. Both the EORTC QLQ-C15-PAL and the FACT-G7
demonstrate good internal consistency and reliability, with Cronbach's alpha
>=0.7 deemed acceptable. The developmental study for the FACIT-PAL-14 was
published in 2013 and subsequent validation studies are not yet available.
CONCLUSION: The EORTC QLQ-C15-PAL and the FACT-G7 were found to be reliable and
appropriate for assessing health-related QoL issues-the former for palliative
cancer patients and the latter for advanced cancer patients receiving
chemotherapy. Conceptually, the FACIT-PAL-14 holds promise to cover social and
emotional support issues that are not completely addressed by the other two
questionnaires; however, further validation is needed.
PMID- 24922522
TI - Graphene oxide-immobilized NH2-terminated silicon nanoparticles by cross-linked
interactions for highly stable silicon negative electrodes.
AB - There is a great interest in the utilization of silicon-based anodes for lithium
ion batteries. However, its poor cycling stability, which is caused by a dramatic
volume change during lithium-ion intercalation, and intrinsic low electric
conductivity hamper its industrial applications. A facile strategy is reported
here to fabricate graphene oxide-immobilized NH2-terminated silicon nanoparticles
(NPs) negative electrode (Si@NH2/GO) directed by hydrogen bonding and cross
linked interactions to enhance the capacity retention of the anode. The NH2
modified Si NPs first form strong hydrogen bonds and covalent bonds with GO. The
Si@NH2/GO composite further forms hydrogen bonds and covalent bonds with sodium
alginate, which acts as a binder, to yield a stable composite negative electrode.
These two chemical cross-linked/hydrogen bonding interactions-one between NH2
modified Si NPs and GO, and another between the GO and sodium alginate-along with
highly mechanically flexible graphene oxide, produced a robust network in the
negative electrode system to stabilize the electrode during discharge and charge
cycles. The as-prepared Si@NH2/GO electrode exhibits an outstanding capacity
retention capability and good rate performance, delivering a reversible capacity
of 1000 mAh g(-1) after 400 cycles at a current of 420 mA g(-1) with almost 100%
capacity retention. The results indicated the importance of system-level strategy
for fabricating stable electrodes with improved electrochemical performance.
PMID- 24922523
TI - Epithelial cyst of the superior oblique muscle trochlea.
AB - Most of the congenital orbital cysts are choristomas such as dermoid or
epidermoid and only in a few cases they are epithelial. Clinically, they manifest
as cystic movable formations mostly localized in the upper temporal quadrant of
the orbit. We describe here the case of a 49-year-old man with an orbital cyst
localized in the upper-nasal quadrant of the orbit and which was showing signs of
a gradual enlargement and progression over the past weeks. Computed tomography
revealed a cyst of 1.9 * 1.6 cm in size and located within the trochlea of the
upper oblique muscle. The cyst was completely extirpated after orbitotomy
performed by superciliary approach. Histopathology revealed a cyst with
nonkeratinized cuboidal epithelium. Postoperative course was uneventful, without
inflammation signs, and after 5 weeks excellent functional and aesthetic effects
were achieved with no iatrogenic alteration of the ocular motility.
PMID- 24922525
TI - Structural modifications of mitochondria-targeted chlorambucil alter cell death
mechanism but preserve MDR evasion.
AB - Multidrug resistance (MDR) remains one of the major obstacles in chemotherapy,
potentially rendering a multitude of drugs ineffective. Previously, we have
demonstrated that mitochondrial targeting of DNA damaging agents is a promising
tool for evading a number of common resistance factors that are present in the
nucleus or cytosol. In particular, mitochondria-targeted chlorambucil (mt-Cbl)
has increased potency and activity against resistant cancer cells compared to the
parent compound chlorambucil (Cbl). However, it was found that, due to its high
reactivity, mt-Cbl induces a necrotic type of cell death via rapid nonspecific
alkylation of mitochondrial proteins. Here, we demonstrate that by tuning the
alkylating activity of mt-Cbl via chemical modification, the rate of generation
of protein adducts can be reduced, resulting in a shift of the cell death
mechanism from necrosis to a more controlled apoptotic pathway. Moreover, we
demonstrate that all of the modified mt-Cbl compounds effectively evade MDR
resulting from cytosolic GST-MU upregulation by rapidly accumulating in
mitochondria, inducing cell death directly from within. In this study, we
systematically elucidated the advantages and limitations of targeting alkylating
agents with varying reactivity to mitochondria.
PMID- 24922524
TI - Effect of tacrine-3-caffeic acid, a novel multifunctional anti-Alzheimer's dimer,
against oxidative-stress-induced cell death in HT22 hippocampal neurons:
involvement of Nrf2/HO-1 pathway.
AB - AIMS: Oxidative stress (OS) plays an important role in the pathogenesis of
neurodegenerative diseases, including Alzheimer's disease (AD). This study was
designed to uncover the cellular and biochemical mechanisms underlying the
neuroprotective effects of tacrine-3-caffeic acid (T3CA), a novel promising
multifunctional anti-Alzheimer's dimer, against OS-induced neuronal death.
METHODS AND RESULTS: T3CA protected HT22 cells against high-concentration
glutamate-induced cell death in time- and concentration-dependent manners and
potently attenuated glutamate-induced intracellular reactive oxygen species (ROS)
production as well as mitochondrial membrane-potential (DeltaPsi) disruption.
Besides, T3CA significantly induced nuclear factor erythroid 2-related factor 2
(Nrf2) nuclear translocation and increased its transcriptional activity, which
were demonstrated by Western blotting, immunofluorescence, and antioxidant
response element (ARE)-luciferase reporter gene assay. Further studies showed
that T3CA potently up-regulated heme oxygenase-1 (HO-1), an endogenous
antioxidative enzyme and a downstream effector of Nrf2, at both mRNA and protein
levels. The neuroprotective effects of T3CA were partially reversed by brusatol,
which reduced protein level of Nrf2, or by inhibiting HO-1 with siRNA or ZnPP-IX,
a specific inhibitor of HO-1. CONCLUSIONS: Taken together, these results clearly
demonstrate that T3CA protects neurons against OS-induced cell death partially
through Nrf2/ARE/HO-1 signaling pathway, which further supports that T3CA might
be a promising novel therapeutic agent for OS-associated diseases.
PMID- 24922527
TI - Family income trajectory during childhood is associated with adolescent cigarette
smoking and alcohol use.
AB - BACKGROUND: Although childhood socioeconomic disadvantage has been linked with
adolescent tobacco and alcohol use in cross-sectional research, less is known
about the influence of changes in socioeconomic status during childhood. Upward
socioeconomic mobility may attenuate the negative influence of earlier
socioeconomic disadvantage on health, while downward mobility may counter the
health benefits of earlier socioeconomic advantage. This study evaluated the
influence of common trajectories of family income during childhood on smoking and
alcohol use during adolescence. METHODS: Data utilized were part of the 15-year
longitudinal Study of Early Child Care and Youth Development. A 5-class
trajectory model (two stable, one downward, and two upward income trajectories)
was developed previously with this sample (N=1356). Logistic regression analyses
were conducted to determine whether children of the more disadvantaged income
trajectories were more likely to engage in tobacco and alcohol use at age 15
relative to those of the most advantaged trajectory. RESULTS: Family income
trajectory was significantly associated with ever-smoking (p=.02) and past-year
alcohol use at age 15years (p=.008). Children from the less advantaged
trajectories were more likely to have ever-smoked than children of the most
advantaged trajectory (all p's<.05). Children of the downwardly mobile trajectory
were more likely to have used alcohol within the past year than children of the
most advantaged trajectories as well as the most disadvantaged trajectory (all
p's<.05). CONCLUSIONS: Findings indicate that childhood socioeconomic
disadvantage influences adolescent smoking, while downward socioeconomic mobility
influences adolescent alcohol use.
PMID- 24922517
TI - Gene-wide analysis detects two new susceptibility genes for Alzheimer's disease.
AB - BACKGROUND: Alzheimer's disease is a common debilitating dementia with known
heritability, for which 20 late onset susceptibility loci have been identified,
but more remain to be discovered. This study sought to identify new
susceptibility genes, using an alternative gene-wide analytical approach which
tests for patterns of association within genes, in the powerful genome-wide
association dataset of the International Genomics of Alzheimer's Project
Consortium, comprising over 7 m genotypes from 25,580 Alzheimer's cases and
48,466 controls. PRINCIPAL FINDINGS: In addition to earlier reported genes, we
detected genome-wide significant loci on chromosomes 8 (TP53INP1, p = 1.4*10-6)
and 14 (IGHV1-67 p = 7.9*10-8) which indexed novel susceptibility loci.
SIGNIFICANCE: The additional genes identified in this study, have an array of
functions previously implicated in Alzheimer's disease, including aspects of
energy metabolism, protein degradation and the immune system and add further
weight to these pathways as potential therapeutic targets in Alzheimer's disease.
PMID- 24922528
TI - The association of puberty and young adolescent alcohol use: do parents have a
moderating role?
AB - PURPOSE: To explore the extent to which parent-adolescent emotional closeness,
family conflict, and parental permissiveness moderate the association of puberty
and alcohol use in adolescents (aged 10-14). METHODS: Cross-sectional survey of
7631 adolescents from 231 Australian schools. Measures included pubertal status,
recent (30day) alcohol use, parent-adolescent emotional closeness, family
conflict, parental permissiveness of alcohol use and peer alcohol use. The
analysis was based on a two-level (individuals nested within schools) logistic
regression model, with main effects entered first, and interaction terms added
second. RESULTS: The interaction of family factors and pubertal stage did not
improve the fit of the model, so a main effect model of family factors and
pubertal stage was adopted. There were significant main effects for pubertal
stage with boys in middle puberty at increased odds of alcohol use, and girls in
advanced puberty at increased odds of alcohol use. CONCLUSIONS: Puberty and
family factors were strong predictors of adolescent alcohol use, but family
factors did not account for variation in the association of pubertal stage and
alcohol use.
PMID- 24922529
TI - Coping style and substance use intention and behavior patterns in a cohort of BC
adolescents.
AB - Adolescence is a developmental period during which young teenagers are
particularly susceptible to shifting from well-defined behavioral intentions to
abstain from substance use to intentions that include experimentation with
substance use and in many cases engagement substance use. Coping mechanisms are
often an important determinant of adolescent well-being, and the style of coping
adopted by the individual can influence positive or negative health behavior. The
goal of this study was to examine how the levels of positive coping style (i.e.,
engagement) and negative coping style (i.e., disengagement) associated with
increased risk for tobacco and marijuana use, and intentions to use among those
who have never tried. Higher levels of engagement coping were associated with
lower odds of tobacco and marijuana use (AOR=0.96 (95% CI: 0.94-0.98), p<0.001
and AOR=0.95 (95% CI: 0.93-0.97) p<0.001, respectively). Higher levels of
disengagement coping were associated with greater odds of tobacco and marijuana
use (AOR=1.03 (95% CI: 1.01-1.05), p<0.001 and AOR=1.05 (95% CI: 1.03-1.07),
p<0.001, respectively). Engagement coping was also protective against the
intention to use tobacco (AOR=0.97 (95% CI: 0.96-0.99), p<0.001) or marijuana
(AOR=0.98 (95% CI: 0.96-0.99), p<0.01). These findings suggest that
psychoeducational programs supporting the development of engagement oriented
coping strategies may contribute not only to reductions in adolescents' use of
tobacco and marijuana, but also to reductions in adolescents' intentions to use
in the future.
PMID- 24922526
TI - Muscle structure influences utrophin expression in mdx mice.
AB - Duchenne muscular dystrophy (DMD) is a severe muscle wasting disorder caused by
mutations in the dystrophin gene. To examine the influence of muscle structure on
the pathogenesis of DMD we generated mdx4cv:desmin double knockout (dko) mice.
The dko male mice died of apparent cardiorespiratory failure at a median age of
76 days compared to 609 days for the desmin-/- mice. An ~ 2.5 fold increase in
utrophin expression in the dko skeletal muscles prevented necrosis in ~ 91% of
1a, 2a and 2d/x fiber-types. In contrast, utrophin expression was reduced in the
extrasynaptic sarcolemma of the dko fast 2b fibers leading to increased membrane
fragility and dystrophic pathology. Despite lacking extrasynaptic utrophin, the
dko fast 2b fibers were less dystrophic than the mdx4cv fast 2b fibers suggesting
utrophin-independent mechanisms were also contributing to the reduced dystrophic
pathology. We found no overt change in the regenerative capacity of muscle stem
cells when comparing the wild-type, desmin-/-, mdx4cv and dko gastrocnemius
muscles injured with notexin. Utrophin could form costameric striations with
alpha-sarcomeric actin in the dko to maintain the integrity of the membrane, but
the lack of restoration of the NODS (nNOS, alpha-dystrobrevin 1 and 2, alpha1
syntrophin) complex and desmin coincided with profound changes to the sarcomere
alignment in the diaphragm, deposition of collagen between the myofibers, and
impaired diaphragm function. We conclude that the dko mice may provide new
insights into the structural mechanisms that influence endogenous utrophin
expression that are pertinent for developing a therapy for DMD.
PMID- 24922530
TI - Strengths and weaknesses of Global Positioning System (GPS) data-loggers and semi
structured interviews for capturing fine-scale human mobility: findings from
Iquitos, Peru.
AB - Quantifying human mobility has significant consequences for studying physical
activity, exposure to pathogens, and generating more realistic infectious disease
models. Location-aware technologies such as Global Positioning System (GPS)
enabled devices are used increasingly as a gold standard for mobility research.
The main goal of this observational study was to compare and contrast the
information obtained through GPS and semi-structured interviews (SSI) to assess
issues affecting data quality and, ultimately, our ability to measure fine-scale
human mobility. A total of 160 individuals, ages 7 to 74, from Iquitos, Peru,
were tracked using GPS data-loggers for 14 days and later interviewed using the
SSI about places they visited while tracked. A total of 2,047 and 886 places were
reported in the SSI and identified by GPS, respectively. Differences in the
concordance between methods occurred by location type, distance threshold (within
a given radius to be considered a match) selected, GPS data collection frequency
(i.e., 30, 90 or 150 seconds) and number of GPS points near the SSI place
considered to define a match. Both methods had perfect concordance identifying
each participant's house, followed by 80-100% concordance for identifying schools
and lodgings, and 50-80% concordance for residences and commercial and religious
locations. As the distance threshold selected increased, the concordance between
SSI and raw GPS data increased (beyond 20 meters most locations reached their
maximum concordance). Processing raw GPS data using a signal-clustering algorithm
decreased overall concordance to 14.3%. The most common causes of discordance as
described by a sub-sample (n=101) with whom we followed-up were GPS units being
accidentally off (30%), forgetting or purposely not taking the units when leaving
home (24.8%), possible barriers to the signal (4.7%) and leaving units home to
recharge (4.6%). We provide a quantitative assessment of the strengths and
weaknesses of both methods for capturing fine-scale human mobility.
PMID- 24922531
TI - Characteristics of myocardial postsystolic shortening in patients with
symptomatic hypertrophic obstructive cardiomyopathy before and half a year after
alcohol septal ablation assessed by speckle tracking echocardiography.
AB - OBJECTIVES: Postsystolic shortening (PSS) has been proposed as a marker of
myocardial dysfunction. Percutaneous transluminal septal myocardial ablation
(PTSMA) is an alternative therapy for patients with hypertrophic obstructive
cardiomyopathy (HOCM) that results in sustained improvements in atrial structure
and function. We investigated the effects of PTSMA on PSS in HOCM patients using
speckle tracking imaging. METHODS: Conventional echocardiographic and PSS
parameters were obtained in 18 healthy controls and 30 HOCM patients before and
half a year after PTSMA. RESULTS: Compared with the healthy controls, the number
of segments having PSS and the average value of PSS were significantly increased
in the HOCM patients. At 6 months after PTSMA, both the number of segments having
PSS (10.5+/-2.8 vs. 13.2+/-2.6; P<0.001) and the average value of PSS (-1.24+/
0.57 vs. -1.55+/-0.56; P = 0.009) were significantly reduced. Moreover, the
reductions in the average value of PSS correlated well with the reductions in the
E-to-Ea ratio (r = 0.705, P<0.001). CONCLUSIONS: Both the number of segments
having PSS and the average value of PSS were significantly increased in the HOCM
patients. PTSMA has a favourable effect on PSS, which may partly account for the
persistent improvement in LV diastolic function in HOCM patients after PTSMA.
PMID- 24922533
TI - In Candida parapsilosis the ATC1 gene encodes for an acid trehalase involved in
trehalose hydrolysis, stress resistance and virulence.
AB - An ORF named CPAR2-208980 on contig 005809 was identified by screening a Candida
parapsilosis genome data base. Its 67% identity with the acid trehalase sequence
from C. albicans (ATC1) led us to designate it CpATC1. Homozygous mutants that
lack acid trehalase activity were constructed by gene disruption at the two
CpATC1 chromosomal alleles. Phenotypic characterization showed that atc1Delta
null cells were unable to grow on exogenous trehalose as carbon source, and also
displayed higher resistance to environmental challenges, such as saline exposure
(1.2 M NaCl), heat shock (42 degrees C) and both mild and severe oxidative stress
(5 and 50 mM H2O2). Significant amounts of intracellular trehalose were
specifically stored in response to the thermal upshift in both wild type and
mutant strains. Analysis of their antioxidant activities revealed that catalase
was only triggered in response to heat shock in atc1Delta cells, whereas
glutathione reductase was activated upon mild oxidative stress in wild type and
reintegrant strains, and in response to the whole set of stress treatments in the
homozygous mutant. Furthermore, yeast cells with double CpATC1 deletion were
significantly attenuated in non-mammalian infection models, suggesting that
CpATC1 is required for the pathobiology of the fungus. Our results demonstrate
the involvement of CpAtc1 protein in the physiological hydrolysis of external
trehalose in C. parapsilosis, where it also plays a major role in stress
resistance and virulence.
PMID- 24922532
TI - Ubiquitin Specific Protease 26 (USP26) expression analysis in human testicular
and extragonadal tissues indicates diverse action of USP26 in cell
differentiation and tumorigenesis.
AB - Ubiquitin specific protease 26 (USP26), a deubiquitinating enzyme, is highly
expressed early during murine spermatogenesis, in round spermatids, and at the
blood-testis barrier. USP26 has also been recognized as a regulator of androgen
receptor (AR) hormone-induced action involved in spermatogenesis and steroid
production in in vitro studies. Prior mutation screening of USP26 demonstrated an
association with human male infertility and low testosterone production, but
protein localization and expression in the human testis has not been
characterized previously. USP26 expression analysis of mRNA and protein was
completed using murine and human testis tissue and human tissue arrays. USP26 and
AR mRNA levels in human testis were quantitated using multiplex qRT-PCR.
Immunofluorescence colocalization studies were performed with formalin
fixed/paraffin-embedded and frozen tissues using primary and secondary antibodies
to detect USP26 and AR protein expression. Human microarray dot blots were used
to identify protein expression in extra-gonadal tissues. For the first time,
expression of USP26 and colocalization of USP26 with androgen receptor in human
testis has been confirmed predominantly in Leydig cell nuclei, with less in
Leydig cell cytoplasm, spermatogonia, primary spermatocytes, round spermatids,
and Sertoli cells. USP26 likely affects regulatory proteins of early
spermatogenesis, including androgen receptor with additional activity in round
spermatids. This X-linked gene is not testis-specific, with USP26 mRNA and
protein expression identified in multiple other human organ tissues (benign and
malignant) including androgen-dependent tissues such as breast (myoepithelial
cells and secretory luminal cells) and thyroid tissue (follicular cells).
USP26/AR expression and interaction in spermatogenesis and androgen-dependent
cancer warrants additional study and may prove useful in diagnosis and management
of male infertility.
PMID- 24922534
TI - Clinical features and course of ocular toxocariasis in adults.
AB - PURPOSE: To investigate the clinical features, clinical course of granuloma,
serologic findings, treatment outcome, and probable infection sources in adult
patients with ocular toxocariasis (OT). METHODS: In this retrospective cohort
study, we examined 101 adult patients diagnosed clinically and serologically with
OT. Serial fundus photographs and spectral domain optical coherence tomography
images of all the patients were reviewed. A clinic-based case-control study on
pet ownership, occupation, and raw meat ingestion history was performed to
investigate the possible infection sources. RESULTS: Among the patients diagnosed
clinically and serologically with OT, 69.6% showed elevated immunoglobulin E
(IgE) levels. Granuloma in OT involved all retinal layers and several
vitreoretinal comorbidities were noted depending on the location of granuloma:
posterior pole granuloma was associated with epiretinal membrane and retinal
nerve fiber layer defects, whereas peripheral granuloma was associated with
vitreous opacity. Intraocular migration of granuloma was observed in 15 of 93
patients (16.1%). Treatment with albendazole (400 mg twice a day for 2 weeks) and
corticosteroids (oral prednisolone; 0.5-1 mg/kg/day) resulted in comparable
outcomes to patients on corticosteroid monotherapy; however, the 6-month
recurrence rate in patients treated with combined therapy (17.4%) was
significantly lower than that in patients treated with corticosteroid monotherapy
(54.5%, P=0.045). Ingestion of raw cow liver (80.8%) or meat (71.2%) was
significantly more common in OT patients than healthy controls. CONCLUSIONS: Our
study discusses the diagnosis, treatment, and prevention strategies for OT.
Evaluation of total IgE, in addition to anti-toxocara antibody, can assist in the
serologic diagnosis of OT. Combined albendazole and corticosteroid therapy may
reduce intraocular inflammation and recurrence. Migrating feature of granuloma is
clinically important and may further suggest the diagnosis of OT. Clinicians need
to carefully examine comorbid conditions for OT. OT may be associated with
ingestion of uncooked meat, especially raw cow liver, in adult patients.
PMID- 24922536
TI - Protein conservation and variation suggest mechanisms of cell type-specific
modulation of signaling pathways.
AB - Many proteins and signaling pathways are present in most cell types and tissues
and yet perform specialized functions. To elucidate mechanisms by which these
ubiquitous pathways are modulated, we overlaid information about cross-cell line
protein abundance and variability, and evolutionary conservation onto functional
pathway components and topological layers in the pathway hierarchy. We found that
the input (receptors) and the output (transcription factors) layers evolve more
rapidly than proteins in the intermediary transmission layer. In contrast,
protein expression variability decreases from the input to the output layer. We
observed that the differences in protein variability between the input and
transmission layer can be attributed to both the network position and the
tendency of variable proteins to physically interact with constitutively
expressed proteins. Differences in protein expression variability and
conservation are also accompanied by the tendency of conserved and constitutively
expressed proteins to acquire somatic mutations, while germline mutations tend to
occur in cell type-specific proteins. Thus, conserved core proteins in the
transmission layer could perform a fundamental role in most cell types and are
therefore less tolerant to germline mutations. In summary, we propose that the
core signal transmission machinery is largely modulated by a variable input layer
through physical protein interactions. We hypothesize that the bow-tie
organization of cellular signaling on the level of protein abundance variability
contributes to the specificity of the signal response in different cell types.
PMID- 24922537
TI - Thermogenesis, flowering and the association with variation in floral odour
attractants in Magnolia sprengeri (Magnoliaceae).
AB - Magnolia sprengeri Pamp. is an ornamentally and ecologically important tree that
blooms at cold temperatures in early spring. In this study, thermogenesis and
variation in the chemical compounds of floral odours and insect visitation in
relation to flowering cycles were studied to increase our understanding of the
role of floral thermogenesis in the pollination biology of M. sprengeri. There
were five distinct floral stages across the floral cycle of this species: pre
pistillate, pistillate, pre-staminate, staminate and post-staminate. Floral
thermogenesis during anthesis and consisted of two distinct peaks: one at the
pistillate stage and the other at the staminate stage. Insects of five families
visited M. sprengeri during the floral cycle, and sap beetles (Epuraea sp.,
Nitidulidae) were determined to be the most effective pollinators, whereas bees
(Apis cerana, Apidae) were considered to be occasional pollinators. A strong
fragrance was released during thermogenesis, consisting of 18 chemical compounds.
Although the relative proportions of these compounds varied at different floral
stages across anthesis, linalool, 1-iodo-2-methylundecane and 2,2,6-trimethyl-6
vinyltetrahydro-2H-pyran-3-ol were dominant. Importantly, we found that the
floral blends released during the pistillate and staminate stages were very
similar, and coincided with flower visitation by sap beetles and the two
thermogenic episodes. Based on these results, we propose that odour acts as a
signal for a reward (pollen) and that an odour mimicry of staminate-stage flowers
occurs during the pistillate stage.
PMID- 24922538
TI - Design, synthesis and biological evaluation of type-II VEGFR-2 inhibitors based
on quinoxaline scaffold.
AB - In an effort to develop ATP-competitive VEGFR-2 selective inhibitors, a series of
new quinoxaline-based derivatives was designed and synthesized. The target
compounds were biologically evaluated for their inhibitory activity against VEGFR
2. The design of the target compounds was accomplished after a profound study of
the structure activity relationship (SAR) of type-II VEGFR-2 inhibitors. Among
the synthesized compounds, 1-(2-((4-methoxyphenyl)amino)-3-oxo-3,4
dihydroquinoxalin-6-yl)-3-phenylurea (VIIa) displayed the highest inhibitory
activity against VEGFR-2. Molecular modeling study involving molecular docking
and field alignment was implemented to interpret the variable inhibitory activity
of the newly synthesized compounds.
PMID- 24922539
TI - A highly sensitive NADH sensor based on a mycelium-like nanocomposite using
graphene oxide and multi-walled carbon nanotubes to co-immobilize poly(luminol)
and poly(neutral red) hybrid films.
AB - Hybridization of poly(luminol) (PLM) and poly(neutral red) (PNR) has been
successfully performed and further enhanced by a conductive and steric hybrid
nanotemplate using graphene oxide (GO) and multi-walled carbon nanotubes
(MWCNTs). The morphology of the PLM-PNR-MWCNT-GO mycelium-like nanocomposite is
studied by SEM and AFM and it is found to be electroactive, pH-dependent, and
stable in the electrochemical system. It shows electrocatalytic activity towards
NADH with a high current response and low overpotential. Using amperometry, it
has been shown to have a high sensitivity of 288.9 MUA mM(-1) cm(-2) to NADH
(Eapp. = +0.1 V). Linearity is estimated in a concentration range of 1.33 * 10(
8) to 1.95 * 10(-4) M with a detection limit of 1.33 * 10(-8) M (S/N = 3).
Particularly, it also shows another linear range of 2.08 * 10(-4) to 5.81 * 10(
4) M with a sensitivity of 151.3 MUA mM(-1) cm(-2). The hybridization and
activity of PLM and PNR can be effectively enhanced by MWCNTs and GO, resulting
in an active hybrid nanocomposite for determination of NADH.
PMID- 24922540
TI - Genetic determinants of long-term changes in blood lipid concentrations: 10-year
follow-up of the GLACIER study.
AB - Recent genome-wide meta-analyses identified 157 loci associated with cross
sectional lipid traits. Here we tested whether these loci associate (singly and
in trait-specific genetic risk scores [GRS]) with longitudinal changes in total
cholesterol (TC) and triglyceride (TG) levels in a population-based prospective
cohort from Northern Sweden (the GLACIER Study). We sought replication in a
southern Swedish cohort (the MDC Study; N = 2,943). GLACIER Study participants (N
= 6,064) were genotyped with the MetaboChip array. Up to 3,495 participants had
10-yr follow-up data available in the GLACIER Study. The TC- and TG-specific GRSs
were strongly associated with change in lipid levels (beta = 0.02 mmol/l per
effect allele per decade follow-up, P = 2.0 * 10(-11) for TC; beta = 0.02 mmol/l
per effect allele per decade follow-up, P = 5.0 * 10(-5) for TG). In individual
SNP analysis, one TC locus, apolipoprotein E (APOE) rs4420638 (beta = 0.12 mmol/l
per effect allele per decade follow-up, P = 2.0 * 10(-5)), and two TG loci,
tribbles pseudokinase 1 (TRIB1) rs2954029 (beta = 0.09 mmol/l per effect allele
per decade follow-up, P = 5.1 * 10(-4)) and apolipoprotein A-I (APOA1) rs6589564
(beta = 0.31 mmol/l per effect allele per decade follow-up, P = 1.4 * 10(-8)),
remained significantly associated with longitudinal changes for the respective
traits after correction for multiple testing. An additional 12 loci were
nominally associated with TC or TG changes. In replication analyses, the APOE
rs4420638, TRIB1 rs2954029, and APOA1 rs6589564 associations were confirmed (P <=
0.001). In summary, trait-specific GRSs are robustly associated with 10-yr
changes in lipid levels and three individual SNPs were strongly associated with
10-yr changes in lipid levels.
PMID- 24922541
TI - Modeling the spread of multiple concurrent contagions on networks.
AB - Many contagions spread over various types of communication networks and their
spreading dynamics have been extensively studied in the literature. Here we
propose a general model for the concurrent spread of an arbitrary number of
contagions in complex networks. The model is stochastic and runs in discrete
time, and includes two widely used mechanisms by which a node can change its
state. The first, termed the spontaneous state change mechanism, describes
spontaneous transition to another state, while the second, termed the contact
induced state change mechanism, describes acquiring other contagions due to
contact with the neighbors. We consider reactive discrete-time spreading
processes of multiple concurrent contagions where time steps are of finite size
without neglecting the possibility of multiple infecting events in a single time
step. An essential element for making the model numerically tractable is the use
of an approximation for the probability that a node transits to a specific state
given any set of neighboring states. Different transmission probabilities may be
present between each pair of states. We also derive corresponding continuous-time
equations that are simple and intuitive. The model includes many well-known
epidemic and rumor spreading models as a special case and it naturally captures
spreading processes in multiplex networks.
PMID- 24922542
TI - Computational and experimental study on the electrocatalytic reduction of CO2 to
CO by a new mononuclear ruthenium(ii) complex.
AB - A new mononuclear ruthenium(ii) complex, trans-[Ru(dmb)2(Cl)(EtOH)](PF6) (dmb =
4,4'-dimethyl-2,2'-bipyridine), has been prepared and characterized by elemental
analysis, spectroscopic techniques and single crystal X-ray structure
determination. The complex was studied as a precatalyst for the electrocatalytic
reduction of CO2 to CO in an acetonitrile solution by cyclic voltammetry (CV).
The catalytic mechanism was investigated by means of quantum chemical
calculations to gain deeper insight into the process of CO2 reduction. The
results suggest that the reaction proceeds in six steps initiating by the two
sequential 1e reductions at the dmb ligands followed by CO2 addition to give a
metallocarboxylate intermediate. This intermediate undergoes further reduction
and loses a CO molecule. The results reported in this paper are of great
significance in providing theoretical insight into a class of electrocatalysts
for reduction of CO2 to CO.
PMID- 24922543
TI - Tricyclic pyrazoles. Part 6. Benzofuro[3,2-c]pyrazole: a versatile architecture
for CB2 selective ligands.
AB - A new series of 1H-benzofuro[3,2-c]pyrazole-3-carboxamides was synthesized. The
novel compounds (15-24) were evaluated for their affinity to CB2 and CB1
cannabinoid receptors. The synthesis of the title compounds takes advantage of
the acid-catalysed thermal cyclization of bicyclic hydrazone ethyl 2-(2-(2,4
dichlorophenyl)hydrazono)-2-(6-methyl-3-oxo-2,3-dihydrobenzofuran-2-yl)acetate to
tricyclic ethyl 1-(2,4-dichlorophenyl)-6-methyl-1H-benzofuro[3,2-c]pyrazol-3
carboxylate. All the obtained derivatives showed high affinity to CB2 receptors.
Moreover, significant selectivity for CB2 over CB1 receptors was highlighted for
lead derivatives amongst the novel series. The best binding profiles were
determined for homologues bearing monocyclic and bicyclic monoterpenic
substituents at the carbamoyl group at 3 position of the pyrazole ring (KiCB2 < 4
nM). In particular, the isopinocampheyl-substituted derivative 22 exhibited the
highest selectivity for CB2 receptors with Ki values of 3.7 and 2398 nM for CB2
and CB1 receptors, respectively. Preliminary functional assays evidenced CB2
agonism behaviour for all the assayed novel derivatives.
PMID- 24922544
TI - Discovery and characterization of novel imidazopyridine derivative CHEQ-2 as a
potent CDC25 inhibitor and promising anticancer drug candidate.
AB - Cell division cycle (CDC) 25 proteins are key phosphatases regulating cell cycle
transition and proliferation via the interactions with CDK/Cyclin complexes.
Overexpression of CDC25 proteins is frequently observed in cancer and is related
to aggressiveness, high-grade tumors and poor prognosis. Thus, inhibiting CDC25
activity in cancer treatment appears a good therapeutic strategy. In this
article, refinement of the initial hit XDW-1 by synthesis and screening of a
focused compound library led to the identification of a novel set of
imidazopyridine derivatives as potent CDC25 inhibitors. Among them, the most
potent molecule was CHEQ-2, which could efficiently inhibit the activities of
CDC25A/B enzymes as well as the proliferation of various different types of
cancer cell lines in vitro assay. Moreover, CHEQ-2 triggered S-phase cell cycle
arrest in MCF-7, HepG2 and HT-29 cell lines, accompanied by generation of ROS,
mitochondrial dysfunction and apoptosis. Besides, oral administration of CHEQ-2
(10 mg/kg) significantly inhibited xenografted human liver tumor growth in nude
mice, while demonstrated extremely low toxicity (LD50 > 2000 mg/kg). These
findings make CHEQ-2 a good starting point for further investigation and
structure modification.
PMID- 24922545
TI - Supraclavicular skin temperature as a measure of 18F-FDG uptake by BAT in human
subjects.
AB - BACKGROUND: Brown adipose tissue (BAT) has emerged as a novel player in energy
homeostasis in humans and is considered a potential new target for combating
obesity and related diseases. The current 'gold standard' for quantification of
BAT volume and activity is cold-induced 18F-FDG uptake in BAT. However, use of
this technique is limited by cost and radiation exposure. Given the fact that BAT
is a thermogenic tissue, mainly located in the supraclavicular region, the aim of
the current study was to investigate whether cold-induced supraclavicular skin
temperature and core body temperature may be alternative markers of BAT
activation in humans. SUBJECTS/METHODS: BAT volume and activity were measured in
24 healthy lean adolescent males (mean age 24.1+/-0.8 years), using cold-induced
18F-FDG uptake with PET-CT. Core body temperature was measured continuously in
the small intestine with use of an ingestible telemetric capsule and skin
temperature was measured by eighteen wireless iButtons attached to the skin
following ISO-defined locations. RESULTS: Proximal and distal (hand/feet) skin
temperatures markedly decreased upon cold exposure, while supraclavicular skin
temperature significantly increased (35.2+/-0.1 vs. 35.5+/-0.1 degrees C, p =
0.001). Furthermore, cold-induced supraclavicular skin temperature positively
correlated with both total (R2 = 0.28, P = 0.010) and clavicular BAT volume (R2 =
0.20, P = 0.030) and clavicular SUVmax (R2 = 0.27, P = 0.010), while core body
temperature did not. CONCLUSIONS: Supraclavicular skin temperature as measured by
iButtons may have predictive value for BAT detection in adult humans. This is
highly desirable considering the increasing interest in pharmacological
interventions to stimulate BAT in human subjects. TRIAL REGISTRATION: NTR 2473.
PMID- 24922547
TI - You are what you eat.
AB - Gut bacteria is now considered as an additional host organ, and it has been shown
that they have important influences on host developmental and physiological
processes. More recently gut bacteria have additionally been implicated in
behavioral processes. We showed that in two species of Drosophila, gut bacteria
variation affects behavior, altering partner investment in copulation in relation
to whether individuals were familiar (i.e., having developed in the same
environment), or were related. This suggests that gut bacteria play a role in kin
recognition in these species. We suggest that this phenomenon is associated with
gut bacteria influencing the scent profiles used by Drosophila in mate choice.
PMID- 24922546
TI - Zebrafish ambra1a and ambra1b knockdown impairs skeletal muscle development.
AB - The essential role of autophagy in muscle homeostasis has been clearly
demonstrated by phenotype analysis of mice with muscle-specific inactivation of
genes encoding autophagy-related proteins. Ambra1 is a key component of the
Beclin 1 complex and, in zebrafish, it is encoded by two paralogous genes,
ambra1a and ambra1b, both required for normal embryogenesis and larval
development. In this study we focused on the function of Ambra1, a positive
regulator of the autophagic process, during skeletal muscle development by means
of morpholino (MO)-mediated knockdown and compared the phenotype of zebrafish
Ambra1-depleted embryos with that of Ambra1gt/gt mouse embryos. Morphological
analysis of zebrafish morphant embryos revealed that silencing of ambra1 impairs
locomotor activity and muscle development, as well as myoD1 expression. Skeletal
muscles in ATG-morphant embryos displayed severe histopathological changes and
contained only small areas of organized myofibrils that were widely dispersed
throughout the cell. Double knockdown of ambra1a and ambra1b resulted in a more
severe phenotype whereas defects were much less evident in splice-morphants. The
morphants phenotypes were effectively rescued by co-injection with human AMBRA1
mRNA. Together, these results indicate that ambra1a and ambra1b are required for
the correct development and morphogenesis of skeletal muscle.
PMID- 24922548
TI - Convergence analysis of evolutionary algorithms that are based on the paradigm of
information geometry.
AB - The convergence behaviors of so-called natural evolution strategies (NES) and of
the information-geometric optimization (IGO) approach are considered. After a
review of the NES/IGO ideas, which are based on information geometry, the
implications of this philosophy w.r.t. optimization dynamics are investigated
considering the optimization performance on the class of positive quadratic
objective functions (the ellipsoid model). Exact differential equations
describing the approach to the optimizer are derived and solved. It is rigorously
shown that the original NES philosophy optimizing the expected value of the
objective functions leads to very slow (i.e., sublinear) convergence toward the
optimizer. This is the real reason why state of the art implementations of IGO
algorithms optimize the expected value of transformed objective functions, for
example, by utility functions based on ranking. It is shown that these utility
functions are localized fitness functions that change during the IGO flow. The
governing differential equations describing this flow are derived. In the case of
convergence, the solutions to these equations exhibit an exponentially fast
approach to the optimizer (i.e., linear convergence order). Furthermore, it is
proven that the IGO philosophy leads to an adaptation of the covariance matrix
that equals in the asymptotic limit-up to a scalar factor-the inverse of the
Hessian of the objective function considered.
PMID- 24922550
TI - Early experience with multiparametric magnetic resonance imaging-targeted
biopsies under visual transrectal ultrasound guidance in patients suspicious for
prostate cancer undergoing repeated biopsy.
AB - OBJECTIVES: The purpose of this study was to investigate the detection rate of
prostate cancer (PCa) by multiparametric magnetic resonance imaging-targeted
biopsies (mp-MRI-bx) in patients with prior negative transrectal ultrasound
biopsy (TRUS-bx) sessions without previous experience of this. MATERIAL AND
METHODS: Eighty-three patients with prior negative TRUS-bx scheduled for repeated
biopsies due to persistent suspicion of PCa were prospectively enrolled. mp-MRI
was performed before biopsy and all lesions were scored according to the Prostate
Imaging Reporting and Data System (PI-RADS) and Likert classification. All
underwent repeated TRUS-bx (10 cores) and mp-MRI-bx under visual TRUS guidance of
any mp-MRI-suspicious lesion not targeted by systematic TRUS-bx. RESULTS: PCa was
found in 39 out of 83 patients (47%) and mp-MRI identified at least one lesion
with some degree of suspicion in all 39 patients. Both PI-RADS and Likert scoring
showed a high correlation between suspicion of malignancy and biopsy results (p <
0.0001). Five patients (13%) had cancer detected only on mp-MRI-bx outside the
TRUS-bx areas (p = 0.025) and another seven patients (21%) had an overall Gleason
score upgrade of at least one grade based on the mp-MRI-bx. Secondary PCa lesions
not visible on mp-MRI were detected by TRUS-bx in six out of 39 PCa patients. The
secondary foci were all Gleason 6 (3 + 3) in 5-10% of the biopsy core. According
to the Epstein criteria, 37 out of 39 cancer patients were classified as
clinically significant. CONCLUSION: Using mp-MRI, even without previous
experience, can improve the detection rate of significant PCa at repeated biopsy
and allows more accurate Gleason grading.
PMID- 24922549
TI - Rat middle cerebral artery occlusion is not a suitable model for the study of
stroke-induced spontaneous infections.
AB - BACKGROUND: Infections related to stroke-induced immunodepression are an
important complication causing a high rate of death in patients. Several
experimental studies in mouse stroke models have described this process but it
has never been tested in other species such as rats. METHODS: Our study focused
on the appearance of secondary systemic and pulmonary infections in ischemic
rats, comparing with sham and naive animals. For that purpose, male Wistar rats
were subjected to embolic middle cerebral artery occlusion (eMCAO) or to
transient MCAO (tMCAO) inserting a nylon filament. Forty-eight hours after
ischemia, blood and lung samples were evaluated. RESULTS: In eMCAO set, ischemic
rats showed a significant decrease in blood-peripheral lymphocytes (naive =
58.8+/-18.1%, ischemic = 22.9+/-16.4%) together with an increase in
polymorphonuclears (PMNs) (naive = 29.2+/-14.7%, ischemic = 71.7+/-19.5%), while
no change in monocytes was observed. The increase in PMNs counts was positively
correlated with worse neurological outcome 48 hours after eMCAO (r = 0.55, p =
0.043). However, sham animals showed similar changes in peripheral leukocytes as
those seen in ischemic rats (lymphocytes: 40.1+/-19.7%; PMNs: 51.7+/-19.2%).
Analysis of bacteriological lung growth showed clear differences between naive
(0+/-0 CFU/mL; log10) and both sham (3.9+/-2.5 CFU/mL; log10) and ischemic (4.3+/
2.9 CFU/mL; log10) groups. Additionally, naive animals presented non-pathological
lung histology, while both sham and ischemic showed congestion, edema or
hemorrhage. Concordant results were found in the second set of animals submitted
to a tMCAO. CONCLUSIONS: Inflammatory and infection changes in Wistar rats
subjected to MCAO models may be attributed not only to the brain ischemic injury
but to the surgical aggression and/or anaesthetic stress. Consequently, we
suggest that stroke-induced immunodepression in ischemic experimental models
should be interpreted with caution in further experimental and translational
studies, at least in rat stroke models that entail cervicotomy and cranial
trepanation.
PMID- 24922552
TI - Preliminary thermoluminescence and optically stimulated luminescence
investigation of commercial pharmaceutical preparations towards the drug
sterilization dosimetry.
AB - Drug sterilization with ionizing radiation is a well-established technology and
is gaining ground the last decades due to its numerous advantages. Identification
of irradiated drugs would be interesting and, in this respect, the present work
aims, for the first time to the authors' best knowledge, to explore whether OSL
and TL can be employed as methods for post-sterilization dosimetry on commercial
drugs, i.e., as tools for the detection of irradiated drugs. Five widely used
drugs, i.e., Daktarin((r)), Aspirin((r)), Panadol((r)), Brufen((r)) and
Procef((r)), are used for this purpose. Preliminary findings are very promising
towards the post-sterilization dosimetry and the use of commercial drugs for
normal and/or accidental dosimetry.
PMID- 24922551
TI - Alpha-fetoprotein, identified as a novel marker for the antioxidant effect of
placental extract, exhibits synergistic antioxidant activity in the presence of
estradiol.
AB - Placenta, as a reservoir of nutrients, has been widely used in medical and
cosmetic materials. Here, we focused on the antioxidant properties of placental
extract and attempted to isolate and identify the main antioxidant factors.
Porcine placental extracts were prepared through homogenization or acid
hydrolysis, and their antioxidant activity was investigated in the human
keratinocyte HaCaT cell line. Treatment with homogenized placental extract (H-PE)
increased the cell viability of H2O2-treated HaCaT cells more than two-fold. H-PE
treatment suppressed H2O2-induced apoptotic and necrotic cell death and decreased
intracellular ROS levels in H2O2-treated HaCaT cells. The antioxidant factors in
H-PE were found to be thermo-unstable and were thus expected to include proteins.
The candidate antioxidant proteins were fractionated with cation-exchange, anion
exchange, and size-exclusion chromatography, and the antioxidant properties of
the chromatographic fractions were investigated. We obtained specific antioxidant
fractions that suppressed ROS generation and ROS-induced DNA strand breaks. From
silver staining and MALDI-TOF analyses, alpha-fetoprotein (AFP) precursor was
identified as a main marker for the antioxidant effect of H-PE. Purified AFP or
ectopically expressed AFP exhibited synergistic antioxidant activity in the
presence of estradiol. Taken together, our data suggest that AFP, a serum
glycoprotein produced at high levels during fetal development, is a novel marker
protein for the antioxidant effect of the placenta that exhibits synergistic
antioxidant activity in the presence of estradiol.
PMID- 24922553
TI - 68Ge content quality control of 68Ge/68Ga-generator eluates and 68Ga
radiopharmaceuticals--a protocol for determining the 68Ge content using thin
layer chromatography.
AB - (68)Ge breakthrough from a (68)Ge/(68)Ga-generator appears to be one of the most
critical parameters for the routine clinical application of this generator and
(68)Ga-radiopharmaceuticals. We report a TLC-based (thin-layer chromatography)
protocol which allows the (68)Ge breakthrough of a generator to be determined
within 1 h post-initial elution. The protocol can also be adapted to allow the
(68)Ge content of a (68)Ga-radiopharmaceutical preparation to be determined prior
to in vivo application.
PMID- 24922554
TI - Determination of full-energy peak efficiency at the center position of a through
hole-type clover detector between 0.05 MeV and 3.2 MeV by source measurements and
Monte Carlo simulations.
AB - Full-energy peak efficiency at the center position of a through-hole-type clover
detector was determined by the measurement of standard sources and by Monte Carlo
simulation. The coincidence summing under the large-solid-angle condition was
corrected using Monte Carlo calculation based on the specific decay scheme for
(133)Ba, (152,154)Eu, and (56)Co. This allowed the peak efficiency to be extended
from 0.05 MeV to 3.2 MeV with an approximate uncertainty of 3%.
PMID- 24922555
TI - Type 2 diabetes in pregnancy: Rates of fetal malformations and level of
preconception care.
AB - An observational study was performed to assess the prevalence of fetal
malformations and the level of preconception care in women with Type 2 diabetes.
Pregnant women with Type 2 diabetes who delivered between 2002 and 2010 were
recruited to the study. The fetal malformation rate of patients with Type 2
diabetes was compared with the rate in a control group; the general population.
The malformation rate was 3.2 (7.2-2.2%; p < 0.0001) greater in the diabetes
group. In addition, only 4% of women with Type 2 diabetes had preconception care.
Despite evidence of benefits in women receiving preconception care, patients with
Type 2 diabetes do not attend for preconception counselling and the malformation
rate is high.
PMID- 24922556
TI - Cross-interference of plant development and plant-microbe interactions.
AB - Plant roots are host to a multitude of filamentous microorganisms. Among these,
arbuscular mycorrhizal fungi provide benefits to plants, while pathogens trigger
diseases resulting in significant crop yield losses. It is therefore imperative
to study processes which allow plants to discriminate detrimental and beneficial
interactions in order to protect crops from diseases while retaining the ability
for sustainable bio-fertilisation strategies. Accumulating evidence suggests that
some symbiosis processes also affect plant-pathogen interactions. A large part of
this overlap likely constitutes plant developmental processes. Moreover, microbes
utilise effector proteins to interfere with plant development. Here we list
relevant recent findings on how plant-microbe interactions intersect with plant
development and highlight future research leads.
PMID- 24922557
TI - Image and surgery-related costs comparing cone beam CT and panoramic imaging
before removal of impacted mandibular third molars.
AB - OBJECTIVES: The aim of this prospective clinical study was to derive the absolute
and relative costs of cone beam CT (CBCT) and panoramic imaging before removal of
an impacted mandibular third molar. Furthermore, the study aimed to analyse the
influence of different cost-setting scenarios on the outcome of the absolute and
relative costs and the incremental costs related to surgery. METHODS: A
randomized clinical trial compared complications following surgical removal of a
mandibular third molar, where the pre-operative diagnostic method had been
panoramic imaging or CBCT. The resources implied in the two methods were measured
with health economic tools. The primary outcome was total costs defined as the
sum of absolute imaging costs and incremental surgery-related costs. The basic
variables were capital costs, operational costs, radiological costs, radiographic
costs, overheads and patient resource utilization. Differences in resources used
for surgical and post-surgical management were calculated for each patient.
RESULTS: Converted to monetary units, the total costs for panoramic imaging
equalized ?49.29 and for CBCT examination ?184.44. Modifying effects on this
outcome such as differences in surgery time, treatment time for complications,
pre- and post-surgical medication, sickness absence, specialist treatment and
hospitalization were not statistically significant between the two diagnostic
method groups. CONCLUSIONS: Costs for a CBCT examination were approximately four
times the costs for panoramic imaging when used prior to removal of a mandibular
third molar. The use of CBCT did not change the resources used for surgery, post
surgical treatment and patient complication management.
PMID- 24922558
TI - Theoretical study of the photochemical initiation in nitroxide-mediated
photopolymerization.
AB - Nitroxide-mediated photopolymerization (NMP(2)) is a promising novel route to
initiate radical polymerization. In NMP(2), alkoxyamines bounded to a monomer are
attached to a chromophore. Upon light absorption, the excitation energy is
transferred from the chromophore to the alkoxyamine moiety, inducing the cleavage
of the oxygen-carbon bond and thus initiating the polymerization. The NMP(2)
mechanism depends strongly on several factors like the type of chromophore, the
monomer, the connectivity pattern, etc. This complexity makes it difficult to
design new NMP(2) initiators with increased polymerization efficiency and
selectivity. In the present article, we characterize by means of quantum
mechanical calculations the main steps of the NMP(2) initiation for alkoxyamines
attached to aromatic ketones. We show how the excitation energy can be
transferred from the chromophore to the alkoxyamine moiety, and present two
easily computed parameters which can account for the selectivity of the O-C bond
photocleaveage. Finally, using results obtained for a series of isomers, we give
some rules that may help the design of more efficient NMP(2) initiators.
PMID- 24922559
TI - Use of angular vessels in head and neck free-tissue transfer: a comprehensive
preclinical evaluation.
AB - IMPORTANCE: The angular artery, its perforating branches, and their zones of
tissue perfusion have been described extensively for facial reconstruction.
Various cutaneous and mucosal flaps with either anterograde or retrograde
perfusion play an important role in facial and oral reconstruction. However,
these flaps share the limitations of pedicled nature and donor-site intolerance.
Free-tissue transfer (FTT) has transformed capabilities and outcomes in head and
neck reconstruction. While less constrained by tissue volume and subtype, FTT has
its own limitations, including pedicle reach for anastomosis to inflow and
outflow vasculature in upper face reconstruction. The angular vessels, owing to
their relatively high central location and accessibility via a camouflaged
nasolabial fold incision, may have value in midface and nasal reconstruction.
OBJECTIVES: To detail a technique for consistently locating the angular vessels
while preserving the integrity of adjacent neuromuscular structures and to
evaluate the caliber and consistency of the angular artery and vein for their
usability in microvascular anastomosis. DESIGN AND SETTING: We conducted a PubMed
literature search for the terms angular artery, melolabial flap, nasolabial flap,
retroangular flap, and any associations with FTT. We also performed 26 anatomic
cadaveric dissections on 13 fresh cadavers to evaluate the angular arteries and
veins. MAIN OUTCOMES AND MEASURES: Vessel caliber, length, and variability were
analyzed and utility for use in FTT was assessed. A total of 26 angular arteries
and 26 angular veins were included in the analysis. Anatomic relationships were
used to develop a surgical schema for dissection and isolation of the angular
vessels specifically for FTT. RESULTS: The angular vessels have consistent
anatomic relationships facilitating localization and have a consistent caliber
amenable to use in microvascular FTT. The mean (SD) artery diameter was 2.34
(0.67) mm prior to dilation and 3.21 (0.87) mm after dilation. The diameters of
the vein before and after dilation were 3.57 (0.53) mm and 6.40 (0.81) mm,
respectively. There was no statistical difference between the vessels on the
right and left sides. CONCLUSIONS AND RELEVANCE: We describe for the first time
the anatomic cadaveric dissection and analysis of the angular arteries and veins
specifically to determine compatibility with regard to FTT. We found good FTT
compatibility. LEVEL OF EVIDENCE: NA.
PMID- 24922560
TI - Process induced electroactive beta-polymorph in PVDF: effect on dielectric and
ferroelectric properties.
AB - The effects of various processing conditions, like annealing, poling, mechanical
rolling and their combinations, on the dielectric and ferroelectric properties of
PVDF [poly(vinylidene fluoride)] were systematically studied in this work.
Further, the effect of processing sequence on the structure and properties was
investigated. While all the processing conditions adopted here resulted in phase
transformation of the alpha- to electroactive beta-polymorph in PVDF, the
fraction of beta-phase developed was observed to be strongly contingent on the
adopted process. The transformation of alpha to electroactive beta-polymorph was
determined by X-ray diffraction and FTIR. The neat PVDF showed only alpha-phase,
whereas mechanically rolled samples exhibited the highest ca. 85% beta-phase in
PVDF. Both the permittivity and the loss tangent decreased in the samples which
had undergone different processing conditions. The polarization-electric field (P
E) loops for all the samples were evaluated. Interestingly, the energy density,
estimated from the electrical displacement-electric field (D-E) loops, was
observed to be highest for the poled samples which were initially rolled. The
results indicate that various processing conditions can influence the dielectric
and the ferroelectric properties differently.
PMID- 24922561
TI - Multicenter cohort study to assess the impact of a silver-alloy and hydrogel
coated urinary catheter on symptomatic catheter-associated urinary tract
infections.
AB - PURPOSE: The purpose of this study was to determine the effect of a silver-alloy
hydrogel catheter on symptomatic catheter-associated urinary tract infections
(CAUTIs). DESIGN: Multicenter before-after non-randomized cohort study. SUBJECTS
AND SETTING: Seven acute care hospitals ranging in size from 124 to 607 beds
participated in this study. The study population included adult patients with a
positive urine culture 2 or more days after admission, who underwent Foley
catheterization. METHODS: Catheter-associated urinary tract infection
surveillance was conducted at each hospital for at least 3 months during the use
of a standard catheter and 3 months during the use of the silver-alloy hydrogel
catheter. Both the National Healthcare Safety Network (NHSN) surveillance and a
clinical definition of CAUTI were used for rate calculation. RESULTS: A 47%
relative reduction in the CAUTI rate was observed with the silver-alloy hydrogel
catheter compared to the standard catheter when both infection definitions were
used (0.945/1000 patient days vs 0.498/1000 patient days) (odds ratio = 0.53; P <
.0001; 95% CI: 0.45-0.62). When only NHSN-defined CAUTIs were considered, a 58%
relative reduction occurred in the silver-alloy hydrogel period (0.60/1000
patient days vs 0.25/1000 patient days) (odds ratio = 0.42; P < .0001; 95% CI:
0.34-0.53). Antimicrobial days for CAUTIs decreased from 1165 (standard catheter
period) to 406 (silver-alloy hydrogel period). CONCLUSIONS: Use of a silver-alloy
hydrogel urinary catheter reduced symptomatic CAUTI occurrences as defined by
both NHSN and clinical criteria.
PMID- 24922562
TI - Study of active duty military for pulmonary disease related to environmental
deployment exposures (STAMPEDE).
AB - RATIONALE: Because of increased levels of airborne particulate matter in
Southwest Asia, deployed military personnel are at risk for developing acute and
chronic lung diseases. Increased respiratory symptoms are reported, but limited
data exist on reported lung diseases. OBJECTIVES: To evaluate new respiratory
complaints in military personnel returning from Southwest Asia to determine
potential etiologies for symptoms. METHODS: Returning military personnel
underwent a prospective standardized evaluation for deployment-related
respiratory symptoms within 6 months of returning to their duty station.
MEASUREMENTS AND MAIN RESULTS: Prospective standardized evaluation included full
pulmonary function testing, high-resolution chest tomography, methacholine
challenge testing, and fiberoptic bronchoscopy with bronchoalveolar lavage. Other
procedures including lung biopsy were performed if clinically indicated. Fifty
patients completed the study procedures. A large percentage (42%) remained
undiagnosed, including 12% with normal testing and an isolated increase in lavage
neutrophils or lymphocytes. Twenty (40%) patients demonstrated some evidence of
airway hyperreactivity to include eight who met asthma criteria and two with
findings secondary to gastroesophageal reflux. Four (8%) additional patients had
isolated reduced diffusing capacity and the remaining six had other miscellaneous
airway disorders. No patients were identified with diffuse parenchymal disease on
the basis of computed tomography imaging. A significant number (66%) of this
cohort had underlying mental health and sleep disorders. CONCLUSIONS: Evaluation
of new respiratory symptoms in military personnel after service in Southwest Asia
should focus on airway hyperreactivity from exposures to higher levels of ambient
particulate matter. These patients may be difficult to diagnose and require close
follow-up.
PMID- 24922563
TI - Investigations of the intermolecular forces between RDX and polyethylene by force
distance spectroscopy and molecular dynamics simulations.
AB - The development of novel nanoenergetic materials with enhanced bulk properties
requires an understanding of the intermolecular interactions occurring between
molecular components. We investigate the surface interactions between 1,3,5
trinitro-1,3,5-triazacyclohexane (RDX) and polyethylene (PE) crystals on the
basis of combined use of molecular dynamics (MD) simulations and force-distance
spectroscopy, in conjunction with Lifshitz macroscopic theory of van der Waals
forces between continuous materials. The binding energy in the RDX-PE system
depends both on the degree of PE crystallinity and on the RDX crystal face. Our
MD simulations yield binding energies of approximately 132 and 120 mJ/m(2) for
100% amorphous and 100% crystalline PE on RDX (210), respectively. The average
value is about 36% greater than our experimental value of 81 +/- 15 mJ/m(2) for
PE (~48% amorphous) on RDX (210). By comparison, Liftshitz theory predicts a
value of about 79 mJ/m(2) for PE interacting with RDX. Our MD simulations also
predict larger binding energies for both amorphous and crystalline PE on RDX
(210) compared to the RDX (001) surface. Analysis of the interaction potential
indicates that about 60% of the binding energy in the PE-RDX system is due to
attractive interactions between HPE-ORDX and CPE-NRDX pairs of atoms. Further,
amorphous PE shows a much longer interaction distance than crystalline PE with
the (210) and (001) RDX surfaces due to the possibility of larger polymer
elongations in the case of amorphous PE as strain is applied. Also, we report
estimates of the binding energies of energetic materials RDX and octahydro
1,3,5,7-tetranitro-1,3,5,7-tetrazocine (HMX) with PE, propylene, polystyrene, and
several fluorine-containing polymers using Lifshitz theory and compare these with
reported MD calculations.
PMID- 24922564
TI - The superelastic mechanism of Si3N4 microsprings using micro-Raman spectroscopy.
AB - Silicon nitride microsprings with superelasticity are characterized using SEM,
XRD, TEM and micro-Raman methods. The internal structure and the superelastic
mechanism of silicon nitride microsprings are proposed through analyzing the
variation of Raman peaks upon stretching gradually. During the stretching
process, since all the vibrations are internal vibrations within the primitive
unit cell, the basic structure has no changes and the residual stress never
concentrates. The special structure of the fine grains and no sharp grain
boundaries make the silicon nitride microsprings possess such good superelastic
properties.
PMID- 24922565
TI - Influenza viruses en route from birds to man.
AB - Human and other mammalian influenza viruses emerge from a large gene pool
provided by avian influenza viruses. Two recent studies (Watanabe et al., 2014;
Linster et al., 2014) show that adaptation to a mammalian host depends on a
limited number of mutations that allow airborne transmission, a specific trait of
the mammalian viruses.
PMID- 24922566
TI - Toward an activist agenda for monitoring virus emergence.
AB - The continuing emergence of arboviruses such as chikungunya virus requires
thoughtful attention and approaches for risk management. Incorporating
experimental evolutionary studies, as described in this issue by Stapleford et
al. (2014), has the potential to move public health toward a more proactive
agenda for predicting and responding to disease emergence.
PMID- 24922567
TI - Antigen smuggling in tuberculosis.
AB - The importance of CD4 T lymphocytes in immunity to M. tuberculosis is well
established; however, how dendritic cells activate T cells in vivo remains
obscure. In this issue of Cell Host & Microbe, Srivastava and Ernst (2014) report
a mechanism of antigen transfer for efficient activation of antimycobacterial T
cells.
PMID- 24922568
TI - Nod-like receptors have a grip on stem cells.
AB - Two reports in this issue of Cell Host & Microbe establish that Nod-like receptor
proteins NOD1 and NOD2 regulate stem cell function. Burberry et al. (2014)
demonstrate that NOD1 and NOD2 synergize with TLRs to mobilize hematopoietic stem
cells. Nigro et al. (2014) report that NOD2 provides cytoprotection to intestinal
stem cells.
PMID- 24922569
TI - Exploring new horizons in microbiome research.
AB - Leading scientists in microbiome research met at Lake Titisee, Germany, in April
2014 to discuss the current state of the field, the most urgent and unresolved
questions, state-of-the-art technological advances, and new avenues of future
research. We summarize some of the concepts and themes discussed at this meeting.
PMID- 24922570
TI - Advances in norovirus biology.
AB - Human noroviruses are a major cause of epidemic and sporadic gastroenteritis
worldwide and can chronically infect immunocompromised patients. Efforts to
develop effective vaccines and antivirals have been hindered by the uncultivable
nature and extreme genetic diversity of human noroviruses. Although they remain a
particularly challenging pathogen to study, recent advances in norovirus animal
models and in vitro cultivation systems have led to an increased understanding of
norovirus molecular biology and replication, pathogenesis, cell tropism, and
innate and adaptive immunity. Furthermore, clinical trials of vaccines consisting
of nonreplicating virus-like particles have shown promise. In this review, we
summarize these recent advances and discuss controversies in the field, which is
rapidly progressing toward generation of antiviral agents and increasingly
effective vaccines.
PMID- 24922571
TI - The Nogo receptor NgR1 mediates infection by mammalian reovirus.
AB - Neurotropic viruses, including mammalian reovirus, must disseminate from an
initial site of replication to the central nervous system (CNS), often binding
multiple receptors to facilitate systemic spread. Reovirus engages junctional
adhesion molecule A (JAM-A) to disseminate hematogenously. However, JAM-A is
dispensable for reovirus replication in the CNS. We demonstrate that reovirus
binds Nogo receptor NgR1, a leucine-rich repeat protein expressed in the CNS, to
infect neurons. Expression of NgR1 confers reovirus binding and infection of
nonsusceptible cells. Incubating reovirus virions with soluble NgR1 neutralizes
infectivity. Blocking NgR1 on transfected cells or primary cortical neurons
abrogates reovirus infection. Concordantly, reovirus infection is ablated in
primary cortical neurons derived from NgR1 null mice. Reovirus virions bind to
soluble JAM-A and NgR1, while infectious disassembly intermediates (ISVPs) bind
only to JAM-A. These results suggest that reovirus uses different capsid
components to bind distinct cell-surface molecules, engaging independent
receptors to facilitate spread and tropism.
PMID- 24922573
TI - Emergence and transmission of arbovirus evolutionary intermediates with epidemic
potential.
AB - The high replication and mutation rates of RNA viruses can result in the
emergence of new epidemic variants. Thus, the ability to follow host-specific
evolutionary trajectories of viruses is essential to predict and prevent
epidemics. By studying the spatial and temporal evolution of chikungunya virus
during natural transmission between mosquitoes and mammals, we have identified
viral evolutionary intermediates prior to emergence. Analysis of virus
populations at anatomical barriers revealed that the mosquito midgut and salivary
gland pose population bottlenecks. By focusing on virus subpopulations in the
saliva of multiple mosquito strains, we recapitulated the emergence of a recent
epidemic strain of chikungunya and identified E1 glycoprotein mutations with
potential to emerge in the future. These mutations confer fitness advantages in
mosquito and mammalian hosts by altering virion stability and fusogenic activity.
Thus, virus evolutionary trajectories can be predicted and studied in the short
term before new variants displace currently circulating strains.
PMID- 24922572
TI - Circulating avian influenza viruses closely related to the 1918 virus have
pandemic potential.
AB - Wild birds harbor a large gene pool of influenza A viruses that have the
potential to cause influenza pandemics. Foreseeing and understanding this
potential is important for effective surveillance. Our phylogenetic and
geographic analyses revealed the global prevalence of avian influenza virus genes
whose proteins differ only a few amino acids from the 1918 pandemic influenza
virus, suggesting that 1918-like pandemic viruses may emerge in the future. To
assess this risk, we generated and characterized a virus composed of avian
influenza viral segments with high homology to the 1918 virus. This virus
exhibited pathogenicity in mice and ferrets higher than that in an authentic
avian influenza virus. Further, acquisition of seven amino acid substitutions in
the viral polymerases and the hemagglutinin surface glycoprotein conferred
respiratory droplet transmission to the 1918-like avian virus in ferrets,
demonstrating that contemporary avian influenza viruses with 1918 virus-like
proteins may have pandemic potential.
PMID- 24922574
TI - A cell-intrinsic inhibitor of HIV-1 reverse transcription in CD4(+) T cells from
elite controllers.
AB - HIV-1 reverse transcription represents the predominant target for pharmacological
inhibition of viral replication, but cell-intrinsic mechanisms that can block HIV
1 reverse transcription in a clinically significant way are poorly defined. We
find that effective HIV-1 reverse transcription depends on the phosphorylation of
viral reverse transcriptase by host cyclin-dependent kinase (CDK) 2 at a highly
conserved Threonine residue. CDK2-dependent phosphorylation increased the
efficacy and stability of viral reverse transcriptase and enhanced viral fitness.
Interestingly, p21, a cell-intrinsic CDK inhibitor that is upregulated in CD4(+)
T cells from "elite controllers," potently inhibited CDK2-dependent
phosphorylation of HIV-1 reverse transcriptase and significantly reduced the
efficacy of viral reverse transcription. These data suggest that p21 can
indirectly block HIV-1 reverse transcription by inhibiting host cofactors
supporting HIV-1 replication and identify sites of viral vulnerability that are
effectively targeted in persons with natural control of HIV-1 replication.
PMID- 24922575
TI - The classical lancefield antigen of group a Streptococcus is a virulence
determinant with implications for vaccine design.
AB - Group A Streptococcus (GAS) is a leading cause of infection-related mortality in
humans. All GAS serotypes express the Lancefield group A carbohydrate (GAC),
comprising a polyrhamnose backbone with an immunodominant N-acetylglucosamine
(GlcNAc) side chain, which is the basis of rapid diagnostic tests. No biological
function has been attributed to this conserved antigen. Here we identify and
characterize the GAC biosynthesis genes, gacA through gacL. An isogenic mutant of
the glycosyltransferase gacI, which is defective for GlcNAc side-chain addition,
is attenuated for virulence in two infection models, in association with
increased sensitivity to neutrophil killing, platelet-derived antimicrobials in
serum, and the cathelicidin antimicrobial peptide LL-37. Antibodies to GAC
lacking the GlcNAc side chain and containing only polyrhamnose promoted
opsonophagocytic killing of multiple GAS serotypes and protected against systemic
GAS challenge after passive immunization. Thus, the Lancefield antigen plays a
functional role in GAS pathogenesis, and a deeper understanding of this unique
polysaccharide has implications for vaccine development.
PMID- 24922576
TI - Cell-to-cell transfer of M. tuberculosis antigens optimizes CD4 T cell priming.
AB - During Mycobacterium tuberculosis and other respiratory infections, optimal T
cell activation requires pathogen transport from the lung to a local draining
lymph node (LN). However, the infected inflammatory monocyte-derived dendritic
cells (DCs) that transport M. tuberculosis to the local lymph node are relatively
inefficient at activating CD4 T cells, possibly due to bacterial inhibition of
antigen presentation. We found that infected migratory DCs release M.
tuberculosis antigens as soluble, unprocessed proteins for uptake and
presentation by uninfected resident lymph node DCs. This transfer of bacterial
proteins from migratory to local DCs results in optimal priming of antigen
specific CD4 T cells, which are essential in controlling tuberculosis.
Additionally, this mechanism does not involve transfer of the whole bacterium and
is distinct from apoptosis or exosome shedding. These findings reveal a mechanism
that bypasses pathogen inhibition of antigen presentation by infected cells and
generates CD4 T cell responses that control the infection.
PMID- 24922577
TI - The DNA damage-regulated autophagy modulator DRAM1 links mycobacterial
recognition via TLR-MYD88 to autophagic defense [corrected].
AB - Autophagy is an important defense mechanism against mycobacteria, the causative
agents of tuberculosis. The molecular mechanisms that link mycobacterial
recognition to autophagy remain unclear. Our analysis in zebrafish and human
macrophage models of mycobacterial infection reveals that the DNA damage
regulated autophagy modulator DRAM1 functions downstream of pathogen recognition
by the Toll-like receptor (TLR)/interleukin-1 receptor (IL1R)-MYD88-NF-kappaB
innate immune sensing pathway to activate selective autophagy. Mycobacterial
infection of human macrophages and zebrafish embryos induced DRAM1 expression in
a MYD88 and NF-kappaB-dependent manner. DRAM1 knockdown increased mycobacterial
infection, whereas overexpression lowered infection by hyperactivating autophagy.
DRAM1-mediated selective autophagic defenses require the cytosolic DNA sensor
STING and the selective autophagy receptor p62/SQSTM1. Contrary to its known role
in autophagy-mediated cell death and cancer, this DRAM1 function is p53
independent. We propose that DRAM1 mediates autophagic defense against a broader
range of intracellular pathogens, since DRAM1 expression was also induced by the
common bacterial endotoxin lipopolysaccharide.
PMID- 24922579
TI - Photochemical and microbial alterations of DOM spectroscopic properties in the
estuarine system Ria de Aveiro.
AB - The influence of photochemical transformations of chromophoric dissolved organic
matter (CDOM) on microbial communities was evaluated in the estuarine system Ria
de Aveiro. Two sites, representative of the marine and brackish water zones of
the estuary, were surveyed regularly in order to determine seasonal and vertical
profiles of variation of CDOM properties. Optical parameters of CDOM indicative
of aromaticity and molecular weight were used to establish CDOM sources, and
microbial abundance and activity was characterized. Additionally, microcosm
experiments were performed in order to simulate photochemical reactions of CDOM
and to evaluate microbial responses to light-induced changes in CDOM composition.
The CDOM of the two estuarine zones showed different spectral characteristics,
with significantly higher values of the specific ultra-violet absorbance at 254
nm (SUVA254) (5.5 times) and of the absorption coefficient at 350 nm (a350) (12
times) and lower SR (S275-295/S350-400) ratio at brackish water compared with the
marine zone, reflecting the different amounts and prevailing sources of organic
matter, as well as distinct riverine and oceanic influences. At the marine zone,
the abundance of bacteria and the activity of Leu-AMPase correlated with a350 and
a254, suggesting a microbial contribution to the HMW CDOM pool. The irradiation
of DOM resulted in a decrease of the values of a254 and a350 and an increase of
the slope S275-295 and of the ratios E2 : E3 (a250/a365) and SR, which in turn
increase its bioavailability. However, the extent of photoinduced transformations
and microbial responses was dependent on the initial optical characteristics of
CDOM. In Ria de Aveiro both photochemical and microbial processes yielded optical
changes in CDOM and the overall results of these combined processes determine the
fate of CDOM in the estuarine system and have an influence on local productivity
and in adjacent coastal areas.
PMID- 24922578
TI - Porphyromonas gingivalis manipulates complement and TLR signaling to uncouple
bacterial clearance from inflammation and promote dysbiosis.
AB - Certain low-abundance bacterial species, such as the periodontitis-associated
oral bacterium Porphyromonas gingivalis, can subvert host immunity to remodel a
normally symbiotic microbiota into a dysbiotic, disease-provoking state. However,
such pathogens also exploit inflammation to thrive in dysbiotic conditions. How
these bacteria evade immunity while maintaining inflammation is unclear. As
previously reported, P. gingivalis remodels the oral microbiota into a dysbiotic
state by exploiting complement. Now we show that in neutrophils P. gingivalis
disarms a host-protective TLR2-MyD88 pathway via proteasomal degradation of
MyD88, whereas it activates an alternate TLR2-Mal-PI3K pathway. This alternate
TLR2-Mal-PI3K pathway blocks phagocytosis, provides "bystander" protection to
otherwise susceptible bacteria, and promotes dysbiotic inflammation in vivo. This
mechanism to disengage bacterial clearance from inflammation required an intimate
crosstalk between TLR2 and the complement receptor C5aR and can contribute to the
persistence of microbial communities that drive dysbiotic diseases.
PMID- 24922580
TI - Hydration of bilayered graphene oxide.
AB - The hydration of graphene oxide (GO) membranes is the key to understand their
remarkable selectivity in permeation of water molecules and humidity-dependent
gas separation. We investigated the hydration of single GO layers as a function
of humidity using scanning force microscopy, and we determined the single
interlayer distance from the step height of a single GO layer on top of one or
two GO layers. This interlayer distance grows gradually by approximately 1 A upon
a relative humidity (RH) increase in the range of 2 to ~80%, and the immersion
into liquid water increases the interlayer distance further by another 3 A. The
gradual expansion of the single interlayer distance is in good agreement with the
averaged distance measured by X-ray diffraction on multilayered graphite oxides,
which is commonly explained with an interstratification model. However, our
experimental design excludes effects connected to interstratification. Instead we
determine directly if insertion of water into GO occurs strictly by monolayers or
the thickness of GO layers changes gradually. We find that hydration with up to
80% RH is a continuous process of incorporation of water molecules into single GO
layers, while liquid water inserts as monolayers. The similarity of hydration for
our bilayer and previously reported multilayered materials implies GO few and
even bilayers to be suitable for selective water transport.
PMID- 24922581
TI - Enantioselective nucleophile-catalyzed synthesis of tertiary alkyl fluorides via
the alpha-fluorination of ketenes: synthetic and mechanistic studies.
AB - The catalytic asymmetric synthesis of alkyl fluorides, particularly alpha
fluorocarbonyl compounds, has been the focus of substantial effort in recent
years. While significant progress has been described in the formation of
enantioenriched secondary alkyl fluorides, advances in the generation of tertiary
alkyl fluorides have been more limited. Here, we describe a method for the
catalytic asymmetric coupling of aryl alkyl ketenes with commercially available N
fluorodibenzenesulfonimide (NFSI) and C6F5ONa to furnish tertiary alpha
fluoroesters. Mechanistic studies are consistent with the hypothesis that the
addition of an external nucleophile (C6F5ONa) is critical for turnover, releasing
the catalyst (PPY*) from an N-acylated intermediate. The available data can be
explained by a reaction pathway wherein the enantioselectivity is determined in
the turnover-limiting transfer of fluorine from NFSI to a chiral enolate derived
from the addition of PPY* to the ketene. The structure and the reactivity of the
product of this proposed elementary step, an alpha-fluoro-N-acylpyridinium salt,
have been examined.
PMID- 24922582
TI - Catalytic degradation of dye molecules and in situ SERS monitoring by peroxidase
like Au/CuS composite.
AB - In this paper, Au/CuS composites were fabricated by a two-step method based on a
facile solvothermal approach combined with the in situ reduction. It was
demonstrated that the Au/CuS composite not only exhibited excellent peroxidase
like catalytic activity in the oxidation of the typical peroxidases (o
phenylenediamine and diaminobenzidine), but also showed promising SERS
performance with remarkable sensitivity and high reproducibility. Based on these
properties, the bi-functional Au/CuS composite was employed both as a catalyst
for degrading a pollutant (Rhodamine 6G) and a SERS substrate for real-time
monitoring of the degradation process quantitatively.
PMID- 24922583
TI - Optimization of 6-heterocyclic-2-(1H-pyrazol-1-yl)-N-(pyridin-2-yl)pyrimidin-4
amine as potent adenosine A2A receptor antagonists for the treatment of
Parkinson's disease.
AB - Parkinson's disease is a neurodegenerative disease characterized by the motor
symptoms of bradykinesia, tremor, and rigidity. Current therapies are based
mainly on dopaminergic replacement strategies by administration of either
dopamine agonists or dopamine precursor levodopa (L-Dopa). These treatments
provide symptomatic relief without slowing or stopping the disease progression,
and long-term usage of these drugs is associated with diminished efficacy, motor
fluctuation, and dyskinisia. Unfortunately, there had been few novel treatments
developed in the past decades. Among nondopaminergic strategies for the treatment
of Parkinson's disease, antagonism of the adenosine A2A receptor has emerged to
show great potential. Here we report the optimization of a new chemical scaffold,
which achieved exceptional receptor binding affinity and ligand efficiency
against adenosine A2A receptor. The leading compounds demonstrated excellent
efficacy in the haloperidol induced catalepsy model for Parkinson's disease.
PMID- 24922584
TI - The role of social support on resilience, posttraumatic growth, hopelessness, and
depression among children of HIV-infected parents in mainland China.
AB - Human immunodeficiency virus/acquired immunodeficiency syndrome (HIV/AIDS) has a
profound impact not only on the infected individuals, but also on their families.
Children of the HIV-infected parents are particularly affected. The present study
examined the relationship between social support, resilience, posttraumatic
growth (PTG), hopelessness, and depression among 195 children of HIV-infected
parents in mainland China. Results showed that 35.4% of the sample scored above
the cutoff of the Children's Depression Inventory. Results from structural
equation modeling reported that social support had a significant positive
relationship with resilience and PTG. Higher levels of resilience and PTG were
associated with lower level of hopelessness which in turn, was associated with
lower level of depression. The overall model achieved satisfactory fit.
Interventions are needed to improve social support of the children affected by
HIV so as to improve their mental health.
PMID- 24922586
TI - Fibroblast signal transducer and activator of transcription 4 drives cigarette
smoke-induced airway fibrosis.
AB - Cigarette smoke-induced emphysema and small airway remodeling are the anatomic
bases of chronic obstructive pulmonary disease (COPD), but the pathogenesis of
these changes is unclear, and current treatments for COPD are minimally
effective. To evaluate the role of signal transducer and activator of
transcription (STAT)-4 in cigarette smoke-induced small airway remodeling, we
used C57BL/6J (wild type [WT]) and STAT4-/- mice exposed to air or cigarette
smoke for 6 months and isolated airway and parenchymal fibroblasts. We also
compared the results with those obtained with human fibroblasts. We found that
STAT4-/- mice were protected against smoke-induced small airway remodeling but
not emphysema. STAT4 is abundantly expressed in airway compared with parenchymal
derived fibroblasts isolated from normal human and murine lung. WT airway
fibroblasts proliferate faster than STAT4-/- airway fibroblasts, whereas there is
no difference between strains for parenchymal fibroblasts. IL-12 is up-regulated
in the lung after smoke exposure, and IL-12 receptor B2 is expressed on airway
and parenchymal fibroblasts in mouse and human lung. Treatment with IL-12 causes
phosphorylation of STAT4 in WT airway fibroblasts. Exposure of WT airway, but not
parenchymal, fibroblasts to IL-12 causes increased expression of collagen 1alpha1
and transforming growth factor beta1, factors involved in small airway
remodeling, whereas STAT4-/- fibroblasts are unresponsive to IL-12. These results
indicate that IL-12 can drive small airway remodeling via STAT4 signaling and
suggest that treatment with clinically available anti-IL-12p40 drugs might
provide a new approach to preventing small airway remodeling in cigarette
smokers.
PMID- 24922585
TI - Activity and architecture of pyroglutamate-modified amyloid-beta (AbetapE3-42)
pores.
AB - Among the family of Abeta peptides, pyroglutamate-modified Abeta (AbetapE)
peptides are particularly associated with cytotoxicity in Alzheimer's disease
(AD). They represent the dominant fraction of Abeta oligomers in the brains of AD
patients, but their accumulation in the brains of elderly individuals with normal
cognition is significantly lower. Accumulation of AbetapE plaques precedes the
formation of plaques of full-length Abeta (Abeta1-40/42). Most of these
properties appear to be associated with the higher hydrophobicity of AbetapE as
well as an increased resistance to enzymatic degradation. However, the important
question of whether AbetapE peptides induce pore activity in lipid membranes and
their potential toxicity compared with other Abeta pores is still open. Here we
examine the activity of AbetapE pores in anionic membranes using planar bilayer
electrical recording and provide their structures using molecular dynamics
simulations. We find that AbetapE pores spontaneously induce ionic current across
the membrane and have some similar properties to the other previously studied
pores of the Abeta family. However, there are also some significant differences.
The onset of AbetapE3-42 pore activity is generally delayed compared with Abeta1
42 pores. However, once formed, AbetapE3-42 pores produce increased ion
permeability of the membrane, as indicated by a greater occurrence of higher
conductance electrical events. Structurally, the lactam ring of AbetapE peptides
induces a change in the conformation of the N-terminal strands of the AbetapE3-42
pores. While the N-termini of wild-type Abeta1-42 peptides normally reside in the
bulk water region, the N-termini of AbetapE3-42 peptides tend to reside in the
hydrophobic lipid core. These studies provide a first step to an understanding of
the enhanced toxicity attributed to AbetapE peptides.
PMID- 24922588
TI - Development of an adverse outcome pathway for acetylcholinesterase inhibition
leading to acute mortality.
AB - Adverse outcome pathways (AOPs) are designed to describe linkages of key events
within a biological pathway that result in an adverse outcome associated with
chemical perturbation of a well-defined molecular initiating event. Risk
assessors have traditionally relied on data from apical endpoints (e.g.,
mortality, growth, reproduction) to derive benchmark values for use in
determining the potential adverse impacts of chemicals. One goal in building
reliable and well-characterized AOPs is to identify relevant in vitro assays
and/or in vivo biomarkers that could be used in screening the potential hazard of
substances, thereby reducing costs and increasing the number of chemicals that
can be evaluated in a timely fashion. The purpose of this review article is to
build an AOP for substances with a molecular initiating event of
acetylcholinesterase inhibition leading to acute mortality following guidance
developed by the Organisation for Economic Cooperation and Development. In
contrast to most other AOPs developed to date, in which coverage is for a
relatively limited taxonomic group or life stage, this AOP is applicable to a
wide range of species at multiple life stages. Furthermore, while development of
most AOPs has relied on data for a few model chemicals, the AOP described in the
present review captures information from a large number of studies with a
diversity of organophosphate and carbamate insecticides.
PMID- 24922587
TI - Discovery and structure-activity relationship of novel 2,3-dihydrobenzofuran-7
carboxamide and 2,3-dihydrobenzofuran-3(2H)-one-7-carboxamide derivatives as
poly(ADP-ribose)polymerase-1 inhibitors.
AB - Novel substituted 2,3-dihydrobenzofuran-7-carboxamide (DHBF-7-carboxamide) and
2,3-dihydrobenzofuran-3(2H)-one-7-carboxamide (DHBF-3-one-7-carboxamide)
derivatives were synthesized and evaluated as inhibitors of poly(ADP
ribose)polymerase-1 (PARP-1). A structure-based design strategy resulted in lead
compound 3 (DHBF-7-carboxamide; IC50 = 9.45 MUM). To facilitate synthetically
feasible derivatives, an alternative core was designed, DHBF-3-one-7-carboxamide
(36, IC50 = 16.2 MUM). The electrophilic 2-position of this scaffold was
accessible for extended modifications. Substituted benzylidene derivatives at the
2-position were found to be the most potent, with 3',4'-dihydroxybenzylidene 58
(IC50 = 0.531 MUM) showing a 30-fold improvement in potency. Various heterocycles
attached at the 4'-hydroxyl/4'-amino of the benzylidene moiety resulted in
significant improvement in inhibition of PARP-1 activity (e.g., compounds 66-68,
70, 72, and 73; IC50 values from 0.718 to 0.079 MUM). Compound 66 showed
selective cytotoxicity in BRCA2-deficient DT40 cells. Crystal structures of three
inhibitors (compounds (-)-13c, 59, and 65) bound to a multidomain PARP-1
structure were obtained, providing insights into further development of these
inhibitors.
PMID- 24922589
TI - Chitosan coated polylactic acid nanoparticle-mediated combinatorial delivery of
cisplatin and siRNA/Plasmid DNA chemosensitizes cisplatin-resistant human ovarian
cancer cells.
AB - Development of resistance toward anticancer drugs results in ineffective therapy
leading to increased mortality. Therefore, overriding resistance and restoring
sensitivity to anticancer drugs will improve treatment efficacy and reduce
mortality. While numerous mechanisms for drug resistance in cancer have
previously been demonstrated, recent studies implicate a role for proteasome and
the autophagy regulatory protein P62/SQSTM1 (P62) in contributing to drug
resistance. Specifically, reduction in the expression of the beta5 subunit of the
proteasome and/or enhanced P62 protein expression is known to contribute to
cancer drug resistance such as cisplatin (CDDP) in ovarian cancer cells.
Therefore, we hypothesized that restoration of beta5 expression and/or
suppression of P62 protein expression in CDDP-resistant ovarian cancer cells will
lead to restoration of sensitivity to CDDP and enhanced cell killing. To test our
hypothesis we developed a biodegradable multifunctional nanoparticle (MNP) system
that codelivered P62siRNA, beta5 plasmid DNA, and CDDP and tested its efficacy in
CDDP resistant 2008/C13 ovarian cancer cells. MNP consisted of CDDP loaded
polylactic acid nanoparticle as inner core and cationic chitosan (CS) consisting
of ionically linked P62siRNA (siP62) and/or beta5 expressing plasmid DNA (pbeta5)
as the outer layer. The MNPs were spherical in shape with a hydrodynamic diameter
in the range of 280-350 nm, and demonstrated encapsulation efficiencies of 82%
and 78.5% for CDDP and siRNA respectively. MNPs efficiently protected the siRNA
and showed superior serum stability compared to naked siRNA as measured by gel
retardation and spectrophotometry assays. The MNPs successfully delivered siP62
and pbeta5 to cause P62 knockdown and restoration of beta5 expression in 2008/C13
cells. Combined delivery of siP62, pbeta5, and CDDP using the MNPs resulted in a
marked reduction in the IC50 value of CDDP in 2008/C13 cells from 125 +/- 1.3 MUM
to 98 +/- 0.6 MUM (P < 0.05; 21.6% reduction) when compared to the reduction in
the IC50 of CDDP observed in cells that had only siP62 delivered (IC50 = 106 +/-
1.1 MUM; P < 0.05; 15.2% reduction) or pbeta5 delivered (IC50 = 115 +/- 2.8 MUM;
8% reduction) via MNPs. Finally, our studies showed that the CDDP resistance
index in 2008/C13 cells was reduced from 4.62 for free CDDP to 3.62 for MNP
treatment. In conclusion our study results demonstrated the efficacy of our MNP
in overcoming CDDP resistance in ovarian cancer cells.
PMID- 24922590
TI - Feasibility of detecting prostate cancer by ultraperformance liquid
chromatography-mass spectrometry serum metabolomics.
AB - Prostate cancer (PCa) is the second leading cause of cancer-related mortality in
men. The prevalent diagnosis method is based on the serum prostate-specific
antigen (PSA) screening test, which suffers from low specificity, overdiagnosis,
and overtreatment. In this work, untargeted metabolomic profiling of age-matched
serum samples from prostate cancer patients and healthy individuals was performed
using ultraperformance liquid chromatography coupled to high-resolution tandem
mass spectrometry (UPLC-MS/MS) and machine learning methods. A metabolite-based
in vitro diagnostic multivariate index assay (IVDMIA) was developed to predict
the presence of PCa in serum samples with high classification sensitivity,
specificity, and accuracy. A panel of 40 metabolic spectral features was found to
be differential with 92.1% sensitivity, 94.3% specificity, and 93.0% accuracy.
The performance of the IVDMIA was higher than the prevalent PSA test. Within the
discriminant panel, 31 metabolites were identified by MS and MS/MS, with 10
further confirmed chromatographically by standards. Numerous discriminant
metabolites were mapped in the steroid hormone biosynthesis pathway. The
identification of fatty acids, amino acids, lysophospholipids, and bile acids
provided further insights into the metabolic alterations associated with the
disease. With additional work, the results presented here show great potential
toward implementation in clinical settings.
PMID- 24922592
TI - A rationally designed fluorescence chemosensor for on-site monitoring of carbon
monoxide in air.
AB - A fluorescence chemsensor for carbon monoxide (CO), based on transformation of
weakly fluorescent iodide to strong fluorescent amino product upon reacting with
CO, shows abilities of quantitative measurement of CO in air at a level of 50
1000 ppm and real-time and on-site monitoring for CO flammation/explosion.
PMID- 24922591
TI - [Hysterectomies at the Conakry university hospitals: social, demographic, and
clinical characteristics, types, indications, surgical approaches, and
prognosis].
AB - The objectives of this study were to calculate the frequency of hysterectomies at
the Conakry university hospitals (Donka Hospital and Ignace Deen Hospital),
describe the women's social, demographic, and clinical characteristics, and
identify the key indications, the surgical techniques used, and the prognosis.
This was a 2-year descriptive study, retrospective for the first year (May 2011
April 2012) and prospective for the second (May 2012-April 2013), of 333
consecutive hysterectomies performed in the obstetrics and gynecology departments
of these two hospitals. Hysterectomy is one of the surgical procedures most
commonly performed in these departments (following cesarean deliveries), with
frequency of 4.4% interventions. The profile of the women undergoing this surgery
was that of a woman aged younger than 49 years (61%), married (75.7%),
multiparous (33%), of childbearing age (61%), and with no history of abdominal or
pelvic surgery (79.6%). Nearly all hysterectomies were total (95%, compared with
5% subtotal; the approach was abdominal in 82.25% of procedures and vaginal in
17.75%. The most common indication for surgery was uterine fibroids (39.6%),
followed by genital prolapse (22.2%), and obstetric emergencies (17.8%). The
average duration of surgery was 96 minutes for abdominal and 55 minutes for
vaginal hysterectomies. The principal intraoperative complication was hemorrhage
(12.31%), and the main postoperative complication parietal suppuration (21.02%).
The average length of hospital stay was 10.3 days for abdominal hysterectomies
and 7.15 days for vaginal procedures. We recorded 14 deaths for a lethality rate
of 4.2%; most of these deaths were associated with hemorrhagic shock during or
after an obstetric hysterectomy (93%). Hysterectomy remains a common intervention
in developing countries. Its indications are common during the pregnancy and
postpartum period, with high morbidity and mortality rates. Improving obstetric
coverage could reduce its indications.
PMID- 24922594
TI - Educating medical students about the personal meaning of terminal illness using
the film, "Wit".
AB - BACKGROUND: Addressing the emotional needs of dying patients is rarely found to
have a place in formal medical curriculum and is also a difficult area to teach
through classical medical lectures. "Cinemeducation" is a wonderful way to
educate health care providers about the magnitude of emotions that arise during
those difficult situations. OBJECTIVE: The aims of this study were to test the
relevance and usefulness of the movie 'Wit' in teaching medical students about
the personal meaning of terminal illness and to assess the impact of this
teaching method on students' attitudes toward palliative care. DESIGN: This was
an education study using qualitative and quantitative data analysis of 518 first
year medical students in a single medical faculty in Turkey. Students watched the
entire film, filled out an evaluation questionnaire, and answered questions about
the film. Students also expressed their own feelings and thoughts about
palliative care. RESULTS: Overall, 88% rated the film as excellent, very good, or
good. According to 54% of the students, the emotions of terminally ill patients
were fully portrayed in the film and in a very realistic way. Approximately 61.4%
of the students found this film emotional. Most students (80.5%) stated that this
film made them think about the emotional and spiritual suffering that dying
patients go through and found this learning approach about palliative care more
useful than didactic lectures and journal article readings but not more useful
than bedside rounds. It was thought that caring for dying patients would be very
or fairly personally satisfying for 65.3% of the students. CONCLUSIONS: The film
'Wit' gave the students an opportunity to explore their beliefs, values and
attitudes in terms of the bio-psycho-social-spiritual aspects of health care and
encouraged them to think more about the humanitarian issues of the medical
profession.
PMID- 24922593
TI - Application of screening experimental designs to assess chromatographic isotope
effect upon isotope-coded derivatization for quantitative liquid chromatography
mass spectrometry.
AB - Isotope effect may cause partial chromatographic separation of labeled (heavy)
and unlabeled (light) isotopologue pairs. Together with a simultaneous matrix
effect, this could lead to unacceptable accuracy in quantitative liquid
chromatography-mass spectrometry assays, especially when electrospray ionization
is used. Four biologically relevant reactive aldehydes (acrolein,
malondialdehyde, 4-hydroxy-2-nonenal, and 4-oxo-2-nonenal) were derivatized with
light or heavy (d3-, (13)C6-, (15)N2-, or (15)N4-labeled) 2,4
dinitrophenylhydrazine and used as model compounds to evaluate chromatographic
isotope effects. For comprehensive assessment of retention time differences
between light/heavy pairs under various gradient reversed-phase liquid
chromatography conditions, major chromatographic parameters (stationary phase,
mobile phase pH, temperature, organic solvent, and gradient slope) and different
isotope labelings were addressed by multiple-factor screening using experimental
designs that included both asymmetrical (Addelman) and Plackett-Burman schemes
followed by statistical evaluations. Results confirmed that the most effective
approach to avoid chromatographic isotope effect is the use of (15)N or (13)C
labeling instead of deuterium labeling, while chromatographic parameters had no
general influence. Comparison of the alternate isotope-coded derivatization assay
(AIDA) using deuterium versus (15)N labeling gave unacceptable differences (>15%)
upon quantifying some of the model aldehydes from biological matrixes. On the
basis of our results, we recommend the modification of the AIDA protocol by
replacing d3-2,4-dinitrophenylhydrazine with (15)N- or (13)C-labeled derivatizing
reagent to avoid possible unfavorable consequences of chromatographic isotope
effects.
PMID- 24922595
TI - Spelling errors among children with ADHD symptoms: the role of working memory.
AB - Research has shown that children with attention deficit/hyperactivity disorder
(ADHD) may present a series of academic difficulties, including spelling errors.
Given that correct spelling is supported by the phonological component of working
memory (PWM), the present study examined whether or not the spelling difficulties
of children with ADHD are emphasized when children's PWM is overloaded. A group
of 19 children with ADHD symptoms (between 8 and 11 years of age), and a group of
typically developing children matched for age, schooling, gender, rated
intellectual abilities, and socioeconomic status, were administered two dictation
texts: one under typical conditions and one under a pre-load condition that
required the participants to remember a series of digits while writing. The
results confirmed that children with ADHD symptoms have spelling difficulties,
produce a higher percentages of errors compared to the control group children,
and that these difficulties are enhanced under a higher load of PWM. An analysis
of errors showed that this holds true, especially for phonological errors. The
increased errors in the PWM condition was not due to a tradeoff between working
memory and writing, as children with ADHD also performed more poorly in the PWM
task. The theoretical and practical implications are discussed.
PMID- 24922596
TI - The movement patterns used to rise from a supine position by children with
developmental delay and age-related differences in these.
AB - The purposes of this study were to determine (1) movement patterns and strategies
of children with mild to moderate developmental delay (DD) used to rise up and
how they differ from those used by age-matched children with typical development
(TD), (2) whether the movement patterns differ with age in children with DD, and
(3) to determine the developmental sequences for the UE, AX and LE in children
with DD and whether they are different from those used by children with TD. Sixty
six children with TD and 31 children with DD aged two to six years were
recruited. Peabody Developmental Motor Scale II (PDMS-2) was used to determine
the motor performance level. The participants were recorded during rising for at
least five repetitions. Two trained pediatric physical therapists viewed each
video recording and classified the movement patterns of the upper extremities
(UE), trunk/axial (AX) and lower extremities (LE) regions using descriptive
categories developed by previous researchers. The DD and TD groups were further
divided into four subgroups each using a one-year interval. The percentage of
occurrence of the each UE, AX and LE movement was determined and compared across
subgroups, and between each age-matched pair of TD and DD groups. The results
demonstrated that the participants in the TD group clearly followed the proposed
developmental sequence and the children with DD followed the developmental
sequences but with different maturation speeds and greater variability,
especially at the age of three to five years. The most common movement patterns
used by the children in each of the DD subgroups were at least one developmental
categorical pattern behind those used by the age-matched children with TD before
five years old, except for the LE region. In the DD group, the movement patterns
had moderate to high correlation with the child's motor performance level,
indicating that the children with better motor performances used more
developmentally advanced patterns in comparison with those with lower scores.
However, besides motor maturity, numerous other intrinsic/extrinsic factors may
affect the child's performance of this task. The information obtained in this
study would assist therapists when working with the children with DD, so that
they can provide individualized treatment rather than guiding all such children
toward a single, mature pattern.
PMID- 24922597
TI - Thermal evaporation and characterization of Sb2Se3 thin film for substrate
Sb2Se3/CdS solar cells.
AB - Sb2Se3 is a promising absorber material for photovoltaic cells because of its
optimum band gap, strong optical absorption, simple phase and composition, and
earth-abundant and nontoxic constituents. However, this material is rarely
explored for photovoltaic application. Here we report Sb2Se3 solar cells
fabricated from thermal evaporation. The rationale to choose thermal evaporation
for Sb2Se3 film deposition was first discussed, followed by detailed
characterization of Sb2Se3 film deposited onto FTO with different substrate
temperatures. We then studied the optical absorption, photosensitivity, and band
position of Sb2Se3 film, and finally a prototype photovoltaic device
FTO/Sb2Se3/CdS/ZnO/ZnO:Al/Au was constructed, achieving an encouraging 2.1% solar
conversion efficiency.
PMID- 24922598
TI - CD27(+)CD56Bright natural killer cells may be involved in spontaneous clearance
of acute hepatitis C in HIV-positive patients.
AB - OBJECTIVE: The objective of this study was to analyse the potential role of CD27
in natural killer (NK) cell-mediated control of hepatitis C virus (HCV) infection
in HIV-positive patients. DESIGN: Frequency of CD27-expressing CD56 NK cells was
analysed in HIV mono-infected individuals and HIV-positive patients with acute or
chronic hepatitis C. Anti-HCV activity of CD27(+) and CD27(-) NK cells was
compared. METHODS: NK cell mediated inhibition of HCV replication was analysed
using the HUH7 HCV Replicon model. NK cell phenotype and interferon (IFN)
secretion was studied by flowcytometry. RESULTS: High frequency of CD27(+)CD56 NK
cells is associated with spontaneous clearance of acute hepatitis C in HIV
positive patients. Accordingly, we found CD27(+)CD56 NK cells to display strong
anti-HCV activity. CONCLUSION: Our results underline the important role of NK
cells in modulating outcome of HCV infection.
PMID- 24922599
TI - Analysis of interaction property of calycosin-7-O-beta-D-glucoside with human gut
microbiota.
AB - Calycosin-7-O-beta-d-glucoside as the major isoflavonoids in Astragali Radix has
been investigated intensively and has been reported to possess a wide range of
pharmacological properties. However, the route and metabolites of calycosin-7-O
beta-d-glucoside by human intestinal bacteria are not well understood and its
metabolites may accumulate to exert physiological effects. Therefore, the
objective of this study was to screen the ability of the bacteria to metabolize
calycosin-7-O-beta-d-glucoside and assess the effect of this compound on the
intestinal bacteria. Finally, five strains including Bacteroides sp.13, and
sp.58, Clostridium sp.21-2, Veillonella sp.43-1, and Bacillus sp.46 were isolated
from human intestinal bacteria and were studied their abilities to convert
calycosin-7-O-beta-d-glucoside. A total of six metabolites were identified in
human incubated solution by ultra performance liquid chromatography/quadrupole
time-of-flight mass spectrometry (UPLC-Q-TOF-MS). The results indicated that
hydrolysis, demethylation, dehydroxylation and acetylation were the major
metabolism of calycosin-7-O-beta-d-glucoside. On the other hand, different
strains of intestinal bacteria have varying degrees of growth sensitivity to
calycosin-7-O-beta-d-glucoside. Growth of certain pathogenic bacteria such as
Enterobacter, Enterococcus, Clostridium and Bacteroides was significantly
repressed by calycosin-7-O-beta-d-glucoside, while commensal probiotics such as
Lactobacillus, Bifidobacterium were less severely affected. This indicates that
calycosin-7-O-beta-d-glucoside exert significant effects on the intestinal
environment by modulation of the intestinal bacterial population. Our observation
provided further evidence for the importance of intestinal bacteria in the
metabolism, absorption and potential activity of calycosin-7-O-beta-d-glucoside
in human health and diseases.
PMID- 24922600
TI - Development and validation a liquid chromatography mass spectrometry for
determination of solasodine in rat plasma and its application to a
pharmacokinetic study.
AB - Solasodine is a poisonous alkaloid chemical compound that occurs in plants of the
Solanaceae family. A simple and selective liquid chromatography mass spectrometry
method for determination of solasodine in rat plasma was developed and validated
over the range of 3-1,000 ng/mL. Chromatographic separation was achieved on a C18
(2.1 mm*50 mm, 3.5 MUm) column with acetonitrile-0.1% formic acid in water as
mobile phase with gradient elution. The flow rate was set at 0.4 mL/min. After
addition of midazolam as internal standard (IS), liquid-liquid extraction by
ethyl acetate was used as sample preparation. An electrospray ionization source
was applied and operated in positive ion mode; selective ion monitoring mode was
used for quantification with target ions m/z 414 for solasodine and m/z 326 for
IS. Mean recoveries of solasodine in rat plasma were in the range of 87.6-94.1%.
Matrix effects for solasodine were between 94.9% and 102.3%. Coefficient of
variation of intra-day and inter-day precision were both <13%. The accuracy of
the method ranged from 94.4% to 105.3%. The method was successfully applied to a
pharmacokinetic study of solasodine after oral administration of 20mg/kg in rats.
PMID- 24922601
TI - An amorphous silicon photodiode microfluidic chip to detect nanomolar quantities
of HIV-1 virion infectivity factor.
AB - A hydrogenated amorphous silicon (a-Si:H) photosensor was explored for the
quantitative detection of a HIV-1 virion infectivity factor (Vif) at a detection
limit in the single nanomolar range. The a-Si:H photosensor was coupled with a
microfluidic channel that was functionalized with a recombinant single chain
variable fragment antibody. The biosensor selectively recognizes HIV-1 Vif from
human cell extracts.
PMID- 24922602
TI - Changing face of beta2-adrenergic and muscarinic receptor therapies in asthma.
AB - Despite current available treatment options, a significant proportion of patients
with asthma remain uncontrolled and asthma pharmacotherapy continues to evolve.
beta2-Adrenergic receptor agonists play a major role as bronchodilators in asthma
therapy, although new perspectives reflect the potential for bias G-protein
coupled receptor signaling pathways. Due to the success of muscarinic antagonists
in chronic obstructive pulmonary disease, and the elucidation that muscarinic
receptors play a role in airway remodeling, muscarinic receptors represent an
attractive therapeutic target in asthma. Although short-acting muscarinic
antagonists are currently limited to their use in acute asthma and as alternative
bronchodilators in individuals who experience side effects with beta2-agonists,
recent clinical trials indicate that the long-acting muscarinic antagonist,
tiotropium, deserves consideration as a potential therapeutic agent for select
populations. The continued evolution of anticholinergic therapy in asthma will
require appropriately designed studies to assess mechanisms, efficacy and safety
in asthma.
PMID- 24922603
TI - Effect of pelvic suspension on the instrumental meat quality characteristics of
red deer (Cervus elaphus) and fallow deer (Dama dama) venison.
AB - The effect of pelvic and Achilles tendon suspension of red and fallow deer
carcasses on meat quality parameters were compared. Venison was evaluated from
red deer stags (n=14), bucks (n=14) and fallow deer does (n=10) between 12 and
36months old. Immediately after slaughter, carcasses were split down the dorsal
midline and assigned to one of the two hanging methods and pH and core body
temperature measured. Twenty-four hours post-slaughter muscles were excised.
Venison from fallow deer and red deer stags pelvic-suspended had significantly
lower (P<0.001) cooked shear force values than Achilles hung carcasses. There was
no significant difference between hanging technique for other characteristics
measured. These data indicated that pelvic suspension should be adopted by the
deer industry to increase tenderness of venison.
PMID- 24922604
TI - Copper-catalyzed radical cyclization to access 3-hydroxypyrroloindoline:
biomimetic synthesis of protubonine A.
AB - An unprecedented copper-catalyzed intramolecular radical cyclization was
developed for the synthesis of 3-hydroxypyrroloindoline skeletons in excellent
yields. The 3-hydroxyl group was introduced by trapping the radical intermediate
with molecular oxygen or TEMPO. This process represents a unique radical
oxidation pathway for tryptamine/tryptophan derivatives and allows a rapid
biomimetic synthesis of natural product protubonine A.
PMID- 24922605
TI - Titanium dioxide nanomaterials for sensor applications.
PMID- 24922606
TI - Women's land ownership and risk of HIV infection in Kenya.
AB - Theory predicts that land ownership empowers women to avoid HIV acquisition by
reducing their reliance on risky survival sex and enhancing their ability to
negotiate safer sex. However, this prediction has not been tested empirically.
Using a sample of 5511 women working in the agricultural sector from the 1998,
2003 and 2008-09 Kenya Demographic and Health Surveys, we examined the
relationship between women's land ownership and participation in transactional
sex, multiple sexual partnerships and unprotected sex, and HIV infection status.
We controlled for demographic characteristics and household wealth, using
negative binomial and logistic regression models. Women's land ownership was
associated with fewer sexual partners in the past year (incidence rate ratio,
0.98; 95% confidence interval [CI], 0.95-1.00) and lower likelihood of engaging
in transactional sex (odds ratio [OR], 0.67; 95% CI: 0.46-0.99), indicators of
reduced survival sex, but was not associated with unprotected sex with casual
partners (OR, 0.64; 95% CI, 0.35-1.18) or with unprotected sex with any partner
among women with high self-perceived HIV risk (OR, 1.02; 95% CI, 0.57-1.84),
indicating no difference in safer sex negotiation. Land ownership was also
associated with reduced HIV infection among women most likely to engage in
survival sex, i.e., women not under the household headship of a husband (OR,
0.40; 95% CI, 0.18-0.89), but not among women living in husband-headed
households, for whom increased negotiation for safer sex would be more relevant
(OR, 1.74; 95% CI, 0.92-3.29). These findings suggest that reinforcing women's
land rights may reduce reliance on survival sex and serve as a viable structural
approach to HIV prevention, particularly for women not in a husband's household,
including unmarried women and female household heads.
PMID- 24922607
TI - Evaluating return on investment in a school based health promotion and prevention
program: the investment multiplier for the Stephanie Alexander Kitchen Garden
National Program.
AB - Successful health promotion and disease prevention strategies in complex
community settings such as primary schools rely on acceptance and ownership
across community networks. Assessing multiplier impacts from investment on
related community activity over time are suggested as key alongside evidence of
program health effects on targeted groups of individuals in gauging community
network engagement and ownership, dynamic impacts, and program long term success
and return on investment. An Australian primary school based health promotion and
prevention strategy, the Stephanie Alexander Kitchen Garden National Program
(SAKGNP), which has been providing garden and kitchen classes for year 3-6
students since 2008, was evaluated between 2011 and 2012. Returns on Australian
Federal Government investment for school infrastructure grants up to $60,000 are
assessed up to and beyond a two year mutual obligation period with: (i) Impacts
on student lifestyle behaviours, food choices and eating habits surveyed across
students (n = 491 versus 260) and parents (n = 300 versus 234) in 28 SAKGNP and
14 matched schools, controlling for school and parent level confounders and
triangulated with SAKGNP pre-post analysis; (ii) Multiplier impacts of investment
on related school and wider community activity up to two years; and (iii)
Evidence of continuation and program evolution in schools observed beyond two
years. SAKGNP schools showed improved student food choices (p = 0.024) and
kitchen lifestyle behaviour (p = 0.019) domains compared to controls and in pre
post analysis where 20.0% (58/290) reported eating fruit and vegetables more
often and 18.6% (54/290) preparing food at home more often. No significant
differences were found in case control analysis for eating habits or garden
lifestyle behaviour domains, although 32.3% of children helped more in the garden
(91/278) and 15.6% (45/289) ate meals together more often in pre-post analysis.
The multiplier impact on total community activity up to two years was 5.07
($226,737/$44,758); 1.60 attributable to school, and 2.47 to wider community,
activity. All 8 schools observed beyond two years continued garden and kitchen
classes, with an average 17% scaling up and one school fully integrating staff
into the curriculum. In conclusion evidence supports the SAKGNP to be a
successful health promotion program with high community network impacts and
return on investment in practice.
PMID- 24922608
TI - Uneasy subjects: medical students' conflicts over the pharmaceutical industry.
AB - In this article I report on an investigation of the pharmaceutical industry's
influence in medical education. Findings are based on fifty semi-structured
interviews with medical students in the United States and Canada conducted
between 2010 and 2013. Participant responses support the survey-based literature
demonstrating that there is clear and pervasive influence of the pharmaceutical
industry in medical education. They also challenge the theory that medical
students feel entitled to industry gifts and uncritically accept industry
presence. I investigate how medical students who are critical of the
pharmaceutical industry negotiate its presence in the course of their medical
education. Findings suggest that these participants do not simply absorb industry
presence, but interpret it and respond in complex ways. Participants were
uncomfortable with industry influence throughout their medical training and found
multifaceted ways to resist. They struggled with power relations in medical
training and the prevailing notion that industry presence is a normal part of
medical education. I argue that this pervasive norm of industry presence is
located in neoliberal structural transformations within and outside both
education and medicine. The idea that industry presence is normal and inevitable
represents a challenge for students who are critical of industry.
PMID- 24922609
TI - Understanding resilience in armed conflict: social resources and mental health of
children in Burundi.
AB - Little is known about the role of cognitive social capital among war-affected
youth in low- and middle-income countries. We examined the longitudinal
association between cognitive social capital and mental health (depression and
posttraumatic stress disorder (PTSD) symptoms), functioning, and received social
support of children in Burundi. Data were obtained from face-to-face interviews
with 176 children over three measurement occasions over the span of 4-months.
Cognitive social capital measured the degree to which children believed their
community was trustworthy and cohesive. Mental health measures included the
Depression Self-Rating Scale (DSRS) (Birleson, 1981), the Child Posttraumatic
Symptom Scale (Foa et al., 2001), and a locally constructed scale of functional
impairment. Children reported received social support by listing whether they
received different types of social support from self-selected key individuals.
Cross-lagged path analytic modeling evaluated relationships between cognitive
social capital, symptoms and received support separately over baseline (T1), 6
week follow-up (T2), and 4-month follow-up (T3). Each concept was treated and
analyzed as a continuous score using manifest indicators. Significant
associations between study variables were unidirectional. Cognitive social
capital was associated with decreased depression between T1 and T2 (B = -.22, p <
.001) and T2 and T3 (beta = -.25, p < .001), and with functional impairment
between T1 and T2 (beta = -.15, p = .005) and T2 and T3 (beta = -.14, p = .005);
no association was found for PTSD symptoms at either time point. Cognitive social
capital was associated with increased social support between T1 and T2 (beta =
.16, p = .002) and T2 and T3 (beta = .16, p = .002). In this longitudinal study,
cognitive social capital was related to a declining trajectory of children's
mental health problems and increases in social support. Interventions that
improve community relations in war-affected communities may alter the
trajectories of resource loss and gain with conflict-affected children.
PMID- 24922610
TI - Quality of life in children with narcolepsy.
AB - AIMS: To evaluate the health-related quality of life (HRQL) and its correlates in
children and adolescents with narcolepsy. METHODS: We compared the clinical
characteristics of control subjects and patients with primary narcolepsy from
data collected at the National Reference Centers for Narcolepsy. RESULTS: The
cohort included 69 control subjects (29 boys) and 117 patients (65 boys; 59 de
novo patients). Cataplexy was present in 81% and DQB1*0602 was positive in 91%.
The control children were older (13.5+/-3.2 vs. 11.6+/-3.1 years, P<0.001) and
less obese (1.4% vs. 60%, P<0.001). Twenty-five percent of the patients and 15.6%
of the control subjects had clinically significant depressive feelings on
Children's Depression Inventory (CDI>=16) (NS). Fifty-three narcoleptic and 43
control adolescents, 31 narcoleptic children and 23 control children filled out
the HRQL questionnaires as well as 83 parents of patients and 60 parents of
control subjects. Narcolepsy seriously impacts HRQL in terms of vitality,
physical well-being, relations with friends and leisure activities, especially in
adolescents. Depression was the factor that most affected HRQL in both
narcoleptic and control subjects. For the control subjects and the narcoleptic
patients, when the CDI score was entered into the multivariable regression model
adjusted for gender and age, no other continuous independent variable could
significantly increase the likelihood of the model. When the CDI score increased
by 1, the mean HRQL score decreased by 1.7 for narcoleptic patients and 1.5 for
control subjects. Apnea-hypopnoea index, diagnosis delay, disease duration,
obesity, the presence of cataplexy or treatment had no effects on HRQL.
CONCLUSIONS: Narcoleptic children and adolescents were at high risk for poor
HRQL. Depressive symptoms had a major impact on HRQL. We recommend a more
thorough assessment and management of psychological health in this population.
PMID- 24922611
TI - Tracing fecal pollution sources in karst groundwater by Bacteroidales genetic
biomarkers, bacterial indicators, and environmental variables.
AB - Fecal contamination in Midwestern karst regions was evaluated by simultaneously
measuring traditional bacterial indicators (coliforms and Escherichia coli),
Bacteroidales-based biomarkers, and environmental variables. Water samples from
springs and wells were collected from karst regions in Illinois (IL), Wisconsin
(WI), Kentucky (KY), and Missouri (MO). Quantitative PCR (Q-PCR) with seven
primer sets targeting different members of Bacteroidales was used to determine
the origin of fecal contamination (i.e., from human waste, livestock waste, or
both). Most samples were contaminated by both human and animal waste, with a few
samples showing pollution solely by one or the other. Spring water tended to have
higher levels of contamination than well water, and higher concentrations of
fecal biomarkers were detected in urban springs compared to rural spring systems.
However, there were discrepancies on contamination profile determined by
Bacteroidales-based biomarkers and by traditional bacterial indicators. Among all
the environmental parameters examined, E. coli, sulfate, total dissolved solids
(TDS), and silicon were significantly correlated (p<0.05) with the level of
Bacteroidales-based fecal indicators. A rapid screening method using total
nitrogen (TN) and chloride (Cl(-)) concentrations to determine fecal
contamination was shown to be effective and correlated well with Bacteroidales
based MST. The results suggest that human and livestock feces co-contaminated a
large portion of karst groundwater systems in Midwestern regions, and the
inclusion of traditional bacterial indicators, environmental variables, and
Bacteroidales-based MST is an effective approach for identifying fecal
contamination in karst regions.
PMID- 24922612
TI - A preliminary study of sexual activity as a distraction for young drivers.
AB - In what may be the first in-depth study of sexual activity as a driving
distraction in the US, a sample of 195 male and 511 female college students at a
Midwestern university (M age=19.7) participated in an on-line study of sex while
driving (SWD). Of these, 64 (32.8%) men and 47 (9.3%) women had engaged in sex
while driving (SWD). Nine percent of men and 29% of women had engaged in SWD as a
passenger. In most recent SWD incidents, respondents reported that the two most
common acts were oral sex (70.3%) and genital touching (60.4%). About 11% engaged
in vaginal intercourse. Sexual activity lasted from 1 to 10min for 42.7% of the
respondents. Nearly half (49.1%) were traveling 61-80mph during sex. Considering
respondents' lifetime incidents of SWD, the most common driving errors reported
were speeding (37.8%), drifting into another lane (36%), and letting go of the
steering wheel (10.8%). Only 1.8% nearly had a crash, and none actually had a
crash. Separate regression analyses for male and female respondents revealed that
lower intentions to engage in SWD in the future were associated with higher
estimates of the probability of a car crash. The authors consider SWD to be an
under-reported in-vehicle distraction and encourage more research and prevention
efforts.
PMID- 24922613
TI - Attentional bias toward safety predicts safety behaviors.
AB - Safety studies have primarily focused on how explicit processes and measures
affect safety behavior and subsequent accidents and injuries. Recently, safety
researchers have paid greater attention to the role of implicit processes. Our
research focuses on the role of attentional bias toward safety (ABS) in workplace
safety. ABS is a basic, early-stage cognitive process involving the automatic and
selective allocation of attentional resources toward safety cues, which reflect
the implicit motivational state of employees regarding safety goal. In this
study, we used two reaction time-based paradigms to measure the ABS of employees
in three studies: two modified Stroop tasks (Studies 1 and 2) and a visual dot
probe task (Study 3). Results revealed that employees with better safety behavior
showed significant ABS (Study 2), and greater ABS than employees with poorer
safety behavior (Studies 1 and 2). Moreover, ABS was positively associated with
the perceived safety climate and safety motivation of employees, both of which
mediate the effect of ABS on safety behavior (Study 3). These results contributed
to a deeper understanding of how early-stage automatic perceptual processing
affects safety behavior. The practical implications of these results were also
discussed.
PMID- 24922614
TI - How effective is drug testing as a workplace safety strategy? A systematic review
of the evidence.
AB - The growing prevalence of workplace drug testing and the narrow scope of previous
reviews of the evidence base necessitate a comprehensive review of research
concerning the efficacy of drug testing as a workplace strategy. A systematic
qualitative review of relevant research published between January 1990 and
January 2013 was undertaken. Inclusion criteria were studies that evaluated the
effectiveness of drug testing in deterring employee drug use or reducing
workplace accident or injury rates. Methodological adequacy was assessed using a
published assessment tool specifically designed to assess the quality of
intervention studies. A total of 23 studies were reviewed and assessed, six of
which reported on the effectiveness of testing in reducing employee drug use and
17 which reported on occupational accident or injury rates. No studies involved
randomised control trials. Only one study was assessed as demonstrating strong
methodological rigour. That study found random alcohol testing reduced fatal
accidents in the transport industry. The majority of studies reviewed contained
methodological weaknesses including; inappropriate study design, limited sample
representativeness, the use of ecological data to evaluate individual behaviour
change and failure to adequately control for potentially confounding variables.
This latter finding is consistent with previous reviews and indicates the
evidence base for the effectiveness of testing in improving workplace safety is
at best tenuous. Better dissemination of the current evidence in relation to
workplace drug testing is required to support evidence-informed policy and
practice. There is also a pressing need for more methodologically rigorous
research to evaluate the efficacy and utility of drug testing.
PMID- 24922615
TI - Diterpenes from the endangered goldenrod Solidago shortii.
AB - Species extinction is tantamount to loss of chemical diversity, and so it is
important to seize all opportunities to study species on the brink of extinction.
Such studies are often hampered by the limited material available, but that
obstacle is surmountable through collaboration with botanical gardens and
advances in instrumentation. The goldenrod Solidago shortii is one example of an
endangered species native to the United States. From S. shortii, one known
diterpene (1), two new diterpenes (2 and 3), and three new hydrolysis products (4
6) are described. This work was made possible through collaboration with the
Missouri Botanical Garden and with the use of highly sensitive microcryoprobe NMR
technology for structure elucidation and VCD spectroscopy for the determination
of absolute configuration.
PMID- 24922616
TI - [Hepatitis B virus serologic status among hospital health care staff in Lome].
AB - To assess the hepatitis B virus (HBV) serologic status of hospital health care
personnel in Lome. From June 1 to August 31, 2007, 100 workers vaccinated against
HBV and 50 unvaccinated workers participated in this comparative cross-sectional
study. The data studied were: age, sex, vaccination status, history of accidental
exposure to blood, and enzyme-linked immunoassay results for HBs antigen (Ag),
total anti-HBc antibodies (Ab), and anti-HBs Ab. Vaccinated subjects had a mean
age of 33.2 +/- 8.2 years and unvaccinated subjects of 35.2 +/- 9.6 years; their
respective sex ratios (M:W) were 2:1 and 3:1. Protective levels (>10 IU/L) of
anti-HBs Ab were found in 78% (n = 78) of vaccinated subjects compared with 44%
(n = 22) of those unvaccinated. HBs Ag was found in 36% (n = 36) of vaccinated
and 56% (n = 28) of unvaccinated subjects. Of subjects previously accidentally
exposed to blood, 67% (n = 35) had HBs Ag compared with 30% (n = 29) of those
subjects without such exposure. This study has proved the high prevalence of HBs
Ag carrier status among health care workers in Lome and confirms the importance
of vaccination against HBV.
PMID- 24922617
TI - Factors influencing response to intravenous lacosamide in emergency situations:
LACO-IV study.
AB - Status epilepticus (SE) and acute repetitive seizures (ARSs) frequently result in
emergency visits. Wide variations in response are seen with standard
antiepileptic drugs (AEDs). Oral and intravenous (IV) formulations of lacosamide
are approved as adjunctive therapy in the treatment of partial-onset seizures in
adults and adolescents. The aim of the retrospective multicenter observational
study (LACO-IV) was to analyze data from a large cohort of patients with SE or
ARSs of varying severity and etiology, who received IV lacosamide in the
emergency setting. Patient clinical data were entered into a database; lacosamide
use and efficacy and tolerability variables were analyzed. In SE, IV lacosamide
tended to be used mainly in nonconvulsive status epilepticus as second- or third
line treatment. The proportion of patients with no seizures when IV lacosamide
was the last drug administered was 76.5% (70.9% SE and 83.7% ARSs). The rate of
seizure cessation <= 24 h after IV lacosamide administration was 57.1% (49.1% SE
and 67.4% ARSs). Of the factors analyzed, a shorter latency from seizure onset to
IV lacosamide infusion influenced treatment response significantly. A
nonsignificant tendency towards a higher response was seen with lacosamide dose
>200mg versus <= 200 mg. Analysis of response according to mechanism of action
showed no significant differences in response to IV lacosamide in patients
receiving prior sodium channel blocker (SCB) or non-SCB AEDs in the overall or SE
population; however, in ARSs, a tendency towards a higher response was observed
in those receiving non-SCB AEDs. The frequency and nature of adverse events
observed were in line with those reported in other studies (somnolence being the
most frequent). In the absence of randomized prospective controlled studies of IV
lacosamide, our observations suggest that IV lacosamide may be a potential
alternative for treatment of SE/ARSs when seizures fail to improve with standard
AEDs or when AEDs are contraindicated or not recommended.
PMID- 24922619
TI - Gastrocnemius and soleus are selectively activated when adding knee extensor
activity to plantar flexion.
AB - The gastrocnemius is a biarticular muscle that acts not only as a plantar flexor,
but also as a knee flexor, meaning that it is an antagonist during knee
extension. In contrast, the soleus is a monoarticular plantar flexor. Based on
this anatomical difference, these muscles' activities should be selectively
activated during simultaneous plantar flexion and knee extension, which occur
during many activities of daily living. This study examined the selective
activation of gastrocnemius and soleus activities when voluntary isometric
activation of knee extensors was added to voluntary isometric plantar flexion.
Ten male volunteers performed isometric plantar flexion at 10%, 20%, and 30% of
maximum effort. During each plantar flexion task, isometric knee extension was
added at 0%, 50%, and 100% of maximum effort. When knee extension was added, the
average rectified value of the electromyographic activity of the medial
gastrocnemius was significantly depressed (P=.002), whereas that of the soleus
was significantly increased (P<.001) regardless of the plantar flexion level.
These results suggest that plantar flexion with concurrent knee extensor activity
leads to selective activation of the soleus and depression of the synergistic
activity of the gastrocnemius.
PMID- 24922618
TI - [Blood exposure accidents: Knowledge, attitudes and practices of nursing and
midwifery students at the Bobo-Dioulasso teaching hospital (Burkina Faso)].
AB - Blood exposure accidents are the source of major risks of contamination of
healthcare personnel. The objective of this study was to describe the knowledge
of standard precautions, and the attitudes and practices of nursing and midwifery
students in relation to this accidental exposure. This cross-sectional survey,
conducted in November 2011, was based on voluntary anonymous questionnaires
completed by students working in the medical ward of the Bobo-Dioulasso teaching
hospital. Of the 275 students asked to participate, 219 (92.8%) completed the
questionnaire: 138 (63,0%) were student nurses and 81 (37.0%) student midwives.
Their mean age was 27.9 +/- 5 years. Among them, 64 (29.1%) acknowledged
accidental exposure to blood during treatment performed as part of their hospital
work. Only 30 of these 64 cases were reported at the time. The standard
precautions for the prevention of these accidents were known to 131 students
(59.8%); 58.4% always wore gloves for invasive procedures; 74.9% reported that
the syringe container was "always" or "often" used. The needles used were
"always" or "often" recapped before disposal in only 39.1% of cases. Only 11.0%
were fully vaccinated against hepatitis B. Blood exposure accidents were not
uncommon among these students and their knowledge of the standard precautions and
actions to take in case of an accident is insufficient. These data show the need
for further training and awareness campaigns to improve these hospital practices.
PMID- 24922620
TI - Integrated experimental and theoretical approach for corrosion and wear
evaluation of laser surface nitrided, Ti-6Al-4V biomaterial in physiological
solution.
AB - A laser based surface nitriding process was adopted to further enhance the osseo
integration, corrosion resistance, and tribological properties of the commonly
used bioimplant alloy, Ti-6Al-4V. Earlier preliminary osteoblast,
electrochemical, and corrosive wear studies of laser nitrided titanium in
simulated body fluid clearly revealed improvement of cell adhesion as well as
enhancement in corrosion and wear resistance but mostly lacked the in-depth
fundamental understanding behind these improvements. Therefore, a novel
integrated experimental and theoretical approach were implemented to understand
the physical phenomena behind the improvements and establish the property
structure-processing correlation of nitrided surface. The first principle and
thermodynamic calculations were employed to understand the thermodynamic,
electronic, and elastic properties of TiN for enthalpy of formation, Gibbs free
energy, density of states, and elastic properties of TiN were investigated.
Additionally, open circuit potential and cyclic potentio-dynamic polarization
tests were carried out in simulated body fluid to evaluate the corrosion
resistance that in turn linked with the experimentally measured and
computationally predicted surface energies of TiN. From these results, it is
concluded that the enhancement in the corrosion resistance after laser nitriding
is mainly attributed to the presence of covalent bonding via hybridization among
Ti (p) and N (d) orbitals. Furthermore, mechanical properties, such as, Poisson's
ratio, stiffness, Pugh's ductility criteria, and Vicker's hardness, predicted
from first principle calculations were also correlated to the increase in wear
resistance of TiN. All the above factors together seem to have contributed to
significant improvement in both wear and corrosion performance of nitride surface
compared to the bare Ti-6Al-4V in physiological environment indicating its
suitability for bioimplant applications.
PMID- 24922621
TI - The impact of long term freezing on the mechanical properties of porcine aortic
tissue.
AB - BACKGROUND: Preservation of the native artery's functionality can be important in
both clinical and experimental applications. Although, simple cryopreservation
techniques offer an attractive solution to this problem, the extent to which
freezing affects the tissue's properties is widely debated. Earlier assessments
of the mechanical properties post-freezing have been limited by one or more of
the following: small sample numbers, uncontrolled inter-specimen/animal
variability, failure to account for the impact of potential errors in thickness
measurements, short storage times and uniaxial test methods. MATERIAL AND
METHODS: Biaxial mechanical tests were performed on porcine aortic samples (n=89)
extracted from superior, middle and inferior regions of five aortas, stored in
isotonic saline at -20 degrees C for 1 day, 1 week, 1, 6 and 12 months, thawed
and retested. The sample's weight and thickness were also measured pre and post
freezing. A total of 178 tests were performed and elastic modulus was assessed by
calculating the slope of the Cauchy stress-stretch curve at the low and high
stretch regions in both the circumferential (theta) and longitudinal (L)
directions. RESULTS: The weight of the samples increased post-freezing. However,
in general, no significant difference was found between the elastic modulus of
porcine aortic tissue before and after freezing at -20 degrees C and was
unaffected by storage time. Although more accurate measuring instruments are
warranted to confirm this finding, minor changes to the elastic modulus as a
result of freezing were negatively correlated with regional variances i.e.
changes in the elastic modulus decreased from the superior to the inferior
region. CONCLUSIONS: These results indicate that for applications which require
preservation of the gross mechanical properties, storing the tissue at -20
degrees C in isotonic saline, for an extended period of time, is acceptable.
PMID- 24922622
TI - The neural bases of argument structure processing revealed by primed lexical
decision.
AB - Previous studies have reported anticipatory effects during sentence processing.
However, the source of these effects has not been clearly characterized. This
study investigated the hypothesis that one source of anticipatory effects,
particularly during verb processing, is the automatic triggering of argument
structure processes. If argument structure processes are automatically triggered
it was hypothesized that the task need not require the initiation of the process,
as such a primed lexical decision task was used that examined the neural priming
of cross-grammatical class prime pairs (e.g., verb-noun priming). While previous
studies, as does the current study, have revealed behavioral priming for cross
grammatical class and within-class (noun-noun and verb-verb) prime/target pairs,
the current results revealed significant activation differences. Enhancement
effects were observed for cross-grammatical class priming in the language
network, particularly the inferior frontal gyrus (BA 47), and the posterior
temporal cortex. Both regions have been linked to argument structure processing
previously. Within-class priming resulted in neural suppression of the inferior
temporal/occipital regions. Together, the data presented suggest the automatic
triggering of argument structure representations and demonstrate that priming is
a fruitful mechanism to explore aspects of sentence processing.
PMID- 24922623
TI - Activation of syntax in lexical production in healthy speakers and in aphasia.
AB - Theories of spoken word production agree that semantic and phonological
representations are activated in spoken word production. There is less agreement
concerning the role of syntax. In this study we investigated noun syntax
activation in English bare noun naming, using mass and count nouns. Fourteen
healthy controls and 13 speakers with aphasia took part. Participants named mass
and count nouns, and completed a related noun syntax judgement task. We analysed
speakers' noun syntax knowledge when naming accurately, and when making errors in
production. Healthy speakers' noun syntax judgement was accurate for words they
named correctly, but this did not correlate with naming accuracy. Speakers with
aphasia varied in their noun syntax judgement, and this also did not correlate
with naming accuracy. Healthy speakers' syntax for semantic errors was less
accurate, as was that for speakers with aphasia. For phonological errors half the
participants with aphasia could access syntax, half could not, indicating two
types of phonological error. Individual differences were found in no responses.
Finally, we found no effect of frequency for any of the above. The lack of a
relationship between syntax and naming accuracy suggests that syntax is
available, but access is not obligatory. This finding supports theories
incorporating non-obligatory syntactic processing, which is independent of
phonological access. The semantic error data are best explained within such a
theory where there is damage to phonological access and hence to independent
syntax. For the aphasia group we identify two types of phonological error, one
implicating syntax and phonology, and one implicating phonology only, again
supporting independent access to these systems. Overall the data support a model
within which syntax is independent of phonology, and activation of syntax
operates flexibly dependent on task demands and integrity of other processing
routines.
PMID- 24922626
TI - B-type natriuretic peptide predicts 30-day readmission for heart failure but not
readmission for other causes.
AB - BACKGROUND: B-type natriuretic peptide (BNP) is a marker for heart failure (HF)
severity, but its association with hospital readmission is not well defined.
METHODS AND RESULTS: We identified all hospital discharges (n=109 875) with a
primary diagnosis of HF in the Veterans Affairs Health Care System from 2006 to
2009. We examined the association between admission (n=53 585), discharge (n=24
326), and change in BNP (n=7187) and 30-day readmission for HF or other causes.
Thirty-day HF readmission was associated with elevated admission BNP, elevated
discharge BNP, and smaller percent change in BNP from admission to discharge.
Patients with a discharge BNP >= 1000 ng/L had an unadjusted 30-day HF
readmission rate over 3 times as high as patients whose discharge BNP was <= 200
ng/L (15% vs. 4.1%). BNP improved discrimination and risk classification for 30
day HF readmission when added to a base clinical model, with discharge BNP having
the greatest effect (C-statistic, 0.639 to 0.664 [P<0.0001]; net reclassification
improvement, 9% [P<0.0001]). In contrast, 30-day readmission for non-HF causes
was not associated with BNP levels during index HF hospitalization. CONCLUSIONS:
In this study of over 50 000 veterans hospitalized with a primary diagnosis of
HF, BNP levels measured during hospitalization were associated with 30-day HF
readmission, but not readmissions for other causes. These data may help guide
future study aimed at identifying the optimal timing for hospital discharge and
help allocate high-intensity, HF-specific transitional care interventions to the
patients most likely to benefit.
PMID- 24922625
TI - Cardiac CD47 drives left ventricular heart failure through Ca2+-CaMKII-regulated
induction of HDAC3.
AB - BACKGROUND: Left ventricular heart failure (LVHF) remains progressive and fatal
and is a formidable health problem because ever-larger numbers of people are
diagnosed with this disease. Therapeutics, while relieving symptoms and extending
life in some cases, cannot resolve this process and transplant remains the option
of last resort for many. Our team has described a widely expressed cell surface
receptor (CD47) that is activated by its high-affinity secreted ligand,
thrombospondin 1 (TSP1), in acute injury and chronic disease; however, a role for
activated CD47 in LVHF has not previously been proposed. METHODS AND RESULTS: In
experimental LVHF TSP1-CD47 signaling is increased concurrent with up-regulation
of cardiac histone deacetylase 3 (HDAC3). Mice mutated to lack CD47 displayed
protection from transverse aortic constriction (TAC)-driven LVHF with enhanced
cardiac function, decreased cellular hypertrophy and fibrosis, decreased
maladaptive autophagy, and decreased expression of HDAC3. In cell culture,
treatment of cardiac myocyte CD47 with a TSP1-derived peptide, which binds and
activates CD47, increased HDAC3 expression and myocyte hypertrophy in a
Ca(2+)/calmodulin protein kinase II (CaMKII)-dependent manner. Conversely,
antibody blocking of CD47 activation, or pharmacologic inhibition of CaMKII,
suppressed HDAC3 expression, decreased myocyte hypertrophy, and mitigated
established LVHF. Downstream gene suppression of HDAC3 mimicked the protective
effects of CD47 blockade and decreased hypertrophy in myocytes and mitigated LVHF
in animals. CONCLUSIONS: These data identify a proximate role for the TSP1-CD47
axis in promoting LVHF by CaKMII-mediated up-regulation of HDAC3 and suggest
novel therapeutic opportunities.
PMID- 24922627
TI - Hospital variation in survival trends for in-hospital cardiac arrest.
AB - BACKGROUND: During the past decade, survival after in-hospital cardiac arrest has
improved markedly. It remains unknown whether the improvement in survival has
occurred uniformly at all hospitals or was driven by large improvements at only a
few hospitals. METHODS AND RESULTS: We identified 93 342 adults with an in
hospital cardiac arrest at 231 hospitals in the Get With The Guidelines((r))
Resuscitation registry during 2000-2010. Using hierarchical regression models, we
evaluated hospital-level trends in survival to discharge. Mean age was 66 years,
59% were men, and 21% were black. Between 2000 and 2010, there was a significant
decrease in age, prevalence of heart failure and myocardial infarction, and
cardiac arrests due to shockable rhythms (P<0.001 for all) and an increase in
prevalence of sepsis, respiratory insufficiency, renal insufficiency, intensive
care unit location, and mechanical ventilation before arrest (P<0.001 for all).
After adjustment for temporal trends in baseline characteristics, hospital rates
of in-hospital cardiac arrest survival improved by 7% per year (odds ratio [OR]
1.07, 95% CI 1.06 to 1.08, P<0.001). Improvement in survival varied markedly and
ranged from 3% in the bottom hospital quartile to 11% in the top hospital
quartile. Compared with minor teaching hospitals (OR 1.04, 95% CI 1.02 to 1.06),
hospital rate of survival improvement was greater at major teaching (OR 1.08, 95%
CI 1.06 to 1.10) and nonteaching hospitals (OR 1.07, 95% CI 1.05 to 1.09, P value
for interaction=0.03). CONCLUSION: Although in-hospital cardiac arrest survival
has improved during the past decade, the magnitude of improvement varied across
hospitals. Future studies are needed to identify hospital processes that have led
to the largest improvement in survival.
PMID- 24922629
TI - Inefficacy of therapeutic cancer vaccines and proposed improvements. Casus of
prostate cancer.
AB - Prophylactic vaccination is arguably the most effective medical preventative
method. After local inoculation, vaccines induce antigen-specific systemic
immunity, protecting the whole body. Systemic antitumour immunity can cure
advanced cancer, but will therapeutic vaccination suffice? A vaccine for
castration-refractory prostate cancer (CRPC) was approved by regulatory
authority, but its evidence is disputed. We critically reviewed the clinical
efficacy of therapeutic cancer vaccines for prostate cancer, including the
results of 31 clinical studies employing vaccines-only, and another 10 studies
combining vaccines with immune co-stimulation. Vaccinations yielded immunological
responses, but no study showed evidence for clinically relevant therapeutic
improvement. Clinical failure of therapeutic vaccination is discussed in the
light of immunological dogmas and mechanisms of antitumour therapies. We propose
that cancer immunotherapy might be improved by immunological danger, i.e.
disturbing tumour homeostasis by destroying the tumour tissue or inducing local
inflammation. Such danger might override immunological tolerance, and thereby
allow clinically relevant anticancer results.
PMID- 24922628
TI - Fibroblast growth factor-23 and incident coronary heart disease, heart failure,
and cardiovascular mortality: the Atherosclerosis Risk in Communities study.
AB - BACKGROUND: Fibroblast growth factor-23 (FGF-23) is a hormone involved in
phosphorous regulation and vitamin D metabolism that may be associated with
cardiovascular risk, and it is a potential target for intervention. We tested
whether elevated FGF-23 is associated with incident coronary heart disease, heart
failure, and cardiovascular mortality, even at normal kidney function. METHODS
AND RESULTS: A total of 11 638 Atherosclerosis Risk In Communities study
participants, median age 57 at baseline (1990-1992), were followed through 2010.
Cox regression was used to evaluate the independent association of baseline serum
active FGF-23 with incident outcomes. Models were adjusted for traditional
cardiovascular risk factors and estimated glomerular filtration rate. During a
median follow-up of 18.6 years, 1125 participants developed coronary heart
disease, 1515 developed heart failure, and 802 died of cardiovascular causes. For
all 3 outcomes, there was a threshold, whereby FGF-23 was not associated with
risk at <40 pg/mL but was positively associated with risk at >40 pg/mL. Compared
with those with FGF-23 <40 pg/mL, those in the highest FGF-23 category (>= 58.8
pg/mL) had a higher risk of incident coronary heart disease (adjusted hazard
ratio, 95% CIs: 1.65, 1.40 to 1.94), heart failure (1.75, 1.52 to 2.01), and
cardiovascular mortality (1.65, 1.36 to 2.01). Associations were modestly
attenuated but remained statistically significant after further adjustment for
estimated glomerular filtration rate. In stratified analyses, similar results
were observed in African Americans and among persons with normal kidney function.
CONCLUSIONS: High levels of serum FGF-23 were associated with increased risk of
coronary heart disease, heart failure, and cardiovascular mortality in this
large, biracial, population-based cohort. This association was independent of
traditional cardiovascular risk factors and kidney function.
PMID- 24922630
TI - Vascularized bone graft for oncological reconstruction of the extremities: review
of the biological advantages.
AB - Vascularized bone graft (VBG) is a form of vascularized bone marrow transplant in
which the bone marrow is surgically grafted with its microenvironment intact. Due
to the preservation of cellular viability, VBG have significant advantages over
non-vascularized bone grafts. Free vascularized fibula grafts have superior
material properties and tolerate infection. Bone healing can be accomplished in a
shorter period, even in an irradiated bed. In addition to these properties, VBG
has other biological advantages that are not always familiar to oncological
surgeons. Hypertrophic change can be divided into reactive and adaptive
hypertrophy. Early hypertrophy is associated with donor-derived cells, whereas
later remodeling is associated with recipient-derived cells. VBG has significant
advantages in enhancing neo-revascularization of necrotic bone. We reviewed VBG
from a novel viewpoint that stems from our basic research.
PMID- 24922631
TI - MicroRNAs in testicular cancer: implications for pathogenesis, diagnosis,
prognosis and therapy.
AB - Testicular germ cell tumors (TGCTs) represent the most common type of solid
tumors among men aged 15 to 40 years. An increasing incidence has been recorded
in developed countries. In clinical practice, TGCTs are classified as seminomas
and non-seminomatous tumors. Non-seminomatous tumors often contain multiple
different cell types and can be further sub-divided according to the histological
and cellular phenotype in embryonal carcinomas, choriocarcinomas, yolk sac tumors
and teratomas. For the clinical management of TGCTs, blood-based markers such as
lactate dehydrogenase, alpha-fetoprotein and human chorionic gonadotropin are
essential tools for diagnosis, risk assessment and patient's prognosis. However,
only 60% of patients with TGCTs show increased serum levels of these tumor
markers. This proportion of patients is even lower for those with seminomas or
pure embryonal carcinomas as alpha-fetoprotein is predominantly related to yolk
sac tumor and human chorionic gonadotropin to choriocarcinoma.
PMID- 24922632
TI - Oxazine derivatives of gamma- and delta-tocotrienol display enhanced anticancer
activity in vivo.
AB - BACKGROUND: Oxazine derivatives of tocotrienols display enhanced anticancer
activity. Studies were conducted to further characterize these effects in vivo.
MATERIALS AND METHODS: Tetrazolium assay was used to determine the inhibitory
effects of oxazine derivatives of gamma-tocotrienol and delta-tocotrienol in
vitro. These compounds were further formulated as lipid nanoemulsions and
intralesional administration was used to examine their anticancer activity in
vivo. RESULTS: Tocotrienol oxazine derivatives significantly inhibited +SA
mammary tumor growth in syngeneic mice as compared to their respective parent
compound, and these effects were associated with a reduction in cell
proliferation and survival (phosphorylated protein kinase B (AKT) and nuclear
factor kappa-light-chain-enhancer of activated B cells (NFkappaB), and
cyclooxygenase-2 (COX2) and cell-cycle progression (cyclin D1, cyclin-dependent
kinase 2 (CDK2), CDK4 and CDK6) markers, and increase in cell-cycle arrest
proteins (p21 and p27). CONCLUSION: Tocotrienol oxazine derivatives may provide
benefit as therapeutic agents against breast cancer.
PMID- 24922633
TI - Immunohistochemical evidence of association between ghrelin expression and tumor
growth in esophageal carcinoma.
AB - BACKGROUND: Ghrelin, an orexigenic peptide, is primarily produced and secreted by
the gastrointestinal tract. As far as we are aware of, there is no evidence of
ghrelin expression in esophageal squamous cell carcinoma (ESCC). MATERIALS AND
METHODS: Two hundred and ten patients with ESCC who underwent surgical resection
were enrolled in this study. We immunohistochemically investigated ghrelin
expression in primary ESCC specimens and analyzed the relationship with
clinicopathological factors. RESULTS: High ghrelin expression was observed in 61
patients (29.0%). Depth of tumor invasion and histological differentiation were
statistically associated with ghrelin expression. As for depth of tumor invasion,
the deeper it was, the higher was the expression of ghrelin. Well-differentiated
tumors had a significantly higher proportion of ghrelin-expressing cells than
other types. CONCLUSION: Ghrelin expression correlated with tumor depth and tumor
differentiation, suggesting an important role of ghrelin in tumor growth in ESCC.
PMID- 24922634
TI - Decreased VDR expression in cutaneous melanomas as marker of tumor progression:
new data and analyses.
AB - BACKGROUND: Vitamin D3, acting via vitamin D receptor (VDR) affects a wide range
of biological activities, including inhibition of proliferation and angiogenesis,
with net antitumor effects. VDR expression is disturbed in many tumors, including
melanomas. AIM: To find correlation between VDR expression in melanomas and
prognostic biomarkers. MATERIALS AND METHODS: VDR was analyzed
immunohistochemically in 69 cutaneous melanomas in relation to prognostic
factors. RESULTS: Less advanced melanomas showed significantly higher VDR
expression than the advanced stages. The presence of other markers such as
ulceration and lack or non-brisk tumor infiltrating lymphocytes (TILs) was
accompanied by significantly lower VDR expression. VDR expression also affected
overall survival (OS) with most noticeable effect in the cases without
ulceration. CONCLUSION: High VDR expression determines a less malignant phenotype
and is related to better prognosis. Loss of VDR expression affects melanoma tumor
behavior, allowing for progression of disease. VDR expression can also serve as a
prognostic marker in routine histopathology evaluation.
PMID- 24922635
TI - Collaborative network of predictive markers complicates formation of prognostic
groups in patients with advanced lung cancer.
AB - BACKGROUND: Evaluation of cancer therapies is mainly based on prolonging
remission status and effect of survival. Various serological, clinical or
histological markers are used to estimate the patient's prognosis, and to tailor
specific therapies for patients with poor prognosis. However, it is still a
challenge to combine all this information into a comprehensive risk prediction.
PATIENTS AND METHODS: In 58 patients with advanced non small cell lung cancer we
recorded 38 parameters (15 from clinic, 10 from histology, 13 from serology) to
analyze their impact on survival. We both used univariate as well as multivariate
approaches and decision tree analysis. RESULTS: Univariate analysis showed that
ECOG status, stage, and the presence of cerebral or bone metastasis had a
significant impact on survival, as well as the serum markers CA15-3, TPA, Cyfra.
In a multivariate approach only ECOG and stage had a significant impact on
survival. Considering correlation coefficients of >0.3 as an indicator of a
functional relationship, we found several relations among the clinical (9),
histological (8) or the serological parameters (13). Survival was related to 9
parameters by significant direct and cross-relation coefficients. The use of
already few variables with its different possible options led to many different
patterns in the cohort, almost all being specific for individual patients, and
thereby underlining their heterogeneity. Decision tree analysis revealed that by
including either stage and kind of therapy or stage and expression of YB-1 allows
to identify sub-groups with distinct prognosis. CONCLUSION: Clinical, serological
and histological markers, all provide prognostic information. Because they are
all linked in a collaborative network, the formation of homogenous prognostic
groups by use of single markers is limited. Alternative statistical approaches
with focus on decision trees may allow use of various information to assess
individual patients into distinct risk groups.
PMID- 24922636
TI - Immunohistochemical comparison of biomarker expression in biopsy and surgical
specimens of non-small cell lung cancer.
AB - BACKGROUND: Single tumors may show heterogeneity, and it is unclear whether
biomarker expression in surgical and diagnostic biopsy samples correlates.
MATERIALS AND METHODS: We retrospectively identified lung cancer patients who
were diagnosed by biopsy and underwent surgery between January 2007 and October
2010 at the Shimane University Hospital, Shimane, Japan. Thirty-two patients were
identified. The expression of four predictive biomarkers was assessed, namely
excision repair cross-complementing gene 1 (ERCC1), ribonucleotide diphosphate
reductase M1 (RRM1), thymidylate synthase (TS), and class III beta-tubulin (BT).
We also compared immunohistochemical staining in diagnostic biopsy and
corresponding resected surgical samples. RESULTS: Moderate correlation was seen
between the expression of ERCC1, RRM1, TS, and BT in the biopsy and surgical
specimens, with r values of 0.512 (p=0.003), 0.411 (p=0.020), 0.475 (p=0.006),
and 0.404 (p=0.027), respectively. CONCLUSION: Assessment of biopsy samples with
immunohistochemical staining is a feasible and reliable method for use in
clinical decision making.
PMID- 24922637
TI - Diallyl disulfide inhibits TNFalpha-induced CCL2 release by MDA-MB-231 cells.
AB - Monocyte chemotactic protein-1 (MCP-1/CCL2) is released by tumor tissues, serving
as a potent chemokine enabling directional homing of mononuclear cells to tumor
tissue, which subsequently differentiate into tumor-associated macrophages (TAMs)
via TGFbeta1 signaling. TAMs readily invade tumor tissue and continue to
synthesize pro-oncogenic proteins including tumor growth factors, matrix
proteases (metastasis), angiogenic factors (neovascularization) and CCL2.
Substances, which can attenuate or block the initial release of CCL2 have been
shown to prevent cancer-associated inflammative pro-oncogenic processes. In the
current study, we investigated the effects of the organosulfur compound diallyl
disulfide (DADS), a natural constituent of Allium sativum (garlic) on suppression
of TNFalpha-induced release of CCL2 from triple-negative human breast tumor (MDA
MB-231) cells. Using an initial adipokine/chemokine protein panel microarray, the
data show a predominant expression profile in resting/untreated MDA-MB-231 cells
for sustained release of IL6, IL8, plasminogen Activator Inhibitor 1 and TIMP1/2.
Treatment with TNFalpha (40 ng/ml) had no effect on many of these molecules, with
a single major elevation in release of CCL2 (~1,300-fold up-regulation). TNFalpha
induced CCL2 release was reversed by a sub-lethal concentration of DADS (100
MUM), evident in antibody based assays. These findings provide evidence to
support another avenue of anticancer/chemopreventative properties attributable to
garlic constituents through immunomodulation.
PMID- 24922638
TI - The role of type D prostanoid receptors and PPARgamma in gastric cancer
progression.
AB - Prostaglandin D2 (PGD2) has been demonstrated to have antitumor effects on cancer
cells. PGD2 acts through two major receptors of DP1 and DP2, as well as through
the peroxisome proliferator-activated receptor gamma (PPARgamma) via the PGD2
metabolite, 15-deoxy-Delta12-14-PGJ2. The expression levels of DP1, DP2, and
PPARgamma were analyzed by immunohistochemistry on 277 primary gastric
carcinomas. Either DP1- or DP2-positive cases were regarded as DP-positive. DP
Positive tumour was significantly associated with lymph mode metastasis,
lymphatic invasion, and venous invasion. PPARgamma positivity was not associated
with any clinicopathological factors of gastric cancer. DP-Negative and PPARgamma
positive cases were significantly associated with T category, lymph metastasis,
and lymphatic invasion. The prognosis of DP-negative and PPARgamma-positive cases
was better than that of the other cases. These findings suggest that DP and
PPARgamma signaling influence the invasiveness of cancer cells. DP and PPARgamma
can be used as a potential marker for gastric cancer progression.
PMID- 24922639
TI - Identification of metabolic signatures associated with erlotinib resistance of
non-small cell lung cancer cells.
AB - BACKGROUND/AIM: The acquisition of resistance to epidermal growth factor receptor
(EGFR) tyrosine kinase inhibitors (TKIs) remains a major challenge in lung cancer
medicine. We sought to identify biomarkers for the early detection of resistance
to TKIs. MATERIALS AND METHODS: Capillary electrophoresis time-of-flight mass
spectrometry analysis was performed to identify the metabolic signatures
associated with erlotinib resistance in erlotinib-resistant PC-9ER NSCLC cells
established from the EGFR-mutant NSCLC cell line PC-9. RESULTS: PC-9ER cells
showed metabolic signatures indicative of enhanced glutamine metabolism. Copy
number gains in v-myc avian myelocytomatosis viral oncogene homolog (MYC),
glutathione-S-transferase theta 2 (GSTT2), gamma-glutamyltransferase 1 (GGT1),
and GGT5 were also detected, suggesting that amplification of these genes confers
glutamine addiction in PC-9ER cells. CONCLUSION: Enhanced glutamine metabolism
may be a surrogate marker that can be used to predict the likelihood of patients
to respond to EGFR-TKIs.
PMID- 24922640
TI - Cell-cycle analysis and apoptosis-associated proteins in cervical lesions of
Brazilian women.
AB - AIM: The aim of the present study was to detect the relative expressions of p53,
p21(Waf1/Cip1), p27(Kip1) Bcl-2 and cleaved caspase-3 in cervical lesion samples
from Brazilian women by immunohistochemistry. MATERIALS AND METHODS: A total of
230 cervical biopsies in paraffin-embedded blocks were studied: 43 were invasive
squamous cell carcinomas (SCC), 52 carcinomas in situ/cervical intraepithelial
neoplasias III (CIN III), 54 cervical intraepithelial neoplasias II (CIN II), 51
cervical intraepithelial neoplasias I (CIN I) and 30 non-neoplastic lesions (NN)
with benign cellular changes. RESULTS: Significant differences were observed in
the p53 expression between the different groups: NN and CIN I (p=0.010); NN and
CIN II (p<0.00001); CIN II and CIN III (p=0.02); CIN II and CIS (p=0.0220); CIN
II and CEC (p=0.010). Regarding p21(WAF1/Cip1), significant differences were
observed between NN and CEC (p=0.001); CIN I and CEC (p=0.001); CIN II and CIN
III (p=0,001); CIN II and CIS (p=0.0004) and CIN II and CEC (p<0.0001). For
p27(Kip1), significant differences were observed between NN and CIN I
(p<0.00001); NN and CIN II (p<0.00001); NN and CIS (p=0.038); CIN I and CIN III
(p=0.001); CIN I and CIS (p=0.009); CIN I and CEC (p=0.0001); CIN II and CIN III
(p=0.0003); CIN II and CIS (p=0.002); CIN II and CEC (p< 0.00001). Bcl-2 and
caspase-3 did not show remarkable differences between groups. CONCLUSION: p53,
p21(WAF1/CIP1), p27(KIP1) appear to be involved in the course of carcinogenesis.
Rare expression of Bcl-2 and cleaved caspase-3 suggests that these proteins
probably do not participate in cervical apoptosis.
PMID- 24922641
TI - Heparanase and COX-2 expression as predictors of lymph node metastasis in large,
high-grade breast tumors.
AB - BACKGROUND/AIM: Heparanase (HPA) contributes to breast cancer metastasis by
facilitating the breakdown of the basement membrane and extracellular matrix.
High expression of HPA is thought to be associated with increased nodal
involvement and poor survival in patients with breast cancer. Overexpression of
cyclooxygenase-2 (COX-2) in breast cancer is associated with indicators of poor
prognosis such as lymph node metastasis, poor differentiation, and large tumor
size. The underlying mechanism by which HPA and COX-2 overexpression increases
the metastatic potential of breast cancer is not fully-understood. To enhance our
understanding over these mechanisms, we aimed to investigate the relationship
between the size of the tumor and HPA expression, tumor grade as well as lymph
node status in patients with breast cancer. MATERIALS AND METHODS:
Immunohistochemical analysis of HPA and COX-2 expression was performed on 246
breast tumor samples. The expression of HPA was correlated with COX-2 expression,
tumor grade, lymph node status, oestrogen receptor status. RESULTS: The
overexpression of HPA and COX-2 was associated with increased likelihood of lymph
node positivity in large, high-grade tumors. High-grade tumors with size greater
than 20 mm, that overexpressed HPA, were 4-times more likely to be associated
with lymph node involvement (OR 4.71, CI 1.21-18.25). Whereas, tumors greater
than 20 mm in size were 5-times more likely to metastasize to the regional lymph
nodes, if associated with overexpression of COX-2 (OR 5.5, CI 1.2-24.8).
CONCLUSION: Expression of HPA appears to be a key mechanism by which large, high
grade breast tumors metastasize to regional lymph nodes, while COX-2
overexpression may be an independent predictor of lymph node positivity.
PMID- 24922642
TI - Predicting the sensitivity to ion therapy based on the response to photon
irradiation--experimental evidence and mathematical modelling.
AB - BACKGROUND/AIM: The use of ion radiation therapy is growing due to the
continuously increasing positive clinical experience obtained. Therefore, there
is a high interest in radio-biological experiments comparing the relative
efficiency in cell killing of ions and photons as photons are currently the main
radiation modality used for cancer treatment. This comparison is particularly
important since the treatment planning systems (TPSs) used at the main ion
therapy Centers make use of parameters describing the cellular response to
photons, respectively ions, determined in vitro. It was, therefore, the aim of
this article to compare the effects of high linear energy transfer (LET) ion
radiation with low LET photons and determine whether the cellular response to low
LET could predict the response to high LET irradiation. MATERIALS AND METHODS:
Clonogenic cell survival data of five tumor cell lines irradiated with different
ion beams of similar, clinically-relevant, LET were studied in relation to
response to low LET photons. Two mathematical models were used to fit the data,
the repairable-conditionally repairable damage (RCR) model and the linear
quadratic (LQ) model. RESULTS: The results indicate that the relative biological
efficiency of the high LET radiation assessed with the RCR model could be
predicted based only on the response to the low LET irradiation. CONCLUSION: The
particular features of the RCR model indicate that tumor cells showing a large
capacity for repairing the damage will have the larger benefit from radiation
therapy with ion beams.
PMID- 24922643
TI - Development of a dihydroartemisinin-resistant Molt-4 leukemia cell line.
AB - Artemisinin generates cytotoxic free radicals when it reacts with iron. Its
toxicity is more selective toward cancer cells because cancer cells contain a
higher level of intracellular-free iron. We previously reported that
dihydroartemisinin (DHA), an active metabolite of artemisinin, has selective
cytotoxicity toward Molt-4 human lymphoblastoid cells. A concern is whether
cancer cells could develop resistance to DHA after repeated administration, thus
limiting its therapeutic efficacy. In the present study, we developed a DHA
resistant Molt-4 cell line (RTN) by exposing Molt-4 cells to gradually increasing
concentrations of DHA in vitro. The half-maximal inhibitory concentration (IC50)
of DHA for RTN cells is 7.1-times higher than that of Molt-4 cells. RTN cells
have a higher growth rate than Molt-4 cells. In addition, we investigated the
toxicities of two more potent synthetic artemisinin compounds, artemisinin dimer
alcohol and artemisinin-tagged holotransferrin toward RTN cells; RTN cells showed
no significant cross-resistance to these compounds.
PMID- 24922644
TI - Influence of hypoxia inducible factors on the immune microenvironment in ovarian
cancer.
AB - BACKGROUND: Ovarian tumors remain immunogenic even at advanced stages, but cancer
induced immunosuppression abrogates immune surveillance. The composition of the
immune microenvironment in ovarian tumors was characterized by analyzing selected
immunosuppressive factors in specimens from cancer patients. The influence of the
hypoxia inducible factors on the immune microenvironment was also addressed.
MATERIALS AND METHODS: Tumor tissue was collected from 21 ovarian cancer patients
immediately following tumor excision during surgery. The mRNA expression of
selected genes was quantified, and tumor infiltrating leukocytes were
characterized by flow cytometry to identify regulatory T-cells, myeloid-derived
suppressor cells, and type-2 macrophages. RESULTS: Overall, a pronounced
heterogeneity was found among the analyzed samples. Nevertheless, statistical
analysis revealed that the expression of hypoxia inducible factors correlated
with the transcription levels of several immunosuppressive molecules. CONCLUSION:
The activity of hypoxia inducible factors contributes to cancer immunosuppression
in ovarian cancer patients.
PMID- 24922645
TI - SOD3 acts as a tumor suppressor in PC-3 prostate cancer cells via hydrogen
peroxide accumulation.
AB - BACKGROUND: The functions of superoxide dismutase-3 (SOD3), which acts on the
cell surface and protects cells from oxidative stress, remain uncertain in the
progression of prostate cancer. MATERIALS AND METHODS: To verify SOD3 expression
in human prostate tissue, immunohistochemistry was performed using tissue
microarrays. To investigate the effects of SOD3 on proliferation, migration, and
invasion, SOD3 was overexpressed and recombinant SOD3 was employed in PC-3
prostate cancer cells. H2O2 levels, reduced glutathione (GSH)/oxidized
glutathione (GSSG) ratio, catalase activity, and 8-oxo-2'-deoxyguanosine (8-OHdG)
were estimated in SOD3-overexpressing PC-3 cells. RESULTS: Immunohistochemistry
revealed reduced expression of SOD3 in prostate cancer tissue. SOD3
overexpression in PC-3 cells inhibited cell proliferation, migration, and
invasion. Recombinant SOD3 had the same effect. H2O2 accumulation was increased
by SOD3 overexpression, GSH/GSSG ratio was decreased, and catalase activity was
decreased. DNA damage in SOD3-overexpressing cells was confirmed by 8-OHdG
elevation. CONCLUSION: Since SOD3 acts as a tumor suppressor, SOD3 overexpression
and recombinant SOD3 might lead to treatment for prostate cancer.
PMID- 24922646
TI - HSPA2 is expressed in human tumors and correlates with clinical features in non
small cell lung carcinoma patients.
AB - BACKGROUND/AIM: It has been shown that HSPA2 protein, a testis-enriched member of
HSPA/HSP70 family, is important for cancer cell growth and metastasis. However,
the status of HSPA2 expression in tumors and its clinical/prognostic significance
are obscure. Herein we aimed to investigate the expression of HSPA2 in various
types of tumors and to determine the possible clinical and prognostic
significance of HSPA2 in non-small cell lung carcinoma (NSCLC). MATERIALS AND
METHODS: Tissue microarrays and postoperative NSCLC tumors were tested for HSPA2
by immunohistochemistry. RESULTS: HSPA2 is expressed in the majority of tumor
histotypes. In NSCLC patients (n=85), nuclear HSPA2 expression was associated
with histology, TNM staging and prognosis. High HSPA2 expression was
significantly related to shorter overall survival (OS) in stage I-II patients. In
multivariate analysis, high HSPA2, together with stage IIIA and male sex, were
associated with shorter OS in the whole group. CONCLUSIONS: As exemplified in
NSCLC the status of HSPA2 in human tumors may have certain prognostic
significance.
PMID- 24922647
TI - Primary and secondary resistance to tyrosine kinase inhibitors in lung cancer.
AB - BACKGROUND: Tyrosine kinase inhibitors (TKI) have emerged as important
therapeutic agents for the treatment of several types of cancer including lung
cancer. Recent research attempts show that only a small population of cancer
patients responds to TKI and furthermore, these patients eventually develop
resistance. Studies support the classification of resistance in primary and
secondary resistance. MATERIALS AND METHODS: In the present study the
differentiation between primary and secondary resistance to TKI in lung cancer
cell lines was investigated. Lung cancer cell lines were tested for viability,
apoptosis and cell cycle after exposure to the TKI erlotinib and gefitinib.
RESULTS: Cells with primary resistance showed similar cell-cycle patterns to
those with secondary resistance but differences were observed between the two
groups in the viability and apoptosis assays. CONCLUSION: Understanding the
effects of TKI on cell signaling pathways would shed light on the mechanisms of
acquired resistance and the differences between primary and secondary resistance.
PMID- 24922648
TI - The role of claudin-1 and claudin-7 in cervical tumorigenesis.
AB - BACKGROUND/AIM: The claudin family of proteins are key constituents of tight
junctions and the aberrant expression of these proteins can contribute to de
stabilisation of tight junctions and thus to loss of cell polarity and cohesion.
Increased expression of claudin-1 and claudin-7 has been observed in pre-invasive
cervical lesions and cervical carcinomas. The present study attempted to assess
the effect of claudin-1 and claudin-7 overexpression on the HeLa cervical
carcinoma cell line, in terms of cell proliferation/viability, permeability,
invasion and migration. MATERIALS AND METHODS: HeLa cells were stably transfected
with expression vectors containing the claudin-1 and claudin-7 genes to produce
two separate stable cell lines expressing claudin-1 and claudin-7, respectively.
The stable cell lines were examined with regard to their invasion and migration
abilities, cell permeability and cell proliferation/viability and compared to non
claudin-1 or -7 transfected HeLa. RESULTS: The present study found that claudin-1
and claudin-7 affected the migratory ability of HeLa cells, reducing their
ability to migrate in a gap closure assay compared to non-claudin-transfected
HeLa cells. Monolayers of claudin-1 and claudin-7 transfected cells also
displayed an increased transepithelial electrical resistance indicating decreased
permeability compared to non-claudin-transfected HeLa. The study found that
claudin-1 or claudin-7 expression had no effect on the proliferation or viability
of HeLa cells. Claudin-1 or -7 expression also did not affect the invasive
ability of HeLa cells with both stable cells lines and non-claudin-transfected
HeLa cells all showing low invasive ability. CONCLUSION: The results of the
present study indicate that claudin-1 and claudin-7 overexpression alone does not
contribute to increased tumorigenesis in cervical carcinoma, instead claudin-1
and - 7 expression in HeLa cells contribute to reducing the migratory ability of
cells and decrease their permeability.
PMID- 24922649
TI - Spontaneous in vitro senescence of glioma cells confirmed by an antibody against
IDH1R132H.
AB - BACKGROUND: We have recently suggested that glioblastoma cells become
spontaneously senescent in cell culture conditions. The antibody specific against
IDH1(R132H) offers the perfect opportunity to verify this hypothesis. MATERIALS
AND METHODS: We analyzed the features of senescence in 8 glioma cell cultures
showing the IDH1(R132H) mutation based on combination of immunocytochemistry,
enzymo-cytochemistry, BrdU incorporation assay and real-time microscopic
observation. RESULTS: We report that glioma cells showing the IDH1(R132H)
mutation become rapidly and spontaneously senescent in vitro. Senescence was
observed in both classical and novel serum-free cell culture conditions.
Importantly, the senescent IDH1(R132H)-positive cells showed the expression of
stemness marker (SOX2). CONCLUSION: In vitro senescence appeared to be the main
reason of the difficulties in any kind culturing of glioma cells. 3D cell
cultures prolonged the survival and in vitro proliferation of neoplastic
IDH1(R132H)-positive cells, however, did not enhance the stabilization
efficiency. Senescence of glioma cells is spontaneously triggered in vitro, which
offers the opportunity of potential new therapeutic strategies based on this
phenomenon.
PMID- 24922650
TI - Induction of caspase-dependent apoptosis by apigenin by inhibiting STAT3
signaling in HER2-overexpressing MDA-MB-453 breast cancer cells.
AB - BACKGROUND: This study aimed to examine the effect of apigenin on proliferation
and apoptosis in HER2-overexpressing MDA-MB-453 breast cancer cells. MATERIALS
AND METHODS: The antiproliferative effects of apigenin were examined by
proliferation and MTT assays. The effect of apigenin on apoptotic molecules was
determined by western blotting. RT-PCR was performed to measure mRNA levels of
HIF-1alpha and VEGF. ELISA assay was performed to measure intracellular VEGF
levels. Immunocytochemistry was performed to evaluate nuclear STAT3 level.
RESULTS: Apigenin inhibited the proliferation of MDA-MB-453 cells. Apigenin up
regulated the levels of cleaved caspase-8 and caspase-3, and induced the cleavage
of PARP. Apigenin induced extrinsic apoptosis and blocked the activation
(phosphorylation) of JAK2 and STAT3. Apigenin inhibited CoCl2-induced VEGF
secretion and decreased the nuclear staining of STAT3. CONCLUSION: Apigenin
exerts its antiproliferative activity by inhibiting STAT3 signaling. Apigenin
could serve as a useful compound to prevent or treat HER2-overexpressing breast
cancer.
PMID- 24922651
TI - Effects of targeting endometrial stromal sarcoma cells via histone deacetylase
and PI3K/AKT/mTOR signaling.
AB - AIM: Endometrial stromal sarcoma (ESS) is a rare gynecological mesenchymal
malignancy with only few therapeutic options. This study aimed to investigate the
efficacy of the histone deacetylase (HDAC) inhibitor suberanilohydroxamic acid
(SAHA) combined with inhibitors of the phosphoinositid-3-Kinase (PI3K) pathway in
ESS therapy. MATERIALS AND METHODS: The effects of SAHA combined with inhibitor
of PI3K (LY294002, LY), mammalian target of rapamycin mTOR (rapamycin), and their
combination on cell growth and the PI3K pathway in two ESS cell lines (ESS-1 and
MES-SA) and one non-neoplastic cell line HESC, were investigated. RESULTS: SAHA
reduced growth of the three cell lines by inhibiting protein kinase B AKT and
mTOR/p70S6K cascade activation. SAHA combined with LY or rapamycin, or both,
synergistically reduced p-p70S6K and p-4E-BP1 levels. SAHA combined with LY and
rapamycin led to the strongest growth inhibition and slowest growth recovery
among the combination treatments. CONCLUSION: SAHA combined with inhibition of
PI3K and mTOR could represent an efficient therapy option for patients with ESS.
PMID- 24922652
TI - Preconditioning with hyperbaric oxygen in pancreaticoduodenectomy: a randomized
double-blind pilot study.
AB - In a prospective randomized double-blind study, we evaluated the post-operative
biological and clinical effects of a single preoperative hyperbaric-treatment the
day before surgery for pancreatic ductal adenocarcinoma. PATIENTS AND METHODS:
Twenty one patients were randomized and divided into two groups: group-A (10
patients, 48%) were exposed to a HyperBaric Oxygen (HBO) session the day before
intervention [Pre-Intervention Day (PID)], group-B (11 patients, 52%) breathed
air for 40 min in a hyperbaric chamber pressurized to 1.15 ATA (placebo group).
For all patients blood samples were obtained before HBO treatment or the placebo
procedure (T0); at the end of HBO session or placebo procedure (T1); on the first
post-operative day (POD)(T2) and on seventh POD(T3) day, measuring interleukin
(IL)-1, IL-6, IL-8, IL-10, IL-12 and TNF-alpha, recording postoperative
pancreatic fistula (POPF), biliary-fistula, fever, intra-abdominal abscess,
bleeding, pulmonary complications, delayed gastric emptying and requirement for
post-operative antibiotics. The results of the present pilot study suggest that a
single preoperative hyperbaric oxygen treatment on the day before surgery may
reduce the complication rate in pancreatic resection.
PMID- 24922653
TI - Cell-cycle distribution and Thymidilate Synthatase (TS) expression correlate with
5-FU resistance in head and neck carcinoma cells.
AB - BACKGROUND: Acquired chemoresistance to 5-fluorouracil (5-FU) remains one of the
obstacles for the success of 5-FU-based cancer chemotherapy, and some molecular
mechanisms of acquired 5-FU resistance are still unknown. The main action of 5-FU
is the suppression of DNA replication by inhibiting Thymidylate Synthase (TS).
MATERIALS AND METHODS: We analyzed 5-FU resistance mechanisms using the head and
neck squamous cell carcinoma cell lines, UM-SCC-23, and two different resistant
cell lines, UM-SCC-23/WR and UM-SCC-23/MR, which were procured from UM-SCC-23
cells. To acquire resistance, the two cells underwent repeated treatment of 5-FU
with different durations and frequency. We determined differences in the cell
cycle distribution and the expression of TS proteins in the three cell lines.
Moreover, cell-cycle distribution in cells which acquired resistance after 5-FU
treatment, was compared to that of parental cells, using flow cytometric
analysis. RESULTS: There was a remarkable increase in TS protein expression
levels in UM-SCC-23/WR following 5-FU treatment. S-phase cells of UM-SCC-23 and
UM-SCC-23/WR cells were immediately increased after treatment with 5-FU, whereas
UM-SCC-23/MR were accumulated to the S-phase slightly later. CONCLUSION: The cell
cycle perturbation or elevation of TS protein expression may be involved in
acquired 5-FU resistance and identifies 5-FU resistance mechanisms in the two
different 5-FU treatment regimens.
PMID- 24922654
TI - Effect of EPH-ephrin signaling on the growth of human leukemia cells.
AB - BACKGROUND: Signaling induced by binding of erythropoietin-producing hepatoma
amplified sequence (EPH) receptors to their cell-surface ephrin ligands is
implicated in hematopoiesis and growth of various cancer cells. However, the
roles of EPH-ephrin signaling in leukemia have not been elucidated. We
investigated the effects of EPHB4 and ephrin B2 on the growth of leukemia cells.
MATERIALS AND METHODS: Seven human leukemia cell lines were used to examine the
effects of recombinant ephrin B2 and EPHB4 on cell proliferation by colorimetric
WST-1 assay and colony assays; on protein tyrosine phosphorylation; and on mRNA
expression by reverse transcription-polymerase chain reaction and microarray
analysis. RESULTS: In an erythroid leukemia-derived cell line AA, exogenous
ephrin B2 induced proliferation and colony formation; in addition, it up
regulated protein tyrosine phosphorylation and the expression of growth-related
genes such as FBJ murine osteosarcoma viral oncogene homolog B and v-src avian
sarcoma viral oncogene homolog. CONCLUSION: Growth-promoting effects of ephrin B2
were observed in an erythroid leukemia cell line, suggesting that the EPH-ephrin
signaling may be involved in the pathology of leukemia.
PMID- 24922655
TI - PPM1D as a novel biomarker for prostate cancer after radical prostatectomy.
AB - Protein phosphatase magnesium-dependent 1 delta (PPM1D) is involved in several
types of cancer. The current study examined the role of PPM1D expression in
prostate cancer (PCa) tissues and in PCa cell lines. Expression of PPM1D was
evaluated using immunohistochemistry in 234 PCa tissues after radical
prostatectomy and 80 benign prostatic hyperplasia (BPH) tissues. The associations
of PPM1D expression with clinicopathological parameters and survival were
analyzed. In vitro, tumor cells were transfected with small interfering RNA
targeting PPM1D (siPPM1D) or si-Scramble, and the cell proliferation, migration
and invasion were determined. We found that PPM1D expression was significantly
higher in PCa tissues than that in BPH tissues. PPM1D expression was positively
correlated with Gleason score (p=0.022), T stage (p=0.015) and lymph node status
(p=0.016). Kaplan-Meier curve analysis showed that patients with positive PPM1D
expression had shorter biochemical recurrence-free survival and overall survival.
Furthermore, multivariate analyses showed that PPM1D expression was an
independent predictor of both biochemical recurrence-free (hazard ratio=3.437,
95% confidence interval=1.154-6.209, p=0.016) and overall survival (hazard
ratio=5.026, 95% confidence interval=2.545-8.109, p=0.007). Knockdown of PPM1D
inhibited the proliferation, migration and invasion capabilities of PC-3 and
LNCaP cells. PPM1D expression may predict for both overall and biochemical
recurrence-free survival in patients after radical prostatectomy for PCa.
Elevated PPM1D expression plays a key role in progression of PCa.
PMID- 24922656
TI - RAD001 enhances the radiosensitivity of SCC4 oral cancer cells by inducing cell
cycle arrest at the G2/M checkpoint.
AB - BACKGROUND: Inhibition of mammalian target of rapamycin (mTOR) kinase enhances
the radiosensitivity of some cancer cells. We investigated the effect of RAD001,
an mTOR inhibitor, on irradiated oral cancer cell lines. MATERIALS AND METHODS:
Clonogenic assays were performed to determine the radiosensitivity of SCC4 and
SCC25 cells after treatment with RAD001. Target protein phosphorylation,
apoptosis, and cell-cycle progression were assessed in SCC4 cells treated with
RAD001 with and without ionizing radiation. RESULTS: RAD001 increased the
radiosensitivity of SCC4 cells without affecting cell death; it also inhibited
phosphorylation of mTOR, S6, and factor 4E binding protein 1 and reduced the
clonogenic survival of irradiated cancer cells. RAD001 combined with radiation
increased G2 arrest by activating CHK1, which phosphorylates CDC25C at Ser216,
thereby inhibiting CDC2-cyclin B 1 complex formation. CONCLUSION: RAD001 enhances
the radiosensitivity of SCC4 cells by inhibiting mTOR signaling and inducing G2
cell-cycle arrest through disruption of the G2 checkpoint.
PMID- 24922657
TI - Pattern of somatostatin receptors expression in normal and bladder cancer tissue
samples.
AB - BACKGROUND/AIM: Known risks factors for bladder cancer progression and recurrence
are limited regarding their prognostic ability. Therefore identification of
molecular determinants of disease progression could provide with more specific
prognostic information and could be translated into new approaches for biomarker
development. In the present study we evaluated, the expression patterns of
somatostatin receptors 1-5 (SSTRs) in normal and tumor bladder tissues. MATERIALS
AND METHODS: The expression of SSTR1-5 was characterized in 45 normal and bladder
cancer tissue samples using reverse transcriptase-polymerase chain reaction (RT
PCR). RESULTS: SSTR1 was expressed in 24 samples, SSTR2 in 15, SSTR3 in 23, SSTR4
in 16 and SSTR5 in all but one sample. Bladder cancer tissue samples expressed
lower levels of SSTR3. Co-expression of SSTRs was associated with superficial
disease. CONCLUSION: Our results demonstrate, for the first time, that there is
expression of SSTR in normal and bladder cancer urothelium. Further studies are
required to evaluate the prognostic and therapeutic significance of these
findings.
PMID- 24922658
TI - Genetic polymorphism of miR-196a as a prognostic biomarker for early breast
cancer.
AB - BACKGROUND: As microRNAs (miRNA) may play important roles in tumorigenesis by
regulating the expression of proto-oncogenes or tumor suppressor genes, the
present study analyzed single nucleotide polymorphisms (SNPs) located in miRNA
and miRNA-binding sites of various genes and their impact on prognosis for 452
patients with early breast cancer. MATERIALS AND METHODS: Three SNPs of miR-196a
(rs3746444, rs11614913, and rs1044129) were selected using in silico analysis and
genotyped using the Sequenom MassARRAY. RESULTS: The median age of patients was
48 years, and 283 (62.6%) were estrogen and/or progesterone receptor (ER/PgR)
positive, 86 (19.0 %) had human epidermal growth factor receptor 2 (HER2)
overexpressing, and 77 (17.0%) had triple-negative early breast cancer. During
the median follow-up of 6.9 years, 67 (14.8%) relapses and 55 (12.2%) deaths were
recorded. Among the three polymorphisms, the C allele of miR-196a rs11614913T>C
was significantly associated with worse disease-free (DFS) and distant DFS (DDFS)
when adjusted for clinical and pathological parameters. In particular, the
prognostic impact of rs11614913 was limited to the hormone receptor-expressing
subtype, where the patients bearing the CC genotype showed worse survival in
terms of DFS and DDFS compared with the patients with the TT or TC genotype as a
recessive model (hazard ratio=2.610, p=0.003 for DFS; hazard ratio=2.730, p=0.013
for DDFS). CONCLUSION: The current study provides evidence that the miR-196a
rs11614913T>C polymorphisms are possible prognostic biomarker for patients with
hormone receptor-expressing early breast cancer.
PMID- 24922659
TI - Contribution of DNA double-strand break repair gene XRCC3 genotypes to oral
cancer susceptibility in Taiwan.
AB - The DNA repair gene X-ray repair cross complementing protein 3 (XRCC3) is thought
to play a major role in double-strand break repair and in maintaining genomic
stability. Very possibly, defective double-strand break repair of cells can lead
to carcinogenesis. Therefore, a case-control study was performed to reveal the
contribution of XRCC3 genotypes to individual oral cancer susceptibility. In this
hospital-based research, the association of XRCC3 rs1799794, rs45603942,
rs861530, rs3212057, rs1799796, rs861539, rs28903081 genotypes with oral cancer
risk in a Taiwanese population was investigated. In total, 788 patients with oral
cancer and 956 age- and gender-matched healthy controls were genotyped. The
results showed that there was significant differential distribution among oral
cancer and controls in the genotypic (p=0.001428) and allelic (p=0.0013)
frequencies of XRCC3 rs861539. As for the other polymorphisms, there was no
difference between case and control groups. In gene-lifestyle interaction
analysis, we have provided the first evidence showing that there is an obvious
joint effect of XRCC3 rs861539 genotype with individual areca chewing habits on
oral cancer risk. In conclusion, the T allele of XRCC3 rs861539, which has an
interaction with areca chewing habit in oral carcinogenesis, may be an early
marker for oral cancer in Taiwanese.
PMID- 24922660
TI - Hyperoxia resensitizes chemoresistant glioblastoma cells to temozolomide through
unfolded protein response.
AB - BACKGROUND: Intratumoural hypoxia is associated with chemoresistance in
glioblastoma multiforme (GBM), a highly malignant brain tumour. Adaptive response
to endoplasmic reticulum stress induced by temozolomide is a major obstacle in
recurrent GBM. We investigated whether hyperoxia resensitizes temozolomide
resistant GBM cells to temozolomide by abrogating the hypoxia-induced, unfolded
protein response (UPR)-related protective mechanisms. MATERIALS AND METHODS: We
examined changes to key UPR modulators in temozolomide-sensitive and -resistant
human GBM cells (D54 and U87) treated with/without temozolomide at different
oxygen concentrations using western blotting, and cytotoxic benefits of
overexpressing key chaperone, P4HB, in GBM cells (U87 and U251) under normoxia
and hyperoxia. RESULTS: Hyperoxia, alone or synergistically with temozolomide,
activated the UPR in sensitive and resistant D54 and U87 cell lines. Hyperoxia
also reduced survival benefit of U87 and U251 cells with P4HB overexpression
through the UPR. CONCLUSION: Hyperoxia enhanced GBM cell sensitivity to
temozolomide, likely through UPR, highlighting an important treatment modality
targeting chemosensitive and -resistant GBM.
PMID- 24922661
TI - The steroidal Na+/K+ ATPase inhibitor 3-[(R)-3-pyrrolidinyl]oxime derivative (3-R
POD) induces potent pro-apoptotic responses in colonic tumor cells.
AB - Recently, potent anticancer actions of the steroidal Na(+)/K(+) ATPase inhibitor
3-[(R)-3-pyrrolidinyl]oxime derivative 3 (3-R-POD) have been reported for
multiple cell lines, including prostate and lung cancer cells. In the present
study, the anticancer action of 3-R-POD was addressed in colonic tumor cells.
Treatment of Caco2 colonic tumor cells with increasing concentrations of 3-R-POD
induced potent, dose-dependent inhibition of cell growth as measured by the 3
(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assay. In
addition, the APOpercentage apoptosis assay revealed significant pro-apoptotic
responses, suggesting that the anticancer activity of this steroidal Na(+)/K(+)
ATPase inhibitor in colonic tumors takes places mainly through the induction of
strong pro-apoptotic effects. Focussing on the molecular mechanism that may
regulate these interactions, 3-R-POD was shown to induce significant early actin
re-organization and late Protein Kinase B (AKT) de-phosphorylation. Finally, the
3-R-POD-induced inhibition of cell growth and early actin reorganization in
colonic cancer cells remained unchanged when cells were pre-treated with
pertussis toxin, thus excluding possible interactions of this inhibitor with G
coupled receptors. These results indicate that 3-R-POD induces potent pro
apoptotic responses in colonic tumor cells governed by actin re-organization and
inhibition of AKT pro-survival signaling.
PMID- 24922662
TI - FIP-gts potentiate autophagic cell death against cisplatin-resistant urothelial
cancer cells.
AB - BACKGROUND: Urothelial cancer (UC) is a common cancer among males. Once
metastatic or chemoresistant diseases develop, there is little effective
treatment available. A fungal immunomodulatory protein, ganoderma tsugae (FIP
gts) possesses antitumor activity against solid tumors and inhibits telomerase
activity. FIP-gts induces autophagy in cancer cells and may provide an
alternative pathway against chemo-resistance. MATERIALS AND METHODS: Two UC cell
lines were used to investigate the cytotoxicity effects and the autophagy
regulation of FIP-gts using flow cytometry, acidic vesicular organelles (AVO)
staining and western blotting. RESULTS: MTT assay showed that FIP-gts and
bafilomycin-A1 (Baf-A1) and or chloroquine (CQ) could enhance a significantly
synergistic cytotoxicity. The treatment of UC cell lines with FIP-gts activated
LC-3 II formation and AVO positive staining on western blot and flow cytometry.
Interestingly, FIP-gts and Baf-A1 combined treatment was found to lead to
enhancement of apoptosis along with inhibition of autophagy in parental and
resistant UC cells. CONCLUSION: FIP-gts may have the potential to be utilized as
a therapeutic adjuvant for the treatment of resistant UC cancer down-regulating
Beclin-1 to activate autophagic cell death.
PMID- 24922663
TI - DNA methylation in paired breast epithelial and white blood cells from women
undergoing reduction mammoplasty.
AB - BACKGROUND: The extent to which white blood cell (WBC) DNA methylation provides
information on the status of breast epithelial cell DNA is unknown. PATIENTS AND
METHODS: We examined the correlation between methylation in Ras-association
domain family-1 gene (RASSF1), a tumor-suppressor gene, and methylation in
repetitive elements in paired sets of DNA from WBC and breast epithelial cells
collected from 32 women undergoing reduction mammoplasty. RESULTS: We observed no
evidence of correlation in methylation levels for ALU, long interspersed nuclear
element-1 (LINE1) or juxtacentromeric satellite-2 (SAT2) (r=0.02 for LINE1,
p=0.98; r=0.28 for ALU, p=0.12; r=0.26 for SAT2, p=0.17) for matched sets of DNA
from WBC and breast epithelial cells. Variability in these markers across
individuals and in the same tissue was low. Five women had an average methylation
level above 5% for RASSF1 in breast epithelial cell DNA; however, average
methylation levels in WBC DNA for these women were all below 1%. CONCLUSION:
Methylation patterns in WBC DNA did not reflect methylation patterns in the
breast.
PMID- 24922664
TI - Insulin-like growth factor receptor 1 mRNA expression as a prognostic marker in
advanced non-small cell lung cancer.
AB - BACKGROUND: The insulin-like growth factor 1 receptor (IGF1R) has yet to be
established as a biomarker in non-small cell lung cancer (NSCLC) but could prove
useful in customized chemotherapy. We explored its prognostic value using both
quantitative real-time reverse transcriptase polymerase chain reaction (qRT-PCR)
and immunohistochemistry (IHC). MATERIALS AND METHODS: Analyses of IGF1R were
performed on patients with advanced NSCLC, included in a randomized chemotherapy
trial, having large, representative tissue samples. IGF1R mRNA and protein
expression were correlated to clinical end-points. RESULTS: Surgical tissue
samples were available from 33 patients deemed inoperable. IGF1R status varied
according to histopathology. Patients with tumors positive for IGF1R mRNA
expression had a shorter progression-free and overall survival when compared to
the negative sub-group (6.1 vs. 7.4 months, p=0.039 and 10.9 vs. 14.3 months,
p=0.038, respectively). IGF1R protein expression showed a similar, although non
significant tendency. CONCLUSION: IGF1R mRNA expression may be a prognostic
biomarker in advanced NSCLC and should be investigated in a larger population.
PMID- 24922665
TI - Preliminary clinical outcomes of image-guided 3-dimensional conformal
radiotherapy for limited brain metastases instead of stereotactic irradiation
referral.
AB - To determine the preliminary clinical outcomes of image-guided 3-dimensional
conformal radiotherapy (IG-3DCRT) for limited but variably-sized brain metastases
(BM). Sixty-two lesions in 24 patients were retrospectively evaluated; out of
these patients 75% were >= 65 years of age, and 37.5% were categorized into
recursive partitioning analysis (RPA) class 3. The median value for the maximum
diameter of the lesions was 19 mm (range=4-72 mm). The median sole treatment dose
was 36 Gy in 10 fractions. The median survival durations after IG-3DCRT were 12.0
months and 3.2 months for patients categorized into RPA classes <= 2 and 3,
respectively. Local recurrences occurred in two lesions with a 6-month local
control probability of 93.0%. Major toxicities included radiation necrosis in two
patients. IG-3DCRT is feasible even for patients with limited BM who are
categorized into RPA class 3, and confers clinical outcomes comparable to those
of stereotactic radiosurgery, including excellent local control and minimal
toxicity even for large tumors.
PMID- 24922666
TI - An additional case of gastric serrated adenoma.
AB - Gastric serrated adenoma is an apparently rare adenoma phenotype characterized by
branched villi exhibiting lateral saw-tooth indentations lined with dysplastic
cells. Out of the 21 gastric serrated adenomas now in record, including the case
reported here, 76% (n=16) exhibited invasive carcinoma. In contrast, only 15% of
the gastric tubular/villous (that is, non-serrated) adenomas reported in the
literature revealed invasive growth. Although the cause for the virulent
behaviour of gastric serrated adenomas remains elusive, it would appear that not
only the degree of severity of the cellular dysplasia but also the serrated
ornamental configurations might play a particular role in the unusual virulence
of these adenomas.
PMID- 24922667
TI - Survival and prognostic factors in pancreatic and ampullary cancer.
AB - AIM: We analyzed survival of patients diagnosed with ampullary cancer (AC) and
pancreatic ductal adenocarcinomas (PDAC). PATIENTS AND METHODS: Between 1996 and
2009, 505 and 69 patients diagnosed with PDAC and AC, respectively, were
identified. Overall survival was analyzed according to tumor entity, therapeutic
approach and pathological tumor stage. RESULTS: The 5-year overall survival rate
of patients with AC (37%; 95% confidence interval 25-49%) was remarkably higher
compared to PDAC patients (7%; 95% confidence interval 5-10%). In both cohorts,
surgical resection improved survival. Analysis of pathological factors revealed a
survival benefit for patients staged with small primary tumors (pT1/2) and
exclusion of distant metastases (M0) for both PDAC and AC. Interestingly, absence
of lymph node metastasis substantially improved survival in AC, but not in PDAC.
CONCLUSION: Overall survival of patients with AC is superior compared to that of
patients with PDAC. Therapeutically, adequate regional lymph node dissection
seems particularly important for the surgical management of AC.
PMID- 24922669
TI - XRCC1 rs25487 polymorphism predicts the survival of patients after postoperative
radiotherapy and adjuvant chemotherapy for breast cancer.
AB - BACKGROUND: Single nucleotide polymorphisms (SNPs) in a DNA-repair gene, X-Ray
repair cross complementing group 1 (XRCC1), have been associated with the
survival of patients with breast cancer. We investigated the predictive value of
XRCC1 SNP (rs25487) in patients with early breast cancer. PATIENTS AND METHODS:
The XRCC1 rs25487 genotypes of 411 Finnish patients with breast cancer were
analyzed by a polymerase chain reaction-restriction fragment length polymorphism
based method. Survival was assessed by Kaplan-Meier method and Cox regression
analysis according to the XRCC1 genotypes in specified adjuvant treatment groups.
RESULTS: The rs25487 variant AA genotype was associated with worse breast cancer
specific and overall survival in 238 patients receiving postoperative
radiotherapy (p=0.031 and p=0.030, respectively). The AA genotype predicted worse
breast cancer-specific survival among 75 patients treated with adjuvant
chemotherapy (p=0.047). CONCLUSION: The XRCC1 rs25487 genotype may predict the
outcome of postoperative radiotherapy and adjuvant chemotherapy in breast cancer.
PMID- 24922668
TI - NF1 truncating mutations associated to aggressive clinical phenotype with
elephantiasis neuromatosa and solid malignancies.
AB - BACKGROUND/AIM: Von Recklinghausen disease is a syndrome characterized by a wide
phenotypic variability giving rise to both, cutaneous and visceral benign and
malignant neoplasms. The first include cutaneous neurofibromas, subcutaneous and
plexiform neurofibromas. The latter can undergo malignant transformation and/or
determine elephantiasis neuromatosa. Visceral tumors may include malignant
peripheral nerve sheet tumors, gastrointestinal stromal tumors, cerebral gliomas
and abdominal neurofibromas. In the present study, the authors discuss the
clinical and biomolecular characterization of a cohort of 20 families with a
diagnosis of type 1 neurofibromatosis. PATIENTS AND METHODS: Clinically, the
cohort includes three probands with elephantiasis neuromatosa and a peculiarly
high incidence of breast and gastrointestinal cancer. RESULTS: Among the 14 NF1
mutations documented, 10 encoding for a truncated protein have been associated to
particularly aggressive clinical phenotypes including elephantiasis neuromatosa,
malignant peripheral nerve sheet tumors, breast cancer, gastrointestinal stromal
tumors. CONCLUSION: This effect on protein synthesis, rather than the type of NF1
mutation, is the key to the explanation of the genotype-phenotype correlations in
the context of neurofibromatosis type 1.
PMID- 24922670
TI - Clinical efficacy of non-transplant therapies in patients with hepatocellular
carcinoma with Child-Pugh C liver cirrhosis.
AB - AIM: To compare clinical outcome in patients with Child-Pugh C hepatocellular
carcinoma (HCC) treated with non-transplant therapies and those treated with best
supportive care. PATIENTS AND METHODS: A total of 182 patients with HCC with
Child-Pugh C cirrhosis were analyzed. Patients were classified into two groups:
patients treated with non-transplant therapies (n=113, treated group) and
untreated patients (n=69, untreated group). Furthermore, for reducing the bias in
patient selection, a propensity score matching analysis was performed (55 pairs).
RESULTS: The median survival time in the treated group was significantly longer
than that in the untreated group (1.16 years vs. 0.21 years, p<0.001). After
propensity score matching, the median survival time in the treated group remained
significantly longer than that in the untreated group (0.95 years vs. 0.17 years,
p=0.01). CONCLUSION: In patients with HCC with Child-Pugh C cirrhosis, those
treated with non-transplant therapies might have longer survival than untreated
patients.
PMID- 24922671
TI - Clinical trial of a 7-peptide cocktail vaccine with oral chemotherapy for
patients with metastatic colorectal cancer.
AB - AIM: The combination of a peptide vaccine and tegafur-uracil plus leucovorin
(UFT/LV) were evaluated in patients with metastatic colorectal cancer refractory
to standard chemotherapy. PATIENTS AND METHODS: Thirty human leukocyte antigen
(HLA)-A2402-positive patients were enrolled in the study. In a cycle of
treatment, a vaccine comprising of seven synthetic peptides (five tumor antigen
derived and two vascular endothelial growth factor receptor-derived) was injected
weekly, and oral chemotherapy, UFT/LV was given daily for four weeks followed by
one week of rest. The immunological and clinical responses were evaluated at the
end of every five weeks. RESULTS: Notable adverse events included grade 1
injection site redness/induration in 25 patients. Tumor imaging showed partial
response in three patients, stable disease in 15, and progressive disease in 12.
Survival analysis indicated that patients who exhibited positive cytotoxic T
lymphocyte responses to all seven peptides had longer overall survival compared
to other patients. CONCLUSION: A 7-peptide vaccine used with UFT/LV is safe and
is recommended for further trials in patients with metastatic colorectal cancer.
PMID- 24922672
TI - Prognostic significance of urine N1, N12-diacetylspermine in patients with non
small cell lung cancer.
AB - BACKGROUND: Recently N(1),N(12)-diacetylspermine, a diacetylated polyamine
derivative, was recognized as a tumor marker in patients with several kinds of
cancers. However, the significance of its levels in urine as a prognostic factor
has not been elucidated. In the present study, we examined whether the urine
N(1),N(12)-diacetylspermine levels can be used as a prognostic factor in patients
with NSCLC. PATIENTS AND METHODS: Urine samples from 251 patients with NSCLC were
collected prior to surgery and the urinary N(1),N(12)-diacetylspermine
concentration was measured. Thereafter, all 251 patients underwent curative
surgery and the analysis of prognosis was performed for over 10 years. Out of the
251 patients, 91 had recurrent disease. The significance of the urinary
N(1),N(12)-diacetylspermine level as a prognostic factor among all 251 patients
and among the 91 patients with recurrence was evaluated. RESULTS: Univariate
analysis of all 251 patients showed that the level of urinary N(1),N(12)
diacetylspermine was a significant prognostic factor for disease-free survival
and overall survival; however, multivariate analysis showed it had no
significance. Conversely, the univariate and multivariate analyses of post
recurrent survival of the 91 patients with recurrence showed that urinary
N(1),N(12)-diacetylspermine was an independent prognostic factor for post
recurrent survival. CONCLUSION: Patients with recurrence with positive urinary
N(1),N(12)-diacetylspermine should undergo more intensive care and determination
of urinary N(1),N(12)-diacetylspermine may contribute to improvement of prognosis
of NSCLC.
PMID- 24922673
TI - Cell-adhesion molecule expression and the proliferation of malignant
mesothelioma: a post-mortem examination.
AB - AIM: In order to determine if metastatic malignant mesothelioma cells are more
aggressive than primary malignant mesothelioma cells, an analysis of the
expression of the adhesion molecules E-cadherin and beta-catenin, concomitant
with an assessment of the proliferative activity at primary and metastatic sites,
was conducted in post-mortem samples. MATERIALS AND METHODS: E-cadherin or beta
catenin expression was graded according to the percentage of positively-stained
tumor cells. The proliferative activity was quantified by the Ki-67 labeling
index. RESULTS: Histologically, the majority of metastatic tumors matched the
primary tumor. In the epithelioid component of primary tumors, E-cadherin and
beta-catenin expression ranged from 1+ to 4+. CONCLUSION: Malignant mesothelioma
cells acquire a higher proliferative potential after metastasis, without any
significant changes in their histology, although metastasis produces no definite
trend on the expression of E-cadherin or beta-catenin.
PMID- 24922674
TI - Long-term follow-up of intermediate-risk non-muscle invasive bladder cancer sub
classified by multi-coloured FISH.
AB - AIM: To examine the long-term follow-up of patients with that previously
underwent risk stratification based on multicolour FISH testing. PATIENTS AND
METHODS: On 81 patients with intermediate-risk urothelial carcinoma, a
multicolour-FISH was performed. Patients were sub-divided into low- and high-risk
groups based on chromosomal patterns. Univariate analysis, using Mantel-Cox log
rank test for disease-free, progression-free survival and overall survival, was
employed to determine the prognostic significance of FISH analysis. Survival
times were calculated according to the Kaplan-Meier product-limit method and
multivariate analysis using Cox proportional hazards regression model. RESULTS:
The univariate Mantel-Cox log-rank test showed significant differences between
the low-risk and the high-risk group for disease-free survival (p=0.005) and
overall survival (p=0.038), but not for progression-free survival (p=0.129).
CONCLUSION: Our long-term follow-up data appear to be able to divide tumors into
low and high risk groups for recurrence based on molecular/genetic changes
observed with FISH.
PMID- 24922675
TI - Atypical lipomatous tumor with structural rearrangements involving chromosomes 3
and 8.
AB - Atypical lipomatous tumor (ALT) is an intermediate (locally aggressive)
mesenchymal neoplasm with the potential to dedifferentiate to higher grades over
time. It is cytogenetically characterized by the presence of one or more
supernumerary ring and giant marker chromosomes. These abnormal chromosomes
invariably contain amplified sequences derived from the 12q14-15 region. We
describe a unique cytogenetic finding of ALT arising in the right lower back of a
42-year-old man. Magnetic resonance imaging demonstrated a predominantly fatty
mass with irregularly thickened, linear, swirled, and nodular septa. Contrast
enhanced fat-suppressed T1-weighted images showed significant enhancement of the
non-adipose areas. A sub-extensive resection was performed. Histologically, the
tumor consisted predominantly of mature fat cells with atypical stromal cells and
multivacuolated lipoblasts. Immunohistochemically, the tumor cells were positive
for p16 (diffuse and strong signal) and cyclin-dependent kinase-4 (focal and weak
signal) but negative for murine double-minute 2. Cytogenetic analysis displayed a
t(3;8)(q28;q13) translocation as the sole anomaly or concomitant with a few other
numerical and structural alterations. There has been no evidence of local
recurrence two months after surgery. To the best of our knowledge, this is the
first case of ALT with structural aberrations involving chromosomes 3 and 8,
associated with an absence of 12q rearrangements.
PMID- 24922676
TI - Role of novel risk classification method, Prostate Cancer Risk Index (PRIX) for
clinically localized prostate cancer after high-dose-rate interstitial
brachytherapy as monotherapy.
AB - AIM: To examine the role of the new grading system Prostate Cancer Risk Index
(PRIX) with existing risk-grouping after high-dose-rate interstitial
brachytherapy (HDR-ISBT) as monotherapy for localized prostate cancer. PATIENTS
AND METHODS: We analyzed outcome in 100 patients treated by HDR-ISBT as
monotherapy using PRIX and compared this with D'Amico, the National Comprehensive
Cancer Network (NCCN), and Seattle classifications. The median follow-up was 74
(range=48-109) months. RESULTS: Five-year prostate-specific antigen control and
overall survival rates were 94% and 98%, respectively. PRIX separated the risks
statistically significantly (p=0.004), while D'Amico (p=0.319), NCCN 2002
(p=0.126), NCCN 2012 (p=0.052) and Seattle (p=0.112) classifications failed to
show a statistically significant separation. CONCLUSION: PRIX is a more useful
risk classification system in high-risk patient selection than existing risk
classification system in clinically localized prostate cancer after HDR-ISBT as
monotherapy.
PMID- 24922677
TI - Detection of circulating tumor cells in colorectal and gastric cancer using a
multiplex PCR assay.
AB - AIM: The aim of this study was the development of a multiplex-PCR assay for the
detection of circulating tumor cells in patients with colorectal and gastric
cancer. PATIENTS AND METHODS: Peripheral blood samples were collected from 81
patients with colorectal cancer, 16 with gastric cancer and 38 healthy blood
donors, as controls. The samples were processed for RNA extraction and cDNA
synthesis and were subsequently analyzed for the expression of cytokeratin 19
(CK19), cytokeratin 20(CK20) and epidermal growth factor receptor (EGFR) with
multiplex PCR. RESULTS: Statistical analysis revealed that the combination of
CK19 and CK20 could be useful in the exclusion of colorectal cancer, as well as
the diagnosis and exclusion of gastric cancer. Furthermore, the expression of
EGFR was correlated with the presence of systemic disease in patients with
colorectal cancer. CONCLUSION: Multiplex-PCR-based detection of circulating tumor
cells could serve as a useful tool for the diagnosis, and monitoring of patients
with colorectal and gastric cancer.
PMID- 24922678
TI - How to improve the outcome in patients with AJCC stage I hepatocellular
carcinoma.
AB - AIM: Survival of patients with stage I hepatocellular carcinoma (HCC) is higher
than in patients with more advanced disease, however many of them will ultimately
die of tumor recurrence and liver failure. Our objective focuses on identifying
the pathological and clinical factors that could affect disease-free (DFS) and
overall survival (OS). In addition we reviewed the treatment offered for
recurrence and its impact on OS. PATIENTS AND METHODS: Between January 1992 and
December 2002, a total of 473 patients who underwent hepatectomy for HCC at the
Kaohsiung Chang Gung Memorial Hospital were enrolled in this study. Relevant
clinicopathological and perioperative variables were subjected to univariate and
multivariate analysis. RESULTS: A total of 224 patients with a mean follow-up
period of 4.6 years were analyzed. The 1-, 3-, 5-, and 10-year DFS rates were
82.5%, 57.6%, 46.9% and 32.0% respectively. The 1-, 3-, 5-, and 10-year OS rates
were 91.5%, 83.0%, 70.1% and 56.3% respectively. The multivariate analysis
identified age >50 years, Indocyanine Green (ICG) clearance test and cirrhosis as
independent factors that negatively impact DFS and age >= 50 years, resection
type, presence of complications and tumor recurrence as factors affecting OS. In
patients with recurrence (n=130), the factors that negatively impact OS were
blood transfusion, age >= 50, blood loss and presence of surgical complications.
CONCLUSION: Meticulous surgical technique is the key to improving the outcome of
patients with stage I HCC. The presence of complications was the only modifiable
clinicopathological factor that affected the OS in our study.
PMID- 24922679
TI - Synovial sarcoma in knee joint, mimicking low-grade sarcoma confirmed by
molecular detection of SYT gene split.
AB - A 10-year-old boy underwent arthroscopic curettage for an intra-articular mass in
knee joint. The tumor was diagnosed as low-grade fibrous sarcoma. Five years
later, the patient presented with a recurrent tumor. The patient underwent a
marginal excision with knee joint preservation and without adjuvant therapy. Two
years after the last surgery, the patient is thriving with no evidence of
recurrent or metastatic disease. The final diagnosis was synovial sarcoma
confirmed via a SYT gene split performed with fluorescent in situ hybridization
(FISH), although the tumor appeared as a low-grade fibrous type in a hematoxylin
eosin section. The first curetted specimen was also confirmed to bear a SYT gene
split. Synovial sarcoma has been conventionally recognized as a high-grade
sarcoma. Our patient had a tumor that exhibited the characteristics of both a
histologically and clinically low-grade tumor. From the present case, we consider
that low-grade variants of synovial sarcoma do exist although their existence
remains controversial.
PMID- 24922680
TI - Intratumoral but not peritumoral lymphatic vessel density measured by D2-40
expression predicts poor outcome in gastric cancer--ROC curve analysis to find
cut-off point.
AB - BACKGROUND: Neolymphangiogenesis, a process of lymphatic vessel development in
neoplastic tissue, may be a key event in the transmission of cancer cells into
lymph nodes. The current study examined the relationship between lymphatic vessel
density (LVD) measured by podoplanin (D2-40) expression, clinicopathological
parameters and patient survival in gastric cancer. MATERIALS AND METHODS: D2-40
expression was examined by immunohistochemistry in formalin-fixed paraffin
embedded tissue specimens obtained from 60 patients with gastric cancer. D2-40
immunoreactivity was analyzed in intratumoral and peritumoral compartments of
tumors and correlated with tumor grade, type in Lauren's classification, lymph
node status, distant metastasis, presence of ulceration, inflammatory
infiltration, angio-invasion, lymphangio-invasion and patient survival using a
Receiver Operating Characteristic (ROC) curve analysis to find cut-off points
that enabled fair decision making in survival analysis. RESULTS: The mean values
of intratumoral and peritumoral LVD were 6.63 and 11.25, respectively. Enhanced
intratumoral LVD measured by D2-40 immunoexpression was correlated with the
presence of lymph node metastases (p=0.04). Our study revealed a statistically
significant correlation between intratumoral LVD measured by D2-40 expression and
survival of patients with gastric cancer: an intratumoral LVD higher than 4.68 is
significantly correlated with unfavorable prognosis, with a probability of death
of approximately 80%. No significant relationship was identified between
peritumoral LVD, lymph node status and survival in patients with gastric cancer.
CONCLUSION: A high intratumoral LVD measured by D2-40 expression in specimens
from primary tumors is strongly associated with lymph node metastasis and
predicts worse clinical outcome. Increased intratumoral D2-40 immunoreactivity is
a putative predictor of aggressive gastric cancer behavior.
PMID- 24922681
TI - Histological changes after radiation therapy in patients with lung cancer: a
prospective study.
AB - BACKGROUND: Radiotherapy for lung cancer may induce pneumonitis. However,
histological effects of radiotherapy on normal lung tissue are unknown.
Transbronchial biopsy (TBB) is safe and accurate in monitoring parenchymal
lesions in lung-transplanted patients. The aim of this prospective study was to
evaluate whether histological changes of the healthy lung parenchyma after
radiotherapy are present on TBB biopsies. PATIENTS AND METHODS: Twelve patients
with lung cancer necessitating radiation therapy participated in the study.
Serial TBBs were obtained from lung parenchyma contra-lateral to the tumor
before, just after radiotherapy, and at six months post-irradiation. Evaluation
of each specimen was based on the presence of congestion, inflammation,
hemorrhage and fibrosis. RESULTS: A significant increase of interstitial fibrosis
(thickness) and congestion was observed between the point prior to radiotherapy
and after completion of radiotherapy (p=0.047), as well as between the pre
radiotherapy point and at six months after radiotherapy (p=0.014). Six patients
(50%) showed intra-alveolar fibroblastic growth after radiotherapy. No patient
showed clinical or radiographic findings of radiation pneumonitis. CONCLUSION:
Even in the absence of clinical or radiographic findings, the lung parenchyma
contra-lateral to the tumor suffers early histological lesions after radiation
therapy, as monitored by serial TBBs.
PMID- 24922682
TI - Gemcitabine-based adjuvant chemotherapy for patients with advanced gallbladder
cancer.
AB - AIM: We investigated effects of gemcitabine-based adjuvant chemotherapy (GEM) on
prognosis of patients with gallbladder cancer. PATIENTS AND METHODS: We
retrospectively analyzed outcomes of 36 patients who underwent radical resection
for gallbladder cancer from 2001 through to 2012, using chi(2) for prognostic
factors and Kaplan-Meier estimator and log-rank tests for survival data. RESULTS:
The GEM group had higher rates of lymph node positivity and distant metastasis,
higher UICC stage and fewer R0 resections; their 5-year survival rate (60%) did
not significantly differ from that of the controls (70.0%), nor was GEM a
significant prognostic factor in univariate analysis. However, among patients who
underwent R1 and R2 resections, GEM significantly improved prognosis in both
univariate and multivariate analyses. Median survival of the R1/2 GEM group (66.4
months) was significantly better than that of controls (5.4 months) (p=0.002).
CONCLUSION: GEM improved prognosis of patients with gallbladder cancer after
R1/R2 resections.
PMID- 24922683
TI - Glasgow prognostic score is a useful predictive factor of outcome after
palliative gastrectomy for stage IV gastric cancer.
AB - BACKGROUND/AIM: The Glasgow prognostic score (GPS) is a predictor of outcome for
several cancer types. The present study examined the significance of modified GPS
(mGPS) in the prognosis of patients undergoing palliative surgery for stage IV
gastric cancer. PATIENTS AND METHODS: A total of 42 patients with stage IV
gastric cancer treated with palliative gastrectomy and gastrojejunostomy were
included in the study. Univariate and multivariate analyses were performed to
evaluate the relationship between clinicopathological factors and cancer-specific
survival (CS). RESULTS: Among patients who underwent palliative surgery including
gastrectomy and gastrojejunostomy, univariate analysis of CS identified the
following significant risk factors: surgical treatment, chemotherapy and mGPS,
and multivariate analysis revealed that mGPS was independently-associated with
CS. In particular, among patients who underwent palliative gastrectomy, mGPS was
shown to be the strongest independent predictive factor for CS. CONCLUSION: The
mGPS was an independent predictive factor for survival in patients who underwent
palliative surgery for stage IV incurable gastric cancer, especially for those
who underwent palliative gastrectomy.
PMID- 24922684
TI - Prognostic significance of pre- and postoperative glasgow prognostic score for
patients with non-small cell lung cancer.
AB - BACKGROUND: Our previous study showed the prognostic impact of preoperative
Glasgow prognostic score (GPS) in patients who underwent resection for non-small
cell lung cancer (NSCLC). In the present study, the relationship between
postoperative GPS and prognosis was also examined in patients with NSCLC with
preoperative GPS 1 or 2. PATIENTS AND METHODS: Three hundred and twelve
consecutive patients resected for NSCLC with a follow-up period of more than five
years were enrolled. The GPS was calculated as follows: patients with elevated C
reactive protein level (>1.0 mg/dl) and hypoalbuminemia (<3.5 g/dl) were assigned
to GPS 2. Patients with one or no abnormal value were assigned to GPS 1 or GPS 0.
RESULTS: Study patients were allocated as follows: 264 (84.62%) to GPS 0; 31
(9.94%) to GPS 1; and 17 (5.45%) to GPS 2. The prognosis of the patients with
preoperative GPS 2 was significantly poorer. Postoperative GPS was also examined
for 48 patients with preoperative GPS 1 or 2. In 30/48 patients, postoperative
GPS was improved to GPS 0, however, the postoperative GPS of the remaining 18
patients did not change to GPS 0. The 5-year survival of patients with
postoperative GPS 0 and 1-2 were 73.02% and 11.11%, respectively. CONCLUSION:
Preoperative GPS may be useful for postoperative prognosis of patients with
NSCLC. Furthermore, persistently high GPS after surgery indicates poor prognosis
in patients with NSCLC.
PMID- 24922685
TI - Effect of long interval between hyperthermochemoradiation therapy and surgery for
rectal cancer on apoptosis, proliferation and tumor response.
AB - Neoadjuvant chemoradiotherapy is commonly used to improve the local control and
resectability of locally advanced rectal cancer, with surgery performed after an
interval of a number of weeks. We have been conducting a clinical trial of
preoperative chemoradiotherapy in combination with regional hyperthermia
(hyperthermo-chemoradiation therapy; HCRT) for locally advanced rectal cancer. In
the current study we assessed the effect of a longer (>10 weeks) interval after
neoadjuvant HCRT on pathological response, oncological outcome and especially on
apoptosis, proliferation and p53 expression in patients with rectal cancer. Forty
eight patients with proven rectal adenocarcinoma who underwent HCRT followed by
surgery were identified for inclusion in this study. Patients were divided into
two groups according to the interval between HCRT and surgery, <= 10 weeks (short
interval group) and >10 weeks (long-interval group). Patients in the long
interval group had a significantly higher rate of pathological complete response
(pCR) (43.5% vs. 16.0%) than patients of the short-interval group. Patients of
the long-interval group had a significantly higher rate of down-staging of T
stage (78.3% vs. 36.0%) and relatively higher rate of that of N-stage (52.2% vs.
36.0%) than patients of the short-interval group. Furthermore, apoptosis in the
long-interval group was relatively higher compared to that of the short-interval
group, without a significant difference in the Ki-67 proliferative index and
expression of p53 in the primary tumor. In conclusion, we demonstrated that a
longer interval after HCRT (>10 weeks) seemed to result in a better chance of a
pCR, a result confirmed by the trends in tumor response markers, including
apoptosis, proliferation and p53 expression.
PMID- 24922686
TI - Vascular invasion, but not lymphatic invasion, of the primary tumor is a strong
prognostic factor in patients with colorectal cancer.
AB - BACKGROUND: We previously showed that the presence of vascular invasion, but not
lymphatic invasion, was a strong prognostic factor for breast cancer. Lymphatic
invasion may represent mainly the selective affinity of cancer cells for lymph
nodes. The present study was undertaken to evaluate the presence of vascular
invasion that may reflect systemic disease as a predictor of disease recurrence
in colorectal cancer, separate from lymphatic invasion of the primary tumor.
PATIENTS AND METHODS: We retrospectively evaluated the cases of 177 consecutive
patients with primary colorectal cancer who underwent colorectal resection. We
examined the relationship between recurrence and the prognostic significance of
clinicopathological factors, particularly lymphatic and vascular invasion.
RESULTS: The presence of vascular invasion (v) was significant, while that of
lymphatic invasion (ly) was not significant in univariate analysis. The presence
of vascular invasion was an independent prognostic factor in multivariate
analysis. Among the 60 patients in the ly-/v- group, one (1.7%) had disease
recurrence, and among the 33 patients in the ly+/v- group, one (3.0%) had disease
recurrence. On the other hand, among the 71 patients in the ly+/v+ group, 16
patients (22.5%) suffered recurrence, and among the 13 patients in the ly-/v+
group, four (30.8%) suffered recurrence. It is interesting to note that despite
the presence of lymphatic invasion, the group without vascular invasion (ly+/v-)
had a few patients with distant metastases, a result which is similar to that of
the ly-/v- group. CONCLUSION: The presence of vascular invasion, but not
lymphatic invasion, could be an indicator of high biological aggressiveness and
may be a strong prognostic factor for colorectal cancer.
PMID- 24922687
TI - Excellent prognosis of lepidic-predominant lung adenocarcinoma: low incidence of
lymphatic vessel invasion as a key factor.
AB - AIM: To clarify the prognostic value of predominant histological subtypes for
small-sized lung adenocarcinoma. MATERIALS AND METHODS: Sixty-four specimens of
resected invasive lung adenocarcinoma less than 20 mm in diameter with no lymph
node metastasis were studied. These specimens were microscopically classified
into predominant histological subtypes (21 lepidic, 16 acinar, 24 papillary, and
three solid) according to the International association for the study of lung
cancer/American thoracic society/European respiratory society adenocarcinoma
classification. The relationships between tumor relapse and predominant
histological subtypes were statistically analyzed. In addition the relationships
between several pathological factors and predominant histological subtypes were
statistically assessed. RESULTS: Kaplan-Meier relapse-free curves showed a five
year relapse-free rate of 100% in 64 patients with lepidic-predominant
adenocarcinoma, compared with a rate of 73.7% (p=0.035 by log rank test) in
patients with non-lepidic-predominant adenocarcinoma (papillary, acinar, and
solid). The only statistically significant pathological factor between lepidic
predominant and non-lepidic-predominant histological subtypes was lymphatic
vessel invasion as assessed by logistic regression analysis. CONCLUSION: In small
sized lung adenocarcinoma, lepidic-predominant histological subtype is the best
prognostic factor, and a low incidence of lymphatic vessel invasion in the
histological subtype is a key factor for an excellent prognosis.
PMID- 24922688
TI - Histological growth pattern of and alpha-actinin-4 expression in thyroid cancer.
AB - AIM: To assess the clinicopathological significance of the histological growth
pattern (HGP) and alpha-actinin-4 (ACTN4) expression in thyroid cancer. PATIENTS
AND METHODS: We classified 83 thyroid cancer cases into infiltrative margin (IM)
and pushing margin (PM) groups according to peripheral tumor margin contour and
immunohistochemically determined ACTN4 expression. Correlations between clinical
stage and clinicopathological characteristics were analyzed. RESULTS: IM and high
ACTN4 expression were observed in 39% and 49% of cancer cases, respectively.
Higher clinical stage was significantly correlated with older age, higher T and N
factor, preoperative recurrent laryngeal nerve paralysis (pre-RLNP), IM, and poor
prognosis. Patients with stage IV disease had significantly poorer prognosis than
those with stages I-III. On multivariate analysis, older age, pre-RLNP, and IM
correlated with higher clinical stages. IM was significantly correlated with high
ACTN4 expression. CONCLUSION: IM, pre-RLNP, and ACTN4 expression could be novel
indicators of tumor aggression and prognostic factors of thyroid cancer.
PMID- 24922689
TI - Utility of measuring circulating tumor cell counts to assess the efficacy of
treatment for carcinomas of unknown primary origin.
AB - BACKGROUND/AIM: Carcinomas of unknown primary origin (CUPs) account for 3%-5% of
all malignancies. The majority of CUPs have unfavorable prognosis and are
chemoresistant. Predictive biomarkers should be established to improve
therapeutic outcomes. Metastatic ability of CUPs may be related to the existence
of circulating tumor cells (CTCs). PATIENTS AND METHODS: Ten patients diagnosed
with CUP visiting the Akita University Hospital participated in this study. CTCs
were calculated by the CellSearch system. RESULTS: The present observational
study indicates that CTCs were detected in 50% of CUPs, and in 80%
chemotherapeutically-naive cases. Furthermore, decrease in CTC count between the
pre-treatment and post-treatment phases were observed in chemosensitive cases.
CONCLUSION: Rapid assessment of the efficacy of chemotherapy by CTC count may
become a useful predictive biomarker of CUPs.
PMID- 24922690
TI - Short-term clinical outcomes of postoperative intrapelvic bleeding after extended
pelvic surgery: a single institute experience.
AB - BACKGROUND/AIM: Intrapelvic bleeding after extended pelvic surgery is fatal, but
few reports have addressed this serious situation. The objective of the present
study was to evaluate the short-term clinical outcome of this complication.
PATIENTS AND METHODS: This study was a retrospective medical chart review of 130
patients who underwent extended pelvic surgery between 2000 and 2012 at our
Institute. Based on the classification of the bleeding pelvic artery, how initial
hemostasis and control of secondary pelvic abscess were mainly evaluated.
RESULTS: In 6 patients, bleeding involved a peripheral artery (peripheral group)
and 4 a main artery (central group). For initial hemostasis, interventional
radiology was efficacious. Compared to the peripheral group, even if initial
hemostasis succeeded, unsatisfactory abscess drainage easily became fatal in the
central group. CONCLUSION: Aggressive surgical abscess drainage should be
considered at an appropriate time for optimal survival in patients with
involvement of a main artery with insufficient tube drainage.
PMID- 24922691
TI - Hypothyroidism as a predictive clinical marker of better treatment response to
sunitinib therapy.
AB - BACKGROUND: Tyrosine kinase inhibitors are standard treatment in patients with
metastatic renal cell carcinoma (mRCC). Several studies have indicated that side
effects including hypothyroidism may serve as potential predictive biomarkers of
treatment efficacy. PATIENTS AND METHODS: All patients with clear cell mRCC
treated with sunitinib in the first-line setting in our Center between November
2008 and October 2013 were included. Thyroid function was assessed after every 2
cycles. Prognostic factors were tested using Cox proportional hazards model for
univariate analysis. RESULTS: During treatment, 29.3% developed hypothyroidism,
with a median of peak TSH values of 34.4 mIU/L. Patients who had both TSH >4
mIU/L and were receiving substitution therapy with levothyroxine had prolonged
PFS compared to all other patients (25.3 months vs. 9.0 months; p=0.042).
CONCLUSION: The rate of hypothyroidism as a side-effect of sunitinib in patients
with mRCC is significant. Patients with symptomatic hypothyroidism experienced
significantly longer PFS, but without difference in OS.
PMID- 24922692
TI - Gefitinib and afatinib treatment in an advanced non-small cell lung cancer
(NSCLC) patient undergoing hemodialysis.
AB - Renal failure in cancer patients is not a rare clinical condition and often
contraindicates anticancer drug treatment; moreover, chemotherapeutic drugs are
frequently identified as possible iatrogenic cause of renal failure. Molecular
therapies, when appropriate, could represent a therapeutic option for cancer
patients with severe renal disease, but the lack of knowledge in this field, at
present, limits their use in patients undergoing dialysis. Herein we describe a
case, at our knowledge the first reported, of a patient with advanced lung
adenocarcinoma on maintenance hemodialysis treated with gefitinib and then with
afatinib; we also reviewed the literature on epidermal growth factor receptor
(EGFR) tyrosine-kinase inhibitors (TKIs) used in NSCLC patients with concomitant
renal impairment.
PMID- 24922693
TI - Relationship between EGFR mutations and clinicopathological features of lung
adenocarcinomas diagnosed via small biopsies.
AB - AIM: We performed this study to evaluate the frequency of epidermal growth factor
receptor (EGFR) mutations and their association with the histological subtype of
lung adenocarcinoma diagnosed via small biopsy specimens. PATIENTS AND METHODS:
Three hundred and fifty-nine lung adenocarcinoma specimens were tested for EGFR
mutation by a direct sequencing method. In 135 patients, histological subtypes
were classified according to the 2011 International Association for the Study of
Lung Cancer/American Thoracic Society/European Respiratory Society
classification. We compared the EGFR mutation frequency by clinicopathological
characteristics. RESULTS: We detected 135 (37.6%) EGFR mutations and the
incidence was highest in women who never smoked (54.6%). With regard to
histological subtype, the highest prevalence of EGFR mutation was found in
papillary (81.3%), followed by lepidic (70.4%), acinar (58.1%) and solid (28.3%)
tumor types. In addition, the expression of thyroid transcription factor-1 was
significantly higher in tumors with the EGFR mutation than in those without
(p<0.001). CONCLUSION: The histological subtype of adenocarcinoma can be
predictive of existing EGFR mutation, although the histology was confirmed using
only small biopsies.
PMID- 24922694
TI - The role of sentinel lymph node biopsy in patients with local recurrence or in
transit metastasis of melanoma.
AB - From January 2003 to March 2010, a prospective study was undertaken at the
National Cancer Research Institute of Genoa in 15 patients with melanoma who had
local recurrence (LR) or a few (<= 3) in-transit metastases and clinically
negative regional lymph nodes with the aim of defining: i) the feasibility of
sentinel node re-staging (r-sN) of the regional nodal basin; ii) the prognostic
value of sentinel node status, and iii) the potential benefit in terms of disease
free survival and overall survival in patients with an histologically-positive
sentinel node undergoing therapeutic regional lymph node dissection. Preoperative
lymphoscintigraphy was performed to identify the r-sN: the radiotracer was intra
dermally injected around the LR or in-transit metastasis. Moreover, 10 min prior
to the operative procedure, 0.5 ml intradermal injection of Patent-Blue-V dye was
given around each LR or in-transit metastasis site, so that r-sN identification
was achieved by both visualization of the nodal blue dye staining and the
information supplied by gamma-detection probe. At least one sentinel node was
intra-operatively identified in each patient, and a tumor-positive r-sN was
required in four out of fifteen patients. The interval between the diagnosis of
primary melanoma and the onset of recurrence was longer, although not
significantly, in patients with tumor-negative r-sN, a compared to tumor-positive
r-sN (49 +/- 47 months vs. 25 +/- 19 months, p=0.342). There was a trend toward
an improved 1-, 3-, and 5-year disease-free survival and overall survival in
patients with tumor-negative r-sN a compared to tumor-positive r-sN. Hence, the r
sN proved to be a feasible and accurate staging procedure even in patients with a
few localizations of LR or in-transit metastases (<= 3). r-sN identified those
with a more favorable prognosis, supporting an aggressive therapeutic approach in
the natural history of their disease; moreover, an unnecessary regional lymph
node dissection was safely avoided in 11 out of 15 73.3% patients because they
had a tumor-negative r-sN.
PMID- 24922696
TI - Microsatellite instability analysis in uterine cavity washings to detect
endometrial cancer in Lynch syndrome.
AB - AIM: To assess the feasibility of Microsatellite Instability (MSI) analysis in
uterine cavity washings for detecting endometrial cancer in Lynch syndrome.
MATERIALS AND METHODS: This was a proof-of-concept study in Lynch syndrome
patients, scheduled for hysterectomy. At the beginning of surgical procedure,
uterine cavity washings were performed, and sent for MSI analysis. Pathological
examination of the uterus was associated with mismatch repair protein expression
and MSI analysis. RESULTS: Nine patients were included in the study. Uterine
cavity washings were feasible and interpretable in all cases. Final histological
report identified 2 endometrial cancers and 7 benign specimens. There was no
atypical hyperplasia. Sensitivity, specificity, positive predictive value, and
negative predictive value of MSI analysis in uterine washings reached 100% in all
cases. Concordance of MSI presence or absence was absolute between uterine
washings and final histology. CONCLUSION: MSI analysis in uterine cavity washings
may be a promising screening tool for Lynch syndrome-associated endometrial
cancer diagnosis.
PMID- 24922695
TI - Predictive role of CEA and CYFRA 21-1 in patients with advanced-stage NSCLC
treated with erlotinib.
AB - BACKGROUND: Tumor biomarkers are used for predicting therapy effect and prognosis
of patients with non-small cell lung cancer (NSCLC). We focused on their
potential role in prediction of the efficacy of erlotinib. PATIENTS AND METHODS:
In a total of 144 patients with advanced-stage (IIIB or IV) NSCLC treated with
erlotinib, pre-treatment levels of soluble carcinoembryonic antigen (CEA) and
cytokeratin markers in serum were measured. RESULTS: The median progression-free
and overall survival for patients with a high level of carcinoembryonic antigen
(CEA) was 1.9 and 8.6 vs. 2.9 and 16.1 months for patients with low CEA (p=0.046
and p=0.116). The respective medians for patients with a high level of
cytokeratin-19 fragment were 1.9 and 6.1 vs. 3.4 and 23.8 months for patients
with the low cytokeratin-19 fragment (p<0.001 and p<0.001). CONCLUSION: High pre
treatment serum levels of one or both biomarkers are associated with poor outcome
of patients with NSCLC treated with erlotinib.
PMID- 24922697
TI - NAT2, XRCC1 and hOGG1 polymorphisms, cigarette smoking, alcohol consumption and
risk of upper aerodigestive tract cancer.
AB - AIM: To evaluate associations between polymorphisms of the N-acetyltransferase 2
(NAT2), human 8-oxoguanine glycosylase 1 (hOGG1) and X-ray repair cross
complementing protein 1 (XRCC1) genes and risk of upper aerodigestive tract
(UADT) cancer. PATIENTS AND METHODS: A case-control study involving 117 cases and
224 controls was undertaken. The NAT2 gene polymorphisms were genotyped by
automated sequencing and XRCC1 Arg399Gln and hOGG1 Ser326Cys polymorphisms were
determined by Polymerase Chain Reaction followed by Restriction Fragment Length
Polymorphism (PCR-RFLP) methods. RESULTS: Slow metabolization phenotype was
significantly associated as a risk factor for the development of UADT cancer
(p=0.038). Furthermore, haplotype of slow metabolization was also associated with
UADT cancer (p=0.014). The hOGG1 Ser326Cys polymorphism (CG or GG vs. CC
genotypes) was shown as a protective factor against UADT cancer in moderate
smokers (p=0.031). The XRCC1 Arg399Gln polymorphism (GA or AA vs. GG genotypes),
in turn, was a protective factor against UADT cancer only among never-drinkers
(p=0.048). CONCLUSION: Interactions involving NAT2, XRCC1 Arg399Gln and hOGG1
Ser326Cys polymorphisms may modulate the risk of UADT cancer in this population.
PMID- 24922698
TI - Impact of preoperative thrombocytosis on pathological outcomes and survival in
patients treated with radical cystectomy for bladder carcinoma.
AB - AIM: To investigate the impact of preoperative platelet count on pathological
findings at the time of Radical Cystectomy for Bladder Cancer and postoperative
cancer-specific and overall survival. PATIENTS AND METHODS: A total of 906
consecutive patients treated with Radical Cystectomy for Bladder Cancer between
1995 and 2012 at a tertiary referral Center were included in the study.
Thrombocytosis was defined as >400,000 platelets/MUl, in agreement with the
standard assumed by the central laboratory of our Institution. Univariable and
multivariable logistic regression analyses were used to investigate the impact of
preoperative platelet count on pathological stage. Univariate and multivariate
Cox regression analyses were also adopted to predict both cancer-specific and
overall survival. RESULTS: The mean age at cystectomy was 67.25 years. The mean
and median platelet counts were 242,100/MUl and 227,500/MUl. At a mean follow-up
time of 41 months, the 2- and 5-year cancer-specific and overall survival were
found to be 83.1% and 75.2% and 68.3 and 59.8%, respectively. At Univariable
analysis, thrombocytosis count was significantly associated with adverse
pathological disease stage (p <= 0.007) and lymph node invasion (p=0.05).
Platelet count was significantly associated to patient survival at univariable
analysis (Hazard Ratio=1.76 and 1.39 for overall survival and cancer specific
survival, respectively; all p<0.05). At multivariate Cox regression analysis,
platelet count was documented to be significantly related only to overall
survival (Hazard Ratio=64,1.03-2.81; p=0.05). CONCLUSION: Preoperative platelet
count should be taken into account as a factor predictive of postoperative
oncological outcomes after radical cystectomy for bladder cancer and patients
should be counseled accordingly.
PMID- 24922746
TI - Abstracts of the British Cardiovascular Society Annual Conference 2014, 02-04
June 2014, Manchester, UK.
PMID- 24922745
TI - Effect of screening sigmoidoscopy and screening colonoscopy on colorectal cancer
incidence and mortality: systematic review and meta-analysis of randomised
controlled trials and observational studies.
AB - OBJECTIVES: To review, summarise, and compare the evidence for effectiveness of
screening sigmoidoscopy and screening colonoscopy in the prevention of colorectal
cancer occurrence and deaths. DESIGN: Systematic review and meta-analysis of
randomised controlled trials and observational studies. DATA SOURCES: PubMed,
Embase, and Web of Science. Two investigators independently extracted
characteristics and results of identified studies and performed standardised
quality ratings. ELIGIBILITY CRITERIA: Randomised controlled trials and
observational studies in English on the impact of screening sigmoidoscopy and
screening colonoscopy on colorectal cancer incidence and mortality in the general
population at average risk. RESULTS: For screening sigmoidoscopy, four randomised
controlled trials and 10 observational studies were identified that consistently
found a major reduction in distal but not proximal colorectal cancer incidence
and mortality. Summary estimates of reduction in distal colorectal cancer
incidence and mortality were 31% (95% confidence intervals 26% to 37%) and 46%
(33% to 57%) in intention to screen analysis, 42% (29% to 53%) and 61% (27% to
79%) in per protocol analysis of randomised controlled trials, and 64% (50% to
74%) and 66% (38% to 81%) in observational studies. For screening colonoscopy,
evidence was restricted to six observational studies, the results of which
suggest tentatively an even stronger reduction in distal colorectal cancer
incidence and mortality, along with a significant reduction in mortality from
cancer of the proximal colon. Indirect comparisons of results of observational
studies on screening sigmoidoscopy and colonoscopy suggest a 40% to 60% lower
risk of incident colorectal cancer and death from colorectal cancer after
screening colonoscopy even though this incremental risk reduction was
statistically significant for deaths from cancer of the proximal colon only.
CONCLUSIONS: Compelling and consistent evidence from randomised controlled trials
and observational studies suggests that screening sigmoidoscopy and screening
colonoscopy prevent most deaths from distal colorectal cancer. Observational
studies suggest that colonoscopy compared with flexible sigmoidoscopy decreases
mortality from cancer of the proximal colon. This added value should be examined
in further research and weighed against the higher costs, discomfort,
complication rates, capacities needed, and possible differences in compliance.
PMID- 24922977
TI - The author responds.
PMID- 24922978
TI - The author responds.
PMID- 24922979
TI - Growth and variability in health plan premiums in the individual insurance market
before the Affordable Care Act.
AB - Before we can evaluate the impact of the Affordable Care Act on health insurance
premiums in the individual market, it is critical to understand the pricing
trends of these premiums before the implementation of the law. Using rates of
increase in the individual insurance market collected from state regulators, this
issue brief documents trends in premium growth in the pre-ACA period. From 2008
to 2010, premiums grew by 10 percent or more per year. This growth was also
highly variable across states, and even more variable across insurance plans
within states. The study suggests that evaluating trends in premiums requires
looking across a broad array of states and plans, and that policymakers must
examine how present and future changes in premium rates compare with the more
than 10 percent per year premium increases in the years preceding health reform.
PMID- 24922980
TI - Current good manufacturing practices, quality control procedures, quality
factors, notification requirements, and records and reports, for infant formula.
Final rule.
AB - The Food and Drug Administration (FDA or we) is issuing a final rule that adopts,
with some modifications, the interim final rule (IFR) entitled "Current Good
Manufacturing Practices, Quality Control Procedures, Quality Factors,
Notification Requirements, and Records and Reports, for Infant Formula''
(February 10, 2014). This final rule affirms the IFR's changes to FDA's
regulations and provides additional modifications and clarifications. The final
rule also responds to certain comments submitted in response to the request for
comments in the IFR.
PMID- 24922981
TI - Postmarketing safety reports for human drug and biological products; electronic
submission requirements. Final rule.
AB - The Food and Drug Administration (FDA or we) is amending its postmarketing safety
reporting regulations for human drug and biological products to require that
persons subject to mandatory reporting requirements submit safety reports in an
electronic format that FDA can process, review, and archive. FDA is taking this
action to improve the Agency's systems for collecting and analyzing postmarketing
safety reports. The change will help the Agency to more rapidly review
postmarketing safety reports, identify emerging safety problems, and disseminate
safety information in support of FDA's public health mission. In addition, the
amendments will be a key element in harmonizing FDA's postmarketing safety
reporting regulations with international standards for the electronic submission
of safety information.
PMID- 24922982
TI - Final priority. National Institute on Disability and Rehabilitation Research-
Rehabilitation Research and Training Centers. Final priority.
AB - The Assistant Secretary for Special Education and Rehabilitative Services
announces a priority for the Rehabilitation Research and Training Center (RRTC)
Program administered by the National Institute on Disability and Rehabilitation
Research (NIDRR). Specifically, we announce a priority for an RRTC on Health and
Function of Individuals with Physical Disabilities. The Assistant Secretary may
use this priority for competitions in fiscal year (FY) 2014 and later years. We
take this action to focus research attention on an area of national need. We
intend the priority to contribute to improved outcomes of health and function of
individuals with physical disabilities.
PMID- 24922983
TI - Obtaining evidence beyond the current "special arrangement sources." Interim
final rule with request for comments.
AB - We are amending our regulations to state that we will obtain evidence from any
appropriate source. Our current regulations provide that we will obtain
information from "special arrangement sources'' for those infrequent situations
when we are in a better position than our State agency partners to obtain
evidence. Due to improved evidence collection through our increased use of health
information technology (health IT), we are obtaining evidence electronically with
increasing frequency. We expect that, over time, the electronic exchange of
medical records will become our primary means for obtaining medical evidence. As
we increase our use of health IT, the designation of "special arrangement
sources'' will no longer adequately describe from whom we collect evidence.
PMID- 24922984
TI - The consequential validity of ABFM examinations.
PMID- 24922985
TI - A self-guided relaxation module for telepsychiatric services: development,
usefulness, and feasibility.
AB - OBJECTIVE: A modified form of the standard autogenic relaxation exercise was
developed as part of a telepsychiatry project, which aims to deliver mental
healthcare to remote areas through the net, and through the agency of non
specialists. This study describes the development and evaluation of a brief and
simpler form of a relaxation technique, the Self-Guided Relaxation (SGR). METHOD:
SGR is a systematic technique to focus on muscle groups and feel the heaviness
and relaxation through suggestions to induce relaxation in the body. The SGR was
taught to 37 patients with different psychiatric disorders over two sessions a
week apart. Measures of change used were scores on the Hamilton Anxiety Rating
Scale (HAM-A) and a visual analog scale. Its usefulness and feasibility was
examined over a follow-up period of one month. Additionally a group of non
specialists was trained in SGR supplemented by audiovisual aids and printed
instructions. These therapists rated the ease in learning and administering SGR
in patients. RESULTS: There was a consistent reduction in the HAM-A scores over
the follow-up period among patients. Improvements were also noted in positive
experiences, adherence, depth of relaxation achieved, perceived benefit, and
patient satisfaction. Majority of the patients, relatives, and therapists
reported that they could administer or practice SGR after having learned the
technique in a single session lasting about 30 minutes. The use of audio-video
aids and manual was helpful in making the process of learning easy. CONCLUSIONS:
Preliminary findings of usefulness, feasibility, and acceptance of the SGR were
encouraging. These findings pave way for larger, randomized controlled study and
for testing applicability of the SGR as a net-based psychological intervention.
PMID- 24922986
TI - An update on the efficacy of cognitive-behavioral therapy, cognitive therapy, and
exposure therapy for posttraumatic stress disorder.
AB - OBJECTIVE: Even though cognitive-behavioral therapy (CBT) is the current
treatment of choice for posttraumatic stress disorder (PTSD), it is still unclear
which components of its protocol are more important for clinical improvement.
This study aims to replicate a previous review, updating findings on the efficacy
of CBT, cognitive therapy (CT), and exposure therapy (ET) for PTSD when compared
with other well-established treatments or conditions without active treatment.
METHOD: The search was performed in the databases Cochrane, Embase, and Medline.
Studies were required to be randomized controlled trials published between 2006
and 2012 comparing CBT, CT, or ET with (1) each other, (2) other active
treatments (e.g., EMDR, counseling, supportive therapy), or (3) assessment-only
conditions. The main outcome measures were diagnostic and symptomatic remission.
RESULTS: The final sample contained 29 articles. CBT, CT, and ET were shown to be
efficacious treatments individually when compared to assessment-only conditions,
with no difference found between treatments. Comparison with other active
treatments favored ET. Both included studies comparing CBT and EMDR favored the
latter. CONCLUSIONS: CBT and its components still appear to be equally
efficacious in improving PTSD symptoms and diagnosis. Even so, a current tendency
of researchers to focus on ET exists. EMDR shows interesting results compared to
CBT. Further research should clarify the lasting effects, efficiency, and other
comparative benefits of each protocol.
PMID- 24922987
TI - The role of children with congenital anomalies in generating parental depressive
symptoms.
AB - BACKGROUND: Many expectant parents wish to have a normal child. Unfortunately
this does not always happen and some of them may have a child with birth defects
leading to detrimental psychological effects on the parents. One of the common
presentations generally observed is depression. The presence of depressive
symptoms amongst such parents needs to be recorded to find out its prevalence.
The results of such finding can help shape future programs for the prevention and
treatment in this population group. This study will therefore explore the extent
of depressive symptoms amongst parents of children with congenital anomalies.
METHODS: A case control study design was chosen. Ethical Review Board gave
approval for the study on December 31, 2010, and the collection of data was
started on January 5, 2011. Two randomly chosen samples of 132 parents each were
collected from National Institute of Child Health (NICH), Ida Rieu, and Civil
Hospital Karachi (CHK) over 8 months. First group consisted of parents of
children with congenital anomalies and the second group was chosen as the control
group from general population. Zung scale was used to record the presence of
depressive symptoms in these two populations. Mann-Whitney test was performed to
compare the depression scores. RESULTS: The scale was completed by 132 parents in
both sets. The parents of children with congenital anomalies showed greater (p <
0.0001) depressive symptoms. Mothers displayed greater (p = 0.029) depressive
symptoms than fathers. CONCLUSION: The parents of children with anomalies (60.6%)
had more depressive symptoms than parents of normal children (27.3%).
PMID- 24922988
TI - Mental adjustment to cancer: the role of anxious and depressive symptoms under
treatment.
AB - OBJECTIVE: Depression is a risk factor for poor quality of life and mental
adjustment to cancer. This research aims to evaluate the course of mental
adjustment to illness of cancer patients with anxious-depressive symptoms who
receive antidepressant therapy (ADT). METHOD: Eighty oncological patients with
and without depressive symptoms were divided into three groups. Group 1: 30
depressed cancer patients who underwent ADT with SSRI; Group 2: 30 depressed
cancer patients who refused ADT; Group 3: 20 non-depressed cancer patients.
Patients were evaluated at tO and 4 (tl) and 12 (t2) weeks later through:
Hamilton Depression Rating Scale (HDRS), Hamilton Anxiety Rating Scale (HARS),
Hospital Anxiety Depression Scale (HADS), and MINI-MAC. RESULTS: HDRS and HARS
mean scores were stable and under threshold across the study only in Group 3; at
t2 they improved in Group 1 and worsened in Group 2. The improvements in anxiety
and depression scores were associated with ADT and related to the changes in Mini
MAC helpless-hopeless, anxious preoccupations, cognitive avoidance and fighting
spirit dimensions. CONCLUSION: The improvement of mental adjustment to illness is
directly related to the decrease of anxious-depressive symptoms among depressed
cancer patients under antidepressant therapy.
PMID- 24922989
TI - Diagnostic validity of the composite international diagnostic interview (CIDI)
depression module in an East African population.
AB - OBJECTIVE: To evaluate the validity and reliability of the structured Composite
International Diagnostic Interview (CIDI) in diagnosing current major depressive
disorder (MDD) among East African adults. METHODS: A sample of 926 patients
attending a major referral hospital in Ethiopia participated in this diagnostic
assessment study. We used a two-stage study design where participants were first
interviewed using an Amharic version of the CIDI and a stratified random sample
underwent a follow-up semi-structured clinical interview conducted by a
psychiatrist, blinded to the screening results, using the Schedules for Clinical
Assessment in Neuropsychiatry (SCAN) instrument. We tested construct validity by
examining the association of the CIDI and World Health Organization Quality of
Life (WHO-QOL) questionnaire. We calculated the psychometric properties of the
CIDI using the SCAN diagnostic interview as a gold standard. RESULTS: We found
that the Amharic version of the CIDI diagnostic interview has good internal
reliability (Cronbach's alpha = 0.97) among Ethiopian adults. Compared to the
SCAN reference standard, the CIDI had fair specificity (72.2%) but low
sensitivity (51.0%). Our study provided evidence for unidimensionality of core
depression screening questions on the CIDI interview with good factor loadings on
a major core depressive factor. CONCLUSION: The Amharic language version of the
CIDI had fair specificity and low sensitivity in detecting MDD compared with
psychiatrist administered SCAN diagnosis. Our findings are generally consistent
with prior studies. Use of fully structured interviews such as the CIDI for MDD
diagnosis in clinical settings might lead to under-detection of DSM-IV MDD.
PMID- 24922990
TI - A young woman presenting with psychotic and mood symptoms from anti-N-methyl-D
aspartate receptor (NMDA-R) encephalitis: an emerging diagnosis.
AB - Anti-N-methyl-D-aspartate receptor (NMDA-R) encephalitis, first characterized in
2005, is a neurological disease with prominent psychiatric features that
frequently involves the consultation of psychiatrists. Since its discovery, the
rate of diagnosis of new cases has increased rapidly and several epidemiological
studies now confirm that NMDA-R encephalitis may be as common as many other
prominent infectious etiologies of encephalitis. We describe a case of a young
woman presenting initially with psychotic and mood symptoms who was found to have
anti-NMDA-R encephalitis. We further provide details of her treatment and
prolonged recovery process after hospital discharge with a review of the
literature and discussion of the epidemiology, symptomology, diagnosis, and
management of both the neurologic and psychiatric manifestations of this
condition. Last, we contextualize the importance of anti-NMDA-R encephalitis for
psychiatrists, highlighting the role for psychiatrists in establishing the
initial diagnosis as well as in providing ongoing psychiatric care.
PMID- 24922991
TI - Improving the doctor-patient relationship in China: the role of balint groups.
AB - OBJECTIVE: Doctor-patient relationships in China have been deteriorating for the
past 10 years. Many Chinese doctors are involved in tense and conflictual doctor
patient relationships. Most patients do not trust doctors or other medical staff
and physical attacks on these professionals have become a common event. The
Balint group offers a better understanding of the doctor-patient relationship in
a safe environment and relieves the doctors from the daily stress. METHOD: This
article (1) describes the specifics of Balint work in China, (2) reports
experiences from the first International Balint Conference in China, and (3)
compares these experiences with the doctor-patient relationship described by
Michael and Enid Balint in the 1950s. RESULTS: Chinese doctors have a great need
to communicate, to share their own feelings of powerlessness, helplessness,
frustration, and anger. The Balint method is highly appreciated in China. All
participants experienced the 2 1/2-day meeting as very helpful. Also, in China,
Balint work as relationship work in the analytical group process fosters the
ability for introspection alongside openness, unconscious processes, "thinking
outside the box," "courage of one's own stupidity," and "beginner's spirit," thus
promoting the individuation, the "small but significant change in the personality
of the doctor." CONCLUSIONS: Perhaps Balint work in China is a contribution to
the integration of traditional Chinese virtues: benevolence, tolerance,
magnanimity, and prudence with modern medicine. Balint work could be an
alternative to the outcome-oriented pressure to perform and to the machine
paradigm of biomedicine.
PMID- 24922992
TI - Shade determination using camouflaged visual shade guides and an electronic
spectrophotometer.
AB - The aim of the present study was to compare a camouflaged visual shade guide to a
spectrophotometer designed for restorative dentistry. Two operators performed
analyses of 66 subjects. One central upper incisor was measured four times by
each operator; twice with a camouflaged visual shade guide and twice with a
spectrophotometer Both methods had acceptable repeatability rates, but the
electronic shade determination showed higher repeatability. In general, the
electronically determined shades were darker than the visually determined shades.
The use of a camouflaged visual shade guide seems to be an adequate method to
reduce operator bias.
PMID- 24922993
TI - The clinical features and their impact on the prosthodontic management in a case
of Gardner's syndrome.
AB - Gardner's syndrome is a variant of Familial Adenomatous Polyposis (FAP), a
condition that manifests as hundreds of colorectal polyps likely to undergo
malignant change by the fourth decade. Early diagnosis of this condition has the
potential to be life saving for individuals and due to its inherited nature other
family members can often also be affected. Additional features of Gardner's
Syndrome include multiple jaw osteomas with missing teeth that can make
prosthodontic treatment a challenge. This case report highlights the presenting
features and the prosthodontic problems faced when treating a patient with
Gardner's syndrome.
PMID- 24922994
TI - The quality of impressions for crowns and bridges: an assessment of the work
received at three commercial dental laboratories. assessing qualities of
impressions that may lead to occlusal discrepancies with indirect restorations.
AB - There are few published studies that directly assess the quality of impressions
for crowns and bridges in the UK. This paper considers aspects of impression
quality with particular attention to factors causing potential occlusal
discrepancies in the final restoration. To this end three dental laboratories
were visited over a 3-month period. All impressions for conventional crown and
bridgework that arrived on the days of the visits were examined and assessed
against criteria defined on a custom-designed assessment form. A total of 206
impression cases were considered in this study. Flexible impression trays were
used for 65% of working impressions. Their use was more common for NHS work than
for private work. 31.9% of all alginate impressions examined were not adequately
fixed to the tray. Visible contamination of impressions was not uncommon.
PMID- 24922995
TI - Effect of saliva and blood contamination on the bi-axial flexural strength and
setting time of two calcium-silicate based cements: Portland cement and
biodentine.
AB - This study evaluated the effect of contamination with saliva and blood on the bi
axial flexural strength and setting time of pure gray Portland cement and
Biodentine (Septodont, Allington, UK). A one-way ANOVA showed that contamination
caused no significant difference between the cements in bi-axial flexural
strength (P> 0.05). However there was a significant difference in setting time
(P0.05). The trays and materials tested were
suitable for the autoclave sterilisation.
PMID- 24922999
TI - In vitro effects of different moisture level and curing method on microleakage of
resin cements to pulp chamber dentine.
AB - This study evaluated the microleakage of resin cements in the pulp chamber
dentin. Fifty specimens of sound human molars were divided into five groups.
Composite cores cemented using Clearfil SA Luting in the first group to a dried
dentin and in the second group to a moistened dentin and then light-cured. In
third and fourth groups, cement was placed on dried and moistened dentin and self
cured respectively. In fifth group, composite cores were cemented by Panavia
F2.0. After thermocycling, microleakage was evaluated using fluid filtration
technique. The highest microleakage mean value was observed in the group with
light-cured to a dry dentin. The mode of curing in contrast to moisture value had
significant effect on microleakage. The microleakage of self- adhesive resin
cement used in this study was lower in case of self cured than in case of light
cured and was not related to the dentin surface moisture.
PMID- 24923000
TI - "Pay squeeze tells nurses they are undervalued".
PMID- 24923001
TI - Expert forum "no longer needed".
PMID- 24923002
TI - Unions to discuss pay with hunt.
PMID- 24923003
TI - "Focus on continuing fitness to practise, not revalidation".
PMID- 24923004
TI - "Noticing good is as important as noticing bad, just not as popular".
PMID- 24923005
TI - "Use nutrition and hydration week to tell everyone you care".
PMID- 24923006
TI - Accurate assessment patient weigh.
AB - Measuring patient weight is considered a routine assessment that is frequently
delegated to unregistered staff. Yet patient weight is a fundamental part of
nutrition assessment and may be used to calculate drug dosages and assess fluid
balance. It is vital that staff carrying out this measurement are trained and
have access to appropriate equipment that is regularly calibrated.
PMID- 24923007
TI - Use of fluid thickener to reduce dysphagia risk.
AB - Swallowing difficulties are common among care home residents, and increase their
risks of a range of conditions. A care home provider evaluated the use of fluid
thickeners to reduce these risks, and implemented an initiative to improve
understanding of dysphagia among both care and catering staff. This included
changing the thickeners used and ensuring there was some consistency with regard
to the terminology used undertaking staff training. related to food textures, as
well ashe Francis report (Francis, 2013) states people must have access to
PMID- 24923008
TI - Recovery-focused practice in mental health.
AB - This article aims to identify service users' and nurses' perspectives on recovery
focused practice through themes in the literature. Common themes were hope,
person-centred care and consideration of service users' perspective.
Recommendations on how practice could be improved are suggested from these
themes.
PMID- 24923009
TI - Prevention and treatment of meningococcal disease.
AB - Meningococcal disease is a leading cause of death in children and young people.
Part 1 of this two-part series discussed its epidemiology, pathophysiology, signs
and symptoms (Dowson, 2014). Part 2 reviews the diagnosis, management and
prevention of this disease.
PMID- 24923010
TI - 60 seconds with Charlene Roe.
PMID- 24923011
TI - Better life with a rare disease.
PMID- 24923012
TI - Make sure your care is hit, not miss.
PMID- 24923013
TI - A gathering of expert minds.
PMID- 24923014
TI - Crying out for change.
PMID- 24923015
TI - Managing symptoms of IBS in children and teenagers.
PMID- 24923016
TI - Danger on a plate.
PMID- 24923017
TI - Mystery meals no more.
AB - Nearly one-third of patients admitted to U.S. hospitals are malnourished.
Nutrition in- and outside the hospital is a patient care priority.
PMID- 24923018
TI - With a few months to go, are you ready for ICD-10?
PMID- 24923019
TI - Treating addiction: 'she'll be dead by then!'.
PMID- 24923020
TI - Safety first: a rare trio teams up to improve surgery.
PMID- 24923021
TI - Power of two: competitors bulk up buying power.
PMID- 24923022
TI - Let's talk: post-acute sector 'hungry' for help.
PMID- 24923023
TI - Connecting the continuum: connecting clinicians and patients who are just 'a wall
away'.
PMID- 24923024
TI - Tweeting and texting during disasters.
PMID- 24923025
TI - Marketability: necessity: the mother of innovation.
PMID- 24923026
TI - Pressing pause: CMS slows RAC... for now.
AB - The federal government plans some tweaks to the Medicare audit program. Hospital
officials say it's a good first step, but want larger reforms.
PMID- 24923027
TI - The great migration.
PMID- 24923028
TI - Capital planning and the future.
PMID- 24923029
TI - Hospitals put nutrition on the front burner.
AB - About half of all inpatients go undiagnosed. Paying attention to what and how all
patients eat improves outcomes and lowers costs.
PMID- 24923030
TI - The hybrid OR.
PMID- 24923031
TI - A strategy beyond survival.
PMID- 24923032
TI - Transforming governance: leading in the era of reform.
PMID- 24923033
TI - Snow Day? no way.
PMID- 24923034
TI - [Conflicts of interests: a key question for doctors].
PMID- 24923035
TI - [What is the role of tricyclics in psychiatry today?].
PMID- 24923036
TI - [Varicella pneumonia].
PMID- 24923037
TI - [When a physician may propose to a smoker to use electronic cigarettes?].
PMID- 24923038
TI - [Treatment of hepatitis C: current status and perspectives].
AB - The treatment of hepatitis C virus (HCV) infection has significantly improved
these last two decades. For nearly 15 years, the association of pegylated
interferon alfa and ribavirin (PR) has allowed a sustained virologic response
(SVR), i.e., a viral cure of the infection, in 45% of genotype 1-infected
patients, 65% of genotype 4-, 70% in genotype 3- and around 85% of genotype 2
infected patients. A better understanding of the HCV life-cycle has led to the
development of direct-acting antiviral drugs (DAAs) targeted against viral
proteins (NS3/4A protease, NS5B polymerase with nucleos(t)idic and non
nucleos(t)idic inhibitors, NS5A viral replication complex). The combination of
first generation protease inhibitors with PR has showed a high antiviral
efficiency (75% of SVR in genotypes 1) with substantial side effects for the
first generation protease inhibitors, which have obtained approval to market in
2011 (Telaprevir and Boceprevir) and recommandations of use in HCV mono-infected
patients in 2012 and in HCV/HIV coinfected in 2013. Then, the combination of
second generation protease inhibitors with PR has increased SVR rates from 75 to
90%, while reducing treatment duration, side effects and number of pills. Next
step is now interferon and ribavirin free combination of DAAs, about to become
the standard of care in 2015. These excellent results in 'easy-to-treat' patients
and in small population studies has now been confirmed in phase III studies and
in 'difficult-to-treat' patients (treatment - especially protease inhibitors
experienced patients, cirrhotic patients, liver and renal transplant patients,
HIV co-infected patients, and subjects with polypharmacy, at increased risk of
drug interaction).
PMID- 24923039
TI - [The bibliography of the thesis in 10 lessons: # 5 sourcing and social
bookmarking].
PMID- 24923040
TI - [Hypoglycemia could increase the risk of arrhythmia in diabetics, explaining the
increased cardiovascular mortality in the intensive control of blood glucose].
PMID- 24923041
TI - [What is your rate of adenoma detection?].
PMID- 24923042
TI - [Vitamin D supplementation: for and against in the BMJ!].
PMID- 24923043
TI - [Infections related to implanted medical devices: the down-side of medical
progress].
PMID- 24923044
TI - [Implanted medical device-related infections: pathophysiology and prevention].
AB - Medical progress led to an increase in the number of indications for indwelling
devices. However, colonization of implanted devices by pathogenic microorganisms
also increases risks of formation of microbial communities surrounded by an
extracellular matrix called biofilms. Biofilms are able to survive in the
presence of high concentrations of antimicrobials, therefore leading to treatment
difficulties and exposing patients to the risk of infection recurrence. Because
of these features, preventive measures reducing the risk of microbial
contamination are cornerstone for the management of any patient carrying an
indwelling device.
PMID- 24923045
TI - [Long-term central venous catheter-related infections].
AB - Long-term intravenous catheters (LTIVC) are standard practice for patients with
chronic diseases such as cancer, digestive disease requiring total parenteral
nutrition or end-stage renal disease. Even if they greatly improved patients'
care, the use of LTIVC is also associated with microbial contamination and
subsequent infection. These catheter-related infections are associated with
morbidity, mortality and increased health-care costs. As patients carrying these
LTIVC stay at home for their treatment (home parenteral nutrition for instance)
or between cycles of treatment (antineoplastic chemotherapy or dialysis), it is
mandatory that general practitioner and nurses are aware of recent data on the
epidemiology, diagnosis and treatment of LTIVC-related infections.
PMID- 24923046
TI - [Intracardiac infections related to implanted medical devices (defibrillators,
valvular prostheses].
AB - Due to increases in the number of patients with implantable intracardiac devices,
the incidence of infectious complications related to these devices gradually
increases. These infections may affect the generator, and will be diagnosed upon
local signs (erythema, suppuration, externalization). It may also affect
intravascular leads, with general symptoms, and a risk of valvular endocarditis
(tricuspid), pulmonary emboli, and secondary infectious focus. The diagnosis
relies on cultures of samples from the generator site, the explanted material,
and blood, as well as on trans-esophageal echocardiography (TEE). The treatment
requires explantation of all parts of the device, and appropriate use of
antibacterial agents. Prosthetic valves endocarditis differ from native valve
endocarditis in several aspects: it affects older patients with more
comorbidities; coagulase negative staphylococci are more frequently involved; TEE
is always necessary for the diagnosis and follow-up; prosthesis dehiscence is a
common complication of paraprosthetic lesions; antibacterial regimens need to be
reinforced; prognosis is worse. There is no significant difference between
biological prosthesis (bioprosthesis), and mechanic valves regarding the risk to
develop endocarditis, and its treatment.
PMID- 24923047
TI - [Vascular prostheses-related infections].
PMID- 24923048
TI - [Infections associated with orthopaedic devices].
AB - Surgical site infections are a major complication of instrumented orthopaedic
surgery, affecting 0.5 to 2% of patients following arthroplasty, and up to 30% of
patients after fixation of open fractures. Acute infections may result from
exogenous inoculation during or in the weeks following surgery (early
infections), or from hematogenous seeding from a remote origin at any time after
implantation (late infections). These infections are generally due to virulent
organisms, such as Staphylococcus aureus, and must be treated rapidly. Delayed
infections, arising between 3 and 24 months after surgery, are caused by low
virulence organisms such as coagulase-negative staphylococci. Diagnosis of
delayed infections may be difficult because clinical presentation is often subtle
and limited to chronic pain, and relies on imaging studies and culture of
synovial fluid aspirates. Strong collaboration between surgeon, microbiologist
and infectious disease specialist is essential for management of implant
associated infections, which almost always necessitates surgical intervention and
prolonged antimicrobial therapy. The choice of the type of surgical intervention
(debridement, or removal with or without exchange of the implant) depends on the
duration of infection signs, on the pathogen species and antibiotic
susceptibility, and on the patient general and local condition. Antibiotics are
chosen according to pathogen susceptibility and to pharmacokinetic parameters
such as bioavailability and penetration into the bone tissue. Patients treated in
accordance with current guidelines are cured of their infection in 60 to 80% of
cases.
PMID- 24923049
TI - [Catheter-associated urinary tract infection].
AB - Nosocomial urinary tract infections are the most common nosocomial infections.
Their main risk factor is the urinary catheter The daily incidence of acquisition
of microorganisms in the urine of a patient with a urinary catheter is 3 to 10%
per day of catheterization, representing a cumulative risk of 100% after 30 days.
The Gram-negative bacilli account for about two-thirds of isolated microorganisms
led by Escherichia col. To prevent infections occurring with urinary
catheterization, indications of the latter should be limited. When
catheterization is essential, strict hygiene rules and proper use must be
respected. Antibiotic treatment of infection with urinary catheter must take into
account the diffusion of antibiotic, resistance of the main bacteria involved and
patient characteristics.
PMID- 24923050
TI - [Catheter-related suprapubic infections].
PMID- 24923051
TI - [Peritoneal dialysis-related infections].
PMID- 24923052
TI - [Biliary prostheses-related infections].
PMID- 24923053
TI - [Neurosurgical material-associated infections].
AB - Cerebrospinal fluid shunts (CSF) are frequently implanted in acute or chronic
hydrocephalus. Intraoperative contamination is the main cause of infection of
these devices. Causative germs are found mostly saprophytic (including
Staphylococcus sp). The diagnosis can be easy, especially referred to a febrile
meningeal syndrome, but also less intense, resulting in valve dysfunction.
Therefore, in practice, any patient with CSF shunt should be suspected of being
infected thereof, in case of fever and/or new or reappearing neurological
symptoms, and until proven otherwise. The treatment is based on high-doses
parenteral antibiotherapy, and more often removing the CSF shunt. Infections of
cochlear implant are less common, and rarely deep. They are treated surgically by
cleaning the surgical site, and in combination with systemic antibiotics. Their
conservative treatment can be a concern.
PMID- 24923054
TI - [Infections related to otorhinolaryngologic prostheses].
PMID- 24923055
TI - [Breast implants-related infections].
PMID- 24923056
TI - [Brain metastases: first, don't give up!].
PMID- 24923057
TI - [Brain metastases: epidemiology, diagnosis and imaging].
AB - The incidence of brain metastases is poorly documented but seems to be
increasing. Brain metastases occur in 10% of patients with cancer. Extending the
life of patients and improved diagnostic techniques seem to be the cause of this
increase. The diagnosis is made either on systematic reviews of sick patients,
either before the onset of a neurological disorder. Brain MRI is the gold
standard to evaluate the number, size and location of brain metastases. Achieving
an histological examination should be performed in cases of diagnostic
uncertaintly. Inaugural metastases occure in 20% of cases. The general
practionner should investigate primary cancer quickly to refer the patient to an
appropriate specialist. The management of brain metastases is multidisciplinary
and requires coordination of different practitioners.
PMID- 24923058
TI - [Brain metastases: surgery and stereotactic radiosurgery].
AB - Recent progresses in treatment of brain metastases have improved survival and
quality of life in cancer patients. Surgery and stereotactic radiosurgery are two
validated technics for brain metastases treatment. Actually, these two modalities
of treatment could be used separately or in combination depending on the clinical
situation (age, primitive disease status, type of primitive cancer, Karnofsky
performance status) and radiological situation (number of brain metastases,
localization). In this article, data from trials that examine the role of surgery
and stereotactic radiosurgery in the management of brain metastases are reviewed.
PMID- 24923059
TI - [The role of whole-brain irradiation in the treatment of brain metastases].
PMID- 24923060
TI - [Chemotherapy and targeted therapies in the management of brain metastases].
AB - In case of cancer dissemination to the brain, surgery and radiosurgery of all the
brain metastases must be considered when possible. In other cases, whole brain
radiotherapy remains the standard of care. The place of chemotherapy in this
strategy remains debated. New targeted therapy, which might be even more
efficient than cytotoxic chemotherapy, will probably challenge upfront
radiotherapy in the next years to come.
PMID- 24923061
TI - [Strategy and management in brain metastasis].
AB - The brain metastases management has evolved over the last fifteen years and may
use varying strategies including more or less aggressive treatments, sometimes
combined, leading to an improvement in patients' survival and quality of life.
The therapeutic decision is subject to a multidisciplinary, taking into account
established prognostic factors including patient's general condition, extra
cerebral disease status and clinical and radiological presentation of brain
metastases. In this article, we propose a management strategy based on the state
of current knowledge and available therapeutic resources.
PMID- 24923062
TI - [Supportive care, cognitive assessment and quality of life after treatment for
brain metastases].
PMID- 24923063
TI - [Datasets: a triple evolution].
PMID- 24923064
TI - [Evaluation of a pituitary adenoma].
PMID- 24923065
TI - [Pituitary adenoma].
PMID- 24923066
TI - [Epilepsy in the child and in the adult. Part 1. Epilepsy in the child].
PMID- 24923067
TI - [Red and painful eye].
PMID- 24923068
TI - [Measurement of the health status of the population].
PMID- 24923069
TI - Beef up your discharge planning processes, experts recommend.
AB - The Centers for Medicare & Medicaid Services is increasing its emphasis on
discharge planning and has developed a worksheet for surveyors to use to
determine if hospitals are in compliance with the Conditions of Participation.
Hospitals must have discharge policies and procedures in writing and must be able
to show that they are following them. Discharge planning assessments should be
comprehensive and include the patient's psychosocial needs as well as medical
needs and should take into consideration whether patients can safely go back to
their previous setting. Communication with post-acute providers is essential to
create smooth transitions and identify any gaps in information.
PMID- 24923070
TI - Reaching out to post-acute providers.
PMID- 24923071
TI - CMS mandates better DP earlier in the stay.
PMID- 24923072
TI - Extending hospital to the primary care office.
AB - Using a Centers for Medicare & Medicaid Services Innovation grant, Beth Israel
Deaconess Medical Center in Boston launched a program to prevent readmissions.
Care transition specialist nurses are assigned to six primary care practices and
work with patients in the practice to which they are assigned. They meet patients
in the hospital and follow them for 30 days after discharge. The program includes
pharmacists who conduct medication reconciliation and work with patients on
medication issues, and a social worker who is called in when patients have
psychosocial needs.
PMID- 24923073
TI - Community case management -- thinking beyond the hospital walls.
AB - Case managers must approach the case management process as one that focuses
across on the continuum of care and addresses inpatient as well as community
needs. Case management assessments must go well beyond just the issues of
discharge destination, but rather connect the care providers across the continuum
in new ways that will improve outcomes for patients and reduce cost for the
healthcare industry.
PMID- 24923074
TI - HF readmissions drop after initiatives.
AB - By analyzing heart failure readmissions and collaborating with post-acute
providers and community organizations, Essentia Health-St. Joseph's Medical
Center in Brainerd, MN, cut its readmission rate from 18% to a low of 6%. An
interdisciplinary team analyzed readmissions, interviewed patients who were
readmitted, and developed the program. Initiatives include setting primary care
appointments while patients are in the hospital, following up after discharge,
and creating order sets that include best practices. The hospital staff meet
regularly with post-acute providers and social service agencies to brainstorm
ways to create smoother transitions and ensure that patients get what they need
after discharge.
PMID- 24923075
TI - Nurses at high risk of work-family conflict.
PMID- 24923076
TI - Subconjunctival bevacizumab injection in the surgery of primary pterygium:
comparison with intraoperative mitomycin-C.
AB - PURPOSE: To assess the efficacy of subconjunctival bevacizumab injection as
adjuvant therapy in the prevention of recurrence following the surgical treatment
of pterygium by comparison with intraoperative mitomycin-C application. METHODS:
This prospective comparative study included thirty consecutive patients with
primary nasal pterygium that were surgically treated with simple excision. In
addition to surgical excision, subconjunctival bevacizumab was injected in 15
eyes (group A), and, in the remaining 15 eyes, topical mitomycin-C 0.2 mg/mL was
applied for 2 minutes (group B). The postoperative outcome was followed
clinically for a minimum of 12 months. The main outcome criteria were recurrence
rate and postoperative complications. RESULTS: A significantly higher recurrence
rate was found in bevacizumab group (66.7%) compared to MMC group (26.7%) (P=
0.028). In group A, conjunctival wound separation was observed in 3 of 15 eyes;
however, no conjunctival separation was observed in Group B and no other serious
complications were observed in either group. CONCLUSION: The intraoperative use
of subconjunctival bevacizumab does not seem to be effective in reducing the rate
of pterygium recurrence following excision when compared with intraoperative
mitomycin-C application.
PMID- 24923077
TI - The effect of different doses of subconjunctival bevacizumab on the recurrence
rate of excised primary pterygium.
AB - PURPOSE: To evaluate the safety of different doses of subconjunctival bevacizumab
and their effects on the recurrence rate after primary pterygium excision.
METHODS: This prospective randomized placebo-controlled clinical study was
conducted on 59 eyes (59 patients). The visual acuity, refractive astigmatism,
horizontal length of the pterygium, and recurrence risk factors were recorded.
There were no statistically significant differences between the groups for age,
sex, horizontal length of pterygium, preoperative visual acuity, astigmatism, and
recurrence risk factors. The pterygium was excised from the conjunctival side and
then peeled off the cornea. The bare sclera was covered with a rotational
conjunctival flap from the superonasal area. After surgery, Group 1 (22
patients), Group 2 (17 patients) and Group 3 (20 patients) received 7.5 mg
bevacizumab, 2.5 mg bevacizumab, and Balanced Salt Solution (BSS)
subconjunctivally, respectively. Postoperatively, horizontal length of corneal
epithelial defect, conjunctival congestion, and lacrimation were checked on the
first and seventh day. The rate of recurrence (>1.5 mm fibrovascular overgrowth
on the cornea) at month 6 was compared between the groups. RESULTS: There were no
statistically significant differences between the groups for all measured
variables except for moderate conjunctival congestion on the first postoperative
day which was more common in the bevacizumab groups (P=0.002). Four eyes in
Groups 1 (20%) and 2 (26.7%) and 3 in Group 3 (15.8%) experienced recurrence
(p=0.73). All recurrences occurred at month 3 and subsequently thereafter.
CONCLUSIONS: In our study, perioperative injections of subconjunctival
bevacizumab had no effect on primary pterygium recurrence and were not associated
with any specific local complications.
PMID- 24923078
TI - Ocular syphilis, a case of acute posterior placoid chorioretinitis.
AB - PURPOSE: To report a case of acute posterior placoid chorioretinitis, a rare
manifestation of ocular syphilis. METHODS: The patient was examined at age 59
with symptoms of subacute severe unilateral vision loss of the right eye. He
underwent fundus examination, automated perimetry, optical coherence tomography
imaging and fluorescein angiography. There was a close follow-up for 3 months.
RESULTS: At time of presentation, the visual acuity in the right eye was hand
movement. Fundus examination showed a central retinal placoid yellowish lesion
going beyond the temporal vascular arcades. Optical coherence tomography showed
thickening of the neuroretina and disappearance of the inner and outer segment
junction. Fluorescein angiography showed in the early phase areas of
hypofluorescence followed by hyperfluorescence and late staining. Serologic
examinations were positive for secondary syphilis. After treatment with
intravenous ceftriaxone 2g/d for 2 weeks there was a complete functional recovery
with regression of the fundus lesion. CONCLUSIONS: Acute syphilitic posterior
placoid chorioretinitis has been described as a rare chorioretinal manifestation
in patients with syphilis. The pathogenesis of this entity still remains unknown.
Since there are no pathognomonic features of ocular syphilis, findings may often
mimic those of other diseases. Maintaining an awareness of the different
manifestations of this disease allows ophthalmologists to play a key role in the
early diagnosis of syphilis.
PMID- 24923079
TI - Giant cell arteritis: the internist should not be a lone rider in this
potentially blinding condition.
AB - We report the case of a 66-year-old woman with visual loss due to anterior
ischaemic optic neuropathy. The diagnosis of giant cell arteritis was made on the
basis of classic clinical characteristics and haematological abnormalities.
Despite corticosteroid treatment, involvement of the other eye occured, resulting
in a bilateral and permanent loss of vision. The follow-up was marked by two
relapses within the 6 months after the first episode. In order to prevent
blindness, ophthalmologists should be familiar with this disorder and should
actively participate in the treatment, not leaving the internist deciding alone
about tapering corticotherapy.
PMID- 24923080
TI - [Maculopathy and dengue fever].
AB - The authors report a case of a 20 year old female who presented a bilateral
decrease in vision. Detailed history revealed that 15 days before the onset of
symptoms, the patient presented a dengue fever during her stay in Martinique. On
initial examination, the fundus exam revealed a bilateral loss of foveal
reflection. The optical coherence tomography demonstrated the macular edema and
the dengue serology was postive. The patient received an interferon2a-based
therapy. The macular edema disappeared and we noticed a partial but fast
improvement of visual acuity 12 days after the treatment initiation.
PMID- 24923081
TI - Benefit of surgery in presence of orbital metastasis.
AB - PURPOSE: Malignant tumors metastatic to the orbit are rare and only about 5% of
orbital tumors are metastasis. We report on orbital surgery in a patient with
orbital metastasis from small cell lung carcinoma (SCLC). METHODS: A 75-year-old
man complained of pain in the left orbital region and proptosis. Head CT scan
showed a left retrobulbar mass compressing the optical nerve. Chest and body CT
scans showed a round-shaped mass at the apex of the left inferior lobule of the
lung and widespread nodal involvement. RESULTS: A surgical intervention on the
left orbit through a lateral approach was performed, with complete removal of the
mass. Histology showed features of a non-anaplastic SCLC. A complete restoration
of visual acuity was obtained CONCLUSIONS: A debulking orbital surgery, in order
to relieve optic nerve compression, should be offered to the patients with
orbital metastasis.
PMID- 24923082
TI - [Spontaneous peeling of the epimacular membrane after cryotherapy of retinal
vasoproliferative tumors: report of a case].
AB - Epimacular membranes when responsible for a significant loss of visual acuity,
generally require treatment by vitrectomy and peeling of the membrane. We report
the case of a spontaneous peeling of a macular pucker after cryotherapy of
peripheral retinal vasoproliferative tumors. Review of the literature.
PMID- 24923083
TI - Vitrectomy in uveitis patients.
AB - PURPOSE: To evaluate the causes and success rates of pars plana vitrectomy (PPV)
in uveitis patients. METHODS: Retrospective study of the charts of 26 uveitis
patients (28 eyes) who underwent PPV between the years 2008 and 2011. We examined
surgical indications and success rates, based on visual outcomes, complications
and diagnosis in case of vitreous biopsy. RESULTS: (1) Therapeutic PPV (TV) was
performed in 36% of the eyes, (2) TV combined with epiretinal membrane (ERM)
peeling in 21% and (3) diagnostic PPV (DiV) was performed in 64% of the eyes.
Eight eyes (28,6%) underwent a combined cataract and vitreous surgery. Visual
acuity (VA) improved in 16 eyes (57%), with a mean improvement of -0,9 log of the
minimum angle of resolution (logMAR), although the effect was transient in 7% of
the cases. VA remained stable in 11 eyes (39%) and decreased in 1 (4%). Post
operative complications were cystic macular oedema (CMO) in 3 eyes (11%),
cataract in 5 eyes (18%) and retinal detachment in 2 eyes (7%). Diagnostic tests
were performed in 18 eyes with a success rate of 55%. CONCLUSIONS: In our series
of patients with uveitis, a good andstable improvement of VA was found when PPV
was performed with ERM peeling while the effect on VA was more transient in the
other cases. A good success rate of diagnosis was also found in DiV. However,
considering the possible severe complications, diagnostic vitrectomy should be
limited to selected cases.
PMID- 24923084
TI - Bifocal optic and facial nerve t-cell lymphoma.
AB - PURPOSE: Optic nerve and optic nerve sheath infiltration by a systemic lymphoma
is uncommon, but is exceedingly rare when caused by a T-cell lymphoma. This then
generally occurs in association with central nervous system (CNS) involvement. We
report on a rare case of optic and facial nerve T-cell lymphoma infiltration,
without CNS involvement. METHODS: A 63-year old female with systemic T-cell
lymphoma in clinical remission presented with painful loss of vision in the left
eye. She was initially treated for presumed recurrent optic neuritis. A thorough
clinical work-up was performed, followed by an optic nerve biopsy with
histopathology. RESULTS: There was no perception of light in the left eye, with a
marked relative afferent pupillary defect. Fundoscopy showed significant optic
disc oedema and a large peripapillary subretinal infiltration. Subsequently, she
developed a 7th cranial nerve paresis. Cranial MRI showed thickening and contrast
enhancement of the left optic nerve and right facial nerve. Optic nerve biopsy
showed infiltration of CD3- and CD5- positive lymphocytes. A complete systemic
workup revealed no evidence of disease elsewhere. The patient was thus considered
to have bifocal cranial recurrence of T-cell lymphoma, for which radiotherapy was
started. CONCLUSIONS: Optic nerve infiltration from systemic lymphoma is rare and
generally occurs with CNS involvement. A bifocal pattern of recurrence from
systemic T-cell lymphoma involving the right facial nerve and left optic nerve
was seen in this patient. A review of the literature highlights the highly
atypical nature of this presentation.
PMID- 24923085
TI - Cancer associated retinopathy with periphlebitis and bilateral vitreous
hemorrhage.
AB - INTRODUCTION: Cancer associated retinopathy (CAR) is an uncommon paraneoplastic
syndrome associated with epithelial neoplasm. Ocular symptoms of this syndrome
are varied. CASE REPORT: A 40-year-old man with an existing smoking history
noticed a bilateral visual loss.Fundus examination showed a bilateral vitreous
hemorrhage.In the left eye, there were narrowed retinal arterioles and
peripapillary neovessels. An X-ray chest revealed a lung lesion which on biopsy
proved to be a bronchial squamous cell carcinoma. After conducting several
explorations,we have diagnosed CAR. CONCLUSION: Vitreous hemorrhage is one of the
symptoms of CAR. Thus, systems review, searching for an extraocular cancer, X-ray
chest and ERG are recommended in this case.
PMID- 24923086
TI - Lens subluxation with stretched ciliary processes in a girl with Goltz syndrome.
AB - PURPOSE: To report lens subluxation with additional stretching of the ciliary
processes as ocular features of Goltz syndrome. METHODS: Case report. RESULTS: A
now 4-year old girl was diagnosed at birth with Goltz syndrome. Best-corrected
visual acuity was 1/60 in both eyes. Slitlamp examination showed bilateral iris
colobomata and inferior subluxation of the lens with abnormally stretched ciliary
processes. Funduscopy revealed bilateral chorioretinal and optic disc colobomata.
CONCLUSIONS: Ocular anomalies are often associated with Goltz syndrome. Although
ectopia lentis is a known ocular feature, this is the first case of lens
subluxation with additional, abnormally stretched ciliary processes.
PMID- 24923087
TI - Pseudoxanthoma elasticum confirmed by genetic analysis but not by skin biopsy: a
case report and review of the literature.
AB - In patients with angioid streaks, additional investigations are useful to
identify underlying systemic disease, unless age and short peripapillary streaks
are indicative of senile streaks as an isolated abnormality. In middle-aged or
young adults with angioid streaks and no obvious systemic disease, the
possibility of a hemoglobulinopathy or pseudoxanthoma elasticum (PXE) as
etiologic entities should be investigated. Hemoglobinopathies can be excluded
based on blood screening and the absence of typical ocular fundus changes, such
as retinal vessel tortuosity. This allows making a presumed clinical diagnosis of
PXE in patients with angioid streaks, based on exclusion of a hemoglobinopathy,
and on the presence of extensive angioid streaks, peau d'orange, crystalline
bodies and comet tail lesions. For confirmation of PXE, the gold standard was
dermatologic examination and skin biopsy, but since the last decade molecular
diagnosis is available. In rare cases, PXE can be diagnosed using molecular
techniques in patients with apparently normal skin and negative skin biopsies, as
demonstrated in this case and another case published in 2011.
PMID- 24923088
TI - The range of the waveform score of the ocular response analyzer (ora) in healthy
subjects.
AB - PURPOSE: The waveform score (WS) indicates the reliability of each intraocular
pressure (lOP) measurement signal performed with the Ocular Response Analyzer
(ORA, Reichert). We aimed to assess i) the range of waveform score in IOP
measurements with ORA in healthy subjects and to ii) identify a cut-off WS value
under which an ORA measurement should be discarded. METHODS: Prospective study
including three ORA IOP measurements performed in the right eye of 80 healthy
normal subjects. The different WS were recorded and the highest WS of the three
measurements was analysed. ANOVA test was used to assess variance in repeated
measurements. RESULTS: Mean age of 80 subjects was 46.7+/-15.6 years. Mean WS of
the first IOP measurement was 4.8+/-2.0 and 4.8+/-1.7 and 5.0+/-1.9 respectively
for the second and third measurements (p= 0.74). Mean WS of the analysed 240
signals (3 measurements per eye) was 4.9+/-1.9 (range: 1.2-9.5). The mean value
of all the highest values per eye was 6.2+/-1.8 (range: 2.9 -9.5 and was
significantly higher than the mean WS of the 240 signals together (p <0.001). The
10th percentile of all the best values was 3.7 and the 75th percentile 7.5.
CONCLUSION: ORA measurements with WS <3.7 should be discarded in healthy normal
subjects. As much as that the corresponding quality of the waveform ORA scan is
satisfying, one single measurement with a WS >7.5 could be considered as
sufficient. If this score cannot be reached after three consecutive measurements,
the signal with the highest WS should be selected.
PMID- 24923089
TI - [Palpebral pilomatricoma simulating a chalazion in a young adult].
AB - The pilomatricoma or epithelioma of Malherbe is a mummified benign and rare skin
tumor. We report a rare case of palpebral pilomatricoma simulating a chalazion in
a 26-year-old patient. This skin tumor is exceptional in adults. Its diagnosis is
often missed preoperatively because of its great clinical polymorphism and is
histopathologically based on the detection of mummified cells. Its surgical
treatment will aim at a complete excision of the tumour in order to minimize the
risks of recurrence.
PMID- 24923090
TI - Long term IOP lowering efficacy of bimatoprost/timolol fixed combination: a 12
month prospective study.
AB - PURPOSE: To evaluate the long-term IOP-lowering effect of an initially successful
switch from prostaglandine-analog (PGA) monotherapy to bimatoprosttimolol fixed
combination (BTFC) METHODS: Prospective, monocentric, open-labeled clinical
trial. 30 patients with insufficient intraocular pressure (lOP) control under PGA
monotherapy were screened. Following a one month run-in period of BTFC, patients
who presented an effective IOP-lowering response were prospectively studied for
an additional 11-month period. IOP, tolerability and safety (adverse reactions,
slit lamp biomicroscopy) were further assessed at month 6 and month 12 after
initiating BTFC. RESULTS: BTFC therapy significantly decreased IOP when compared
to PGA monotherapy (PGA monotherapy: 17.3+/-3.8 mmHg; BTFC 1 month 13.2+/
3.3mmHg; p<0.05). This decrease from PGA-monotherapy IOP was sustained throughout
the time-frame (6-month: 13.5+/-3.6mmHg; 12-month: 13.9+/-2.4mmHg; p<0.05 in
pairwise comparison). There was no statistical difference in IOP between BTFC
study visits (p>0.05). Of the 27 patients who had a satisfactory lOP-lowering
response to BTFC after one month, 18 (66.7%) still had sufficient IOP control at
the 12 month study visit. Therapy was discontinued at 1 month in 3 patients (2
due to intolerance to medication and 1 failing to achieve IOP control). No
intolerability was reported beyond the 1 month of BTFC therapy. CONCLUSION: In
the majority of patients, the initial lOP lowering effect of replacing PGA
monotherapy by BTFC seems to predict a long term response to the new treatment
strategy.
PMID- 24923091
TI - [Management of rhegmatogenous retinal detachment with unseen breaks: about 50
cases].
AB - PURPOSE: To evaluate the clinical and therapeutic characteristics of
rhegmatogenous retinal detachment (RRD) with unseen retinal breaks. PATIENTS AND
METHODS: Retrospective study 50 eyes (50 patients) with RRD with unseen retinal
breaks in the pre and intraoperative examination. These patients were treated
between 2005 and 2010 by vitrectomy or scleral buckling. Retinal breaks were
meticulously sought by indentation of the vitreous base. The subretinal fluid was
drained by a peripheral retinotomy when a vitrectomy was needed and puncture ab
externo when a scleral buckling was performed. RESULTS: A retinal detachment with
unseen retinal breaks accounted for 15% of all RRD operated during this 5-year
duration period (2005 - 2010). The average age of our patients was 57 years.Ten
were myopic (20%) and 27 (54%) pseudophakic, with inferior RRD in 60% of the
cases cases while advanced vitreoretinal proliferation (PVR) greater or equal to
stage C in was present in 72%. Primary vitrectomy was performed in 46 cases.
Retinal reattachment rate was achieved after a single procedure in 41 eyes (82%).
Among them, 40 were operated by vitrectomy and one eye by scleral buckling. The
recurrence rate was significantly higher in patients operated by scleral buckling
(75%) than by vitrectomy (15%). CONCLUSION: RRD with unseen retinal breaks are
often seen inferiorly and have a chronic evolution (60%). They concern
pseudophakic patients in the majority of the cases. Their poor prognosis and high
recurrence rate also appear to be related to an advanced PVR (72%). The good
results of primary vitrectomy should be confirmed by randomized studies,
especially in phakic eyes.
PMID- 24923092
TI - [Ocular manifestations of children living with HIV/AIDS in Kinshasa].
AB - OBJECTIVE: to determine the frequency of ocular manifestations in Congolese
children aged 0 to 15 years infected with HIV/AIDS and to identify the predicted
clinical and/or biological elements. METHODS: This was a prospective, descriptive
and cross-sectional study performed from November 2010 to April 2011 in five
sanitary structures who take care of children infected with HIV/AIDS. During the
period of study, one hundred children infected with VIH/AIDS were examined.
Seventy- six (76%) of children were under highly active anti-retrovial therapy
(HAART). The age of children ranged from 0,5 month to 15 years. Children
underwent a routine ophthalmic examination which included measurement of visual
acuity, inspection of the adnexa and cornea, slit-lamp examination and dilated
ophthalmoscopy. The CD4 lymphocyte count was determined in 88 of them. RESULTS:
The frequency of ocular manifestations was 36%. The mean age of children was 8
years (SD: 4.01; range 0,5 month-15 years). The male female sex ratio was 0.8/1.
Ocular complaints were present in 22 children (22%). Visual symptoms were most
frequent in children with severe decrease of immunity. Of four children
identified with a visual handicap, one (1.5%) had blindness and three (4.4%) had
visual impairment. All of these four children had a CD4 lymphocyte count less
than 15%. Among ocular manifestations, the adnexial manifestations were the most
frequent with 18 cases (18%) followed by the posterior segment manifestations
with 14 cases (14%) and the neuroophthalmic lesions with 5 cases (5%).
CONCLUSION: This study documented 36% of ocular manifestations; this frequency is
comparable with the other African studies. Comparatively to studies conducted in
other continents the frequency in African studies is high. This study also showed
that the adnexial manifestations are the most frequent ocular findings and that
the children with severe decrease of immunity are most exposed to visual troubles
that can lead to blindness.
PMID- 24923093
TI - [Marcus Gunn Syndrome. Study of 3 rare cases].
AB - PURPOSE: reporting three rare cases of Marcus Gunn Syndrome and analyzing the
clinical features of this entity. METHODS: Case reports of three patients with a
Marcus Gunn Syndrome, treated at the Ophthalmology B CHU service Rabat - Morocco.
RESULTS: Our patients are two boys aged 1 and 2 years old, and a 31 year old
woman with a Marcus Gunn Syndrome. As special features, in the boys' cases this
syndrome is bilateral, as for the other case it is associated with congenital
fibrosis clinically predominant of the Ipsilateral inferior rectus muscle in an
adult. Surgical treatment was not offered for children because of their young
age. The adult patient has benefited from a recession of the inferior rectus
muscle and a pleating in the superior rectus muscle. The forced duction test
objectified a fibrosis of the inferior rectus muscle. Surgical correction of
Ptosis and Synkinesis has not been indicated in this patient, given the residual
oculomotor disorder and the risk of exposure keratitis. CONCLUSION: Marcus Gunn
Syndrome is exceptional in adults. This can be explained by the tendency of the
lid retraction to fade with age. Bilateral involvement in Marcus Gunn Syndrome is
rare. Its association with congenital fibrosis of extraocular muscles has allowed
a better etiopathogenic approach highlighting a supra nuclear origin of this
Syndrome.
PMID- 24923094
TI - Didactic image poppers maculopathy.
AB - We describe a case of toxic maculopathy caused by unique inhalation of poppers.
This maculopathy has spontaneously resolved.
PMID- 24923095
TI - [How I explore and treat a neonatal renal vein thrombosis: a case report].
AB - Neonatal renal vein thrombosis is a rare condition. The present case is rather
unfrequent and particularly educative since it shows the complete diagnostic
triad including hematuria, flank mass and thrombocytopenia. The diagnosis relies
on the demonstration, by Doppler ultrasound, of an obstructed renal venous bed.
The investigation is completed by a platelet count and the determination of the
prothrombin time, of the activated partial thromboplastin time as well as of the
concentration of fibrinogen. The screening also includes the search for a
possible etiology, such as a deficiency in coagulation proteins, the presence of
antiphospholipid antibodies or of a genetic mutation of one of the coagulation
factors. Since there exist no evidence based guidelines for the management of the
disease, we will discuss the diagnosis and treatment in relation with the
published literature.
PMID- 24923096
TI - [Blue baby syndrome: the source of the truth].
AB - Methemoglobinemia is a rare disorder preferentially affecting children. The
outcome may be dramatic when the disorder remains unidentified, however early
recognition using unspecific exams allows prompt therapy. We report the case of a
14-month-old baby who presented with a sudden access of cyanosis resulting from
acute methemoglobinemia. Careful investigation identified contamination of
familial food by an excess of nitrates related to the use of well water from
rural location.
PMID- 24923097
TI - [European Society of Cardiology guidelines on cardiac resynchronization therapy].
AB - Cardiac resynchronization therapy (CRT) is one of the most successful heart
failure therapies to emerge in the last 25 years; it is applicable to > 25-30% of
patients with symptomatic heart failure. In this article, we summarize the
recommendations of the European Society of Cardiology of 2013 on cardiac
resynchronization therapy.
PMID- 24923098
TI - [Cancer and the right to motherhood].
AB - As a result of advances in the field of oncology, cancer survival rate has
improved at the cost of sequelae in terms of fertility with a possible loss of
ovarian function in girls. To alleviate the consequences of this iatrogenic
menopause, various options are available. Cryopreservation of ovarian cortex,
allowing the preservation of immature cells, is one of the best solutions and
gave birth to 24 children worlwide. These results make it legitimate to propose
freezing ovarian tissue for pediatric patients or young adult women undergoing
potentially sterilizing treatment. The decision has to be discussed with the
patient and/or his legal representative, the oncologist and gynecologist.
PMID- 24923099
TI - [Role of general practioners in the follow-up of bariatric surgery in the
province of Liege].
AB - This paper is concerned with the place and role of general practitioners in the
follow-up of patients submitted to bariatric surgery in the province of Liege.
The results of the analysis were compared with clinical practice guidelines
published by the French Haute Autorite de Sante (HAS). Fifteen interviews were
conducted with GPs who follow up operated patients. The results highlight the
GPs' wish to fully participate in the follow-up of those patients. Their medical
supervision is centered on the HAS clinical practice guidelines, taking into
account the intake deficiencies as well as the patient's psychological
experience. However, some aspects are disregarded, mainly because of a lack of
theoretical knowledge (some biological parameters, pregnancy and contraception).
The short consultation time along with the poor communication with the hospital
multidisciplinary team were mentioned as obstacles to a good quality follow-up.
Progress is still needed to reach the clinical practice guidelines. However,
there is a wish to better collaborate. Organising coordination meetings between
professionals along with an early implication of the GP - even before surgery -
represent possible solutions.
PMID- 24923100
TI - [Levels of target achievement for major modifiable cardiovascular risk factors in
Belgium].
AB - Cardiovascular disease (CVD) is the main cause of premature mortality in Europe.
The burden of CVD could be reduced by controlling the major modifiable CVD risk
factors (dyslipidaemia, arterial hypertension, hyperglycaemia, smoking, and
physical inactivity) through lifestyle and dietary changes and appropriate drug
therapies. The objective of this article is to assess the level of target
achievement for key modifiable CVD risk factors in Belgium by referring to the
data from four recent studies. The overall results show that the main CVD risk
factors are poorly controlled in patients with established CVD and in patients at
high CVD risk. Therapeutic targets may be incompletely reached because of the
suboptimal implementation of European guidelines for CVD prevention in routine
clinical practice (insufficient lifestyle and dietary adaptations; poor
applications of drug therapy to control blood pressure, dyslipidaemia and
hyperglycaemia) or because of the insufficient efficacy of currently available
treatment options in some patients. This review provides clear and updated
evidence for non-target achievement for all major risk factors, with four
different study designs and inclusion criteria; it highlights the need for a more
comprehensive and intensive application of recommendations of the European
guidelines for CVD prevention in Belgium.
PMID- 24923101
TI - [Dermatoporosis, a vintage for atrophoderma and transparent skin].
AB - Skin ageing occasionally manifests itself at long-term by severe atrophoderma.
Systemic or topical corticotherapy exerts an atrophic impact mimicking the
effects of old age. Such atrophoderma condition has been described as transparent
skin about 40 years ago, and it received more recently the new denomination of
dermatoporosis. Such condition stands out in the medical history to induce
clinical consequences. They are sometimes prominent introducing diverse lesions
such as Bateman purpura, stellate scars and wounds following minor trauma.
PMID- 24923102
TI - [Bydureon: first once weekly GLP-1 receptor agonist (exenatide LAR)].
AB - Bydureon is a new galenic formulation (long-acting release) of exenatide, the
first agonist of Glucagon-Like Peptide-1 (GLP-1) receptors having been
commercialized for the management of type 2 diabetes. The microsphere technology
permits a prolonged absorption of exenatide from the subcutaneous depot, which
allows one injection per week instead of two injections per day with the initial
formulation of exenatide (Byetta). The clinical development programme DURATION
showed that exenatide 2 mg once weekly more markedly reduces glycated haemoglobin
(HbA(1c)), with a similar weight loss but a better digestive tolerance profile
(less nausea and vomiting after treatment initiation), compared with the twice
daily 10 microg exenatide. When compared to other glucose-lowering agents, once
weekly exenatide is more efficacious than sitagliptin, pioglitazone or basal
insulin (glargine or detemir), with the advantage of producing weight loss and
lowering arterial blood pressure. It does not induce hypoglycaemia and does not
necessarily require home blood glucose monitoring, two advantages compared with
insulin therapy. Bydureon is currently only reimbursed in Belgium after failure
of and in addition to metformin-sulfonylurea combination.
PMID- 24923103
TI - [Ulipristal acetate (Esmya): a selective modulator of progesterone receptors, new
treatment of uterine fibromatosis].
AB - Uterine fibromatosis is a frequent benign gynaecological disease causing heavy
menstrual bleeding and in some cases anaemia. Until now, medical treatment aimed
to obtain amenorrhea by administration of progestins or Gn-RH agonists. By
inducing hypo-oestrogenism, a reduction of myoma size is observed with Gn-RH
agonists but after cessation of the treatment, initial myoma size is noted. The
impact of progesterone in myoma growth is nowadays demonstrated and use of
selective modulators of progesterone receptors represents a new medical approach
of this disease.
PMID- 24923104
TI - [Management of acute cholangitis].
AB - Treatment of acute cholangitis requires antibiotics and biliary drainage. Some
patients develop a life threatening form requiring intensive care and urgent
drainage. It is important to identify those patients as soon as possible.
PMID- 24923105
TI - Phenotypic profiles of virulence in different Candida species isolated from
vulvovaginal infections.
AB - In order to establish an infection, pathogenic microorganisms have to colonize,
survive, multiply, evade the immune system and spread to other tissues [1, 2, 3].
Although some Candida species are normally commensal in humans, in the last
decades the frequency and the severity of nosocomial diseases due to Candida
strains have increased dramatically [4]. The aim of the present study was to
characterize some Candida strains isolated from vulvovaginal infections by
determining the virulence and pathogenicity profile. The assessment of the in
vitro expression of virulence cell wall associated factors (hyphal formation,
adherence to HeLa cell line, biofilm development), soluble secreted enzymes
(aspartyl protease, lipase, phospholipase, DN-ase) and Fe3+ accumulation was
achieved by phenotypic methods on 13 yeast strains belonging to five Candida (C.)
species (C. albicans, C. krusei, C. parapsilopsis, C. catenulata and C. kefyr).
Candida sp. strains isolated from vulvovaginal infections showed species/ strain
specific virulence profile.
PMID- 24923106
TI - Selection of bacterial strains efficient in decolorization of remazol black-B.
AB - Azo dyes are released into wastewater streams without any pretreatment and
polluted water and soil environments. To prevent contamination of our vulnerable
resources, removal of these dye pollutants is of great importance. For this
purpose, wastewater samples were collected from dye-contaminated sites of
Ankleshwar, Gujarat, India. About 50 bacterial isolates were isolated through
enrichment and then tested for their potential to remove Remazol Black-B azo dye
in liquid medium. Three bacterial isolates capable of degrading Remazol Black-B
azo dye efficiently were screened through experimentation on modified mineral
salt medium. Isolate ETL-1 was able to completely remove the Remazol Black-B dye
from the liquid medium in 18 h. Further, the isolate showed the best performance
at the dye concentration of 100 mg L-1 medium (pH 7) and at temperature 35
degrees C. Similarly, yeast extract proved to be the best carbon source for
decolorization purpose. The results imply that the isolate ETL-1 could be used
for the removal of the reactive dyes from textile effluents.
PMID- 24923107
TI - Single and multipathogen viral infections in hospitalized children with acute
respiratory infections.
AB - We aimed to describe the viral etiology of acute respiratory tract infections in
children aged 0-8 years admitted to Grigore Alexandrescu Hospital, the largest
pediatric hospital in Romania. The patients had clinical diagnosis of pneumonia,
bronchiolitis or viral respiratory infections and had been hospitalized between
September 2010 and September 2011. The study was part of the "Molecular
investigations of acute respiratory infections caused by non-influenza viruses,
to assess the implications of infant and young child pathology" (2008-2011), a
National Project II--42-164 (MIRVI). We included in the study 241 children that
were swabbed in the first 8 days of the onset with the following symptoms during
the previous 7 days: fever > 38 degrees C, AND cough or sore throat, and
shortness of breath or difficulty breathing .We identified by RT-PCR 131 (54.4%)
positive samples: 112 (85.5%) for a single pathogen, 18 (13.7%) for coinfection
with two pathogens and 1(0.8%) for coinfection with three pathogens. The most
frequent pathogen identified was respiratory syncytial virus (RSV) (40.18%),
followed by Rhinovirus (RhV) (20.54%) and human Metapneumovirus (hMPV) (12.50%).
We extrapolated our data to the National program of surveillance of SARI (severe
acute respiratory infections). In this program, 191 children aged one month-8
years, were hospitalized in the same period, in which the highest percentage of
positivity was due to Influenza viruses (62.65%), but RSV was identified with
almost the same percent like in MIRVI (32.53%). It should be noted that among
patients with pneumonia, bronchiolitis or respiratory viral infections were
identified as the causal agent RhV.
PMID- 24923108
TI - Seroprevalence of antibodies to diphtheria, tetanus and pertussis among healthy
adolescents and adults in Iran.
AB - Serologic data on diseases that are preventable by vaccine are useful to evaluate
the success of immunization programs. In this study we evaluated the serologic
levels of antibodies to diphtheria, tetanus, and pertussis. In a cross sectional
study, a total of 360 people aged 10-25 years were randomly selected and
classified by sex and age (10-14, 15-20, 21-25 years). Overall, 78.8% of people
aged 10-25 years had fully protected levels of diphtheria antibody (> or = 0.1
IU/ML), and 89.7% had fully protected levels of tetanus antibody (> or = 0.1
IU/ML), 94.3% of women aged 15-25 years had anti tetanus antibody sufficient to
protect against neonatal tetanus (> or = 0.1 IU/ML). Antibodies to Pertussis
toxin (PT) were found in 44.2% samples but only 1.4% had fully protective levels.
Antibodies to PT increased with age, ranging from 33.5% in aged 10-14 years to
54.6 % in aged 21-25 years. No differences were found between male and female,
except for diphtheria in age group 21-25 years. Results of this study reveal that
diphtheria and tetanus (dT) are efficient between booster doses. About pertussis,
most people are susceptible to pertussis and increased PT antibodies with age
suggest acquired asymptomatic Bordeella pertussis infection. Also B. pertussis
infections in adolescents and adults are of concern, as they are the most
important source of transmission of pertussis to young, unprotected infants. So
one booster dose in adolescents and adults (as CDC recommended), to reduce
mortality and morbidity in infants, is therefore suggested.
PMID- 24923109
TI - Resveratrol modulates apoptosis in 5-fluorouracyl treated colon cancer cell
lines.
AB - Since cancer is a cellular disease, it is essential to identify the development
stages and use the information in the prediction, prevention, early detection and
design of drug targets. Colon cancer represents a malignancy with high incidence
and mortality throughout the world, its etiology involving many genetic,
immunological and biochemical factors. 5-fluorouracyl (5-FU) is one of the most
effective anti-cancer agents used in the treatment of colorectal cancers, but
tumor chemoresistance is a major limiting factor of its use. In order to choose
the most effective chemotherapeutic doses of 5-FU, and thereby diminish the side
effects, we tried to modulate the anticancer properties of 5-FU by adding dietary
natural compounds. The study focused on the role of natural compounds as
resveratrol (RSV) in sensitization of LoVo human colon adenocarcinoma cell line
to 5-FU action. Real-time cell analysis (RTCA) by xCELLigence System was used to
continuously monitor the cytotoxic effects of drug treatments on LoVo cells. RTCA
allowed us to choose the proper concentrations for further end-point assays, such
as flow-cytometry techniques used for the evaluation of apoptotic events,
progression through cell cycle phases or nuclear antigen expression of compound
treated LoVo cells. Data obtained showed additional effects of RSV to 5-FU
treatments on the increase ofapoptotic events, and suggested alternative
approaches to obtain a stronger antitumor response, and diminished side-effects
when low concentrations of anti-cancer drugs are used. Modulation of the
mechanisms of programmed cell death process seem to be of great importance for
malignant transformation, and therefore for anti-cancer therapeutic approaches.
PMID- 24923110
TI - [Treatment of venous trophic ulcers, using echoscleroobliteration of perforant
veins].
AB - In 36 patients, suffering trophic ulcers on a chronic venous insufficiency
background in a decompensated stage (C6 in accordance to CEAP),
echoscleroobliteration of perforant veins, using a "foam-form" method in
accordance to Tessari, was performed. In 29 patients postrombophlebitic syndrome
in incomplete recanalization stage was diagnosed, in 7 recurrence of varicose
disease of the lower extremities. In all the patients during
echoscleroobliteration there was possible to obliterate the insufficient
perforant veins, in 3 patients two perforant veins were obliterated in each of
them. In a one week a pathological blood flow along perforant veins, in
accordance to data of ultrasound duplex scanning, was absent. This effect lasted
during 6 mo in 29 (80.6%) patients. In 25 (69.4%) patients a trophic ulcers
healing was achieved in 1 - 3 mo. In a one year in 30 (83.3%) patients a stable
obliteration was noted, in 3 (8.3%) - partial recanalization, in 3 (8.3%) -
complete recanalization of perforant veins.
PMID- 24923111
TI - [Senning operation as a method of treatment of the main vessels transposition].
AB - Results of performance of Senning operation for the main vessels transposition
were analyzed. Analysis of complications, lethality in early postoperative period
and of far-remote results was conducted. The systemic right ventricle function in
patients after Senning operation was estimated. Not high level of early and late
postoperative lethality was established. Clinical state of patients in far-remote
postoperative period was good. A systemic right ventricle function was estimated
as satisfactory.
PMID- 24923112
TI - [Prophylaxis of thrombotic complications in patients after reconstructive
operations on the main arteries of the lower extremities, made for
arteriosclerosis obliterans in a critical ischemia stage].
AB - Results of reconstructive operations, performed on the main arteries of the lower
extremities (LE) in 63 patients, suffering obliterating atherosclerosis were
analyzed with the objective to analyze the causes of thrombotic complications
occurrence. There was established, that common clinical screening tests, applied
for control of the hemostasis system state, do not permit completely to reveal
thrombophylic changes in early postoperative period timely and to prevent the
occurrence of the reconstructed segments thrombosis. Direct correlation
connection between the ischemia stage of the LE tissues, the reperfusion changes
signs and hyperaggregation severity, occurring due to deficiency of the blood
serum natural anticoagulants in patients, suffering obliterating atherosclerosis,
was established.
PMID- 24923113
TI - [Changes of humoral immunity in patients operated for peritonitis].
AB - The inflammation process course as well as probability of complications
occurrence are determined by changes in humoral link of immunity. Positive
dynamics of humoral component of the immune answer was trustworthy proved in
patients, suffering local peritonitis, what have had witnessed the presence of an
active and adequate function of the immune system, which was able to localize the
inflammation process. In such course of the disease it is not necessary to
conduct additional immune correction. Unfavourable immune profile and its
dynamics in patients, suffering diffuse and spreaded peritonitis are determined
by the quantity lowering of CD22 without its enhancement on the 3 - 7 day; low
level of IgG in conjunction with high level of IgA and IgM (so called
"scissors"), what do not change on the 3 - 7 day. The tendency of the IgG level
lowering during the treatment constitute an urgent indication for the restorative
therapy conduction, using immunoglobulins.
PMID- 24923114
TI - [Reduction of the recurrences and postoperative complications in surgical
treatment of hepatic echinococcosis].
AB - Results of examination and treatment of 326 patients, suffering hepatic
echinococcosis, in whom 449 surgical interventions were performed, were analyzed.
In 123 of them the disease recurrence was diagnosed, and laparoscopic, laparotomy
and puncture interventions were applied. Conduction of active screening
examination of population in the regions, endemic for echinococcosis, application
of effective intraoperative methods for prophylaxis of abdominal dissemination of
invasive material, usage of antiparasitic chemical and thermal processing of
cystic contents and its walls, left after echinococcectomy performance,
postoperative prophylactic application of antiparasitic medicinal therapy have
had permitted to lower the hepatic echinococcosis recurrence rate (down to 1.3%
in last 5 yrs).
PMID- 24923115
TI - [Sanation of biliary system using antiseptic decasan in complex treatment of
cholangitis].
AB - Experience of treatment of 17 patients, suffering cholangitis of various genesis,
using antiseptic Decasan, is presented. Clinical efficacy of the preparation in
complex treatment of cholangitis, confirmed by results of the bile
bacteriological investigation, was noted.
PMID- 24923116
TI - [Prognostication of efficacy of simultant laparoscopic operations in accordance
to the risk estimation scale, and the method of establishing of indications and
contraindications].
AB - Experience of surgical treatment was summarized and its results were analyzed in
patients, suffering biliary calculous disease, coexistent with other surgical
diseases of abdominal organs. Main aspects of diagnosis and prognosis for
efficacy of simultant operations were presented, and there were also adduced the
method of prognosis of the operative treatment results in such patients, the
proposed principle of determination of indications and contraindications for
performance of simultant laparoscopic operations, a scale of the risk estimation
for performance of such operative interventions.
PMID- 24923117
TI - [Determination of the ulcer area in the diabetic foot syndrome using elaborated
programe supply].
AB - Efficacy of area estimation of the ulcer or the wound defect, using the programe
apparatus system "WoundViewer", elaborated by specialists from Ukrainian
scientific-practical centre and National technical university of Ukraine "KPI",
was studied. The programe is based on objective estimation with a real scale
viewing of the wound area and permit to measure area of wound, owing any form.
The programe is highly effective during the process of dynamical estimation of
the treatment quality.
PMID- 24923118
TI - [Autoplasty of recurrent laryngeal nerve in the thyroid gland surgery].
AB - Efficacy of autoplasty of recurrent laryngeal nerve (RLN) for laryngeal
reinnervation in surgery of differentiated cancer of thyroid gland was studied.
Prospectively 8 patients were examined, in whom laryngeal reinnervation, using
the RLN autoplasty, for the abduction laryngeal paralysis was done. The
examination was performed before and after the operation, it included
videolaryngoscopy, acoustic analysis and the patient's self-estimation of
psychosocial consequences of the voice-formation disturbance. Improvement of a
vocal cords spacious positioning was noted in 38% patients, and was confirmed by
trustworthy improvement of the voice-formating parameters after the operation,
comparing with a preoperative state. In 72% patients the vocal aperture closure
was incomplete and the voice-formation parameters trustworthy differed from such
in patients of a control group. When the intact alternative nerves-donors for
laryngeal reinnervation are present (distal stump of PLN, ipsilateral and
contralateral main branch of cervical loop) the RLN autoplasty performance must
be maximally postponed because of low efficacy of such method of surgical
laryngeal reinnervation.
PMID- 24923119
TI - [Conclusive pleuropulmonectomy in patients with pulmonary tuberculosis].
AB - The results of performance of conclusive pleuropulmonectomy (CPPE) in 2004-2012
yrs in 16 patients, suffering multiresistant pulmonary tuberculosis are
presented. In 75% patients during the first operation the atypical (using
apparatuses) pulmonary resection was performed. CPPE was done for fibrous
cavernous tuberculosis in 11 (68.8%) patients, for cirrhotic tuberculosis - in 4
(25.0%), caseous pneumonia - in 1 (6.3%). Intraoperative complications rate was
12.5%. Early postoperative complications have had occurred in 5 (31.3%) patients,
and the late - in 3 (18.8%). Total efficacy of CPPE have had constituted 81.3%.
PMID- 24923120
TI - [Dynamics of inflammatory mediators and indices of antiendotoxic immunity in
children with purulent-destructive pneumonia].
AB - There were examined 220 children, in whom purulent-destructive pneumonia (PDP)
was diagnosed. Level of anti-inflammatory mediators and indices of the
antiendotoxine immunity was determined in the blood serum, using immunoassay
analysis, after admittance to hospital. There was established, that in PDP in
children the concentration of anti-inflammatory mediators interleucines (IL--1
beta, IL-6) is enhanced, as well as of the tumor necrosis factor-- alpha (TNF
alpha), C-reactive protein (CRP), while titre of antibodies to endotoxine class G
lowers, and the level of lipopolisaccharid-binded protein (LBP) and soluble form
of CD14 receptor (sCD14) is raised, not depending from the patient state
severity.
PMID- 24923121
TI - [Application of statistical models for prognostication of outcomes in injured
persons with severe trauma].
AB - Basing on data, concerning the injured persons state, the investigations results
for elaboration and application of statistic models, prognosticating the trauma
outcome, were analyzed. Selected information about 373 injured persons, who were
treated in Traumatic Shock Department, is presented. There was established, that
the statistical models elaborated is expedient to use together with other
qualitative and quantitative methods of prognostic determination of outcome in
severely injured persons.
PMID- 24923122
TI - [Investigation of mechanical properties of materials used for functional
stabilization in pilon fractures].
AB - Existing methods of surgical treatment of the pilon fractures do not provide
early functional rehabilitation of patients. The lack of confidence in secure
fixation of fragments in significant quantity of patients causes necessity to
apply a plaster immobilization during long time. While seeking possibilities of
early functional treatment of the pilon fractures there was proposed a theory of
"functional stabilization" (instead of "artificial", but necessary plaster
immobilization), materials and technologies for its realization. For
substantiating, from the biomechanical point of view, of expediency of a new
materials (Softcost, Scotchcost) application the data about their physic-chemical
properties were adduced, and in particular, there were studied the bowing values,
depending on loading, and modules of elasticity of these materials.
PMID- 24923123
TI - [Studying of cytokine dynamics in injured persons with severe burns for
estimation of severity and prognosis].
AB - Dynamics of a blood serum concentration of tumor necrosis factor-alpha (TNF-
alpha), interleukines (IL-1beta, IL-10) in 35 patients, suffering severe burn,
and possibility of application of these indices as biomarkers of the severity
state and the complications prognosis, were studied. During the investigation
there was established, that TNF-alpha concentration on the 8 - 10th and 19 - 21th
day after trauma does the best for characterization of a burn total area, while
the IL-1beta concentration on the 8 - 10th day after trauma - the deep affections
severity and the IL-10 concentration on the 19 - 21th day after trauma - the deep
affections severity. Hyperreactivity, caused by enhanced production of TNF-alpha,
IL-1beta and IL-10, witnesses the raised risk of development of the organs
complications. And adversely, hypo- and areactivity in extended and deep burns
witnesses about enhanced risk of lethality. The blood serum concentration of TNF
alpha, IL-1beta and IL-10 is expedient to use as a biomarkers of the patients
state severity and for the course prognosis of pathological process.
PMID- 24923124
TI - [New approaches to the treatment of a ligature fistulas].
AB - Original method of treatment of a ligature fistulas was proposed, using the
ligature taking out via fistular passway or doing the cutaneous cutting under
continuous ultrasonographic control. The efficacy and safety of the method,
comparing with existing methods (probing "blindly" and excision of the fistula),
was estimated. Original method owes advantage of possibility to visualize the
ligatures and instruments on all stages of the intervention, small need in
anesthesia, good medical-statistical indices. The method proposed constitute a
clinically beneficial intervention and more effective one.
PMID- 24923125
TI - [Application of intravenous total anesthesia in "small" surgical interventions in
children].
AB - Results of operative treatment of 458 children, ageing 3 - 15 yrs, using various
interventions with intravenous total anesthesia, were analyzed. Application of
the anesthesia scheme proposed, consisting of propofol, ketamine and phentanyl
combination, have had permitted to guarantee an adequate anesthesiological
defense while performance of "small" operative interventions in children.
PMID- 24923126
TI - [A model of inflammatory process in the abdominal cavity in experiment].
AB - The model of chronic inflammatory process in abdominal cavity was elaborated in
experiment on big laboratory animals. Intraoperatively in 12 laboratory pigs a
chronic infiltrate was formatted between adducting and abducting intestinal loops
with its mesenterium; in a region of gastroduodenal ligament and liver; between
spleen and great omentum. Dynamics of the infiltrate formation was studied on the
60th, 90th, 120th and 180th day in accordance to data of bacteriological and
histological investigations. Application of the method proposed permits to create
chronic infiltrate with prognosticated course, to reduce the experiment duration
and quantity of experimental animals used.
PMID- 24923127
TI - [Effect of cytokines and stromal cells of adipose tissue on integration of a two
component composite net imlant into biological tissues].
AB - Morphological changes in biological tissues, surrounding the composite net-like
implant, owing large pores "Ultrapro", and also its combination with adipose
transplant, fibrin, enriched with thrombocytes, were studied in experiment on 36
adult male rats of a Wistar line. While application of such construction the
processes of creation and organization of connective tissue, neoangiogenesis as
well as development of a new adipose tissue are improved. As a consequence of
increase of concentration of highly active biological substances and regenerative
cytokines in combination of the net implant with adipose transplant, containing
multipotent stem cells, proliferative activity of all cellular elements,
surrounding the net implant, is raising, what predispose its optimal integration
into surrounding tissues.
PMID- 24923128
TI - [Pancreatic pseudocysts: diagnosis and treatment].
PMID- 24923129
TI - [Mini-invasive interventions for biliary calculous disease complicated by acute
cholangitis and mechanical jaundice].
PMID- 24923130
TI - [Intracystic localization of gallbladder in a patient with acute calculous
phlegmonous cholecystitis].
PMID- 24923131
TI - [Posterior reversible encephalopathy syndrome following a liver transplantation
from a living donor].
PMID- 24923132
TI - From brain science to bookworms.
PMID- 24923134
TI - Young children in the child welfare system: what factors contribute to trauma
symptomology?
PMID- 24923135
TI - The impact of prevention Programs on decisions in child protective services.
PMID- 24923133
TI - A translational neuroscience perspective on the importance of reducing placement
instability among foster children.
PMID- 24923136
TI - The development of child protection supervisors in Northern British Columbia.
AB - This research involved three different groups of employees in a provincial
government organization responsible for the delivery of child welfare services
throughout northern British Columbia. The research sought to understand the
skills that are most important to supervision, how the skills can be developed,
and the barriers that might impede this development.
PMID- 24923137
TI - Parent representation model in child safety conferences.
AB - Child welfare-involved parents are often isolated and lack support and skills to
navigate complex systems. Programs using a parent representation service model in
child protection can offer an exceptional and critical form of support and
empowerment to birthparents, promote parental engagement and cooperation, and
teach system navigation skills. While there is a notable emphasis on an overall
family-centered and strength-based approach in child welfare, much progress is
still needed in giving voice to parents, empowering them, including them in
decisionmaking about the case, and seeking their cooperation. Funding should be
directed to recruiting more parent representatives to assist birthparents in
their communities as well to educating and training child protective services
workers by transferring values and strategies implemented by parent
representatives. Although additional research is certainly necessary, it appears
that programs such as the Child Welfare Organizing Project in New York City may
be an important step toward building partnerships with families impacted by the
child welfare system in promoting child safety, well-being, and permanency.
PMID- 24923138
TI - The bookworm club: the implementation story of an evidence-informed literacy
program for children residing in out-of-home care in Ontario.
PMID- 24923139
TI - [Prognostication of gastroduodenal ulcer course complicated by hemorrhage].
AB - Dynamics of the blood serum level of serotonin in the patients, suffering
gastroduodenal ulcer, Complicated by hemorrhage, was analyzed. The highest level
of serotonin was observed in gastric ulcer, complicated by hemorrhage. These
changes correlate with the blood loss severity enhancement, the achievement of a
nonstable state of endoscopic hemostasis, high activity of inducible NO-synthase
(iNOS) of periulcerative mucosa. The obtained data analysis permits to
prognosticate the pathological process course and to improve the program of
treatment.
PMID- 24923140
TI - [Estimation of efficacy of the elaborated algorithm of differential diagnosis and
treatment of acute mesenterial ischemia].
AB - Clinical efficacy of the elaborated algorithm for differential diagnosis and
treatment was studied, basing on analysis of the main diagnostic clinical
features for presence of an acute mesenterial schemia (AMI), the concomitant
diseases identification as the risk factors for the AMI occurrence, the results
estimation of accessible noninvasive and invasive methods of diagnosis,
correlation between the patient's survival indices and conservative and operative
treatment, depending on the AMI stage and the patient state.
PMID- 24923141
TI - [The choice of hernioplasty method in elderly and senile patients with
noncomplicated inguinal hernia].
AB - Complex examination and surgical treatment was performed in 220 elderly and
senile patients for various types of inguinal hernia (IH) in accordance to Nyhus
classification. In 103 patients (the main group) hernioplasty was performed
according to Lichtenstein method or using modifications, proposed by us; in 117
(the comparison group)--the tension hernioplasty, using the patient's tissues.
According to data of morphological investigation of the inguinal channel musculo
aponeurotic structures in 24 patients there was established, that in the oblique
IH formation a biochemical instability of the connective tissue structures plays
a leading role, while in a direct type of IH--the reduction of muscular fibrils
quantity, lowering their elasticity and a contractile answer velocity.
Application of a tension-free methods of plasty in elderly and senile patients is
pathogenetically substantiated, it permits to reduce the hospital stay, the
physical rehabilitation duration, the complications and recurrences rate, and to
improve quality of life in remote follow-up period after operative intervention.
PMID- 24923142
TI - [Femoral hernia in elderly and senile patients, peculiarities of surgical
tactics].
AB - The results of surgical treatment of 74 elderly and senile patients, suffering
femoral hernia (FH), were analyzed. In 39 (52.7%) of them intestinal
incarceration was noted. The most effective procedures have appeared those, which
incorporate application of polypropylene implants for the femoral ring
strengthening while hernioplasty performing in elderly and senile patients.
Application of transabdominal preperitoneal endovideohemioplasty constitutes a
perspective direction in surgical treatment of FH.
PMID- 24923143
TI - [Application of ozonated perftoran in the treatment of spreaded peritonitis].
AB - Results of surgical treatment of 114 patients, suffering spreaded peritonitis of
various etkoogy, were analyzed. To patients of the comparison group basic
conservative therapy was conducted. In patients of the main group was applied
intravenous infusion of ozonated perftoran (OP) in 1 mg/kg dose, concentration of
ozone 5 mg/l, solely or together with metabolic immunomodulator and detoxicator
glutoxim. To all the patients the routine clinical investigations were conducted:
in the blood erythrocytes there were measured concentration of diane conjugates,
of malonic dialdehyde, activity of catalase, content of the middle molecular mass
peptides in blood and urine, as well as of rest nitrogen, urea, creatinine,
common bilirubin, state of the protein and electrolyte balance. Basing on
analysis of results of the conducted investigations there was revealed, that
combined, local and systematic, application of OP and glutoxim together with
basic therapy in spreaded peritonitis is pathogenetically substantiated.
PMID- 24923144
TI - [Multivariate analysis of risk factors of the anal functional disorders after
abdominal-anal resection with delayed formation of colonic-anal anastomosis].
AB - Multivariate analysis of clinical factors was conducted on the material histories
of 195 patients treated between 2004 and 2006. In Donetsk regional antitumor
center, which for rectal cancer (RC) is made abdomino-anal resection of the
rectum with the delayed formation koloanal anastomosis after bringing down the
colon to the perineum. In 97 patients the operation was performed with the use of
electric welding soft tissue (EWST), in 98--a standard way. Studied the quality
of life of patients using a questionnaire QLQ C30-CR38 and the function of the
anal incontinence (Wexner scale). It is established, that the greatest influence
on the function of the anal continence have a view of a combined and complex
treatment, radicality intervention, the method of forming koloanal of
anastomosis. Application EWST the second stage of surgery to cut off excess
relegated intestine helped reduce the risk of poor function of the anal
continence.
PMID- 24923145
TI - [Use of antiseptic dekasan in complex treatment of peritonitis].
AB - Experience in treatment of 91 patients with peritonitis on various genesis using
antiseptic Dekasan are presented. A marked clinical efficacy compared with that
of other antiseptics in the complex treatment of peritonitis was noted.
PMID- 24923146
TI - [Complications after therapeutic dissection of the neck in the treatment of
differentiated thyroid gland cancer].
AB - There were analyzed retrospectively 1078 patients, operated on for differentiated
cancer of thyroidal gland (DCTHG) in 2009-2012 yrs in the Centre, who have had
following surgical complications: the injury of the X, XI, XII cranial nerves
pairs, of the motor and sensitive branches of cervical and brachial plexuses,
lymphorrhea, hypoparathyrosis and hematoma of the neck. In 868 patients the
primary operations were performed, 304 - were operated for the recurrent forms.
In 271 patients (the comparison group) thyroidectomy was performed, in 884 (the
main group)--thyroidectomy and different kinds of the neck dissection, in 121-
the operation was done, using neuromonitoring. Therapeutic dissection of the neck
-the central and lateral one, as well as primary and repeated, may be performed
relatively safely in patients, suffering DCTHG. In reoperations the rate of
injury of the X, XI, XII cranial nerves pairs, of the motor and sensitive
branches of cervical and brachial plexuses is increasing. Application of
intraoperative electroneuromonitoring for identification of peripheral nerves
permits to lower the neurotrauma occurrence.
PMID- 24923147
TI - [Incidence of anaplastic tumor in structure of other histologic forms of the
thyroid gland cancer].
AB - The degrees of invasiveness, proliferative activity, morphofunctional activity of
nuclei in the thyroidal gland tumors were studied, while analyzing material,
obtained in 1343 patients, suffering thyroidal gland cancer (THGC) and operated
on in 2000-2013 yrs. Morphological point quantity of malignancy (as a criterion
of the tumor progression grade) and mitotic activity in cellular population were
determined in various kinds of THGC. Undifferentiated (anaplastic carcinoma) type
of THGC is the most malignant one. There were determined a spindle-like, giant
cell and squamous-cell forms of undifferentiated THGC. The presence of sites of
differentiated cancer in 33% of histological preparations witnesses the
interrelationship with the earlier existed pathological process.
PMID- 24923148
TI - [The role of tumoral stroma in prognosis of course of luminal mammary gland
cancer type A].
AB - Peculiarities of tumoral stroma, the indices of overall survival (OS) and disease
free survival (DFS) were studied up in patients, suffering luminal mammarial
gland cancer MGC type A. If the stroma have had occupied more than 50% of the
tumor square, the OS and DFS indices were the highest. The link was established
between the OS and DFS indices, the stromal square and expression of the MGC
cells toward the estrogen and progesterone receptors. The pronounced stromal
reaction was connected with the OS index improvement even in a less pronounced
expression to estrogen and progesterone.
PMID- 24923149
TI - [Modern algorithms of diagnosis of benign tumors of the mammary gland: the role
of molecular-genetic methods].
AB - Experience of treatment in 2010-2012 yrs of the patients, suffering mammarial
gland tumors, in The Center of Reconstructive and Restoration Medicine (The
University Clinic) of The Odessa National Medical University was analyzed. There
were examined 143 women with morphologically confirmed mammarial gland cancer
(MGT), 56--benign mammary gland tumors and 50 healthy women. Molecular-genetic
investigation was performed in the patients-women: there were determined the gene
C634G polymorphism of VEGF and of the gene G308A of TNF--a with subsequent
estimation of correlation of the mutations quantity and the mammarial gland
diseases rate. Algorithm of differential diagnosis of benign tumors must include
estimation of polymorphism of the VEGF gene C634G. While revealing of the
heterozygous or homozygous bearers of mutation with the gene C634G polymorphism
of VEGF the risk of the MGC occurrence is enhancing, what may serve as additional
criterion for expedience for conduction of operative treatment in such patients.
PMID- 24923150
TI - [Correlation of clinico-neurological peculiarities and morphological signs of
small hernias (protrusion) of the lumbar intervertebral discs in formation of
discogenic pain syndromes in patients of different age].
AB - Morphological signs of small hernias (protrusion) of intervertebral discs (IVD),
the results of a neurovisualizing methods of investigation and clinico
neurological features of osteochondrosis as well, were summarized. In young and
middle age patients morphological chatacteristics of small hernias (protrusion)
of IVD, data of neurovisualizing methods of investigation have had correlated
with clinico-neurological features of the disease and were the key determinants
while performance of the puncture laser microdiscectomy. In a middle age and
elderly patients a weak correlation was noted between the IVD protrusion
dimensions and intensity of a lumbar and radicular pain syndromes, functional
activity (Osvestry index), what have had permitted to apply a pathogenetically
substantiated method of a durable epidural pharmacotherapy. The investigation
results witness the necessity of differentiated application of the puncture
methods of treatment for the pain discogenic syndromes in patients of different
age.
PMID- 24923151
TI - [Anesthesiological support during nephrectomy in living related donors].
AB - International demands exist for the patients safety guaranteeng, in the live
donors of organs peculiarly, who consciously agreed to be operated on with the
organ excision for the patient sake. That's why it is important to elaborate
optimal measures of safety for such operative intervention, including
anesthesiological support for donors of kidney. Advantages of modern anesthetics
application, ingalation introduction of sevofluran and intravenous injection of
propophol over standard analgesia were discussed. The investigation was conducted
in 53 live familial donors of kidney. Application of the recommended tactics have
permitted to reduce the risk of occurrence and rate of complications in these
patients.
PMID- 24923152
TI - [Transperitoneal and transvaginal hysterectomy using devices of the dosed high
frequency electrical current].
AB - The experience of application of apparatuses of the dosed high-frequency electric
influence EK300M-1 "Patonmed" and energetic platform "Forcetriad", manufactured
by Valleylab firm, during hysterectomy performance, using various surgical
accesses, was presented. The variants of the applied regimens, depending on the
vessels diameter and coexistant diseases, were proposed.
PMID- 24923153
TI - [Anaerobic nonclostridial infection of pelvis: classification and its practical
application].
AB - Anaerobic nonclostridial infection of pelvis are analysed in 89 patients that
were on treatment in the department of festering surgery in 1999-2012 years.
Clinical classification of disease is offered and it is applied in practice for
dividing of research array into clinically-morphological groups with the aim of
the differentiated going near a surgical treatment.
PMID- 24923154
TI - [The choice of optimal method of the closure of soft tissue defects in the deep
injuries of the extremities].
AB - Comparative analysis of the treatment results in 52 patients, suffering deep
defects of the coverage tissues of extremities, was done, basing on applying of
flaps with temporary and constant nutrent pedicle. The extremity functional state
and the patient's quality of life were determined, using SF-36 and EUROQOL-5D
questionnaire. The method of plasty, using the flap on a constant nutrient
pedicle, have appeared the optimal one for closure of defects in the coverage
tissues of the extremities.
PMID- 24923155
TI - [Immediate and long-term results of surgical treatment of patients for traumatic
mandibular fracture].
AB - The analysis of immediate and long-term results of the surgical treatment of 286
patients, operated for traumatic mandibular fractures in the Department of Oral
and Maxillofacial Surgery, National O. O. Bogomolets Medical University. In 67%
of patients the anatomical shape of the bone was adequately restored. The
presence of residual displacements in other cases was determined by the fracture
type and localization, the technical complexity of the surgical intervention,
lack of fixation rigidity under certain functional load conditions. In long terms
of observation the infection and inflammatory complications were observed in
13.4% of patients, delayed unition and non-unition of bone fragments occurred--in
4.7%, arthosis with persistent dysfunction of the temporomandibular joint--in
6.7%, fibrous ankylosis--in 1.3%. Secondary displacement of fragments was
observed in 23.5% of patients due to insufficient stiffness and reliaability of
the bone-fixatorsystem. The non-precise reposition of fragments and secondary
displacements in the early and late postoperative period were the main cause of
occlusal disturbances of various severities, noted in 28% of operated patients,
limitation of mouth opening (10%), TMJ disorders and changes in masticatory
stereotype (33%), the sensation of pain and discomfort in tough food chewing
(35%). A statistical analysis of the effectiveness of different osteosynthesis
methods depending on the type and localization of the fracture was carried out
and recommendations for usage of fixation devices in clinical practice were
given.
PMID- 24923156
TI - [Pathomorphological peculiarities of hemomicrocirculatory bed of the small and
large intestine in acute peritonitis].
AB - Experimental comparative morphological investigation of hemomicrocirculation bed
(HMCB) of the small and large bowel wall was performed in dynamics of an acute
serous peritonitis. Spreaded aseptic peritonitis was simulated using injection of
5 ml of gamma-caraginen (Sigma, USA) in 1 ml of isotonic solution of sodium
chloride. On the early stage of peritonitis (in 12 h from beginning of the
experiment) in mucosa of small bowel nonsignificant venuls dilatation and the
capillary lumen reduction were observed. In 1 day (reactive stage of peritonitis)
in mucosa the quantity of capillars have had reduced significantly, comparing
with such observed previously. On the 2-nd day (toxic stage of peritonitis) some
capillary dilatation in intestinal villi and crypts coexistant with the blood
rheology disorders in a form of stasis, change in permeability of the vessels
walls, predominantly of the venous, was noted. On the 3-d day (late stage) the
arteriol's spasm have had reduced, capillary paralytic dilatation was revealed.
The staged course of experimental peritonitis with the HMCB changes,
characteristic for every stage, was confirmed, basing on analysis of the
investigation result.
PMID- 24923157
TI - [Physical methods to determine compatibility of implants].
AB - The effect of the living organism on the polymer materials used for the
manufacture of grids for implants. It is shown that the recipient's body is
actively working to exogenous material , destroying its surface layer and forms
around the implant insulating fibro-connective tissue capsule, the thickness of
which depends on the severity of the organism's reaction to a foreign body.
Proposed instrumental method of determining compatibility before surgery implant
material with the recipient using an atomic force microscope (AFM).
PMID- 24923158
TI - [Trocar hernias: etiology, treatment, prophylaxis].
PMID- 24923159
TI - [The external ear reconstruction using prelaminated flap in combination with the
tissue expression].
PMID- 24923160
TI - [Incarcerated postoperative hernia of the abdominal wall evolved after
laparoscopic cholecystectomy].
PMID- 24923161
TI - [Observation of medicinal granuloma of the soft tissues].
PMID- 24923162
TI - [Complete genome phylogenetic analysis of five H9N2 avian influenza viruses
isolated from poultry flocks in Qinghai lake region].
AB - Five H9N2 avian influenza virus strains were isolated from the environmental
samples in live poultry market in Qinghai Lake region from July to September,
2012. To evaluate the phylogenetic characteristics of these H9N2 isolates, the
eight gene segments were amplified by RT-PCR and sequenced. The phylogenetic and
molecular characteristics of the five strains were analyzed. The results showed
that the HA genes of five strains shared 93. 2%-99. 1% nucleotide identities with
each other, and the NA genes shared 94. 5%-99. 8% nucleotide identities. The HA
cleavage site sequence of the A/environment/qinghai/ 017/2012 isolate was
PSKSSRGLF, and the HA cleavage site sequences of the other four strains were all
PSRSSRGLF. The HA receptor-binding site had the Q226L mutation. The M1 gene
segment had the N30D and T215A mutations. The phylogenetic analysis showed that
the five strains were similar to the virus A/chicken/Hunan/5260/2005 (H9N2)
isolated in Hunan Province, China and were reassortant genotype viruses; the HA,
NA, and NS genes belonged to the Y280-like lineage; the MP gene belonged to the
G1-like lineage; the NP, PB1, PB2, and PA genes belonged to the F98-like lineage.
PMID- 24923165
TI - [Genetic characteristics of hemagglutinin in measles viruses isolated in Henan
Province, China].
AB - This study aims to investigate the genetic characteristics of hemagglutinin in
wild-type measles viruses in Henan Province, China and to provide a basis for
measles control and elimination. Specimens were collected from suspected measles
cases in Henan during 2008-2012. Cell culture was performed for virus isolation,
and RT-PCR was used to amplify hemagglutinin gene. The PCR products were
sequenced and analyzed, including construction of phylogenetic tree and analysis
of the distance between the isolated virus and the reference virus; then, the
variations in predicted amino acids were analyzed. The results showed that 12
measles viruses were isolated in Henan Province and identified as H1a genotype;
the nucleotide and amino acid homologies were 98.0%-100% and 97.2%-99.8%,
respectively. One glycosylation site changed in all the 12 sequences because of
the amino acid mutation from serine to asparagine at the 240th site, as compared
with Edmonston-wt. USA/54/A. Overall, the wild-type measles virus genotype
circulating in Henan Province from 2008 to 2012 was H1a, with high homology
between strains; there were some variations in amino acid sequences, resulting in
glycosylation site deletion.
PMID- 24923164
TI - [Establishment and primary application of a novel resequencing pathogen
microarray-based assay for detecting pathogens in patients with unexplained
diarrhea].
AB - In this study, a novel resequencing pathogen microarray (RPM)-based multi
pathogen detection assay was developed to simultaneously detect 14 rotaviruses, 7
caliciviruses, 8 astroviruses, 28 enteroviruses, and 16 rare diarrhea viruses in
patients with diarrhea syndrome. The specificity of the assay was examined using
confirmed virus-positive specimens, and the sensitivity was evaluated by serial
ten-fold dilutions of in vitro transcribed RNA. RPM assay could detect and
differentiate virus types/subtypes at 20-2000 copies/microL. The detection
threshold of RPM was determined by adjusting the reference concentration, and the
detection steps were optimized to type Enterovirus. The nucleic acids of 10 stool
samples from patients with unexplained diarrhea were screened, and 6 of them
showed positive results. The RPM results were further verified by singleplex PCR
followed by sequencing, and no difference was found between the two assays. In
conclusion, we have established a high-throughput RPM assay with high specificity
and sensitivity, which demonstrates a great potential for the identification of
pathogens in patients with unexplained diarrhea and the management of emerging
epidemic.
PMID- 24923166
TI - [Molecular epidemiological characteristics of HIV-1 strains isolated from newly
diagnosed MSM subjects (2006-2010) in Beijing, China].
AB - This study aims to analyze the molecular epidemiological characteristics of HIV-1
strains prevailing among men who have sex with men (MSM) in Beijing, China. The
pol gene fragments from 250 newly diagnosed HIV-1-infected MSM individuals during
2006-2010 in Beijing were amplified by RT-nested PCR, sequenced, and
phylogenetically analyzed. HIV-1 pol gene from 189 individuals were amplified and
analyzed; 81 (42. 9%), 3 (1. 6%), 2 (1.0%), 88 (46. 6%), and 15 (7.9%)
individuals were infected with HIV-1 subtypes B, B', C, CRF01_AE, and CRF07_BC,
respectively. The subtypes B and CRF01_AE could both be grouped into two
clusters, and CRFO7_BC strains shared high homology and were presumed to
originate from a common ancestor. The HIV-1 circulating in MSM in Beijing had a
lower genetic diversity than in heterosexuals. The HIV-1 epidemic (2006-2010) in
MSM in Beijing was actually a rapid spread of HIV-1 CRF01 AE and B, or rather
native strains of the two viruses.
PMID- 24923167
TI - [Genetic characterization of echovirus 6 isolated from meningitis and
encephalitis cases in Shandong Province, China].
AB - To analyze the genetic characteristics of echovirus 6 (E6) isolated from
meningitis and encephalitis cases in Shandong Province, China, we collected
cerebrospinal fluid samples from meningitis and encephalitis cases in Shandong
Province from 2007 to 2012 for virus isolation. Viral RNAs were extracted from
positive isolates, and complete VP1 coding regions were amplified by RT-PCR and
sequenced. Homology comparison and phylogenetic analysis were performed. Six
isolates were identified as E6 by microneutralization assay and molecular typing.
The homology analysis showed that the six isolates had 78. 6%-99. 8% nucleotide
and 95. 5%-100. 0% amino acid identities with each other, as well as 76. 9%-78.
4% nucleotide and 92. 3%-95. 1% amino acid identities with the prototype strain
(D' Amori). The phylogenetic analysis based on the integrated VP1 sequences
indicated that all Shandong E6 isolates could be separated into four clusters,
designated as A, B, C, and D. The six E6 isolates belonged to clusters A, B, and
D. Our study reveals high genetic differences between Shandong E6 isolates and
suggests different transmission lineages of E6 co-circulated in Shandong
Province.
PMID- 24923168
TI - [The association between polyomaviruses JC infection and gynecologic tumors].
AB - The JC virus is a widely infected human polyomavirus. Recent foreign researches
showed that the JC virus infection is correlated with tumors of nervous system
and digestive system, while, and study on the relationship between JC virus
infection and gynecological tumor is seldom reported. In this study, we first
establish the nucleic acid detection methods and procedures for JC virus and its
highly homologous BK virus. The JC and BK viruses infection was evaluated by
detect the viral DNA in samples including biopsy tissues, serum as well as urine
of myoma of uterus (98 cases), cervical cancer (84 cases), endometrial cancer (40
cases) and ovarian tumor (72 cases) patients. The BK viral DNA positive rate was
significantly higher in urine samples than that of blood and biopsy samples, and
there is no significant difference of the BK viral DNA positive rate among all
patient groups. The JC viral DNA positive rate is almost 0 in serum samples and
biopsy. tissues, however, viral DNA positive rate is more than 50% in urine
samples. In fibroids group, the JC viral DNA positive rate is up to 65. 3% which
is significantly higher than that in other patients groups and healthy control.
Further gynecological tumor associated viruses detection showed that only human
papilloma virus infection is associated with cervical cancer, the herpes simplex
virus, EB virus and cytomegalovirus infection is extremely low in our patient
groups. No synergistic effect on gynecological tumor caused by viruses co
infection was observed. Our study showed that JC virus infection is highly
related to the pathogenesis of uterine fibroids.
PMID- 24923169
TI - [Morphogenetic study of human adenovirus type 41 in 293TE cells].
AB - To investigate the morphogenetic process of human adenovirus type 41 (HAdV-41),
293TE cells were infected with purified wild-type HAdV-41, and ultrathin sections
of infected cells were prepared and observed under a transmission electron
microscope. Results showed that HAdV-41 entered host cells mainly through three
ways: non-clathrin-coated pit, clathrin-coated pit, and direct penetration of
plasma membrane. In addition, cell microvilli might help HAdV-41 enter cells.
After entering into cells, HAdV-41 virus particles could be found in vacuoles or
lysosomes or be in a free state in cytoplasm. Only free virus particles could be
found near nuclear pores (NP), suggesting that the virus needed to escape from
lysosomes for effective infection and viral nucleoprotein entered the nucleus
through NP. Progeny viruses were as-sembled in the nucleus. Three types of
inclusion bodies, which were termed as fibrillous inclusion body, condense
inclusion body, and stripped condense inclusion body, were involved in HAdV-41
morphogenesis. In the late phase of viral replication, the membrane integrity of
the infected cells was lost and viral particles were released extracellularly.
This study reveals the partial process of HAdV-41 morphogenesis and provides more
biological information on HAdV-41.
PMID- 24923170
TI - [Genotypes and serotypes of avian infectious bronchitis viruses isolated during
2009-2011 in Guangxi, China].
AB - In order to investigate the prevalence and track genetic and antigenic evolutions
of infectious bronchitis virus (IBV) and their prevalence in Guangxi, China since
1985, gene amplification and sequencing and virus neutralization (VN) test on
chicken embryo tracheal organ cultures were used in genotyping and serotyping of
28 IBV isolates during 2009-2011 in Guangxi. The results of N gene sequencing and
comparison showed that the 28 isolates and reference strains were classified into
three groups, and most isolates belonged to group Ill, while the isolates in 1985
2008 belonged to groups IV and II. The data of VN test indicated that the 28
isolates belonged to 6 serotypes; among them, 71. 4% belonged to serotypes 1, 2,
and 3, and 11 (39.3%) shared the same serotype with the current vaccine strains.
Given the data of our previous study, it is found that prevalent serotypes and
their proportions varied in different areas of Guangxi and during different
periods. These data lay a good foundation for developing an oil-emulsified
inactivated polyvalent vaccine containing local dominant serotypes for the
effective prevention and control of infectious bronchitis.
PMID- 24923171
TI - [Value of CODEHOP RT-pCR in detection of Flavivirus].
AB - This study aims to analyse the value of CODEHOP RT-PCR in the detection of
Flavivirus. According to the amino acid sequences of polyproteins of different
flaviviruses published in GenBank, a pair of primers was designed using the
CODEHOP method. One-step RT-PCR was used to detect Japanese encephalitis virus
strain JEV1201, Dengue virus strain JKD001, and yellow fever virus vaccine
YV6161. BLAST analysis and phylogenetic analysis were performed after the RT-PCR
products of nucleocapsid genes were sequenced. The results showed that this
method could amplify Flavivirus specifically, and the size and sequence of the
target fragment accorded with the anticipated result. JEV1201 had the highest
homology to Japanese encephalitis virus strain YL2009-4/YC2009-3, belonging to
the branch of the phylogenetic tree of Japanese encephalitis virus strains.
JKD001 had the highest homology to Dengue virus strain DENV-2/ID/1022DN/1975,
belonging to the branch of the phylogenetic tree of Dengue virus strains. YV6161
had the highest homology to Yellow fever virus strain 17D, belonging to the
branch of the phylogenetic tree of Yellow fever virus strains. In conclusion, the
method of CODEHOP RT-PCR can be effectively used to detect, identify, and
phylogenetically analyse Flavivirus.
PMID- 24923172
TI - [Study on challenge dose of pigeon paramyxovirus type 1 (Chuansha strain)].
AB - In order to determine the challenge dose of pigeon paramyxovirus type 1 (PPMV-1)
inactivated vaccine (S-1 strain). The virus titer of PPMV-1 E5 allantoic fluid
(Chuansha strain) was determined using SPF chicken embryos in this research.
After inoculating 30-day-old and 120-day-old pigeons with low-HI antibody against
PPMV-1 (HI antibody < or =2) with different doses of PPMV-1 (Chuansha strain),
the clinical symptoms and histopathological lesions of the challenged pigeons
were examined. The results showed that the minimal lethal dose (MLD) of PPMV-1
(Chuansha strain) was 102.5 ELD50, so we determined that 10(5.5) ELD50, which was
1000 times the MLD, could be taken as the challenge dose in the vaccine efficacy
test for PPMV-1 inactivated vaccine (S-1 strain).
PMID- 24923173
TI - [Research progress in human enterovirus recombination].
AB - Complex genetic variation has been known to occur during the transmission of
human enterovirus (HEV), and the HEV virulence and pathogenicity enhanced by
genetic recombination also pose a serious threat to human health. In recent
years, the interest in recombination mechanism of genetic plasticity has been
renewed with the emergence of pathogenic recombinant circulating vaccine-derived
polioviruses, which were implicated in poliomyelitis outbreaks in several regions
of the world with insufficient vaccination coverage. This paper reviews recent
research progress in HEV genome, including evolutionary characteristics,
recombination types, and in vitro recombinant construction.
PMID- 24923163
TI - [Whole genome analysis of human group A rotavirus G9p[8] strains in Hebei lulong
region, 2009-2011].
AB - Abstract:This study aims to investigate the genetic characteristics of group A
rotavirus (GARV) G9P[8] strains from infantile diarrhea samples in Hebei Lulong
region from 2009 to 2011. We randomly selected five GARV G9P[8] strains in Hebei
Lulong region from 2009 to 2011, amplified the 11 gene fragments of GARVs by RT
PCR, and analyz their full-genome sequences by homology and phylogenetic analysis
with DNAStar and MEGA. The nucleotide homology between strains LL11131077 and
LL11131083 in 2011 was significantly higher than hat etween them and the other
three strains in 2009 and 2010. The G9P[8] GARVs circulating in Hebei Lulong
region from 2009 to 2011 elenged to the same genotype as the prevalent G9P[8]
GARVs in other parts of the world. However,the two strains in 2011, compared with
those in 2009 and 2010, were located in a different sub-branch of the
phylogenetic tree and had amino acid mutations at many sites.
PMID- 24923174
TI - [Research progress in mechanisms of cellular entry of Japanese encephalitis
virus].
AB - Japanese encephalitis virus (JEV) is a pathogenic mosquito-borne flavivirus which
is responsible for outbreaks of severe viral encephalitis. The cellular entry of
JEV is a prerequisite for Japanese encephalitis, so the understanding of its
underlying mechanisms will provide more approaches for treating such disease. In
recent years, increasing research has been conducted to investigate the
mechanisms of cellular entry of JEV, and the results of research on other
flavivirus have expanded the research directions for JEV. More methods will be
used to suppress JEV infection because of the development of E protein antibodies
and the discovery of several inhibitors of the cellular entry process. This
review will summarize the recent advances in the mechanisms of JEV cellular entry
and membrane fusion.
PMID- 24923175
TI - [Research progress in human adenovirus].
AB - Human adenovirus (HAdV) is one of the most important pathogens in infants and
young children with acute respiratory infections and other diseases. This article
reviews the literature on HAdV, including its molecular biological
characteristics, detection and typing, and pathogenic mechanism, the clinical
features and epidemiological characteristics of HAdV-related diseases, and the
prevention and control of HAdV infections. So far, 67 types of HAdV have been
identified, including recombinant variants discovered in recent years. The major
epidemic strains that cause acute respiratory infections are HAdV-3 and HAdV-7,
both of which belong to the subgroup B. HAdV often leads to acute respiratory
infections, but it also causes diseases of other systems. HAdV-related diseases
have similar clinical manifestations as those caused by other respiratory
viruses, but often accompanied by gastrointestinal symptoms. The pathogenic
mechanism of HAdV remains unclear, especially for the new recombinant variants,
due to few studies on their association with diseases. Because there are no
prospective, large randomized controlled trials of HAdV infections, the treatment
of HAdV infections is controversial. Vaccine is the most effective measure to
reduce respiratory HAdV infections, but it is still not commercially available.
PMID- 24923176
TI - [Research progress in roles of high-risk human papillomavirus E2 protein].
AB - High-risk human papillomavirus (HPV) is the principal cause of various cancers
including cervical cancer, anal cancer, vulvar cancer, and some head and neck
cancers. In the viral life cycle, by interacting with both viral and host DNA and
proteins, the HPV E2 protein plays a pivotal role in viral transcriptional
regulation and DNA replication, and it is also associated with modification of
various cellular processes, including host gene transcription, RNA processing,
apoptosis, ubiquitination, and intracellular trafficking, to create a convenient
environment for a replicative cycle of the virus and contribute to the HPV
pathogenesis. Elucidating the roles of E2 protein throughout the viral life cycle
will improve our understanding of the viral life cycle and pathogenesis and help
us identify novel antiviral agents with therapeutic potential. This article
reviews the research progress in the structure, roles, and activity of high-risk
HPV E2 protein, particularly that of HPV-16.
PMID- 24923177
TI - [Research advances in herpesviruses glycoprotein N gene and its encoded protein].
AB - Glycoprotein N is encoded by glycoprotein N (gN) gene of herpesviruses. The amino
acid composition and expression level of this protein vary among difference
species of herpesviruses. According to present studies, gN protein is expressed
in cytoplasm of host cells, mainly in endoplasmic reticulum. The gN forms a
complex with glycoprotein M in host cells. The complex is involved in the
processes of viral replication and inter-cellular infection. Moreover, this
protein plays a role in immune evasion from host immune system. The study will
provide a theoretical basis for further study of herpesvirus gN gene and its
encoded protein.
PMID- 24923178
TI - [Advances in reverse genetics-based vaccines of foot and mouth disease].
AB - Reverse-genetic engineering of foot and mouth disease virus (FMDV) can improve
the productivity, antigen matching, antigen stability, immune response ability,
and biological safety of vaccines, so vaccine candidates with anticipated
biological characteristics can be promptly achieved. Negative influence in taming
of virulent strains can also be decreased or avoided. Reverse genetics not only
make up for deficiencies like limitation of viral nature, low success rate, and
time and energy consuming, but also realize more active designing of vaccines.
Therefore, reverse genetics is significant in improving integral quality and
efficiency of vaccines. In this review, we use FMDV vaccines as an example to
summarize improvement in biological characteristics of virulent strains and
provide a reference for related researches.
PMID- 24923179
TI - Deep-water anoxygenic photosythesis in a ferruginous chemocline.
AB - Ferruginous Lake Matano, Indonesia hosts one of the deepest anoxygenic
photosynthetic communities on Earth. This community is dominated by low-light
adapted, BChl e-synthesizing green sulfur bacteria (GSB), which comprise ~25% of
the microbial community immediately below the oxic-anoxic boundary (OAB; 115-120
m in 2010). The size of this community is dependent on the mixing regime within
the lake and the depth of the OAB-at ~117 m, the GSB live near their low-light
limit. Slow growth and C-fixation rates suggest that the Lake Matano GSB can be
supported by sulfide even though it only accumulates to scarcely detectable (low
MUm to nm) concentrations. A model laboratory strain (Chlorobaculum tepidum) is
indeed able to access HS- for oxidation at nm concentrations. Furthermore, the
GSB in Lake Matano possess a full complement of S-oxidizing genes. Together, this
physiological and genetic information suggests that deep-water GSB can be
supported by a S-cycle, even under ferruginous conditions. The constraints we
place on the metabolic capacity and physiology of GSB have important
geobiological implications. Biomarkers diagnostic of GSB would be a good proxy
for anoxic conditions but could not discriminate between euxinic and ferruginous
states, and though GSB biomarkers could indicate a substantial GSB community,
such a community may exist with very little metabolic activity. The light
requirements of GSB indicate that at light levels comparable to those in the OAB
of Lake Matano or the Black Sea, GSB would have contributed little to global
ocean primary production, nutrient cycling, and banded iron formation (BIF)
deposition in the Precambrian. Before the proliferation of oxygenic
photosynthesis, shallower OABs and lower light absorption in the ocean's surface
waters would have permitted greater light availability to GSB, potentially
leading to a greater role for GSB in global biogeochemical cycles.
PMID- 24923180
TI - Aspects of "fear" in Japanese quail chicks (coturnix coturnix Japonica)
genetically selected for different levels of locomotor activity.
AB - Domestic chicks selected for high and low locomotor activity in a novel
environment have low and high levels of fearfulness, respectively. Using four
commonly employed methods of estimating fear, namely emergence, open-field,
response to a bell and tonic immobility tests, we found a similar effect in lines
of Japanese quail selected for high and low locomotor activity, i.e. the active
line appeared the least fearful. The present findings demonstrate that this
effect is common to two gallinaceous species and two selection procedures.
PMID- 24923181
TI - Plumage phenotypes and mating preferences in Japanese quail.
AB - A series of trials was conducted to study the relationship of plumage phenotype
with choice of mate. Males which exhibited preferences tended to choose either
females of their own kind, those of a plumage color with which they had prior
experience, or those of the darker hue in a choice situation. Wild-type males
which had no prior experience with albino females, tended to avoid albinos in
preference to wild-type hens. This preference persisted when the head and neck
plumage of albinos was dyed black, but when the body plumage of the albinos was
dyed black, wild-type males did not exhibit a preference between them and wild
type females. The data suggest that body plumage color is a factor in the male
choice of females.
PMID- 24923182
TI - Daily patterns of courtship and mating behavior in the male Japanese quail.
AB - Crowing behavior was monitored constantly in male Japanese quail housed singly
over 30 successive days. The photoperiod was 16h of light and 8 h of dark. A
daily pattern in crowing was observed in which the frequencies were elevated in
the afternoon and at the beginning of darkness. However, peak crowing occured 2 h
prior to the onset of light. These rhythms were highly correlated among
individuals and extremely repeatable over the sequential days of observation. In
a second experiment, males which were paired with females were observed for
frequencies of crowing, courtship, and mating behavior during the lighted portion
of the day. In this experiment, the same photoperiod (16L:8D) was maintained.
Paired males exhibited a daily pattern in crowing similar to that observed in the
singly housed males. The frequency of mating was the highest between 1200 and
1300 h and lowest at 1400 h. Mating success was highest at midday, as were the
number of males exhibiting mating behavior. These diurnal patterns in sexual
behavior may depend on environmental cues such as photoperiod, which, in turn,
may stimulate endocrine triggers.
PMID- 24923183
TI - Learning of submissive behavior in mice: A new model.
AB - The experience of winning or loosing fights plays an important role in subsequent
aggressive or submissive behaviors. In this study agonistic behavior of male mice
was chosen to investigate learning mechanisms in the context of a biologically
meaningful situation. An ICR mouse introduced into a group of five C57BL/6 mice
was attacked by mice of high social status (Fighter, F), but not by lower ranking
animals (Non-Fighter, NF). On this basis the following model was developed to
study learning of submissive behavior. Day 1 (baseline trial): An ICR mouse was
introduced to a single NF-C57 mouse. Few submissive behaviors (crouch) were
observed in naive ICR mice upon contact with NF-C57 mice. Day 2 (learning trial):
The same ICR mouse was defeated by an F-C57 mouse until it showed defensive
upright posture upon approach. This criterion was reached after a mean latency of
3.5 min and after being exposed to a mean number of 14 bites. Day 3 (retest
trial): The same pairs as on day 1 confronted each other. Without being attacked,
the ICR mouse showed a significant increase of submissive behavior (crouch,
defensive sideways and upright) upon mere contact with the NF-C57 mouse when
compared to day 1 and to control mice on day 3. Controls, confronted on all three
days with NF-C57 mice, showed no increase in submissive behaviors. The results
are discussed in terms of acquisition, memory, retrieval and extinction of
learned submissive behavior. It is suggested that the mechanisms underlying
learning of submissive behavior include generalization of conditioning and
specific extinction processes. The further use of the learning scheme to assess
drug effects is illustrated.
PMID- 24923184
TI - Attraction of adult tribolium confusum to flour conditioned by male conspecifics.
AB - Adult Tribolium confusum, deprived of food for 6 days, were confronted with (1) a
choice between fresh flour and flour conditioned by male conspecifics and (2) a
choice between fresh flour and flour conditioned by female conspecifics. Both
sexes, whether in mixed groups or in unisexual groups, preferred flour
conditioned by males to fresh flour but showed no preference between fresh flour
and flour conditioned by females. It was shown that there is an olfactory basis
for the attraction of adult T. confusum to flour conditioned by male
conspecifics, suggesting that a male-produced pheromone is involved.
PMID- 24923185
TI - Cardiac response of domestic chickens to hawk and goose models.
AB - The response of precocial birds to configurational stimuli has been a source of
controversy for decades. In this experiment we measured cardiac response of
domestic chicks to "hawk" and "goose" silhouettes. The chicks' heart rates varied
more in response to the hawk model than to the goose model, suggesting that the
hawk silhouette is a more fearful stimulus than that of the goose. Our data
document the recognition of a configurational stimulus without prior, pertinent
experience.
PMID- 24923186
TI - Open-field behavior in guinea pigs: Developmental and adaptive considerations.
AB - Two experiments were conducted with guinea pigs to test implications of a model
which holds that social reinstatement tendencies and attempts to evade predation
are the primary factors influencing how organisms initially react when placed in
an open field. Because of the age-related reduction in need for maternal care,
vocalization and ambulation latencies increased with age, and in support of the
hypothesis that humans are perceived as predators, subjects tested in the
presence of a human observer were less likely to move or vocalize than those
tested alone. The data on vocalization latencies strongly suggest that the
species- specific "whistle" of guinea pigs is a functional mammalian analog to
the so-called "distress call" of domestic fowl.
PMID- 24923191
TI - Leptin and cardiometabolic risk factors in obese children and adolescents.
AB - AIM: To verify the relationship between leptin and cardiometabolic risk factors
in obese children and adolescents. METHODS: A cross-sectional study evaluated 200
children and adolescents treated in Campina Grande, Brazil, from April 2009 to
March 2010. Leptin, fasting glucose, insulin, homeostasis model assessment of
insulin resistance (HOMA-IR), total cholesterol, low-density lipoprotein
cholesterol (LDL-C), high-density lipoprotein cholesterol (HDL-C) and
triglycerides were determined. The t-test was used to compare leptin means of two
groups and analysis of variance to compare means of three groups. Multiple
comparisons of pairs of group means were performed with Tukey's test. In all
tests, a significance level of 0.05 was adopted. RESULTS: The leptin sample mean
was 22.7 +/- 10.0 MUg/L (95% confidence interval: 21.3 MUg/L to 24.1 MUg/L).
Leptin was significantly higher in the following groups: female, teenager,
increased waist circumference, high systolic blood pressure, elevated
triglycerides hyperinsulinemia, insulin resistance and metabolic syndrome. Most
cardiometabolic risk factors had higher means in the last quartile of leptin,
except total-cholesterol, LDL-C and triglycerides levels. HDL-C was reduced in
the last quartile of leptin. Simple linear regression analysis showed a
significant negative correlation between leptin and HDL-C and a positive
correlation between leptin and triglycerides, insulin, HOMA-IR, body mass index,
waist circumference, and systolic and diastolic blood pressure. Multiple linear
regression models showed an independent association between leptin and HDL-C,
triglycerides, insulin, HOMA-IR, body mass index, waist circumference, systolic
and diastolic blood pressure, after age and gender control. CONCLUSION: Leptin
may be a useful marker of metabolic syndrome and insulin resistance in obese
adolescents.
PMID- 24923192
TI - The BEL1-type homeobox gene SH5 induces seed shattering by enhancing abscission
zone development and inhibiting lignin biosynthesis.
AB - Seed shattering is an important trait that influences grain yield. A major
controlling quantitative trait locus in rice is qSH1. Although the degree of
shattering is correlated with the level of expression of qSH1, some qSH1
defective cultivars display moderate shattering while others show a non
shattering phenotype. Os05 g38120 (SH5) on chromosome 5 is highly homologous to
qSH1. Although we detected SH5 transcripts in various organs, this gene was
highly expressed at the abscission zone (AZ) in the pedicels. When expression of
this gene was suppressed in easy-shattering 'Kasalath', development of the AZ was
reduced and thereby so was seed loss. By contrast, the extent of shattering, as
well as AZ development, was greatly enhanced in moderate-shattering 'Dongjin'
rice when SH5 was overexpressed. Likewise, overexpression of SH5 in the non
shattering 'Ilpum' led to an increase in seed shattering because lignin levels
were decreased in the basal region of spikelets in the absence of development of
an AZ. We also determined that two shattering-related genes, SHAT1 and Sh4, which
are necessary for proper formation of an AZ, were induced by SH5. Based on these
observations, we propose that SH5 modulates seed shattering by enhancing AZ
development and inhibiting lignin biosynthesis.
PMID- 24923193
TI - Validated finite element analyses of WaveOne Endodontic Instruments: a comparison
between M-Wire and NiTi alloys.
AB - AIM: To validate torsional analysis, based on finite elements, of WaveOne
instruments against in vitro tests and to model the effects of different nickel
titanium (NiTi) materials. METHODOLOGY: WaveOne reciprocating instruments (Small,
Primary and Large, n = 8 each, M-Wire) were tested under torsion according to
standard ISO 3630-1. Torsional profiles including torque and angle at fracture
were determined. Test conditions were reproduced through Finite Element Analysis
(FEA) simulations based on micro-CT scans at 10-MUm resolution; results were
compared to experimental data using analysis of variance and two-sided one sample
t-tests. The same simulation was performed on virtual instruments with identical
geometry and load condition, based on M-Wire or conventional NiTi alloy. RESULTS:
Torsional profiles from FEA simulations were in significant agreement with the in
vitro results. Therefore, the models developed in this study were accurate and
able to provide reliable simulation of the torsional performance. Stock NiTi
files under torsional tests had up to 44.9%, 44.9% and 44.1% less flexibility
than virtual M-Wire files at small deflections for Small, Primary and Large
instruments, respectively. As deflection levels increased, the differences in
flexibility between the two sets of simulated instruments decreased until
fracture. Stock NiTi instruments had a torsional fracture resistance up to 10.3%,
8.0% and 7.4% lower than the M-Wire instruments, for the Small, Primary and Large
file, respectively. CONCLUSION: M-Wire instruments benefitted primarily through
higher material flexibility while still at low deflection levels, compared with
conventional NiTi alloy. At fracture, the instruments did not take complete
advantage of the enhanced fractural resistance of the M-Wire material, which
determines only limited improvements of the torsional performance.
PMID- 24923194
TI - Save the global: global signal connectivity as a tool for studying clinical
populations with functional magnetic resonance imaging.
AB - The global signal is commonly removed from resting-state data, as it was presumed
to reflect physiological noise. However, removal of the global signal is now
under debate, as this signal may reflect important neuronal components, and its
removal may introduce artifacts into the data. Here, we show that the functional
connectivity (FC) of the global signal is of functional relevance, as it
differentiates between schizophrenia patients and healthy controls during rest.
We also demonstrate that other reported findings related to various clinical
populations may actually reflect alternations in global signal FC. The evidence
of the clinical relevance of the global signal propose its usage as a research
tool, and extend previously reported perils of global signal removal in resting
state data of clinical populations.
PMID- 24923196
TI - When did it really start or stop: the impact of censored observations on the
analysis of duration.
AB - Behaviour is often described in terms of bout lengths. Because of censoring, some
of these bout lengths may only be observed partially. For instance, when
observation is finished after a fixed period the end moment of the last bout
remains unknown. The only available information on such a bout length is that it
exceeds a certain value. This value is the censored observed bout length.
Censored data are quite common in ethology, but the problem is often not
recognized. Therefore, the well established statistical methods that account for
censoring are rarely used in ethology. We report on the consequences of using
standard methods instead of methods adjusted to account for censoring. We
demonstrate that the usual methods of dealing with censored observations, such as
treating them as uncensored observations or omitting them altogether, leads more
often to erroneous conclusions. When an unadjusted test is used for testing the
equality of two censored samples of bout lengths, the probability of rejecting
the null hypothesis when the samples are different is much lower than when an
adjusted test is used. Moreover, especially when censoring patterns differ
between samples, the probability of wrongly rejecting the null hypothesis may be
increased.
PMID- 24923195
TI - CNS-targeted glucocorticoid reduces pathology in mouse model of amyotrophic
lateral sclerosis.
AB - BACKGROUND: Hallmarks of CNS inflammation, including microglial and astrocyte
activation, are prominent features in post-mortem tissue from amyotrophic lateral
sclerosis (ALS) patients and in mice overexpressing mutant superoxide dismutase-1
(SOD1G93A). Administration of non-targeted glucocorticoids does not significantly
alter disease progression, but this may reflect poor CNS delivery. Here, we
sought to discover whether CNS-targeted, liposomal encapsulated glucocorticoid
would inhibit the CNS inflammatory response and reduce motor neuron loss.
SOD1G93A mice were treated with saline, free methylprednisolone (MP, 10
mg/kg/week) or glutathione PEGylated liposomal MP (2B3-201, 10 mg/kg/week) and
compared to saline treated wild-type animals. Animals were treated weekly with
intravenous injections for 9 weeks from 60 days of age. Weights and motor
performance were monitored during this period. At the end of the experimental
period (116 days) mice were imaged using T2-weighted MRI for brainstem pathology;
brain and spinal cord tissue were then collected for histological analysis.
RESULTS: All SOD1G93A groups showed a significant decrease in motor performance,
compared to baseline, from ~100 days. SOD1G93A animals showed a significant
increase in signal intensity on T2 weighted MR images, which may reflect the
combination of neuronal vacuolation and glial activation in these motor nuclei.
Treatment with 2B3-201, but not free MP, significantly reduced T2 hyperintensity
observed in SOD1G93A mice. Compared to saline-treated and free-MP-treated
SOD1G93A mice, those animals given 2B3-201 displayed significantly improved
histopathological outcomes in brainstem motor nuclei, which included reduced
gliosis and neuronal loss. CONCLUSIONS: In contrast to previous reports that
employed free steroid preparations, CNS-targeted anti-inflammatory agent 2B3-201
(liposomal methylprednisolone) has therapeutic potential, reducing brainstem
pathology in the SOD1G93A mouse model of ALS. 2B3-201 reduced neuronal loss and
vacuolation in brainstem nuclei, and reduced activation preferentially in
astrocytes compared with microglia. These data also suggest that other previously
ineffective therapies could be of therapeutic value if delivered specifically to
the CNS.
PMID- 24923197
TI - Hybrid vigour and maternal environment in mice. I. Body and brain growth.
AB - Ovarian grafting and surrogate fostering were used to manipulate the pre- and
postnatal maternal environments, respectively, in order that the inbred mouse
strains BALB/c and C57BL/6J and their reciprocal F1 hybrids experienced either an
inbred or an F1 hybrid environment pre- and/or postnatally. Results revealed
sizeable heteroric as well as maternal environmental effects on birth, weaning
and 100-day body weights as well as on brain weight at 100 days. The maternal
environmental effect on brain weight was mediated by its effect on body weight,
but there was heterosis for brain weights even when body weight was taken into
account. At birth and weaning, inbreds appeared to benefit more from the hybrid
maternal environment than did hybrids, but we found no evidence of increased
variability of inbreds compared to hybrids (homeostasis) within experimental
conditions.
PMID- 24923198
TI - Hybrid vigour and maternal environment in mice. II. Water escape learning, open
field activity and spatial memory.
AB - A combination of ovarian grafting and fostering was used to study the effects of
pre- and postnatal maternal factors on adult behaviour. Inbred mice (BALB/c and
C57BL/6) and F1 hybrids were compared to assess differential sensitivity to early
maternal effects. In addition to the peculiar behavioural profile of BALB mice,
results revealed the existence of a cognitive process in mice and confirmed the
greater ability of F1 mice to adopt efficient escape strategies. Only postnatal
maternal factors were shown to exert long lasting effects on behaviour, appearing
to affect the general ability to react to a new situation rather than specific
psychological functions. F1 hybrids proved less sensitive to such effects than
inbred mice.
PMID- 24923199
TI - Hybrid vigour and maternal environment in mice. III. Hippocampal mossy fibres and
behaviour.
AB - Inbred BALB/c and C57BL/6 mice as well as their F1 hybrids were reared in either
an inbred or hybrid maternal environment prenatally and/or postnatally, and were
later tested for several behaviours prior to histological study of the brain at
100 days after birth. Whereas measures of spatial memory showed hybrid vigour or
overdominance, measures of hippocampal mossy fibres showed intermediate
inheritance. Brain-behaviour correlations within a strain were generally very
small, and effects of maternal environment on hippocampal morphology were not
significant.
PMID- 24923200
TI - Food deprivation enhances both autoshaping and autoshaping impairment by a latent
inhibition procedure.
AB - The influence of food deprivation on acquisition of autoshaped operant behavior
was measured. In one study separate groups of young, male rats that were deprived
to 75%, 80%, 85%, 90%, and 95% of ad lib weight were subjected to an autoshaping
procedure in which a 6 s delay was interposed between lever retraction (which
occurred when rats made a lever touch, or automatically after 15 s) and food
pellet delivery. In a second study, groups of rats were deprived to 80% or 90% of
ad lib weight prior to testing in a latent inhibition variation of the same
autoshaping procedure. This was done to determine if greater food deprivation
would enhance learning which, because of the latent inhibition manipulation, is
manifest as less lever-directed behavior. Greater food deprivation was associated
both with fast acquisition of autoshaped lever responding and with more reliable
failure to increase lever responding in the latent inhibition paradigm. Thus,
increasing food deprivation was associated with enhanced acquisition regardless
of whether the required performance was an increase or a failure to increase the
same behavior, indicating a specific effect on learning.
PMID- 24923201
TI - The organization of artificial heterospecific ant colonies. The case of the
Manica rubida/Formica selysi association: mixed colony or parallel colonies?
AB - We studied the spatial and social organization of homospecific and heterospecific
colonies of two ant species Manica rubida (Myrmicanae) and Formica selysi
(Formicinae) reared without a queen in artificial nests. We used a method based
on individual labelling and automated photographic recording of data. The two
species, which are forced to live together in the same artificial mixed colony,
show the same spatial organization as they do in control homospecific colonies.
The Manica rubida were mostly clustered in one chamber while Formica selysi
workers were spread throughout the nest. Formica selysi individual spatial
profiles are very heterogeneous while in the other species they are very
homogeneous. By using taxonomical techniques on behavioural data we show that the
two species within the mixed colony are clearly distinguishable. An artificial
association of these two species may therefore be considered to be a
juxtaposition of two colonies functioning in parallel, rather than a "mixed
colony".
PMID- 24923202
TI - [Use of area-level socioeconomic indicators in epidemiological research:
experience in Spain and advancement opportunities].
AB - OBJECTIVE: To determine the use of area-level socioeconomic indicators in
epidemiological studies in Spain. METHODS: We included studies analyzing the
association of area-level socioeconomic indicators and health indicators in Spain
published in peer-reviewed journals. An electronic search was conducted in PubMed
Medline, SCI-Expanded, SSCI, Embase, and the Spanish Medical Index (until
December 31, 2012). A manual search was also conducted of the references of the
selected studies. Each of the articles initially selected on the basis of the
title and abstract was reviewed by two investigators. Information was obtained on
the publication and methodology (design and study areas, information sources,
health and socioeconomic indicators, and statistical analysis). RESULTS: We
included 142 studies published since 1988 (58.4% since 2005). More than half
(59.9%) were in English. The level of analysis was ecological in 73.2% and
multilevel in 19.0%. The areas most frequently analyzed were census tracts (35
studies), especially within cities or autonomous regions, followed by the
provinces (30 studies), mostly concerning Spain overall. The dependent variable
most frequently analyzed was mortality and the socioeconomic dimension most
commonly used was employment (71.1%). CONCLUSIONS: In the last decade in Spain,
there has been an increase in the number of studies examining the association of
area-level socioeconomic and health indicators, as well as in the complexity of
design and analysis.
PMID- 24923203
TI - Reflections on the follow-up of long-term cancer survivors in Spain.
PMID- 24923204
TI - Impact of school-based health promotion interventions aimed at different
behavioral domains: a systematic review.
AB - OBJECTIVE: Given that lifestyleshave similar determinants and that school-based
interventions are usually targeted at all the risks that affect adolescents, the
objective of this systematic review was to summarize the characteristics and
effects of school-based interventions acting on different behavioral domains of
adolescent health promotion. METHODS: The review process was conducted by two
independent reviewers who searched PubMed, Scopus, PsycINFO, and ERIC databases
for experimental or observational studies with at least two measures of results
published from 2007 to 2011, given that the research information available
doubles every 5 years. Methodological quality was assessed with a standardized
tool. RESULTS: Information was extracted from 35 studies aiming to prevent risk
behaviors and promote healthy nutrition, physical activity, and mental and
holistic health. Activities were based on theoretical models and were classified
into interactive lessons, peer mediation, environmental changes, parents' and
community activities, and tailored messages by computer-assisted training or
other resources, usually including multiple components. In some cases, we
identified some moderate to large, short- and long-term effects on behavioral and
intermediate variable. CONCLUSIONS: This exhaustive review found that well
implemented interventions can promote adolescent health. These findings are
consistent with recent reviews. Implications for practice, public health, and
research are discussed.
PMID- 24923205
TI - [Evaluation of a community program to reduce isolation in older people due to
architectural barriers].
AB - OBJECTIVE: Social isolation impairs health. An intervention to reduce isolation
due to architectural barriers in elderly persons was carried out in Barcelona
(Spain). This study aimed to evaluate its effects on health. METHODS: We
conducted a quasi-experimental before-after study. Isolated older people were
identified in three deprived urban areas from 2009 to 2011. Participants had
twice-weekly outings with volunteers in a stair-climbing power wheelchair. User
satisfaction was evaluated and perceived health status, quality of life, and
mental health before and after four outings were compared with McNemar tests.
RESULTS: There were 74 participants (median age: 83 years; IQR: 78-89). Perceived
health improved by 21%, mental health by 24%, and psychological distress was
reduced by 16%. Most participants (98%) were satisfied. CONCLUSION: The
intervention improved perceived health and mental health. Elderly people with
impaired mobility should not live in buildings with architectural barriers and,
if this cannot be avoided, similar programs should be implemented.
PMID- 24923206
TI - Hepatitis B virus infection in a cohort of HIV infected blood donors and AIDS
patients in Sichuan, China.
AB - BACKGROUND: Co-infections of HBV and HIV are frequent due to similar routes of
transmission. In that transmission through blood is an important route for both
HBV and HIV, evaluation of the prevalence of HBV in HIV infected blood donors may
be important for transfusion safety. In addition, because the epidemiological
characteristics of HBV in HIV infected patients and blood donors may differ from
each other, understanding of it could be significant for therapy and prevention
of HBV in HIV infected adults. However, data reported on these in Chinese people
remains limited. METHODS: 614 HIV confirmed positive samples were collected from
blood donors and patients and were screened for HBsAg and HBV DNA. The samples
screened reactive for HBsAg or positive for HBV DNA were tested for the other
serological markers of HBV including anti-HBs, HBeAg, anti-HBe and anti-HBc. For
the samples tested positive for HBV DNA, the S region of HBV was amplified by
nested PCR and the HBV genotypes were determined. RESULTS: HBV coinfections were
found in 12.9% (79/614) HIV infected individuals including 42/417(10.1%) blood
donors and 37/197 (18.8%) AIDS patients. In the HBsAg positive individuals, 80.0%
were HBeAg negative in which 10.0% were HBV DNA negative and 38.3% with HBV DNA
lower than 2000 IU/ml. The average HBV DNA levels were lower in donors than in
patients. In the HBV DNA positive populations, HBV genotypes B, A and C accounted
for 48.1%, 22.8% and 8.86% respectively. Mutations related to the failure of
HBsAg detection were found in 2 of the 4 HBsAg-/HBV DNA + subjects. CONCLUSIONS:
High prevalence of HBV in HIV infected individuals was found in this study.
Hence, we recommend routine testing of HBV for patients newly diagnosed with
HIV/AIDS in China. Some HIV-HBV co-infected patients remain undiagnosed if only
conventional serological markers for HBV are used and it's important to detect
HBV DNA for HIV infected patients. HBV DNA levels were relatively low in HBeAg
negative patients, thus this serologic marker may be useful in prioritizing
patients on their need for HBV treatment in settings in which HBV DNA is not
available.
PMID- 24923207
TI - The independent effects of maternal obesity and gestational diabetes on the
pregnancy outcomes.
AB - BACKGROUND: Obesity and gestational diabetes (GDM) in pregnancy are recognized
risk factors for adverse outcomes, including cesarean section (CS), macrosomia
and preeclampsia. The aim of this study was to investigate the independent effect
of GDM and obesity on the adverse pregnancy outcomes at term. METHODS: A
retrospective cohort of postpartum women, in King Khalid University Hospital,
were stratified according to body mass index (obese >=30 kg/m2, non-obese <30
kg/m2) and the results of GDM screening into the following groups, women with no
obesity and no GDM (reference group), women with no obesity but with GDM, women
with obesity but no GDM and women with both GDM and obesity. Adverse pregnancy
outcomes included high birth weight, macrosomia, CS delivery and preeclampsia.
Multiple logistic regression used to examine independent associations of GDM and
obesity with macrosomia and CS. RESULTS: 2701 women were included, 44% of them
were obese and 15% had GDM. 63% of the women with GDM were obese. There was
significant increase in the percentage of macrosomia, P < 0.001, high birth
weight, P < 0.001, CS, P < 0.001 and preeclampsia, P < 0.001 in women with GDM
and obesity compared to the reference group. Obesity increased the estimated risk
of CS delivery, odds ratio (OR) 2.16, confidence intervals (CI) 1.74-2.67. The
combination of GDM and obesity increased the risk of macrosomia OR 3.45, CI 2.05
5.81 and the risk of CS delivery OR 2.26, CI 1.65-3.11. CONCLUSION: Maternal
obesity and GDM were independently associated with adverse pregnancy outcomes.
The combination of both conditions further increase the risk.
PMID- 24923208
TI - Comparative analysis of various electrostatic potentials on docking precision
against cyclin-dependent kinase 2 protein: a multiple docking approach.
AB - The fundamental of molecular modeling is the interaction and binding to form a
complex, because it explains the action of most drugs to a receptor active site.
In the present study, different semiempirical (RM1, AM1, PM3, MNDO) and ab initio
(HF, DFT) charge models were investigated for their performance in prediction of
docking pose against CDK2 proteins with their respective inhibitor. Further,
multiple docking approaches and Prime/MM-GBSA calculations were applied to
predict the binding mode with respective charge model against CDK2 inhibitors. A
reliable docking result was obtained using RRD, which showed significance
improvement on ligand binding poses and docking score accuracy to the IFD. The
combined use of RRD and Prime/MM-GBSA method could give a high correlation
between the predicted binding free energy and experimental biological activity.
The preliminary results point out that AM1 could be a precious charge model for
design of new drugs with enhanced success rate. As a very similar result was also
found for a different system of the protein-ligand binding, the suggested scoring
function based on AM1 method seems to be applicable in drug design. The results
from this study can provide insights into highest success rate for design of
potent and selective CDK2 inhibitors.
PMID- 24923209
TI - Physiological oxygen concentration alters glioma cell malignancy and
responsiveness to photodynamic therapy in vitro.
AB - OBJECTIVES: The partial pressure of oxygen (pO2) in brain tumors ranges from 5 to
15%. Nevertheless, the majority of in vitro experiments with glioblastoma
multiforme (GBM) cell lines are carried out under an atmospheric pO2 of 19 to
21%. Recently, 5-aminolevulinic acid (5-ALA), a precursor of protoporphyrin IX
(PpIX), has been introduced to neurosurgery to allow for photodynamic diagnosis
and photodynamic therapy (PDT) in high-grade gliomas. Here, we investigate
whether low pO2 affects GBM cell physiology, PpIX accumulation, or PDT efficacy.
METHODS: GBM cell lines (U-87 MG and U-251 MG) were cultured under atmospheric
(pO2 = 19%) and physiological (pO2 = 9%) oxygen concentrations. PpIX
accumulation and localization were investigated, and cell survival and cell death
were observed following in vitro PDT. RESULTS: A physiological pO2 of 9%
stimulated GBM cell migration, increased hypoxia-inducible factor (HIF)-1 alpha
levels, and elevated resistance to camptothecin in U-87 MG cells compared to
cultivation at a pO2 of 19%. This oxygen reduction did not alter 5-ALA-induced
intracellular PpIX accumulation. However, physiological pO2 changed the
responsiveness of U-87 MG but not of U-251 MG cells to in vitro PDT. Around 20%
more irradiation light was required to kill U-87 MG cells at physiological pO2,
resulting in reduced lactate dehydrogenase (LDH) release (one- to two-fold) and
inhibition of caspase 3 activation. DISCUSSION: Reduction of oxygen concentration
from atmospheric to a more physiological level can influence the malignant
behavior and survival of GBM cell lines after in vitro PDT. Therefore, precise
oxygen concentration control should be considered when designing and performing
experiments with GBM cells.
PMID- 24923210
TI - Antimicrobial susceptibility and extended-spectrum beta-lactamase rates in
aerobic gram-negative bacteria causing intra-abdominal infections in Vietnam:
report from the Study for Monitoring Antimicrobial Resistance Trends (SMART 2009
2011).
AB - Treatment options for multidrug-resistant pathogens remain problematic in many
regions and individual countries, warranting ongoing surveillance and analysis.
Limited antimicrobial susceptibility information is available for pathogens from
Vietnam. This study determined the bacterial susceptibility of aerobic gram
negative pathogens of intra-abdominal infections among patients in Vietnam during
2009-2011. A total of 905 isolates were collected from 4 medical centers in this
investigation as part of the Study for Monitoring Antimicrobial Resistance
Trends. Antimicrobial susceptibility and extended-spectrum beta-lactamase (ESBL)
rates among the appropriate species were determined by a central laboratory using
Clinical and Laboratory Standards Institute methods. Among the species collected,
Escherichia coli (48.1% ESBL-positive) and Klebsiella pneumoniae (39.5% ESBL
positive) represented the majority (46.4%) of the isolates submitted for this
study. Ertapenem MIC90 values were lowest for these 2 species at 0.12 and
0.25MUg/mL and remained unchanged for ESBL-positive isolates. Imipenem MIC90
values were also the same for all isolates and ESBL-positive strains at 0.25 and
0.5MUg/mL, respectively. Ertapenem MIC90 values for additional species with
sufficient numbers for analysis, including Enterobacter cloacae, Proteus
mirabilis, Acinetobacter baumannii, and Pseudomonas aeruginosa, were 1, 0.06, >4,
and >4MUg/mL, respectively. Analysis of beta-lactamases in a subset of 132
phenotypically ESBL-positive Enterobacteriaceae demonstrated that CTX-M variants,
particularly CTX-M-27 and CTX-M-15, were the predominant enzymes. High resistance
rates in Vietnam hospitals dictate continuous monitoring as antimicrobial
inactivating enzymes continue to spread throughout Asia and globally.
PMID- 24923211
TI - Mucocutaneous leishmaniasis: accuracy and molecular validation of noninvasive
procedures in a L. (V.) braziliensis-endemic area.
AB - The aim of this study was to evaluate the effectiveness of polymerase chain
reaction (PCR) using Kinetoplastid DNA (kDNA) from nasal swabs (NSs), saliva, and
oral filter paper imprints (OFPI) in diagnosing mucocutaneous leishmaniasis (ML)
and cutaneous leishmaniasis (CL). Seventeen patients with ML, 19 patients with
CL, and 33 controls were evaluated. In patients with ML, PCR from NS showed an
86% diagnostic accuracy (95% confidence interval [CI] = 73.81-93.05), followed by
saliva 74% (95% CI = 60.45-84.13) and OFPI 68% (95% CI = 54.19-79.24). The
highest sensitivity was reached by using the NS 58.82% (95% CI = 36.01-78.39),
followed by saliva 23.53% (95% CI = 9.56-47.26) and OFPI 5.88% (95% CI = 1.05
26.98). The specificities of the tests were complete. The NS and OFPI were
positive in 2 cases of CL. Mucous membrane samples exhibited a higher specificity
compared to the Montenegro skin test and indirect immunofluorescence. NS
sensitivity was higher than that of parasitological examinations.
PMID- 24923212
TI - The effects of good glycaemic control on left ventricular and coronary
endothelial functions in patients with poorly controlled Type 2 diabetes
mellitus.
AB - OBJECTIVE: Diabetics are at risk for developing overt heart failure and
subclinical left ventricular (LV) dysfunction. Also, impaired coronary flow
reserve (CFR) reflecting coronary microvascular dysfunction is common in
diabetics. However, no substantial data regarding the effects of good glycaemic
control on subclinical LV dysfunction and CFR are available. CONTEXT: To
investigate whether good glycaemic control had favourable effects on subclinical
LV dysfunction and CFR. DESIGN: Prospective, open-label, follow-up study.
PATIENTS: Diabetics (n = 202) were classified based on baseline HbA1C levels:
patients with good (group 1) (<7.0%) and poor glycaemic control (>=7.0%).
MEASUREMENTS: All patients underwent echocardiographic examination at baseline
evaluation, and it was repeated at months 6 and 12. Based on HbA1C levels
obtained at month 6, the patients with poor glycaemic control were divided into
two groups: achieved (group 2) and not achieved good glycaemic control (group 3).
RESULTS: The groups were comparable with respect to diastolic function parameters
including left atrium diameter, mitral E/A, Sm , Em /Am , E/E' and Tei index, and
these parameters did not significantly change at follow-up in the groups. At
baseline, CFR was slightly higher in group 1 than in group 2 and group 3, but it
did not reach statistically significant level. At follow-up, CFR remained
unchanged in group 1 (P = 0.58) and group 3 (P = 0.86), but increased in group 2
(P = 0.02: month 6 vs baseline and P = 0.004: month 12 vs baseline). CONCLUSIONS:
Diabetics with poor and good glycaemic control were comparable with respect to
echocardiographic parameters reflecting subclinical LV dysfunction, and good
glycaemic control did not affect these parameters. However, good glycaemic
control improved CFR.
PMID- 24923214
TI - [How to push the limits in the transverse dimension? Facial asymmetry, palatal
volume and tongue posture in children with unilateral posterior cross bite: a
three-dimensional evaluation of early treatment].
AB - Unilateral posterior crossbites have been reported to be one of the most
prevalent malocclusions of the primary dentition in Caucasian children. Facial
asymmetry due to lateral mandibular displacement in unilateral posterior
crossbite, if not treated in the primary dentition period, may lead to an
undesirable growth modification which results in facial asymmetry of skeletal
origin. Irregular tongue function and posture have also been diagnosed as
important etiological factors. Early orthodontic treatment seems to be profitable
and desirable to create conditions for normal dental, functional and skeletal
development of the orofacial region. Treatment success after correction of
unilateral posterior crossbite in the primary dentition is highly questionable,
as it is very difficult to objectively assess correction of facial asymmetry and
irregular tongue function and posture in small, growing children. Although facial
photography is an important diagnostic tool in orthodontics, its main
disadvantage is that it represents a three dimensional subject in two dimensions.
Tongue posture and function during clinical examination are difficult to assess
and is therefore unreliable. Contemporary 3D diagnostics in unilateral posterior
crossbite enables uninvasive, valid and objective assessment of facial
morphology, palatal volume, tongue function and posture. It can, therefore,
become in the future an important part of morphological and functional
diagnostics in orthodontics and dentofacial orthopedics before, during and after
orthodontic treatment.
PMID- 24923215
TI - [Methodology for clinical research in Orthodontics, the assets of the beOrtho
website].
AB - The rules applying to the "evidence-based" methodology strongly influenced the
clinical research in orthodontics. However, the implementation of clinical
studies requires rigour, important statistical and methodological knowledge, as
well as a reliable environment in order to compile and store the data obtained
from research. We developed the project "beOrtho.com" (based on orthodontic
evidence) in order to fill up the gap between our desire to drive clinical
research and the necessity of methodological rigour in the exploitation of its
results. BeOrtho website was created to answer the issue of sample recruitment,
data compilation and storage, while providing help for the methodological design
of clinical studies. It allows the development and monitoring of clinical
studies, as well as the creation of databases. On the other hand, we designed an
evaluation grid for clinical studies which helps developing systematic reviews.
In order to illustrate our point, we tested a research protocol evaluating the
interest of the mandibular advancement in the framework of Class II treatment.
PMID- 24923216
TI - [Comparative effectiveness of maxillomandibular advancement surgery versus
mandibular advancement device for patients with moderate or severe obstructive
sleep area].
AB - INTRODUCTION: Currently, positive airway pressure is the gold standard treatment
of obstructive sleep apnea (OSA). Unfortunely, adherence rates are poor.
Alternative therapies are mandibular advancement device (MAD) and
maxillomandibular advancement (MMA). PATIENTS: This retrospective study compared
both treatment effectiveness on patients with moderate and severe OSA from
January 2005 to September 2012, and carried out predictive factor of
effectiveness. We defined therapeutic success as an apnea hypopnea index (AHI)
less than 15 per hour and at least a 50% reduction of the initial index. The
difference in effectiveness has been studied using regression logistic adjusted
on MAD versus MMA propensity score. RESULTS: This study included 198 patients. 37
were treated by MMA, and 161 with MAD. MMA treatment was significantly more
efficient than MAD treatment with an odds ratio of 3.22; CI95% 1.31Gamma7.82 (p =
0.011). Younger age and lower initial AHI were predictive of increased success.
There was no significant interaction between the treatment and morphologic
patient factors. CONCLUSION: In our sample of patients, MMA surgery was
significantly more efficient than MAD treatment for the patients with moderate or
severe OSA. No morphologic characteristic was identified to determine which
patients would benefit most from MAD versus MMA surgery.
PMID- 24923217
TI - [Surgically assisted rapid maxillary expansion. An evaluation of different
surgical techniques and their effect on maxillary dentoskeletal complex based on
cone-beam computed tomography. Preliminary report].
AB - AIM: To evaluate and compare the dentoskeletal effects associated with surgically
assisted rapid maxillary expansion (SARME) performed with (+) and without (-)
pterygomaxillary disjunction (PD), utilizing cone beam computed tomography
(CBCT). MATERIALS AND METHODS: A retrospective study of 9 patients (1 male, 8
females, mean age 18.9 years) undergoing SARME who were divided into two groups.
In group (-PD) the surgical technique followed involved bilateral LeFort I type
of osteotomy plus midline osteotomy. In group (+PD) same protocol was followed
and pterygoid disjunction was performed additionally. Three-dimensional CBCT
scans were obtained preoperatively and 3-6 month postretention. A Hyrax type
acrylic bonded expander was used. MIMICS 14.0 (Materialise Europe, Belgium)
software was used to evaluate transverse expansion at skeletal, dentoalveolar and
dental level. Additionally dental inclinations, alveolar bending and the pattern
of expansion sagittally were assessed. Wilcoxon's and Mann Whitney U tests were
used for comparisons. RESULTS AND DISCUSSION: All linear transverse measurements
at dental and dentoalveolar level were found to have a statistically significant
increase (P < 0.05) in both treatment groups. No statistically significant
differences were found between the two groups as well as within groups on
skeletal level (except of the anterior expansion of maxilla between piriform rims
bilaterally). In -PD group significant buccal tipping of the 1(st) premolar was
observed as well as increased buccal bending of the alveolar crest. CONCLUSION:
This study confirms that SARME is an effective treatment of maxillary transverse
deficiency. CBCT is an adequate tool to assess dentoskeletal treatment effects.
PMID- 24923218
TI - [Condylar hyperplasia: qualitative and quantitative study of temporomandibular
joints remodeling before and after condylectomy].
AB - PURPOSE: This retrospective study aimed to evaluate bone remodeling of temporo
mandibular joints (TMJ) using computed tomography (CT) before and after
condylectomy for condylar hyperplasia. MATERIAL AND METHOD: TMJ bone remodeling
was studied by comparing the pre and postoperative CT scan of ten patients.
Qualitative evaluation was performed by two-dimensional analysis. Three
dimensional analysis superimpositions were done after digital condylar units
isolation. Condylar volume modifications were measured and compared on both
sides. Lastly, before and after surgery, we studied the radio-clinic
correlations. RESULTS: After surgery, all the operated condyles developed a new
cortical bone. We noticed also a thickening of the glenoid fossa. Surgical
condylectomy leaded to a 43.5% volume reduction on the operated side and 2.14% on
the controlateral side. On the controlateral side, most of abnormalities seen
preoperatively disappeared after surgery. For two patients, the condylar
resection took away over 80% of the initial volume. For these patients, we
observed major radiologic modifications on the controlateral TMJ associated with
symptoms of dysfunction. These problems did not worsen their quality of life.
CONCLUSION: Both TMJ presented with bone remodelling after condylectomy. In
condylar hyperplasia, condylectomy provides orthopaedic results on dysmorphia and
removal of the pathological prechondroblastic zone. In the future, an earlier
detection of this pathology may help the surgeon to treat in childhood. This
would limit surgical excision and would avoid important dysmorphia.
PMID- 24923219
TI - [Orthopedic treatment of dento-skeletal Class II by the association minivis +
Forsus(TM): a clinical case].
AB - The use of customized combination mechanics with two auxillaries such as mini
screws and Forsus(TM) Springs that up to now have been used independently,
achieved unexpected results in the correction of a non-surgical skeletal Class II
malocclusion. The use of mini implants to control the canting of the occlusal
plane that is frequently reported during the use of hyperpropulsors with fixed
appliances made it possible to achieve a better mandibular outcome.
PMID- 24923220
TI - [Severe complication of a bonded mandibular lingual retainer].
AB - Bonding a flexible spiral wire retainer to the lingual surfaces of all six
anterior mandibular teeth is a commonly used type of retention. Complications are
rare but can be serious enough to produce biologic damage. This article presents
a serious complication of a lingual flexible spiral wire retainer. Four years
after the orthodontic treatment, a 20-years-old man sought treatment for a broken
flexible spiral wire retainer. The clinical examination showed about 35 degrees
of buccal root torque of that tooth. A cone-beam computed tomography image showed
that the root and the apex of the tooth were almost completely out of the bone on
its buccal side. Surprisingly, the tooth's vitality was preserved. The tooth was
moved back, nearly to its original position; clinically, only a gingival
recession remained. Orthodontists and dentists should be aware of possible
complications of bonded retainers. Patients should be clearly informed how to
detect problems at an early stage.
PMID- 24923221
TI - Long-term outcomes after intersphincteric resection for low-lying rectal cancer.
AB - BACKGROUND: As an anus-preserving surgery for very low rectal cancer,
intersphincteric resection (ISR), has advanced markedly over the last 20 years.
We investigated long-term oncologic, functional, and quality of life (QOL)
outcomes after ISR with or without partial external sphincter resection (PESR).
METHODS: A series of 199 patients underwent curative ISR with or without PESR
between 2000 and 2008, with 49 receiving preoperative chemoradiotherapy (CRT
group) and 150 undergoing surgery first (surgery group). Overall survival (OS),
disease-free survival (DFS), and local relapse-free survival (LFS) rates were
calculated using Kaplan-Meier methods. Functional outcomes were assessed using
the Wexner incontinence score. QOL was investigated using the Short-Form 36
questionnaire (SF-36) and modified fecal incontinence quality of life (mFIQL)
scale. RESULTS: After a median follow-up of 78 months (range 12-164 months),
estimated 7-year OS, DFS, and LFS rates were 78, 67, and 80 %, respectively. LFS
was better in the CRT group than in the surgery group (p = 0.045). Patients with
PESR or positive circumferential resection margins showed significantly worse
survival. The median Wexner incontinence score at >5 years was 8 in the surgery
group and 10 in the CRT group (p = 0.01). QOL was improved in all physical and
mental subscales of the SF-36 at >5 years. Although the mFIQL showed a relatively
good score in all groups at >5 years, a significant difference existed between
the CRT and surgery groups (p = 0.008). CONCLUSIONS: With long-term follow-up,
oncologic, functional, and QOL results after ISR appear acceptable, although CRT
is associated with disturbance.
PMID- 24923222
TI - Defining the learning curve for team-based laparoscopic pancreaticoduodenectomy.
AB - BACKGROUND: The purpose of this study was to define the learning curves for
laparoscopic pancreaticoduodenectomy (LPD) with and without laparoscopic
reconstruction, using paired surgical teams consisting of advanced laparoscopic
trained surgeons and advanced oncologic-trained surgeons. METHODS: All patients
undergoing PD without vein resection at a single institution were retrospectively
analyzed. LPD was introduced by initially focusing on laparoscopic resection
followed by open reconstruction (hybrid) for 18 months prior to attempting a
totally LPD (TLPD) approach. Cases were compared with Chi square, Fisher's exact
test, and Kruskal-Wallis analysis of variance (ANOVA). RESULTS: Between March
2010 and June 2013, 140 PDs were completed at our institution, of which 56 (40 %)
were attempted laparoscopically. In 31/56 procedures we planned to perform only
the resection laparoscopically (hybrid), of which 7 (23 %) required premature
conversion before completion of resection. Following the first 23 of these hybrid
cases, a total of 25 TLPDs have been performed, of which there were no
conversions to open. For all LPD, a significant reduction in operative times was
identified following the first 10 patients (median 478.5 vs. 430.5 min; p =
0.01), approaching open PD levels. After approximately 50 cases, operative times
and estimated blood loss were consistently lower than those for open PD.
CONCLUSIONS: In our experience of building an LPD program, the initial ten cases
represent the biggest hurdle with respect to operative times. For an experienced
teaching center using a staged and team-based approach, LPD appears to offer
meaningful reductions in operative time and blood loss within the first 50 cases.
PMID- 24923223
TI - Transcriptional regulation of gene expression by microRNAs as endogenous decoys
of transcription factors.
AB - BACKGROUND/AIMS: MicroRNAs (miRNAs) are known to produce post-transcriptional
repression of gene expression. In light of the ability of decoy
oligodeocynucleotides (ODNs) to sequestrate transcription factors (TFs) and the
similar double-stranded structure between decoy ODNs and miRNAs, we proposed that
miRNAs might act as endogenous decoy molecules to produce transcriptional
regulation of gene expression. METHODS: Quantitative real-time RT-PCR analysis
was used to measure the changes of miRNA and mRNA expression. Luciferase reporter
gene activity assay was used to investigate the functional interaction between
miRNAs and TFs. Electrophoresis mobility shift assay (EMSA) and modified
chromatin immunoprecipitation assay (ChIP) were utilized to investigate the
physical interactions between miRNAs and TFs. MTT cell viability assay and
cellular DNA fragmentation ELISA were used to study apoptotic cell death.
RESULTS: We presented here that miRNAs could regulate, either negatively or
positively, gene expression at the transcriptional level through its decoy-like
actions and this mechanism operates under physiological conditions to produce
cellular functions. We identified the putative cis-elements for transcriptional
factors NF-kappaB and NFAT in the mature miR-939 and miR-376a, respectively. We
experimentally established the ability of these miRNAs to physically bind their
respective target TFs, using EMSA and ChIP methods. We then utilized the
luciferase reporter gene assay to characterize the specific regulation of
luciferase gene activities by miR-939/pre-miR-939:NF-kappaB or miR-376a/pre-miR
376a:NFAT interactions. Moreover, miR-939 and miR-376a produced transcriptional
regulation of endogenous genes Bcl-xL and FasL/miR-26 that are the
transcriptional targets for NF-kB and NFAT, respectively, but are not post
transcriptional targets for these two miRNAs. Finally, interference of these
miRNAs with NF-kappaB and NFAT demonstrated clear phenotypes at the cellular
level as manifested by the regulation of neuroblastoma cell death by miR-939 and
miR-376a. CONCLUSION: Our study identified a novel non-canonical mechanism of
miRNAs and suggests that when considering the cellular function of miRNAs the
decoy-like mechanism for transcriptional regulation (activation or repression)
should be taken into account.
PMID- 24923224
TI - Insights into mechanism kinematics for protein motion simulation.
AB - BACKGROUND: The high demanding computational requirements necessary to carry out
protein motion simulations make it difficult to obtain information related to
protein motion. On the one hand, molecular dynamics simulation requires huge
computational resources to achieve satisfactory motion simulations. On the other
hand, less accurate procedures such as interpolation methods, do not generate
realistic morphs from the kinematic point of view. Analyzing a protein's movement
is very similar to serial robots; thus, it is possible to treat the protein chain
as a serial mechanism composed of rotational degrees of freedom. Recently, based
on this hypothesis, new methodologies have arisen, based on mechanism and robot
kinematics, to simulate protein motion. Probabilistic roadmap method, which
discretizes the protein configurational space against a scoring function, or the
kinetostatic compliance method that minimizes the torques that appear in bonds,
aim to simulate protein motion with a reduced computational cost. RESULTS: In
this paper a new viewpoint for protein motion simulation, based on mechanism
kinematics is presented. The paper describes a set of methodologies, combining
different techniques such as structure normalization normalization processes,
simulation algorithms and secondary structure detection procedures. The
combination of all these procedures allows to obtain kinematic morphs of proteins
achieving a very good computational cost-error rate, while maintaining the
biological meaning of the obtained structures and the kinematic viability of the
obtained motion. CONCLUSIONS: The procedure presented in this paper, implements
different modules to perform the simulation of the conformational change suffered
by a protein when exerting its function. The combination of a main simulation
procedure assisted by a secondary structure process, and a side chain orientation
strategy, allows to obtain a fast and reliable simulations of protein motion.
PMID- 24923225
TI - Simulation modeling and climate change: issues and challenges.
PMID- 24923226
TI - Polycyclic aromatic hydrocarbon distribution in serum of Saudi children using
HPLC-FLD: marker elevations in children with asthma.
AB - Diesel exhaust consists of a complex mixture of chemicals which contain known
genotoxicants, one of which is polycyclic aromatic hydrocarbons (PAHs) which may
be associated with adverse respiratory health outcomes. This study aimed to
evaluate the distribution patterns of PAHs (anthracene, naphthalene, fluorene,
phenanthrene, cyclopentaphenanthrene, pyrene, fluoranthene, benzanthracene,
chrysene, benzo(e)pyrene, benzoacephenanthrylene, and benzo(a)pyrene) in serum
collected from asthmatic and healthy control children. PAH serum levels were
measured in samples collected from children who lived in 11 different locations
in/round Riyadh, Saudi Arabia (Al-yarmouk, Usaibi, Sultana Al-kadema, Omrrojam,
Kof, Janoob Dawdmi, Guberah, Arabbuah, Al-mozahemyah, Iskan Al-mazzer, and Al
gharabi) during the period 2010-2011. Our results showed that the highest total
mean concentrations of PAH were found in serum samples collected from people who
lived in Sultana Aljadhida, Almozahemyah, Guberah, and Omrrojam and were 663.9,
486.17, 412.18, and 258.6 ng ml(-1), respectively. The most prevalent PAHs in
serum samples were naphthalene, bezanthracene, benzoacephenanthrylene,
phenanthrene, chrysene, and benzo(a)pyrene with a frequency that ranged from 54.5
to 90.9 % positive samples. A close monitoring of PAH pollution is strongly
recommended, especially in food and plant samples, because of their high
bioaccumulation capacity.
PMID- 24923229
TI - Risk of subsequent cancers in renal cell carcinoma survivors with a family
history.
AB - BACKGROUND: This study aimed at elucidating the effect of family history on the
development of subsequent cancers in renal cell carcinoma (RCC) survivors and
aimed at assessing whether the interactions between risks of subsequent cancers
in RCC survivors and familial risk of subsequent cancer are additive or
multiplicative interactions. METHODS: A population-based cohort (Swedish Family
Cancer Database) of 14,267 RCC patients diagnosed in 1990-2010 was followed for
cancer incidence. Standardised incidence ratios (SIRs) were calculated for
subsequent cancers in RCC survivors and in RCC survivors with a family history of
subsequent cancer. Familial risk of subsequent cancer was calculated for
individuals with family history of specific cancer, compared to those without.
RESULTS: For subsequent hemangioblastoma (HB) in RCC survivors, drastically
elevated risk was observed for the effect of family history of HB [SIR=777 (95%
confidence interval (CI): 160-2270)] and of family history of RCC [378 (46
1367)]. Colorectal, lung, prostate and RCCs favoured additive interactions
between risk of subsequent cancers in RCC survivors and familial risk, while
endocrine glands, nervous system and urinary bladder cancers favoured
multiplicative interactions. CONCLUSIONS: Risks of subsequent HB in RCC survivors
were tremendously modified by family history of RCC or HB, which may resemble
characteristics of von Hippel-Lindau syndrome and show the power of present
approach to detect heritable cancer clusters. Additive or multiplicative
interactions found for colorectal, lung, prostate, endocrine glands, nervous
system, urinary bladder and RCCs might raise awareness among clinicians and RCC
survivors with a family history of seven cancers about elevated risks of
subsequent those cancers.
PMID- 24923230
TI - Pharmacological characterization of M-II, the major human metabolite of
ramelteon.
AB - The duration of action of melatonin may be important for improvements in sleep
efficiency in insomniacs. Ramelteon, a selective melatonin agonist, is primarily
metabolized to the active metabolite M-II, which has a longer half-life and
greater systemic exposure than ramelteon. Hence, M-II may contribute
significantly to the hypnotic benefits of ramelteon. We assessed the ramelteon
like activity of M-II in vitro and in vivo using cats. Binding and functional
studies in Chinese hamster ovary cells expressing human melatonin receptors (MT1
or MT2) revealed that M-II binds melatonin receptors with lower affinity (Ki: 114
and 566 pmol/l for MT1 and MT2, respectively) and has lower potency (IC50: 208
and 1,470 pmol/l for MT1 and MT2, respectively) compared with ramelteon. However,
higher M-II doses significantly improved sleep in cats. Thus, M-II may contribute
to the clinical efficacy of ramelteon.
PMID- 24923231
TI - Speech-language therapists' process of including significant others in aphasia
rehabilitation.
AB - BACKGROUND: Although aphasia rehabilitation should include significant others, it
is currently unknown how this recommendation is adopted in speech-language
therapy practice. Speech-language therapists' (SLTs) experience of including
significant others in aphasia rehabilitation is also understudied, yet a better
understanding of clinical reality would be necessary to facilitate implementation
of best evidence pertaining to family interventions. AIMS: To explore the process
through which SLTs work with significant others of people with aphasia in
rehabilitation settings. METHODS & PROCEDURES: Individual semi-structured
interviews were conducted with eight SLTs who had been working with persons with
aphasia in rehabilitation centres for at least 1 year. Grounded theory principles
were applied in analysing interview transcripts. OUTCOMES & RESULTS: A
theoretical model was developed representing SLTs' process of working with
significant others of persons with aphasia in rehabilitation. Including
significant others was perceived as challenging, yet a bonus to their fundamental
patient-centred approach. Basic interventions with significant others when they
were available included information sharing. If necessary, significant others
were referred to social workers or psychologists or the participants collaborated
with those professionals. Participants rarely and only under specific conditions
provided significant others with language exercises or trained them to
communicate better with the aphasic person. As a result, even if participants
felt satisfied with their efforts to offer family and friends interventions, they
also had unachieved ideals, such as having more frequent contacts with
significant others. CONCLUSIONS & IMPLICATIONS: If SLTs perceived work with
significant others as a feasible necessity, rather than as a challenging bonus,
they could be more inclined to include family and friends within therapy with the
aim to improve their communication with the person with aphasia. SLTs could also
be more satisfied with their practice. In order to operate these changes in
perceptions, we suggest that SLT curriculums include in-depth training about
family intervention, a redefinition of the concept of patient, and exploration of
SLTs' beliefs and emotions related to significant others.
PMID- 24923232
TI - High-protein breakfast promotes weight loss by suppressing subsequent food intake
and regulating appetite hormones in obese Chinese adolescents.
AB - OBJECTIVE: A high-protein diet may decrease food intake through regulating
satiety and appetite hormones and can be an effective strategy for weight loss.
Few studies exist on obese Chinese adolescents. METHODS AND MATERIALS: 156 obese
Chinese adolescents were enrolled and randomly assigned to one of two
isoenergetic breakfasts, either with egg or steamed bread. Subsequent lunchtime
food intake was recorded 4 h later. Appetite was assessed with a visual analog
scale. Anorexigenic hormones peptide YY (PYY), glucagon-like peptide-1 (GLP-1)
and orexigenic hormone ghrelin were determined with radioimmunoassay at 0, 30,
and 180 min. Body weight was recorded. The tests were repeated 3 months later.
Analysis was performed between two tests and then two groups. Pearson's
correlation was used for association analysis. RESULTS: Subsequent lunchtime food
intake and body weight were decreased while satiety was increased in subjects on
an egg breakfast, which is associated with an increase of serum PYY and GLP-1 (p
< 0.001, respectively). There were strong correlations between weight loss,
appetite, subsequent food intake and changes of appetite hormones. CONCLUSION: A
high-protein breakfast promotes weight loss in obese Chinese adolescents,
possibly through its regulation of satiety, subsequent food intake and appetite
hormones.
PMID- 24923233
TI - In situ reconstruction in native and prosthetic aortic infections using
cryopreserved arterial allografts.
AB - OBJECTIVES: To evaluate overall survival and complications of cryopreserved
arterial allografts in aortic graft infections and infected aortic aneurysms.
METHODS: A retrospective review of consecutive patients was conducted with native
or prosthetic aortic infections, who underwent local debridement and in situ
implantation of a cryopreserved aortic allograft from September 2004 to June 2012
at the Henri Mondor University Hospital. Patient characteristics, indications for
allograft implantation, perioperative events, bacteriological data, and events
related to follow-up were identified. The primary outcome was overall survival.
Overall survival was estimated using the Kaplan-Meier method. Predictors of
postoperative mortality were identified using uni- and multivariate analysis with
a Cox proportional hazard regression. RESULTS: During the study period, 54
patients (45 [83%] men, mean age 66.2 +/- 10.2 years) underwent aortic
reconstruction using cryopreserved allografts. Indications were native aortic
infection in 17 patients and prosthetic graft infection in 37 patients, including
seven aortoenteric fistulae. Twelve aortic reconstructions (22%) were performed
as emergency procedures. The median duration of follow-up was 12.1 months (range
0.4-83.6). The 30-day mortality rate was 28%. The overall mortality rate was 39%
at a median follow-up of 12.1 months. Early significant postoperative
complications occurred in 52% of patients. The graft-related mortality rate was
7%. The graft-related complication rate was 19%. During follow-up, there were two
recurrences of aortic infection and two recurrences of allograft limb occlusion.
Multivariate survival analysis identified age, chronic renal disease, prosthetic
infection, emergent procedure, and coronary disease as independent predictors for
postoperative mortality. CONCLUSION: This experience with cryopreserved aortic
allografts in aortic reconstructions shows an unsatisfactory 30-day survival
rate, as well as a substantial early graft-related complication rate. Longer
follow-up is needed in order to support the preferential use of cryopreserved
allografts based on their long-term behavior.
PMID- 24923234
TI - Benefit of catheter-directed thrombolysis for acute iliofemoral DVT: myth or
reality?
PMID- 24923235
TI - Magnetic resonance imaging-derived arterial peak flow in peripheral arterial
disease: towards a standardized measurement.
AB - OBJECTIVE: To determine the best location to measure the arterial peak flow (APF)
in patients with peripheral arterial disease in order to facilitate clinical
standardization. METHODS: Two hundred and fifty-nine patients with varying
degrees of peripheral artery disease (PAD) and 48 patients without PAD were
included. All patients underwent magnetic resonance phase-contrast imaging of the
common femoral artery (CFA), superficial femoral artery (SFA), and popliteal
artery (PA). APF values of patients with PAD were compared with patients with no
PAD. The discriminative ability to identify PAD was evaluated by means of
receiver-operator characteristic curves and the corresponding areas under the
curve (AUC). RESULTS: Mean APF values in patients with PAD were reduced by 42%,
55% and 59% compared with non-PAD patients for the CFA, SFA, and PA, respectively
(p < .01). The AUC's were 0.84, 0.92, and 0.93 for the CFA, SFA, and PA,
respectively. CONCLUSION: The APF measured at the level of the PA shows the
largest differences between patients with PAD and patients with no PAD and the
best discriminative ability compared with the APF acquired in the CFA or SFA. The
PA seems to be the most suitable level for standardized flow measurements in
patients with PAD in order to obtain relevant functional information about the
vascular status.
PMID- 24923236
TI - First-time events between parents and preterm infants are affected by the designs
and routines of neonatal intensive care units.
AB - AIM: Early parental bonding with preterm babies is particularly important, and
the aim of our study was to explore when parents experienced what they regarded
as important events for the first time while their infant was in the neonatal
intensive care unit (NICU). METHODS: The study was part of a longitudinal project
on Kangaroo Mother Care at two Swedish university hospitals. The parents of 81
infants completed questionnaires during their infants' hospital stay. RESULTS:
Most parents saw and touched their infants immediately after birth, but only a
few could hold them skin to skin or swaddle them. Other important events
identified by parents included the first time they performed care giving
activities and did so independently, interaction and closeness with the infant,
signs of the infant's recovery and integration into the family. The timing of the
events depended on the physical design of the NICU, whether parents' could stay
with their infant round-the-clock and when they were allowed to provide care
under supervision and on their own. CONCLUSION: The design and routines of the
NICU dictated when parents first interacted with their infants. Clinical
guidelines that facilitate early contact with preterm babies can help parents to
make the transition to their parental role.
PMID- 24923237
TI - One-pot synthesis of nanocaterpillar structures via in situ nanoparticlization of
fully conjugated poly(p-phenylene)-block-polythiophene.
AB - 1D nanocaterpillar structures were spontaneously formed during the synthesis of
fully conjugated poly(2,5-dihexyloxy-1,4-phenylene)-block-polythiophene due to
the strong pi-pi interactions between the polythiophene blocks. With the
elongation of the polythiophene block, nanostructures evolved from nanospheres to
nanocaterpillars and their length and height increased with good control.
PMID- 24923238
TI - Modelling phosphorus intake, digestion, retention and excretion in growing and
finishing pigs: model description.
AB - Low phosphorus (P) digestibility combined with intensive pig production can
increase P diffuse pollution and environmental load. The aim of this paper was to
develop a deterministic, dynamic model able to represent P digestion, retention
and ultimately excretion in growing and finishing pigs of different genotypes,
offered access to diets of different composition. The model represented the
limited ability of pig endogenous phytase activity to dephosphorylate phytate as
a linear function of dietary calcium (Ca). Phytate dephosphorylation in the
stomach by exogenous microbial phytase enzymes was expressed by a first order
kinetics relationship. The absorption of non-phytate P from the lumen of the
small intestine into the blood stream was set at 0.8 and the dephosphorylated
phytate from the large intestine was assumed to be indigestible. The net
efficiency of using digested P was set at 0.94 and assumed to be independent of
BW, and constant across genotype and sex. P requirements for both maintenance and
growth were made simple functions of body protein mass, and hence functions of
animal genotype. Undigested P was assumed to be excreted in the feaces in both
soluble and insoluble forms. If digestible P exceeded the requirements for P then
the excess digestible P was excreted through the urinary flow; thus the model
represented both forms of P excretion (soluble and insoluble) into the
environment. Using a UK industry standard diet, model behaviour was investigated
for its predictions of P digestibility, retention and excretion under different
levels of inclusion of microbial phytase and dietary Ca, and different non
phytate P : phytate ratios in the diet, thus covering a broad space of potential
diet compositions. Model predictions were consistent with our understanding of P
digestion, metabolism and excretion. Uncertainties associated with the underlying
assumptions of the model were identified. Their consequences on model
predictions, as well as the model evaluation are assessed in a companion paper.
PMID- 24923240
TI - Diagnosis and management of Budd Chiari syndrome: an update.
AB - Imaging plays a crucial role in the early detection and assessment of the extent
of disease in Budd Chiari syndrome (BCS). Early diagnosis and intervention to
mitigate hepatic congestion is vital to restoring hepatic function and
alleviating portal hypertension. Interventional radiology serves a key role in
the management of these patients. The interventionist should be knowledgeable of
the clinical presentation as well as key imaging findings, which often dictate
the approach to treatment. This article concisely reviews the etiology,
pathophysiology, and clinical presentation of BCS and provides a detailed
description of imaging and treatment options, particularly interventional
management.
PMID- 24923241
TI - Recanalization and mortality rates of thrombectomy with stent-retrievers in
octogenarian patients with acute ischemic stroke.
AB - BACKGROUND: Our objective was to evaluate the effect of treatment with stent
retrievers in octogenarians suffering an acute ischemic stroke. METHODS: A total
of 150 consecutive patients with acute stroke who were treated with stent
retrievers between April 2010 and June 2012 were retrospectively reviewed.
Patients were divided into those <80 years old (n = 116) and those >=80 (n = 34).
Baseline characteristics, procedure data, and endpoints (postprocedural NIHSS,
death, and mRS at 3 months) were compared. RESULTS: High blood pressure, atrial
fibrillation, and anticoagulation were more frequent in octogenarians (p = 0.01,
0.003, and 0.04 respectively). There were no differences between both groups
regarding previous intravenous thrombolysis (32.4 vs. 48.3 %, p = 0.1),
preprocedural NIHSS (18.1 vs. 16.8, p = 0.3), procedure time (74.5 (40-114) min
vs. 63 (38-92) min, p = 0.2), revascularization time (380.5 (298-526.3) min vs.
350 (296.3-452.8), p = 0.3), TICI >= 2B (88.2 vs. 93.9 %, p = 0.1), and
symptomatic haemorrhage (5.9 vs. 2.6 %, p = 0.3). Discharge NIHSS was higher in
octogenarians (9.7 vs. 6.5, p = 0.03). Death and 3-month mRS >=3 were more
frequent in octogenarians (35.3 vs. 17.2 %, p = 0.02 and 73.5 vs. 37.1 %, p =
0.02). ICA-involvement and prolonged revascularization involved higher mortality
(66.7 vs. 27.6 %, p = 0.03) and worse mRS (50 vs. 24.4 %, p = 0.06) in
octogenarians. CONCLUSIONS: In our series, treatment with stent-retrievers in
octogenarians with acute ischemic stroke achieved good rates of recanalization
but with a high mortality rate. ICA involvement and revascularization times
beyond 6 hours associated to a worse prognosis. These data might be of value in
the design of prospective studies evaluating the clinical efficacy of the
endovascular treatments in octogenarians.
PMID- 24923239
TI - Neonatal melanocortin receptor agonist treatment reduces play fighting and
promotes adult attachment in prairie voles in a sex-dependent manner.
AB - The melanocortin receptor (MCR) system has been studied extensively for its role
in feeding and sexual behavior, but effects on social behavior have received
little attention. alpha-MSH interacts with neural systems involved in sociality,
including oxytocin, dopamine, and opioid systems. Acute melanotan-II (MTII), an
MC3/4R agonist, potentiates brain oxytocin (OT) release and facilitates OT
dependent partner preference formation in socially monogamous prairie voles. Here
we examined the long-term impact of early-life MCR stimulation on hypothalamic
neuronal activity and social development in prairie voles. Male and female voles
were given daily subcutaneous injections of 10 mg/kg MTII or saline between
postnatal days (PND) 1-7. Neonatally-treated males displayed a reduction in
initiated play fighting bouts as juveniles compared to control males. Neonatal
exposure to MTII facilitated partner preference formation in adult females, but
not males, after a brief cohabitation with an opposite-sex partner. Acute MTII
injection elicited a significant burst of the immediate early gene EGR-1
immunoreactivity in hypothalamic OT, vasopressin, and corticotrophin releasing
factor neurons, when tested in PND 6-7 animals. Daily neonatal treatment with 1
mg/kg of a more selective, brain penetrant MC4R agonist, PF44687, promoted adult
partner preferences in both females and males compared with vehicle controls.
Thus, developmental exposure to MCR agonists lead to a persistent change in
social behavior, suggestive of structural or functional changes in the neural
circuits involved in the formation of social relationships.
PMID- 24923242
TI - Mothers' experience of their contact with their stillborn infant: an
interpretative phenomenological analysis.
AB - BACKGROUND: Guidelines surrounding maternal contact with the stillborn infant
have been contradictory over the past thirty years. Most studies have reported
that seeing and holding the stillborn baby is associated with fewer anxiety and
depressive symptoms among mothers of stillborn babies than not doing so. In
contrast, others studies suggest that contact with the stillborn infant can lead
to poorer maternal mental health outcomes. There is a lack of research focusing
on the maternal experience of this contact. The present study aimed to
investigate how mothers describe their experience of spending time with their
stillborn baby and how they felt retrospectively about the decision they made to
see and hold their baby or not. METHOD: In depth interviews were conducted with
twenty-one mothers three months after stillbirth. All mothers had decided to see
and the majority to hold their baby. Qualitative analysis of the interview data
was performed using Interpretive Phenomenological Analysis. RESULTS: Six
superordinate themes were identified: Characteristics of Contact, Physicality;
Emotional Experience; Surreal Experience; Finality; and Decision. Having contact
with their stillborn infant provided mothers with time to process what had
happened, to build memories, and to 'say goodbye', often sharing the experience
with partners and other family members. The majority of mothers felt satisfied
with their decision to spend time with their stillborn baby. Several mothers
talked about their fear of seeing a damaged or dead body. Some mothers
experienced strong disbelief and dissociation during the contact. CONCLUSIONS:
Results indicate that preparation before contact with the baby, professional
support during the contact, and professional follow-up are crucial in order to
prevent the development of maternal mental health problems. Fears of seeing a
damaged or dead body should be sensitively explored and ways of coping discussed.
Even in cases where mothers experienced intense distress during the contact with
their stillborn baby, they still described that having had this contact was
important and that they had taken the right decision. This indicates a need for
giving parents an informed choice by engaging in discussions about the possible
benefits and risks of seeing their stillborn baby.
PMID- 24923243
TI - Mechanistic study of gold(I)-catalyzed hydroamination of alkynes: outer or inner
sphere mechanism?
AB - An experimental mechanistic study of the gold(I)-catalyzed hydroamination shows
the formation of conformationally flexible auro-iminium salts Au-Im, which
originate from the protonation of a vinyl gold species. Rotation around the C-CAu
bond is the reason for the loss of stereospecificity of protodeauration, which
explains the stereochemical result of the Stradiotto reaction. The ambiguity
about inner or outer sphere mechanism is thus resolved in favor of the outer
sphere mechanism.
PMID- 24923244
TI - Smoking and multiple sclerosis: evidence for latitudinal and temporal variation.
AB - There is growing evidence for the role of smoking in the aetiology of multiple
sclerosis. We have expanded existing meta-analyses and further explored the roles
of study design, gender, latitude and year of study with regression modelling. We
have found a consistent association between smoking and MS with an odds ratio of
approximately 1.5, with males at higher risk. This finding is independent of
study design. However, latitude and year of study may have unexpected influence.
Smoking appeared to confer a greater risk to females living closer to the equator
than to females at higher latitudes. The effect of cigarette smoke exposure on MS
risk may not be fixed over time, but could be increasing. These results suggest a
threshold model of MS risk that includes a fairly constant genetic risk (for
Caucasian populations) together with variable environmental risks which are
dominated by vitamin D deficiency at higher latitudes and are more significant in
women who have an intrinsically lower threshold for development of disease.
PMID- 24923245
TI - Cessation and resuming of alglucosidase alfa in Pompe disease: a retrospective
analysis.
AB - Enzyme replacement therapy (ERT) with recombinant human alglucosidase alfa
(rhGAA) in late-onset Pompe disease is moderately effective. Little is known
about the clinical course after treatment termination and the resumption of ERT.
In Switzerland, rhGAA therapy for Pompe disease was temporarily withdrawn after
the federal court judged that the treatment costs were greatly out of proportion
compared to the benefits. Re-treatment was initiated after the therapy was
finally licensed. We retrospectively analysed seven Pompe patients, who underwent
cessation and resumption of ERT (median age 43 years). The delay from first
symptoms to final diagnosis ranged from 4 to 20 years. The demographics, clinical
characteristics, assessments with the 6-min walking test (6-MWT), the predicted
forced vital capacity (FVC) and muscle strength were analysed. Before initiation
of ERT, all patients suffered from proximal muscle weakness of the lower limbs;
one was wheelchair-bound and two patients received night-time non-invasive
ventilation. Initial treatment stabilised respiratory function in most patients
and improved their walking performance. After treatment cessation, upright FVC
declined in most and the 6-MWT declined in all patients. Two patients needed
additional non-invasive ventilatory support. Twelve months after resuming ERT,
the respiratory and walking capacity improved again in most patients. However,
aside for one patient, none of the patients reached the same level of respiratory
function or distance walked in 6 min, as at the time of ERT withdrawal. We
conclude that cessation of ERT in Pompe disease causes a decline in clinical
function and should be avoided. Resuming treatment only partially recovers
respiratory function and walking capacity.
PMID- 24923246
TI - Current state of diagnostic management of acute vertigo: a survey of neurologists
in Germany.
PMID- 24923247
TI - Stopping power of liquid water for carbon ions in the energy range between 1 MeV
and 6 MeV.
AB - The stopping power of liquid water was measured for the first time for carbon
ions in the energy range between 1 and 6 MeV using the inverted Doppler shift
attenuation method. The feasibility study carried out within the scope of the
present work shows that this method is well suited for the quantification of the
controversial condensed phased effect in the stopping power for heavy ions in the
intermediate energy range. The preliminary results of this work indicate that the
stopping power of water for carbon ions with energies prevailing in the Bragg
peak region is significantly lower than that of water vapor. In view of the
relatively high uncertainty of the present results, a new experiment with
uncertainties less than the predicted difference between the stopping powers of
both water phases is planned.
PMID- 24923248
TI - From mobile phone data to the spatial structure of cities.
AB - Pervasive infrastructures, such as cell phone networks, enable to capture large
amounts of human behavioral data but also provide information about the structure
of cities and their dynamical properties. In this article, we focus on these last
aspects by studying phone data recorded during 55 days in 31 Spanish cities. We
first define an urban dilatation index which measures how the average distance
between individuals evolves during the day, allowing us to highlight different
types of city structure. We then focus on hotspots, the most crowded places in
the city. We propose a parameter free method to detect them and to test the
robustness of our results. The number of these hotspots scales sublinearly with
the population size, a result in agreement with previous theoretical arguments
and measures on employment datasets. We study the lifetime of these hotspots and
show in particular that the hierarchy of permanent ones, which constitute the
'heart' of the city, is very stable whatever the size of the city. The spatial
structure of these hotspots is also of interest and allows us to distinguish
different categories of cities, from monocentric and "segregated" where the
spatial distribution is very dependent on land use, to polycentric where the
spatial mixing between land uses is much more important. These results point
towards the possibility of a new, quantitative classification of cities using
high resolution spatio-temporal data.
PMID- 24923249
TI - Improved MR venography using quantitative susceptibility-weighted imaging.
AB - PURPOSE: To remove the geometry dependence of phase-based susceptibility
weighting masks in susceptibility-weighted imaging (SWI) and to improve the
visualization of the veins and microbleeds. MATERIALS AND METHODS: True SWI
(tSWI) was generated using susceptibility-based masks. Simulations were used to
evaluate the influence of the characteristic parameters defining the mask. In
vivo data from three healthy adult human volunteers were used to compare the
contrast-to-noise-ratios (CNRs) of the right septal vein and the left internal
cerebral vein as measured from both tSWI and SWI data. A traumatic brain injury
(TBI) patient dataset was used to illustrate qualitatively the proper
visualization of microbleeds using tSWI. RESULTS: Compared with conventional SWI,
tSWI improved the CNR of the two selected veins by a factor of greater than three
for datasets with isotropic resolution and greater than 30% for datasets with
anisotropic resolution. Veins with different orientations can be properly
enhanced in tSWI. Furthermore, the blooming artifact due to the strong dipolar
phase of microbleeds in conventional SWI was reduced in tSWI for the TBI case.
CONCLUSION: The use of tSWI overcomes the geometric limitations of using phase
and provides better visualization of the venous system, especially for data
collected with isotropic resolution.
PMID- 24923250
TI - A barcode of organellar genome polymorphisms identifies the geographic origin of
Plasmodium falciparum strains.
AB - Malaria is a major public health problem that is actively being addressed in a
global eradication campaign. Increased population mobility through international
air travel has elevated the risk of re-introducing parasites to elimination areas
and dispersing drug-resistant parasites to new regions. A simple genetic marker
that quickly and accurately identifies the geographic origin of infections would
be a valuable public health tool for locating the source of imported outbreaks.
Here we analyse the mitochondrion and apicoplast genomes of 711 Plasmodium
falciparum isolates from 14 countries, and find evidence that they are non
recombining and co-inherited. The high degree of linkage produces a panel of
relatively few single-nucleotide polymorphisms (SNPs) that is geographically
informative. We design a 23-SNP barcode that is highly predictive (~92%) and
easily adapted to aid case management in the field and survey parasite migration
worldwide.
PMID- 24923252
TI - Diagnostic efficacy of the diffusion weighted imaging in the characterization of
different types of breast lesions.
AB - PURPOSE: To evaluate the diagnostic efficacy of quantitative Diffusion-weighted
imaging (DWI) in the characterization of breast lesions of mass and non-mass
enhancement (NME) types. MATERIALS AND METHODS: After the institutional review
board gave approval, DWI exams of 267 women with 212 suspicious masses, 73 NMEs
were retrospectively analyzed. Apparent diffusion coefficients (ADCs) of benign
and malignant lesions were compared. Cutoff values were obtained by receiver
operating characteristics analysis. Diagnostic accuracies of DWI for masses and
NMEs were compared with the use of Chi-square test. The effect of the lesions
histologic subtypes and size on diagnostic accuracies was evaluated. RESULTS:
ADCs were significantly lower in malignants than in benigns for both masses (0.75
versus 1.21 * 10(-3) mm(2) /s,) and NMEs (0.79 versus 1.06 * 10(-3) mm(2) /s)(P <
0.001). Cutoff value was 0.90 * 10(-3) mm(2) /s for both lesion types. The
accuracy of DWI was lower in NMEs (76.7%) than masses (89.2%) (P = 0.008)
unrelated to lesion size. There was more overlap in ADCs of the benign and
malignant NMEs due to the lower ADCs of the benign histologies of this group.
CONCLUSION: Despite the lower diagnostic accuracy of DWI in NMEs, it could be
helpful in the characterization of suspicious breast lesions of both mass and NME
types.
PMID- 24923253
TI - Delamanid: first global approval.
AB - Delamanid, a nitro-dihydro-imidazooxazole derivative, has been developed by
Otsuka Pharmaceutical for the treatment of multidrug-resistant tuberculosis (MDR
TB). Delamanid received its first global approval for the treatment of MDR-TB in
the European Union (EU), for use in combination with optimised background
therapy. It is also under review for marketing in Japan for MDR-TB, the first
drug application filed in Japan for this indication. Delamanid has been granted
orphan drug status in both the EU and Japan. This article summarizes the
milestones in the development of delamanid leading to this first approval for MDR
TB.
PMID- 24923251
TI - Anti-nociception mediated by a kappa opioid receptor agonist is blocked by a
delta receptor agonist.
AB - BACKGROUND AND PURPOSE: The opioid receptor family comprises four structurally
homologous but functionally distinct sub-groups, the MU (MOP), delta (DOP), kappa
(KOP) and nociceptin (NOP) receptors. As most opioid agonists are selective but
not specific, a broad spectrum of behaviours due to activation of different
opioid receptors is expected. In this study, we examine whether other opioid
receptor systems influenced KOP-mediated antinociception. EXPERIMENTAL APPROACH:
We used a tail withdrawal assay in C57Bl/6 mice to assay the antinociceptive
effect of systemically administered opioid agonists with varying selectivity at
KOP receptors. Pharmacological and genetic approaches were used to analyse the
interactions of the other opioid receptors in modulating KOP-mediated
antinociception. KEY RESULTS: Etorphine, a potent agonist at all four opioid
receptors, was not anti-nociceptive in MOP knockout (KO) mice, although etorphine
is an efficacious KOP receptor agonist and specific KOP receptor agonists remain
analgesic in MOP KO mice. As KOP receptor agonists are aversive, we considered
KOP-mediated antinociception might be a form of stress-induced analgesia that is
blocked by the anxiolytic effects of DOP receptor agonists. In support of this
hypothesis, pretreatment with the DOP antagonist, naltrindole (10 mg.kg(-1) ),
unmasked etorphine (3 mg.kg(-1) ) antinociception in MOP KO mice. Further, in
wild-type mice, KOP-mediated antinociception by systemic U50,488H (10 mg.kg(-1) )
was blocked by pretreatment with the DOP agonist SNC80 (5 mg.kg(-1) ) and
diazepam (1 mg.kg(-1) ). CONCLUSIONS AND IMPLICATIONS: Systemic DOP receptor
agonists blocked systemic KOP antinociception, and these results identify DOP
receptor agonists as potential agents for reversing stress-driven addictive and
depressive behaviours mediated through KOP receptor activation. LINKED ARTICLES:
This article is part of a themed section on Opioids: New Pathways to Functional
Selectivity. To view the other articles in this section visit
http://dx.doi.org/10.1111/bph.2015.172.issue-2.
PMID- 24923254
TI - Continuous-flow extractive desorption electrospray ionization coupled to normal
phase separations and for direct lipid analysis from cell extracts.
AB - Normal phase liquid chromatography is a common mode for chiral separations. Many
chiral amines are used as drugs or are important intermediates for drug
synthesis. Electrospray ionization mass spectrometry is well known for its high
sensitivity. However, when using normal phase liquid chromatography, electrospray
ionization is hampered by the poor ionization efficiency of analytes from organic
eluents. Continuous-flow extractive desorption electrospray ionization, which
introduces the eluents through a hypodermic needle into the electrospray plume is
demonstrated here for its success to interface normal phase liquid chromatography
to mass spectrometry detection. Such an approach was shown to be as or more
sensitive than ultraviolet detection for a selected set of aromatic amine
functionalized enantiomers. Also demonstrated is the direct infusion of cell
extracts to monitor phospholipids from three different bacterial cells. Despite
their presence in non-electrospray-ionization-friendly extraction solvents,
continuous-flow extractive desorption electrospray ionization enabled the
sensitive detection of phospholipids and the ability to tune ion forms through
incorporation of different spray modifiers.
PMID- 24923256
TI - Novel nanocomposite hydrogels consisting of layered double hydroxide with
ultrahigh tensibility and hierarchical porous structure at low inorganic content.
AB - A novel type of polymer nanocomposite (NC) hydrogel with extraordinary mechanical
properties at low inorganic content is prepared and investigated. The NC
hydrogels consist of isethionate-loaded layered double hydroxide/polyacrylamide
(LDH-Ise/PAM) - with LDH-Ise being used because of its swelling properties - and
no conventional organic crosslinker. The NC hydrogels exhibit an unusual
hierarchical porous structure at the micro- and nanometer scales, and their
elongation at break can exceed 4000%.
PMID- 24923255
TI - Prevalence of human noroviruses in frozen marketed shellfish, red fruits and
fresh vegetables.
AB - Noroviruses (NoVs), currently recognised as the most common human food-borne
pathogens, are ubiquitous in the environment and can be transmitted to humans
through multiple foodstuffs. In this study, we evaluated the prevalence of human
NoV genogroups I (GI) and II (GII) in 493 food samples including soft red fruits
(n = 200), salad vegetables (n = 210) and bivalve mollusc shellfish (n = 83),
using the Bovine Enterovirus type 1 as process extraction control for the first
time. Viral extractions were performed by elution concentration and genome
detection by TaqMan Real-Time RT-PCR (RT-qPCR). Experimental contamination using
hepatitis A virus (HAV) was used to determine the limit of detection (LOD) of the
extraction methods. Positive detections were obtained from 2 g of digestive
tissues of oysters or mussels kept for 16 h in seawater containing 2.0-2.7 log10
plaque-forming units (PFU)/L of HAV. For lettuces and raspberries, the LOD was,
respectively, estimated at 2.2 and 2.9 log10 PFU per 25 g. Of the molluscs
tested, 8.4 and 14.4% were, respectively, positive for the presence of GI NoV and
GII NoV RNA. Prevalence in GI NoVs varied from 11.9% for the salad vegetables
samples to 15.5% for the red soft fruits. Only 0.5% of the salad and red soft
fruits samples were positive for GII NoVs. These results highlight the high
occurrence of human NoVs in foodstuffs that can be eaten raw or after a moderate
technological processing or treatment. The determination of the risk of infection
associated with an RT-qPCR positive sample remains an important challenge for the
future.
PMID- 24923257
TI - Effect of pyrimethamine treatment on male rat testicular cell population
development.
AB - Pyrimethamine (PYR) is a drug used in the treatment of newborn with congenital
Toxoplasmosis. Even when PYR is highly specific against parasites, it may provoke
neutropenia in the patients apart from other affectations, conditions that
usually justify its suspension. Moreover, medication against congenital
toxoplasmosis coincides with the proliferation stage of Sertoli and germ cells.
Although, there are several reports on the effect of this drug on mature testes,
records of its effects on the testes of young individuals yet in the process of
growth are still lacking. This work was aimed to study the effects of in vivo
administration of PYR in the first 21 days of life of male rat pups by evaluating
their testicular alterations and its long-term sequels on fertility. Through the
determination of the levels of seminiferous epithelium maturity, apoptotic index
and cell proliferation index at 7, 14, 35 and 90 days post-natal using
immunocytochemical studies. The fertility of the treated rats was evaluated at 90
days. PYR-treated animals were found to undergo some kind of delays in
seminiferous epithelium maturity, decreased cell proliferation index and an
increase in apoptosis when compared with the control (p < 0.05). Epididymal sperm
counts were also affected (p < 0.05). The application of folic acid (FA) in
newborns treated with PYR decreased the severity of the problem (p < 0.05). This
study provides strong evidence that the effect of PYR on testicular development
is specific. It reinforces the importance of FA application in neonates treated
with PYR to prevent the effect of the later on spermatogenesis.
PMID- 24923258
TI - Trauma exposure and the subsequent risk of coronary heart disease among mid-aged
women.
AB - The objective of the current study was to examine whether exposure to trauma in
the form of a history of physical, mental, emotional or sexual abuse or violence
predicted new onset of coronary heart disease (CHD) in women. In addition, this
study aimed to examine the mediation effects of psychological, lifestyle and
health related factors in the abuse-CHD relationship. Data from 6 surveys over 15
years, from the Australian Longitudinal Study on Women's Health, a large
prospective cohort study, were used. Participants from the 1946-1951 cohort who
did not self-report heart disease at surveys 1 (1996) and 2 (1998) and who had
provided information on other variables were included (n = 9,276). After
adjusting for age, women who reported trauma exposure at baseline were 1.54 times
more likely (95% confidence interval 1.29-1.83) to report new onset of CHD than
those who did not report trauma exposure. The association between trauma and CHD
was largely explained by psychological factors, suggesting a direct pathway
between exposure to trauma and risk of CHD.
PMID- 24923259
TI - Internet-based guided self-help intervention for chronic pain based on Acceptance
and Commitment Therapy: a randomized controlled trial.
AB - Acceptance-based psychological interventions can potentially minimize the burden
of chronic pain. This randomized controlled trial evaluated an internet
delivered, guided self-help intervention based on Acceptance and Commitment
Therapy (ACT). A total of 238 chronic pain sufferers from the general population
were randomly allocated to either ACT (n = 82), an internet-based control
condition Expressive Writing (n = 79) or a waiting list condition (n = 77).
Participants completed measures at baseline, posttreatment (3 months) and at a 3
month follow-up. At follow-up, ACT participants had improved in pain interference
in daily life (primary outcome) compared to participants in Expressive Writing
(Cohen's d = .47), but not compared to waiting list participants (p value = .11).
Those who adhered to the ACT-intervention (48%) did improve significantly
compared to waiting list participants (d = .49). ACT-participants also showed
superior improvement on depression, pain intensity, psychological inflexibility
and pain catastrophizing (d: .28-.60). Significant clinical improvement was
present. Especially, 28% of ACT-participants showed general clinically relevant
improvement in pain interference, as well as in pain intensity and depression
(vs. Expressive Writing and waiting list 5%). Given these findings, internet
based ACT programs may be a promising treatment modality for chronic pain.
PMID- 24923260
TI - Retrospective cohort study evaluating the impact of intraperitoneal morcellation
on outcomes of localized uterine leiomyosarcoma.
AB - BACKGROUND: Uterine leiomyosarcoma (ULMS) is identified in 0.1% to 0.2% of
hysterectomy specimens of presumed leiomyoma. To date, there is no preoperative
technique that reliably differentiates ULMS from uterine leiomyoma. Increasing
use of minimally invasive approaches for the management of leiomyomas may result
in inadvertently morcellated ULMS with resultant intraperitoneal dissemination of
tumor. The objective of this study was to assess the impact of intraperitoneal
morcellation on the outcomes of patients with ULMS. METHODS: In this
retrospective cohort study, all patients with ULMS who attended the authors'
institutions from 2007 to 2012 were reviewed. Demographics and outcomes were
compared between those who underwent morcellation or total abdominal hysterectomy
(TAH) as their first surgery for uterus-limited ULMS. RESULTS: In total, 58
patients were identified, including 39 who underwent TAH and 19 who underwent
intraperitoneal morcellation. Intraperitoneal morcellation was associated with a
significantly increased risk of abdominal/pelvic recurrences (P = .001) and with
significantly shorter median recurrence-free survival (10.8 months vs 39.6
months; P = .002). A multivariate adjusted model demonstrated a > 3 times
increased risk of recurrence associated with morcellation (hazard ratio, 3.18;
95% confidence interval, 1.5-6.8; P = .003). CONCLUSIONS: Intraperitoneal
morcellation of presumed leiomyoma worsens the outcomes of women with ULMS.
Because there are no reliable preoperative techniques to distinguish ULMS from
benign leiomyoma, all efforts to minimize intraperitoneal uterine morcellation
should be considered. [See editorial on pages 000-000, this issue.]
PMID- 24923261
TI - Primary biliary "cirrhosis": time to replace a misnomer.
PMID- 24923262
TI - Is alcohol drinking associated with renal impairment in the general population of
South Korea?
AB - BACKGROUND/AIMS: We examined relationships between the average amount of daily
alcohol intake, drinking patterns, and renal dysfunction among South Korean
adultsaged >= 20 years. METHODS: The analysis used data from the Korean National
Health and Nutrition Examination Survey (KNHANES), a cross-sectional survey of
Korean civilians, conducted from January to December 2011. In this study, a
sample of 5,251 participants was analysed. RESULTS: Compared with abstinence, the
odds ratio for a decrease in estimated glomerular filtration rate (eGFR) was 0.14
(95% CI: 0.01-0.91) among heavy drinkers, and 0.42 (95% CI: 0.17-0.98) among
binge drinkers and the association between the amount of mean daily alcohol
intake, binge-drinking status and a likelihood of reduced eGFR value showed
significant trends (p = 0.041 and p = 0.038, respectively), after adjusting for
age, smoking status, amount of physical activity, morbid hypertension, diabetes,
dyslipidaemia, anaemia and body mass index. There was no significant association
between alcohol consumption and the urine albumin to creatinine ratio in men, or
between alcohol consumption and renal dysfunction in women. CONCLUSIONS: Alcohol
consumption was inversely associated with a reduction in eGFR in Korean men.
However, these findings should be interpreted cautiously, given the other harmful
effects related to alcohol consumption, especially heavy and binge drinking.
PMID- 24923263
TI - Application of in silico modelling to estimate toxicity of migrating substances
from food packaging.
AB - This study derived toxicity estimates for a set of 136 chemical migrants from
food packaging materials using in silico (computational) modelling and read
across approaches. Where available, the predicted results for mutagenicity and
carcinogenicity were compared with published experimental data. As the packaging
compounds are subject to safety assessment, the migrating substances were more
likely to be negative for both the endpoints. A set of structural analogues with
positive experimental data for carcinogenicity and/or mutagenicity was therefore
used as a positive comparator. The results showed that a weight of evidence
assembled from different in silico models and read-across from already-tested
structurally similar compounds can provide a rapid and reliable means for rapid
screening of new yet-untested intentional or unintentional chemical compounds
that may migrate to packaged foodstuffs.
PMID- 24923265
TI - GC-MS and GC-MS/MS measurement of the cardiovascular risk factor homoarginine in
biological samples.
AB - L-Homoarginine (hArg) has recently emerged as a novel cardiovascular risk factor
and to herald a poor prognosis in heart failure patients. Here, we report on the
development and thorough validation of gas chromatography-mass spectrometry (GC
MS) and gas chromatography-tandem mass spectrometry (GC-MS/MS) methods for the
quantitative determination of hArg in biological samples, including human plasma,
urine and sputum. For plasma and serum samples, ultrafiltrate (10 uL; cutoff, 10
kDa) was used. For urine samples, native urine (10 uL) was used. For sputum,
protein precipitation by acetone was performed. hArg is derivatized to its methyl
ester tri(N-pentafluoropropionyl) derivative; de novo synthesized trideutero
methyl ester hArg is used as the internal standard (IS). Alternatively,
[guanidino-(15)N2]-arginine can be used as an IS. Quantitative analyses were
performed after electron-capture negative-ion chemical ionization by selected-ion
monitoring in GC-MS and selected-reaction monitoring in GC-MS/MS. We obtained
very similar hArg concentrations by GC-MS and GC-MS/MS, suggesting that GC-MS
suffices for accurate and precise quantification of hArg in biological samples.
In plasma and serum samples of the same subjects very close hArg concentrations
were measured. The plasma-to-serum hArg concentration ratio was determined to be
1.12 +/- 0.21 (RSD, 19 %), suggesting that blood anticoagulation is not a major
preanalytical concern in hArg analysis. In healthy subjects, the creatinine
corrected urinary excretion of hArg varies considerably (0.18 +/- 0.22 umol/mmol,
mean +/- SD, n = 19) unlike asymmetric dimethylarginine (ADMA, 2.89 +/- 0.89
umol/mmol). In urine, hArg correlated with ADMA (r = 0.475, P = 0.040); in
average, subjects excreted in the urine about 17.5 times more ADMA than hArg. In
plasma of healthy humans, the concentration of hArg is of the order of 2 uM. hArg
may be a low-abundance constituent of human plasma proteins. The GC-MS and GC
MS/MS methods we report in this article are useful to study the physiology and
pathology of hArg in experimental and clinical settings.
PMID- 24923264
TI - Dietary supplementation with essential amino acids boosts the beneficial effects
of rosuvastatin on mouse kidney.
AB - The effects of high-potency statins on renal function are controversial. To
address the impact of statins on renal morpho-functional aspects, normotensive
young mice were treated with rosuvastatin (Rvs). Moreover, because statins may
impair mitochondrial function, mice received either dietary supplementation with
an amino acid mixture enriched in essential amino acids (EAAm), which we
previously demonstrated to increase mitochondrial biogenesis in muscle or an
unsupplemented control diet for 1 month. Mitochondrial biogenesis and function,
apoptosis, and insulin signaling pathway events were studied, primarily in
cortical proximal tubules. By electron microscopy analysis, mitochondria were
more abundant and more heterogeneous in size, with dense granules in the inner
matrix, in Rvs- and Rvs plus EAAm-treated animals. Rvs administration increased
protein kinase B and endothelial nitric oxide synthase phosphorylation, but the
mammalian target of rapamycin signaling pathway was not affected. Rvs increased
the expression of sirtuin 1, peroxisome proliferator-activated receptor gamma
coactivator-1alpha, cytochrome oxidase type IV, cytochrome c, and mitochondrial
biogenesis markers. Levels of glucose-regulated protein 75 (Grp75), B-cell
lymphoma 2, and cyclin-dependent kinase inhibitor 1 were increased in cortical
proximal tubules, and expression of the endoplasmic reticulum-mitochondrial
chaperone Grp78 was decreased. EAAm supplementation maintained or enhanced these
changes. Rvs promotes mitochondrial biogenesis, with a probable anti-apoptotic
effect. EAAm boosts these processes and may contribute to the efficient control
of cellular energetics and survival in the mouse kidney. This suggests that
appropriate nutritional interventions may enhance the beneficial actions of Rvs,
and could potentially prevent chronic renal side effects.
PMID- 24923266
TI - Skin and soft-tissue infections caused by Actinobaculum schaalii: report of two
cases and literature review.
AB - Skin and soft-tissue infections (SSTIs) caused by Actinobaculum spp. are very
rare. In the present study, we report two cases and review the literature. The
first case was an immunocompromised patient with an extensive cellulitis
secondary to an inguinal abscess, and the second case was a patient with a
pilonidal abscess. Clinical outcomes of both patients were good after surgical
drainage and treatment with cloxacillin. The review of the literature showed that
SSTIs caused by Actinobaculum spp. are usually located on the perineal and
inguinal regions and can be severe, particularly in immunocompromised patients.
SSTIs caused by Actinobaculum spp. can be overlooked because identification is
often difficult and they can be considered as contaminants.
PMID- 24923267
TI - Antimicrobial susceptibility of clinical isolates of anaerobic bacteria in
Ontario, 2010-2011.
AB - The local epidemiology of antimicrobial susceptibility patterns in anaerobic
bacteria is important in guiding the empiric treatment of infections. However,
susceptibility data are very limited on anaerobic organisms, particularly among
non-Bacteroides organisms. To determine susceptibility profiles of clinically
significant anaerobic bacteria in Ontario Canada, anaerobic isolates from sterile
sites submitted to Public Health Ontario Laboratory (PHOL) for identification and
susceptibility testing were included in this study. Using the E-test method,
isolates were tested for various antimicrobials including, penicillin, cefoxitin,
clindamycin, meropenem, piperacillin-tazobactam and metronidazole. The MIC
results were interpreted based on guidelines published by Clinical and Laboratory
Standards Institute. Of 2527 anaerobic isolates submitted to PHOL, 1412 were
either from sterile sites or bronchial lavage, and underwent susceptibility
testing. Among Bacteroides fragilis, 98.2%, 24.7%, 1.6%, and 1.2% were resistant
to penicillin, clindamycin, piperacillin-tazobactam, and metronidazole,
respectively. Clostridium perfringens was universally susceptible to penicillin,
piperacillin-tazobactam, and meropenem, whereas 14.2% of other Clostridium spp.
were resistant to penicillin. Among Gram-positive anaerobes, Actinomyces spp.,
Parvimonas micra and Propionibacterium spp. were universally susceptible to beta
lactams. Eggerthella spp., Collinsella spp., and Eubacterium spp. showed variable
resistance to penicillin. Among Gram-negative anaerobes, Fusobacterium spp.,
Prevotella spp., and Veillonella spp. showed high resistance to penicillin but
were universally susceptible to meropenem and piperacillin-tazobactam. The
detection of metronidazole resistant B. fragilis is concerning as occurrence of
these isolates is extremely rare. These data highlight the importance of ongoing
surveillance to provide clinically relevant information to clinicians for empiric
management of infections caused by anaerobic organisms.
PMID- 24923269
TI - A prospective study on time to recovery in 254 injured novice runners.
AB - OBJECTIVES: Describe the diagnoses and the time to recovery of running-related
injuries in novice runners. DESIGN: Prospective cohort study on injured runners.
METHOD: This paper is a secondary data analysis of a 933-person cohort study
(DANO-RUN) aimed at characterizing risk factors for injury in novice runners.
Among those sustaining running-related injuries, the types of injuries and time
to recovery is described in the present paper. All injured runners were diagnosed
after a thorough clinical examination and then followed prospectively during
their recovery. If they recovered completely from injury, time to recovery of
each injury was registered. RESULTS: A total of 254 runners were injured. The
proportion of runners diagnosed with medial tibial stress syndrome was 15%, 10%
for patellofemoral pain, 9% for medial meniscal injury, 7% for Achilles
tendinopathy and 5% for plantar fasciitis. Among the 220 runners (87%) recovering
from their injury, the median time to recovery was 71 days (minimum = 9 days,
maximum = 617 days). CONCLUSIONS: Medial tibial stress syndrome was the most
common injury followed by patellofemoral pain, medial meniscal injury and
Achilles tendinopathy. Half of the injured runners were unable to run 2*500
meters without pain after 10 weeks. Almost 5% of the injured runners received
surgical treatment.
PMID- 24923270
TI - Social participation and the prevention of functional disability in older
Japanese: the JAGES cohort study.
AB - BACKGROUND: We examined the relationship between incident functional disability
and social participation from the perspective of number of types of organizations
participated in and type of social participation in a prospective cohort study.
METHOD: The study was based on the Aichi Gerontological Evaluation Study (AGES)
Cohort Study data. We followed 13,310 individuals aged 65 years or older for 4
years. Analysis was carried out on 12,951 subjects, excluding 359 people whose
information on age or sex was missing. Social participation was categorized into
8 types. RESULTS: Compared to those that did not participate in any
organizations, the hazard ratio (HR) was 0.83 (95% CI: 0.73-0.95) for
participation in one, 0.72 (0.61-0.85) for participation in two, and 0.57 (0.46
0.70) for participation in three or more different types of organizations. In
multivariable adjusted models, participation in the following types of
organization was protective for incident disability: local community
organizations (HR = 0.85, 95% CI: 0.76-0.96), hobby organizations (HR = 0.75, 95%
CI: 0.64-0.87), and sports organizations (HR = 0.64, 95% CI: 0.54-0.81).
CONCLUSION: Social participation may decrease the risk of incident functional
disability in older people in Japan. This effect may be strengthened by
participation in a variety of different types of organizations. Participating in
a local community, hobby, or sports group or organization may be especially
effective for decreasing the risk of disability.
PMID- 24923271
TI - The mechanism of valence-space metaphors: ERP evidence for affective word
processing.
AB - Embodied cognition contends that the representation and processing of concepts
involve perceptual, somatosensory, motoric, and other physical re-experiencing
information. In this view, affective concepts are also grounded in physical
information. For instance, people often say "feeling down" or "cheer up" in daily
life. These phrases use spatial information to understand affective concepts.
This process is referred to as valence-space metaphor. Valence-space metaphors
refer to the employment of spatial information (lower/higher space) to elaborate
affective concepts (negative/positive concepts). Previous studies have
demonstrated that processing affective words affects performance on a spatial
detection task. However, the mechanism(s) behind this effect remain unclear. In
the current study, we hypothesized that processing affective words might produce
spatial information. Consequently, spatial information would affect the following
spatial cue detection/discrimination task. In Experiment 1, participants were
asked to remember an affective word. Then, they completed a spatial cue detection
task while event-related potentials were recorded. The results indicated that the
top cues induced enhanced amplitude of P200 component while participants kept
positive words relative to negative words in mind. On the contrary, the bottom
cues induced enhanced P200 amplitudes while participants kept negative words
relative to positive words in mind. In Experiment 2, we conducted a behavioral
experiment that employed a similar paradigm to Experiment 1, but used arrows
instead of dots to test the attentional nature of the valence-space metaphor. We
found a similar facilitation effect as found in Experiment 1. Positive words
facilitated the discrimination of upper arrows, whereas negative words
facilitated the discrimination of lower arrows. In summary, affective words might
activate spatial information and cause participants to allocate their attention
to corresponding locations. Valence-space metaphors might be grounded in
attention allocation.
PMID- 24923272
TI - Detection of predictive markers for therapeutic stratification of salivary glands
tumors.
AB - Malignant tumors of salivary glands are rare lesions, often with poor prognosis.
At present, surgery represents the only therapeutic choice, whereas chemotherapy
is employed as palliative treatment in advanced disease. Over the years several
molecular alterations associated with the pathogenesis and progression of the
salivary glands tumors have been well-characterized. Particularly, the mutational
status and/or aberrant expression of certain markers, such as EGFR, HER2, cKIT,
BRAF and AR, also identified in some tumor histotypes of the salivary glands,
currently represent molecular targets for new and efficacious drugs routinely
employed in the treatment of other neoplasias, such as breast, lungs, GIST and
melanoma. The expression analysis of these biomarkers associated with
histomorphological data, could then provide the oncologist the opportunity to
create a proper stratification of patients for customized therapies. This review
represents an overview of the lesions of the salivary glands best characterized
in terms of molecular aspects, focusing the attention on those markers and
molecular alterations which can be important in the diagnosis as well as in the
therapeutic stratification of these tumors.
PMID- 24923273
TI - Apoptosis and pro-inflammatory cytokine response of mast cells induced by
influenza A viruses.
AB - The pathogenesis of the influenza A virus has been investigated heavily, and both
the inflammatory response and apoptosis have been found to have a definitive role
in this process. The results of studies performed by the present and other groups
have indicated that mast cells may play a role in the severity of the disease. To
further investigate cellular responses to influenza A virus infection, apoptosis
and inflammatory response were studied in mouse mastocytoma cell line P815. This
is the first study to demonstrate that H1N1 (A/WSN/33), H5N1
(A/Chicken/Henan/1/04), and H7N2 (A/Chicken/Hebei/2/02) influenza viruses can
induce mast cell apoptosis. They were found to do this mainly through the
mitochondria/cytochrome c-mediated intrinsic pathway, and the activation of
caspase 8-mediated extrinsic pathway was here found to be weak. Two pro-apoptotic
Bcl-2 homology domain 3 (BH3) -only molecules Bim and Puma appeared to be
involved in the apoptotic pathways. When virus-induced apoptosis was inhibited in
P815 cells using pan-caspase (Z-VAD-fmk) and caspase-9 (Z-LEHD-fmk) inhibitors,
the replication of these three subtypes of viruses was suppressed and the
secretions of pro-inflammatory cytokines and chemokines, including IL-6, IL-18,
TNF-alpha, and MCP-1, decreased. The results of this study may further
understanding of the role of mast cells in host defense and pathogenesis of
influenza virus. They may also facilitate the development of novel therapeutic
aids against influenza virus infection.
PMID- 24923274
TI - Principle-based concept analysis: intentionality in holistic nursing theories.
AB - PURPOSE: This is a report of a principle-based concept analysis of intentionality
in holistic nursing theories. METHOD: A principle-based concept analysis method
was used to analyze seven holistic theories. The data included eight books and 31
articles (1998-2011), which were retrieved through MEDLINE and CINAHL. FINDINGS:
Erickson, Kriger, Parse, Watson, and Zahourek define intentionality as a
capacity, a focused consciousness, and a pattern of human being. Rogers and
Newman do not explicitly mention intentionality; however, they do explain pattern
and consciousness (epistemology). Intentionality has been operationalized as a
core concept of nurse-client relationships (pragmatic). The theories are
consistent on intentionality as a noun and as an attribute of the person
intentionality is different from intent and intention (linguistic). There is
ambiguity concerning the boundaries between intentionality and consciousness
(logic). Theoretically, intentionality is an evolutionary capacity to integrate
human awareness and experience. Because intentionality is an individualized
concept, we introduced it as "a matrix of continuous known changes" that emerges
in two forms: as a capacity of human being and as a capacity of transpersonal
caring. CONCLUSION: This study has produced a theoretical definition of
intentionality and provides a foundation for future research to further
investigate intentionality to better delineate its boundaries.
PMID- 24923275
TI - Serum total bilirubin concentrations are inversely associated with total white
blood cell counts in an adult population.
AB - BACKGROUND: Several studies have shown that serum bilirubin has a protective
effect against cardiovascular disease and that inflammation plays an important
role in its pathogenesis. This cross-sectional study investigated whether there
was an association between blood total white blood cell count, a simple indicator
of inflammation, and serum total bilirubin concentration in a cohort of an adult
population in Taiwan. METHODS: A total of 2458 apparently healthy adults (1246
men and 1212 women) who attended health examination at a medical centre in
central Taiwan were enrolled. We collected anthropometric measurements, fasting
blood test results, lifestyle habits and medical history. RESULTS: Total white
blood cell counts decreased progressively when the concentrations of total
bilirubin increased as demonstrated in the total bilirubin quartiles. Both total
bilirubin concentrations and total white blood cell counts showed significant
correlations with the components of metabolic syndrome, including triglyceride
and high-density lipoprotein cholesterol concentrations. Multivariate linear
regression analysis revealed that, for both genders, total bilirubin showed an
independent negative correlation with total white blood cell count after
adjusting for conventional cardiovascular risk factors. CONCLUSIONS: Higher serum
total bilirubin concentrations within the reference range were associated with
lower blood total white blood cell counts, regardless of other classical
cardiovascular risk factors.
PMID- 24923276
TI - Developmental programming of obesity and insulin resistance: does mitochondrial
dysfunction in oocytes play a role?
AB - Insulin resistance is a key defect associated with obesity, type 2 diabetes and
other metabolic diseases. While a number of factors have been suggested to cause
defects in insulin action, there is a very strong association between
inappropriate lipid deposition in insulin target tissues and the development of
insulin resistance. In recent times, a large number of studies have reported
changes in markers of mitochondrial metabolism in insulin-resistant individuals,
leading to the theory that defects in mitochondrial substrate oxidation are
responsible for the buildup of lipid intermediates and the development of insulin
resistance. The primary support for the mitochondrial theory of insulin
resistance comes from studies in skeletal muscle; however, there is recent
evidence in murine models that mitochondrial dysfunction in oocytes may also play
a role. Oocytes from obese or insulin-resistant mice have been shown to exhibit
abnormalities in many different mitochondrial parameters, including mitochondrial
morphology and membrane potential. Here we review the findings regarding the link
between mitochondrial dysfunction and insulin resistance, and propose that
abnormalities in mitochondrial metabolism in oocytes may predispose to the
development of obesity and insulin resistance and thus contribute to the inter
generational programming of metabolic disease.
PMID- 24923277
TI - Abdominal obesity is associated with heart disease in dogs.
AB - BACKGROUND: The relationship between overall obesity and fat distribution in dogs
and the development of heart disease is unclear. In the present study we
evaluated the association between overall obesity and fat distribution and
clinical heart disease by morphometric and computed tomography (CT)-based
measurements. Body condition score (BCS), modified body mass index (MBMI, kg/m2),
waist-to-hock-to-stifle distance ratio (WHSDR), waist-to-ilium wing distance
ratio (WIWDR), and waist-to-truncal length ratio (WTLR) were compared between
dogs with (n = 44) and without (n = 43) heart disease using receiver operating
characteristic (ROC) analysis. Intra-abdominal fat (IAF) and subcutaneous fat
(SQF) were measured in dogs with (n = 8) and without (n = 9) heart disease at the
center of the fourth and fifth lumbar vertebrae by CT. RESULTS: BCS was similar
between heart disease and healthy groups (3.6 +/- 0.2 vs. 3.3 +/- 0.1, P =
0.126). The following morphometric measurements were greater in the heart disease
group compared with healthy canines: MBMI (65.0 +/- 4.5 vs. 52.5 +/- 3.7 kg/m2,
respectively, P = 0.035); WIWDR (4.1 +/- 0.1 vs. 3.1 +/- 0.1, P < 0.01); and WTLR
(1.25 +/- 0.04 vs. 1.05 +/- 0.04, P < 0.01). However, there was no significant
difference in WHSDR (3.6 +/- 0.1 vs. 3.7 +/- 0.2, P = 0.875). Interestingly, IAF
was significantly increased in dogs with heart disease compared with healthy dogs
(23.5 +/- 1.5% vs. 19.4 +/- 1.2%, P = 0.039) whereas SQF was similar between two
groups (35.5 +/- 2.7% vs. 38.6 +/- 3.5%, P = 0.496). Of the five morphometric
indices studied, WIWDR and WTLR provided acceptable discrimination for diagnosing
heart disease in dogs, with areas under the ROC curve of 0.778 (95% confidence
interval [CI]:0.683-0.874) and 0.727 (95% CI:0.619-0.835), respectively.
CONCLUSIONS: Our data indicate that abdominal obesity, rather than overall
obesity, is associated with heart disease in dogs. Measurements of both WIWDR and
WTLR are particular useful for detection of an abdominal obesity in dogs.
PMID- 24923278
TI - Ecto-protein kinases and phosphatases: an emerging field for translational
medicine.
AB - Progress in translational research has led to effective new treatments of a large
number of diseases. Despite this progress, diseases including cancer and
cardiovascular disorders still are at the top in death statistics and disorders
such as osteoporosis and osteoarthritis represent an increasing disease burden in
the aging population. Novel strategies in research are needed more than ever to
overcome such diseases. The growing field of extracellular protein
phosphorylation provides excellent opportunities to make major discoveries of
disease mechanisms that can lead to novel therapies. Reversible
phosphorylation/dephosphorylation of sites in the extracellular domains of
matrix, cell-surface and trans-membrane proteins is emerging as a critical
regulatory mechanism in health and disease. Moreover, a new concept is emerging
from studies of extracellular protein phosphorylation: in cells where ATP is
stored within secretory vesicles and released by exocytosis upon cell
stimulation, phosphorylation of extracellular proteins can operate as a messenger
operating uniquely in signaling pathways responsible for long-term cellular
adaptation. Here, we highlight new concepts that arise from this research, and
discuss translation of the findings into clinical applications such as
development of diagnostic disease markers and next-generation drugs.
PMID- 24923279
TI - Treatment outcome and efficacy of an aligner technique--regarding incisor torque,
premolar derotation and molar distalization.
AB - BACKGROUND: The aim of this study was to investigate the efficacy of orthodontic
treatment using the Invisalign(r) system. Particularly, we analyzed the influence
of auxiliaries (Attachment/Power Ridge) as well as the staging (movement per
aligner) on treatment efficacy. METHODS: We reviewed the tooth movements of 30
consecutive patients who required orthodontic treatment with Invisalign(r). In
all patients, one of the following tooth movements was performed: (1) Incisor
Torque >10 degrees , (2) Premolar derotation >10 degrees (3) Molar distalization
>1.5 mm. The groups (1)-(3) were subdivided: in the first subgroup (a) the
movements were supported with the use of an attachment, while in the subgroup (b)
no auxiliaries were used (except incisor torque, in which Power Ridges were
used). All tooth movements were performed in a split-mouth design. To analyze the
clinical efficacy, pre-treatment and final plaster cast models were laser-scanned
and the achieved tooth movement was determined by way of a surface/surface
matching algorithm. The results were compared with the amount of tooth movement
predicted by ClinCheck(r). RESULTS: The overall mean efficacy was 59% (SD = 0.2).
The mean accuracy for upper incisor torque was 42% (SD = 0.2). Premolar
derotation showed the lowest accuracy with approximately 40% (SD = 0.3).
Distalization of an upper molar was the most effective movement, with efficacy
approximately 87% (SD = 0.2). CONCLUSION: Incisor torque, premolar derotation and
molar distalization can be performed using Invisalign(r) aligners. The staging
(movement/aligner) and the total amount of planned movement have an significant
impact on treatment efficacy.
PMID- 24923281
TI - Detecting contaminated birthdates using generalized additive models.
AB - BACKGROUND: Erroneous patient birthdates are common in health databases.
Detection of these errors usually involves manual verification, which can be
resource intensive and impractical. By identifying a frequent manifestation of
birthdate errors, this paper presents a principled and statistically driven
procedure to identify erroneous patient birthdates. RESULTS: Generalized additive
models (GAM) enabled explicit incorporation of known demographic trends and birth
patterns. With false positive rates controlled, the method identified birthdate
contamination with high accuracy. In the health data set used, of the 58 actual
incorrect birthdates manually identified by the domain expert, the GAM-based
method identified 51, with 8 false positives (resulting in a positive predictive
value of 86.0% (51/59) and a false negative rate of 12.0% (7/58)). These results
outperformed linear time-series models. CONCLUSIONS: The GAM-based method is an
effective approach to identify systemic birthdate errors, a common data quality
issue in both clinical and administrative databases, with high accuracy.
PMID- 24923282
TI - Modelling phosphorus intake, digestion, retention and excretion in growing and
finishing pig: model evaluation.
AB - A deterministic, dynamic model was developed, to enable predictions of phosphorus
(P) digested, retained and excreted for different pig genotypes and under
different dietary conditions. Before confidence can be placed on the predictions
of the model, its evaluation was required. A sensitivity analysis of model
predictions to +/-20% changes in the model parameters was undertaken using a
basal UK industry standard diet and a pig genotype characterized by British
Society Animal Science as being of 'intermediate growth'. Model outputs were most
sensitive to the values of the efficiency of digestible P utilization for growth
and the non-phytate P absorption coefficient from the small intestine into the
bloodstream; all other model parameters influenced model outputs by <10%, with
the majority of the parameters influencing outputs by <5%. Independent data sets
of published experiments were used to evaluate model performance based on
graphical comparisons and statistical analysis. The literature studies were
selected on the basis of the following criteria: they were within the BW range of
20 to 120 kg, pigs grew in a thermo-neutral environment; and they provided
information on P intake, retention and excretion. In general, the model predicted
satisfactorily the quantitative pig responses, in terms of P digested, retained
and excreted, to variation in dietary inorganic P supply, Ca and phytase
supplementation. The model performed well with 'conventional', European feed
ingredients and poorly with 'less conventional' ones, such as dried distillers
grains with solubles and canola meal. Explanations for these inconsistencies in
the predictions are offered in the paper and they are expected to lead to further
model development and improvement. The latter would include the characterization
of the origin of phytate in pig diets.
PMID- 24923283
TI - Smartphone teledermoscopy referrals: a novel process for improved triage of skin
cancer patients.
AB - In this open, controlled, multicentre and prospective observational study,
smartphone teledermoscopy referrals were sent from 20 primary healthcare centres
to 2 dermatology departments for triage of skin lesions of concern using a
smartphone application and a compatible digital dermoscope. The outcome for 816
patients referred via smartphone teledermoscopy was compared with 746 patients
referred via the traditional paper-based system. When surgical treatment was
required, the waiting time was significantly shorter using teledermoscopy for
patients with melanoma, melanoma in situ, squamous cell carcinoma, squamous cell
carcinoma in situ and basal cell carcinoma. Triage decisions were also more
reliable with teledermoscopy and over 40% of the teledermoscopy patients could
potentially have avoided face-to-face visits. Only 4 teledermoscopy referrals
(0.4%) had to be excluded due to poor image quality. Smartphone teledermoscopy
referrals allow for faster and more efficient management of patients with skin
cancer as compared to traditional paper referrals.
PMID- 24923284
TI - The association between timing of initiation of antenatal care and stillbirths: a
retrospective cohort study of pregnant women in Cape Town, South Africa.
AB - BACKGROUND: There is renewed interest in stillbirth prevention for lower-middle
income countries. Early initiation of and properly timed antenatal care (ANC) is
thought to reduce the risk of many adverse birth outcomes. To this end we
examined if timing of the first ANC visit influences the risk of stillbirth.
METHODS: We conducted an analysis of a retrospective cohort of women (n = 34,671)
with singleton births in a public perinatal service in Cape Town, South Africa.
The main exposure was the gestational age at the first ANC visit. Bivariable
analyses examining maternal characteristics by stillbirth status and gestational
age at the first ANC visit, were conducted. Logistic regression, adjusting for
maternal characteristics, was conducted to determine the risk of stillbirth.
RESULTS: Of the 34,671 women who initiated ANC, 27,713 women (80%) were retained
until delivery. The population stillbirth rate was 4.3 per 1000 births. The
adjusted models indicated there was no effect of gestational age at first ANC
visit on stillbirth outcomes when analyzed as a continuous variable (aOR 1.01;
95% CI: 0.99-1.04) or in trimesters (2nd Trimester aOR 0.78, 95% CI: 0.39-1.59;
3rd Trimester OR 1.03, 95% CI: 0.50-2.13, both with 1st Trimester as reference
category). The findings were unchanged in sensitivity analyses of unobserved
outcomes in non-retained women. CONCLUSION: The timing of a woman's first ANC
visit may not be an important determinant of stillbirths in isolation. Further
research is required to examine how quality of care, incorporating established,
effective biomedical interventions, influences outcomes in this setting.
PMID- 24923286
TI - Endovascular stent for iatrogenic penetrating injury of the thoracic aorta.
PMID- 24923285
TI - Solitary kidney with renal artery aneurysm repaired by ex vivo reconstruction.
AB - A 22-year-old pregnant female with pyelonephritis was found to have a 26-mm left
renal artery aneurysm with unknown right kidney agenesis diagnosed by magnetic
resonance imaging. Computed tomographic angiography with 3-dimensional
reconstructions confirmed a saccular aneurysm localized at the bifurcation of the
left posterior segmental artery. The patient ultimately underwent successful ex
vivo left renal artery aneurysm repair with autotransplantation. Pathologic
evaluation of the resected aneurysm confirmed the diagnosis of fibromuscular
dysplasia. Fibromuscular dysplasia is the most common cause of renal artery
stenosis and renovascular hypertension and can, in rare cases, be associated with
the development of renal artery aneurysms.
PMID- 24923287
TI - Femoral vein repair of arterial infections: technical tips to reduce procedure
time.
AB - INTRODUCTION: Success with the neoaortoiliac system (NAIS) bypass has previously
been reported. Drawbacks to this procedure include prolonged operative times and
significant morbidity. The aim of this study was to evaluate whether a 2-team
approach in addition to a consistent anastomosis technique reduces the operative
time of the NAIS procedure. METHODS: A single-center retrospective review was
performed for operations using femoral vein in arterial reconstruction from 2003
to 2012. RESULTS: A total of 40 patients, 25 men and 15 women, were included for
analysis. Median operative time for all operations was 300 minutes (interquartile
range). Thirty-day mortality was 7.5% (n = 3). Assisted primary patency at 1 year
was 100%. CONCLUSION: A 2-surgical team approach can reduce the operative time by
up to 50%. This improves the attractiveness of this procedure, particularly when
recalling that the treatment is definitive by virtue of its eradication of the
source of infection.
PMID- 24923288
TI - Repeated administration of ketamine can induce hippocampal neurodegeneration and
long-term cognitive impairment via the ROS/HIF-1alpha pathway in developing rats.
AB - BACKGROUND: Recent animal experiments have suggested that ketamine administration
during development might induce widespread neurodegeneration and long-term
cognitive deficits. The underlying mechanism is not fully understood. METHODS:
Immature rat hippocampal neurons and newborn rats underwent repeated exposure to
ketamine, ketamine+inhibitor of hypoxia-inducible factor (HIF)-1alpha(YC-1),
ketamine+inhibitor of reactive oxygen species(ROS) (L-carnitine) or
ketamine+Ca(2+) blocker(nimodipine). Apoptosis of the hippocampal neurons was
analyzed by TUNEL and flow cytometry. Intracellular ROS were measured using 2',7'
dichlorofluorescein diacetate. The expression of HIF- 1alpha and apoptosis
related proteins was analyzed by western blot or qPCR. As these rats grew,
behavioral tests were performed to evaluate cognitive function. RESULTS: The
apoptotic rate in the ketamine group was significantly higher than that in the
other groups, and the intracellular ROS levels in the ketamine and ketamine+YC-1
groups were higher than those in the other groups. The expression of HIF- 1alpha,
p53, BNIP3 and cleaved caspase-3 proteins increased, and the ratio of Bcl-2/Bax
decreased in the ketamine group. The transcriptional levels of HIF-1alpha in the
ketamine and ketamine+YC-1 groups were higher than those in the other groups.
Cognitive deficits were found only in the ketamine group. CONCLUSION: We suggest
that ketamine-induced neurodegeneration in neonatal rats, followed by long-term
cognitive deficits, might be mediated via the ROS/HIF-1alpha pathway.
PMID- 24923289
TI - Predictors of metabolic risk in childhood obesity.
AB - Most of the complications of juvenile obesity are due to metabolic disturbances
induced by an excessive accumulation of fat which leads to chronic diseases like
type 2 diabetes mellitus (T2DM) and cardiovascular disease (CVD). Finding
effective ways of identifying obese paediatric patients who are at increased risk
of developing cardiovascular and metabolic complications has been recognised to
be a promising strategy to improve prevention of complications of early obesity.
Moreover, correctly identifying obese children who are already affected by
metabolic co-morbidities should be a clinical priority. According to the state of
the art summarised in this review, traditional metabolic variables included in
the definitions of metabolic syndrome (MS), pre-diabetes, non-alcoholic fatty
liver disease (NAFLD)/non-alcoholic steato-hepatitis and, in obese girls, the
presence of polycystic ovary syndrome are the best available longitudinal
predictors of CVD and T2DM among obese children and adolescents. In clinical
practice, traditional metabolic variables included in the definitions of MS
should be assessed in all obese children and adolescents; fasting metabolic
variables have been proposed to identify obese patients likely to be affected by
impaired glucose tolerance or T2DM, and ultrasound has proved to be a valid
surrogate for biopsy in the diagnosis of NAFLD. Further large longitudinal and
cross-sectional studies are needed to improve our chances of identifying obese
youth at the highest metabolic risk.
PMID- 24923290
TI - All-graphene-battery: bridging the gap between supercapacitors and lithium ion
batteries.
AB - Herein, we propose an advanced energy-storage system: all-graphene-battery. It
operates based on fast surface-reactions in both electrodes, thus delivering a
remarkably high power density of 6,450 W kg(-1)(total electrode) while also
retaining a high energy density of 225 Wh kg(-1)(total electrode), which is
comparable to that of conventional lithium ion battery. The performance and
operating mechanism of all-graphene-battery resemble those of both
supercapacitors and batteries, thereby blurring the conventional distinction
between supercapacitors and batteries. This work demonstrates that the energy
storage system made with carbonaceous materials in both the anode and cathode are
promising alternative energy-storage devices.
PMID- 24923292
TI - Computer-aided detection (CAD) of breast masses in mammography: combined
detection and ensemble classification.
AB - We propose a novel computer-aided detection (CAD) framework of breast masses in
mammography. To increase detection sensitivity for various types of mammographic
masses, we propose the combined use of different detection algorithms. In
particular, we develop a region-of-interest combination mechanism that integrates
detection information gained from unsupervised and supervised detection
algorithms. Also, to significantly reduce the number of false-positive (FP)
detections, the new ensemble classification algorithm is developed. Extensive
experiments have been conducted on a benchmark mammogram database. Results show
that our combined detection approach can considerably improve the detection
sensitivity with a small loss of FP rate, compared to representative detection
algorithms previously developed for mammographic CAD systems. The proposed
ensemble classification solution also has a dramatic impact on the reduction of
FP detections; as much as 70% (from 15 to 4.5 per image) at only cost of 4.6%
sensitivity loss (from 90.0% to 85.4%). Moreover, our proposed CAD method
performs as well or better (70.7% and 80.0% per 1.5 and 3.5 FPs per image
respectively) than the results of mammography CAD algorithms previously reported
in the literature.
PMID- 24923291
TI - In vitro and in vivo characterization of a new organic nitrate hybrid drug
covalently bound to pioglitazone.
AB - BACKGROUND/AIMS: Organic nitrates represent a group of nitrovasodilators that are
clinically used for the treatment of ischemic heart disease. The new compound CLC
3000 is an aminoethyl nitrate (AEN) derivative of pioglitazone, a
thiazolidinedione antidiabetic agent combining the peroxisome proliferator
activated receptor gamma agonist activity of pioglitazone with the NO-donating
activity of the nitrate moiety. METHODS: In vitro and in vivo characterization
was performed by isometric tension recording, platelet function, bleeding time
and detection of oxidative stress. RESULTS: In vitro, CLC-3000 displayed more
potent vasodilation than pioglitazone alone or classical nitrates. In vitro, some
effects on oxidative stress parameters were observed. Authentic AEN or the AEN
containing linker CLC-1275 displayed antiaggregatory effects. In vivo treatment
with CLC-3000 for 7 days did neither induce endothelial dysfunction nor nitrate
tolerance nor oxidative stress. Acute or chronic administration of AEN increased
the tail vein bleeding time in mice. CONCLUSION: In summary, the results of these
studies demonstrate that CLC-3000 contains a vasodilative and antithrombotic
activity that is not evident with pioglitazone alone, and that 7 days of exposure
in vivo showed no typical signs of nitrate tolerance, endothelial dysfunction or
other safety concerns in Wistar rats.
PMID- 24923294
TI - Asymmetric dimethylarginine and progression of chronic kidney disease: a one-year
follow-up study.
AB - BACKGROUND/AIMS: Asymmetric dimethylarginine (ADMA) is a prognostic factor in
patients with chronic kidney disease (CKD). However, the relationships among
factors influencing the metabolism of ADMA and the CKD progression are not fully
understood. METHODS: Serum ADMA, and variables related to the metabolism of ADMA
were measured in 181 non-dialysis patients (CKD stages 3-5) and in 46 controls.
Patients were assessed at baseline, and 6 and 12 months after the initiation of
the study. RESULTS: Patients had increased baseline ADMA, advanced glycation end
products (AGE), and advanced oxidation protein products (AOPP) compared with
controls (P<0.001). In a total of 164 patients who completed a one-year study,
the estimated GFR (eGFR) declined from 23.5 (17.7-36) mL/min/1.73m(2) to 21 (14.7
31.5) (P=0.018), AGE rose from 1.58 (1.38-1.90) MUmol/L to 1.76 (1.52-2.21)
(P<0.001), while ADMA, AOPP, tubular function, and proteinuria remained stable.
In a multiple regression model (adjusted R(2) = 0.49, P<0.0001), the interaction
of relatively higher baseline eGFR, i.e. > 25 mL/min/1.73m(2), with higher ADMA
(P=0.02) and higher AOPP (P=0.04) predicted the severest decrease in eGFR per
year. Other predictors of progression were higher baseline AGE (P<0.001),
proteinuria (P=0.003), hypertension (P=0.01), and higher baseline eGFR (P=0.03).
CONCLUSION: Elevated ADMA and markers of oxidative stress were strong predictors
of progression in patients with eGFR between 25-40 mL/min/1.73m(2) , i.e. at the
borderline of CKD stages 3-4.
PMID- 24923293
TI - Structural basis for catalysis in a CDP-alcohol phosphotransferase.
AB - The CDP-alcohol phosphotransferase (CDP-AP) family of integral membrane enzymes
catalyses the transfer of a substituted phosphate group from a CDP-linked donor
to an alcohol acceptor. This is an essential reaction for phospholipid
biosynthesis across all kingdoms of life, and it is catalysed solely by CDP-APs.
Here we report the 2.0 A resolution crystal structure of a representative CDP-AP
from Archaeoglobus fulgidus. The enzyme (AF2299) is a homodimer, with each
protomer consisting of six transmembrane helices and an N-terminal cytosolic
domain. A polar cavity within the membrane accommodates the active site, lined
with the residues from an absolutely conserved CDP-AP signature motif
(D(1)xxD(2)G(1)xxAR...G(2)xxxD(3)xxxD(4)). Structures in the apo, CMP-bound, CDP
bound and CDP-glycerol-bound states define functional roles for each of these
eight conserved residues and allow us to propose a sequential, base-catalysed
mechanism universal for CDP-APs, in which the fourth aspartate (D4) acts as the
catalytic base.
PMID- 24923295
TI - Isolated trisomy 13 defines a homogeneous AML subgroup with high frequency of
mutations in spliceosome genes and poor prognosis.
AB - In acute myeloid leukemia (AML), isolated trisomy 13 (AML+13) is a rare
chromosomal abnormality whose prognostic relevance is poorly characterized. We
analyzed the clinical course of 34 AML+13 patients enrolled in the German AMLCG
1999 and SAL trials and performed exome sequencing, targeted candidate gene
sequencing and gene expression profiling. Relapse-free (RFS) and overall survival
(OS) of AML+13 patients were inferior compared to other ELN Intermediate-II
patients (n=855) (median RFS, 7.8 vs 14.1 months, P = .006; median OS 9.3 vs.
14.8 months, P = .004). Besides the known high frequency of RUNX1 mutations
(75%), we identified mutations in spliceosome components in 88%, including SRSF2
codon 95 mutations in 81%. Recurring mutations were detected in ASXL1 (44%) and
BCOR (25%). Two patients carried mutations in CEBPZ, suggesting that CEBPZ is a
novel recurrently mutated gene in AML. Gene expression analysis revealed a
homogeneous expression profile including upregulation of FOXO1 and FLT3 and
downregulation of SPRY2. This is the most comprehensive clinical and biological
characterization of AML+13 to date, and reveals a striking clustering of lesions
in a few genes, defining AML+13 as a genetically homogeneous subgroup with
alterations in a few critical cellular pathways. Clinicaltrials.gov identifiers:
AMLCG-1999: NCT00266136; AML96: NCT00180115; AML2003: NCT00180102; and AML60+:
NCT00893373.
PMID- 24923297
TI - Social networking of human neutrophils within the immune system.
AB - It is now widely recognized that neutrophils are highly versatile and
sophisticated cells that display de novo synthetic capacity and may greatly
extend their lifespan. In addition, concepts such as "neutrophil heterogeneity"
and "neutrophil plasticity" have started to emerge, implying that, under
pathological conditions, neutrophils may differentiate into discrete subsets
defined by distinct phenotypic and functional profiles. A number of studies have
shown that neutrophils act as effectors in both innate and adaptive
immunoregulatory networks. In fact, once recruited into inflamed tissues,
neutrophils engage into complex bidirectional interactions with macrophages,
natural killer, dendritic and mesenchymal stem cells, B and T lymphocytes, or
platelets. As a result of this cross-talk, mediated either by contact-dependent
mechanisms or cell-derived soluble factors, neutrophils and target cells
reciprocally modulate their survival and activation status. Altogether, these
novel aspects of neutrophil biology have shed new light not only on the potential
complex roles that neutrophils play during inflammation and immune responses, but
also in the pathogenesis of several inflammatory disorders including infection,
autoimmunity, and cancer.
PMID- 24923296
TI - Impact of iron overload and potential benefit from iron chelation in low-risk
myelodysplastic syndrome.
AB - Myelodysplastic syndromes (MDSs) are a group of heterogeneous clonal bone marrow
disorders characterized by ineffective hematopoiesis, peripheral blood
cytopenias, and potential for malignant transformation. Lower/intermediate-risk
MDSs are associated with longer survival and high red blood cell (RBC)
transfusion requirements resulting in secondary iron overload. Recent data
suggest that markers of iron overload portend a relatively poor prognosis, and
retrospective analysis demonstrates that iron chelation therapy is associated
with prolonged survival in transfusion-dependent MDS patients. New data provide
concrete evidence of iron's adverse effects on erythroid precursors in vitro and
in vivo. Renewed interest in the iron field was heralded by the discovery of
hepcidin, the main serum peptide hormone negative regulator of body iron.
Evidence from beta-thalassemia suggests that regulation of hepcidin by
erythropoiesis dominates regulation by iron. Because iron overload develops in
some MDS patients who do not require RBC transfusions, the suppressive effect of
ineffective erythropoiesis on hepcidin may also play a role in iron overload. We
anticipate that additional novel tools for measuring iron overload and a
molecular-mechanism-driven description of MDS subtypes will provide a deeper
understanding of how iron metabolism and erythropoiesis intersect in MDSs and
improve clinical management of this patient population.
PMID- 24923298
TI - Evolving use of new oral anticoagulants for treatment of venous thromboembolism.
AB - The new oral anticoagulants (NOACs), which include dabigatran, rivaroxaban,
apixaban, and edoxaban, are poised to replace warfarin for treatment of the
majority of patients with venous thromboembolism (VTE). With a rapid onset of
action and the capacity to be administered in fixed doses without routine
coagulation monitoring, NOACs streamline VTE treatment. In phase 3 trials in
patients with acute symptomatic VTE, NOACs have been shown to be noninferior to
conventional anticoagulant therapy for prevention of recurrence and are
associated with less bleeding. Rivaroxaban and dabigatran are already licensed
for VTE treatment in the United States, and apixaban and edoxaban are under
regulatory consideration for this indication. As the number of approved drugs
increases, clinicians will need to choose the right anticoagulant for the right
VTE patient. To help with this decision, this review (1) compares the
pharmacologic profiles of the NOACs, (2) outlines the unique design features of
the phase 3 trials that evaluated the NOACs for VTE treatment, (3) reviews the
results of these trials highlighting similarities and differences in the
findings, (4) provides perspective about which VTE patients should receive
conventional treatment or are candidates for NOACs, and (5) offers suggestions
about how to choose among the NOACs.
PMID- 24923299
TI - HLA-haploidentical transplantation with regulatory and conventional T-cell
adoptive immunotherapy prevents acute leukemia relapse.
AB - Posttransplant relapse is still the major cause of treatment failure in high-risk
acute leukemia. Attempts to manipulate alloreactive T cells to spare normal cells
while killing leukemic cells have been unsuccessful. In HLA-haploidentical
transplantation, we reported that donor-derived T regulatory cells (Tregs),
coinfused with conventional T cells (Tcons), protected recipients against graft
versus-host disease (GVHD). The present phase 2 study investigated whether Treg
Tcon adoptive immunotherapy prevents posttransplant leukemia relapse. Forty-three
adults with high-risk acute leukemia (acute myeloid leukemia 33; acute
lymphoblastic leukemia 10) were conditioned with a total body irradiation-based
regimen. Grafts included CD34(+) cells (mean 9.7 * 10(6)/kg), Tregs (mean 2.5 *
10(6)/kg), and Tcons (mean 1.1 * 10(6)/kg). No posttransplant immunosuppression
was given. Ninety-five percent of patients achieved full-donor type engraftment
and 15% developed >=grade 2 acute GVHD. The probability of disease-free survival
was 0.56 at a median follow-up of 46 months. The very low cumulative incidence of
relapse (0.05) was significantly better than in historical controls. These
results demonstrate the immunosuppressive potential of Tregs can be used to
suppress GVHD without loss of the benefits of graft-versus-leukemia (GVL)
activity. Humanized murine models provided insights into the mechanisms
underlying separation of GVL from GVHD, suggesting the GVL effect is due to
largely unopposed Tcon alloantigen recognition in bone marrow.
PMID- 24923300
TI - A cluster-randomized trial of task shifting and blood pressure control in Ghana:
study protocol.
AB - BACKGROUND: Countries in sub-Saharan Africa (SSA) are experiencing an epidemic of
cardiovascular disease (CVD) propelled by rapidly increasing rates of
hypertension. Barriers to hypertension control in SSA include poor access to care
and high out-of-pocket costs. Although SSA bears 24% of the global disease
burden, it has only 3% of the global health workforce. Given such limited
resources, cost-effective strategies, such as task shifting, are needed to
mitigate the rising CVD epidemic in SSA. Ghana, a country in SSA with an
established community health worker program integrated within a national health
insurance scheme provides an ideal platform to evaluate implementation of the
World Health Organization (WHO) task-shifting strategy. This study will evaluate
the comparative effectiveness of the implementation of the WHO Package targeted
at CV risk assessment versus provision of health insurance coverage, on blood
pressure (BP) reduction. METHODS: Using a cluster randomized design, 32 community
health centers (CHCs) and district hospitals in Ghana will be randomized to
either the intervention group (16 CHCs) or the control group (16 CHCs). A total
of 640 patients with uncomplicated hypertension (BP 140-179/90-99 mm Hg and
absence of target organ damage) will be enrolled in this study (20 patients per
CHC). The intervention consists of WHO Package of CV risk assessment, patient
education, initiation and titration of antihypertensive medications, behavioral
counseling on lifestyle behaviors, and medication adherence every three months
for 12 months. The primary outcome is the mean change in systolic BP from
baseline to 12 months. The secondary outcomes are rates of BP control at 12
months; levels of physical activity, percent change in weight, and dietary intake
of fruits and vegetables at 12 months; and sustainability of intervention effects
at 24 months. All outcomes will be assessed at baseline, six months and 12
months. Trained community health nurses will deliver the intervention as part of
Ghana's community-based health planning and services (CHPS) program. DISCUSSION:
Findings from this study will provide policy makers and other stakeholders needed
information to recommend scalable and cost-effective policy with respect to
comprehensive CV risk reduction and hypertension control in resource-poor
settings. TRIAL REGISTRATION: NCT01802372.
PMID- 24923302
TI - Evaluation through literature data on standardized ileal digestibility and basal
ileal endogenous loss of amino acids associated with barley in pigs.
AB - This meta-analysis aimed to estimate the standardized ileal digestibility (SID)
and the basal ileal endogenous amino acid losses (IAAend) in barley for growing
pigs. In total, 38 different barley treatments published in 26 peer-reviewed
papers were used for the meta-analysis containing information on dietary
composition including amino acid (AA) contents of the assay diets, and (or)
barley samples, as well as apparent ileal digestibility (AID) of AA in barley.
The SID of AA was determined by either correcting AID of AA for their IAAend or
by regression analysis between the apparent ileal digestible and total dietary AA
contents. The SID values obtained by correcting the AID values for their IAAend
amounted to 70%, 77%, 74% and 63% for Lys, Met, Thr and Trp, whereas those based
on regression analysis method were 82%, 82%, 69% and 55%, respectively. Estimates
of basal ileal endogenous loss of CP in ileal digesta varied considerably and
averaged 11.84 g/kg dry matter intake (DMI), whereas IAAend for indispensable AA
ranged from 0.05 g/kg DMI for Trp to 1.90 g/kg DMI for Leu. In most cases, these
estimates were considerably higher than previously reported values for IAAend.
The results of the present regression analysis indicate for most AA higher SID
values compared with SID of most AA that were obtained by correcting AID values
for IAAend. In view of the observed high variations in IAAend and the low CP
content of the barley samples, estimating SID of AA based on literature data by
means of the regression method may improve accuracy of SID coefficients for
barley. In contrast, transformation of AID values into their corresponding SID
values by using a constant correction factor for IAAend adds an additional source
of error, thereby reducing the precision in estimating SID of AA.
PMID- 24923301
TI - Capillary nano-immunoassay for Akt 1/2/3 and 4EBP1 phosphorylation in acute
myeloid leukemia.
AB - BACKGROUND: Overall cure rates in acute myeloid leukemia (AML) continue to range
between 60-65% with disease relapse being a major cause of mortality. The PI3K
Akt-mTOR kinase pathway plays a vital role in pro-survival signals within
leukemic cells and inhibition of this pathway is being investigated to improve
patient outcomes. Tracking activation of multiple signaling proteins
simultaneously in patient samples can be challenging especially with limiting
cell numbers within rare sub-populations. METHODS: The NanoPro 1000 system
(ProteinSimple) is built on an automated, capillary-based immunoassay platform
and enables a rapid and quantitative analysis of specific proteins and their
phosphorylation states. We have utilized this nano-immunoassay to examine
activation of Akt 1/2/3 and downstream mTOR target--eukaryotic initiation factor
4E-Binding Protein 1 (4EBP1). RESULTS: Assays for Akt 1/2/3 and 4EBP1 were
standardized using AML cell lines (MV4-11, MOLM-14, OCI-AML3 and HL-60) prior to
testing in patient samples. Target inhibition was studied using mTOR 1/2
inhibitor AZD-8055 and results were corroborated by Western blotting. The assay
was able to quantify nanogram amounts of 4EBP1 and Akt 1/2/3 in AML cell lines
and primary pediatric AML samples and results were quantifiable, consistent and
reproducible. CONCLUSION: Our data provides a strong basis for testing this
platform on a larger scale and our long term aim is to utilize this nano
immunoassay prospectively in de-novo AML to be able to identify poor responders
who might benefit from early introduction of targeted therapy.
PMID- 24923303
TI - Oncogenic Fli-1 is a potential prognostic marker for the progression of
epithelial ovarian cancer.
AB - BACKGROUND: Ovarian cancer is the most lethal gynecologic malignancy, but its
etiology remains poorly understood. This study investigated the role of Fli-1 in
ovarian carcinogenesis and disease survival. METHODS: Fli-1 protein expression
was evaluated by immunohistochemistry in 104 primary epithelial ovarian cancer
(EOC) patients with known follow-up data and 20 controls. Correlation between Fli
1 expression and clinical characteristics was evaluated with the logistic
regression. Kaplan Meier analysis was used to assess the impact of Fli-1
expression on overall survival (OS) and disease-free survival (DFS). Cell
proliferation and migration assay were used to explore the function of Fli-1 in
ovarian cancer cells. RESULTS: Fli-1 was expressed in 74% cases and up-regulated
in EOC tissues compared with normal control tissues (p< 0.05). The high
expression of Fli-1 was significantly associated with advanced tumor stage,
positive lymph nodal involvement, and poor OS and DFS (p< 0.05). Further analysis
showed Fli-1 is an independent prognostic factor for OS and DFS. Down-regulation
of Fli-1 inhibited cell proliferation but did not affect cell migration in SKOV3
cells. CONCLUSIONS: This study revealed that Fli-1 played an essential role in
the development and progression of ovarian cancers. Its overexpression is
intimately related to malignant phenotypes and poor clinical outcome, suggesting
that Fli-1 is a potential prognostic marker and therapeutic molecular target in
ovarian cancer.
PMID- 24923304
TI - Increasing summer rainfall in arid eastern-Central Asia over the past 8500 years.
AB - A detailed and well-dated proxy record of summer rainfall variation in arid
Central Asia is lacking. Here, we report a long-term, high resolution record of
summer rainfall extracted from a peat bog in arid eastern-Central Asia (AECA).
The record indicates a slowly but steadily increasing trend of summer rainfall in
the AECA over the past 8500 years. On this long-term trend are superimposed
several abrupt increases in rainfall on millennial timescales that correspond to
rapid cooling events in the North Atlantic. During the last millennium, the
hydrological climate pattern of the AECA underwent a major change. The rainfall
in the past century has reached its highest level over the 8500-year history,
highlighting the significant impact of the human-induced greenhouse effect on the
hydrological climate in the AECA. Our results demonstrate that even in very dry
eastern-Central Asia, the climate can become wetter under global warming.
PMID- 24923306
TI - Casting shadows on the prevalence of tanning dependence: an assessment of mCAGE
criteria.
AB - Recently more and more studies have reported high prevalence rates for a 'tanning
dependence' among tanning bed users. The authors of these studies base their
argumentation on a modified (m) version of the CAGE (Cut-down, Annoyed, Guilty
and Eye-opener) Criteria, initially used for alcohol addiction. By means of
cognitive interviews and a large population survey, we tested the validity of the
mCAGE Criteria and the above-mentioned prevalence that was deduced on the basis
of rather small collectives. Firstly, it seems that the mCAGE Criteria wording
used so far is inconsistent, misleading and intrinsically invalid. Secondly, our
population-based data show a much lower percentage (15%) of current sunbed users
with potential dependence symptoms than the above-mentioned previously published
studies. Thirdly, the usage parameters for most of the supposed 'addicts' do not
indicate a substance addiction: 38% of the users with positive scores reported
not having visited a tanning studio at all in the previous month, 39% did not use
sunbeds regularly and 89% did not show signs of tolerance to UV radiation. The
mCAGE Criteria do not seem suitable for assessing tanning dependence.
PMID- 24923305
TI - FIP200 is involved in murine pseudomonas infection by regulating HMGB1
intracellular translocation.
AB - BACKGROUND: FIP200, a critical autophagy initiating protein, can participate in
numerous cellular functions including cancer development; however, its functional
role in P. aeruginosa infection of alveolar macrophages is unknown. METHODS: To
investigate the role of FIP200 in host defense, we transfected murine alveolar
macrophage MH-S cells with FIP200 siRNA. Having confirmed that FIP200 knockdown
inhibited PAO1-induced autophagosme formation, we sought to characterize the
underlying signaling pathways by immunoblotting. Further, we used fip200 KO mice
to study the effects of fip200 deficiency on HMGB1 translocation. RESULTS: We
showed that Pseudomonas PAO1 strain infection facilitated autophagosome
formation, whereas knockdown of FIP200 inhibited autophagosome formation and
HMGB1 expression in MH-S cells. Silencing FIP200 impaired the translocation of
HMGB1 to cytosol of MH-S cells and almost abolished acetylation of HMGB1 during
PAO1 infection. In contrast, FIP200 overexpression facilitated the cytosol
translocation of HMGB1 from nuclei and increased acetylation of HMGB1 in PAO1
infected MH-S cells. Importantly, expression and acetylation of HMGB1 were also
significantly down-regulated in fip200 KO mice following PAO1 infection.
CONCLUSIONS: Collectively, these findings elucidate that FIP200 may regulate
expression and translocation of HMGB1 during PAO1 infection, which may indicate
novel therapeutic targets to control pulmonary infection.
PMID- 24923307
TI - Distinct nuclear orientation patterns for mouse chromosome 11 in normal B
lymphocytes.
AB - BACKGROUND: Characterizing the nuclear orientation of chromosomes in the three
dimensional (3D) nucleus by multicolor banding (mBANDing) is a new approach
towards understanding nuclear organization of chromosome territories. An mBANDing
paint is composed of multiple overlapping subchromosomal probes that represent
different regions of a single chromosome. In this study, we used it for the
analysis of chromosome orientation in 3D interphase nuclei. We determined whether
the nuclear orientation of the two chromosome 11 homologs was random or
preferential, and if it was conserved between diploid mouse Pre B lymphocytes of
BALB/c origin and primary B lymphocytes of congenic [T38HxBALB/c]N wild-type
mice. The chromosome orientation was assessed visually and through a semi
automated quantitative analysis of the radial and angular orientation patterns
observed in both B cell types. RESULTS: Our data indicate that there are
different preferential patterns of chromosome 11 orientation, which are not
significantly different between both mouse cell types (p > 0.05). In the most
common case for both cell types, both copies of chromosome 11 were oriented in
parallel with the nuclear border. The second most common pattern in both types of
B lymphocytes was with one homolog of chromosome 11 positioned with its telomeric
end towards the nuclear center and with its centromeric end towards the
periphery, while the other chromosome 11 was found parallel with the nuclear
border. In addition to these two most common orientations present in
approximately 50% of nuclei from each cell type, other orientations were observed
at lower frequencies. CONCLUSIONS: We conclude that there are probabilistic, non
random orientation patterns for mouse chromosome 11 in the mouse B lymphocytes we
investigated (p < 0.0001).
PMID- 24923308
TI - Informing a culturally appropriate approach to oral health and dental care for
pre-school refugee children: a community participatory study.
AB - BACKGROUND: Pre-school children in families of recently settled refugees often
have very high rates of early childhood caries (ECC). ECC is associated with a
high level of morbidity and is largely preventable, however effective culturally
appropriate models of care are lacking. This study aimed to provide a deeper
understanding of the refugee experience related to early oral health by exploring
pre-school refugee families (i) understanding of ECC and child oral health, (ii)
experiences of accessing dental services and (iii) barriers and enablers for
achieving improved oral health. The knowledge gained will be critical to the
development of effective early oral health programs in refugee children. METHODS:
Community based participatory qualitative methodology using focus groups of
resettled refugee families and community refugee nurse interviews. A community
reference group was established and a bi-lingual community research associate was
employed. Transcripts were analysed for thematic content using NVivo software.
RESULTS: There were 44 participants: eight focus groups (nine countries of
origin) and five interviews. Emergent themes were (i) the major influence of
parents' previous experience, including their beliefs about deciduous (baby)
teeth, traditional feeding practices and poverty; and a consequent lack of
understanding of the importance of early oral health and early dental caries,
(ii) the burden of resettlement including prioritising, parenting, learning about
new foods and how to assimilate into the community, and (iii) refugees'
difficulties in accessing both information and dental services, and the role of
schools in addressing these issues. An Opportunities for Change Model was
proposed. CONCLUSIONS: The main implication of the study is the demonstration of
how enhanced understanding of the refugee experience can inform improvement in
early oral prevention and treatment. The community participatory methodology of
the study provided a basis for cross-cultural understanding and has already
assisted in translating the findings and raising awareness in the provision of
targeted refugee oral health services.
PMID- 24923309
TI - Thermal developmental plasticity affects body size and water conservation of
Drosophila nepalensis from the Western Himalayas.
AB - In the Western Himalayas, Drosophila nepalensis is more abundant during the
colder and drier winter than the warmer rainy season but the mechanistic bases of
such adaptations are largely unknown. We tested effects of developmental
plasticity on desiccation-related traits (body size, body melanization and water
balance traits) that may be consistent with changes in seasonal abundance of this
species. D. nepalensis grown at 15 degrees C has shown twofold higher body size,
greater melanization (~15-fold), higher desiccation resistance (~55 h), hemolymph
as well as carbohydrate content (twofold higher) as compared with corresponding
values at 25 degrees C. Water loss before succumbing to death was much higher
(~16%) at 15 degrees C than 25 degrees C. Developmental plastic effects on body
size are associated with changes in water balance-related traits (bulk water,
hemolymph and dehydration tolerance). The role of body melanization was evident
from the analysis of assorted darker and lighter flies (from a mass culture of D.
nepalensis reared at 21 degrees C) which lacked differences in dry mass but
showed differences in desiccation survival hours and rate of water loss. For
adult acclimation, we found a slight increase in desiccation resistance of flies
reared at lower growth temperature, whereas in flies reared at 25 degrees C such
a response was lacking. In D. nepalensis, greater developmental plasticity is
consistent with its contrasting levels of seasonal abundance. Finally, in the
context of global climate change in the Western Himalayas, D. nepalensis seems
vulnerable in the warmer season due to lower adult as well as developmental
acclimation potential at higher growth temperature (25 degrees C).
PMID- 24923312
TI - Welcome editorial: Change and continuity in Phonetica.
PMID- 24923313
TI - Perceptual assimilation and discrimination of non-native vowel contrasts.
AB - Research on language-specific tuning in speech perception has focused mainly on
consonants, while that on non-native vowel perception has failed to address
whether the same principles apply. Therefore, non-native vowel perception was
investigated here in light of relevant theoretical models: the Perceptual
Assimilation Model (PAM) and the Natural Referent Vowel (NRV) framework. American
English speakers completed discrimination and native language assimilation
(categorization and goodness rating) tests on six nonnative vowel contrasts.
Discrimination was consistent with PAM assimilation types, but asymmetries
predicted by NRV were only observed for single-category assimilations, suggesting
that perceptual assimilation might modulate the effects of vowel peripherality on
non-native vowel perception.
PMID- 24923314
TI - On some quantitative properties of Czech r in newsreading.
AB - This research examines the distributional characteristics of Czech r in terms of
the number of contacts (periods). The traditional claim that this consonant is
produced with two or more contacts is challenged by the empirical evidence of r
realized in connected speech (newsreading style). The results of the study show
that the most common variant of the (r) variable is a one-contact alveolar sound,
with the multiple-contact realization of r being extremely rare. The role of
eight linguistic variables is assessed in order to find out which factors are
most likely to influence the number of contacts of Czech r. Some questions are
discussed concerning its current definition as a trill.
PMID- 24923315
TI - The 'whistled' fricative in Xitsonga: its articulation and acoustics.
AB - The present study examines the articulation and acoustics of the typologically
rare and understudied 'whistled' fricative sound in Xitsonga, a Southern Bantu
language. Using ultrasound imaging and video recording, we examine the lingual
and labial articulation of the whistled fricative. For the acoustic analysis, we
employ the multitaper spectral analysis, which ensures reliable spectral
estimates. The results revealed an interplay between multiple articulators
involved in the production of the sound: the retroflex lingual gesture and the
narrowing of the lower lip toward the upper teeth. Acoustically, the spectra of
the whistled fricative are more peaked and compact than the acoustically similar
palatoalveolar fricative, and the differences manifest themselves most clearly in
two acoustic parameters, dynamic amplitude (Ad) and M2 (variance). The acoustic
differences are also manifested in F2 and F3 in the surrounding vowels.
Additionally, the 'whistled' fricative in Xitsonga is not quite whistled,
contrary to the label given to the sound in previous studies. Building on the
current articulatory and acoustic results, we discuss two different aerodynamic
models for the whistled fricatives in Southern Bantu languages and conclude that
the whistled fricative in Xitsonga is best characterized as a retroflex segment
accompanied by weak whistling.
PMID- 24923317
TI - Cluster synchronization and isolated desynchronization in complex networks with
symmetries.
AB - Synchronization is of central importance in power distribution,
telecommunication, neuronal and biological networks. Many networks are observed
to produce patterns of synchronized clusters, but it has been difficult to
predict these clusters or understand the conditions under which they form. Here
we present a new framework and develop techniques for the analysis of network
dynamics that shows the connection between network symmetries and cluster
formation. The connection between symmetries and cluster synchronization is
experimentally confirmed in the context of real networks with heterogeneities and
noise using an electro-optic network. We experimentally observe and theoretically
predict a surprising phenomenon in which some clusters lose synchrony without
disturbing the others. Our analysis shows that such behaviour will occur in a
wide variety of networks and node dynamics. The results could guide the design of
new power grid systems or lead to new understanding of the dynamical behaviour of
networks ranging from neural to social.
PMID- 24923319
TI - Geometric facial gender scoring: objectivity of perception.
AB - Gender score is the cognitive judgement of the degree of masculinity or
femininity of a face which is considered to be a continuum. Gender scores have
long been used in psychological studies to understand the complex psychosocial
relationships between people. Perceptual scores for gender and attractiveness
have been employed for quality assessment and planning of cosmetic facial
surgery. Various neurological disorders have been linked to the facial structure
in general and the facial gender perception in particular. While, subjective
gender scoring by human raters has been a tool of choice for psychological
studies for many years, the process is both time and resource consuming. In this
study, we investigate the geometric features used by the human cognitive system
in perceiving the degree of masculinity/femininity of a 3D face. We then propose
a mathematical model that can mimic the human gender perception. For our
experiments, we obtained 3D face scans of 64 subjects using the 3dMDface scanner.
The textureless 3D face scans of the subjects were then observed in different
poses and assigned a gender score by 75 raters of a similar background. Our
results suggest that the human cognitive system employs a combination of
Euclidean and geodesic distances between biologically significant landmarks of
the face for gender scoring. We propose a mathematical model that is able to
automatically assign an objective gender score to a 3D face with a correlation of
up to 0.895 with the human subjective scores.
PMID- 24923320
TI - Trends in the aggressiveness of end-of-life care for Korean pediatric cancer
patients who died in 2007-2010.
AB - BACKGROUND: In light of the Korean Supreme Court's 2009 ruling favoring a
patient's right to die with dignity, we evaluated trends in aggressive care in a
cohort of pediatric cancer patients. Methods We conducted a population-based
retrospective study that used administrative data for patients who died in 2007
2010 among the 5,203 pediatric cancer patients registered at the Korean Cancer
Central Registry (KCCR) during 2007-2009. RESULTS: In the time period covered,
696 patients died. The proportion who had received chemotherapy in the last 30
days of life decreased from 58.1% to 28.9% (P<0.001), those who received new
chemotherapy in the same time period decreased from 55.2% to 15.1% (P<0.001), and
those who received treatment in the last 2 weeks of life decreased from 51.4% to
21.7% (P<0.001). In the last 30 days of life, the proportion of patients whose
hospital admission period was over 14 days increased from 70.5% to 82.5% (P =
0.03), the proportion who received cardiopulmonary resuscitation decreased from
28.6% to 9.6% (P<0.001), and we found no statistically significant trends in the
proportion of emergency department visits, intensive care unit admissions, or
mechanical ventilation. CONCLUSIONS: In this study, in contrast with earlier
ones, the aggressiveness of end-of-life care of Korean pediatric cancer patients
decreased dramatically.
PMID- 24923321
TI - Kisspeptin regulation of genes involved in cell invasion and angiogenesis in
first trimester human trophoblast cells.
AB - The precise regulation of extravillous trophoblast invasion of the uterine wall
is a key process in successful pregnancies. Kisspeptin (KP) has been shown to
inhibit cancer cell metastasis and placental trophoblast cell migration. In this
study primary cultures of first trimester human trophoblast cells have been
utilized in order to study the regulation of invasion and angiogenesis-related
genes by KP. Trophoblast cells were isolated from first trimester placenta and
their identity was confirmed by immunostaining for cytokeratin-7. Real-time
quantitative RT-PCR demonstrated that primary trophoblast cells express higher
levels of GPR54 (KP receptor) and KP mRNA than the trophoblast cell line
HTR8Svneo. Furthermore, trophoblast cells also expressed higher GPR54 and KP
protein levels. Treating primary trophoblast cells with KP induced ERK1/2
phosphorylation, while co-treating the cells with a KP antagonist almost
completely blocked the activation of ERK1/2 and demonstrated that KP through its
cognate GPR54 receptor can activate ERK1/2 in trophoblast cells. KP reduced the
migratory capability of trophoblast cells in a scratch-migration assay. Real-time
quantitative RT-PCR demonstrated that KP treatment reduced the expression of
matrix metalloproteinase 1, 2, 3, 7, 9, 10, 14 and VEGF-A, and increased the
expression of tissue inhibitors of metalloproteinases 1 and 3. These results
suggest that KP can inhibit first trimester trophoblast cells invasion via
inhibition of cell migration and down regulation of the metalloproteinase system
and VEGF-A.
PMID- 24923322
TI - Transposable element dynamics among asymbiotic and ectomycorrhizal Amanita fungi.
AB - Transposable elements (TEs) are ubiquitous inhabitants of eukaryotic genomes and
their proliferation and dispersal shape genome architectures and diversity.
Nevertheless, TE dynamics are often explored for one species at a time and are
rarely considered in ecological contexts. Recent work with plant pathogens
suggests a link between symbiosis and TE abundance. The genomes of pathogenic
fungi appear to house an increased abundance of TEs, and TEs are frequently
associated with the genes involved in symbiosis. To investigate whether this
pattern is general, and relevant to mutualistic plant-fungal symbioses, we
sequenced the genomes of related asymbiotic (AS) and ectomycorrhizal (ECM)
Amanita fungi. Using methods developed to interrogate both assembled and
unassembled sequences, we characterized and quantified TEs across three AS and
three ECM species, including the AS outgroup Volvariella volvacea. The ECM
genomes are characterized by abundant numbers of TEs, an especially prominent
feature of unassembled sequencing libraries. Increased TE activity in ECM species
is also supported by phylogenetic analysis of the three most abundant TE
superfamilies; phylogenies revealed many radiations within contemporary ECM
species. However, the AS species Amanita thiersii also houses extensive
amplifications of elements, highlighting the influence of additional evolutionary
parameters on TE abundance. Our analyses provide further evidence for a link
between symbiotic associations among plants and fungi, and increased TE activity,
while highlighting the importance individual species' natural histories may have
in shaping genome architecture.
PMID- 24923323
TI - The extent of genome flux and its role in the differentiation of bacterial
lineages.
AB - Horizontal gene transfer (HGT) and gene loss are key processes in bacterial
evolution. However, the role of gene gain and loss in the emergence and
maintenance of ecologically differentiated bacterial populations remains an open
question. Here, we use whole-genome sequence data to quantify gene gain and loss
for 27 lineages of the plant-associated bacterium Pseudomonas syringae. We apply
an extensive error-control procedure that accounts for errors in draft genome
data and greatly improves the accuracy of patterns of gene occurrence among these
genomes. We demonstrate a history of extensive genome fluctuation for this
species and show that individual lineages could have acquired thousands of genes
in the same period in which a 1% amino acid divergence accrues in the core
genome. Elucidating the dynamics of genome fluctuation reveals the rapid turnover
of gained genes, such that the majority of recently gained genes are quickly
lost. Despite high observed rates of fluctuation, a phylogeny inferred from
patterns of gene occurrence is similar to a phylogeny based on amino acid
replacements within the core genome. Furthermore, the core genome phylogeny
suggests that P. syringae should be considered a number of distinct species, with
levels of divergence at least equivalent to those between recognized bacterial
species. Gained genes are transferred from a variety of sources, reflecting the
depth and diversity of the potential gene pool available via HGT. Overall, our
results provide further insights into the evolutionary dynamics of genome
fluctuation and implicate HGT as a major factor contributing to the
diversification of P. syringae lineages.
PMID- 24923324
TI - Pangenome evidence for extensive interdomain horizontal transfer affecting
lineage core and shell genes in uncultured planktonic thaumarchaeota and
euryarchaeota.
AB - Horizontal gene transfer (HGT) is an important force in evolution, which may
lead, among other things, to the adaptation to new environments by the import of
new metabolic functions. Recent studies based on phylogenetic analyses of a few
genome fragments containing archaeal 16S rRNA genes and fosmid-end sequences from
deep-sea metagenomic libraries have suggested that marine planktonic archaea
could be affected by high HGT frequency. Likewise, a composite genome of an
uncultured marine euryarchaeote showed high levels of gene sequence similarity to
bacterial genes. In this work, we ask whether HGT is frequent and widespread in
genomes of these marine archaea, and whether HGT is an ancient and/or recurrent
phenomenon. To answer these questions, we sequenced 997 fosmid archaeal clones
from metagenomic libraries of deep-Mediterranean waters (1,000 and 3,000 m depth)
and built comprehensive pangenomes for planktonic Thaumarchaeota (Group I
archaea) and Euryarchaeota belonging to the uncultured Groups II and III
Euryarchaeota (GII/III-Euryarchaeota). Comparison with available reference
genomes of Thaumarchaeota and a composite marine surface euryarchaeote genome
allowed us to define sets of core, lineage-specific core, and shell gene ortholog
clusters for the two archaeal lineages. Molecular phylogenetic analyses of all
gene clusters showed that 23.9% of marine Thaumarchaeota genes and 29.7% of
GII/III-Euryarchaeota genes had been horizontally acquired from bacteria. HGT is
not only extensive and directional but also ongoing, with high HGT levels in
lineage-specific core (ancient transfers) and shell (recent transfers) genes.
Many of the acquired genes are related to metabolism and membrane biogenesis,
suggesting an adaptive value for life in cold, oligotrophic oceans. We
hypothesize that the acquisition of an important amount of foreign genes by the
ancestors of these archaeal groups significantly contributed to their divergence
and ecological success.
PMID- 24923325
TI - The genome of the fungal-interactive soil bacterium Burkholderia terrae BS001-a
plethora of outstanding interactive capabilities unveiled.
AB - Burkholderia terrae strain BS001, obtained as an inhabitant of the mycosphere of
Laccaria proxima (a close relative of Lyophyllum sp. strain Karsten), actively
interacts with Lyophyllum sp. strain Karsten. We here summarize the remarkable
ecological behavior of B. terrae BS001 in the mycosphere and add key data to
this. Moreover, we extensively analyze the approximately 11.5-Mb five-replicon
genome of B. terrae BS001 and highlight its remarkable features. Seventy-nine
regions of genomic plasticity (RGP), that is, 16.48% of the total genome size,
were found. One 70.42-kb RGP, RGP76, revealed a typical conjugal element
structure, including a full type 4 secretion system. Comparative analyses across
24 related Burkholderia genomes revealed that 95.66% of the total BS001 genome
belongs to the variable part, whereas the remaining 4.34% constitutes the core
genome. Genes for biofilm formation and several secretion systems, under which a
type 3 secretion system (T3SS), were found, which is consistent with the
hypothesis that T3SSs play a role in the interaction with Lyophyllum sp. strain
Karsten. The high number of predicted metabolic pathways and membrane
transporters suggested that strain BS001 can take up and utilize a range of
sugars, amino acids and organic acids. In particular, a unique glycerol uptake
system was found. The BS001 genome further contains genetic systems for the
degradation of complex organic compounds. Moreover, gene clusters encoding
nonribosomal peptide synthetases (NRPS) and hybrid polyketide synthases/NRPS were
found, highlighting the potential role of secondary metabolites in the ecology of
strain BS001. The patchwork of genetic features observed in the genome is
consistent with the notion that 1) horizontal gene transfer is a main driver of
B. terrae BS001 adaptation and 2) the organism is very flexible in its ecological
behavior in soil.
PMID- 24923326
TI - Impacts of pretranscriptional DNA methylation, transcriptional transcription
factor, and posttranscriptional microRNA regulations on protein evolutionary
rate.
AB - Gene expression is largely regulated by DNA methylation, transcription factor
(TF), and microRNA (miRNA) before, during, and after transcription, respectively.
Although the evolutionary effects of TF/miRNA regulations have been widely
studied, evolutionary analysis of simultaneously accounting for DNA methylation,
TF, and miRNA regulations and whether promoter methylation and gene body (coding
regions) methylation have different effects on the rate of gene evolution remain
uninvestigated. Here, we compared human-macaque and human-mouse protein
evolutionary rates against experimentally determined single base-resolution DNA
methylation data, revealing that promoter methylation level is positively
correlated with protein evolutionary rates but negatively correlated with
TF/miRNA regulations, whereas the opposite was observed for gene body methylation
level. Our results showed that the relative importance of these regulatory
factors in determining the rate of mammalian protein evolution is as follows:
Promoter methylation ~ miRNA regulation > gene body methylation > TF regulation,
and further indicated that promoter methylation and miRNA regulation have a
significant dependent effect on protein evolutionary rates. Although the
mechanisms underlying cooperation between DNA methylation and TFs/miRNAs in gene
regulation remain unclear, our study helps to not only illuminate the impact of
these regulatory factors on mammalian protein evolution but also their intricate
interaction within gene regulatory networks.
PMID- 24923327
TI - Evolutionary origin and methylation status of human intronic CpG islands that are
not present in mouse.
AB - Imprinting of the human RB1 gene is due to the presence of a differentially
methylated CpG island (CGI) in intron 2, which is part of a retrocopy derived
from the PPP1R26 gene on chromosome 9. The murine Rb1 gene does not have this
retrocopy and is not imprinted. We have investigated whether the RB1/Rb1 locus is
unique with respect to these differences. For this, we have compared the CGIs
from human and mouse by in silico analyses. We have found that the human genome
does not only contain more CGIs than the mouse, but the proportion of intronic
CGIs is also higher (7.7% vs. 3.5%). At least 2,033 human intronic CGIs are not
present in the mouse. Among these CGIs, 104 show sequence similarities elsewhere
in the human genome, which suggests that they arose from retrotransposition. We
could narrow down the time points when most of these CGIs appeared during
evolution. Their methylation status was analyzed in two monocyte methylome data
sets from whole-genome bisulfite sequencing and in 18 published methylomes. Four
CGIs, which are located in the RB1, ASRGL1, PARP11, and PDXDC1 genes, occur as
methylated and unmethylated copies. In contrast to imprinted methylation at the
RB1 locus, differential methylation of the ASRGL1 and PDXDC1 CGIs appears to be
sequence dependent. Our study supports the notion that the epigenetic fate of the
retrotransposed DNA depends on its sequence and selective forces at the
integration site.
PMID- 24923328
TI - Facile heterogenization of a cobalt catalyst via graphene adsorption: robust and
versatile dihydrogen production systems.
AB - A heterogeneous dihydrogen (H2) production system has been attained by simply
soaking electrodes made from electro-deposited graphene on FTO plated glass in
solutions of a cobalt bis(dithiolate) compound. The resulting electrodes are
active in weakly acidic aqueous solutions (pH > 3), have relatively low
overpotentials (0.37 V versus platinum), show high catalytic rates (TOF > 1000 s(
1)), and are resistant to degradation by dioxygen.
PMID- 24923329
TI - Renalase gene polymorphism in patients after renal allograft transplantation.
AB - BACKGROUND/AIMS: Renalase is a recently discovered protein, which is likely
involved in regulation of blood pressure in humans and animals. Previous studies
suggest that renalase reflects kidney functioning. A common missense polymorphism
in the flavin-adenine dinucleotide-binding domain of human renalase (Glu37Asp)
has been described. In this study we examined the association between (Glu37Asp)
polymorphism (rs2296545) in renalase gene and kidney allograft function. METHODS:
The study enrolled 270 Caucasian kidney allograft recipients. SNP within the
renalase was genotyped using TaqMan genotyping assays. RESULTS: There were no
statistically significant associations between renalase gene rs2296545
polymorphism and delayed graft function, acute rejection, chronic allograft
dysfunction as well as creatinine serum concentrations and blood pressure values
after transplantation. CONCLUSIONS: The results of this study suggest, that
renalase gene rs2296545 polymorphism is not important factor determining renal
allograft function.
PMID- 24923331
TI - Operationalizing the RE-AIM framework to evaluate the impact of multi-sector
partnerships.
AB - BACKGROUND: The RE-AIM (Reach, Effectiveness, Adoption, Implementation, and
Maintenance) framework is a reliable tool for the translation of research to
practice. This framework has been widely applied to assess the impact of
individual interventions. However, RE-AIM has rarely been used to evaluate
implementation interventions, especially from multi-sector partnerships. The
primary purpose of this paper is to operationalize the RE-AIM approach to
evaluate large, multi-sector partnerships. SCI Action Canada, a community
university partnership aimed to promote physical activity among adults with
spinal cord injury, is used as an example. A secondary purpose is to provide
initial data from SCI Action Canada by using this conceptualization of RE-AIM.
METHODS: Each RE-AIM element is operationalized for multi-sector partnerships.
Specific to SCI Action Canada, seven reach calculations, four adoption rates,
four effectiveness outcomes, one implementation, one organizational maintenance,
and two individual maintenance outcomes are defined. The specific numerators
based on SCI Action Canada activities are also listed for each of these
calculations. RESULTS: The results are derived from SCI Action Canada activities.
SCI Action Canada's reach ranged from 3% (end-user direct national reach) to 37%
(total regional reach). Adoption rates were 15% (provincial level adoption) to
76% (regional level adoption). Implementation and organizational maintenance
rates were 92% and 100%, respectively. CONCLUSIONS: We have operationalized the
RE-AIM framework for larger multi-sectoral partnerships and demonstrated its
applicability to such partnerships with SCI Action Canada. Future partnerships
could use RE-AIM to assess their public health impact.
PMID- 24923330
TI - Sequential combination of decitabine and idarubicin synergistically enhances anti
leukemia effect followed by demethylating Wnt pathway inhibitor promoters and
downregulating Wnt pathway nuclear target.
AB - BACKGROUND: The methylation inhibitor 5-Aza-2'-deoxycytidine (decitabine, DAC)
has a great therapeutic value for acute myeloid leukemia (AML) and
myelodysplastic syndromes (MDS). But decitabine monotherapy was associated with a
relatively low rate of complete remission in AML and MDS. We aimed to investigate
the effect of several anti-leukemia drugs in combination with decitabine on the
proliferation of myeloid leukemia cells, to select the most efficient combination
group and explore the associated mechanisms of these combination therapies.
METHODS: Cell proliferation was tested by MTT assay and CFU-GM assay. Cell
apoptosis was evaluated by Annexin V and PI staining in cell culture, TUNEL assay
and transmission electron microscopy in animal study. MicroPET was used to
imaging the tumor in mouse model. Molecular studies were conducted using
microarray expression analysis, which was used to explore associated pathways,
and real-time quantitative reverse transcription-PCR, western blot and
immunohistochemistry, used to assess regulation of Wnt/beta-catenin pathway.
Statistical significance among groups was determined by one-way ANOVA analysis
followed by post hoc Bonferroni's multiple comparison test. RESULTS: Among five
anti-leukemia agents in combining with decitabine, the sequential combination of
decitabine and idarubicin induced synergistic cell death in U937 cells, and this
effect was verified in HEL, SKM-1 cells and AML cells isolated from AML patients.
Importantly, tumor growth inhibition in this sequential combination was found to
be higher than in single agent or controls in vivo. Moreover, sequential
combination of the two agents induced apoptosis and depression of the Wnt/beta
catenin pathway in both AML cell culture and animal studies. CONCLUSIONS: The
findings demonstrated that sequentially combination of decitabine and idarubicin
had synergistic anti-leukemia effects. These effects were mainly attributed to
demethylation of Wnt/beta-catenin pathway inhibitors and downregulation of
Wnt/beta-catenin pathway nuclear targets.
PMID- 24923332
TI - Survivin inhibition via EZN-3042 in canine lymphoma and osteosarcoma.
AB - Canine lymphoma (LSA) and osteosarcoma (OS) have high mortality rates and remain
in need of more effective therapeutic approaches. Survivin, an inhibitor of
apoptosis (IAP) family member protein that inhibits apoptosis and drives cell
proliferation, is commonly elevated in human and canine cancer. Survivin
expression is a negative prognostic factor in dogs with LSA and OS, and canine
LSA and OS cell lines express high levels of survivin. In this study, we
demonstrate that survivin downregulation in canine LSA and OS cells using a
clinically applicable locked nucleic acid antisense oligonucleotide (EZN-3042,
Enzon Pharmaceuticals, Piscataway Township, NJ, USA) inhibits growth, induces
apoptosis and enhances chemosensitivity in vitro, and inhibits survivin
transcription and protein production in orthotopic canine OS xenografts. Our
findings strongly suggest that survivin-directed therapies might be effective in
treatment of canine LSA and OS and support evaluation of EZN-3042 in dogs with
cancer.
PMID- 24923333
TI - Are vitamin D levels affected by acute bacterial infections in children?
AB - AIMS: Vitamin D deficiency is associated with infectious diseases; however, it is
not known whether vitamin D levels are affected by acute infection. Our aim was
to establish whether 25-hydroxyvitamin D (25OHD) levels taken during an acute
bacterial infection are representative of baseline levels. METHODS: Thirty
children between 6 months and 15 years of age with proven bacterial infections
presenting to a tertiary paediatric referral centre had 25OHD levels taken during
their acute infection and again 1 month later provided that they had recovered
from their infection, had no subsequent infections and had not been taking
vitamin supplements. 25OHD levels were measured by liquid chromatography mass
spectrometry. RESULTS: Mean 25OHD at enrolment was 67.5 nmol/L (standard
deviation (SD) 22.0), and mean 25OHD at 1 month follow up was 72.7 nmol/L (SD
25.8) (paired t-test P = 0.25). C-reactive protein levels were recorded in 29/30
patients at enrolment (mean 85.1 mg/L, SD 83.5) and 25/30 patients at follow-up
(mean 4.0 mg/L, SD 3.3) (paired t-test P = 0.002). The ethnicity of the
participants was New Zealand European or European Other, 26; Samoan, 2; Maori, 1;
and Chinese, 1. CONCLUSIONS: In children, 25OHD levels are not affected by acute
bacterial infections; 25OHD levels taken during acute bacterial infection are
representative of baseline levels. 25OHD levels collected during acute bacterial
infection provide reliable information for case-control studies.
PMID- 24923334
TI - Caffeine and suicide: a systematic review.
AB - Suicide is considered a deliberate act initiated and concluded by a person with
full knowledge or expectation of a fatal result, and one of the main symptoms of
depression. An individual's conscious and excessive ingestion of a damaging
substance is also considered to be an attempted suicide. Despite limited
knowledge of caffeine abuse, deaths from overdose of caffeine have been reported
in the literature. Thus, this study aims to review the existing literature on
caffeine consumption and suicide attempts and deaths, investigating the relation
between caffeine consumption and suicide attempts and deaths. We found 24 studies
that discuss the relationship between caffeine and suicide. The findings revealed
that, despite being an addictive substance and potentially fatal in higher doses,
caffeine was still a rare factor in a number of studies concerning its relation
with suicide attempts and death. The majority of the research found in this study
was of the case study type. Furthermore, the majority of studies focus on the
assistance offered to the victim and the procedures undertaken to control the
bodily damage created. The existing studies indicate the substance may act as
either a direct or an indirect agent in suicide. Therefore, a better
understanding of how caffeine may be linked to suicide is crucial for its
prevention.
PMID- 24923335
TI - Deep brain stimulation: a new treatment in mood and anxiety disorders.
AB - This article considered already existing studies about Deep Brain Stimulation in
Mood and Anxiety Disorders. In particular, articles regarding Obsessive
Compulsive Disorder and Major Depression were mostly analyzed, due to the lack of
researches about other types of Mood and Anxiety Disorders. We have concentrated
on the target areas where Deep Brain Stimulation was most commonly applied, and
on the effects this measure had on treatment-refractory patients. The obtained
results showed that the stimulation of the: nucleus accumbens, subgenual
cingulate cortex and ventral capsule/ventral striatum, has a positive influence
on the development of the disorders investigated, sometimes showing the complete
remission of the symptoms. Although Deep Brain Stimulation was overall found to
be a promising and safe treatment for Mood and Anxiety Disorders, there are not
enough studies proving its efficacy in wide samples and in the presence of more
complex variables.
PMID- 24923336
TI - Cytokine-induced depression: current status and novel targets for depression
therapy.
AB - Current treatments of depression include psychological, pharmacological and
physical approaches. Pharmacological interventions to treat depression have
previously focused on modifying dysfunctional neurotransmitter systems. Overall,
these treatments have demonstrated an ability to manage major depression but
otucomes continue to be poor in many patients, especially those with long term
illness or with previous multiple relapses. This may be due to the fact that
depression is a systemic and neuroprogressive illness involving multiple
biological pathways such as immunological factors. There is substantial evidence
that cytokine therapies induce depressive symptoms in clinical populations. The
model of cytokine-induced depression has provided important information relative
to the risk factors and biological pathways involved in the etiology of
depressive symptoms and, most importantly, the identification and knowledge of
these factors has allowed new treatment targets to be explored. When an exogenous
cytokine such as interferon-alpha is administered, proinflammatory cytokines are
activated, leading to alterations in neurotransmission and endocrine pathways and
producing neurotoxicity. Several new treatments for depression acting through
pathways other than amine neurotransmission have emerged in recent years. The
regulation of the inflammatory response, the decrease in the activity of the
hypothalamic-pituitary-adrenal axis and the prevention of neurotoxicity are
potential targets for new drugs. Though these drugs are mostly at the proof-of
concept stage, some of them have already shown promising results for the
treatment of depression.
PMID- 24923338
TI - Current directions in non-invasive low intensity electric brain stimulation for
depressive disorder.
AB - Non-invasive stimulation of the human brain to improve depressive symptoms is
increasingly finding its way in clinical settings as a viable form of somatic
treatment. Following successful modulation of neural excitability with subsequent
antidepressant effects, neural polarization by administrating weak direct
currents to the scalp has gained renewed interest. A new wave of basic and
clinical studies seems to underscore the potential therapeutic value of direct
current stimulation in the treatment of depression. Issues concerning the lack of
mechanistic insights into the workings of modifying brain function through neural
polarization and how this process translates to its antidepressant properties
calls for additional research. The range of its clinical applicability has yet to
be established.
PMID- 24923337
TI - Neurological aspects of grief.
AB - Despite grief being a universal experience and the increased scientific attention
paid to grief and bereavement in recent years, studies that seek to better
understand the role of the neurological aspects of grief are still scarce. We
found 5 studies that discussed the relationship between the neurological aspects
of grief due to the death of a loved one. All studies showed an activation of
common areas, i.e., the anterior cingulate cortex (ACC), posterior cingulate
cortex (PCC), prefrontal cortex (PFC), insula and amygdala. These findings could
indicate that there is a group of areas working together and responding to
generate the symptomatology of grief. Because grief is a universal experience, it
is essential that the necessary and effective support can be provided to those
who experience the loss of someone considered important in their lives, and this
requires understanding grief's manifestation, its differential diagnosis in
reference to other clinical conditions, mainly psychiatric ones, and adequate
forms of intervention and treatment when necessary. Proper understanding and
support can help prevent the emergence of more serious health problems.
PMID- 24923339
TI - Antidepressant-like and anxiolytic-like effects of cannabidiol: a chemical
compound of Cannabis sativa.
AB - Anxiety and depression are pathologies that affect human beings in many aspects
of life, including social life, productivity and health. Cannabidiol (CBD) is a
constituent non-psychotomimetic of Cannabis sativa with great psychiatric
potential, including uses as an antidepressant-like and anxiolytic-like compound.
The aim of this study is to review studies of animal models using CBD as an
anxiolytic-like and antidepressant-like compound. Studies involving animal
models, performing a variety of experiments on the above-mentioned disorders,
such as the forced swimming test (FST), elevated plus maze (EPM) and Vogel
conflict test (VCT), suggest that CBD exhibited an anti-anxiety and
antidepressant effects in animal models discussed. Experiments with CBD
demonstrated non-activation of neuroreceptors CB1 and CB2. Most of the studies
demonstrated a good interaction between CBD and the 5-HT1A neuro-receptor.
PMID- 24923340
TI - Depression as a comorbidity in Behcet's syndrome.
AB - Behcet's syndrome (BD) is a controversial, chronic, and episodic condition that
is autoimmune in origin and causes systemic vasculitis in the arteries and
genital veins. BD is consistently associated with depression, with an incidence
of 86% upon the first appearance of symptoms and disorders of the disease.
However, few studies have looked at depression and other psychiatric disorders,
such as anxiety, in the context of comorbidity with BD. The aim of this review is
to identify articles that focus on the relation between BD and depression as a
comorbidity. The studies found revealed a consistently high incidence of
depression in the BD population, even when compared with other chronic
pathologies including Rheumatoid Arthritis and Psoriasis, although there was a
limitation in the use of scales and other tools for assessment and control of
comorbid symptoms, moreover there are no studies linking the drugs used in the BD
treatment of each patient and the symptoms present in each case. This review
clearly shows the lack of research in which depression scores are analyzed in
relation to the medications used by individual patients. Additionally, the use of
additional scales is necessary to increase the knowledge about the nature and
consequences of depression as comorbidity of BD.
PMID- 24923341
TI - Panic and the brainstem: clues from neuroimaging studies.
AB - One of the most influential theories has conceived unexpected panic attack (PA)
as a primal defensive reaction to threat within the internal milieu of the body.
This theory is based on findings suggesting the involvement of dysfunctional
respiratory regulation and/or abnormally sensitive central neural network of
carbon dioxide (CO2)/hydrogen ion (H+) chemoreception in PA. Thus,
unexpected PA may be related to phylogenetically older brain structures,
including the brainstem areas, which process basic functions related to the
organism's internal milieu. The brainstem represents a crucial area for
homeostatic regulation, including chemoreception and cardio-respiratory control.
In addition, the midbrain dorsal periaqueductal gray may be involved in the
unconditioned defense reactions to proximal threats, including internal physical
stimuli. Our aim was to specifically consider the potential involvement of the
brainstem in panic disorder (PD) by a comprehensive review of the available
neuroimaging studies. Available data are limited and potentially affected by
several limitations. However, preliminary evidence of a role of the brainstem in
PD can be found and, secondly, the brainstem serotonergic system seems to be
involved in panic modulation with indications of both altered serotonergic
receptors and 5-HT transporter bindings. In conclusion, our review suggests that
the brainstem may be involved in psychopathology of PD and supports the relevant
role of subcortical serotonergic system in panic pathogenesis.
PMID- 24923342
TI - Bupropion in the depression-related sexual dysfunction: a systematic review.
AB - Depression is the most prevalent psychiatric disorder (16.6%) and is frequently
associated with impairments in several areas. Sexual dysfunction (SD) is a
prevalent problem with rates ranging from 40-45% in adult women and 20- 30% in
adult men. A relationship between depression and sexual dysfunction has been
shown in the literature although the mechanisms of this association are not yet
clear. Many antidepressants, especially selective serotonin reuptake inhibitors
(SSRIs), list sexual dysfunction as a side effect. New drug alternatives with
fewer side effects have been proposed, and bupropion is one of them. This study
aims to review the literature on bupropion and its impact in sexual function on
depressed subjects. Most of the studies have noted that bupropion is not only as
effective as other antidepressants but has the advantage of a lower impact on
sexual functioning. Some other studies have found that bupropion can even enhance
sexual function in certain individuals. Bupropion is also effective, when
combined with other antidepressants, in treating emergent sexual dysfunction.
Bupropion may be an alternative treatment for depression that does not include
the sexual side effects associated with most of the prescribed antidepressants
available; however, additional research is required.
PMID- 24923343
TI - Repetitive transcranial magnetic stimulation (rTMS) to treat refractory panic
disorder patient: a case report.
AB - Panic disorder (PD) is an anxiety disorder that is highly disruptive to the
patient's life and needs new options for effective treatments. In this case
report, we present an application of repetitive transcranial magnetic stimulation
(rTMS) in a refractory patient resistant to cognitive behavior therapy (CBT) and
pharmacotherapy who was treated with a combined protocol of rTMS with a
sequential stimulation of right and left dorsolateral prefrontal cortex (DLPFC).
The protocol was conducted 3 times per week during 4 weeks, with one month follow
up. The fact that the patient showed improvement suggests that rTMS can be an
effective option of treatment.
PMID- 24923344
TI - Nicotine effects on human affective functions: a systematic review of the
literature on a controversial issue.
AB - The observation that nicotine modulates negative affectivity and has a mood
enhancing effect mainly derives from studies conducted in the general population
and in clinical samples, mostly in nicotine-deprived subjects. It has been
explained by the so called deprivation-reversal hypothesis (i.e., nicotine
modulates affect because it alleviates withdrawal symptoms). However,
experimental studies suggest that nicotine might modulate different affective
functions (e.g., aggressiveness, anxiety) and exert a direct modulating effect on
human affectivity. The present paper is a systematic review of the literature
aiming at verifying this second hypothesis. A computerized search was carried out
(PubMed/Medline 1960-2012). Inclusion criteria were: 1. English language papers
published in peer-reviewed journals; 2. experimental/quasi experimental design
studies; 3. no deprived adults; 4. inclusion of a control condition; 5. no
additional Axis I or II psychiatric disorders. Twenty-one papers met our
inclusion criteria. Nicotine was showed to alleviate depression both in smokers
and non-smokers, especially if depressed or vulnerable to depression. This effect
seemed related to the activation of the dopaminergic brain rewarding system. No
clear effect on anxiety was found. Nicotine, thus, seems to exert a direct
modulating effect on human mood. Possible limitations of the reviewed studies and
future research directions are proposed.
PMID- 24923345
TI - Psychosocial stress but not exercise increases cortisol and reduces state anxiety
levels in school classes - results from a stressor applicable in large group
settings.
AB - Both, psychosocial stress and exercise in the past have been used as stressors to
elevate saliva cortisol and change state anxiety levels. In the present study,
high-school students at the age of 14 were randomly assigned to three
experimental groups: (1) an exercise group (n = 18), that was running 15 minutes
at a medium intensity level of 65-75% HRmax, (2) a psychosocial stress group (n =
19), and (3) a control group (n = 18). The psychosocial stress was induced to the
students by completing a standardized intelligence test under the assumption that
their IQ scores would be made public in class. Results display that only
psychosocial stress but not exercise was able to significantly increase cortisol
levels but decreased cognitive state anxiety in adolescents. The psychosocial
stress protocol applied here is proposed for use in future stress studies with
children or adolescents in group settings, e.g., in school.
PMID- 24923346
TI - Effects of exercise on anxiety and depression disorders: review of meta- analyses
and neurobiological mechanisms.
AB - Anxiety and depression are the most frequently diagnosed psychological diseases
showing a high co-morbidity. They have a severe impact on the lives of the
persons concerned. Many meta-analytical studies suggested a positive anxiolytic
and depression-reducing effect of exercise programs. The aim of the present
article is to synthesize metaanalyses on the effects of exercise on anxiety and
depression and to describe average effect sizes. For this purpose 37 meta
analyses were included reporting 50 effect sizes for anxiety scores of 42,264
participants and depression scores of 48,207 persons. The average documented
anxiolytic effect of exercise in these reviews was small, 0.34. In contrast, the
effect of exercise on depression was significantly higher and at a moderate
level, 0.56. Data of randomized controlled trials suggest higher sizes for the
effect of exercise on anxiety and depression leading to increases up to moderate
and large effects, respectively. Additionally, exercise seems to be more
beneficial for patients compared to participants within a non-clinical, normal
range of psychological disease. Especially for the effect of exercise on anxiety,
more high quality meta-analyses of randomized controlled trials are needed.
Finally, possible neurobiological explanations are suggested for the positive
effect of exercise on psychological disorders like anxiety and depression.
PMID- 24923347
TI - Executive function impairments in patients with depression.
AB - Depression, the most prevalent psychiatric disorder, has a lifelong risk of 20%
and is related to high rates of death among the patients. Thus, this study aims
to conduct a systematic review of changes in executive functions of adult
patients diagnosed with depression. We found 1381 articles; however, only 28 were
selected and recovered. The inclusion criteria was the assessment of executive
functions with at least one neuropsychological test, and articles that evaluated
primarily adult individuals with depression, without comparison to other
psychiatric disorders. Although most of the studies (25 out of 28 analyzed) have
shown deficits in some executive subcomponents, these findings are not conclusive
because they used different parameters of assessment. Moreover, many variables
were not controlled, such as the different subtypes of the disorder, the high
level of severity, comorbidity and the use of drugs. Most studies showed
different deficits in executive functions in depressed patients, but further
longitudinal studies are needed in order to confirm these findings.
PMID- 24923348
TI - Chest pain, panic disorder and coronary artery disease: a systematic review.
AB - Chest pain may be due benign diseases but often suggests an association with
coronary artery disease, which justifies a quick search for medical care.
However, some people have anxiety disorder with symptoms that resemble clearly an
acute coronary syndrome. More specifically, during a panic attack an abrupt
feeling of fear accompanied by symptoms such as breathlessness, palpitations and
chest pain, makes patients believe they have a heart attack and confuse
physicians about the diagnosis. The association between panic disorder and
coronary artery disease has been extensively studied in recent years and,
although some studies have shown anxiety disorders coexisting or increasing the
risk of heart disease, one causal hypothesis is still missing. The aim of this
systematic review is to present the various ways in which the scientific
community has been investigating the relation between chest pain, panic disorder
and coronary artery disease.
PMID- 24923349
TI - Current pharmacological interventions in panic disorder.
AB - The aim of this review was to summarize the recent evidences regarding the
pharmacological treatment of panic disorder (PD). The authors performed a review
of the literature regarding the pharmacological treatment of PD since the year
2000. The research done in the last decade brought strong evidences of
effectiveness for paroxetine, venlafaxine, sertraline, fluvoxamine, citalopram,
fluoxetine, clonazepam, and the relatively novel agent escitalopram. There are
evidences indicating that the other new compounds inositol, duloxetine,
mirtazapine, milnacipran, and nefazodone have antipanic properties and may be
effective compounds in the treatment of PD. The effectiveness of reboxetine and
anticonvulsants is a subject of controversy. In addition to selective serotonin
reuptake inhibitors and serotonin and noradrenaline reuptake inhibitors,
tricyclic antidepressants, monoamine oxidase inhibitors, benzodiazepines and
atypical antipsychotics may be valid alternatives in the treatment of PD. Recent
data indicate that augmentation strategies with aripiprazole, olanzapine,
pindolol or clonazepam may be effective. D-cycloserine is a promising agent in
the augmentation of cognitive behavioral therapy.
PMID- 24923350
TI - Acute effects of exercise on mood and EEG activity in healthy young subjects: a
systematic review.
AB - Electroencephalography has been used to establish the relationship among cortical
activity, exercise and mood, such as asymmetry, absolute and relative power. The
purpose of this study was to systematically review the influence of cortical
activity on mood state induced by exercise. The Preferred Reporting Items in
Systematic reviews and Meta-Analyses was followed in this study. The studies were
retrieved from MEDLINE/PubMed, ISI Web of Knowledge and SciELO. Search was
conducted in all databases using the following terms: EEG asymmetry, sLORETA,
exercise, with affect, mood and emotions. Based on the defined criteria, a total
of 727 articles were found in the search conducted in the literature (666 in
Pubmed, 54 in ISI Web of Science, 2 in SciELO and 5 in other data sources). Total
of 11 studies were selected which properly met the criteria for this review. Nine
out of 11 studies used the frontal asymmetry, four used absolute and relative
power and one used sLORETA. With regard to changes in cortical activity and mood
induced by exercise, six studies attributed this result to different intensities,
one to duration, one to type of exercise and one to fitness level. In general,
EEG measures showed contradictory evidence of its ability to predict or modulate
psychological mood states through exercise intervention.
PMID- 24923351
TI - Neuroimaging correlates of pharmacological and psychological treatments for
specific phobia.
AB - Specific phobia is an anxiety disorder characterized by irrational fear and
avoidance of specific things or situations, interfering significantly with the
patients' daily life. Treatment for the disorder consists of both pharmacological
and psychological approaches, mainly cognitive behavioral therapy (CBT).
Neuroimaging techniques have been used in an attempt to improve our understanding
of the neurobiology of SP and of the effects of treatment options available. This
review describes the design and results of eight articles investigating the
neuroimaging correlates of pharmacological and psychological treatments for SP.
The studies show that CBT is effective in SP, leading to a reduction of anxiety
symptoms that is accompanied by functional alterations in the brain. The results
of pharmacological interventions for SP are less uniform, but suggest that the
partial agonist of the NMDA (N-methyl D-aspartate) receptor DCS (D-cycloserine)
can be used in combination with psychotherapy techniques for the achievement of
quicker treatment response and that DCS modulates the function of structures
implicated in the neurobiology of SP. Further research should explore the
augmentation of CBT treatment with DCS in controlled trials.
PMID- 24923352
TI - Disseminated giant hyperkeratotic porokeratosis and treatment with acitretin: a
case report.
PMID- 24923354
TI - Putative roles of Ca(2+) -independent phospholipase A2 in respiratory chain
associated ROS production in brain mitochondria: influence of docosahexaenoic
acid and bromoenol lactone.
AB - Ca(2+) -independent phospholipase A2 (iPLA2 ) is hypothesized to control
mitochondrial reactive oxygen species (ROS) generation. Here, we modulated the
influence of iPLA2 -induced liberation of non-esterified free fatty acids on ROS
generation associated with the electron transport chain. We demonstrate enzymatic
activity of membrane-associated iPLA2 in native, energized rat brain mitochondria
(RBM). Theoretically, enhanced liberation of free fatty acids by iPLA2 modulates
mitochondrial ROS generation, either attenuating the reversed electron transport
(RET) or deregulating the forward electron transport of electron transport chain.
For mimicking such conditions, we probed the effect of docosahexaenoic acid
(DHA), a major iPLA2 product on ROS generation. We demonstrate that the adenine
nucleotide translocase partly mediates DHA-induced uncoupling, and that low
micromolar DHA concentrations diminish RET-dependent ROS generation. Uncoupling
proteins have no effect, but the adenine nucleotide translocase inhibitor
carboxyatractyloside attenuates DHA-linked uncoupling effect on RET-dependent ROS
generation. Under physiological conditions of forward electron transport, low
micromolar DHA stimulates ROS generation. Finally, exposure of RBM to the iPLA2
inhibitor bromoenol lactone (BEL) enhanced ROS generation. BEL diminished RBM
glutathione content. BEL-treated RBM exhibits reduced Ca(2+) retention capacity
and partial depolarization. Thus, we rebut the view that iPLA2 attenuates
oxidative stress in brain mitochondria. However, the iPLA2 inhibitor BEL has
detrimental activities on energy-dependent mitochondrial functions. The Ca(2+)
independent phospholipase A2 (iPLA2 ), a FFA (free fatty acids)-generating
membrane-attached mitochondrial phospholipase, is potential to regulate ROS
(reactive oxygen species) generation by mitochondria. FFA can either decrease
reversed electron transport (RET)-linked or enhance forward electron transport
(FET)-linked ROS generation. In the physiological mode of FET, iPLA2 activity
increases ROS generation. The iPLA2 inhibitor BEL exerts detrimental effects on
energy-dependent mitochondrial functions.
PMID- 24923353
TI - 14-3-3sigma induces heat shock protein 70 expression in hepatocellular carcinoma.
AB - BACKGROUND: 14-3-3sigma is implicated in promoting tumor development of various
malignancies. However, the clinical relevance of 14-3-3sigma in hepatocellular
carcinoma (HCC) tumor progression and modulation and pathway elucidation remain
unclear. METHODS: We investigated 14-3-3sigma expression in 109 HCC tissues by
immunohistochemistry. Overexpression and knockdown experiments were performed by
transfection with cDNA or siRNA. Protein expression and cell migration were
determined by Western blot and Boyden chamber assay. RESULTS: In this study, we
found that 14-3-3sigma is abundantly expressed in HCC tumors. Stable or transient
overexpression of 14-3-3sigma induces the expression of heat shock factor-1alpha
(HSF-1alpha) and heat shock protein 70 (HSP70) in HCC cells. Moreover, expression
of 14-3-3sigma significantly correlates with HSF-1alpha/HSP70 in HCC tumors and
both 14-3-3sigma and HSP70 overexpression are associated with micro-vascular
thrombi in HCC patients, suggesting that 14-3-3sigma/HSP70 expression is
potentially involved in cell migration/invasion. Results of an in vitro migration
assay indicate that 14-3-3sigma promotes cell migration and that 14-3-3sigma
induced cell migration is impaired by siRNA knockdown of HSP70. Finally, 14-3
3sigma-induced HSF-1alpha/HSP70 expression is abolished by the knockdown of beta
catenin or activation of GSK-3beta. CONCLUSIONS: Our findings indicate that 14-3
3sigma participates in promoting HCC cell migration and tumor development via
beta-catenin/HSF-1alpha/HSP70 pathway regulation. Thus, 14-3-3sigma alone or
combined with HSP70 are potential prognostic biomarkers for HCC.
PMID- 24923355
TI - Polyethylene glycol compared with ytterbium oxide as a total faecal output marker
to predict organic matter intake of dairy ewes fed indoors or at pasture.
AB - Several external markers can be used for estimating total faecal output in view
of assessing ruminant intake at pasture. Among them, ytterbium (Yb) has been used
for many years in various conditions. Polyethylene glycol (PEG) is a promising
external marker because it can be rapidly determined using near-infrared
spectroscopy (NIRS). The study consisted of 24 adult lactating dairy ewes over
three periods (P1, P2 and P3), fed with three different diets: P1, total mixed
ration (TMR); P2, Italian ryegrass (IRG); and P3, pasture. After an adaptation
period, the ewes were administered a daily dose of ytterbium oxide (0.35 g/day)
and PEG (20 g/day) for 2 weeks. During the last week, the daily organic matter
intake (OMIOBS) was measured. Faecal samples were collected at milking time (0800
and 1600 h) to determine marker content, using only samples collected in the
morning (PEGm) or by averaging samples (Yb, PEGma). Faecal marker content made it
possible to assess total faecal output, either using the two recovery rates for
PEG (0.98 or 0.87) or not. The OMIOBS was assessed on the basis of total faeces
estimated with Yb (OMIYb) or PEG (OMIPEG), and the digestibility was calculated
on the basis of feed analysis. With total TMR (P1), the OMIPEG, corrected with
recovery rate (OMIPEGm98) or not corrected (OMIPEGm) was 2.40 kg/day and 2.50
kg/day, respectively, and was not different (P>0.05) from OMIOBS (2.51 kg/day),
whereas OMIYb was lower (2.14 kg/day) (P<0.001). With IRG (P2), OMIPEGm98 (1.67
kg/day), OMIPEGm87 (1.51 kg/day) and OMIYb (1.59 kg/day) were not different
(P>0.05) from OMIOBS (1.57 kg/day). With pasture (P3), the OMIPEGm (1.54 kg/day)
and OMIPEGm98 (1.48 kg/day) were not different (P>0.05) from the OMI assessed
from the biomass measurement (1.52 kg/day). The OMIYb (1.36 kg/day) was lower
(P<0.05) but not different from OMIPEGm98 and OMIPEGm87. Spearman's rank
correlation between OMIOBS and other OMIs (predicted with Yb or PEG P1 and P2)
showed that it is possible to rank animals using PEG when there is a sufficiently
wide range of OMIOBS (1.65 to 2.8 kg/day in P1) but not within a narrower range
(1.47 to 1.72 kg/day in P2). In conclusion, the present study confirms that PEG
is a valuable external faecal marker, easy to prepare (solution), administer and
determine (NIRS). It can be used to assess intake with numerous animals at
pasture, but only for groups, and not to quantitatively estimate individual OMI.
PMID- 24923356
TI - Osteoprotegerin in Turner syndrome - relationship to aortic diameter.
AB - BACKGROUND: Cardiovascular disease is a cardinal trait of Turner syndrome (TS),
causing half of the threefold excess mortality. As osteoprotegerin (OPG) is a
potential biomarker of cardiovascular disease, this cross-sectional and
prospective study aimed at elucidating OPG levels in TS and its relationship to
aortic diameter as well as validated cardiovascular risk markers. METHODS: Adult
women with TS (n = 99) were examined thrice (mean follow-up 4.7 +/- 0.5 years),
and 68 age-matched healthy female controls were examined once. Aortic diameter
was assessed by cardiovascular magnetic resonance. Twenty-four-hours blood
pressure monitoring and biochemical assessments were also performed. RESULTS:
Osteoprotegerin levels (median with range) were lower in TS (777 [326-10 569]
ng/l) compared with controls (979 [398-1987] ng/l; P < 0.05) and did not change
during follow-up. The OPG concentration was higher among women with TS older than
50 years of age (996 [542-4996] vs 756 [326-10 569] ng/l; P < 0.05) with a trend
towards a higher OPG in TS who were on antihypertensive medication (938 [490
2638] vs 752 [326-10 569] ng/l; P = 0.09). Contrary to controls, OPG levels
correlated with BSA-indexed aortic diameter (r = 0.31-0.45; P < 0.05), age (r =
0.29; P < 0.05) and high-sensitivity C-reactive protein (r = 0.23; P = 0.02) and
inversely with BSA (r = -0.20; P < 0.05), weight (r = -0.23; P < 0.05) and plasma
oestradiol levels (r = -0.34; P < 0.05). CONCLUSION: Levels of OPG are lower in
TS and correlate with aortic diameter, age, BSA, weight and oestradiol in TS, but
not controls. Future studies are needed to assess whether OPG may serve as a
biomarker of aortic or cardiovascular disease in TS.
PMID- 24923357
TI - The ARID-HMG DNA-binding protein AtHMGB15 is required for pollen tube growth in
Arabidopsis thaliana.
AB - In flowering plants, male gametes (sperm cells) develop within male gametophytes
(pollen grains) and are delivered to female gametes for double fertilization by
pollen tubes. Therefore, pollen tube growth is crucial for reproduction. The
mechanisms that control pollen tube growth remain poorly understood. In this
study, we demonstrated that the ARID-HMG DNA-binding protein AtHMGB15 plays an
important role in pollen tube growth. This protein is preferentially expressed in
pollen grains and pollen tubes and is localized in the vegetative nuclei of the
tricellular pollen grains and pollen tubes. Knocking down AtHMGB15 expression via
a Ds insertion caused retarded pollen tube growth, leading to a significant
reduction in the seed set. The athmgb15-1 mutation affected the expression of
1686 genes in mature pollen, including those involved in cell wall formation and
modification, cell signaling and cellular transport during pollen tube growth. In
addition, it was observed that AtHMGB15 binds to DNA in vitro and interacts with
the transcription factors AGL66 and AGL104, which are required for pollen
maturation and pollen tube growth. These results suggest that AtHMGB15 functions
in pollen tube growth through the regulation of gene expression.
PMID- 24923358
TI - Propulsion on a superhydrophobic ratchet.
AB - Liquids in the Leidenfrost state were shown by Linke to self-propel if placed on
ratchets. The vapour flow below the liquid rectified by the asymmetric teeth
entrains levitating drops by viscosity. This effect is observed above the
Leidenfrost temperature of the substrate, typically 200 degrees C for water. Here
we show that coating ratchets with super-hydrophobic microtextures extends quick
self-propulsion down to a substrate temperature of 100 degrees C, which exploits
the persistence of Leidenfrost state with such coatings. Surprisingly, propulsion
is even observed below 100 degrees C, implying that levitation is not necessary
to induce the motion. Finally, we model the drop velocity in this novel "cold
regime" of self-propulsion.
PMID- 24923359
TI - Regulatory volume increase and regulatory volume decrease responses in HL-1
atrial myocytes.
AB - BACKGROUND/AIMS: we have investigated whether cultured cardiomyocytes of the cell
line HL-1 have the ability to perform regulatory volume responses both in
hypotonic and hypertonic conditions. Furthermore, we characterized those
regulatory responses and studied the effects of bumetanide and DIDS in volume
regulation of HL-1 cells. METHODS: we used a light scattering system to measure
the transient volume changes of HL-1 cells when subjected to osmotic challenge.
RESULTS: We found that HL-1 cells correct for their volume excess by undergoing
regulatory volume decrease (RVD), and also respond to hypertonic stress with a
regulatory volume increase (RVI). Rate of RVD was 0.08 +/- 0.04 intensity/min,
and rate of RVI was 0.09 +/- 0.01 intensity/min. Volume recovery was 83.68 +/-
5.73 % for RVD and 92.3 +/- 2.3 % for RVI. Bumetanide 50 uM inhibited volume
recovery, from 92.3 +/- 2.3 % (control) to 24.6 +/- 8.8 % and reduced the rate of
RVI from 0.070 +/- 0.020 intensity/min (control) to 0.010 +/- 0.005
intensity/min. 50 uM DIDS reduced volume recovery to 42.93 +/- 7.7 % and rate of
RVI, to 0.03 +/- 0.01 intensity/min. CONCLUSIONS: these results suggest that
bumetanide- and DIDS-sensitive mechanisms are involved in the RVI of HL-1 cells,
which points to the involvement of the Na(+)/K(+)/2Cl(-) cotransporter and Cl(
)/bicarbonate exchanger in RVI, respectively.
PMID- 24923360
TI - Hologram quantitative structure activity relationship, docking, and molecular
dynamics studies of inhibitors for CXCR4.
AB - CXCR4 plays a crucial role as a co-receptor with CCR5 for HIV-1 anchoring to
mammalian cell membrane and is implicated in cancer metastasis and inflammation.
In the current work, we study the relationship of structure and activity of
AMD11070 derivatives and other inhibitors of CXCR4 using HQSAR, docking and
molecular dynamics (MD) simulations. We obtain an HQSAR model (q(2) = 0.779), and
the HQSAR result illustrates that AMD11070 shows a high antiretroviral activity.
As HQSAR only provides 2D information, we perform docking and MD to study the
interaction of It1t, AMD3100, and AMD3465 with CXCR4. Our results illustrate that
the binding are affected by two crucial residues Asp97 and Glu288. The butyl
amine moiety of AMD11070 contributes to its high antiretroviral activity. Without
a butyl amine moiety, (2,7a-Dihydro-1H-benzoimidazol-2-ylmethyl)-methyl-(5,6,7,8
tetrahydro-quinolin-8-yl)-amine (compound 5a) shows low antiretroviral activity.
Our results provide structural details about the interactions between the
inhibitors and CXCR4, which are useful for rational drug design of CXCR4.
PMID- 24923361
TI - Comparative chromosome painting of pronghorn (Antilocapra americana) and saola
(Pseudoryx nghetinhensis) karyotypes with human and dromedary camel probes.
AB - BACKGROUND: Pronghorn (Antilocapridae, 2n = 58) and saola (Bovidae, 2n = 50) are
members of Pecora, a highly diversified group of even-toed hoofed mammals.
Karyotypes of these species were not involved in chromosome painting studies
despite their intriguing phylogenetic positions in Pecora. RESULTS: To trace the
chromosome evolution during very fast radiation of main families from the common
Pecoran ancestor, high-resolution comparative chromosome maps of pronghorn and
saola with human (HSA) and dromedary camel (CDR) painting probes were
established. The human and dromedary camel painting probes revealed 50 and 64
conserved segments respectively in the pronghorn genome, while 51 and 63
conserved segments respectively in the saola genome. Integrative analysis with
published comparative maps showed that inversions in chromosomes homologous to
CDR19/35/19 (HSA 10/20/10), CDR12/34/12 (HSA12/22/12/22), CDR10/33/10 (HSA 11)
are present in representatives of all five living Pecoran families. The pronghorn
karyotype could have formed from a putative 2n = 58 Pecoran ancestral karyotype
by one fission and one fusion and that the saola karyotype differs from the
presumed 2n = 60 bovid ancestral karyotype (2n = 60) by five fusions. CONCLUSION:
The establishment of high-resolution comparative maps for pronghorn and saola has
shed some new insights into the putative ancestral karyotype, chromosomal
evolution and phylogenic relationships in Pecora. No cytogenetic signature
rearrangements were found that could unite the Antilocapridae with Giraffidae or
with any other Pecoran families. Our data on the saola support a separate
position of Pseudorigyna subtribe rather than its affinity to either Bovina or
Bubalina, but the saola phylogenetic position within Bovidae remains unresolved.
PMID- 24923362
TI - Oral health conditions and cognitive functioning in middle and later adulthood.
AB - BACKGROUND: The purpose of the present study was to examine the impact of oral
health conditions on cognitive functioning on basis of data samples from several
European countries. METHODS: Secondary analyses were conducted of data from wave
2 of the Survey of Health, Ageing, and Retirement in Europe (SHARE) which
includes 14 European countries and is intended to be representative of each
country's middle and later adulthood population. Information on word recall,
verbal fluency, and numeracy as well as information on chewing ability and
denture wearing status was available for a total of 28,693 persons aged 50+.
Multivariate regression analysis was used to detect influences of oral health
parameters on cognitive functioning (p < 0.05). RESULTS: Persons with good
chewing ability or without dentures had significantly better word recall, verbal
fluency, and numeracy skills than persons with chewing impairment or with
dentures. The observed patterns of parameter estimates imply differential oral
health impacts on numeracy compared to word recall and verbal fluency.
CONCLUSIONS: The present study provides novel large-scale epidemiological
evidence supportive of an association between oral health and cognitive
functioning. Future research should intend to verify the precise causal links
between oral health conditions, various cognitive dimensions, and their neural
correlates.
PMID- 24923363
TI - Hepatocellular carcinoma based on cryptogenic liver disease: The most common non
viral hepatocellular carcinoma in patients aged over 80 years.
AB - AIM: To clarify the clinical features of patients with hepatocellular carcinoma
(HCC) with cryptogenic liver diseases, we analyzed the data from a nationwide
survey in Japan. METHODS: The survey was conducted in 2009. The factors examined
included age and underlying liver diseases: alcoholic liver disease (ALD; n =
991), non-alcoholic fatty liver disease (n = 292), modest alcohol intake (intake
between 20 and 70 g/day, n = 214) and cryptogenic liver diseases (n = 316). We
compared the clinical features of cryptogenic HCC among patient-age subgroups.
RESULTS: HCC with ALD etiology was most common among the non-viral HCC patients
under 80 years old; for those aged 80 years or older, cryptogenic HCC was the
most common etiology. Among the cryptogenic HCC patients, the body mass index
values and the prevalences of liver cirrhosis (LC) and diabetes mellitus (DM)
were significantly lower in the 80 years or older group versus the 50-79 years
group. In the 80 years or older group, 28% of the patients developed HCC without
cirrhosis, obesity and DM. CONCLUSION: In the HCC patients aged 80 years and
over, the etiology of most of the non-viral HCC cases was classified as
cryptogenic. In light of our finding that the prevalences of obesity, DM and LC
in the 80 years or older group of cryptogenic HCC patients were significantly
lower those in the younger patients, it is apparent that analyses of HCC cases
must take age differences into account.
PMID- 24923366
TI - Highly efficient inverted polymer solar cells based on a cross-linkable water
/alcohol-soluble conjugated polymer interlayer.
AB - A cross-linkable water/alcohol soluble conjugated polymer (WSCP) material
poly[9,9-bis(6'-(N,N-diethylamino)propyl)-fluorene-alt-9,9-bis(3-ethyl(oxetane-3
ethyloxy)-hexyl) fluorene] (PFN-OX) was designed. The cross-linkable nature of
PFN-OX is good for fabricating inverted polymer solar cells (PSCs) with well
defined interface and investigating the detailed working mechanism of high
efficiency inverted PSCs based on poly[4,8-bis(2-ethylhexyloxyl)benzo[1,2-b:4,5
b']dithio-phene-2,6-diyl-alt-ethylhexyl-3-fluorothithieno[3,4-b]thiophene-2
carboxylate-4,6-diyl] (PTB7) and (6,6)-phenyl-C71-butyric acid methyl ester
(PC71BM) blend active layer. The detailed working mechanism of WSCP materials in
high-efficiency PSCs were studied and can be summarized into the following three
effects: a) PFN-OX tunes cathode work function to enhance open-circuit voltage
(Voc); b) PFN-OX dopes PC71BM at interface to facilitate electron extraction; and
c) PFN-OX extracts electrons and blocks holes to enhance fill factor (FF). On the
basis of this understanding, the hole-blocking function of the PFN-OX interlayer
was further improved with addition of a ZnO layer between ITO and PFN-OX, which
led to inverted PSCs with a power conversion efficiency of 9.28% and fill factor
high up to 74.4%.
PMID- 24923365
TI - Micropush-out dentine bond strength of a new gutta-percha and niobium phosphate
glass composite.
AB - AIM: To characterize an experimental gutta-percha and niobium phosphate glass
composite (GNB) applied with a thermoplastic technique to the root canals without
sealer in a moist environment and to evaluate its micropush-out bond strength to
root canal wall dentine. METHODOLOGY: The root canals of sixty human mandibular
pre-molars were prepared using rotary NiTi instruments and irrigation with sodium
hypochlorite and EDTA. The teeth were then randomly divided into three groups
according to the root filling material used: AH plus sealer and gutta-percha
(AH), EndoSequence BC gutta-percha without sealer (GBC), and GNB without sealer.
The root canals were filled with a single cone using warm vertical condensation.
Push-out bond strengths associated with the filling materials in slices from
middle root thirds was determined 30 days after root filling. The failure mode
was analyzed with SEM. Analysis using EDX and SEM-EDS was carried out to verify
the composition and distribution of the particles of the tested materials. Data
were statistically analyzed by one-way anova and Tukey's test (P < 0.05).
RESULTS: AH and GNB groups had bond strengths of 2.83 +/- 0.64 MPa and 2.68 +/-
0.84 MPa, respectively, with no significant difference between them (P > 0.05).
The GBC group had the lowest mean bond strength (1.34 +/- 0.42 MPa), which was
significantly different compared with the other groups (P < 0.05). Cohesive
failures prevailed in the AH group, whereas failures were mixed in the GBC and
GNB groups. The SEM-EDS analysis on the surface and in the bulk of GBC revealed
only a superficial coating of bioceramic particles. Glass particles were detected
both on the surface and in the bulk of GNB. CONCLUSIONS: The experimental root
filling composite (GNB) had an ability to adhere to root canal wall dentine equal
to the current gold standard root filling with gutta-percha and sealer (AH Plus).
PMID- 24923367
TI - Classical biological control of an invasive forest pest: a world perspective of
the management of Sirex noctilio using the parasitoid Ibalia leucospoides
(Hymenoptera: Ibaliidae).
AB - Classical biological control is a key method for managing populations of pests in
long-lived crops such as plantation forestry. The execution of biological control
programmes in general, as the evaluation of potential natural enemies remains, to
a large extent, an empirical endeavour. Thus, characterizing specific cases to
determine patterns that may lead to more accurate predictions of success is an
important goal of the much applied ecological research. We review the history of
introduction, ecology and behaviour of the parasitoid Ibalia leucospoides. The
species is a natural enemy of Sirex noctilio, one of the most important pests of
pine afforestation worldwide. We use an invasion ecology perspective given the
analogy between the main stages involved in classical biological control and the
biological invasion processes. We conclude that success in the establishment, a
common reason of failure in biocontrol, is not a limiting factor of success by I.
leucospoides. A mismatch between the spread capacity of the parasitoid and that
of its host could nevertheless affect control at a regional scale. In addition,
we suggest that given its known life history traits, this natural enemy may be a
better regulator than suppressor of the host population. Moreover, spatial and
temporal refuges of the host population that may favour the local persistence of
the interaction probably reduce the degree to which S. noctilio population is
suppressed by the parasitoid. We emphasize the fact that some of the biological
attributes that promote establishment may negatively affect suppression levels
achieved. Studies on established non-native pest-parasitoid interactions may
contribute to defining selection criteria for classical biological control which
may prove especially useful in integrated pest management IPM programmes of
invasive forest insects.
PMID- 24923364
TI - The role of gasotransmitters NO, H2S and CO in myocardial ischaemia/reperfusion
injury and cardioprotection by preconditioning, postconditioning and remote
conditioning.
AB - Ischaemic heart disease is one of the leading causes of morbidity and mortality
worldwide. The development of cardioprotective therapeutic agents remains a
partly unmet need and a challenge for both medicine and industry, with
significant financial and social implications. Protection of the myocardium can
be achieved by mechanical vascular occlusions such as preconditioning (PC), when
brief episodes of ischaemia/reperfusion (I/R) are experienced prior to ischaemia;
postconditioning (PostC), when the brief episodes are experienced at the
immediate onset of reperfusion; and remote conditioning (RC), when the brief
episodes are experienced in another vascular territory. The elucidation of the
signalling pathways, which underlie the protective effects of PC, PostC and RC,
would be expected to reveal novel molecular targets for cardioprotection that
could be modulated by pharmacological agents to prevent reperfusion injury.
Gasotransmitters including NO, hydrogen sulphide (H2S) and carbon monoxide (CO)
are a growing family of regulatory molecules that affect physiological and
pathological functions. NO, H2S and CO share several common properties; they are
beneficial at low concentrations but hazardous in higher amounts; they relax
smooth muscle cells, inhibit apoptosis and exert anti-inflammatory effects. In
the cardiovascular system, NO, H2S and CO induce vasorelaxation and promote
cardioprotection. In this review article, we summarize current knowledge on the
role of the gasotransmitters NO, H2S and CO in myocardial I/R injury and
cardioprotection provided by conditioning strategies and highlight future
perspectives in cardioprotection by NO, H2S, CO, as well as their donor
molecules.
PMID- 24923368
TI - Condom use among people living with HIV/AIDS attending Abejukolo General Hospital
in Kogi State, North Central Nigeria.
AB - BACKGROUND: Condom programming is an integral component in a range of HIV/AIDS
prevention strategies and with repeated sexual contact among HIV-discordant
couples, 98-100% of those who used latex condoms correctly and consistently did
not become infected. The objective of this study is to determine condom use among
people living with HIV/AIDS (PLWHA). METHODS: This is a cross-sectional survey
carried out among 231 PLWHA and receiving care and treatment at General Hospital
Abejukolo in Kogi State, Nigeria. The research instrument was interviewer
administered questionnaire. The data obtained were analysed using EPI-INFO
version 3.4.1 software and P-value of < 0.05 was significant for the study.
RESULTS: About three-quarters (70.6%) of the respondents had ever used condom.
Reasons given by respondents who did not use condom were: Desire for children
(39.7%), and reduction of sexual pleasure (17.7%) and partner preference. More
than half (56.0%) of the respondents with multiple partners did not use condom.
About half 119 (51.5%) have used condom in the last sexual encounter. Gender,
literacy level and disclosure of HIV status to partners significantly influence
condom use during sexual intercourse. CONCLUSION: The poor uptake of condom among
PLWHA underscores the need for government and other stakeholders in the
management of HIV/AIDS to provide more enlightenment opportunities to address the
gaps in condom use and disclosure of HIV status to partners.
PMID- 24923369
TI - Progress toward elimination of malaria in Nigeria: uptake of artemisinin-based
combination therapies for the treatment of malaria in households in Benin City.
AB - BACKGROUND: The Roll Back Malaria (RBM) Partnership converged in Abuja in 2000.
In 2005, Nigeria adopted artemisinin-based combination therapies (ACTs) as first
line therapy for uncomplicated malaria. It was determined that by 2010, 80% of
persons with malaria would be effectively treated. OBJECTIVES: To describe
household practices for malaria treatment in Benin City; to explore demographic
characteristics that may influence use of ACTs. MATERIALS AND METHODS: Multistage
sampling technique was used to select households from each of the three local
government areas in Benin City. Adult respondents were interviewed. Household
reference persons (HRPs) were defined by International Labour Organization
categories. Data were collected between December 2009 and February 2010 and were
analyzed using Statistical Package for the Social Sciences Version 16.0, at a
significance level of P < 0.05 (2-tailed). RESULTS: Of the 240 households
selected, 217 were accessible, and respondents from 90% of these recalled the
most recent episode (s) of malaria. One-third of malaria episodes had occurred in
children younger than 5 years. ACTs were used in 4.9% of households; sulfadoxine
pyrimethamine was the chief non-ACT antimalarial, followed by artemisinin
monotherapies. Patent medicine stores were the most common sources of
antimalarial medicines (38.2%), followed by private hospitals (20.3%) and private
pharmacies (10.6%). Only 8.3% of households got their medicines from government
hospitals. Having a HRP in managerial or professional categories was associated
with a 6 times higher odds of using ACTs, compared to other occupational
categories [odds ratio (OR) 5.8; confidence interval (CI) 1.470-20.758, P =
0.016]. Fathers' tertiary or higher education was significantly associated with
ACT use, but not mothers' (OR 0.054, CI 0.006-0.510; P = 0.011 and OR 0.905, CI
0.195-4.198; P = 0.898, respectively). CONCLUSION: Ten years after the historic
Abuja meeting, only 5% of households in Benin City used ACTs for the treatment of
malaria, sourcing medicines chiefly from patent medicine stores and private
hospitals. Fathers' level of education was significantly associated with ACT use.
Interventions to eliminate malaria from Nigeria should mainstream the men folk
and health care providers outside government hospitals, in line with the Nigerian
reality.
PMID- 24923370
TI - Substance dependence and mental health in northern Iran.
AB - BACKGROUND: Today, substance dependence and illegal trading of narcotics is
considered as a global issue. Since mental disorder has been reported in about
90% of the substance dependents, this study aimed at determining the rate of
mental health in the substance dependents in Sari Township in 2011. MATERIALS AND
METHODS: In this study, 500 substance-dependent patients were selected using
convenience sampling method. To collect data, SCL-90-R was used for the
evaluation of their mental health and a demographic questionnaire was employed
for identifying their personal information. The obtained data were analyzed by
descriptive and inferential statistics using the SPSS software. RESULTS: It was
found that 90.4% of the participants were susceptible to mental disorder. Most of
them suffered from depression, psychoticism, interpersonal sensitivity, anxiety,
and paranoia. Also, there was significant relationship between the mental health
of single, divorced and married addicts (P < 0.21). CONCLUSION: Due to the
presence of mental disorder in the substance-dependent patients, it is
recommended to help treat them by providing them with education, psychotherapy,
and psychiatric medication.
PMID- 24923371
TI - Analysis of computed tomography features of fungal sinusitis and their
correlation with nasal endoscopy and histopathology findings.
AB - OBJECTIVE: The purpose of this study was to analyze the computed tomography (CT)
features of fungal sinusitis and to correlate them with nasal endoscopy and
histopathological findings. MATERIALS AND METHODS: Our study included 16 patients
of either sex and any age group who presented in the otorhinolaryngology clinic
at our hospital and had evidence of definite sinonasal disease on clinical
evaluation. Multidetector CT was carried out on Somatom definition AS + 128 slice
CT Machine by Siemens Germany Ltd. Axial sections were performed with the plane
of data acquisition parallel to the hard palate and slice thickness of 3 mm,
reconstructions at 0.75 mm in coronal and sagittal planes. Scanning parameters
included 190 mA S, 120 KV and tube rotation time of 0.5 s. Provisional CT
diagnosis was made in all cases and correlated with nasal endoscopy and
histopathological findings. RESULTS: Out of total 16 patients, 12 showed
immunocompromised status and had infection with mucormycosis. Out of 12, 9
patients (75%) showed extension of disease beyond the sinonasal cavities and 4
(33.3%) showed evidence of bone destruction. All patients with candidiasis showed
soft-tissue attenuation with hyperdense areas on CT scan. Nearly, 66.6% patients
with aspergillosis showed soft-tissue attenuation with hyperdense areas and
expansion of sinonasal cavities. Fifteen patients (93%) were proved to be of
fungal sinusitis on histopathology. One patient of non-specific granulomatous
infection showed bone destruction and mimicked fungal sinusitis on CT.
CONCLUSIONS: In the present study, fungal sinusitis could be correctly diagnosed
on CT with high accuracy. Thus, understanding the different CT findings of fungal
sinusitis allows the radiologist to play a crucial role in the diagnosis and
prompt treatment.
PMID- 24923372
TI - Agents for facilitation of laryngeal mask airway insertion: a comparative study
between thiopentone sodium and propofol.
AB - BACKGROUND: Development of endotracheal intubation to avoid deleterious effect on
hemodynamic responses occurring during laryngoscopy and intubation compelled
researchers to venture into alternative measures of airway management with subtle
hemodynamic responses. This study was carried out to compare the conditions for
laryngeal mask airways LMA insertion with widely used intravenous induction
agents, thiopentone sodium and propofol, and also to compare the undesired
responses occurring during LMA insertion with them. MATERIALS AND METHODS: The
study was prospective, randomized, and double blind. All patients selected were
randomly allocated into two groups: Group 1 (propofol) and group II
(thiopentone). Preinduction heart rate and blood pressure were recorded. Sixty
healthy adult patients of either sex belonging to age group of 20-60 years and
ASA grade I or II, to undergo surgery less than 1 h, were selected for the study
Patients were randomly allocated in two groups, 30 in each group. Premedication
with midazolam 0.04 mg/kg and fentanyl 2 mg/kg done in both groups. Thereafter,
group 1 was induced with 2 mg/kg of propofol and group 2 with 5 mg/kg of
thiopentone sodium. RESULTS: The study revealed that, ease of insertion of LMA,
was statistically significantly greater in group 1 when compared with group 2 (P
0.05). The time required for successful insertion of LMA was lesser in group 1
patients (53.8 +/- 7.77 s) than in group 2 patients (84.7 +/- 16.54 s) (P 0.001).
CONCLUSION: Severity of undesired responses were more in group 2, as incremental
boluses of respective induction agents were required in 20% patients in
thiopentone group compared to only 6% patients in propofol group and 13% of
patients in thiopentone group required rescue succinylcholine.
PMID- 24923373
TI - Pattern and outcome of surgical management of nasolachrymal duct obstruction in
children: a five year review.
AB - OBJECTIVE: To determine the pattern of naso-lachrymal duct obstruction (NLDO) and
outcome of dacryocystorhinostomy (DCR) in children in Aminu Kano Teaching
Hospital Kano, over a 5-year period. PATIENTS AND METHODS: The clinic and theater
registers were used to retrieve the records of all patients below the age of 15
years who presented with NLDO. The information obtained included age, sex,
duration, and types of clinical signs at presentation cause of obstruction and
outcome of DCR. Successful outcome is defined as patent naso-lachrymal duct 1
year after surgery. All the patients had external DCR with stent inserted into
the lachrymal sac and anchored to the columella and left in situ for 6 weeks.
Fortnightly for three visits then at 2 months intervals. At each visit, the
patient had lachrymal punctal cannulation and irrigation with normal saline to
ensure free drainage. RESULTS: There were 17 patients, 9 males and 8 females (M:
F = 1.1: 1). Two patients (11.8%) had bilateral disease and 15 (88.2%) were
unilateral. The patients' ages ranged between 2 and 10 years. The commonest
presenting features were tearing and discharge. In 14 patients (82%) tearing
started from birth though patients presented much later. Congenital NLDO occurred
in 82%, and in the remaining 18%, obstruction was caused by depressed nasal
fracture. Fifteen patients (88%) had successful outcome of DCR at 1-year follow
up. The commonest complication noted was stent extrusion before 6 weeks in 17.6%
of cases. Conclusion : NLDO was mostly of congenital origin and was characterized
by delayed presentation. Patients have good outcome with external DCR.
PMID- 24923374
TI - Macular volume and central foveal thickness measurements in normal eyes of
healthy Nigerian adults using optical coherence tomography.
AB - OBJECTIVE: To determine macular volume and central foveal thickness measurements
in normal eyes of healthy Nigerian adults using Stratus optical coherence
tomography. SUBJECTS AND METHODS: Consenting 100 adults Nigerians with normal
eyes were recruited and examined using Carl Zeiss Stratus Optical Coherence
Tomographer (OCT) machine Model 3000 software version 4.0. A fast macular
thickness map scan protocol was employed. Central fovea is defined as locus of
intersection of the radial scans. A default setting of six lines at 6 mm was
used. Data was analyzed using the paired t-test for independence and linear
regression. RESULTS: There were 200 eyes of 100 subjects with mean age of 36.4 +
11.7 years (M: F = 3.3:1). The mean macula volume was 6.79 + 0.392 MUm 3 . It was
6.861 +/- 0.297 in males, 6.496 +/- 0.520 in females and was statistically higher
in males than females (P = 0.000). The mean central foveal thickness (MCFT) was
149.58 +/- 32.470 MUm. It was 154.49 +/- 34.652 MUm in males and 133.33 +/-
15.315 MUm in females. MCFT was significantly higher in males than females (P =
0.000). CONCLUSION: Normal macular volume and central foveal thickness
measurements in Nigerian adults is presented and is comparable to that of Indian
and Chinese populations, but lower than reported in Caucasians.
PMID- 24923375
TI - Posterior reversible encephalopathy syndrome in a adult female.
AB - Posterior reversible encephalopathy syndrome is a clinico-neuroradiologic
diagnosis, with rapidly evolving neurologic condition, characterized by headache,
confusion, altered mental status, seizures, cortical blindness, lethargy, stupor,
and occasionally, focal neurological signs accompanied by a typical computed
tomography or magnetic resonance imaging pattern. With early recognition and
treatment, complete resolution of symptoms occurs. Typical imaging findings
characteristically involve the white matter bilaterally in the parieto-occipital
regions. Atypical imaging finding of contrast enhancement of lesion can occur,
but is less common. A 20-year-old primiparous lady presented with posterior
reversible encephalopathy syndrome. To the best of our knowledge, this is the
first documented case in Nigeria. This case-report highlights the importance of
recognizing the salient imaging features in this lethal but reversible entity
with prompt management.
PMID- 24923376
TI - Dyslipidemia among HIV-infected patients.
PMID- 24923377
TI - Translation from research to clinical practice: fostering improved patient care
through microscopicobservation drug susceptibility for tuberculosis diagnosis in
Nigeria.
PMID- 24923378
TI - Design and development of an in vitro tear replenishment system.
AB - Understanding the cellular and molecular mechanisms of the corneal tissue and
translating them into effective therapies requires organotypic culture systems
that can better model the physiological conditions of the front of the eye. Human
corneal in vitro models currently exist, however, the lack of tear replenishment
limits corneal in vitro models' ability to accurately simulate the physiological
environment of the human cornea. The tear replenishment system (TRS), a micro
fluidic device, was developed to mimic the in vivo tear replenishment in the
human eye in an in vitro corneal model. The TRS is capable of generating
adjustable intermittent flow from 0.1 uL in every cycle. The TRS is a
sterilizable device that is designed to fit standard 6-well cell culture plates.
Experiments with the corneal models demonstrated that exposure to the TRS did not
damage the integrity of the stratified cell culture. Contact lenses "worn" by the
in vitro corneal model also remained moist at all times and the cytotoxicity of
BAK could also be verified using this model. These in vitro results confirmed
that the TRS presents novel avenues to assess lens-solution biocompatibility and
drug delivery systems in a physiologically relevant milieu.
PMID- 24923380
TI - Introduction to the special issue on tissue engineering and regenerative
medicine.
PMID- 24923381
TI - An anatomical study of the lumbar external foraminal ligaments: appearance at MR
imaging.
AB - PURPOSE: The purpose of this study was to examine the MRI appearance of the
ligaments within the external space of the lumbar intervertebral foramen by
correlating MR images with the corresponding anatomic dissection of the cadaver
lumbar spine. METHODS: This was a two part study. Part I of the anatomic study
consisted of the dissection of lumbar specimens from one embalmed adult male
cadaver. At each lumbar level the external ligamentous structures were identified
and their origin, insertion and position were determined. Part II of the study
consisted of the anatomical analysis of the external transforaminal ligaments in
the 180 lumbar intervertebral foramina on the MR images (1.5 T) in the sagittal
plane. The diagnostic procedure was performed on 18 individuals from 18 to 25
years of age. RESULTS: The external transforaminal ligaments were observed at all
levels and from both sides in the lumbar intervertebral foramen. The presence of
the superior corporotransverse ligament was found in 45.56% intervertebral
foramina, while the inferior corporotransverse ligament was found in 61.67%
intervertebral foramina in the MRI. CONCLUSION: Our results confirm that external
transforaminal ligaments are common structures in the intervertebral foramen. The
results of this study show that the external transforaminal ligaments can be
clearly visualized in MR images. It is crucial to have previous knowledge of the
cadaveric specimens to recognize the transforaminal ligaments in MR images. The
presence of these ligaments is clinically important because the ligaments could
be the cause of nerve root compression or the low back pain syndrome.
PMID- 24923382
TI - Large-scale complementary macroelectronics using hybrid integration of carbon
nanotubes and IGZO thin-film transistors.
AB - Carbon nanotubes and metal oxide semiconductors have emerged as important
materials for p-type and n-type thin-film transistors, respectively; however,
realizing sophisticated macroelectronics operating in complementary mode has been
challenging due to the difficulty in making n-type carbon nanotube transistors
and p-type metal oxide transistors. Here we report a hybrid integration of p-type
carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors to
achieve large-scale (>1,000 transistors for 501-stage ring oscillators)
complementary macroelectronic circuits on both rigid and flexible substrates.
This approach of hybrid integration allows us to combine the strength of p-type
carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors, and
offers high device yield and low device variation. Based on this approach, we
report the successful demonstration of various logic gates (inverter, NAND and
NOR gates), ring oscillators (from 51 stages to 501 stages) and dynamic logic
circuits (dynamic inverter, NAND and NOR gates).
PMID- 24923383
TI - The effect of regular exercise on antioxidant enzyme activities and lipid
peroxidation levels in both hippocampi after occluding one carotid in rat.
AB - Regular exercise has beneficial effects on cerebrovascular diseases; however, its
biochemical mechanisms are not fully known. The purpose of this study was to
determine antioxidant enzyme activities and lipid peroxidation of both hippocampi
after applying exercise followed by occluding one common carotid. Wistar rats
were divided into four groups of control, exercise, hypoperfusion and exercise
hypoperfusion (exe-hypo). In the exercise and exe-hypo groups, the rats were
forced to run on a treadmill for 1 h a day for 2 months. The right common carotid
of the animals in the (exe-hypo) group was occluded after the cessation of
exercise. Surgery without occlusion of the carotid was applied on the control
(without exercise) and exercise groups. All animals were sacrificed 1 and 24 h
after surgery. The levels of malondialdehyde (MDA) and antioxidant enzyme
activities in the hippocampi were measured. A significant interaction was
observed between the exercise and hypoperfusion in both hippocampi (p<0.05). In
comparison with the control group, there was significant elevation of catalase
activity in the right and left hippocampus of the hypo group at 24 h (p<0.0001).
Regarding the differences between the hemispheres, there was a significant
increase in MDA and decrease in catalase activity in the left hippocampus in
hypoperfusion group, but the exercise in the exe-hypo group succeeded in
abolishing these alterations which were caused by hypoperfusion, This study shows
that exercise pre-conditioning prevents some alterations in brain oxidant
antioxidant status which are induced by cerebral hypoperfusion. Further studies
are needed in order to clarify the mechanism of exercise.
PMID- 24923384
TI - Determinants of torsades de pointes in older patients with drug-associated long
QT syndrome: a case-control study.
AB - OBJECTIVE: Many elderly patients are routinely exposed to drugs that may prolong
the cardiac QT interval and cause Torsades de pointes (TdP). However, predictors
of TdP in patients with drug-associated long QT syndrome (LQTS) are not fully
understood, especially in the geriatric population. The objective of this study
was to identify risk factors of TdP in elderly patients with drug-associated
LQTS. METHODS: In this retrospective, case-control study, documented reports of
drug-associated LQTS plus TdP (n = 125) and LQTS without TdP (n = 81) in patients
>=65 years of age were retrieved from the French Pharmacovigilance Database over
a 10-year period. Available clinical, biological, and drug therapy data were
compared in the two groups and logistic regression was performed to identify
significant predictors of TdP. RESULTS: The uncorrected QT interval was
significantly longer in patients with TdP than in patients without TdP (577 +/-
79 vs. 519 +/- 68 ms; p = 0.0001). The number of drugs with a known risk of TdP
administered to each patient was not a predictor of arrhythmia, nor was female
gender. Logistic regression analysis identified the uncorrected QT interval as
the only significant predictor of TdP. The receiver operating characteristic
curve analysis was characterized by an area under the curve of 0.77 (95 %
confidence interval 0.64-0.88) and a QT cutoff of 550 ms. CONCLUSION: The
uncorrected QT interval was significantly associated with the probability of TdP
in elderly patients with acquired, drug-associated LQTS.
PMID- 24923385
TI - A meta-synthesis of potentially inappropriate prescribing in older patients.
AB - BACKGROUND: Potentially inappropriate prescribing (PIP) is commonly seen amongst
the older population in all clinical settings, as indicated by several prevalence
studies in several countries. Quantitative work such as this confirms that this
is a global public health problem likely to grow in tandem with ageing of the
global population. However, less attention has been focused on why it is
happening and how it can be prevented. OBJECTIVE: The objective of this paper is
to synthesise qualitative studies that explore PIP in older patients, in an
effort to understand why it happens from a prescriber's perspective and to
generate a new theory to guide future interventional studies aimed at minimising
it in older people. To date, there is no published systematic synthesis of this
type. METHODS: Papers were deemed suitable for inclusion if they used qualitative
methods, explored some area of PIP in patients over 65 years of age, were
published in English and had available published abstracts. Four databases were
systematically searched for papers published up to the end of April 2013: PubMed,
Embase, CINAHL and Web of Knowledge. No date restrictions were applied. Key words
searched were: Qualitative AND (Inappropriate* OR Appropriate* OR Safe) AND
(Elderly OR Aged OR Geriatric* OR Old*) AND Prescri*. Reference lists were then
searched for other suitable papers. Critical Appraisal Skills Programme criteria
were used to assess quality. Meta-ethnography was used to synthesise the papers.
RESULTS: Out of 624 papers identified, seven papers were deemed relevant. Four
key concepts were identified as being causal factors in PIP: (1) the need to
please the patient, (2) feeling of being forced to prescribe, (3) tension between
prescribing experience and prescribing guidelines and (4) prescriber fear. These
were re-interpreted in a line of argument synthesis indicating that some doctors
have self-perceived restrictions with regard to prescribing appropriately because
of a combination of factors, rather than any one dominant factor. CONCLUSION:
Prevention of PIP may be favourably influenced by addressing the key interactive
determinants of inappropriate prescribing behaviour.
PMID- 24923386
TI - Exercise mitigates the adverse effects of hyperhomocysteinemia on macrophages,
MMP-9, skeletal muscle, and white adipocytes.
AB - Regular exercise is a great medicine with its benefits encompassing everything
from prevention of cardiovascular risk to alleviation of different muscular
myopathies. Interestingly, elevated levels of homocysteine (Hcy), also known as
hyperhomocysteinemia (HHcy), antagonizes beta-2 adrenergic receptors (beta2AR),
gamma amino butyric acid (GABA), and peroxisome proliferator-activated receptor
gamma (PPARgamma) receptors. HHcy also stimulates an elevation of the M1/M2
macrophage ratio, resulting in a more inflammatory profile. In this review we
discuss several potential targets altered by HHcy that result in myopathy and
excessive fat accumulation. Several of these HHcy mediated changes can be
countered by exercise and culminate into mitigation of HHcy induced myopathy and
metabolic syndrome. We suggest that exercise directly impacts levels of Hcy,
matrix metalloproteinase 9 (MMP-9), macrophages, and G-protein coupled receptors
(GPCRs, especially Gs). While HHcy promotes the M1 macrophage phenotype, it
appears that exercise may diminish the M1/M2 ratio, resulting in a less
inflammatory phenotype. HHcy through its influence on GPCRs, specifically
beta2AR, PPARgamma and GABA receptors, promotes accumulation of white fat,
whereas exercise enhances the browning of white fat and counters HHcy-mediated
effects on GPCRs. Alleviation of HHcy-associated pathologies with exercise also
includes reversal of excessive MMP-9 activation. Moreover, exercise, by reducing
plasma Hcy levels, may prevent skeletal muscle myopathy, improve exercise
capacity and rescue the obese phenotype. The purpose of this review is to
summarize the pathological conditions surrounding HHcy and to clarify the
importance of regular exercise as a method of disease prevention.
PMID- 24923388
TI - Cerebral concussion: a historical perspective.
AB - No topic in sports has gathered more attention and publicity than the diagnosis,
management, and long-term effects of cerebral concussion. The relevant history of
concussion starts in 1905 when President Theodore Roosevelt drew attention to the
football 'death harvest'. Soon after, rules started to change to reduce the
amount and severity of head injuries in football. Up until 1980, the primary
focus regarding concussions was to diagnose a potentially fatal intracranial
hemorrhage. While aware of long-term consequences of concussions, the perception
at the time was that virtually all concussions would 'clear' with time and rest.
Concussion management guidelines gave way to objective neuropsychological testing
in the early 1990s with the development of the ImPACTTM (Immediate Post
Concussion Assessment and Cognitive Testing) neurocognitive test. Led by
organized football, in 1994 the National Football League (NFL) formed the Mild
Traumatic Brain Injury Committee which began to investigate the cause of
concussions, evaluate equipment (particularly helmets), and recommend methods for
prevention. In 2005, the first case of chronic traumatic encephalopathy was
described in a deceased football player, raising concerns about the long-term
consequences of head injuries and concussions. Major advancements in contact
sports and the military are underway to reduce the incidence of concussions and
subconcussive blows to the head.
PMID- 24923387
TI - Expression analysis of mouse Rhobtb3 using a LacZ reporter and preliminary
characterization of a knockout strain.
AB - RhoBTB3 is an atypical member of the Rho family of small GTPases. It localizes at
the Golgi apparatus and endosomes and is involved in vesicle trafficking and in
targeting proteins for degradation in the proteasome. Previous studies using
Northern blot analysis showed that Rhobtb3 is ubiquitously expressed in adult
mice, but expression is particularly high in brain, heart and uterus. The gene is
also expressed between embryonic days 11.5 and 17.5. To investigate the specific
cell types that express this gene across tissues, both in the embryo and in the
adult organism, we have made use of a gene trap mouse strain that expresses the
LacZ gene under the transcriptional control of the endogenous Rhobtb3 promoter.
Histochemical detection of beta-galactosidase expression revealed a profile
characterized by nearly ubiquitous expression of Rhobtb3 in the embryo, but with
particularly high levels in bone, cartilage, all types of muscle, testis and
restricted areas of the nervous system. In the adult, expression persists at much
lower levels in cardiac muscle, the tunica media of blood vessels and cartilage
and at high levels in the seminiferous tubules. A general preliminary
characterization of this gene trap mouse strain revealed reduced viability, a
postnatal growth defect and reduced testis size. Our results should pave the way
for future studies aimed at investigating the roles of RhoBTB3 in tissue
development and in cardiac, vascular and testicular function.
PMID- 24923389
TI - Biomechanics of concussion.
AB - This report provides an overview of the biomechanics associated with mild
traumatic brain injury (TBI), also known as concussion. Specifically, the role of
angular acceleration in modulating concussion onset and severity is highlighted.
Studies conducted and published from the 1960s to the 1980s provided initial
estimates for TBI tolerance due to high rate head rotation. However, injury
levels in those studies were more severe than what is considered to be concussion
in the contemporary environment. Therefore, this issue deserves additional
attention to provide quantitative estimates for concussive tolerance due to head
rotational acceleration focusing on the types of clinical outcomes described
today. Likewise, concussion in military personnel has notably increased in
current conflicts due to the incorporation of improvised explosive devices and
roadside bombs. Clinical evidence indicates that outcomes from concussion due to
blast may be quite different from those due to head rotational acceleration. This
report also provides an overview of blast concussion mechanisms and highlights
some of the recent preclinical work in this area. As with head rotational
acceleration, blast tolerance is necessary to understand the scope of this
problem, better protect these personnel, and provide more informed return-to-duty
guidelines for service members.
PMID- 24923390
TI - The neurophysiology of concussion.
AB - The following report reviews our current understanding of the neurobiological
response to concussion which is often referred to as mild traumatic brain injury.
The historical accomplishments to reveal the brain's response to this injury are
discussed along with the neurochemical and metabolic cascade that results in an
energy crisis. The massive ionic flux induced by cerebral concussion is discussed
as it pertains to primarily potassium and calcium. The ensuing metabolic demands
placed on cells exposed to this ionic flux is discussed as it relates to an
injury-induced diaschisis. As this cascade produces neuronal dysfunction and
corresponding deficits, it also results in a state of vulnerability to secondary
insults and long-term neurological problems. While experimental studies are the
primary focus of this report, relevant human observations are discussed and put
into context. It is now clear that cerebral concussion is not a benign event. It
carries with it neuroscientific consequences that result in symptoms and an
increase in risk for many other challenges to the central nervous system.
PMID- 24923391
TI - Chronic traumatic encephalopathy.
AB - Chronic traumatic encephalopathy (CTE) is a progressive neurodegenerative
syndrome, which is caused by single, episodic, or repetitive blunt force impacts
to the head and transfer of acceleration-deceleration forces to the brain. CTE
presents clinically as a composite syndrome of mood disorders and behavioral and
cognitive impairment, with or without sensorimotor impairment. Symptoms of CTE
may begin with persistent symptoms of acute traumatic brain injury (TBI)
following a documented episode of brain trauma or after a latent period that may
range from days to weeks to months and years, up to 40 years following a
documented episode of brain trauma or cessation of repetitive TBI. Posttraumatic
encephalopathy is distinct from CTE, can be comorbid with CTE, and is a
clinicopathologic syndrome induced by focal and/or diffuse, gross and/or
microscopic destruction of brain tissue following brain trauma. The brain of a
CTE sufferer may appear grossly unremarkable, but shows microscopic evidence of
primary and secondary proteinopathies. The primary proteinopathy of CTE is
tauopathy, while secondary proteinopathies may include, but are not limited to,
amyloidopathy and TDP proteinopathy. Reported prevalence rates of CTE in cohorts
exposed to TBI ranges from 3 to 80% across age groups.
PMID- 24923392
TI - Cumulative effects of repetitive mild traumatic brain injury.
AB - The majority of traumatic brain injuries (TBI) in the USA are mild in severity.
Sports, particularly American football, and military experience are especially
associated with repetitive, mild TBI (mTBI). The consequences of repetitive brain
injury have garnered increasing scientific and public attention following reports
of altered mood and behavior, as well as progressive neurological dysfunction
many years after injury. This report provides an up-to-date review of the
clinical, pathological, and pathophysiological changes associated with repetitive
mTBI, and their potential for cumulative effects in certain individuals.
PMID- 24923393
TI - Understanding concussive injuries using investigational imaging methods.
AB - Concussions have long been understood to be an invisible injury. Indeed,
conventional imaging techniques [computed tomography and magnetic resonance
imaging (MRI)] are largely ineffective in elucidating concussive injuries. More
advanced techniques are being used experimentally to help delineate the
underlying pathophysiology of concussive injuries on metabolic as well as
ultrastructural levels. The current report reviews the data from several of these
techniques including functional MRI, single-photon emission computed tomography,
positron emission tomography, diffusion tensor imaging, and magnetic resonance
spectroscopy. Each technique is briefly described, followed by a summary of the
findings specific to concussive injuries. Overall, there is mounting evidence to
suggest that each technique has utility in describing and explaining postinjury
changes in the brain. Overall, concussive injuries are evident using the various
aforementioned neuroimaging modalities and suggest at a minimum the concussed
brain is different in the acute and subacute postinjury phases with several other
studies suggesting that changes are persistent well beyond, especially in those
patients with persistent symptoms.
PMID- 24923394
TI - Neurometabolic, electrophysiological, and imaging abnormalities.
AB - Sport-related concussions affect millions of athletes every year, but they
generally present no anatomic alterations when examined using conventional
magnetic resonance imaging or a computed tomography scan. Because the damage
occurring after a head injury seems to be more functional than structural, these
techniques are unable to detect subtle alterations. The absence of detectable
structural alterations using traditional neuroimaging methods, the presence of
persistent symptoms in some athletes, and the risk of developing
neurodegenerative diseases emphasize the importance of assessing the impacts of a
head injury with alternative neuroimaging techniques. Electrophysiological
methods, magnetic resonance spectroscopy, and diffusion tensor imaging are useful
techniques that are sensitive to the effects of a brain trauma, which provide
complementary information to allow a more complete understanding of the multiple
pathophysiological processes involved in concussive events. This report
summarizes recent data using neurophysiological and neuroimaging techniques to
better understand the acute and chronic effects of sport-related concussions.
PMID- 24923395
TI - Detection of white matter injury in concussion using high-definition fiber
tractography.
AB - Over the last few decades, structural imaging techniques of the human brain have
undergone significant strides. High resolution provided by recent developments in
magnetic resonance imaging (MRI) allows improved detection of injured regions in
patients with moderate-to-severe traumatic brain injury (TBI). In addition,
diffusion imaging techniques such as diffusion tensor imaging (DTI) has gained
much interest recently due to its possible utility in detecting structural
integrity of white matter pathways in mild TBI (mTBI) cases. However, the results
from recent DTI studies in mTBI patients remain equivocal. Also, there are
important shortcomings for DTI such as limited resolution in areas of multiple
crossings and false tract formation. The detection of white matter damage in
concussion remains challenging, and development of imaging biomarkers for mTBI is
still in great need. In this chapter, we discuss our experience with high
definition fiber tracking (HDFT), a diffusion spectrum imaging-based technique.
We also discuss ongoing developments and specific advantages HDFT may offer
concussion patients.
PMID- 24923396
TI - Magnetoencephalography in the diagnosis of concussion.
AB - Magnetoencephalography (MEG) is a biomedical technique which measures the
magnetic fields emitted by the brain, generated by neuronal activity. Commercial
whole-head MEG units have been available for about 15 years, but currently there
are only about 20 such units operating in the USA. Here, we review the basic
concepts of MEG and list some of the usual clinical indications: noninvasive
localization of epileptic spikes and presurgical mapping of eloquent cortex. We
then discuss using MEG to diagnose mild traumatic brain injury (mTBI;
concussions). Injured brain tissues in TBI patients generate abnormal low
frequency magnetic activity (delta-waves: 1-4 Hz) that can be measured and
localized by MEG. These abnormal delta-waves originate from neurons that
experience deafferentation from axonal injury to the associated white matter
fiber tracts, also manifested on diffusion tensor imaging as reduced fractional
anisotropy. Magnetoencephalographic evaluation of abnormal delta-waves (1-4 Hz)
is probably the most sensitive objective test to diagnose concussions. An
automated MEG low-frequency (slow wave) source imaging method, frequency-domain
vector-based spatiotemporal analysis using a L1-minimum norm (VESTAL), achieved a
positive finding rate of 87% for diagnosing concussions (blast-induced plus
nonblast), 100% for moderate TBI, and no false-positive diagnoses in normal
controls. There were also significant correlations between the number of cortical
regions generating abnormal slow waves and the total postconcussive symptom
scores in TBI patients.
PMID- 24923397
TI - Evidence-based management of sport-related concussion.
AB - Concussion is not only one of the most common injuries encountered by athletes
participating in contact and collision sports, but also among the most complex
injuries to manage in a sports medicine setting. Over the past two decades, we
have made great progress in advancing the basic and clinical science of
concussion. These advances have had enormous clinical translational value for
developing evidence-based guidelines for management of concussion in sports.
Applied clinical research has clarified the defining characteristics of sport
related concussion (SRC) that support new diagnostic criteria. At the same time,
major advancements have been realized in the development and validation of
clinical tools that allow a more objective and accurate assessment of concussion
and performance-based measures of recovery. These tools provide clinicians with a
more informed basis for determining an athlete's cognitive and physical fitness
to return to competition after concussion. Standardized injury management
protocols that systematically prescribe rest, graded activity, and return to play
have been adopted in nearly all clinical settings. Herein, we briefly summarize
the findings and recommendations from several national and international
consensus guidelines and position statements on best practice in the evaluation
and management of SRC.
PMID- 24923398
TI - In-office management of sport-related concussion.
AB - The field of sport-related concussion has grown exponentially over the past
decade, with more concussion-specific clinics being identified in major hospital
systems as well as independent practitioner's offices. To date, there is no
standardized in-office protocol for managing ongoing symptoms. The University of
Pittsburgh Medical Center (UPMC) Sports Concussion Program, established in 2000,
is one of the largest programs in the USA, pioneering the way in clinical
management, research, and education of sport-related concussion. This report will
outline the essential components of a successful concussion clinic, using the
UPMC Sports Concussion Program as a case example of best practice. We will share
several case studies illustrating the individualized and complex nature of this
injury, as well as review important rehabilitation components.
PMID- 24923399
TI - Treating prolonged symptoms of mild traumatic brain injury: neuropharmacology.
AB - The clinical presentation of concussion can vary widely as patients experience
any number of symptoms including headache, dizziness, cognitive symptoms of
difficulty with concentration and memory, sleep dysregulation, and mood
disturbances. The variability in clinical presentation underscores the importance
of thorough history-taking to clearly understand the clinical picture and to
allow individualization of the treatment plan. Most postconcussive symptoms are
transient in nature and respond to conservative measures, including education of
the patient and their caregivers. For those individuals whose symptoms persist or
significantly impair quality of life, pharmacologic intervention may be
warranted. Though few studies have investigated the use of pharmacology for
treatment of postconcussion syndrome specifically, targeted treatment of
medications known to improve selected symptoms can be considered.
PMID- 24923400
TI - Rehabilitation from postconcussion syndrome: nonpharmacological treatment.
AB - Following mild traumatic brain injury (mTBI), one or more symptoms commonly occur
that are known as the postconcussion syndrome (PCS). While PCS typically resolves
within a few weeks of injury for most concussed patients, some patients have a
more prolonged or otherwise adverse recovery course. There is relatively little
systematic research on the treatment of PCS. This report offers strategies for
nonpharmacological treatment of PCS during the acute, subacute, and chronic
stages of recovery following mTBI. The treatment strategies are supported by
clinical consensus and the limited evidence-based research wherever possible.
Core treatment strategies emphasize (1) education about mTBI, PCS, and the
natural recovery course, (2) reassurance of a good outcome, (3) reduction in
activity level and refrain from hazardous behaviors during the acute phase, (4)
gradual return to lifestyle activities as symptoms permit, (5) careful monitoring
and early intervention for adverse emotional responses, (6) symptom-specific
treatment when needed, and (7) ready access to providers during acute and
subacute recovery periods.
PMID- 24923401
TI - Concussion in ice hockey.
AB - Ice hockey is an aggressive and fast-paced sport which has a high risk of injury,
concussions in particular. Although serious head injury has been recognized for
nearly 50 years, an increase in mainstream media attention in recent years has
led to unprecedented public awareness. As a result, the National Hockey League
(NHL) and other professional leagues around the world have initiated concussion
protocols in order to better prevent, recognize, and treat concussions. With over
1,000,000 youth hockey participants in Canada and the USA combined, concussion is
an issue that reaches beyond the professional level. In this report we review the
incidence, evaluation, treatment, return-to-play protocol, and prevention efforts
related to concussion in ice hockey.
PMID- 24923402
TI - Management of concussion in the professional football player.
AB - There is no other sport that has come under greater scrutiny surrounding the
incidence and treatment of concussion than football, and there is no other
professional sports league that has experienced more intense focus of its
handling of concussions than the National Football League (NFL). The NFL has
received significant criticism of their management of concussion in players from
both the popular press and the medical community. However, those working with
active NFL players have changed their assessment and treatment of these injuries
as the knowledge of concussions has evolved over time. We review the current
approach to the management of concussions in the professional football player.
PMID- 24923403
TI - Developing an interdisciplinary community-based sports concussion management
program.
AB - The increasing incidence and associated consequences of sport-related concussion
have been at the forefront of public health concerns in recent years, prompting
the need for safe and effective management guidelines and availability of
appropriately trained healthcare providers. In this report we provide practical
and user-friendly information regarding several important factors to consider
when developing a sports concussion program, including how to select relevant
team members, assess community needs and available resources, provide concussion
education, secure and nurture partnerships with athletic programs, implement
management strategies that align with current practice standards, and cater to
athletes' unique needs in terms of program accessibility. It is hoped that the
knowledge shared and proposed recommendations will be beneficial for guiding both
newly developing and established concussion programs alike.
PMID- 24923404
TI - The multidisciplinary concussion management program.
AB - Over the past decade, research has increased scientific and public knowledge
about the importance of identification and proper management of concussion. These
concerns have prompted many state, regional, and private organizations to mandate
the creation of, and strict adherence to, concussion management protocols,
particularly with regard to sport-related concussion and subsequent return to
play. Because of the individualized nature of the concussive injury and its
recovery, a multidisciplinary approach provides comprehensive patient care that
best addresses treatment and management of changing symptoms and their impact on
multiple aspects of a patient's life and overall function. The current report
will explore a model of multidisciplinary concussion management from program
establishment and baseline testing to recovery from chronic postconcussion
symptoms.
PMID- 24923405
TI - Vulnerability in speed of visuomotor ability.
AB - The aim of this report is to illustrate the utility of neurocognitive testing as
an investigative method to establish the presence of persistent effects of
concussive brain injury amongst players of a contact sport with high risk of such
injury. The report reviews the outcomes of three previously published
neuropsychological studies on South African Rugby Union (hereafter 'rugby') from
school through to the national adult level. The diagnostic utility of
differential effects per se, as well as differential practice effects on
visuomotor function, as a means of distinguishing poorer neurocognitive outcome
for rugby versus demographically equivalent noncontact sports players, is
described. From various methodological angles, at each level of play, the
reviewed studies attest to the presence of long-term vulnerability in visuomotor
speed in association with participation in rugby, in turn implicating diffuse
frontotemporal dysfunction due to repetitive concussive and subconcussive injury
amongst rugby players. As visuomotor speed is a prime function called upon for
optimal scholastic and occupational performance, the robustly demonstrated
decrement is of immediate clinical importance. Of critical heuristic relevance,
however, is evidence of residual brain dysfunction in association with rugby as
early as school level, which may ultimately be the precursor of chronic traumatic
encephalopathy.
PMID- 24923406
TI - Detecting eye movement abnormalities from concussion.
AB - An attention-based biomarker may be useful for concussion screening. A key role
of attention is to generate time-based expectancies of specific sensory
information, and it is postulated that postconcussion cognitive impairments and
symptoms may stem from a primary deficit in this predictive timing mechanism.
There is a close relationship between gaze and attention, but in addressing
predictive timing, there is a need for an appropriate testing paradigm and
methods to quantify oculomotor anomalies. We have utilized a continuous
predictive visual tracking paradigm because human visual tracking requires
predicting the temporal course of a stimulus and dynamically synchronizing the
required action with the stimulus. We have shown that concussion patients often
show disrupted gaze-target synchronization characterized by large gaze position
error variability and overall phase advancement. Various attention components
interact with visual tracking, and thus there is a possibility that different
neurological and physiological conditions produce identifiable visual tracking
characteristics. Analyzing neuromotor functions, specifically oculomotor
synchronization, can provide a fast, accurate, and reliable assessment of
cognitive functions.
PMID- 24923407
TI - Neurodegenerative changes after mild traumatic brain injury.
AB - A link between mild traumatic brain injury (mTBI) and neurodegenerative diseases,
specifically Alzheimer's disease and chronic traumatic encephalopathy (CTE), has
long been suspected. Shared clinical symptomology - most notably the prominent
role of central auditory dysfunction and sleep-wake disturbances in both disease
states - and similar findings on postmortem pathological examination has further
reinforced suspected commonality between these seemingly disparate entities.
However, conventional imaging techniques, including computed tomography and
anatomic magnetic resonance, are unable to detect the symptomatic injuries in
mTBI patients and therefore detection of neurodegenerative changes in vivo has
previously not been reported. Recent research using diffusion tensor imaging, a
novel imaging technique, and focused on patient-reported symptoms has for the
first time demonstrated imaging findings in mTBI patients in vivo that are
strikingly similar to Alzheimer's dementia and CTE. Moving forward, research will
focus on identifying what renders certain patients with mTBI susceptible to
developing full-fledged Alzheimer's disease and CTE later in life.
PMID- 24923408
TI - Future directions in brain injury research.
AB - This paper reviews the potential future directions that are important for brain
injury research, especially with regard to concussion. The avenues of proposed
research are categorized according to current concepts of concussion, types of
concussion, and a global schema for globally reducing the burden of concussion.
PMID- 24923410
TI - A new mild synthetic route to N-arylated pyridazinones from aryldiazonium salts.
AB - An efficient method for the synthesis of N-arylated pyridazinones from potassium
2-furantrifluoroborate and aryldiazonium salts is described. The reaction was run
in water at 0-5 degrees C in short reaction times and without any catalyst or
additive. A mechanistic proposal is made based on the experimental data and DFT
calculations.
PMID- 24923411
TI - Acid-sensing ion channel 3 decreases phosphorylation of extracellular signal
regulated kinases and induces synoviocyte cell death by increasing intracellular
calcium.
AB - INTRODUCTION: Acid-sensing ion channel 3 (ASIC3) is expressed in synoviocytes,
activated by decreases in pH, and reduces inflammation in animal models of
inflammatory arthritis. The purpose of the current study was to characterize
potential mechanisms underlying the control of inflammation by ASIC3 in
fibroblast-like synoviocytes (FLS). METHODS: Experiments were performed in
cultured FLS from wild-type (WT) and ASIC3-/- mice, ASIC1-/- mice, and people
with rheumatoid arthritis. We assessed the effects of acidic pH with and without
interleukin-1beta on FLS and the role of ASICs in modulating intracellular
calcium [Ca(2+)](i), mitogen activated kinase (MAP kinase) expression, and cell
death. [Ca(2+)](i) was assessed by fluorescent calcium imaging, MAP kinases were
measured by Western Blots; ASIC, cytokine and protease mRNA expression were
measured by quantitative PCR and cell death was measured with a LIVE/DEAD assay.
RESULTS: Acidic pH increased [Ca(2+)](i) and decreased p-ERK expression in WT
FLS; these effects were significantly smaller in ASIC3-/- FLS and were prevented
by blockade of [Ca(2+)]i. Blockade of protein phosphatase 2A (PP2A) prevented the
pH-induced decreases in p-ERK. In WT FLS, IL-1beta increases ASIC3 mRNA, and when
combined with acidic pH enhances [Ca(2+)](i), p-ERK, IL-6 and metalloprotienase
mRNA, and cell death. Inhibitors of [Ca(2+)](i) and ERK prevented cell death
induced by pH 6.0 in combination with IL-1beta in WT FLS. CONCLUSIONS: Decreased
pH activates ASIC3 resulting in increased [Ca(2+)](i), and decreased p-ERK. Under
inflammatory conditions, acidic pH results in enhanced [Ca(2+)](i) and
phosphorylation of extracellular signal-regulated kinase that leads to cell
death. Thus, activation of ASIC3 on FLS by acidic pH from an inflamed joint could
limit synovial proliferation resulting in reduced accumulation of inflammatory
mediators and subsequent joint damage.
PMID- 24923412
TI - Comparing gendered and generic representations of mental illness in Canadian
newspapers: an exploration of the chivalry hypothesis.
AB - PURPOSE: The 'chivalry hypothesis' posits that woman are treated more
compassionately by the media when compared with men. To our knowledge, no
research study has explored the chivalry hypothesis as applied to people with
mental illness. As such, we set out to compare three types of newspaper articles,
those that focus on (1) mental illness generically; (2) a woman with mental
illness; and (3) a man with mental illness. METHODS: We conducted a content
analysis of 1,168 newspaper articles relating to mental health over 6 months. We
obtained articles through media retrieval software using various search terms. We
read and coded articles for the presence or absence of themes and content.
Frequency counts and proportions were generated for each theme, which were
compared across the three types of articles using Chi-square tests. RESULTS:
Generic articles were more positive than articles about individuals. They were
significantly more likely to quote mental health experts, and have recovery,
inadequate resources, and etiology as themes. Articles that depicted men were
significantly more likely to have stigmatizing content and violence as themes.
Articles depicting women were significantly more likely to quote mental health
experts, discuss mental health interventions, and have recovery and inadequate
resources as themes. CONCLUSION: The findings lend some support to the chivalry
hypothesis, in as much as articles about women were significantly more positive.
Articles about men were significantly more negative, though this may be partially
accounted for by elevated rates of violent crime in men. Generic articles were
the most extensively well informed.
PMID- 24923413
TI - Empirical mode decomposition and neural network for the classification of
electroretinographic data.
AB - The processing of biosignals is increasingly being utilized in ambulatory
situations in order to extract significant signals' features that can help in
clinical diagnosis. However, this task is hampered by the fact that biomedical
signals exhibit a complex behavior characterized by strong nonlinear and non
stationary properties that cannot always be perceived by simple visual
examination. New processing methods need be considered. In this context, we
propose a signal processing method, based on empirical mode decomposition and
artificial neural networks, to analyze electroretinograms, i.e., the retinal
response to a light flash, with the aim to detect and classify retinal diseases.
The present application focuses on two retinal pathologies: achromatopsia, which
is a cone disease, and congenital stationary night blindness, which affects the
photoreceptoral signal transmission. The results indicate that, under suitable
conditions, the method proposed here has the potential to provide a powerful tool
for routine clinical examinations, since it is able to recognize with high level
of confidence the eventual presence of one of the two pathologies.
PMID- 24923414
TI - Emotion-specific load disrupts concomitant affective processing.
AB - Findings in the neuroimaging literature suggest that separate brain circuitries
are involved when individuals perform emotional compared to nonemotional working
memory (WM) tasks. Here we test this hypothesis with behavioural measures. We
predicted that the conceptual processing of affect would be disrupted more by
concurrent affective than nonaffective load. Participants performed a conceptual
task in which they verified affective versus sensory properties of concepts, and
a second, concurrent, working memory (n-back) task in which the target stimuli
were facial expressions. Results revealed that storing and updating affective (as
compared with identity) features of facial expressions altered performance more
for affective than for sensory properties of concepts. The findings are
supportive of the ideas that affective resources exist and that these resources
are specifically used during the processing and representation of affective
properties of objects and events.
PMID- 24923415
TI - Regulation of crp gene expression by the catabolite repressor/activator, Cra, in
Escherichia coli.
AB - Growth of E. coli on several carbon sources is dependent on the catabolite
repressor/activator (Cra) protein although a Cra consensus DNA-binding site is
not present in the control regions of the relevant catabolic operons. We show
that Cra regulates growth by activating expression of the crp gene. It thereby
mediates catabolite repression of catabolic operons by an indirect mechanism.
PMID- 24923416
TI - Expression of fibroblast growth factor 23 by canine soft tissue sarcomas.
AB - Tumour-induced osteomalacia (TIO) is a rare paraneoplastic syndrome of humans.
Some mesenchymal tumours (often resembling haemangiopericytomas) express
molecules that normally regulate phosphorus metabolism; most frequently,
fibroblast growth factor 23. Patients develop renal phosphate wasting and
inappropriately low serum concentrations of 1, 25 (OH)2 vitamin D3 , leading to
osteomalacia. Surgical removal of the tumour is curative. The authors examined
expression of canine fibroblast growth factor 23 in 49 soft tissue sarcomas, and
control tissues from normal adult dogs. RNA extracted from bone or formalin
fixed, paraffin-embedded tissues was analysed by end point and quantitative
reverse transcriptase-polymerase chain reaction. Fibroblast growth factor 23
expression was detected in bone, lung, kidney, lymph node and thymus. Fifteen of
49 sarcomas (31%) expressed fibroblast growth factor 23, three of these had high
relative expression and some features resembling phosphatonin-expressing
mesenchymal tumours of humans. Further work is required to determine whether TIO
may occur in dogs.
PMID- 24923417
TI - Risk-adapted partial larynx and/or carotid artery sparing modulated radiation
therapy of glottic cancer.
AB - BACKGROUND: To evaluate outcome in patients with glottic cancer treated with
intensity-modulated radiotherapy (IMRT) and to show effectiveness of partial
laryngeal- and/or carotid artery sparing in low to intermediate risk tumors.
STUDY DESIGN: Retrospective analysis. MATERIAL AND METHODS: From 01/2004 to
03/2013 77 consecutive patients presenting with glottic cancer were treated in
our department with IMRT as definitive treatment. T-stages distributed as
follows: T1: n = 17, T2: n = 24, T3: n = 15, T4: n = 13 and recurrences: 8
patients. Concomitant systemic therapy was applied in 39 patients consisting of
either cisplatin or cetuximab. RESULTS: Mean/median follow-up (FU) time was
32.2/28 months (range: 4-98.7). Three year local control (LC), ultimate LRC and
laryngectomy free survival rate was 77%, 92% and 80%, respectively. Three year
overall survival of the entire cohort was 81%. Three year local control for
T1/T2, T3/T4, and recurred tumors was 95%, 65%, and 38%, respectively. Three year
overall survival was 86% for T1-4 stages, 55% for recurred disease, respectively.
Partial laryngeal/carotid artery sparing was performed in all T1 patients (n =
17) and 17/22 T2N0 patients. Rate of late sequels was low. CONCLUSION: IMRT for
glottic cancer shows high control rates. In low to intermediate risk tumors an
individualized treatment volume with partial larynx +/- carotid artery sparing is
effective and holds the potential to reduce long term toxicity. The therapeutic
outcome was not compromised.
PMID- 24923418
TI - Is physiotherapy effective in the management of child and adolescent conversion
disorder? A systematic review.
AB - Child and adolescent conversion disorder has the potential to impart significant
burden on health-care services and affect quality of life. Clinically,
physiotherapists are involved in conversion disorder management; however, no
systematic reviews have examined physiotherapy effectiveness in its management.
The aim of this review is to identify the efficacy of physiotherapy management of
child and adolescent conversion disorder. A search of multiple databases
(Medline, CINAHL, Embase, PsychINFO, PEDro and the Cochrane Library) was
completed along with manual searching of relevant reference lists to identify
articles including children 0-18 years with a diagnosis of conversion disorder
who received physical management. Two independent reviewers screened titles and
abstracts using criteria. Data were extracted regarding study characteristics,
functional outcome measures, length of stay, physiotherapy service duration and
resolution of conversion symptoms. Methodological quality was assessed using a
tool designed for observational studies. Twelve observational studies were
included. No functional outcome measures were used to assess the effectiveness of
the treatment protocols in the case studies. Resolution of symptoms occurred in
all but two cases, with conversion symptoms still present at 11 months and at 2
years. Length of stay varied from 3 days to 16 weeks, with similar variation
evident in length of physiotherapy service provision (2.5 weeks to 16 weeks).
There was limited and poor quality evidence to establish the efficacy of
physiotherapy management of child and adolescent conversion disorders. More
rigorous study designs with consistent use of reliable, valid and sensitive
functional outcome measures are needed in this area.
PMID- 24923419
TI - Probing the coordination properties of glutathione with transition metal ions
(Cr2+, Mn2+, Fe2+, Co2+, Ni2+, Cu2+, Zn2+, Cd2+, Hg2+) by density functional
theory.
AB - Complexes formed by reduced glutathione (GSH) with metal cations (Cr(2+),
Mn(2+),Fe(2+),Co(2+),Ni(2+),Cu(2+),Zn(2+),Cd(2+),Hg(2+)) were systematically
investigated by the density functional theory (DFT). The results showed that the
interactions of the metal cations with GSH resulted in nine different stable
complexes and many factors had an effect on the binding energy. Generally, for
the same period of metal ions, the binding energies ranked in the order of
Cu(2+)>Ni(2+)>Co(2+)>Fe(2+)>Cr(2+)>Zn(2+)>Mn(2+); and for the same group of metal
ions, the general trend of binding energies was Zn(2+)>Hg(2+)>Cd(2+). Moreover,
the amounts of charge transferred from S or N to transition metal cations are
greater than that of O atoms. For Fe(2+),Co(2+),Ni(2+),Cu(2+),Zn(2+),Cd(2+) and
Hg(2+) complexes, the values of the Wiberg bond indices (WBIs) of M-S (M denotes
metal cations) were larger than that of M-N and M-O; for Cr(2+) complexes, most
of the WBIs of M-O in complexes were higher than that of M-S and M-N.
Furthermore, the changes in the electron configuration of the metal cations
before and after chelate reaction revealed that Cu(2+), Ni(2+),Co(2+) and Hg(2+)
had obvious tendencies to be reduced to Cu(+),Ni(+),Co(+) and Hg(+) during the
coordination process.
PMID- 24923420
TI - Idiopathic angioedema and urticarial vasculitis in a patient with a history of
acquired haemophilia.
PMID- 24923423
TI - Visibility from roads predict the distribution of invasive fishes in agricultural
ponds.
AB - Propagule pressure and habitat characteristics are important factors used to
predict the distribution of invasive alien species. For species exhibiting strong
propagule pressure because of human-mediated introduction of species, indicators
of introduction potential must represent the behavioral characteristics of
humans. This study examined 64 agricultural ponds to assess the visibility of
ponds from surrounding roads and its value as a surrogate of propagule pressure
to explain the presence and absence of two invasive fish species. A three
dimensional viewshed analysis using a geographic information system quantified
the visual exposure of respective ponds to humans. Binary classification trees
were developed as a function of their visibility from roads, as well as five
environmental factors: river density, connectivity with upstream dam reservoirs,
pond area, chlorophyll a concentration, and pond drainage. Traditional indicators
of human-mediated introduction (road density and proportion of urban land-use
area) were alternatively included for comparison instead of visual exposure. The
presence of Bluegill (Lepomis macrochirus) was predicted by the ponds' higher
visibility from roads and pond connection with upstream dam reservoirs. Results
suggest that fish stocking into ponds and their dispersal from upstream sources
facilitated species establishment. Largemouth bass (Micropterus salmoides)
distribution was constrained by chlorophyll a concentration, suggesting their
lower adaptability to various environments than that of Bluegill. Based on
misclassifications from classification trees for Bluegill, pond visual exposure
to roads showed greater predictive capability than traditional indicators of
human-mediated introduction. Pond visibility is an effective predictor of
invasive species distribution. Its wider use might improve management and
mitigate further invasion. The visual exposure of recipient ecosystems to humans
is important for many invasive species that spread with frequent instances of
human-mediated introduction.
PMID- 24923421
TI - The gray matter volume of the amygdala is correlated with the perception of
melodic intervals: a voxel-based morphometry study.
AB - Music is not simply a series of organized pitches, rhythms, and timbres, it is
capable of evoking emotions. In the present study, voxel-based morphometry (VBM)
was employed to explore the neural basis that may link music to emotion. To do
this, we identified the neuroanatomical correlates of the ability to extract
pitch interval size in a music segment (i.e., interval perception) in a large
population of healthy young adults (N = 264). Behaviorally, we found that
interval perception was correlated with daily emotional experiences, indicating
the intrinsic link between music and emotion. Neurally, and as expected, we found
that interval perception was positively correlated with the gray matter volume
(GMV) of the bilateral temporal cortex. More important, a larger GMV of the
bilateral amygdala was associated with better interval perception, suggesting
that the amygdala, which is the neural substrate of emotional processing, is also
involved in music processing. In sum, our study provides one of first
neuroanatomical evidence on the association between the amygdala and music, which
contributes to our understanding of exactly how music evokes emotional responses.
PMID- 24923424
TI - Anhydrobiosis in yeast: FT-IR spectroscopic studies of yeast grown under
conditions of severe oxygen limitation.
AB - Anhydrobiosis is a unique state of living organisms when metabolism is
temporarily and reversibly delayed in response to the extreme desiccation of
cells. The production of dry active preparations of yeast grown under anaerobic
conditions is not currently possible because preparations are extremely sensitive
to the dehydration procedure, though they could be very helpful in different
biotechnological processes, including bioethanol production. To characterize
mechanisms responsible for such sensitivity to the dehydration procedure, Fourier
transform infrared spectroscopy was used to study the composition of aerobically
grown yeast Saccharomyces cerevisiae resistant to dehydration and grown under
conditions of severe oxygen limitation and sensitive to dehydration. Results
indicated that significantly lower amounts of lipids in cells, grown under
conditions of severe oxygen limitation, may be related to the mechanisms of
sensitivity. Dehydration of both resistant and sensitive S. cerevisiae cells was
accompanied by similar changes in main cellular compounds. Amounts of nucleic
acids and proteins decreased slightly, whereas that of lipids and carbohydrates
increased. Artificially reduced sensitivity to dehydration in S. cerevisiae
cells, grown under conditions of severe oxygen limitation, led to the increase in
the lipid concentration. The chemical composition of S. cerevisiae membranes is
proposed to dictate the resistance to dehydration in resistant and sensitive
cells.
PMID- 24923427
TI - Celecoxib increases miR-222 while deterring aromatase-expressing breast tumor
growth in mice.
AB - BACKGROUND: Breast cancer is one of the most deadly diseases in women. Inhibiting
the synthesis of estrogen is effective in treating patients with estrogen
responsive breast cancer. Previous studies have demonstrated that use of
cyclooxygenase (COX) inhibitors is associated with reduced breast cancer risk.
METHODS: In the present study, we employed an established mouse model for
postmenopausal breast cancer to evaluate the potential mechanisms of the COX-2
inhibitor celecoxib. Aromatase-expressing MCF-7 cells were transplanted into
ovariectomized athymic mice. The animals were given celecoxib at 1500 ppm or
aspirin at 200 ppm by oral administration with androstenedione injection.
RESULTS: Our results showed that both COX inhibitors could suppress the cancer
xenograft growth without changing the plasma estrogen level. Protein expression
of ERalpha, COX-2, Cyclin A, and Bcl-xL were reduced in celecoxib-treated tumor
samples, whereas only Bcl-xL expression was suppressed in those treated with
aspirin. Among the breast cancer-related miRNAs, miR-222 expression was elevated
in samples treated with celecoxib. Further studies in culture cells verified that
the increase in miR-222 expression might contribute to ERalpha downregulation but
not the growth deterrence of cells. CONCLUSION: Overall, this study suggested
that both celecoxib and aspirin could prevent breast cancer growth by regulating
proteins in the cell cycle and apoptosis without blocking estrogen synthesis.
Besides, celecoxib might affect miR expression in an undesirable fashion.
PMID- 24923426
TI - Tug-of-war of microtubule filaments at the boundary of a kinesin- and dynein
patterned surface.
AB - Intracellular cargo is transported by multiple motor proteins. Because of the
force balance of motors with mixed polarities, cargo moves bidirectionally to
achieve biological functions. Here, we propose a microtubule gliding assay for a
tug-of-war study of kinesin and dynein. A boundary of the two motor groups is
created by photolithographically patterning gold to selectively attach kinesin to
the glass and dynein to the gold surface using a self-assembled monolayer. The
relationship between the ratio of two antagonistic motor numbers and the velocity
is derived from a force-velocity relationship for each motor to calculate the
detachment force and motor backward velocity. Although the tug-of-war involves
>100 motors, values are calculated for a single molecule and reflect the
collective dynein and non-collective kinesin functions when they work as a team.
This assay would be useful for detailed in vitro analysis of intracellular
motility, e.g., mitosis, where a large number of motors with mixed polarities are
involved.
PMID- 24923425
TI - Reference values and age and sex differences in physical performance measures for
community-dwelling older Japanese: a pooled analysis of six cohort studies.
AB - OBJECTIVES: To determine age- and sex-specific reference values for six physical
performance measures, i.e. hand-grip strength, one-legged stance, and gait speed
and step length at both usual and maximum paces, and to investigate age and sex
differences in these measures among community-dwelling older Japanese adults.
METHODS: We conducted a pooled analysis of data from six cohort studies collected
between 2002 and 2011 as part of the Tokyo Metropolitan Institute of Gerontology
Longitudinal Interdisciplinary Study on Aging. The pooled analysis included cross
sectional data from 4683 nondisabled, community-dwelling adults aged 65 years or
older (2168 men, 2515 women; mean age: 74.0 years in men and 73.9 years in
women). RESULTS: Unweighted simple mean (standard deviation) hand-grip strength,
one-legged stance, usual gait speed, usual gait step length, maximum gait speed,
and maximum gait step length were 31.7 (6.7) kg, 39.3 (23.0) s, 1.29 (0.25) m/s,
67.7 (10.0) cm, 1.94 (0.38) m/s, and 82.3 (11.6) cm, respectively, in men and
20.4 (5.0) kg, 36.8 (23.4) s, 1.25 (0.27) m/s, 60.8 (10.0) cm, 1.73 (0.36) m/s,
and 69.7 (10.8) cm, respectively, in women. All physical performance measures
showed significant decreasing trends with advancing age in both sexes (all
P<0.001 for trend). We also constructed age- and sex-specific appraisal standards
according to quintiles. With increasing age, the sex difference in hand-grip
strength decreased significantly (P<0.001 for age and sex interaction). In
contrast, sex differences significantly increased in all other measures (all
P<0.05 for interactions) except step length at maximum pace. CONCLUSION: Our
pooled analysis yielded inclusive age- and sex-specific reference values and
appraisal standards for major physical performance measures in nondisabled,
community-dwelling, older Japanese adults. The characteristics of age-related
decline in physical performance measures differed between sexes.
PMID- 24923428
TI - S100B protein activates a RAGE-dependent autocrine loop in astrocytes:
implications for its role in the propagation of reactive gliosis.
AB - Extracellular S100B dramatically increases after brain injury. While low S100B
levels are neuroprotective, micromolar S100B levels have shown in vitro to
activate microglia and facilitate neuronal death. In astrocytes, S100B exposure
activates nuclear factor kappa B (NF-kappaB) and induces pro-inflammatory
mediators. On microglia and neurons S100B effects are essentially mediated by
receptor for advanced glycation end products (RAGE)/NF-kappaB, but it is not
clear if these intracellular cascades are activated by different S100B levels in
astrocytes and whether increased extracellular S100B is sufficient to induce
reactive gliosis. A better understanding of these pathways is essential for
developing successful strategies to preserve the beneficial S100B effects after
brain injury. Here, we show that microglia-depleted cultured astrocytes exposed
to S100B mimicked several features of reactive gliosis by activating RAGE/Rac-1
Cdc42, RAGE/Erk-Akt or RAGE/NF-kappaB-dependent pathways. S100B effects include
RAGE/Rac1-Cdc42-dependent astroglial hypertrophy and facilitation of migration as
well as increased mitosis. S100B exposure improved the astrocytic survival to
oxidative stress, an effect that requires Erk/Akt. S100B also activates NF-kappaB
in a dose-dependent manner; increases RAGE proximal promoter transcriptional
activity and augmented endogenous RAGE expression. S100B-exposed astrocytes
showed a pro-inflammatory phenotype with expression of Toll-like receptor 2 (TLR
2), inducible nitric oxide synthase (iNOS) and interleukin 1-beta (IL-1beta), and
facilitated neuronal death induced by oxygen-glucose deprivation. In vivo,
intracerebral infusion of S100B was enough to induce an astroglial reactive
phenotype. Together, these findings demonstrate that extracellular S100B in the
micromolar level activates different RAGE-dependent pathways that turn astrocytes
into a pro-inflammatory and neurodegenerative phenotype. We propose that S100B
turns astrocytes into a reactive phenotype in a RAGE-dependent manner but
engaging different intracellular pathways. While both nanomolar and micromolar
S100B turn astrocytes into a reactive phenotype, micromolar S100B induces a
conversion into a pro-inflammatory-neurodegenerative profile that facilitates
neuronal death of OGD-exposed neurons. We think that S100B/RAGE interaction is
essential to expand reactive gliosis in the injured brain being a tempting target
for limiting reactive gliosis to prevent the glial conversion into the
neurodegenerative profile.
PMID- 24923429
TI - Functional diversification of duplicated CYC2 clade genes in regulation of
inflorescence development in Gerbera hybrida (Asteraceae).
AB - The complex inflorescences (capitula) of Asteraceae consist of different types of
flowers. In Gerbera hybrida (gerbera), the peripheral ray flowers are bilaterally
symmetrical and lack functional stamens while the central disc flowers are more
radially symmetrical and hermaphroditic. Proteins of the CYC2 subclade of the
CYC/TB1-like TCP domain transcription factors have been recruited several times
independently for parallel evolution of bilaterally symmetrical flowers in
various angiosperm plant lineages, and have also been shown to regulate flower
type identity in Asteraceae. The CYC2 subclade genes in gerbera show largely
overlapping gene expression patterns. At the level of single flowers, their
expression domain in petals shows a spatial shift from the dorsal pattern known
so far in species with bilaterally symmetrical flowers, suggesting that this
change in expression may have evolved after the origin of Asteraceae. Functional
analysis indicates that GhCYC2, GhCYC3 and GhCYC4 mediate positional information
at the proximal-distal axis of the inflorescence, leading to differentiation of
ray flowers, but that they also regulate ray flower petal growth by affecting
cell proliferation until the final size and shape of the petals is reached.
Moreover, our data show functional diversification for the GhCYC5 gene. Ectopic
activation of GhCYC5 increases flower density in the inflorescence, suggesting
that GhCYC5 may promote the flower initiation rate during expansion of the
capitulum. Our data thus indicate that modification of the ancestral network of
TCP factors has, through gene duplications, led to the establishment of new
expression domains and to functional diversification.
PMID- 24923430
TI - Impact of living and socioeconomic characteristics on cardiovascular risk in
ischemic stroke patients.
AB - OBJECTIVE: We aimed to stratify the risk of vascular event recurrence in patients
with cerebral infarction according to living and socioeconomic characteristics
and geographic region. METHOD: The Outcomes in Patients with TIA and
Cerebrovascular Disease (OPTIC) study is an international prospective study of
patients aged 45 years or older who required secondary prevention of stroke
[following either an acute transient ischemic attack, minor ischemic strokes, or
recent (less than six-months previous), stable, first-ever, nondisabling ischemic
stroke]. A total 3635 patients from 245 centers in 17 countries in four regions
(Latin America, Middle East, North Africa, South Africa) were enrolled between
2007 and 2008. The outcome measure was the two-year rate of a composite of major
vascular events (vascular death, myocardial infarction and stroke). RESULTS:
During the two-year follow-up period, 516 patients experienced at least one major
cardiovascular event, resulting in an event rate of 15.6% (95% confidence
interval 14.4-16.9%). Event rates varied across geographical region (P < 0.001),
ranging from 13.0% in Latin America to 20.7% in North Africa. Unemployment
status, living in a rural area, not living in fully serviced accommodation (i.e.,
house or apartment with its own electricity, toilet and water supply), no health
insurance coverage, and low educational level (less than two-years of schooling)
were predictors of major vascular events. Major vascular event rates steeply
increased with the number of low-quality living/socioeconomic conditions (from
13.4% to 47.9%, adjusted P value for trend <0.001). CONCLUSION: Vascular risk in
stroke patients in low- and middle-income countries varies not only with the
number of arterial beds involved but also with socioeconomic variables.
PMID- 24923431
TI - The relationship between feed efficiency and the circadian profile of blood
plasma analytes measured in beef heifers at different physiological stages.
AB - The characterization of blood metabolite concentrations over the circadian period
and across physiological stages is important for understanding the biological
basis of feed efficiency, and may culminate in indirect methods for assessing
feed efficiency. Hematological analyses for albumin, urea, creatine kinase,
glutamate dehydrogenase, aspartate aminotransferase, carbon dioxide, and acetate
were carried out in growing and gestating heifers. These measures were carried
out in a sample of 36 Bos taurus crossed beef heifers held under the same
husbandry conditions. Hourly blood samples were collected over a 24-h period on
three separate sampling occasions, corresponding approximately to the yearling
(and open), early-gestation and late-gestation stages. This design was used to
determine variation throughout the day, effects due to physiological status and
any associations with feed efficiency, as measured by residual feed intake. Blood
analyte levels varied with time of day, with the most variation occurring between
0800 and 1600 h. There were also considerable differences in analyte levels
across the three physiological stages; for example, creatine kinase was higher
(P<0.05) in open heifers, followed by early- and late-gestation heifers. Feed
efficiency was also associated with analyte abundance. In more feed-efficient
open heifers, there were higher activities of creatine kinase (P<0.05) and
aspartate aminotransferase (P<0.05), and lower concentrations of carbon dioxide
(P<0.05). Furthermore, in late gestation, more efficient heifers had lower urea
concentrations (P<0.05) and lower creatine kinase levels (P<0.05). Over the whole
experimental period, carbon dioxide concentrations were numerically lower in more
feed efficient heifers (P=0.079). Differences were also observed across
physiological stages. For instance, open heifers had increased levels (P<0.05) of
creatine kinase, aspartate aminotransferase, carbon dioxide than early and late
pregnancy heifers. In essence, this study revealed relevant information about the
metabolic profile in the context of feed efficiency and physiological stages.
Further optimization of our approach, along with the evaluation of complementary
analytes, will aid in the development of robust, indirect assessments of feed
efficiency.
PMID- 24923432
TI - Peanut allergens alter intestinal barrier permeability and tight junction
localisation in Caco-2 cell cultures.
AB - BACKGROUND/AIMS: Allergen absorption by epithelia may play an important role in
downstream immune responses. Transport mechanisms that can bypass Peyer's patches
include transcellular and paracellular transport. The capacity of an allergen to
cross via these means can modulate downstream processing of the allergen by the
immune system. The aim of this study was to investigate allergen-epithelial
interactions of peanut allergens with the human intestinal epithelium. METHODS:
We achieved this using the human Caco-2 cell culture model, exposed to crude
peanut extract. Western and immunofluorescence analysis were used to identify the
cellular and molecular changes of peanut extract on the intestinal epithelium.
RESULTS: Following exposure of Caco-2 cells to peanut extract, binding of the
peanut allergens Ara h 1 and Ara h 2 to the apical cellular membrane and
transcytosis across the monolayers were observed. Additionally, the co
localisation of the transmembrane tight junction proteins occludin, JAM-A and
claudin-1, with the intracellular adhesion protein ZO-1 was modified. CONCLUSION:
Disruption of Caco-2 barrier integrity through tight junction disruption may
enable movement of peanut proteins across the intestinal epithelium. This
accounts for peanut's increased allergenicity, compared to other food allergens,
and provides an explanation for the potency of peanut allergens in immune
response elicitation.
PMID- 24923433
TI - Child's assent in research: age threshold or personalisation?
AB - BACKGROUND: Assent is an important ethical and legal requirement of paediatric
research. Unfortunately, there are significant differences between the guidelines
on the details of assent. DISCUSSION: What often remains unclear is the scope of
the assent, the procedure for acquiring it, and the way in which children's
capacity to assent is determined. There is a general growing tendency that
suggests that the process of assent should be personalised, that is, tailored to
a particular child. This article supports the idea of personalisation. However,
we also propose placing limits on personalisation by introducing a suggested
requirement of assent starting at a school-age threshold. In some situations
RECs/IRBs and researchers could reduce the suggested threshold. SUMMARY: A
recommended age threshold is likely to serve the interests of children better
than ambiguous and flexible criteria for personalised age determination.
PMID- 24923434
TI - Child and family adjustment following pediatric solid organ transplantation:
factors to consider during the early years post-transplant.
AB - Adjusting to life after transplant can be challenging to pediatric solid organ
transplant recipients and their families. In this review, we discuss a number of
important factors to consider during the first 2-3 yr after transplant (defined
as the "early years"), including transitioning from hospital to home, returning
to physical activity, feeding and nutrition, school reentry, potential cognitive
effects of transplant, family functioning, and QOL. We highlight steps that
providers can take to optimize child and family adjustment during this period.
PMID- 24923435
TI - Analysis of structural diversity in wolf-like canids reveals post-domestication
variants.
AB - BACKGROUND: Although a variety of genetic changes have been implicated in causing
phenotypic differences among dogs, the role of copy number variants (CNVs) and
their impact on phenotypic variation is still poorly understood. Further, very
limited knowledge exists on structural variation in the gray wolf, the ancestor
of the dog, or other closely related wild canids. Documenting CNVs variation in
wild canids is essential to identify ancestral states and variation that may have
appeared after domestication. RESULTS: In this work, we genotyped 1,611 dog CNVs
in 23 wolf-like canids (4 purebred dogs, one dingo, 15 gray wolves, one red wolf,
one coyote and one golden jackal) to identify CNVs that may have arisen after
domestication. We have found an increase in GC-rich regions close to the
breakpoints and around 1 kb away from them suggesting that some common motifs
might be associated with the formation of CNVs. Among the CNV regions that showed
the largest differentiation between dogs and wild canids we found 12 genes, nine
of which are related to two known functions associated with dog domestication;
growth (PDE4D, CRTC3 and NEB) and neurological function (PDE4D, EML5, ZNF500,
SLC6A11, ELAVL2, RGS7 and CTSB). CONCLUSIONS: Our results provide insight into
the evolution of structural variation in canines, where recombination is not
regulated by PRDM9 due to the inactivation of this gene. We also identified genes
within the most differentiated CNV regions between dogs and wolves, which could
reflect selection during the domestication process.
PMID- 24923437
TI - A rapid method of species identification of wild chironomids (Diptera:
Chironomidae) via electrophoresis of hemoglobin proteins in sodium dodecyl
sulfate polyacrylamide gel (SDS-PAGE).
AB - Studying aquatic benthic macroinvertebrates (BMIs) in the field requires accurate
taxonomic identification, which can be difficult and time consuming.
Conventionally, head capsule morphology has been used to identify wild larvae of
Chironomidae. However, due to the number of species and possible damage and/or
deformity of their head capsules, another supporting approach for identification
is needed. Here, we provide hemoglobin (Hb) protein in hemolymph of chironomids
as a new biomarker that may help resolve some of the ambiguities and difficulties
encountered during taxonomic identification. Chironomids collected from two
locations in Maine and New Jersey, USA were identified to the genus level and in
some cases to the species-level using head capsule and body morphologies. The
head capsule for a particular individual was then associated with a corresponding
Hb protein profile generated from sodium dodecyl sulfate polyacrylamide gel
electrophoresis (SDS-PAGE). Distinct Hb profiles were observed from one group
(Thienemannimyia) and four genera (Chironomus, Cricotopus, Dicrotendipes, and
Glyptotendipes) of chironomids. Several species were polymorphic, having more
than one Hb profile and/or having bands of the same size as those of other
species. However, major bands and the combination of bands could distinguish
individuals at the genus and sometimes species-level. Overall, this study showed
that Hb profiles can be used in combination with head capsule morphology to
identify wild chironomids.
PMID- 24923436
TI - Evaluation of peripheral versus central effects of GABA(B) receptor activation
using a novel, positive allosteric modulator of the GABA(B) receptor ADX71943, a
pharmacological tool compound with a fully peripheral activity profile.
AB - BACKGROUND AND PURPOSE: The GABA(B) receptor agonist, baclofen, has shown
promising effects in patients suffering from pain, post-traumatic stress
disorder, alcoholism, overactive bladder and gastroesophageal reflux disease.
However, baclofen's short duration of action and side effects limit its wider
use. Here we characterized a novel, GABA(B) receptor positive allosteric
modulator (PAM) ADX71943. EXPERIMENTAL APPROACH: In vitro, ADX71943 was assessed
for pharmacological activity and selectivity using recombinant and native GABA(B)
receptors. In vivo ADX71943 was assessed in the acetic acid-induced writhing
(AAW) test in mice and formalin tests (FTs) in mice and rats. Marble burying (MB)
and elevated plus maze (EPM) tests, rotarod, spontaneous locomotor activity
(sLMA) and body temperature (BT) tests in mice and rats were used to investigate
centrally-mediated effects. KEY RESULTS: In vitro, in the presence of GABA,
ADX71943 increased the potency and efficacy of agonists and showed selectivity at
the GABA(B) receptor. ADX71943 reduced pain-associated behaviours in AAW; an
effect blocked by GABA(B) receptor antagonist CGP63360. ADX71943 reduced pain in
the FT in mice and rats, but was inactive in the MB and EPM despite reaching high
concentrations in plasma. ADX71943 had no effect on BT, rotarod and sLMA.
CONCLUSIONS AND IMPLICATIONS: ADX71943 showed consistent and target-related
efficacy in tests of disorders that have a significant peripheral component
(acute and chronic pain), while having no effect in those associated with
centrally-mediated anxiety-like reactivity and side effects. Thus, ADX71943 is a
useful pharmacological tool for delineation of peripherally- versus centrally
mediated effects of GABA(B) receptor activation.
PMID- 24923438
TI - Specific behaviour, mood and personality traits may contribute to obesity in
patients with craniopharyngioma.
AB - INTRODUCTION: Patients with craniopharyngioma (CP) often suffer from obesity, but
the underlying causes are still not fully understood. We compared CP to patients
with nonfunctioning pituitary adenoma (NFPA) and to a control group (CG) using
standardized questionnaires to investigate whether behavioural, mood or
personality traits contribute to obesity. METHODS: We compared 31 patients with
CP (42% male, 53 +/- 15.1 years) to 26 patients with NFPA (71% male, 63.2 +/-
10.3 years) and to age- and gender-matched local CG (ratio 2:1). Normative data
from the literature are included for reference. Patients were asked to complete
eleven standardized questionnaires. Two questionnaires were used to evaluate
eating disorders (FEV, EDE-Q), one depression (BDI), one anxiety (STAI), three
health-related quality of life (SF-36, EuroQoL, QoL-AGHDA), one sleepiness
(Epworth Sleepiness Scale), two personality (EPQ-RK, TPQ) and one body image (FKB
20). RESULTS: Patients with CP scored significantly higher in conscious hunger
perception (FEV, CP 5.8 +/- 3.2 scores, NFPA 3.6 +/- 3.3 scores, CG 3.0 +/- 2.5,
P < 0.001). They had similar scores for BDI compared with NFPA, but higher scores
to CG (P < 0.001, CP 10.6 +/- 8.3, NFPA 7.5 +/- 5.7, CG 4.96 +/- 4.2). CP and
NFPA scored higher than CG for anxiety and personality traits such as harm
avoidance, fatigability and asthenia and slightly higher for neuroticism. No
differences were seen for EDE-Q, quality of life, daytime sleepiness and body
image between CP and NFPA. However, differences could be observed to normative
data from the literature. CONCLUSION: Obesity in patients with CP might be
influenced by eating disorders, negative mood alterations and increased anxiety
related personality traits.
PMID- 24923439
TI - Effects of liposome-encapsulated hemoglobin on gastric wound healing in the rat.
AB - Liposome-encapsulated hemoglobin (LEH) may improve microcirculation and oxygen
(O2 ) metabolism at a surgical wound to accelerate its healing. Ten mL/kg of LEH
with high (h-LEH) or low O2 -affinity (l-LEH), homologous red blood cells (RBC),
empty liposome or saline as a control was infused before a 10-mm incision and
interrupted suture closure of the gastric wall in a total of 110 rats. Two and 4
days later, the stomach was excised for bursting pressure determination and
histological sampling. The dose-response relationship was examined in 70
additional rats receiving progressively reduced doses of h-LEH. Hypoxia-inducible
factor-1alpha (HIF-1alpha) was stained immunohistochemically in 54 other rats to
examine its accumulation at the anastomotic sites. Bursting pressure of the
surgical wound was significantly higher 2 days after surgery only in the h-LEH
treated rats (P < 0.05), but not at 4 days after surgery, when other rats showed
increased bursting pressure to a nonsignificant level. Histological examination
revealed less granulocyte infiltration, better granulation, and more macrophage
infiltration in h-LEH-treated rats at 2 days, but no longer at 4 days
postsurgery. Dose-response study revealed that 0.4 mL/kg of h-LEH (hemoglobin 24
mg/kg) was effective for elevating bursting pressure at 2 days. h-LEH-treated
rats had significantly suppressed HIF-1alpha accumulation in the wound 6, 24, and
48 h after surgery as compared with control animals treated with homologous RBC
or saline. In conclusion, the results suggest that h-LEH, but not l-LEH or
homologous transfusion, may accelerate wound healing early after gastric incision
and anastomosis in the rat. The mechanism(s) appears to be related to improved O2
supply, aerobic metabolism, and suppressed inflammation in the wound.
PMID- 24923440
TI - Pleiotropic effects of the rho-kinase inhibitor fasudil after subarachnoid
hemorrhage: a review of preclinical and clinical studies.
AB - There is growing evidence that Rho-kinase contributes to cardiovascular disease,
which has made Rho-kinase a target for the treatment of human diseases. To date,
the only Rho-kinase inhibitor employed clinically in humans is fasudil, which has
been used for the prevention of cerebral vasospasm and subsequent ischemic injury
after surgery for subarachnoid hemorrhage (SAH). A number of pathological
processes, in particular hemodynamic dysfunctions and inflammatory reactions, are
thought to be related in the pathogenesis of delayed cerebral vasospasm and
subsequent ischemic injury after SAH. This review focuses on fasudil's
pleiotropic therapeutic effects: amelioration of hemodynamic dysfunction and
inflammation, and discusses in detail the clinical studies on fasudil
administered after the occurrence of SAH.
PMID- 24923441
TI - Methylation silencing of ULK2, an autophagy gene, is essential for astrocyte
transformation and tumor growth.
AB - Glioblastoma (GBM) is the most aggressive type of brain tumor and shows very poor
prognosis. Here, using genome-wide methylation analysis, we show that G-CIMP+ and
G-CIMP-subtypes enrich distinct classes of biological processes. One of the
hypermethylated genes in GBM, ULK2, an upstream autophagy inducer, was found to
be down-regulated in GBM. Promoter hypermethylation of ULK2 was confirmed by
bisulfite sequencing. GBM and glioma cell lines had low levels of ULK2
transcripts, which could be reversed upon methylation inhibitor treatment. ULK2
promoter methylation and transcript levels showed significant negative
correlation. Ectopic overexpression of ULK2-induced autophagy, which further
enhanced upon nutrient starvation or temozolomide chemotherapy. ULK2 also
inhibited the growth of glioma cells, which required autophagy induction as
kinase mutant of ULK2 failed to induce autophagy and inhibit growth. Furthermore,
ULK2 induced autophagy and inhibited growth in Ras-transformed immortalized Baby
Mouse Kidney (iBMK) ATG5(+/+) but not in autophagy-deficient ATG5(-/-) cells.
Growth inhibition due to ULK2 induced high levels of autophagy under starvation
or chemotherapy utilized apoptotic cell death but not at low levels of autophagy.
Growth inhibition by ULK2 also appears to involve catalase degradation and
reactive oxygen species generation. ULK2 overexpression inhibited anchorage
independent growth, inhibited astrocyte transformation in vitro and tumor growth
in vivo. Of all autophagy genes, we found ULK2 and its homologue ULK1 were only
down-regulated in all grades of glioma. Thus these results altogether suggest
that inhibition of autophagy by ULK1/2 down-regulation is essential for glioma
development.
PMID- 24923442
TI - The nutrient stress-induced small GTPase Rab5 contributes to the activation of
vesicle trafficking and vacuolar activity.
AB - Rab family small GTPases regulate membrane trafficking by spatiotemporal
recruitment of various effectors. However, it remains largely unclear how the
expression and functions of Rab proteins are regulated in response to
extracellular or intracellular stimuli. Here we show that Ypt53, one isoform of
Rab5 in Saccharomyces cerevisiae, is up-regulated significantly under nutrient
stress. Under non-stress conditions, Vps21, a constitutively expressed Rab5
isoform, is crucial to Golgi-vacuole trafficking and to vacuolar hydrolase
activity. However, when cells are exposed to nutrient stress for an extended
period of time, the up-regulated Ypt53 and the constitutive Vps21 function
redundantly to maintain these activities, which, in turn, prevent the
accumulation of reactive oxygen species and maintain mitochondrial respiration.
Together, our results clarify the relative roles of these constitutive and
nutrient stress-inducible Rab5 proteins that ensure adaptable vesicle trafficking
and vacuolar hydrolase activity, thereby allowing cells to adapt to environmental
changes.
PMID- 24923443
TI - Ubiquitin-specific peptidase 20 regulates Rad17 stability, checkpoint kinase 1
phosphorylation and DNA repair by homologous recombination.
AB - Rad17 is a subunit of the Rad9-Hus1-Rad1 clamp loader complex, which is required
for Chk1 activation after DNA damage. Rad17 has been shown to be regulated by the
ubiquitin-proteasome system. We have identified a deubiquitylase, USP20 that is
required for Rad17 protein stability in the steady-state and post DNA damage. We
demonstrate that USP20 and Rad17 interact, and that this interaction is enhanced
by UV exposure. We show that USP20 regulation of Rad17 is at the protein level in
a proteasome-dependent manner. USP20 depletion results in poor activation of Chk1
protein by phosphorylation, consistent with Rad17 role in ATR-mediated
phosphorylation of Chk1. Similar to other DNA repair proteins, USP20 is
phosphorylated post DNA damage, and its depletion sensitizes cancer cells to
damaging agents that form blocks ahead of the replication forks. Similar to Chk1
and Rad17, which enhance recombinational repair of collapsed replication forks,
we demonstrate that USP20 depletion impairs DNA double strand break repair by
homologous recombination. Together, our data establish a new function of USP20 in
genome maintenance and DNA repair.
PMID- 24923444
TI - c-Abl activates janus kinase 2 in normal hematopoietic cells.
AB - Jak2 is involved in cytokine growth factor-stimulated signal transduction, but
the mechanism of its activation is largely unknown. Here, we investigated Jak2
activation in a normal hematopoietic cell line, 32D mouse myeloid cells. The
bimolecular fluorescence complementation studies showed that c-Abl formed a
stable complex with Jak2 in live cells. Co-immunoprecipitation results showed
that c-Abl bound to the betac chain of IL-3/IL-5/GM-CSF receptors. The kinase
activities of both c-Abl and Jak2 were stimulated by IL-3 in 32D cells.
Decreasing c-Abl protein expression in 32D cells by inducible shRNA decreased
Jak2 activity and resulted in the failure of Jak2 activation in response to IL-3.
Treatment of IL-3 and serum-starved 32D cells with 1 MUM imatinib mysylate
inhibited IL-3 stimulated kinase activities of both c-Abl and Jak2. In addition,
the kinase-deficient Bcr-Abl mutant (p210K1172R) was defective for activation of
Jak2 in 32D cells and impaired IL-3 independent growth, which was rescued by
overexpression of c-Abl (+Abl). IL-3 efficiently inhibited apoptosis of
32Dp210K/R+Abl cells induced by imatinib mysylate but not Jak2 kinase inhibitor
TG101209. In summary, our findings provide evidence that the kinase function of c
Abl and its C-terminal CT4 region is crucial for its interaction with Jak2 and
its activation. c-Abl kinase activity induced by IL-3 is required for IL-3
stimulated Jak2 and Jak1 activation. Our findings reveal a novel regulatory role
of c-Abl in Jak2 activation induced by IL-3 cytokine growth factor in 32D
hematopoietic cells.
PMID- 24923445
TI - The tumor suppressor chromodomain helicase DNA-binding protein 5 (CHD5) remodels
nucleosomes by unwrapping.
AB - Although mutations or deletions of chromodomain helicase DNA-binding protein 5
(CHD5) have been linked to cancer and implicate CHD5 in tumor suppression, the
ATP-dependent activity of CHD5 is currently unknown. In this study, we discovered
that CHD5 is a chromatin remodeling factor with a unique enzymatic activity. CHD5
can expose nucleosomal DNA at one or two discrete positions in the nucleosome.
The exposure of the nucleosomal DNA by CHD5 is dependent on ATP hydrolysis, but
continued ATP hydrolysis is not required to maintain the nucleosomes in their
remodeled state. The activity of CHD5 is distinct from other related chromatin
remodeling ATPases, such as ACF and BRG1, and does not lead to complete
disruption or destabilization of the nucleosome. Rather, CHD5 likely initiates
remodeling in a manner similar to that of other remodeling factors but does not
significantly reposition the nucleosome. While the related factor CHD4 shows
strong ATPase activity, it does not unwrap nucleosomes as efficiently as CHD5.
Our findings add to the growing evidence that chromatin remodeling ATPases have
diverse roles in modulating chromatin structure.
PMID- 24923446
TI - The calcium-induced conformation and glycosylation of scavenger-rich cysteine
repeat (SRCR) domains of glycoprotein 340 influence the high affinity interaction
with antigen I/II homologs.
AB - Oral streptococci adhere to tooth-immobilized glycoprotein 340 (GP340) via the
surface protein antigen I/II (AgI/II) and its homologs as the first step in
pathogenesis. Studying this interaction using recombinant proteins, we observed
that calcium increases the conformational stability of the scavenger-rich
cysteine repeat (SRCRs) domains of GP340. Our results also show that AgI/II
adheres specifically with nanomolar affinity to the calcium-induced SRCR
conformation in an immobilized state and not in solution. This interaction is
significantly dependent on the O-linked carbohydrates present on the SRCRs. This
study also establishes that a single SRCR domain of GP340 contains the two
surfaces to which the apical and C-terminal regions of AgI/II noncompetitively
adhere. Compared with the single SRCR domain, the three tandem SRCR domains
displayed a collective/cooperative increase in their bacterial adherence and
aggregation. The previously described SRCRP2 peptide that was shown to aggregate
several oral streptococci displayed limited aggregation and also nonspecific
adherence compared to SRCR domains. Finally, we show distinct species-specific
adherence/aggregation between Streptococcus mutans AgI/II and Streptococcus
gordonii SspB in their interaction with the SRCRs. This study concludes that
identification of the metal ion and carbohydrate adherence motifs on both SRCRs
and AgI/II homologs could lead to the development of anti-adhesive inhibitors
that could deter the adherence of pathogenic oral streptococci and thereby
prevent the onset of infections.
PMID- 24923447
TI - Nucleolar stress induces ubiquitination-independent proteasomal degradation of
PICT1 protein.
AB - The nucleolar protein PICT1 regulates tumor suppressor p53 by tethering ribosomal
protein L11 within the nucleolus to repress the binding of L11 to the E3 ligase
MDM2. PICT1 depletion results in the release of L11 to the nucleoplasm to inhibit
MDM2, leading to p53 activation. Here, we demonstrate that nucleolar stress
induces proteasome-mediated degradation of PICT1 in a ubiquitin-independent
manner. Treatment of H1299 cells with nucleolar stress inducers, such as
actinomycin D, 5-fluorouridine, or doxorubicin, induced the degradation of PICT1
protein. The proteasome inhibitors MG132, lactacystin, and epoxomicin blocked
PICT1 degradation, whereas the inhibition of E1 ubiquitin-activating enzyme by a
specific inhibitor and genetic inactivation fail to repress PICT1 degradation. In
addition, the 20 S proteasome was able to degrade purified PICT1 protein in
vitro. We also found a PICT1 mutant showing nucleoplasmic localization did not
undergo nucleolar stress-induced degradation, although the same mutant underwent
in vitro degradation by the 20 S proteasome, suggesting that nucleolar
localization is indispensable for the stress-induced PICT1 degradation. These
results suggest that PICT1 employs atypical proteasome-mediated degradation
machinery to sense nucleolar stress within the nucleolus.
PMID- 24923449
TI - Direct observation of spin-forbidden transitions through the use of suitably
polarized light.
AB - The study of excited triplet states of a molecular system is a difficult task
because accessing them involves forbidden transitions from the singlet ground
state. Nevertheless, absorption spectra of many molecules present, at low
energies, the weak fingerprint of these triplet states. At higher energies this
information is usually masked by the intense signal of the singlet states. Here
we show, for the specific case of the sulphur dioxide molecule, that the combined
use of polarized light and molecular alignment can enhance the triplet part of
the spectrum, even making it the only absorption process.
PMID- 24923448
TI - Structural and functional characterization of Escherichia coli toxin-antitoxin
complex DinJ-YafQ.
AB - Toxin YafQ functions as a ribonuclease in the dinJ-yafQ toxin-antitoxin system of
Escherichia coli. Antitoxin DinJ neutralizes YafQ-mediated toxicity by forming a
stable protein complex. Here, crystal structures of the (DinJ)2-(YafQ)2 complex
and the isolated YafQ toxin have been determined. The structure of the
heterotetrameric complex (DinJ)2-(YafQ)2 revealed that the N-terminal region of
DinJ folds into a ribbon-helix-helix motif and dimerizes for DNA recognition, and
the C-terminal portion of each DinJ exclusively wraps around a YafQ molecule.
Upon incorporation into the heterotetrameric complex, a conformational change of
YafQ in close proximity to the catalytic site of the typical microbial
ribonuclease fold was observed and validated. Mutagenesis experiments revealed
that a DinJ mutant restored YafQ RNase activity in a tetramer complex in vitro
but not in vivo. An electrophoretic mobility shift assay showed that one of the
palindromic sequences present in the upstream intergenic region of DinJ served as
a binding sequences for both the DinJ-YafQ complex and the antitoxin DinJ alone.
Based on structure-guided and site-directed mutagenesis of DinJ-YafQ, we showed
that two pairs of amino acids in DinJ were important for DNA binding; the R8A and
K16A substitutions and the S31A and R35A substitutions in DinJ abolished the DNA
binding ability of the DinJ-YafQ complex.
PMID- 24923450
TI - Congenital duodenal stenosis misdiagnosed as iron deficiency anemia for 20 years.
PMID- 24923451
TI - NOTCH1 mutations identify a chronic lymphocytic leukemia patient subset with
worse prognosis in the setting of a rituximab-based induction and consolidation
treatment.
AB - Induction therapy with fludarabine followed by rituximab and consolidation plus
maintenance with rituximab improved response duration (RD) and overall survival
(OS) in our patients with chronic lymphocytic leukemia (CLL). The aim of our
study was to investigate the clinical impact of NOTCH1 mutations in this setting
of patients. The study included 123 progressive CLL patients homogeneously
assigned to first-line induction treatment with fludarabine followed by
rituximab. Fifty-nine patients either in complete remission (CR) minimal residual
disease positive (MRD+) after induction (n = 39) or in partial remission (PR, n =
20) underwent consolidation/maintenance therapy with rituximab. Sixteen patients
in CR MRD + or PR underwent observation only. The presence of NOTCH1 mutations
was investigated by amplification refractory mutation system (ARMS) PCR and by
Sanger sequencing. NOTCH1 mutations occurred in 20 out of 123 (16.3 %) cases.
Consolidated patients showed longer OS than unconsolidated patients (p = 0.030).
Both NOTCH1 mutated and CR MRD+ or PR NOTCH1 mutated patients showed
significantly shorter OS after treatment (p = 0.00014 and p = 0.0021,
respectively). Moreover, NOTCH1 wild-type consolidated cases experienced
significantly longer RD and OS than NOTCH1 mutated consolidated or not
consolidated cases (p = 0.00001 and p = 0.018, respectively). Finally, the
independent prognostic impact of NOTCH1 mutations for OS was confirmed in
multivariate analysis (p < 0.001). The presence of NOTCH1 mutations identifies a
CLL subset with worse prognosis in the setting of a rituximab-based induction and
consolidation treatment.
PMID- 24923452
TI - Unusual relapse of an angioimmunoblastic T cell lymphoma 11 years after initial
manifestation.
PMID- 24923453
TI - Conversion from nuclear bilobation to indentation in BCR/ABL1-positive acute
myeloid leukemia lacking CD34 and HLA-DR expression.
PMID- 24923454
TI - Effectiveness of gemcitabine, pegaspargase, cisplatin, and dexamethasone (DDGP)
combination chemotherapy in the treatment of relapsed/refractory extranodal NK/T
cell lymphoma: a retrospective study of 17 patients.
AB - The prognosis of extranodal nature killer (NK)/T cell lymphoma (ENKL) is dismal
because of its aggressive course and multidrug resistance. Currently, for
patients with relapsed/refractory ENKL, L-asparaginase-based regimens such as L
asparaginase, ifosfamide, methotrexate, etoposide, and dexamethasone (SMILE) or L
asparaginase, methotrexate, and dexamethasone (AspaMetDex) are recommended. We
retrospectively investigated the efficacy and safety of gemcitabine,
pegaspargase, cisplatin, and dexamethasone (DDGP) combination chemotherapy in the
treatment of 17 relapsed/refractory ENKL patients. Clinical data from these
patients were collected and analyzed. The primary end point was overall response
rate (ORR). All patients were subjected to 2 to 6 cycles of DDGP chemotherapy,
and the median number of cycles of DDGP regimen administrated was four. The ORR
was 88.2 % (15/17), with nine patients (52.9 %) achieved complete response (CR)
and six patients (35.3 %) achieved partial response (PR). The median follow-up
time was 17 months (range 2-28 months). The 1-year overall survival (OS) rate and
1-year progression-free survival (PFS) were 82.4 and 64.7 %, respectively. For
those CR responders, the median PFS was 17 months. Grade 3/4 neutropenia occurred
in nine patients (52.9 %) and grade 3/4 thrombocytopenia occurred in six patients
(35.3 %). DDGP combination chemotherapy produces favorable outcomes in
relapsed/refractory ENKL, and more attention should be paid to treatment-related
myelosuppression. Further prospective trials are expected to define the efficacy.
PMID- 24923455
TI - Cetuximab in metastatic squamous cell cancer of the skin: a Swiss case series.
AB - BACKGROUND: There is current evidence that non-melanoma skin cancers can be
successfully treated with cetuximab. OBJECTIVE: To evaluate the use and efficacy
of cetuximab (with or without radiotherapy) in a series of previously treated
patients with metastatic squamous cell cancer of the skin (SCCS) in Switzerland.
METHODS: We performed a retrospective analysis of six patients from four centers.
Endpoints were disease control rates (DCRs) at 4-8 weeks, 12-14 weeks and 20-36
weeks of treatment. Treatment-related toxicity was evaluated additionally.
RESULTS: A median of 14 cycles of cetuximab were applied. DCR was 67% at 4-8
weeks, 50% at 12-14 weeks and 33% at 20-36 weeks. In 4-8 weeks responders, mean
relapse-free time was 12 +/- 6.2 months and mean overall survival was 25 +/- 16.2
months. Grade I-III acne-like rash developed around week 3 of treatment in 83%.
CONCLUSIONS: Cetuximab treatment in patients with metastatic SCCS achieved an
overall DCR of 67% at 4-8 weeks of treatment. This study underlines the current
evidence that SCCS can be successfully treated with cetuximab.
PMID- 24923456
TI - Blood-based biomarkers in depression: emerging themes in clinical research.
AB - There is growing interest in identifying blood-based biomarkers for depression.
This brief review examines recent research in this area, using a focused
literature search to identify emerging themes in current work pertaining to major
depressive disorder (MDD). The results suggest that biomarker-based research in
MDD is still in its relative infancy and is focused on answering two major
questions: what biomarkers reliably distinguish individuals with MDD from those
without MDD; and what biomarkers can identify or predict treatment responders
versus non-responders? However, a number of issues limit the potential utility of
positive findings in each case; chief among these is the lack of truly
independent replication cohorts in which to validate initial findings. Some
promising results have emerged pertaining to predictors of antidepressant
effectiveness and, if validated by future independent studies, these may hold
promise as targets to be developed within clinical settings and may ultimately
guide treatment regimens for patients suffering from MDD.
PMID- 24923457
TI - Bringing epigenetics into the diagnostics of the andrology laboratory: challenges
and perspectives.
AB - Recent studies have shown significant associations of aberrant DNA methylation in
spermatozoa with idiopathic male infertility, increased frequency of spontaneous
abortions and imprinting disorders. Thus, the analysis of DNA methylation of
specific genes in spermatozoa has the potential to become a new valuable
diagnostic marker in clinical andrology. This perspective article discusses the
current state and value of DNA methylation analysis in the diagnostic setup of
infertile men and outlines challenges and perspectives. It highlights the
potential of DNA methylation in andrological diagnostics and its putative benefit
in the examination of hitherto idiopathic infertile patients is described.
PMID- 24923458
TI - Novel methylation specific real-time PCR test for the diagnosis of Klinefelter
syndrome.
AB - The aim of this study was to design a molecular assay for the diagnosis of
Klinefelter syndrome (KS), based on the detection of supernumerary X-chromosomes
(X-chs). DNA was extracted from peripheral blood samples of twenty-six 47,XXY
males; two 46,XY/47,XXY males; twenty-two 46,XY males; and 15 females; and
deaminated. Methylation-specific quantitative polymerase chain reaction (MS-qPCR)
was performed using primers for unmethylated and methylated copies of the X-ch
inactive-specific transcript (XIST-U and XIST-M) gene. X-ch disomy was determined
on the basis of XIST methylation status. Degree of mosaicism in the 46,XY/47,XXY
males was compared with karyotype and fluorescent in situ hybridization (FISH)
results. Data analysis was performed using the Roche(r) LightCycler software V.
3.5.3., including determination of crossing points (CPs) by fit-point analysis
and melting curve analysis. X-ch disomy was detected in all female controls and
KS patients; male controls expressed XIST-M only. CPs ranged from 29.5 to 32.5
(standard deviation (s.d.) 0.8) for XIST-U and from 29 to 31 (s.d. 0.6) for XIST
M. Limit of detection of mosaicism was 1%. Based on XIST-U/XIST-M ratios for the
two 47,XXY/46,XY patients, the calculated degree of mosaicism (1.8% and 17.8%)
was comparable to FISH results (2.3% and 15%, respectively). Turnaround time from
DNA deamination to final data analysis was under 9 h. We conclude that MS-qPCR is
a sensitive, specific and rapid test for the detection of X-ch disomy, with
applicability for the screening and diagnosis of KS, even in the setting of low
grade 47,XXY/46,XY mosaicism.
PMID- 24923459
TI - Physiological normal levels of androgen inhibit proliferation of prostate cancer
cells in vitro.
AB - For more than 70 years, it has been believed that a severe reduction of serum
androgen levels caused regression of prostate cancer (PCa) and that increasing
androgen levels enhanced growth of PCa. However, numerous recent studies have
questioned this traditional belief. In our study, LNCaP and MDA PCa 2b PCa cells
were treated with various levels of androgens for 10 or 20 days, and the cell
growth was measured with crystal violet mitogenic assay. The results indicated
that the effect of androgens on the proliferation of PCa cells occurs in a
biphasic pattern, with the androgen levels promoting optimal cell growth at
approximately 0.23 ng ml-1 for LNCaP cells and between 1 and 2 ng ml-1 for MDA
PCa 2b cells. Both of the optimal androgen levels are within the adult men's
physiological low range (<2.4 ng ml-1). At lower concentrations than the optimal
androgen level, increasing androgen concentration promoted the proliferation of
PCa cells. However, at the higher concentrations, increasing androgen
concentration resulted in a dose-dependent proliferative inhibition. We conclude
that physiologically normal levels of androgen inhibit the proliferation of PCa
cells in vitro. However, at very low levels androgens are essential for initial
growth of PCa cells.
PMID- 24923460
TI - Expression of the SET protein in testes of mice at different developmental
stages.
AB - SET is a multifunctional protein involved in regulating many biological processes
of the cell cycle. It is also a regulator of steroidogenesis in the ovary.
However, the expression of SET protein in testis, and its function, still remains
ambiguous. In this study, we observed the expression of SET in the testes of mice
at different developmental stages, and have discussed its potential function in
regulating spermatogenesis and androgen production. Forty-eight male mice at
different developmental stages (1 week old as the infancy group; 4 weeks old as
the prepubertal group; 12 weeks old as the adult group; over 12 months old as the
ageing group) were used. Cellular location of SET protein in the testes was
observed by immuno-histochemistry. Expression levels of Set mRNA and SET protein
were analyzed by quantitative polymerase chain reaction and Western blotting. SET
protein was expressed in spermatogonial cells and spermatocytes; the highest
level was mainly in haploid and tetraploid cells of the prepubertal and adult
groups, and Leydig cells of the adult and ageing groups. There was a low
expression in Sertoli cells. Expression of Set mRNA in the prepubertal group was
significantly higher than that in the adult group (P < 0.05), while expression of
SET protein was at the highest level in the adult group (P < 0.05). SET protein
is mainly expressed in spermatogonial cells and spermatocytes, and poorly
expressed in Sertoli cells, suggesting that it is involved in spermatogenesis.
Expression of SET protein in Leydig cells suggests a possible role in
steroidogenesis.
PMID- 24923461
TI - Body mass index and acute coronary syndromes: paradox or confusion?
AB - BACKGROUND: A better prognosis in obese patients has been described in acute
coronary syndromes (ACS). However, this evidence is mostly based on retrospective
studies and has provided conflicting results. No study reported cause-specific
mortality according to body mass index (BMI) in ACS. We aimed to prospectively
assess the impact of BMI on mortality and its specific causes in ACS patients.
METHODS: We included non-selected ACS patients admitted in a tertiary care
coronary unit, collecting baseline characteristics, management and clinical
course. Patients were stratified into five clinically meaningful BMI subgroups of
<20, 20-24.9, 25-29.9, 30-35, >35 kg/m(2). The primary outcome was 1 year
mortality, its causes and its association with BMI. This association was assessed
by the Cox regression method. RESULTS: We included 2040 patients in our study
with a mean age of 62.1 years. Low weight patients (BMI <20) were older, with
less cardiovascular risk factors, higher prevalence of chronic obstructive
pulmonary disease and worse renal function. Mean follow up was 334 days. The
unadjusted analysis showed lower all-cause mortality in all subgroups as compared
to low weight patients. After adjusting for potential confounders, this
association remained significant for patients with a BMI 20-24.9. Cardiac
mortality was similar across BMI subgroups. In contrast, the adjusted analysis
showed a significantly lower non-cardiac mortality in patients with a BMI 20
24.9, 25-29.9 and 30-35 as compared to low weight patients. CONCLUSIONS: Baseline
characteristics in ACS patients significantly differ according to their BMI
status. The prognostic impact of BMI seems mostly related to extra-cardiac causes
in low weight patients.
PMID- 24923463
TI - Revision of the Osteoporosis Knowledge Test: Reliability and Validity.
AB - The purpose of this study was to revise the Osteoporosis Knowledge Test (OKT) and
evaluate its reliability and validity. The original OKT, developed in the early
1990 s, needed updating based on current research. A convenience sample of 105
adults completed the draft revised OKT. A subsample (n = 27) completed the
questionnaire 2 weeks later to determine stability. The sample was recruited from
diverse sites in western and northern Michigan over a year. The 32-item Revised
OKT (2012) demonstrated internal consistency (total scale Kuder-Richardson-20 =
.85, Nutrition subscale = .83, and Exercise subscale = .81). Test-retest analysis
resulted in a Pearson correlation coefficient of .87. Validity was evaluated by
content validity. Questions were examined for difficulty, effectiveness of
distracters, and discrimination. In addition, measures of point-biserial,
internal consistency and stability were determined. The Revised OKT (2012) is a
comprehensive instrument reflecting current research and assesses osteoporosis
knowledge of adults.
PMID- 24923464
TI - Neuroprotective effects of metallothionein against rotenone-induced myenteric
neurodegeneration in parkinsonian mice.
AB - Parkinson's disease (PD) is a neurodegenerative disease with motor symptoms as
well as non-motor symptoms that precede the onset of motor symptoms.
Mitochondrial complex I inhibitor, rotenone, has been widely used to reproduce PD
pathology in the central nervous system (CNS) and enteric nervous system (ENS).
We reported previously that metallothioneins (MTs) released from astrocytes can
protect dopaminergic neurons against oxidative stress. The present study examined
the changes in MT expression by chronic systemic rotenone administration in the
striatum and colonic myenteric plexus of C57BL mice. In addition, we investigated
the effects of MT depletion on rotenone-induced neurodegeneration in CNS and ENS
using MT-1 and MT-2 knockout (MT KO) mice, or using primary cultured neurons from
MT KO mice. In normal C57BL mice, subcutaneous administration of rotenone for 6
weeks caused neurodegeneration, increased MT expression with astrocytes
activation in the striatum and myenteric plexus. MT KO mice showed more severe
myenteric neuronal damage by rotenone administration after 4 weeks than wild-type
mice, accompanied by reduced astroglial activation. In primary cultured
mesencephalic neurons from MT KO mice, rotenone exposure induced neurotoxicity in
dopaminergic neurons, which was complemented by addition of recombinant protein.
The present results suggest that MT seems to provide protection against
neurodegeneration in ENS of rotenone-induced PD model mice.
PMID- 24923465
TI - High-frequency hearing thresholds: effects of age, occupational ultrasound and
noise exposure.
AB - PURPOSE: It has been suggested that high-frequency audiometry (HFA) could
represent a useful preventive measure in exposed workers. The aim was to
investigate the effects of age, ultrasound and noise on high-frequency hearing
thresholds. METHODS: We tested 24 industrial ultrasound-exposed subjects, 113
industrial noise-exposed subjects and 148 non-exposed subjects. Each subject was
tested with both conventional-frequency (0.125-8 kHz) and high-frequency (9-18
kHz) audiometry. RESULTS: The hearing threshold at high frequency deteriorated as
a function of age, especially in subjects more than 30 years old. The ultrasound
exposed subjects had significantly higher hearing thresholds than the non-exposed
ones at the high frequencies, being greatest from 10 to 14 kHz. This hearing loss
was already significantly evident in subjects with exposure <5 years and
increased with years of exposure and advancing age. The noise exposure group had
significantly higher hearing thresholds than the non-exposed group at the
conventional frequencies 4 and 6 kHz and at the high frequency of 14 kHz. After
stratification for age, there was a significant difference between the two groups
at 9-10 and 14-15 kHz only for those under 30 years of age. CONCLUSION:
Multivariate analysis indicated that age was the primary predictor, and noise and
ultrasound exposure the secondary predictors of hearing thresholds in the high
frequency range. The results suggest that HFA could be useful in the early
diagnosis of noise-induced hearing loss in younger groups of workers (under 30
years of age).
PMID- 24923466
TI - Ca2+ influx through P2X1 receptors amplifies P2Y1 receptor-evoked Ca2+ signaling
and ADP-evoked platelet aggregation.
AB - Many cells express both P2X cation channels and P2Y G-protein-coupled receptors
that are costimulated by nucleotides released during physiologic or
pathophysiologic responses. For example, during hemostasis and thrombosis, ATP
gated P2X1 channels and ADP-stimulated P2Y1 and P2Y12 G-protein coupled receptors
play important roles in platelet activation. It has previously been reported that
P2X1 receptors amplify P2Y1-evoked Ca(2+) responses in platelets, but the
underlying mechanism and influence on function is unknown. In human platelets, we
show that maximally activated P2X1 receptors failed to stimulate significant
aggregation but could amplify the aggregation response to a submaximal
concentration of ADP. Costimulation of P2X1 and P2Y1 receptors generated a
superadditive Ca(2+) increase in both human platelets and human embryonic kidney
293 (HEK293) cells via a mechanism dependent on Ca(2+) influx rather than Na(+)
influx or membrane depolarization. The potentiation, due to an enhanced P2Y1
response, was observed if ADP was added up to 60 seconds after P2X1 activation.
P2X1 receptors also enhanced Ca(2+) responses when costimulated with type 1
protease activated and M1 muscarinic acetylcholine receptors. The P2X1-dependent
amplification of Gq-coupled [Ca(2+)]i increase was mimicked by ionomycin and was
not affected by inhibition of protein kinase C, Rho-kinase, or extracellular
signal-regulated protein kinase 1/2, which suggests that it results from
potentiation of inositol 1,4,5-trisphosphate receptors and/or phospholipase C. We
conclude that Ca(2+) influx through P2X1 receptors amplifies Ca(2+) signaling
through P2Y1 and other Gq-coupled receptors. This represents a general form of co
incidence detection of ATP and coreleased agonists, such as ADP at sites of
vascular injury or synaptic transmitters acting at metabotropic Gq-coupled
receptors.
PMID- 24923467
TI - Problems of in vitro SPF measurements brought about by viscous fingering
generated during sunscreen applications.
AB - Up to date, no worldwide standard in vitro method has been established for the
determination of the sun protection factor (SPF), since there are many problems
in terms of its repeatability and reliability. Here, we have studied the problems
on the in vitro SPF measurements brought about by the phenomenon called viscous
fingering. A spatially periodic stripe pattern is usually formed spontaneously
when a viscous fluid is applied onto a solid substrate. For the in vitro SPF
measurements, the recommended amount of sunscreen is applied onto a substrate,
and the intensity of the transmitted UV light through the sunscreen layer is
evaluated. Our theoretical analysis indicated that the nonuniformity of the
thickness of the sunscreen layer varied the net UV absorbance. Pseudo-sunscreen
composites having no phase separation structures were prepared and applied on a
quartz plate for the measurements of the UV absorbance. Two types of applicators,
a block applicator and a 4-sided applicator were used. The flat surface was
always obtained when the 4-sided applicator was used, while the spatially
periodic stripe pattern was always generated spontaneously when the block
applicator was used. The net UV absorbance of the layer on which the stripe
pattern was formed was found to be lower than that of the flat layer having the
same average thickness. Theoretical simulations quantitatively reproduced the
variation of the net UV absorbance led by the change of the geometry of the
layer. The results of this study propose the definite necessity of strict
regulations on the coating method of sunscreens for the establishment of the in
vitro SPF test method.
PMID- 24923468
TI - AuPd/polyaniline as the anode in an ethylene glycol microfluidic fuel cell
operated at room temperature.
AB - AuPd/polyaniline was used for the first time, for ethylene glycol (EG)
electrooxidation in a novel microfluidic fuel cell (MFC) operated at room
temperature. The device exhibits high electrocatalytic performance and stability
for the conversion of cheap and fully available EG as fuel.
PMID- 24923469
TI - Standard operating procedures for antibiotic therapy and the occurrence of acute
kidney injury: a prospective, clinical, non-interventional, observational study.
AB - INTRODUCTION: Acute kidney injury (AKI) occurs in 7% of hospitalized and 66% of
Intensive Care Unit (ICU) patients. It increases mortality, hospital length of
stay, and costs. The aim of this study was to investigate, whether there is an
association between adherence to guidelines (standard operating procedures (SOP))
for potentially nephrotoxic antibiotics and the occurrence of AKI. METHODS: This
study was carried out as a prospective, clinical, non-interventional,
observational study. Data collection was performed over a total of 170 days in
three ICUs at Charite - Universitaetsmedizin Berlin. A total of 675 patients were
included; 163 of these had therapy with vancomycin, gentamicin, or tobramycin;
were >18 years; and treated in the ICU for >24 hours. Patients with an adherence
to SOP >70% were classified into the high adherence group (HAG) and patients with
an adherence of <70% into the low adherence group (LAG). AKI was defined
according to RIFLE criteria. Adherence to SOPs was evaluated by retrospective
expert audit. Development of AKI was compared between groups with exact Chi2-test
and multivariate logistic regression analysis (two-sided P <0.05). RESULTS: LAG
consisted of 75 patients (46%) versus 88 HAG patients (54%). AKI occurred
significantly more often in LAG with 36% versus 21% in HAG (P = 0.035). Basic
characteristics were comparable, except an increased rate of soft tissue
infections in LAG. Multivariate analysis revealed an odds ratio of 2.5-fold for
LAG to develop AKI compared with HAG (95% confidence interval 1.195 to 5.124, P =
0.039). CONCLUSION: Low adherence to SOPs for potentially nephrotoxic antibiotics
was associated with a higher occurrence of AKI. TRIAL REGISTRATION: Current
Controlled Trials ISRCTN54598675. Registered 17 August 2007.
PMID- 24923470
TI - Spatial distribution of mercury and arsenic levels in water, soil and cassava
plants in a community with long history of gold mining in Tanzania.
AB - This study examined the spatial distribution of total mercury (THg) and total
arsenic (TAs) in water, soil and cassava (Manihot esculenta) (leaves and roots)
samples taken from areas in Rwamagasa village in northwestern Tanzania where
daily living activities occur in close proximity to extensive artisanal and small
scale gold mining. Results indicated that 33.3 % of the water sources had THg
levels above the WHO guideline of 1.0 ug/L for safe drinking water, and 12.5 %
had TAs levels above 10 ug/L. Cassava leaves were found to have higher THg
(ranging from 8.3 to 167 ug/kg) and TAs (ranging from 60 to 1,120 ug/kg) levels
than cassava roots, which ranged between 1.2-8.3 ug/kg for THg and 25-310 ug/kg
for TAs. Concentrations of THg and TAs in soil samples ranged between 5.8-1,759
and 183-20,298 ug/kg, respectively. Both THg and TAs were found to be distributed
throughout Rwamagasa village.
PMID- 24923471
TI - Mimicking biological membranes with programmable glycan ligands self-assembled
from amphiphilic Janus glycodendrimers.
AB - An accelerated modular synthesis produced 18 amphiphilic Janus glycodendrimers
with three different topologies formed from either two or one carbohydrate head
groups or a mixed constellation with a noncarbohydrate hydrophilic arm. By simple
injection of their THF solutions into water or buffer, all of the Janus compounds
self-assembled into uniform, stable, and soft unilamellar vesicles, denoted
glycodendrimersomes. The mixed constellation topology glycodendrimersomes were
demonstrated to be most efficient in binding plant, bacterial, and human lectins.
This evidence with biomedically relevant receptors offers a promising perspective
for the application of such glycodendrimersomes in targeted drug delivery,
vaccines, and other areas of nanomedicine.
PMID- 24923472
TI - Multiparameter MRI analysis of the time course of induced muscle damage and
regeneration.
AB - PURPOSE: To test the ability of different magnetic resonance imaging (MRI)
modalities to discriminate the time course of damage and regeneration in a model
of acute, toxin-induced muscle damage. MATERIALS AND METHODS: We analyzed the
time course of tissue and cellular changes in mouse lower limb musculature
following localized injection of myotoxin by T2 , magnetization transfer (MT),
and diffusion-weighted MRI. We also used T1 -weighted imaging to measure leg
muscle volume. In addition, postmortem histological analysis of toxin-injected
muscles was compared to uninjected controls. RESULTS: The damages detected by the
MRI modalities are transient and recover within 3 weeks. Muscle water diffusivity
and edema measured by leg volume increased within the first hours after injection
of the toxin. The rate constant for volume increase was 0.65 +/- 0.11 hr(-1) ,
larger than the increase in T2 (0.045 +/- 0.013 hr(-1) ) and change in MT ratio
(0.028 +/- 0.021 hr(-1) ). During repair phase, the rate constants were much
smaller: 0.022 +/- 0.004 hr(-1) , 0.013 +/- 0.0019 hr(-1) and 0.0042 +/- 0.0016
hr(-1) for volume, T2 , and MT ratio, respectively. Histological analyses
confirmed the underlying cellular changes that matched the progression of MR
images. CONCLUSION: The kinetics of change in the MRI measurements during the
progression of damage and repair shows MRI modalities can be used to distinguish
these processes.
PMID- 24923473
TI - OpenIGTLink interface for state control and visualisation of a robot for image
guided therapy systems.
AB - PURPOSE: The integration of a robot into an image-guided therapy system is still
a time consuming process, due to the lack of a well-accepted standard for
interdevice communication. The aim of this project is to simplify this procedure
by developing an open interface based on three interface classes: state control,
visualisation, and sensor. A state machine on the robot control is added to the
concept because the robot has its own workflow during surgical procedures, which
differs from the workflow of the surgeon. METHODS: A KUKA Light Weight Robot is
integrated into the medical technology environment of the Institute of
Mechatronic Systems as a proof of concept. Therefore, 3D Slicer was used as
visualisation and state control software. For the network communication the
OpenIGTLink protocol was implemented. In order to achieve high rate control of
the robot the "KUKA Sunrise. Connectivity SmartServo" package was used. An
exemplary state machine providing states typically used by image-guided therapy
interventions, was implemented. Two interface classes, which allow for a direct
use of OpenIGTLink for robot control on the one hand and visualisation on the
other hand were developed. Additionally, a 3D Slicer module was written to
operate the state control. RESULTS: Utilising the described software concept the
state machine could be operated by the 3D Slicer module with 20 Hz cycle rate and
no data loss was detected during a test phase of approximately 270s (13,640
packages). Furthermore, the current robot pose could be sent with more than 60
Hz. No influence on the performance of the state machine by the communication
thread could be measured. CONCLUSION: Simplified integration was achieved by
using only one programming context for the implementation of the state machine,
the interfaces, and the robot control. Eventually, the exemplary state machine
can be easily expanded by adding new states.
PMID- 24923474
TI - Statistical atlas-based morphological variation analysis of the asian humerus:
towards consistent allometric implant positioning.
AB - PURPOSE: Positioning existing humeral implants into Asian patients poses
significant challenges due to the inconsistent statistical shapes between Western
population and Asian population. Current humeral orthopedic fixation devices and
implants have a generic shape and are not designed for Asian patients who exhibit
different sizes and shapes compared to their Western counterparts for which
present day designs have been based on. To address this problem, it is necessary
to develop Asian-specific implants that accommodate the morphology of Asian
humeri. Existing morphological studies of humeri in Asian populations are rare
and most previous analyses are either based on the manual measurement of dry
bones or the use of dual energy X-ray absorptiometry scans. The purpose of this
pilot morphological study is to explore the characteristics of Asian humeri using
statistical atlas-based analysis. METHODS: Forty-four CT scans of normal upper
limbs were obtained from the National University Hospital, Singapore and used to
construct statistical humerus atlases. The atlases were subsequently used to
study the morphology of the humeri in an Asian population. Humeral shapes of
different patient clusters were analyzed based on statistical shape models.
Comparison between different clusters was conducted with regard to centerline,
length, width and surface curvature. RESULTS: The statistical humerus atlases
reflected the mean shape and modes of variation of humeri in an Asian population.
Analyses based on these atlases indicated that curvature and shape of the
internal humeral canal were similar in males and females while humeral length and
width were greater in males. Most importantly, surface curvatures were explicitly
different between clusters. CONCLUSION: Morphologic analysis based on statistical
atlases is novel and useful to characterize the Asian humerus. The humerus
demonstrates gender-specific morphology. This unique approach provides
information that is useful to the clinician and biomedical engineer, not only in
the modification of current or design of future humeral implants, but also in the
precise dynamic positioning of Asian-specific humeral implants to Asian patients.
Our findings support the need for further development of humeral implants,
curvilinear robotics, and the questioning of whether gender-specific devices are
necessary.
PMID- 24923475
TI - Tumor induction in mice after local irradiation with single doses of either
carbon-ion beams or gamma rays.
AB - PURPOSE: To determine the dose-dependent relative biological effectiveness (RBE)
for tumor prevalence in mice receiving single localized doses to their right leg
of either carbon ions (15, 45 or 75 keV/MUm) or 137Cs gamma rays. METHODS AND
MATERIALS: A total of 1647 female C3H mice were irradiated to their hind legs
with a localized dose of either reference gamma rays or 15, 45 or 75 keV/MUm
carbon-ion beams. Irradiated mice were evaluated for tumors twice a month during
their three-year life span, and the dimensions of any tumors found were measured
with a caliper. The tumor induction frequency was calculated by Kaplan-Meier
analysis. RESULTS: The incidence of tumors from 50 Gy of 45 keV/MUm carbon ions
was marginally higher than those from 50 Gy of gamma rays. However, 60 Gy of 15
keV/MUm carbon ions induced significantly fewer tumors than did gamma rays. RBE
values of 0.87 + 0.12, 1.29 + 0.08 or 2.06 + 0.39 for lifetime tumorigenesis were
calculated for 15, 45 or 75 keV/MUm carbon-ion beams, respectively. Fibrosarcoma
predominated, with no Linear Energy Transfer (LET)-dependent differences in the
tumor histology. Experiments measuring the late effect of leg skin shrinkage
suggested that the carcinogenic damage of 15 keV/MUm carbon ions would be less
than that of gamma rays. CONCLUSIONS: We conclude that patients receiving
radiation doses to their normal tissues would face less risk of secondary tumor
induction by carbon ions of intermediate LET values compared to equivalent doses
of photons.
PMID- 24923477
TI - Gadoxetate disodium in patients with primary sclerosing cholangitis: an analysis
of hepatobiliary contrast excretion.
AB - PURPOSE: To assess hepatobiliary excretion of gadoxetate disodium in patients
with primary sclerosing cholangitis (PSC) over time and to determine a possible
correlation with severity of the disease. MATERIALS AND METHODS: A total of 111
patients (36 females, 75 males, mean age 41.5 yr) with confirmed diagnosis of PSC
who underwent gadoxetate disodium enhanced hepatic MRI were included in this
retrospective institutional review board-approved study. Hepatocyte phase images
(10-493 min post injection [p.i.]) were evaluated by one radiologist for the
presence of contrast agent in the intrahepatic bile ducts (IBD), common bile duct
(CBD), gallbladder (GB), and duodenum. In 54 patients, in whom hepatocyte phase
scans were acquired within 10-20 min p.i., hepatobiliary excretion was compared
with data collected in a previous study from patients without liver disease
(controls; Mann-Whitney U-test). Excretion was further correlated with liver
function tests (Kruskal-Wallis test). RESULTS: Compared with controls, excretion
was significantly delayed in patients with PSC: 20 min p.i. gadoxetate disodium
could be detected in the IBD in 55.6% (controls:100%), CBD 53.7% (controls:100%),
GB 39.6% (controls:87%), duodenum 13% (controls:66%), respectively. Contrast
appearance in different bile duct sections increased over time and correlated
significantly with serum bilirubin and AP levels (P < 0.05). CONCLUSION:
Hepatobiliary excretion of gadoxetate disodium in PSC patients is significantly
delayed. Excretion correlates with bilirubin levels, and thus indirectly with
severity of disease.
PMID- 24923476
TI - DCE-MRI of the liver: effect of linear and nonlinear conversions on hepatic
perfusion quantification and reproducibility.
AB - PURPOSE: To evaluate the effect of different methods to convert magnetic
resonance (MR) signal intensity (SI) to gadolinium concentration ([Gd]) on
estimation and reproducibility of model-free and modeled hepatic perfusion
parameters measured with dynamic contrast-enhanced (DCE)-MRI. MATERIALS AND
METHODS: In this Institutional Review Board (IRB)-approved prospective study, 23
DCE-MRI examinations of the liver were performed on 17 patients. SI was converted
to [Gd] using linearity vs. nonlinearity assumptions (using spoiled gradient
recalled echo [SPGR] signal equations). The [Gd] vs. time curves were analyzed
using model-free parameters and a dual-input single compartment model. Perfusion
parameters obtained with the two conversion methods were compared using paired
Wilcoxon test. Test-retest and interobserver reproducibility of perfusion
parameters were assessed in six patients. RESULTS: There were significant
differences between the two conversion methods for the following parameters:
AUC60 (area under the curve at 60 s, P < 0.001), peak gadolinium concentration
(Cpeak, P < 0.001), upslope (P < 0.001), Fp (portal flow, P = 0.04), total
hepatic flow (Ft, P = 0.007), and MTT (mean transit time, P < 0.001). Our
preliminary results showed acceptable to good reproducibility for all model-free
parameters for both methods (mean coefficient of variation [CV] range, 11.87
23.7%), except for upslope (CV = 37%). Among modeled parameters, DV (distribution
volume) had CV <22% with both methods, PV and MTT showed CV <21% and <29% using
SPGR equations, respectively. Other modeled parameters had CV >30% with both
methods. CONCLUSION: Linearity assumption is acceptable for quantification of
model-free hepatic perfusion parameters while the use of SPGR equations and T1
mapping may be recommended for the quantification of modeled hepatic perfusion
parameters.
PMID- 24923478
TI - Cardiovascular magnetic resonance validation of fractional changes in annulo
apical angles and tricuspid annular plane systolic excursion for rapid assessment
of right ventricular systolic function.
AB - PURPOSE: To evaluate the use of right ventricular (RV) annulo-apical angle (AA)
changes acquired by magnetic resonance imaging (MRI), alongside tricuspid annular
plane systolic excursion (TAPSE), for its association with RV systolic function.
MATERIALS AND METHODS: Thirty patients with varying RV dysfunction and 10 normal
controls were enrolled and scanned after obtaining written consent. Systolic
change in AAs, alpha (alpha), beta (beta), and theta (theta), subtended by a
triangle connecting the septal and lateral extent of the tricuspid valve annulus
and RV apex, and TAPSE were measured. Spearman rank correlations of parameters
with RV ejection fraction (RVEF) were performed. Receiver operating
characteristic (ROC) analysis was used to determine accuracy of these surrogate
markers for detecting abnormal RVEF. RESULTS: Correlations with RVEF included:
TAPSE (r = 0.74 P < 0.001), fractional systolic changes in alpha angle (0.64, P <
0.001), beta angle (-0.39, P < 0.05), and theta angle (-0.77, P < 0.001). The
best markers for RVEF <50% were fractional theta angle change >= -25.5% (eg,
24%) (sensitivity of 97% and specificity of 91%), and TAPSE <=1.87 cm
(sensitivity of 100% and specificity of 82%). Intra- and inter-observer agreement
was excellent, with intraclass correlation coefficients for fractional theta
angle change (intra = 0.96, inter = 0.94) and TAPSE (intra = 0.98, inter = 0.87).
CONCLUSION: Fractional theta angle change is a useful semiquantitative parameter
associated with the presence and severity of RV systolic dysfunction, with high
observer agreement.
PMID- 24923479
TI - MRI appearances of ovarian serous borderline tumor: pathological correlation.
AB - PURPOSE: To investigate the spectrum of MRI appearances of ovarian serous
borderline tumor (SBT). MATERIALS AND METHODS: Following ethics approval, 31
patients with 51 histologically proven ovarian SBTs underwent preoperative MRI.
Images were evaluated, by two observers for the location, shape, size, internal
architecture, signal intensity, and extent or stage of the tumors. The MRI
findings were correlated with pathological findings. RESULTS: Twenty of 31
patients (65%) demonstrated bilateral ovarian SBTs on MRI. Three MRI
morphological patterns of ovarian SBT were identified: (i) Mainly cystic mass
with multiple intracystic papillary projections from the wall and septations was
observed in 24 (47%) tumors. (ii) Solid mass with hierarchical branching
papillary and fibrous stalk architecture was observed in 8 (16%) tumors. The
branching papillary projections were hyperintensity on T2WI, intermediate intense
on DWI, and enhanced intensely after the administration of Gd-DTPA. The internal
branching fibrous stalks were hypointensity on T2WI and enhanced slightly. (iii)
Mixed cystic-solid mass was observed in 19 (37%) tumors. The cystic and solid
components had the architecture and signal intensity similar to those of cystic
and solid SBTs. Papillary projections were the common architecture of all three
types of tumors. CONCLUSION: On MRI, the ovarian SBT has some morphological
distinguishing features. The solid papillary architecture with internal branching
fibrous stalk is a somewhat more characteristic MRI appearance.
PMID- 24923480
TI - Accuracy of diffusion-weighted (DW) MRI with background signal suppression (MR
DWIBS) in diagnosis of mediastinal lymph node metastasis of nonsmall-cell lung
cancer (NSCLC).
AB - PURPOSE: To prospectively evaluate the accuracy of diffusion-weighted (DW)
magnetic resonance (MR) imaging with background signal suppression (MR-DWIBS) for
detecting mediastinal lymph node metastasis of nonsmall-cell lung cancer (NSCLC).
MATERIALS AND METHODS: MR-DWIBS was performed in 42 consecutive patients (27 men,
15 women; age range, 42-78 years; median age, 55 years) with histologically
proven NSCLC. The visualization rate of metastatic lymph node (MLN) and benign
lymph node (BLN) of enlarged lymph nodes (ELN) and normal-sized lymph nodes (NLN)
was compared by using a chi-square test or Fisher's exact test on a per-nodal
basis. Apparent diffusion coefficient (ADC) of MLN and BLN was measured and
compared by using two-tailed unpaired Student's t-test. Receiver operating
characteristic (ROC) analysis was used to assess the overall diagnostic accuracy
of ADC for ELN and NLN. The optimal cutoff value was determined and the
sensitivity, specificity, positive predictive value (PPV), negative predictive
value (NPV), and accuracy was calculated. RESULTS: Thirty-five out of 119 lymph
resected nodes were confirmed to be metastatic by histologic examination. The
visualization rate of MLN was significantly higher than that of BLN for ELN (P <
0.001) and for NLN (chi(2) = 7.506, P = 0.006). For both ELN and NLN, ADC of MLN
was significantly lower than that of BLN (t = -5.380, P < 0.001 and t = -6.435, P
< 0.001). ADC was significant for detection of MLN for both ELN (Az = 0.975, P <
0.001) and NLN (Az = 0.919, P < 0.001). For NLN, the optimal cutoff value of ADC
was 2.04 mm(2)/s, where the sensitivity, specificity, PPV, NPV, and accuracy were
75.0%, 90.9%, 66.7%, 93.8%, and 87.8%, respectively. CONCLUSION: MR-DWIBS may be
clinically useful to visually detect mediastinal lymph nodes and ADC measurement
can aid in malignant node discrimination.
PMID- 24923482
TI - Isolation and purification of diastereoisomeric flavonolignans from silymarin by
binary-column recycling preparative high-performance liquid chromatography.
AB - Silymarin extracted from Silybum marianum (L.) Gaertn consists of a large number
of flavonolignans, of which diastereoisomeric flavonolignans including silybin A
and silybin B, and isosilybin A and isosilybin B are the main bioactive
components, whose preparation from the crude extracts is still a difficult task.
In this work, binary-column recycling preparative high-performance liquid
chromatography systems without sample loop trapping, where two columns were
switched alternately via one or two six-port switching valves, were established
and successfully applied to the isolation and purification of the four
diastereoisomeric flavonolignans from silymarin. The proposed system showed
significant advantages over conventional preparative high-performance liquid
chromatography with a single column in increasing efficiency and reducing the
cost. To obtain the same amounts of products, the proposed system spends only one
tenth of the time that the conventional system spends, and needs only one
eleventh of the solvent that the conventional system consumes. Using the proposed
system, the four diastereoisomers were successfully isolated from silymarin with
purities over 98%.
PMID- 24923483
TI - High-efficiency orange and tandem white organic light-emitting diodes using
phosphorescent dyes with horizontally oriented emitting dipoles.
AB - Tandem white organic light-emitting diodes (WOLEDs) using horizontally oriented
phosphorescent dyes in an exciplex-forming co-host are presented, along with an
orange OLED. A high external quantum efficiency of 32% is achieved for the orange
OLED at 1000 cd m(-2) and the tandem WOLEDs exhibit a high maximum EQE of 54.3%
(PE of 63 lm W(-1)).
PMID- 24923481
TI - Measurement of apparent diffusion coefficient with simultaneous MR/positron
emission tomography in patients with peritoneal carcinomatosis: comparison with
18F-FDG-PET.
AB - PURPOSE: To characterize peritoneal carcinomatosis (PC) of different
histologically proven primary tumors based on diffusion-weighted imaging (DWI)
and (18) F-FDG positron emission tomography (PET). MATERIALS AND METHODS: Forty
one patients underwent simultaneous MR/PET after clinically indicated (18) F-FDG
PET/CT. For all patients, histology of the primary tumor was obtained. MR
protocol comprised anatomical imaging and axial DWI. Apparent diffusion
coefficient (ADC) maps and FDG-PET were co-registered for evaluation of ADC and
standard uptake value (SUV) of peritoneal lesions. Both lesion- and patient-based
analysis was performed. Up to four peritoneal lesions were evaluated per patient.
Mean and maximum standard uptake value (SUVmean , SUVmax ), mean and minimum ADC
(ADCmean , ADCmin ) of each lesion were assessed. Spearman rank correlation (rs )
of ADC and SUV were calculated. SUV and ADC of ovarian and colorectal cancer
lesions were compared using Wilcoxon test. RESULTS: Measurable lesions (n = 52)
were found in 20 of 41 PC patients. Moderate, but significant correlation existed
between ADC and SUV in the lesion-based as well as the patient-based analysis
(lesion-based: SUVmean versus ADCmean rs = -0.58; SUVmax versus ADCmin rs =
0.56, all P < 0.0001; patient-based: SUVmean versus ADCmean rs = -0.64, P =
0.002; SUVmax versus ADCmin rs = -0.60, P = 0.005). ADC and SUV differed
significantly between ovarian and colorectal cancer lesions (ADCmin : P < 0.0001;
ADCmean : P < 0.0001; SUVmax : P = 0.002; SUVmean : P = 0.005). Overall, mucinous
tumor entities showed a tendency to higher ADC and lower SUV. CONCLUSION: PC
lesions showed significant differences in glucose uptake and diffusion
characteristics depending on primary tumor histology. These differences should be
considered when interpreting FDG-PET and DWI in PC patients.
PMID- 24923484
TI - Glans-reconstruction with preputial flap is superior to primary closure for post
surgical restoration of male sexual function in glans-preserving surgery.
AB - We conducted this study to investigate whether glans-reconstruction with
preputial flap would be more helpful for post-operative restoration of patients'
sexual potency than primary closure. From 2007 to 2013 at four centres, 46 cases
reconstructed with preputial flap and 59 with primary closure were selected for
the investigation from 142 consecutive cases of superficial glans cancer, with
the largest diameter of lesion <=2.5 cm, who undergoing glans-preserving surgery
(GPS). Subjective evaluation for patients' sexual performance was investigated
using the International Index of Erectile Function-15. Objective evaluation was
carried out by the Audio Visual Sexual Stimulation test with RigiScan-Plus. The
degree of satisfaction for penile appearance and patients' confidence and
partners' acceptability for intercourse were evaluated by 5-point scales.
Patients with preputial flap reconstruction showed significant better performance
in three domains (orgasmic function, intercourse satisfaction and overall
satisfaction, all p < 0.05) and significantly higher ratios of appearance
satisfaction (78.3% vs. 57.6%, p = 0.026) and intercourse confidence (69.6% vs.
49.2%, p = 0.035) compared with those undergoing primary closure at post
operative month 6 ends. Their sexual partners in the preputial flap group also
exhibited significantly higher ratios of appearance satisfaction than in the
primary closure group (67.4% vs. 42.4%, p = 0.011). Reconstruction with preputial
flap contributes to a more acceptable cosmetic appearance of the penis and
minimizes post-operative negative psychological impediments. Patients can benefit
more from reconstruction with preputial flap than primary closure. Glans
reconstruction with preputial flap should be considered the primary
reconstruction technique in GPS.
PMID- 24923485
TI - Abstracts of the 10th International Podocyte Conference, June 4-6, 2014,
Freiburg, Germany.
PMID- 24923486
TI - STI-GMaS: an open-source environment for simulation of sexually-transmitted
infections.
AB - BACKGROUND: Sexually-transmitted pathogens often have severe reproductive health
implications if treatment is delayed or absent, especially in females. The
complex processes of disease progression, namely replication and ascension of the
infection through the genital tract, span both extracellular and intracellular
physiological scales, and in females can vary over the distinct phases of the
menstrual cycle. The complexity of these processes, coupled with the common
impossibility of obtaining comprehensive and sequential clinical data from
individual human patients, makes mathematical and computational modelling
valuable tools in developing our understanding of the infection, with a view to
identifying new interventions. While many within-host models of sexually
transmitted infections (STIs) are available in existing literature, these models
are difficult to deploy in clinical/experimental settings since simulations often
require complex computational approaches. RESULTS: We present STI-GMaS (Sexually
Transmitted Infections - Graphical Modelling and Simulation), an environment for
simulation of STI models, with a view to stimulating the uptake of these models
within the laboratory or clinic. The software currently focuses upon the
representative case-study of Chlamydia trachomatis, the most common sexually
transmitted bacterial pathogen of humans. Here, we demonstrate the use of a
hybrid PDE-cellular automata model for simulation of a hypothetical Chlamydia
vaccination, demonstrating the effect of a vaccine-induced antibody in preventing
the infection from ascending to above the cervix. This example illustrates the
ease with which existing models can be adapted to describe new studies, and its
careful parameterisation within STI-GMaS facilitates future tuning to
experimental data as they arise. CONCLUSIONS: STI-GMaS represents the first
software designed explicitly for in-silico simulation of STI models by non
theoreticians, thus presenting a novel route to bridging the gap between
computational and clinical/experimental disciplines. With the propensity for
model reuse and extension, there is much scope within STI-GMaS to allow clinical
and experimental studies to inform model inputs and drive future model
development. Many of the modelling paradigms and software design principles
deployed to date transfer readily to other STIs, both bacterial and viral;
forthcoming releases of STI-GMaS will extend the software to incorporate a more
diverse range of infections.
PMID- 24923487
TI - A comprehensive hepatitis C virus risk factors meta-analysis (1989-2013): do they
differ in Egypt?
AB - BACKGROUND & AIMS: The prevention and control of HCV infection is complex and
challenging in terms of describing risk factors and modes of transmission. This
meta-analysis was conducted to summarize the best available data on HCV risk
factors worldwide and in Egypt. METHODS: Through exhaustive literature searches
(1989-2013) of HCV risk factors, 357 original eligible articles were included in
this study. RESULTS: The highest detected risk was intravenous drug users (IDUs)
(OR = 9.6) followed by HIV infection (OR = 4.9), having an IDU partner (OR =
4.1), HBV infection (OR = 3.5), Caesarean section (CS) (OR = 3.35), blood
transfusion (OR = 3.2) and having an HCV+ partner (OR = 3). Organ
transplantation, hospital admission, haemodialysis and having a sexually
transmitted infection carry 2.96, 2.4, 2.18 and 2 risks of having HCV
respectively. Other significant risk factors included poor education, older age,
sharing sharp or blunt objects, MSM, tattooing, hijama, body piercing, minor
operations and medical procedures. Some risks showed a decrease over the previous
decade, including blood transfusion, organ transplantation, IDUs, IDU partner and
CS. Others showed rising risks, including having an HCV+ partner, MSM and
suffering from STI. In Egypt, male gender, rural residence, acupuncture and
receiving parenteral antischistosomal treatment were significant risks, while
neither HIV nor HBV were found to carry a risk of HCV infection. CONCLUSION:
Blood transfusion, organ transplantation, CS, IDUs, haemodialysis, minor
operations and medical procedures are established risk factors. Attention and
urgent intervention should be given to the sexual route of transmission, as well
as that through minor operations and medical procedures.
PMID- 24923489
TI - Determination of selenium via the fluorescence quenching effect of selenium on
hemoglobin-catalyzed peroxidative reaction.
AB - A new method for the determination of selenium based on its fluorescence
quenching on the hemoglobin-catalyzed reaction of H2 O2 and l-tyrosine has been
established. The effect of pH, foreign ions and the optimization of variables on
the determination of selenium was examined. The calibration curve was found to be
linear between the fluorescence quenching (F0 /F) and the concentration of
selenium within the range of 0.16-4.00 ug/mL. The detection limit was 1.96 ng/mL
and the relative standard deviation was 3.14%. This method can be used for the
determination of selenium in Se-enriched garlic bulbs with satisfactory results.
PMID- 24923488
TI - Discovery and validation of urinary metabotypes for the diagnosis of
hepatocellular carcinoma in West Africans.
AB - There is no clinically applicable biomarker for surveillance of hepatocellular
carcinoma (HCC), because the sensitivity of serum alpha-fetoprotein (AFP) is too
low for this purpose. Here, we determined the diagnostic performance of a panel
of urinary metabolites of HCC patients from West Africa. Urine samples were
collected from Nigerian and Gambian patients recruited on the case-control
platform of the Prevention of Liver Fibrosis and Cancer in Africa (PROLIFICA)
program. Urinary proton nuclear magnetic resonance ((1) H-NMR) spectroscopy was
used to metabolically phenotype 290 subjects: 63 with HCC; 32 with cirrhosis
(Cir); 107 with noncirrhotic liver disease (DC); and 88 normal control (NC)
healthy volunteers. Urine samples from a further cohort of 463 subjects (141 HCC,
56 Cir, 178 DC, and 88 NC) were analyzed, the results of which validated the
initial cohort. The urinary metabotype of patients with HCC was distinct from
those with Cir, DC, and NC with areas under the receiver operating characteristic
(AUROC) curves of 0.86 (0.78-0.94), 0.93 (0.89-0.97), and 0.89 (0.80-0.98) in the
training set and 0.81 (0.73-0.89), 0.96 (0.94-0.99), and 0.90 (0.85-0.96),
respectively, in the validation cohort. A urinary metabolite panel, comprising
inosine, indole-3-acetate, galactose, and an N-acetylated amino acid (NAA),
showed a high sensitivity (86.9% [75.8-94.2]) and specificity (90.3% [74.2-98.0])
in the discrimination of HCC from cirrhosis, a finding that was corroborated in a
validation cohort (AUROC: urinary panel = 0.72; AFP = 0.58). Metabolites that
were significantly increased in urine of HCC patients, and which correlated with
clinical stage of HCC, were NAA, dimethylglycine, 1-methylnicotinamide,
methionine, acetylcarnitine, 2-oxoglutarate, choline, and creatine. CONCLUSION:
The urinary metabotyping of this West African cohort identified and validated a
metabolite panel that diagnostically outperforms serum AFP.
PMID- 24923490
TI - Ashkenazi Jewish population screening for Tay-Sachs disease: the international
and Australian experience.
AB - Internationally, Tay-Sachs disease (TSD) preconception screening of Ashkenazi
Jewish (AJ) individuals and couples has led to effective primary prevention of
TSD. In Australia, adolescent preconception genetic screening programs operate
mainly in Jewish community high schools. These existing programs offer an
effective means of primary prevention of TSD, are cost effective and safe.
However, in the broader Australian community TSD screening is not systematically
performed and cases still occur in unscreened AJ individuals. In order to improve
the effectiveness of Australian screening, there is a need for definitive
guidelines for healthcare professionals to facilitate extension of the proven
benefits of preconception TSD screening to all AJ individuals at risk. We
performed a systematic review of the relevant literature relating to AJ pre
conception and antenatal screening for TSD. The evidence was assessed using an
established National Health and Medical Research Council evidence grading system.
Evaluations of efficacy of TSD screening programs design and execution, cost
benefit and cost-utility health economic evaluation, and population outcomes were
undertaken. The results have been used to propose a model for universal AJ TSD
preconception and antenatal screening for the primary care setting.
PMID- 24923491
TI - Chest Radiological Findings of Patients With Severe H1N1 Pneumonia Requiring
Intensive Care.
AB - INTRODUCTION: A new strain of human influenza A (H1N1) virus originated from
Mexico in 2009 and spread to more than 190 countries and territories. The World
Health Organization (WHO) declared it a level 6 (highest level) pandemic. In
August 2010, WHO announced that the H1N1 2009 influenza virus had moved into the
postpandemic period. The WHO also declared that this flu strain is expected to
continue to circulate as a seasonal virus "for some years to come." The objective
of this study is to describe the chest radiographic and computed tomography (CT)
findings of patients with severe H1N1 pneumonia admitted to the intensive care
unit (ICU) during the 2009 pandemic. HYPOTHESIS: Patients with severe H1N1
pneumonia requiring ICU admission have extensive radiographic and CT
abnormalities. METHODS: Eighteen patients, aged 23 to 62 (mean 41), admitted to
the ICU at UCLA-Olive View Medical Center with a primary diagnosis of pandemic
H1N1 infection, confirmed either via rapid influenza detection test or by real
time reverse transcriptase polymerase chain reaction assay, formed the study
population. All patients had chest x-ray (CXR) within 24 hours of admission and 5
patients had CT examinations. In this retrospective study, images were evaluated
for the pattern (ground-glass opacities, consolidation, reticular opacities, and
nodular opacities), distribution (unilateral/bilateral, upper/middle/lower lung
zone, and central/peripheral/peribronchovascular), and extent
(focal/multifocal/diffuse; number of lung zones) of abnormalities. RESULTS: All
(100%) patients had abnormal CXR and CT studies. The predominant radiographic
findings were ground-glass opacities (16 of 18; 89%), consolidation (16 of 18;
89%), and reticular opacities (6 of 18, 33%). The radiographic abnormalities were
bilateral in 17 (94%) patients; involved lower lung distribution in 18 (100%)
patients, and mid and lower lung distribution in 16 (89%) patients. Radiographic
abnormalities were peribronchovascular in 11 (61%) patients and multifocal in 10
(56%). Sixteen (89%) patients had extensive abnormalities involving 3 or more
lung zones. The patients requiring mechanical ventilation had a higher incidence
of bilateral, diffuse consolidation in a peribronchovascular distribution on
chest radiographs. The predominant CT abnormalities were consolidation (5 of 5;
100%), ground-glass opacities (5 of 5; 100%), and nodular opacities (3 of 5,
60%). The CT findings were peribronchovascular and multifocal in 4 (80%) patients
and extensive and bilateral in all (100%) patients. CONCLUSIONS: Patients with
H1N1 pneumonia admitted to the ICU had bilateral, extensive CXR, and CT
abnormalities. Consolidations and ground-glass opacities were the most common
imaging findings, predominantly affecting mid and lower lung zones. Imaging
abnormalities were peribronchovascular and multifocal in a majority of patients.
PMID- 24923493
TI - Cutaneous leishmaniasis mimicking a pyogenic granuloma.
PMID- 24923492
TI - Cardiovascular Effects of Continuous Dexmedetomidine Infusion Without a Loading
Dose in the Pediatric Intensive Care Unit.
AB - BACKGROUND: Use of dexmedetomidine in pediatric critical care is common, despite
lack of prospective studies on its hemodynamic effects. OBJECTIVE: To describe
cardiovascular effects in critically ill children treated with a constant
continuous infusion of dexmedetomidine without a loading dose at highest Food and
Drug Administration-approved adult dose. METHODS: Prospective, pilot study of 17
patients with dexmedetomidine infused at a rate of 0.7 MUg/kg/h for 6 to 24
hours. Heart rate (HR) and blood pressure (BP) values over time were analyzed by
a random effects mixed model. RESULTS: Patients with median age of 1.6 years (1
month to 17 years) and median weight of 11.8 kg (2.8-84 kg) received an infusion
for a mean of 16 +/- 7.2 hours. There were no cardiac conduction abnormalities.
One patient required discontinuation of infusion for predetermined low HR
termination criteria at hour 13 of infusion; there was no clinical compromise and
it coincided with planned extubation. Decreased HR of 20% from baseline was found
in 35% of patients. The mean HR reduction was largest at hour 13 of infusion with
a decrease of 13 +/- 17 bpm from baseline, but HR changes over time were not
statistically significant. Blood pressure effects included a decrease in 12% and
an increase in 29%. There was a small but statistically significant increase in
systolic BP of 0.4 mm Hg/h of infusion, P < .001. CONCLUSION: A continuous
infusion of 0.7 MUg/kg/h of dexmedetomidine without a loading dose for up to 24
hours in critically ill children had tolerable effects on HR and BP.
PMID- 24923494
TI - Etude comparative de la densite de groupes de poissons cavernicoles et epiges
(characidae, cyprinidae, clariidae).
AB - The group density of four species of cave fishes (Caecobarbus geertsi, Barbopsis
devecchii, Astyanax gen. and Uegitglanis zammaranoi) and of two taxonomically
related epigean species (Barbus conchonius and Hyphessobricon scholzei) was
measured tridimensionally in an experimental aquarium, using a video recorder and
a mirror inclined at 45 degrees placed on top of the aquarium. The experiments
were conducted in dim red light. The illumination was continuous in the case of
cave fishes. For the epigean forms a 12/12 LD cycle was superimposed using dim
achromatic sources. The relative positions of individuals within their own
specific group were registered hourly during a week (168 h). Results show that in
cave forms, the mean density of groups falls within the theoretical limits of
random distribution values in all cases, whereas epigean species display a non
random aggregation tendency.
PMID- 24923495
TI - Question of "head preference" in response to worm-like dummies during prey
capture of toads, Bufo bufo.
AB - If a black worm-like dummy is moving against a white background, toads fixate and
snap at the leading end of the stimulus. This "head preference" phenomenon is -
within limits - independent of (i) background structure, and (ii) stripe length.
"Head preference" can be disturbed by reducing the amount of the stimulus
background contrast as well as by point structures incorporated in the worm-like
shape of the stimulus. If the stimulus-background contrast of the worm dummy is
reversed, toads exhibit a clear preference in fixating and snapping for the
trailing end of the stimulus. This "tail preference" is independent of changes in
(i) and (ii). The neural basis of "head preference" or "tail preference"
respectively, is discussed.
PMID- 24923496
TI - Effects of nostril plugging and of habenulectomy on sexual behaviour in the male
crested newt.
AB - In an attempt to gain some insight into the possible involvement of the habenulae
in the control of sexual behaviour in the male crested newt, a comparison was
made between the effects of olfactory deprivation by bilateral nostril plugging
and of habenulectomy on courtship performance and locomotor activity. Both
treatments led to a decrease in spontaneous locomotion and to a drastic abolition
of the complex courtship ritual characterized by the sequence of male postures
displayed prior to spermatophore deposition. Following nostril plug removal, the
animals resumed their normal activities. Unilateral plugging had no effect. These
results seem to lend further support to the importance of specific olfactory
stimuli in sexual behaviour. The main finding obtained here was the strict
comparability between the behavioural changes resulting from habenulectomy and
the olfactory-related changes following nostril plugging, linking from a
functional point of view the habenulae with the olfactory system. According to
recent reports available in the literature, the morphological organization of the
habenular nuclei in urodeles still needs further clarification. On the basis of
the present results, the possible importance of the habenulae in olfactory
integration in the crested newt might be postulated.
PMID- 24923497
TI - Development of social behaviour in chickens: A factor analysis.
AB - Factor analysis was used to describe the week by week changes in the frequency of
a wide range of behaviours of chickens. Oblique rotation resulting in correlated
factors was compared with the more conventional orthogonal rotation, and was
found to provide a clearer interpretation of the factors. Mixed sex groups of
chickens were observed from hatching until sexual maturity, and the changes in
the occurrence of agonistic behaviours could be described in terms of three
factors. Factor 1 involved early sparring and running, but there was some doubt
as to whether this should be labelled aggressive. Factor 2 involved non
reciprocated leaping, horizontal neck threats, and headpecks between males.
Factor 3 involved threats and headpecks between males and females. Sexual
development could be described by two factors; the first involving sexual
development of the male, and the second the beginning of sexual interactions
between males and females. Waltzes and rear approaches were associated with the
sexual development of males, while chases were associated with sexual
interactions. There was an increase in the frequency of prolonged male-male
agonistic encounters when these sexual interactions began to occur.
PMID- 24923498
TI - Frequency and intensity of chick distress calls: Effects on maternal foodcalling
in chickens.
AB - The food call of broody domestic hens was used to measure maternal response to
four frequency components found in chick distress calls (2,3,4 and 5 kHz) and to
variations in distress call intensity (0-86 dB). Foodcalling increased
significantly with frequency of the pure-tone test pulse; response to a taped
distress call occurred between 40 dB and 86 dB intensity with a maximum at 60-65
dB. The results suggest that the mother uses the higher frequency components in
recognizing the distress call, but responds maximally within a specific intensity
range. The selective advantage of such behaviour is discussed.
PMID- 24923499
TI - Effects of inter-trial interval length on food-hoarding partial reinforcement of
running behaviour in the golden hamster.
AB - Food-hoarding provides an adequate motivation in sated hamsters for the
acquisition of a two-way running response. This learning was studied using a
discrete-trial procedure, in continuous (CR) and partial reinforcement (PR)
conditions, with two different inter-trial interval (ITI) lengths. The dependent
variables were: the time spent by Ss in the goal section of the runway, and the
number of their runs during extinction. The PR training had two effects on goal
times: a slow decrease in acquisition on non-reinforced trials, and then a
stabilization at this level during the extinction phase, as contrasted with the
sudden increase found in CR-trained Ss when they were switched from acquisition
to extinction conditions. However, the PR effects on number of runs depended upon
ITI length: resistance to extinction of PR-trained Ss was superior to that of CR
trained Ss with spaced, but not with massed, trials. In the latter case, CR
trained Ss persisted as much as did PR-trained Ss. An hypothesis is offered,
along the lines of the Frustration theory.
PMID- 24923500
TI - Spatial learning in golden hamsters: Relationship between food-searching
strategies and difficulty of the task.
AB - In an elevated maze consisting of three reconvergent radial arms, golden hamsters
were tested with the same experimental rule: to choose each path without
repeating any choice. However, variations of procedure concerning (a) the
location of the reward in the maze, and (b) reinforcement contingencies, were
introduced in order to define several problems involving variable levels of
difficulty. The relationship between response strategies and difficulty of the
task was then studied. The common learning criterion was the achievement of three
consecutive correct daily sessions, each session corresponding to a particular
sequence (pattern) of choices of paths. Response strategies were studied by
analyzing the patterns obtained over the three final sessions in which an animal
reached the learning criterion. Such a set of patterns (triplet) could be
heterogeneous (patterns all different), mixed (two identical patterns, one
different) or stereotyped (identical patterns). No relationship was found between
the mean level of difficulty presented by each learning problem and the
occurrence of a particular type of triplet. However, in each situation, mixed
triplets were the most frequently recorded and corresponded to the medium
individual speeds of learning whereas heterogeneous triplets corresponded to
rapid successes and stereotyped triplets to delayed successes. These findings
indicate that, whatever the problem designed to be tested in a three-arm maze,
the various forms of solutions reflect different individual adaptative
mechanisms.
PMID- 24923501
TI - Visual acuity and spatial contrast sensitivity in tree squirrels.
AB - The visual sensitivity of tree squirrels from three different species (two
western gray squirrels, Sciurus griseus; three fox squirrels, Sciurus niger; and
an eastern gray squirrel, Sciurus carolinensis) was measured for spatial patterns
defined by luminance differences. Spatial contrast sensitivity functions were
determined in behavioral discrimination experiments in which the stimuli were
sinusoidally-modulated gratings. At an average luminance level of 3.4 cd/m(2)
these squirrels were maximally sensitive to spatial frequencies of about 0.5
cycles/degree (c/d). These experiments, in conjunction with additional
measurements involving discrimination of square-wave grating patterns, also
indicate that the finest gratings these squirrels can discriminate average 2.2
c/d (SD = 0.42 c/d). There are no obvious differences in resolution acuity among
the three species. Grating acuity also was measured at a much higher luminance
level (340 cd/m(2)). The results of this experiment indicate that the spatial
acuity of the tree squirrel increases with luminance level, reaching a maximum of
3.9 c/d at 340 cd/m(2).
PMID- 24923502
TI - Some aspects of individual behaviour during nest moving in the ant Tapinoma
erraticum.
AB - Tapinoma erraticum workers change their nest site if disturbed. Fewer than half
of them displace the brood, with varying degrees of efficiency. In order to
determine individual reactivity, the following measurements were carried out on
each ant during a removal test: (1) seizures of larvae with the mandibles, (2)
journeys between the two nests, (3) functional transports. A large number of
seizures and a more rapid transport response are poorly correlated with high
removal activity. In this situation, the journeys made between the two nests
appear independent of transport activity. Each transporting worker was observed
to show a characteristic transport rate which may vary during the test period.
The extreme heterogeneity of all aspects of individual responses illustrates the
probabilistic nature of the behaviour of social insects.
PMID- 24923506
TI - Handbook of sensory physiology Volume VII, 6A: Invertebrate photoreceptors
(edited by H. Autrum); Volume VII, 6B: Invertebrate visual centers and behavior
(I) (edited by H. Autrum); Volume VIII: Perception (edited by Richard Held, H.W.
Leibowitz and H.L. Teuber). Springer Verlag, Berlin, Heidelberg, New York. 1979,
729 pp., 344 figures; 1981, 629 pp., 319 figures; 1978, 993 pp., 254 figures and
7 anaglyphs, respectively.
PMID- 24923508
TI - L'animal et son univers: Etude dynamique du comportement Raymond Campan.
Universite Paul Sabatier, Toulouse, France.
PMID- 24923509
TI - Composite cryogels for lysozyme purification.
AB - Beads-embedded novel composite cryogel was synthesized to purify lysozyme (Lyz)
from chicken egg white. The poly(hydroxyethyl methacrylate-N-methacryloyl-L
phenylalanine) (PHEMAPA) beads of smaller than 5 um size were synthesized by
suspension polymerization and then embedded into a poly(hydroxyethyl
methacrylate) (PHEMA)-based cryogel column. The PHEMAPA bead-embedded cryogel
(BEC) column was characterized by swelling tests, scanning electron microscopy
(SEM), surface area measurements by the Brunauer-Emmett-Teller (BET) method,
elemental analysis, and flow dynamics. The specific surface area of the PHEMAPA
BEC was found as 41.2 m(2) /g using BET measurements. Lyz-binding experiments
were performed using aqueous solutions in different conditions such as initial
Lyz concentration, pH, flow rate, temperature, and NaCl concentration of an
aqueous medium. The PHEMAPA BEC column could be used after 10 adsorption
desorption studies without any significant loss in adsorption capacity of Lyz.
The PHEMAPA BEC column was used to purify Lyz from chicken egg white, and gel
electrophoresis was used to estimate the purity of Lyz. The chromatographic
application of the PHEMAPA BEC column was also performed using fast protein
liquid chromatography.
PMID- 24923510
TI - Photochemically-induced fluorescence properties of two benzoyl- and phenylurea
pesticides and determination in natural waters.
AB - A photo-induced fluorescence (PIF) method was developed for the determination of
two benzoyl- and phenylurea pesticides, namely diflubenzuron (DFB) and fenuron
(FEN). The photoconversion under UV irradiation of both pesticides into strongly
fluorescent photoproducts was performed in several media (methanol, ethanol,
acetonitrile, pH4 aqueous solution and pH4 water-methanol (30:70, v/v) mixture).
PIF parameters were optimized. Analytical figures of merit for the PIF
determination of DFB and FEN were satisfactory, with rather wide linear dynamic
range (LDR) values of one to two orders of magnitude, relatively low limit of
detection (LOD) values of, respectively, 9-24 ng/mL for DFB and 1-28 ng/mL for
FEN, and limit of quantification (LOQ) values of, respectively, 30-80 ng/mL for
DFB and 4-95 ng/mL for FEN, according to the medium. Relative standard deviation
(RSD) values were in the range 1.7-5.6%. PIF was validated by comparing its
analytical performances to those of a standard UV absorption spectrophotometric
method. The optimized PIF method was applied to the quantitative analysis of both
pesticides in various spiked natural water samples collected in a Senegal
agricultural area by the standard addition procedure prior to extraction steps in
dichloromethane, with satisfactory mean recovery percentage values (97.0-105.3
for DFB and 98.3-102.8% for FEN). An interference study of foreign species,
including pesticides and inorganic ions, likely to be present in natural waters,
was also carried out.
PMID- 24923511
TI - Detection of HSO4- ion based on the hydrolysis of diketopyrrolopyrrole-derived
Schiff base with chromogenic and fluorogenic dual signals.
AB - A new diketopyrrolopyrrole-based Schiff base L was synthesized and its anion
sensing behavior was explored. L showed exclusive response toward HSO4(-) ion and
also distinguished HSO4(-) from other anions by color changes (from dark red to
orange) and 21 fold fluorescence enhancement at 370 nm in aqueous solution
(THF/H2O = 8/1, v/v). The sensing mechanism was suggested to proceed via a
hydrolysis process. The results provided colorimetric and fluorimetric assays to
selectively detect the presence of a HSO4(-) over a wide range of other
interfering anions. The results could potentially be used as a dual colorimetric
fluorescent probe for monitoring HSO4(-) levels in physiological and
environmental systems.
PMID- 24923512
TI - To mate or fight? Male-male competition and alternative mating strategies in
Argyrodes antipodiana (Theridiidae, Araneae).
AB - Argyrodes antipodiana is a kleptoparasitic spider that builds its own web around
the webs of other, larger host species. Males are more prone to have contests on
webs of conspecific females than on webs of conspecific males. Males are also
more likely to escalate interactions when on the females' webs than on males'
webs, consistent with predictions from game theory models. Yet, in nearly half
the tests, males on females' webs did not escalate. Instead of just being
"sampling error", these failures to escalate may reflect the contest losers'
abilities to gain copulations by "sneaking", an alternative mating tactic which
enables males to obtain access to a female, not by fighting, but by exploiting
the dominant male's dilemma of whether to mate or fight.
PMID- 24923513
TI - Self-directed behaviour and hippocampal electrical activity in rabbits living in
a semi-natural environment.
AB - Rabbits living in a semi-natural environment show a variety of behavioural
elements related to voluntary or automatic movements. The aim of the present
experiment was to study the hippocampal electrical correlates of self-directed
activities occurring during spontaneous behaviour and emotional responses (the
presence of a second rabbit of the same sex: intruder). Behaviour was divided
into two broad categories. One included autocleaning elements such as scratching,
shaking, washing and self-grooming. The other was characterized by consummatory
activities: eating and drinking. Hippocampal activity was recorded by telemetry
and the EEG periods related to specific behavioural elements were selected and
analyzed. The electrical parameters were different for the various behavioural
elements, for example rhythmic and irregular EEG patterns were recorded in
different percentages during autocleaning and consummatory activities.
Differences were also observed in the frequency peak distributions of power
spectra. Confrontations influenced the occurrence of self directed behavioural
elements and modified the pattern and frequency of hippocampal EEG during
autocleaning activities. Results show that environmental variations can affect
automatic behaviours and influence the mechanisms of hippocampal function.
PMID- 24923514
TI - Thresholds and escalation of antipredator responses in the Chinese salamander
Cynops cyanurus: inter- and intra-individual variation.
AB - Aspects of the control of antipredator behavior, including short- and long-term
response modifications, were examined for the Chinese salamander Cynops cyanurus.
Salamanders were tested for their antipredator behavior following repeated
contact with the flicking tongue of a predatory snake. In a given trial, a
salamander was contacted ten times by the snake and within-trial escalation or
reduction in response was monitored. For each salamander, trials were repeated 6
times at 21-day intervals to test for long-term changes in response threshold and
extent of escalation, and to identify consistent differences in behavior among
individuals. The salamanders were found to escalate their antipredator responses
over the ten stimuli within trials. They were significantly more responsive to
tongue contacts late in a given trial than to the initial stimuli. They also
showed long-term, among-trial decreases in the threshold to initial response and
increases in the mean responses to the first tongue contacts. However, there was
no significant long-term change in maximum response threshold or in the mean
responses to the final tongue contacts. Variation among individuals in thresholds
and responses was considerable and was consistent over trials.
PMID- 24923515
TI - A hitherto unknown ethological factor in the ant Tetramorium impurum
(Myrmicinae).
AB - In Tetramorium impurum, an ethological factor can be found on workers' last
visible abdominal sternite. For a short time, it attracts ants, increases their
linear speed and enhances the efficiency of the trail pheromone. This factor is
not colony-specific but may play a role in the foraging and recruiting systems of
the species.
PMID- 24923516
TI - Results from a signal detection analysis approach to the study of lasting effects
of early life undernutrition on the behaviour of rats.
AB - Rats were undernourished from birth to 45 days of age, first by underfeeding
their mothers (50% of ad libitum diet) and then, from weaning at 25 days, by
feeding the pups a restricted diet. They were fed ad libitum from 45 days till
the start of behavioural testing at 29 weeks. Eleven well-fed control (C) and 10
previously undernourished (PU) male rats were trained to discriminate a brief
decrement in light intensity. A response during this period was rewarded with
food (a 'hit'); a response during an equivalent period in the absence of the
signal (a 'false alarm') was penalised by delaying the onset of the next signal.
Both groups of rats learned an easy discrimination equally well, but when the
discrimination was made more difficult the PU rats required longer test sessions
that C rats, made both more hits and more false alarms and had a higher
responsivity index, indicating that the PU rats were more highly motivated than
the C rats under this condition. Session duration increased on the more difficult
discrimination; consequently time of day of testing changed somewhat and more for
some rats than others. This may have been a confounding factor on the difficult
discrimination and was specifically investigated in a follow-up experiment with
the same rats. The results suggest that time may have been a conditioned stimulus
and that the influence of this factor differed between C and PU rats.
PMID- 24923517
TI - Litter defence and parental investment allocation in house mice.
AB - In house mice, post-partum maternal aggression against a potentially infanticidal
male conspecific can be considered a parental investment act. As such, it
constitutes a suitable experimental paradigm for testing parental investment
allocation. In the present study, 60 nulliparous female albino mice were tested
for maternal aggression on day 8 of the lactation period (single 5-min test
exposure to a male intruder). Four experimental groups were created by
manipulating the litter size. in two groups, litters were culled at birth to 4
and 8 pups respectively, without any further pup removal. In the third group,
litters were culled at birth to 8 pups, but 4 further pups were removed 3-4 hours
before the test. In the fourth group, litters were manipulated as in the third
group except that the 4 pups were returned to the litter after a 10-min removal.
Dams with 8 pups at the time of testing showed significantly higher scores of
aggressive behaviour than dams with 4 pups. The females of the two groups that
had 4 pups at the time of testing did not differ in the intensity of maternal
aggression. These results indicate that female house mice defend the investment
made in their litters according to expected benefits (i.e., offspring number) and
not to cumulative past investment.
PMID- 24923518
TI - Predatory versatility in the water bug Diplonychus indicus.
AB - First instar Diplonychus indicus Venk. et Rao (Heteroptera:Belostomatidae) larvae
possess a behavioural plasticity similar to that of adults. This highly versatile
predator alternates frequently from foraging actively to ambushing and vice
versa. No significant variations in the use of these two predatory modes during
post-embryonic development could be evidenced. The present study stresses
similarities between the use of different predatory modes by young and old larvae
and by adults. Changes per unit time from one predatory mode to the other
increased with age, as frequency of attempts increased with age. Adults changed
predatory modes approximately seven times per hour. Most changes were made after
one, two, or, more rarely, three predatory attempts of the same mode. The maximum
number of consecutive attempts of one predatory mode increased with age. There
was no evidence that any specialization occurred during development. No proximal
causes for these changes of predatory mode were found. Changes were not
correlated with the outcome of previous predatory attempts, nor did changing
predatory mode increase the probability that the next attempt would be
successful.
PMID- 24923521
TI - Synthesis, cytotoxic effects and tubulin polymerization inhibition of 1,4
disubstituted 1,2,3-triazole analogs of 2-methoxyestradiol.
AB - Thirteen 1,4-disubstituted 1,2,3-triazole analogs of 2-methoxyestradiol were
prepared and tested for their cytotoxic and tubulin polymerization inhibition
effects. Two compounds, 11j and 11k, exhibited anti-proliferative effects at low
micromolar concentrations. The two analogs 11j and 11k also inhibited tubulin
assembly with IC50 values of 8.1 and 5.9MUM, respectively.
PMID- 24923522
TI - Epigenetic modification of the leptin promoter in diet-induced obese mice and the
effects of N-3 polyunsaturated fatty acids.
AB - We report evidence of a detailed epigenetic modification of the leptin promoter
and the effects of n-3 polyunsaturated fatty acids (n-3 PUFAs), which is closely
associated with the leptin gene transcription in obesity. In the adipose tissue
of diet induced obese (DIO) mice, methylation of the CpG island and the binding
of methyl-CpG-binding domain protein 2 (MBD2) and DNA methyltransferases (DNMTs)
at the leptin promoter are increased and RNA Pol II is decreased. Additionally,
histones H3 and H4 are hypoacetylated, lysine 4 of histone H3 (H3K4) is
hypomethylated and the binding of histone deacetylases (HDACs) 1, 2 and 6 is
increased at the leptin promoter in the DIO mice. These modifications may serve a
feedback role to maintain leptin concentrations within a normal range. The
regulation of leptin transcriptional expression by n-3 PUFAs is mediated, at
least in part, by epigenetic targets, such as MBD2 and histone modifications.
PMID- 24923523
TI - Cortical thickness correlates of psychotic experiences: examining the effect of
season of birth using a genetically informative design.
AB - Season of birth has been shown to influence risk for several neuropsychiatric
diseases. Furthermore, it has been suggested that season of birth modifies a
number of brain morphological traits. Since cortical thickness alterations have
been reported across some levels of the psychosis-spectrum, this study was aimed
at i) assessing the scarcely explored relationship between cortical thickness and
severity of subclinical psychotic experiences (PEs) in healthy subjects, and ii)
evaluating the potential impact of season of birth in the preceding thickness-PEs
relationship. As both PEs and brain cortical features are heritable, the current
work used monozygotic twins to separately evaluate familial and unique
environmental factors. High-resolution structural MRI scans of 48 twins (24
monozygotic pairs) were analyzed to estimate cortical thickness using FreeSurfer.
They were then examined in relation to PEs, accounting for the effects of birth
season; putative differential relationships between PEs and cortical thickness
depending on season of birth were also tested. Current results support previous
findings indicative of cortical thickening in healthy individuals with high
psychometrically assessed psychosis scores, probably in line with theories of
compensatory aspects of brain features in non-clinical populations. Additionally,
they suggest distinct patterns of cortical thickness-PEs relationships depending
on birth seasonality. Familial factors underlying the presence of PEs may drive
these effects.
PMID- 24923524
TI - The use of real-time digital video in the assessment of post-operative outcomes
of breast reconstruction.
AB - INTRODUCTION: Outcome measures of breast reconstruction have used panel
assessment of photographs. This provides limited information to the assessor as
these images are static.. The aim of this study was to assess whether the use of
digital video was a valid assessment tool and to compare its use against
photography. METHODS: 35 patients post-reconstruction underwent photography,
digital video capture and completed Breast Cancer Treatment Outcomes Scale
(BCTOS) questionnaires. The photographs/video clips were randomised and shown to
a 21 member panel. Opinions on aesthetic aspects of the reconstruction were
assessed using the BCTOS and Harris scale. Panel inter-rater agreement and
patient-panel correlation was assessed using Kendall's Coefficient of Concordance
and Spearman's rank correlation tests respectively. RESULTS: There was a
"moderate" degree of inter-rater agreement amongst panel members in all
categories. Greater agreement occurred using video footage to assess overall
cosmesis (0.548 vs 0.507) and shape (0.505 vs 0.486). Video showed a greater
degree of correlation with patient self-assessment scores in comparison to
photography (0.311 vs 0.281). CONCLUSION: Video footage coupled with panel
assessment is a valid method of assessing post-operative outcomes of breast
reconstruction and appears superior to still photographs in terms of inter-rater
agreement and correlation with patient self-assessment.
PMID- 24923526
TI - Preventing violence against women and girls in conflict.
PMID- 24923525
TI - A retrospective study to classify surgical indications for infantile hemangiomas.
AB - Infantile hemangiomas (IHs) spontaneously involute, but some leave contour
deformities necessitating surgical correction. There is a paucity of data
reviewing predictive risk factors associated with a need for surgery to guide
clinicians when counseling parents. Patients undergoing IH resection by a single
surgeon from August 2004 to August 2011 were reviewed to determine patient (age,
gender, birth history) and IH characteristics (size, location) associated with
surgical intervention. Data were compared to published data from the Hemangioma
Investigator Group (HIG). Statistical analysis was performed using Student's t
test, odds ratio, and logistic regression analysis. Out of 196 referred patients,
112 underwent surgery. There was a female preponderance (3.5:1). Two-thirds of
patients (64.9%) first presented to the surgeon at <=2 years of age, but most
underwent surgery between 2 and 3 years (52.7%; average lag time, 11 months). 18
patients underwent surgery at <=1 year of age. IH patients with preterm birth
history had increased risk for needing surgical intervention (odds ratio 2.124,
CI 1.31-3.44; p < 0.0012). A majority (84.7%) of resected IHs were located on the
head or neck, significantly higher than the distribution from the HIG data
(62.2%; p < 0.0001). Resected head and neck IHs were smaller than those below the
neck (average, 8.85 cm(2) vs. 22.35 cm(2), p = 0.017). Preterm birth is
associated with higher risk for requiring surgical intervention. IHs on the head
and neck are more likely to be removed when compared to those below the neck, and
at a smaller size threshold.
PMID- 24923527
TI - Responding to sexual violence in conflict.
PMID- 24923528
TI - Muriel Volpellier: confronting sexual violence with evidence.
PMID- 24923529
TI - Indicators linking health and sustainability in the post-2015 development agenda.
AB - The UN-led discussion about the post-2015 sustainable development agenda provides
an opportunity to develop indicators and targets that show the importance of
health as a precondition for and an outcome of policies to promote sustainable
development. Health as a precondition for development has received considerable
attention in terms of achievement of health-related Millennium Development Goals
(MDGs), addressing growing challenges of non-communicable diseases, and ensuring
universal health coverage. Much less attention has been devoted to health as an
outcome of sustainable development and to indicators that show both changes in
exposure to health-related risks and progress towards environmental
sustainability. We present a rationale and methods for the selection of health
related indicators to measure progress of post-2015 development goals in non
health sectors. The proposed indicators show the ancillary benefits to health and
health equity (co-benefits) of sustainable development policies, particularly
those to reduce greenhouse gas emissions and increase resilience to environmental
change. We use illustrative examples from four thematic areas: cities, food and
agriculture, energy, and water and sanitation. Embedding of a range of health
related indicators in the post-2015 goals can help to raise awareness of the
probable health gains from sustainable development policies, thus making them
more attractive to decision makers and more likely to be implemented than before.
PMID- 24923530
TI - Insight into the effects of adipose tissue inflammation factors on miR-378
expression and the underlying mechanism.
AB - BACKGROUND/AIMS: Obesity and the related metabolic syndrome have emerged as major
public health issues in modern society. miRNAs have been shown to play key roles
in regulating obesity-related metabolic syndrome, and some miRNAs regulated by
adiponectin were identified as novel targets for controlling adipose tissue
inflammation. miR-378 is a candidate target that was shown to be involved in
adipose differentiation, mitochondrial metabolism and systemic energy
homeostasis. However, little is known about the regulatory mechanisms of miR-378
expression. To better understand the physiological role of miR-378 in obesity and
metabolic syndrome, it is crucial that we understand the regulation of miR-378
gene expression in human adipocytes. METHODS: In this study, we investigated the
effects of adipokines and inflammatory cytokines on miR-378 expression using Real
time PCR and the potential regulatory mechanisms using luciferase reporter assays
and electrophoretic mobility shift assay (EMSA). Results : We found that
adipokines and cytokines upregulated miR-378 expression primarily through SREBP
and C/EBP binding sites in the miR-378 promoter region. CONCLUSION: Our findings
showed that adipokines induced miR-378 expression and revealed the most likely
mechanism of adipokine-induced miR-378 dysregulation in human adipocytes. miRNAs
have been shown to function in regulating obesity-related metabolic syndrome, and
miR-378 may be a novel target for controlling adipose tissue inflammation. This
study offers a theoretical basis for understanding systemic adipose tissue
inflammation and may provide new strategies for clinical treatment.
PMID- 24923531
TI - Hematopoietic stem cells and liver regeneration: differentially acting
hematopoietic stem cell mobilization agents reverse induced chronic liver injury.
AB - Bone marrow (BM) could serve as a source of cells facilitating liver repopulation
in case of hepatic damage. Currently available hematopoietic stem cell (HSC)
mobilizing agents, were comparatively tested for healing potential in liver
fibrosis. Carbon tetrachloride (CCl4)-injured mice previously reconstituted with
Green Fluorescent Protein BM were mobilized with Granulocyte-Colony Stimulating
Factor (G-CSF), Plerixafor or G-CSF+Plerixafor. Hepatic fibrosis, stellate cell
activation and oval stem cell frequency were measured by Gomori and by
immunohistochemistry for a-Smooth Muscle Actin and Cytokeratin-19, respectively.
Angiogenesis was evaluated by ELISA and immunohistochemistry. Quantitative real
time PCR was used to determine the mRNA levels of liver Peroxisome Proliferator
Activated Receptor gamma (PPAR-gamma), Interleukin-6 (IL-6) and Tumor Necrosis
alpha (TNFalpha). BM-derived cells were tracked by double immunofluorescence. The
spontaneous migration of mobilized HSCs towards injured liver and its cytokine
secretion profile was determined in transwell culture systems. Either single
agent mobilization or the combination of agents significantly ameliorated hepatic
damage by decreasing fibrosis and restoring the abnormal vascular network in the
liver of mobilized mice compared to CCl4-only mice. The degree of fibrosis
reduction was similar among all mobilized mice despite that G-CSF+Plerixafor
yielded significantly higher numbers of circulating HSCs over other agents. The
liver homing potential of variously mobilized HSCs differed among the agents. An
extended G-CSF treatment provided the highest anti-fibrotic effect over all
tested modalities, induced by the proliferation of hepatic stem cells and
decreased hepatic inflammation. Plerixafor-mobilized HSCs, despite their reduced
liver homing potential, reversed fibrosis mainly by increasing hepatic PPAR-gamma
and VEGF expression. In all groups, BM-derived mature hepatocytes as well as
liver-committed BM stem cells were detected only at low frequencies, further
supporting the concept that alternative mechanisms rather than direct HSC effects
regulate liver recovery. Overall, our data suggest that G-CSF, Plerixafor and G
CSF+Plerixafor act differentially during the wound healing process, ultimately
providing a potent anti-fibrotic effect.
PMID- 24923532
TI - Effects of two different blends of naturally mycotoxin-contaminated maize meal on
growth and metabolic profile in replacement heifers.
AB - The aim of this trial was to assess the effects of the administration of
different combinations of mycotoxins in naturally contaminated maize grains on
dairy heifer growth, blood measurements and puberty onset. A total of 35 Friesian
female heifers were randomly allotted to three experimental groups from 18-21 to
42-45 weeks of age. During the 24-week experimental period (EP), heifers were fed
the same diet, but with maize meal derived from three differently contaminated
lots: very low contamination, as control (C); medium-low aflatoxin-contaminated
(A); and mixed aflatoxin-fumonisin contaminated (A-F). At the end of the EP, they
returned to a common diet without contaminated maize, and they were monitored for
an additional period of 12 weeks (post-experimental period, PEP). BW, wither
height, hip height, body length and heart girth were measured every 4 weeks from
the beginning of EP to the end of PEP. At the same time, body condition score was
evaluated and blood samples were taken from the jugular vein to be analysed for
haematological, serum protein and metabolic profiles. Age at puberty was assessed
by measuring weekly plasma progesterone levels from 40 to 52 weeks of age. Body
growth measurements were processed both by ANOVA of average daily gain of EP and
PEP separately, and by the analysis of growth curve parameters. Haematological,
serum protein and metabolic profile were evaluated using a mixed model, taking
into account the repeated measurements in time on each animal. Heifers' growth
was delayed both in A and A-F groups during EP, as evidenced by the different
linear coefficients of the BW growth curve in the three groups. Differently
contaminated diets did not affect the haematological profile, so that it can be
concluded that these levels of mycotoxin contamination do not determine any
specific effect on haematopoiesis and immunity in growing heifers. The main blood
marker of mycotoxin chronic toxicity was the gamma-glutamyl transferase activity
level in plasma, which appeared to be altered even after the removal of
mycotoxins. During EP, plasma glucose was lower in the groups fed contaminated
diet compared with C. The joint actions of an altered nutritional status and a
long-lasting liver damage were probably the causes of the delay in puberty
attainment in A and, particularly, in the A-F group. The results from this trial
evidenced that a chronic aflatoxin-fumonisin contamination in diets of dairy
heifers can determine an important delay in the reproductive career of these
animals.
PMID- 24923533
TI - Impact of comprehensive geriatric assessment on survival, function, and
nutritional status in elderly patients with head and neck cancer: protocol for a
multicentre randomised controlled trial (EGeSOR).
AB - BACKGROUND: Survival is poorer in elderly patients with head and neck squamous
cell carcinomas [HNSCCs] than in younger patients. Possible explanations include
a contribution of co-morbidities to mortality, frequent refusal of standard
therapy, and the use of suboptimal treatments due to concern about toxicities.
The Comprehensive Geriatric Assessment [CGA] is a multidimensional assessment of
general health that can help to customise treatment and follow-up plans. The CGA
has been proven effective in several health settings but has not been evaluated
in randomised studies of patients with cancer. Our aim here was to assess the
impact of the CGA on overall survival, function, and nutritional status of
elderly patients with HNSCC. METHODS/DESIGN: EGeSOR is an open-label,
multicentre, randomised, controlled, parallel-group trial in patients aged 70
years or older and receiving standard care for HNSCC. The intervention includes
four components: the CGA conducted by a geriatrician before cancer treatment,
participation of the same geriatrician in cancer treatment selection, a
standardised geriatric therapeutic intervention designed by the same
geriatrician; and geriatric follow-up for 24 months. The primary endpoint,
assessed after 6 months, is a composite criterion including death, functional
impairment [Activities of Daily Living score decrease >= 2], and weight loss >=
10%. Secondary endpoints include progression-free survival, unscheduled
admissions, quality of life, treatment toxicities, costs, and completion of the
planned cancer treatment. A centralised online system is used to perform 1:1
randomisation with a minimisation algorithm for centre, age, T and N stages, and
tumour site [oral, oropharyngeal, hypopharyngeal, or laryngeal]. The estimated
sample size is 704 patients, who are being recruited by 14 centres in 9 French
cities. DISCUSSION: EGeSOR is the first randomised trial of the CGA in elderly
cancer patients. We expect the CGA to have direct clinical benefits on the
management of elderly patients with HNSCC. If this expectation is fulfilled, the
trial may lead to modifications of the management model for elderly patients with
cancer. TRIAL REGISTRATION: Trial registration: NCT02025062.
PMID- 24923534
TI - Small kernel 1 encodes a pentatricopeptide repeat protein required for
mitochondrial nad7 transcript editing and seed development in maize (Zea mays)
and rice (Oryza sativa).
AB - RNA editing modifies cytidines (C) to uridines (U) at specific sites in the
transcripts of mitochondria and plastids, altering the amino acid specified by
the DNA sequence. Here we report the identification of a critical editing factor
of mitochondrial nad7 transcript via molecular characterization of a small kernel
1 (smk1) mutant in Zea mays (maize). Mutations in Smk1 arrest both the embryo and
endosperm development. Cloning of Smk1 indicates that it encodes an E-subclass
pentatricopeptide repeat (PPR) protein that is targeted to mitochondria. Loss of
SMK1 function abolishes the C -> U editing at the nad7-836 site, leading to the
retention of a proline codon that is edited to encode leucine in the wild type.
The smk1 mutant showed dramatically reduced complex-I assembly and NADH
dehydrogenase activity, and abnormal biogenesis of the mitochondria. Analysis of
the ortholog in Oryza sativa (rice) reveals that rice SMK1 has a conserved
function in C -> U editing of the mitochondrial nad7-836 site. T-DNA knock-out
mutants showed abnormal embryo and endosperm development, resulting in embryo or
seedling lethality. The leucine at NAD7-279 is highly conserved from bacteria to
flowering plants, and analysis of genome sequences from many plants revealed a
molecular coevolution between the requirement for C -> U editing at this site and
the existence of an SMK1 homolog. These results demonstrate that Smk1 encodes a
PPR-E protein that is required for nad7-836 editing, and this editing is critical
to NAD7 function in complex-I assembly in mitochondria, and hence to embryo and
endosperm development in maize and rice.
PMID- 24923535
TI - The effect of inclined step stool on the quality of chest compression during in
hospital cardiopulmonary resuscitation.
AB - PURPOSE: A step stool is an ordinary device to improve the quality of chest
compression (CC) during in-hospital cardiopulmonary resuscitation (CPR). We
investigated the effect of an inclined step stool on the quality of CC during CPR
on a hospital bed. METHODS: We conducted a randomized crossover study of
simulation using a manikin. Two different methods of CC were performed and
compared: CC using a flat stool and CC using an inclined (20 degrees ) stool.
Each session of CC was performed for 2 minutes using a metronome at a rate of 110
beats per minute. The primary outcome was the depth of CC. The adequate CC rate,
duty cycle, rate of incomplete recoil, and the angle between the arm of the
participants and the bed were also measured. RESULTS: The median value of the
mean depth of CC was 50.5 mm (45.0-57.0 mm) in the flat stool group and 54.5 mm
(47.0-58.3 mm) in the inclined stool group (P = .014). The adequate CC rate was
significantly higher in the inclined stool group (84.2% [37.6%-99.1%] vs 57.0%
[15.2%-95.0%]; P = .016). The duty cycle and the rate of incomplete recoil were
comparable between the 2 groups. The angles between the arm of the participants
and the bed were more vertical in the inclined stool group (84.0 degrees +/- 5.2
degrees vs 81.0 degrees +/- 4.8 degrees ; P = .014). CONCLUSION: Using an
inclined stool resulted in an improvement in the depth of CC and the adequate CC
rate without increasing the rate of incomplete chest recoil.
PMID- 24923536
TI - Reduced-intensity conditioning hematopoietic cell transplantation is an effective
treatment for patients with SLAM-associated protein deficiency/X-linked
lymphoproliferative disease type 1.
AB - X-linked lymphoproliferative disease type 1 (XLP1) is a rare immune deficiency
caused by mutations in SH2D1A. Allogeneic hematopoietic cell transplantation
(HCT) is often performed because of the morbidity and mortality associated with
XLP1. There is limited experience using reduced-intensity conditioning (RIC)
regimens for these patients. Here we report our 8-year single-center experience.
Sixteen consecutive patients diagnosed with XLP1 underwent allogeneic HCT between
2006 and 2013 after a RIC regimen consisting of alemtuzumab, fludarabine, and
melphalan. Patient phenotypes included hemophagocytic lymphohistiocytosis (HLH)
after Epstein-Barr virus (n = 5) or human herpesvirus 6 (n = 1), macrophage
activation syndrome (n = 1), interstitial pneumonitis and encephalitis (n = 1), B
cell lymphoma (n = 8), and hypogammaglobulinemia (n = 2). One patient was
asymptomatic. Fourteen of 16 patients received 8/8 HLA-matched unrelated or
related bone marrow grafts, whereas 2 patients received mismatched unrelated
grafts. Acute graft-versus-host disease (GVHD) prophylaxis consisted of
methylprednisolone and cyclosporine in all but 1 patient, who additionally
received methotrexate. All patients had hematopoietic recovery. There were no
cases of hepatic veno-occlusive disease or pulmonary hemorrhage. One patient (6%)
developed acute GVHD and later also developed chronic GVHD (6%). Five patients
(31%) developed mixed chimerism. Only 1 patient with mixed chimerism (6%)
experienced a decline of donor chimerism to less than 50% but returned to full
donor chimerism after infusion of donor lymphocytes and a CD34(+) selected stem
cell boost. Infectious complications were frequent, particularly viral
reactivation. One-year survival estimated by Kaplan-Meier analysis was 80%, with
long-term survival estimated at 71%. Survival was similar for patients with or
without a history of HLH (86% versus 75%, respectively, P = .70). There were no
occurrences of lymphoma or HLH after HCT. RIC HCT with alemtuzumab, fludarabine,
and melphalan is an effective treatment for patients with XLP1, offering good
survival rates regardless of prior disease manifestations, including HLH.
PMID- 24923538
TI - Everolimus in combination with mycophenolate mofetil as pre- and post
transplantation immunosuppression after nonmyeloablative hematopoietic stem cell
transplantation in canine littermates.
AB - The mammalian target of rapamycin inhibitor everolimus (RAD001) is a successfully
used immunosuppressant in solid-organ transplantation. Several studies have
already used RAD001 in combination with calcineurin inhibitors after
hematopoietic stem cell transplantation (HSCT). We investigated calcineurin
inhibitor-free pre- and post-transplantation immunosuppression of RAD001 combined
with mycophenolate mofetil (MMF) in a nonmyeloablative HSCT setting. After
nonmyeloablative conditioning with 2 Gy total body irradiation, 8 dogs received
HSCT from dog leukocyte antigen-identical siblings. Immunosuppressives were given
at doses of 1.5 mg RAD001 twice daily from day -1 to +49, then tapered until day
+56, and 20 mg/kg MMF from day 0 to +28, then tapered until day +42. An
historical cyclosporin A (CsA)/MMF regimen was used in the control group. All
dogs engrafted. Median platelet nadir amounted in all dogs to 0 * 10(9)/L
(median, day +10; duration <50 * 10(9)/L, 22 days) and median leukocyte nadir was
1.0 * 10(9)/L (range, .1 to 2.5 * 10(9)/L; median, day +13). Eventually, 5 of 8
(63%) animals rejected their grafts. Two dogs died of infections on day +19 and
+25. Pharmacokinetics of RAD001 and MMF showed median trough levels of 19.1
(range, 10.5 to 43.2) MUg/L and .3 (.1 to 1.3) mg/L, respectively. The median
area under the curve was 325 (range, 178 to 593) MUg/L * hour for RAD001 and 29.6
(range, 7.9 to 40.5) ng/L * hour for MMF. All dogs developed clinically mucosal
viral infections during the clinical course. Compared with the control group, the
level of toxicities for RAD001/MMF increased in all qualities. Combined
immunosuppression of RAD001 and MMF after nonmyeloablative HSCT is associated
with significant toxicities, including a prolonged platelet recovery time as well
as increased infections compared to the CsA/MMF regimen.
PMID- 24923539
TI - Restriction and dependence to autonomy and freedom: transformation in adolescent
heart transplant recipients.
AB - The process of heart transplantation poses numerous challenges and adaptive tasks
for paediatric patients and their families. Few studies have examined how the
experience of transplant interacts with developmental transitions such as
adolescence, a period of significant change, and adjustment in itself. We
explored adolescent heart transplant recipients' and their parents' experience of
transplant from the point at which their heart condition was diagnosed to several
months after transplantation. We adopted a developmental focus, to consider how
participants negotiated the tasks of adolescence in the context of their
transplant experiences. A qualitative approach was used to interview five
adolescent-parent dyads, who reported few post-transplant complications, and the
data were analysed according to the principles of IPA. Our findings revealed
transplant to be a transformative experience, with two themes marking a contrast
between pre- and post-transplant states: "Restriction and Dependence" and
"Autonomy and Freedom." The themes are considered in relation to adolescent
development. We propose that clinicians working with paediatric heart transplant
recipients and their families need to consider the particular developmental
challenges faced by adolescent patients and view the attainment of developmental
milestones alongside physical and psychological markers of successful adjustment.
PMID- 24923537
TI - Recipient pretransplant inosine monophosphate dehydrogenase activity in
nonmyeloablative hematopoietic cell transplantation.
AB - Mycophenolic acid, the active metabolite of mycophenolate mofetil (MMF), inhibits
inosine monophosphate dehydrogenase (IMPDH) activity. IMPDH is the rate-limiting
enzyme involved in de novo synthesis of guanosine nucleotides and catalyzes the
oxidation of inosine 5'-monophosphate to xanthosine 5'-monophosphate (XMP). We
developed a highly sensitive liquid chromatography-mass spectrometry method to
quantitate XMP concentrations in peripheral blood mononuclear cells (PMNCs)
isolated from the recipient pretransplant and used this method to determine IMPDH
activity in 86 nonmyeloablative allogeneic hematopoietic cell transplantation
(HCT) patients. The incubation procedure and analytical method yielded acceptable
within-sample and within-individual variability. Considerable between-individual
variability was observed (12.2-fold). Low recipient pretransplant IMPDH activity
was associated with increased day +28 donor T cell chimerism, more acute graft
versus-host disease (GVHD), lower neutrophil nadirs, and more cytomegalovirus
reactivation but not with chronic GVHD, relapse, nonrelapse mortality, or overall
mortality. We conclude that quantitation of the recipient's pretransplant IMPDH
activity in PMNC lysate could provide a useful biomarker to evaluate a
recipient's sensitivity to MMF. Further trials should be conducted to confirm our
findings and to optimize postgrafting immunosuppression in nonmyeloablative HCT
recipients.
PMID- 24923540
TI - Behavioural processes.
PMID- 24923541
TI - Influence of previous experience on the mate selection of two colour morphs of
the convict cichlid, Cichlasoma nigrofasciatum (Pisces, Cichlidae).
AB - In a pilot test, individuals of two colour morphs of Cichlasoma nigrofasciatum
showed colour preferences in their schooling behaviour according to previous
experience. Two further experiments were undertaken to investigate if such
experientially induced preferences could reflect on the choice of mate. In one
experiment white males who had been reared differently with regard to the colour
morph of parents and siblings were given females of the different colour morphs
(white and normal) to choose from, the females being successively removed after
pairing to induce further choices. In this test, however, the males predominantly
chose normal females, probably because of dominance relationships among the
females, which masked a possible colour preference. Instead, a free choice test
was devised, where 74 white and 74 normal fishes were allowed to pair off freely
in a large tank. Here, a statistically significant sexual preference for the
previously experienced colour morph was found. These results, as well as the
possible evolutionary consequences, are discussed.
PMID- 24923542
TI - Motivation dependence of brain self-stimulation in the pigeon.
AB - Several brain sites in the pigeon were identified as maintaining electrical brain
self-stimulation. Depending on the site, stimulus currents yielding maximal
responding varied from 20 to 160 MUA. A high proportion of the sites only yielded
self-stimulation behaviour if the subjects were deprived of food; when the birds
were at full weight there was only one site at which the stimulation continued to
be rewarding. Some, but weak, evidence of stimulus satiation was found. Overt
behaviour elicited by non-contingent stimulation did not correlate with the
reinforcing or neutral nature of the sites tested. While some positive sites were
associated with structures known to be involved in the control of feeding, others
were not. The hypothesis that stimulation at the hunger-dependent sites might
have elicited temporary satiation signals is considered.
PMID- 24923543
TI - Changes in emotionality following section of the tractus occipito-mesencephalicus
in the barbary dove (Streptopelia risoria).
AB - Section of the efferent pathways from the archistriatum in the Barbary Dove,
leads to an immediate and persisting change in emotionality. The birds no longer
react fearfully to the presence of a model predator, whereas control groups in
which either the tractus occipito-mesencephalicus remains intact, or when the
midline connections of the anterior commissure only are sectioned, continue to
behave normally. This lack of responsiveness remains even under conditions of
changing endogenous levels of hormone in the course of a normal breeding cycle.
PMID- 24923544
TI - Inhibition of adult social behaviour in ducks induced by juvenile administration
of gonadal hormones.
AB - This experiment was designed to study the long-term behavioural effects of
gonadal hormone injections into domestic ducklings. Ten male and four female
ducklings were injected daily from their 4th day onwards: the males with
testosterone propionate and the females with oestradiol benzoate. Five males were
injected for 40 days, the other ducklings (5? + 4?) for 80 days. Behavioural
effects of these treatments were assessed when the birds were six months old.
Social displays were strongly inhibited in the injected males as compared with
control birds but no general significant effect was observed for the aggressive
and sexual behaviour. The inhibition of social displays is consistent with the
view that these behaviour patterns are regulated by the pituitary-gonadal axis
and the different levels at which the functional inhibition could have occured
are discussed. Far fewer social displays were performed by the males presented
with injected females which, on the other hand, showed less sexual behaviour than
the control females. This supports the idea that the female plays an important
part in the social display of ducks.
PMID- 24923545
TI - Canid vocalizations.
AB - The classification of acoustic signs is related to four aspects, the phonetic,
the syntactic, the semantic, and the pragmatic. Canid vocalizations can be
subdivided into 10 classes, which are described. The meaning and functions are
considered in respect to releasing functions, control functions (in the
cybernetic sense) and in relation to metabolism, reproduction and territory.
Examples are given of age-specific sounds and of sound ontogeny. In addition, the
metrics (entropies of information) are demonstrated in an example of sound
sequence in the dog.
PMID- 24923546
TI - Vocalizations in wild canids and possible effects of domestication.
AB - On the basis of spectrographic evidence it has been possible to identify twelve
basic vocal sound types of canid species. Vocalizations may be mixed either by
successive emission of two or more sound types, by superimposition of these
sounds, or by a combination of these two forms. The same basic sound type may
differ among canid species along the dimensions of sound duration, separation
time between consecutive sounds, principle frequencies, cyclicity, and context.
Developmental data indicate that domestic dogs first begin to mix sounds by
successive sound emissions at about 10 days of age and later by superimposition
between 2 and 3 weeks of age. The frequency of occurence of the basic sound types
in different contexts varied between species but not within species. The possible
effects of domestication on canid vocalizations are discussed.
PMID- 24923547
TI - The role of genetic factors in the determination of self-stimulation behaviour in
the mouse: backcross analysis.
AB - Intracranial self-stimulation behaviour in the lateral hypothalamus was studied
in male mice obtained from a backcross between the F1 generation BALB/c J * DBA/2
J and the strain BALB/c J, recessive for the majority of the self-stimulation
parameters. The 48 animals of the backcross were divided into 3 groups at the 40
MU A intensity. One group of 11 mice had mean performances similar to those of
the recessive parental strain BALB/c. This result suggests that the genetic
determination of the difference of self-stimulation performances observed between
BALB/c and DBA/2 strains is not very complex. A negative correlation appeared
between the thresholds and the performances of self-stimulation. Finally,
stimulation intensities above 40 MU A triggered convulsion with similar
frequencies in the three backcross groups.
PMID- 24923548
TI - Related factors and use of free preventive health services among adults with
intellectual disabilities in Taiwan.
AB - BACKGROUND: This study aimed to investigate the utilization of preventive health
services in the adults with intellectual disabilities from the nationwide
database. METHODS: The research method of this study is secondary data analysis.
The data was obtained from three nationwide databases from 2006 to 2008. This
study employed descriptive statistics to analyze the use and rate of preventive
health services by intellectual disabled adults. Chi-square test was used to
determine the relationship between the utilization of preventive health services
and these variables. Multivariate logistic regression analysis was used to
explore the factors that affect intellectual disabled adults' use of preventive
health services. RESULTS: Our findings indicated 16.65% of people with
intellectual disabilities aged over 40 years used the preventive health services.
Females were more frequent users than males (18.27% vs. 15.21%, p <0.001). The
utilization rate decreased with increasing severity of intellectual disabilities.
The utilization was lowest (13.83%) for those with very severe disability,
whereas that was the highest (19.38%) for those with mild severity. The factors
significantly influencing utilization of the services included gender, age, and
marital status, urbanization of resident areas, monthly payroll, low-income
household status, catastrophic illnesses status and relevant chronic diseases and
severity of disability. CONCLUSIONS: Although Taiwan's Health Promotion
Administration (HPA) has provided free preventive health services for more than
15 years, people with intellectual disabilities using preventive health care tend
to be low. Demographics, economic conditions, health status, relevant chronic
diseases, environmental factor, and severity of disability are the main factors
influencing the use of preventive healthcare. According to the present findings,
it is recommended that the government should increase the reimbursement of the
medical staff performing health examinations for the persons with intellectual
disabilities. It is also suggested to conduct media publicity and education to
the public and the nursing facilities for the utilization of adult preventive
health services.
PMID- 24923549
TI - Trichloroethylene and trichloroethanol-induced formic aciduria and renal injury
in male F-344 rats following 12 weeks exposure.
AB - Trichloroethylene (TCE) is widely used as a cleaning and decreasing agent and has
been shown to cause liver tumours in rodents and a small incidence of renal
tubule tumours in male rats. The basis for the renal tubule injury is believed to
be related to metabolism of TCE via glutathione conjugation to yield the cysteine
conjugate that can be activated by the enzyme cysteine conjugate beta-lyase in
the kidney. More recently TCE and its major metabolite trichloroethanol (TCE-OH)
have been shown to cause formic aciduria which can cause renal injury after
chronic exposure in rats. In this study we have compared the renal toxicity of
TCE and TCE-OH in rats to try and ascertain whether the glutathione pathway or
formic aciduria can account for the toxicity. Male rats were given TCE
(500mg/kg/day) or TCE-OH at (100mg/kg/day) for 12 weeks and the extent of renal
injury measured at several time points using biomarkers of nephrotoxicity and
prior to termination assessing renal tubule cell proliferation. The extent of
formic aciduria was also determined at several time points, while renal pathology
and plasma urea and creatinine were determined at the end of the study. TCE
produced a very mild increase in biomarkers of renal injury, total protein, and
glucose over the first two weeks of exposure and increased Kim-1 and NAG in urine
after 1 and 5 weeks exposure, while TCE-OH did not produce a consistent increase
in these biomarkers in urine. However, both chemicals produced a marked and
sustained increase in the excretion of formic acid in urine to a very similar
extent. The activity of methionine synthase in the liver of TCE and TCE-OH
treated rats was inhibited by about 50% indicative of a block in folate
synthesis. Both renal pathology and renal tubule cell proliferation were reduced
after TCE and TCE-OH treatment compared to controls. Our findings do not clearly
identify the pathway which is responsible for the renal toxicity of TCE but do
provide some support for metabolism via glutathione conjugation.
PMID- 24923550
TI - Quantitative phosphoproteomic profiling of fiber differentiation and initiation
in a fiberless mutant of cotton.
AB - BACKGROUND: The cotton (Gossypium spp.) fiber cell is an important unicellular
model for studying cell differentiation. There is evidence suggesting that
phosphorylation is a critical post-translational modification involved in
regulation of a wide range of cell activities. Nevertheless, the sites of
phosphorylation in G. hirsutum and their regulatory roles in fiber cell
initiation are largely unknown. In this study, we employed a mass spectrometry
based phosphoproteomics to conduct a global and site-specific phosphoproteome
profiling between ovules of a fuzzless-lintless (fl) Upland cotton (G. hirsutum)
mutant and its isogenic parental wild type (WT) at -3 and 0 days post-anthesis
(DPA). RESULTS: A total of 830 phosphopeptides and 1,592 phosphorylation sites
from 619 phosphoproteins were identified by iTRAQ (isobaric tags for relative and
absolute quantitation). Of these, 76 phosphoproteins and 1,100 phosphorylation
sites were identified for the first time after searching the P3DB public database
using the BLAST program. Among the detected phosphopeptides, 69 were
differentially expressed between the fl mutant and its WT in ovules at -3 and 0
DPA. An analysis using the Motif-X program uncovered 19 phosphorylation motifs, 8
of which were unique to cotton. A further metabolic pathway analysis revealed
that the differentially phosphorylated proteins were involved in signal
transduction, protein modification, carbohydrate metabolic processes, and cell
cycle and cell proliferation. CONCLUSIONS: Our phosphoproteomics-based research
provides the first global overview of phosphorylation during cotton fiber
initiation, and also offers a helpful dataset for elucidation of signaling
networks in fiber development of G. hirsutum.
PMID- 24923551
TI - Pharmacologically distinct phenotypes of alpha1B -adrenoceptors: variation in
binding and functional affinities for antagonists.
AB - BACKGROUND AND PURPOSE: The pharmacological properties of particular receptors
have recently been suggested to vary under different conditions. We compared the
pharmacological properties of the alpha1B -adrenoceptor subtype in various tissue
preparations and under various conditions. EXPERIMENTAL APPROACH: [(3) H]
prazosin binding to alpha1B -adrenoceptors in rat liver (segments, dispersed
hepatocytes and homogenates) was assessed and the pharmacological profiles were
compared with the functional and binding profiles in rat carotid artery and
recombinant alpha1B -adrenoceptors. KEY RESULTS: In association and saturation
binding experiments with rat liver, binding affinity for [(3) H]-prazosin varied
significantly between preparations (KD value approximately ten times higher in
segments than in homogenates). The binding profile for various drugs in liver
segments also deviated from the representative alpha1B -adrenoceptor profile
observed in liver homogenates and recombinant receptors. L-765,314 and ALS-77,
selective antagonists of alpha1B -adrenoceptors, showed high binding and
antagonist affinities in liver homogenates and recombinant alpha1B
adrenoceptors. However, binding affinities for both ligands in the segments of
rat liver and carotid artery were 10 times lower, and the antagonist potencies in
alpha1B -adrenoceptor-mediated contractions of carotid artery were more than 100
times lower than the representative alpha1B -adrenoceptor profile. CONCLUSIONS
AND IMPLICATIONS: In contrast to the consistent profile of recombinant alpha1B
adrenoceptors, the pharmacological profile of native alpha1B -adrenoceptors of
rat liver and carotid artery varied markedly under various receptor environments,
showing significantly different binding properties between intact tissues and
homogenates, and dissociation between functional and binding affinities. In
addition to conventional 'subtype' characterization, 'phenotype' pharmacology
must be considered in native receptor evaluations in vivo and in future
pharmacotherapy.
PMID- 24923552
TI - Evidence of cryptic speciation in mesostigmatid mites from South Africa.
AB - Laelaps giganteus and Laelaps muricola (Mesostigmata; Laelapidae) are widespread
and locally abundant host generalists on small mammals in southern Africa. The
large host range and complex life history of these ectoparasites may allude to
possible intraspecific cryptic diversity in these taxa. To assess genetic and
morphological diversity in L. giganteus and L. muricola, we sampled 228 rodents
at eight localities in South Africa. This sample included nine previously
recorded host species and on these, L. muricola was only recorded from Mastomys
natalensis and Micaelamys namaquensis while L. giganteus was found on Rhabdomys
dilectus and Lemniscomys rosalia. Phylogenetic analyses of partial mtDNA
cytochrome oxidase subunit I (COI) and nuclear ITS1 data strongly supported the
recognition of L. giganteus and L. muricola, a scenario partly supported by the
Tropomyosin intron. Strong support for evolutionary distinct lineages within L.
giganteus is found: L. giganteus lineage 1 is confined to R. dilectus and L.
giganteus lineage 2 is confined to L. rosalia. These host specific monophyletic
lineages were also separated by 9.84% mtDNA sequence divergence and 3.44% nuclear
DNA sequence divergence. Since quantitative morphometric analyses were not
congruent with these findings, these two lineages more than likely represent
cryptic species.
PMID- 24923553
TI - Enhanced oxidative stress and platelet activation in patients with Cushing's
syndrome.
AB - OBJECTIVE: Cushing Syndrome (CS) is implicated by increased cardiovascular risk
(CVR) leading to increased morbidity and mortality. Oxidative stress (OS) and
platelet activation (PA) are associated with increased CVR. However, scarce data
of OS in CS exist. Our objective was to determine the oxidant-antioxidant balance
in CS. DESIGN: Fourteen patients with CS at diagnosis and fourteen healthy
subjects (NS) were evaluated OS by measuring plasma 15-F2t -Isoprostane (15-F2t
IsoP), PA by thromboxaneB2 levels (TXB2 ), and antioxidant reserve measuring
total antioxidant capacity (TAC) and serum vitamin E. RESULTS: 15-F2t -IsoP and
TXB2 levels were significantly higher (P < 0.01) in CS, while vitamin E levels
were higher in NS (P < 0.03). 15-F2t -IsoP levels were significantly higher (P <
0.01) in complicated vs not-complicated CS and NS and significantly higher (P <
0.03) in CS not-complicated vs NS. TXB2 levels were significantly reduced (P <
0.03) in NS vs complicated and not-complicated CS. A negative correlation between
Vitamin E and UFC was observed in CS (P < 0.05 r = -0.497). TXB2 correlated with
glucose, HbA1c and T-score (P < 0.05 r = 0.512, P < 0.03 r = 0.527 and P < 0.01 r
= 0.783, respectively) and HDL (P < 0.01 r = -0.651). 15-F2t -IsoP correlated
with triglicerides, HbA1c and diastolic pressure (P < 0.01 r = 0.650, P < 0.03 r
= 0.571 and P < 0.05 r = 0.498, respectively) and HDL (P < 0.03 r = -0.594).
CONCLUSIONS: This study emphasizes the major role of OS in CS. As our findings
demonstrated that enhanced OS and PA take place in this rare metabolic disorder
which is associated with increased CVR, it could be suggested that these
biochemical alterations can further contribute in the pathogenesis of
atherosclerosis, increased CVR and mortality in CS.
PMID- 24923554
TI - SOS, the formidable strategy of bacteria against aggressions.
AB - The presence of an abnormal amount of single-stranded DNA in the bacterial cell
constitutes a genotoxic alarm signal that induces the SOS response, a broad
regulatory network found in most bacterial species to address DNA damage. The aim
of this review was to point out that beyond being a repair process, SOS induction
leads to a very strong but transient response to genotoxic stress, during which
bacteria can rearrange and mutate their genome, induce several phenotypic changes
through differential regulation of genes, and sometimes acquire characteristics
that potentiate bacterial survival and adaptation to changing environments. We
review here the causes and consequences of SOS induction, but also how this
response can be modulated under various circumstances and how it is connected to
the network of other important stress responses. In the first section, we review
articles describing the induction of the SOS response at the molecular level. The
second section discusses consequences of this induction in terms of DNA repair,
changes in the genome and gene expression, and sharing of genomic information,
with their effects on the bacteria's life and evolution. The third section is
about the fine tuning of this response to fit with the bacteria's 'needs'.
Finally, we discuss recent findings linking the SOS response to other stress
responses. Under these perspectives, SOS can be perceived as a powerful bacterial
strategy against aggressions.
PMID- 24923556
TI - Estrogen-mediated renoprotection following cardiac arrest and cardiopulmonary
resuscitation is robust to GPR30 gene deletion.
AB - INTRODUCTION: Acute kidney injury is a serious,sexually dimorphic perioperative
complication, primarily attributed to hypoperfusion. We previously found that
estradiol is renoprotective after cardiac arrest and cardiopulmonary
resuscitation in ovariectomized female mice. Additionally, we found that neither
estrogen receptor alpha nor beta mediated this effect. We hypothesized that the G
protein estrogen receptor (GPR30) mediates the renoprotective effect of estrogen.
METHODS: Ovariectomized female and gonadally intact male wild-type and GPR30 gene
deleted mice were treated with either vehicle or 17beta-estradiol for 7 days,
then subjected to cardiac arrest and cardiopulmonary resuscitation. Twenty four
hours later, serum creatinine and urea nitrogen were measured, and histologic
renal injury was evaluated by unbiased stereology. RESULTS: In both males and
females, GPR30 gene deletion was associated with reduced serum creatinine
regardless of treatment. Estrogen treatment of GPR30 gene-deleted males and
females was associated with increased preprocedural weight. In ovariectomized
female mice, estrogen treatment did not alter resuscitation, but was
renoprotective regardless of GPR30 gene deletion. In males, estrogen reduced the
time-to-resuscitate and epinephrine required. In wild-type male mice, serum
creatinine was reduced, but neither serum urea nitrogen nor histologic outcomes
were affected by estrogen treatment. In GPR30 gene-deleted males, estrogen did
not alter renal outcomes. Similarly, renal injury was not affected by G1 therapy
of ovariectomized female wild-type mice. CONCLUSION: Treatment with 17beta
estradiol is renoprotective after whole-body ischemia-reperfusion in
ovariectomized female mice irrespective of GPR30 gene deletion. Treatment with
the GPR30 agonist G1 did not alter renal outcome in females. We conclude GPR30
does not mediate the renoprotective effect of estrogen in ovariectomized female
mice. In males, estrogen therapy was not renoprotective. Estrogen treatment of
GPR30 gene-deleted mice was associated with increased preprocedural weight in
both sexes. Of significance to further investigation, GPR30 gene deletion was
associated with reduced serum creatinine, regardless of treatment.
PMID- 24923558
TI - The re-emerging role of microbial natural products in antibiotic discovery.
AB - New classes of antibacterial compounds are urgently needed to respond to the high
frequency of occurrence of resistances to all major classes of known antibiotics.
Microbial natural products have been for decades one of the most successful
sources of drugs to treat infectious diseases but today, the emerging unmet
clinical need poses completely new challenges to the discovery of novel
candidates with the desired properties to be developed as antibiotics. While
natural products discovery programs have been gradually abandoned by the big
pharma, smaller biotechnology companies and research organizations are taking
over the lead in the discovery of novel antibacterials. Recent years have seen
new approaches and technologies being developed and integrated in a
multidisciplinary effort to further exploit microbial resources and their
biosynthetic potential as an untapped source of novel molecules. New strategies
to isolate novel species thought to be uncultivable, and synthetic biology
approaches ranging from genome mining of microbial strains for cryptic
biosynthetic pathways to their heterologous expression have been emerging in
combination with high throughput sequencing platforms, integrated bioinformatic
analysis, and on-site analytical detection and dereplication tools for novel
compounds. These different innovative approaches are defining a completely new
framework that is setting the bases for the future discovery of novel chemical
scaffolds that should foster a renewed interest in the identification of novel
classes of natural product antibiotics from the microbial world.
PMID- 24923557
TI - Rapamycin is neuroprotective in a rat chronic hypertensive glaucoma model.
AB - Glaucoma is a leading cause of irreversible blindness. Injury of retinal ganglion
cells (RGCs) accounts for visual impairment of glaucoma. Here, we report
rapamycin protects RGCs from death in experimental glaucoma model and the
underlying mechanisms. Our results showed that treatment with rapamycin
dramatically promote RGCs survival in a rat chronic ocular hypertension model.
This protective action appears to be attributable to inhibition of neurotoxic
mediators release and/or direct suppression of RGC apoptosis. In support of this
mechanism, in vitro, rapamycin significantly inhibits the production of NO, TNF
alpha in BV2 microglials by modulating NF-kappaB signaling. In experimental
animals, treatment with rapamycin also dramatically inhibited the activation of
microglials. In primary RGCs, rapamycin was capable of direct suppression the
apoptosis of primary RGCs induced by glutamate. Mechanistically, rapamycin
mediated suppression of RGCs apoptosis is by sparing phosphorylation of Akt at a
site critical for maintenance of its survival-promoting activity in cell and
animal model. These results demonstrate that rapamycin is neuroprotective in
experimental glaucoma, possibly via decreasing neurotoxic releasing and
suppressing directly apoptosis of RGCs.
PMID- 24923559
TI - Fumarate metabolism and ATP production in Pseudomonas fluorescens exposed to
nitrosative stress.
AB - Although nitrosative stress is known to severely impede the ability of living
systems to generate adenosine triphosphate (ATP) via oxidative phosphorylation,
there is limited information on how microorganisms fulfill their energy needs in
order to survive reactive nitrogen species (RNS). In this study we demonstrate an
elaborate strategy involving substrate-level phosphorylation that enables the
soil microbe Pseudomonas fluorescens to synthesize ATP in a defined medium with
fumarate as the sole carbon source. The enhanced activities of such enzymes as
phosphoenolpyruvate carboxylase and pyruvate phosphate dikinase coupled with the
increased activities of phospho-transfer enzymes like adenylate kinase and
nucleoside diphophate kinase provide an effective strategy to produce high energy
nucleosides in an O2-independent manner. The alternate ATP producing machinery is
fuelled by the precursors derived from fumarate with the aid of fumarase C and
fumarate reductase. This metabolic reconfiguration is key to the survival of P.
fluorescens and reveals potential targets against RNS-resistant organisms.
PMID- 24923560
TI - A novel functional role for MMSET in RNA processing based on the link between the
REIIBP isoform and its interaction with the SMN complex.
AB - The chromosomal translocation t(4;14) deregulates MMSET (WHSC1/NSD2) expression
and is a poor prognostic factor in multiple myeloma (MM). MMSET encodes two major
protein isoforms. We have characterized the role of the shorter isoform (REIIBP)
in myeloma cells and identified a clear and novel interaction of REIIBP with
members of the SMN (survival of motor neuron) complex that directly affects the
assembly of the spliceosomal ribonucleic particles. Using RNA-seq we show that
REIIBP influences the RNA splicing pattern of the cell. This new discovery
provides novel insights into the understanding of MM pathology, and potential new
leads for therapeutic targeting.
PMID- 24923561
TI - A source of the single-stranded DNA substrate for activation-induced deaminase
during somatic hypermutation.
AB - During somatic hypermutation (SHM), activation-induced deaminase (AID) mutates
deoxycytidine on single-stranded DNA (ssDNA) generated by the transcription
machinery, but the detailed mechanism remains unclear. Here we report a higher
abundance of RNA polymerase II (Pol II) at the immunoglobulin heavy-chain
variable (Igh-V) region compared with the constant region and partially
transcribed Igh RNAs, suggesting a slower Pol II progression at Igh-V that could
result in some early/premature transcription termination after prolonged
pausing/stalling of Pol II. Knocking down RNA-exosome complexes, which could
decrease premature transcription termination, leads to decreased SHM. Knocking
down Spt5, which can augment premature transcription termination, leads to
increase in both, SHM and the abundance of ssDNA substrates. Collectively, our
data support the model that, following the reduction of Pol II progression
(pausing or stalling) at the Igh-V, additional steps such as premature
transcription termination are involved in providing ssDNA substrates for AID
during SHM.
PMID- 24923562
TI - Circulating biomarkers in hepatocellular carcinoma.
AB - PURPOSE: Our aims are to determine levels of circulating cellular and protein
biomarkers in hepatocellular carcinoma (HCC) patients and to analyse any
relationships with clinical parameters. METHODS: Fifty-four consenting patients
were recruited. Circulating tumour cells (CTCs) were enumerated (by CellSearch)
and characterised via filtration [by isolation by size of epithelial tumour cells
(ISET)] with downstream immunohistochemistry (IHC). Glypican-3 (GPC3) expression
in tumour biopsies and CTCs (by IHC) was compared, and levels of circulating
caspase-cleaved and full-length cytokeratin 18 (CK18, measured using M30 and M65
ELISAs) were examined as a putative prognostic factor and marker of tumour
burden. RESULTS: CTCs were identified in 14 out of 50 (28%) patients by
CellSearch and in 19 out of 19 (100%) patients by ISET. The presence of GPC3
positive CTCs by ISET was 100% concordant with the presence of GPC3-positive
cells in the original tumour (n = 5). No statistically significant correlations
were observed between CTC number and clinical characteristics, although trends
were noted between CTC subtypes, Child-Pugh score and tumour node metastasis
stage. Serum M30 and M65 levels (as continuous variables) significantly
correlated with overall survival (OS) in a univariate analysis (p = 0.003 and p <
0.001, respectively); M65 levels remained statistically significant in a
multivariate analysis (p = 0.029). CONCLUSIONS: This is the first study to detect
GPC3-positive CTCs in HCC, important for drug development with this target. The
significant association of circulating CK18 with OS in HCC further exemplifies
the utility of circulating biomarkers in cancer.
PMID- 24923563
TI - The role of wearable sensors and wireless technologies for the assessment of
heart rate variability in anorexia nervosa.
AB - PURPOSE: Bradycardia and abnormal cardiac autonomic function are related to
increased mortality in anorexia nervosa (AN). The aim of this study was to assess
heart rate (HR) and HR variability of young adolescents with AN as compared to
controls by means of wearable sensors and wireless technologies. METHOD: The ECG
signal was recorded in 27 AN girls and 15 healthy girls at rest using a wearable
chest strap. The tachogram, the mean intervals between R peaks (meanRR), the root
mean square of successive differences (RMSSD), the power of low-frequency (LF)
and high-frequency (HF) bands and the LF/HF ratio were assessed. RESULTS: All AN
girls showed a reduced HR and an increased meanRR and RMSSD. An HF increase, a LF
decrease, and a LF/HF reduction indicated a prevalence of the parasympathetic on
sympathetic activity. CONCLUSIONS: The instruments used in this pilot study were
feasible, unobtrusive and extremely suitable in AN subjects who are burdened by
high incidence of cardiovascular mortality; their application could open to new
approaches of vital signs monitoring in hospitals as well as in home settings.
PMID- 24923565
TI - Oxidative stress in biology and medicine.
PMID- 24923566
TI - Cell culture, oxidative stress, and antioxidants: avoiding pitfalls.
AB - Cell culture is widely used by biochemists and cell/molecular biologists, but the
fluctuating (and often elevated) levels of O 2 to which cells in culture are
exposed can affect many of their properties. So can the low level of antioxidants
found in some cell culture media. Reagents, especially "antioxidants," added to
cell culture media can react with the constituents of the media to produce H 2 O
2 and degradation products that can influence cell behavior. Several published
papers describing the cellular effects of ascorbate, polyphenols, and carotenoids
have, in fact, reported artifacts due to the actions of the degradation products
of these "antioxidants." A greater awareness of the potential artifacts in cell
culture studies is needed among the free radical/antioxidant community.
PMID- 24923567
TI - Antioxidants: basic principles, emerging concepts, and problems.
AB - The radical scavenging antioxidants play an essential role in the maintenance of
health and prevention of diseases, and a thorough understanding of the action and
capacity of antioxidants is critically important. Despite the assumption that
antioxidants must exert beneficial effects against oxidative stress, many large
scale randomized controlled trials gave inconsistent and disappointing results on
the prevention of chronic diseases. It is now generally accepted that there is no
evidence to support the use of non-discriminative antioxidant supplements for
prevention of diseases. On the other hand, recent data show that antioxidants may
be effective in the prevention and/or treatment of diseases when the right
antioxidant is given to the right subject at the right time for the right
duration. Now it is accepted that reactive oxygen species (ROS) act as
physiologically important signaling messengers as well as deleterious agents. The
signaling ROS are produced in a subtly regulated manner, while many deleterious
ROS are produced and react randomly. Free radical-mediated lipid peroxidation
products which, in contrast to enzymatic oxidation products, are produced by non
specific mechanisms cause oxidative damage, but may also induce adaptive response
to enhance the expression of antioxidant enzymes and compounds. This has raised a
question if removal of too many ROS by supplementation of antioxidants may upset
the cell signaling pathways and actually increase the risk of chronic diseases.
However, it is unlikely that antioxidants impair physiologically essential
signaling pathways.
PMID- 24923569
TI - Pros and cons of current approaches for detecting peroxynitrite and their
applications.
AB - Peroxynitrite, a representative of reactive nitrogen species, plays important
roles in the physiological and pathological processes of many oxidative stress
related diseases. It is generated from the reaction of nitric oxide (NO) and
superoxide (O2.-) and is far more active than its precursors. Peroxynitrite can
be further decomposed into other cytotoxic reactive species. Peroxynitrite and
its derivatives can interact with various biomolecules such as DNA and proteins.
Due to its high reactivity and short lifetime, accurate detection of
peroxynitrite in biological systems is a challenge task. In the last decade, huge
efforts have been made to develop reliable techniques to assess the generation of
peroxynitrite in various cellular and animal experiments. There are three major
approaches for peroxynitrite detection, including electrochemical sensors,
detection of nitrotyrosine formation, and fluorescent probes. Particularly,
progress has been made in developing novel fluorescent probes to detect
peroxynitrite with relatively high sensitivity and specificity. Herein, we review
the recent progress made in peroxynitrite detection methods and discuss the
advantages and disadvantages of these methods. The development of these
techniques will offer new opportunities for understanding the roles of
peroxynitrite in the oxidative stress-related physiological and pathological
conditions and provide platforms for drug discovery targeting peroxynitrite and
other free radicals for therapeutic purposes.
PMID- 24923568
TI - Anti-cancer activities of omega-6 polyunsaturated fatty acids.
AB - The omega-3 and omega-6 polyunsaturated fatty acids (PUFAs) are two major
families of PUFAs present as essential cellular components which possess diverse
bioactivities. The omega-3s, mainly found in seafood, are associated with many
beneficial effects on human health, while the omega-6s are more abundant in our
daily diet and could be implicated in many pathological processes including
cancer development. Increasing evidence suggests that the adverse effects of
omega-6s may be largely attributed to arachidonic acid (AA, a downstream omega-6)
and the metabolite prostaglandin E2 (PGE2) that stems from its cyclooxygenase
(COX)-catalyzed lipid peroxidation. On the other hand, two of AA's upstream omega
6s, gamma-linolenic acid (GLA) and dihomo-gamma-linolenic acid (DGLA), are shown
to possess certain anti-cancer activities, including inducing cell apoptosis and
inhibiting cell proliferation. In this paper, we review the documented anti
cancer activities of omega-6 PUFAs, including the recent findings regarding the
anti-cancer effects of free radical-mediated DGLA peroxidation. The possible
mechanisms and applications of DGLA (and other omega-6s) in inducing anti-cancer
activity are also discussed. Considering the wide availability of omega-6s in our
daily diet, the study of the potential beneficial effect of omega-6 PUFAs may
guide us to develop an omega-6-based diet care strategy for cancer prevention and
treatment.
PMID- 24923570
TI - Structural study of the microtubule-associated protein tau locus of Alzheimer's
disease in Taiwan.
AB - BACKGROUND: Haplotype structure of the microtubule-associated protein tau (MAPT)
gene is associated with various tauopathies in the Caucasian population. With the
knowledge that the association between MAPT structure and disease may be distinct
in different ethnics, we intend to investigate the haplotype structure of MAPT in
Taiwanese and test it for association with Alzheimer's disease (AD). METHODS: One
hundred and eight AD patients and 108 sex- and-age matched healthy controls were
recruited from the dementia outpatient clinic of Chang Gung Medical center. We
genotyped the del-In9 marker that defines the extended H1 and H2 clades. We
selected 21 single-nucleotide polymorphisms (SNPs) in the extended MAPT region
from Japanese SNPs database and dbSNP database. Using the software TagIt, we
analyzed the linkage disequilibrium structure of MAPT and compared the allele and
genotype distribution between patient group and control group. RESULTS: All the
Taiwanese participants were H1 haplotypes. Linkage disequilibrium analysis showed
the haplotype blocks in Taiwanese population had a smaller size in comparison to
that of the Caucasian population. Single locus association showed significant p
value in one of the tagging variants (rs242557) in our Taiwanese AD case-control
cohorts. CONCLUSION: MAPT gene has four haplotype blocks in the Taiwanese
population, each of around 40 kbp. In both European study and our study, the SNP
rs242557 showed association with AD. Given the position of this SNP, the most
possible explanation is that genetic variability in tau expression contributes to
the risk of developing AD.
PMID- 24923571
TI - Concurrent chemoradiotherapy using cisplatin, tegafur, and leucovorin for
advanced squamous cell carcinoma of the hypopharynx and oropharynx.
AB - BACKGROUND: To evaluate the efficacy and adverse events of cisplatin, tegafur,
and leucovorin concomitantly with radiotherapy for patients with advanced, non
metastatic squamous cell carcinoma (SCC) of the oropharynx and hypopharynx.
METHODS: The PTL regimen consisted of cisplatin (P) 50 mg/m 2 on day 1, oral
tegafur (T) 800 mg/day plus leucovorin (LV) 60 mg/day on days 1 through 14. It
was repeated every 2 weeks through the radiotherapy course. Conventional
radiotherapy with 1.8-2.0 Gy/day, 5 days per week, was delivered in a total dose
of between 70 and 72 Gy. RESULTS: Sixty-five patients with stage III or IV of SCC
of the head and neck were consecutively treated between May 2002 and November
2005. Forty-six (70.7%) patients had complete response after concomitant
chemoradiotherapy (CCRT). With a median follow-up of 54.0 months (range 1-103
months), the 5-year locoregional control, progression-free survival, and overall
survival rates were 50.6%, 40.7%, and 59.7%, respectively. Three (4.6%) patients
had toxic death during treatment. Fifty-one (80.0%) patients experienced grade 3
4 mucositis which occurred in about 35% of the CCRT duration. The functional
preservation rate among post-CCRT complete responders was 93.5% (43/46). The
median cisplatin accumulated dosage was 150 mg, and the rate of hearing
impairment among the survivors was 7.8%. CONCLUSION: CCRT with outpatient-based
PTL for advanced SCC of oropharynx and hypopharynx is feasible and has
comparative efficacy and acceptable adverse events.
PMID- 24923572
TI - Modified biweekly oxaliplatin and capecitabine for advanced gastric cancer: a
retrospective analysis from a medical center.
AB - BACKGROUND: We modified 3-week XELOX regimen with oxaliplatin to 85 mg/m 2 on Day
1 and capecitabine 1000 mg/m 2 BID for 10 days every 14 days to be more practical
in clinical practice for advanced gastric cancer. The aim of this retrospective
analysis is to evaluate the safety profile and efficacy of the modified
oxaliplatin plus capecitabine (XELOX) regimen as the first-line treatment for
patients with advanced gastric cancer in a medical center in Taiwan. METHODS:
From March 2009 to December 2010, among the 614 patients diagnosed with gastric
cancer in a medical center, 49 patients with unresectable advanced or metastatic
gastric adenocarcinoma were treated with oxaliplatin (85 mg/m 2 ) on Day 1 and
capecitabine (1000 mg/m 2 BID) for 10 days every 2 weeks (mXELOX). CT scan was
performed for tumor response evaluation. Clinical outcome and adverse events
after mXELOX treatment were analyzed retrospectively. RESULTS: A total of 354
mXELOX sessions (median: 6) were administered in 49 patients. The overall tumor
response rate was 39.1% among 46 evaluated patients: three complete response
(6.5%) and 15 partial response (32.6%). Seven patients had stable disease (15.2%)
and 21 (45.7%) patients had progressive disease. The median progression-free
survival and median overall survival were 4.37 months and 12.26 months,
respectively. The most common grade III/IV hematologic toxicity was anemia
(10.2%), and non-hematologic toxicity effects were numbness (8.2%), hand-foot
syndrome (10.2%), diarrhea (6.1%), thrombocytopenia (6.1%), and abdominal pain
(6.1%). CONCLUSION: This modified biweekly oxaliplatin and capecitabine
combination chemotherapy is practical and effective for unresectable advanced or
metastatic gastric cancer in our daily practice.
PMID- 24923573
TI - A novel and effective acupuncture modality as a complementary therapy to acute
pain relief in inpatients with rib fractures.
AB - BACKGROUND: Pain control has been emphasized as a priority for both practitioners
and inpatients with rib fractures, since analgesia could only offer limited
relief from severe pain. A prospective and randomized controlled trial was
conducted to analyze the efficacy and efficiency of acupuncture in acute pain
relief for inpatients with rib fractures. METHODS: A total of 58 inpatients were
recruited and allocated to two groups, receiving identical doses of conventional
oral analgesics as well as filiform needles as treatment and thumbtack
intradermal (TI) needles placed upon the skin surface as a control, respectively,
via novel acupuncture modality once daily for three consecutive days. The effect
of pain relief was evaluated during activities that induce pain, and sustained
maximal inspiration (SMI) lung volumes and sleep quality were assessed. RESULTS:
The patients treated with filiform needles had more effective pain relief than
those in the TI needle group during deep breathing, coughing, and turning over
the body (p < 0.05), and the effect persisted for at least 6 h in most patients.
Sustained maximal inspiration lung volumes and sleep quality did not show
improvement through every acupuncture intervention, and they could not respond
accurately to pain relief via acupuncture. CONCLUSION: The active evaluation
could provide a more adaptive model for assessing pain intensity due to rib
fractures. This novel acupuncture modality in which the needle insertion sites
are corresponding to the pain spots can be a safe and viable therapy for
relieving pain in inpatients with rib fractures.
PMID- 24923574
TI - Comparison of patient's satisfaction with implant-supported mandibular
overdentures and complete dentures.
AB - BACKGROUND: The purpose of this study was to compare patients' subjective
experiences with respect to long-term satisfaction with mandibular implant
retained overdentures versus conventional complete dentures. METHODS: Among 85
completely edentulous patients, 60 were treated with four one-stage titanium
implants and overdentures retained by a cast bar with extracoronal attachments.
These patients constituted the experimental group, and were subsequently
evaluated clinically over a period of up to 6 years. The other 25 patients
constituted the control group and were treated with conventional complete
dentures without implant retained. All the patients (n = 60) in the experimental
group responded to questions on their experiences before and after treatment with
the implant-retained overdentures. Sixty percent (n = 15) of the 25 patients in
the control group responded to the questionnaire. RESULTS: No implants or
restorations failed during the observation period. The experimental group,
however, showed significant differences with the control group in terms of their
responses to the questionnaire. CONCLUSION: The use of implants to retain and
support the overdenture improved comfort and gave the experimental patients
greater self-confidence in social interactions, in addition to more effective
oral rehabilitation. The results demonstrate that the effects of rehabilitation
of the mandibular arch with an implant-retained overdenture are predictable.
PMID- 24923575
TI - Pros and cons of the proteomics.
AB - The number of proteins produced by the 30,000-40,000 genes of the human genome is
estimated to be three or four orders of magnitude higher. Proteomics is a rapidly
developing science. In principle, two main areas in the field of proteomics have
been developed, each of them having its pros and cons. These fields are profiling
and functional proteomics. The aim of the proteomic profiling is to describe and
index the whole set of proteins of a biological sample, which could be an
organism, an organ, or a cell, or parts there of like individual's tissue or
organelles. In our understanding, both types of proteomics (profiling and
functional) are valuable tools complementing other biological methodologies.
PMID- 24923576
TI - Nox1 upregulates the function of vascular T-type calcium channels following
chronic nitric oxide deficit.
AB - Cardiovascular disease is characterised by reduced nitric oxide bioavailability
resulting from oxidative stress. Our previous studies have shown that nitric
oxide deficit per se increases the contribution of T-type calcium channels to
vascular tone through increased superoxide from NADPH oxidase (Nox). The aim of
the present study was therefore to identify the Nox isoform responsible for
modulating T-type channel function, as T-type channels are implicated in several
pathophysiological conditions involving oxidative stress. We evaluated T-channel
function in skeletal muscle arterioles in vivo, using a novel T-channel blocker,
TTA-A2 (3 MUmol/L), which demonstrated no cross reactivity with L-type channels.
Wild-type and Nox2 knockout (Nox2ko) mice were treated with the nitric oxide
synthase inhibitor L-NAME (40 mg/kg/day) for 2 weeks. L-NAME treatment
significantly increased systolic blood pressure and the contribution of T-type
calcium channels to arteriolar tone in wild-type mice, and this was not prevented
by Nox2 deletion. In Nox2ko mice, pharmacological inhibition of Nox1 (10 MUmol/L
ML171), Nox4 (10 MUmol/L VAS2870) and Nox4-derived hydrogen peroxide (500 U/mL
catalase) significantly reduced the effect of chronic nitric oxide inhibition on
T-type channel function. In contrast, in wild-type mice, ML171 and VAS2870, but
not catalase, reduced the contribution of T-type channels to vascular tone,
suggesting a role for Nox1 and non-selective actions of VAS2870. We conclude that
Nox1, but not Nox2 or Nox4, is responsible for the upregulation of T-type calcium
channels elicited by chronic nitric oxide deficit. These data point to an
important role for this isoform in increasing T-type channel function during
oxidative stress.
PMID- 24923578
TI - Left atrial volume and adverse cardiovascular outcomes in unselected patients
with and without CKD.
AB - BACKGROUND AND OBJECTIVES: Patients with CKD have increased cardiovascular
morbidity and mortality. This study investigated the prognostic value of common
clinical echocardiographic parameters. DESIGN, SETTING, PARTICIPANTS, &
MEASUREMENTS: There were 289 unselected consecutive patients who had a
transthoracic echocardiogram between January and June 2003. Patients with stage 3
or 4 CKD (n=49) were compared with those with eGFR>=60 ml/min per 1.73 m(2),
n=240). Left ventricular volume, ejection fraction and mass, left atrial volume,
and function parameters were measured. The primary endpoint, determined a priori,
was a composite of cardiac death, myocardial infarction, and congestive cardiac
failure. RESULTS: Patients were followed for a median 5.6 years. The incidence of
the primary endpoint was higher in patients with CKD (29% versus 12%, P=0.001),
who were older and had a higher prevalence of hypertension and ischemic heart
disease. Indexed left ventricular mass (LVMI) and left atrial volume (LAVI) were
higher in patients with CKD. Furthermore, patients with LAVI>32 ml/m(2) had
significantly lower event-free survival than patients with normal (<28 ml/m(2))
or mildly dilated LAVI (28-32 ml/m(2)) (P<0.001). Multivariate analysis showed
that age (odds ratio [OR], 1.19; 95% confidence interval [95% CI], 1.08 to 1.31;
P=0.001) and LVMI (OR, 3.66; 95% CI, 2.47 to 5.41; P<0.001) were independently
associated with LAVI>32 ml/m(2). Multivariate Cox regression analysis
demonstrated that CKD (hazard ratio [HR], 1.13; 95% CI, 1.01 to 1.26; P=0.04),
hypertension (HR, 2.18; 95% CI, 1.05 to 4.54; P=0.04), and a larger LAVI (HR,
1.35; 95% CI, 1.02 to 1.77; P=0.04) were independent predictors of the primary
endpoint. CONCLUSIONS: Patients with CKD were at higher risk for cardiovascular
events. LAVI was significantly larger in the CKD group and was a predictor of
adverse cardiac events.
PMID- 24923577
TI - Urinary kidney injury molecule-1 and the risk of cardiovascular mortality in
elderly men.
AB - BACKGROUND AND OBJECTIVES: Kidney injury molecule-1 (KIM-1) has been suggested as
a clinically relevant highly specific biomarker of acute kidney tubular damage.
However, community-based data on the association between urinary levels of KIM-1
and the risk for cardiovascular mortality are lacking. This study aimed to
investigate the association between urinary KIM-1 and cardiovascular mortality.
DESIGN, SETTING, PARTICIPANTS, & MEASUREMENTS: This was a prospective study,
using the community-based Uppsala Longitudinal Study of Adult Men (N=590; mean
age 77 years; baseline period, 1997-2001; median follow-up 8.1 years; end of
follow-up, 2008). RESULTS: During follow-up, 89 participants died of
cardiovascular causes (incidence rate, 2.07 per 100 person-years at risk). Models
were adjusted for cardiovascular risk factors (age, systolic BP, diabetes,
smoking, body mass index, total cholesterol, HDL cholesterol, antihypertensive
treatment, lipid-lowering treatment, aspirin treatment, and history of
cardiovascular disease) and for markers of kidney dysfunction and damage
(cystatin C-based eGFR and urinary albumin/creatinine ratio). Higher urinary KIM
1/creatinine (from 24-hour urine collections) was associated with a higher risk
for cardiovascular mortality (hazard ratio per SD increase, 1.27; 95% confidence
interval [95% CI], 1.05 to 1.54; P=0.01). Participants with a combination of high
KIM-1/creatinine (upper quintile, >=175 ng/mmol), low eGFR (<=60 ml/min per 1.73
m(2)), and microalbuminuria/macroalbuminuria (albumin/creatinine ratio>=3 g/mol)
had a >8-fold increased risk compared with participants with low KIM-1/creatinine
(<175 ng/mmol), normal eGFR (>60 ml/min per 1.73 m(2)), and normoalbuminuria
(albumin/creatinine ratio<3 g/mol) (hazard ratio, 8.56; 95% CI, 4.17 to 17.56;
P<0.001). CONCLUSIONS: These findings suggest that higher urinary KIM-1 may
predispose to a higher risk of cardiovascular mortality independently of
established cardiovascular risk factors, eGFR, and albuminuria. Additional
studies are needed to further assess the utility of measuring KIM-1 in the
clinical setting.
PMID- 24923579
TI - Potential influence of invisible coronary collateral circulation on fractional
flow reserve of donor artery in the presence of severe stenosis of receiving
artery.
AB - We reported a case of 78-year-old male who had a severe stenosis in the right
coronary artery (RCA) and an intermediate stenosis in the left anterior
descending artery (LAD) without visible collateral flow to the RCA on angiogram.
Fractional flow reserve (FFR) in the LAD lesion, which revealed significant value
as 0.70, increased to 0.78 after revascularization of the RCA lesion. The FFR in
an intermediate stenosis should be performed after PCI for severe stenosis in the
other coronary arteries. Otherwise, the severity of the stenosis could be
overestimated due to the presence of invisible collateral circulation.
PMID- 24923580
TI - A tetraphenylethene-based caged compound: synthesis, properties and applications.
AB - A tetraphenylethene-based caged compound (TPE-C) is designed and synthesized. TPE
C is non-fluorescent either in solution or in aggregated state, but its emission
can be induced to emit strong cyan emission in the aggregated state by UV
irradiation. This property enables TPE-C to be applied in photo-patterning and
anti-counterfeiting related areas.
PMID- 24923581
TI - What's new in status epilepticus?
PMID- 24923582
TI - Practical, modular, and general synthesis of benzofurans through extended
Pummerer annulation/cross-coupling strategy.
AB - Operationally simple, efficient, and widely applicable Pummerer annulations of
simple phenols with ketene dithioacetal monoxides, with the aid of
trifluoroacetic anhydride, have been shown to provide a variety of benzofurans
having a methylthio group at the 2-position. Subsequent and newly developed
nickel-catalyzed arylation at the methylthio group culminates in diversity
oriented synthesis of multisubstituted benzofurans. Our extended Pummerer
annulation/cross-coupling sequence is powerful enough to synthesize biologically
active natural products as well as highly fluorescent benzofuran derivatives.
PMID- 24923583
TI - Shotgun proteomics analysis of proliferating STRO-1-positive human dental pulp
cell after exposure to nacreous water-soluble matrix.
AB - INTRODUCTION: For dental treatment, dentin regeneration is required after a tooth
injury with dental pulp exposure. The effects of the water-soluble matrix (WSM)
extracted from the nacreous layer of the bivalve Pinctada maxima on human dental
pulp cells in vitro were challenging and useful for clinical application.
MATERIAL AND METHODS: The biological activity of the STRO-1-positive human dental
pulp cells in response to WSM compared to Dulbecco's modified Eagle medium (DMEM)
as a normal control was monitored. The cell survival rate was analyzed by the 3
(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT) assay. Proteomic
profiles among inducers and noninducers with time dependency were compared by
using sodium dodecyl sulfate-polyacrylamide gel electrophoresis combined with
liquid chromatography-tandem mass spectrometry (GeLC-MS/MS). RESULTS: The human
dental pulp cells cultured in nacreous WSM exhibited higher relative cell
viability than those in DMEM with similar morphological appearance. Significant
changes were found in the relative abundance of 44 proteins in cells after
exposure to WSM for 2 weeks. They play a role in cell adhesion, cell
proliferation, metabolic process, signal transduction, stress response,
transcription, translation, and transport. CONCLUSION: These results indicate
that WSM of P. maxima has the ability to induce proliferation of human dental
pulp cells. CLINICAL RELEVANCE: This finding initiated the study to evaluate the
suitability of nacre as biomaterial for dentistry.
PMID- 24923584
TI - Efficacy of preoperative transcatheter arterial chemoembolization combined with
systemic chemotherapy for treatment of unresectable hepatoblastoma in children.
AB - PURPOSE: The purpose of this study was to evaluate, retrospectively, the clinical
efficacy of preoperative transcatheter arterial chemoembolization (TACE) combined
with systemic chemotherapy for unresectable hepatoblastoma. MATERIALS AND
METHODS: Five boys and three girls (mean age 15.2 months) were treated with
preoperative TACE combined with systemic chemotherapy for unresectable
hepatoblastomas. Mean tumor diameter and mean alfa-fetoprotein (AFP) level were
11.8 cm and 549,386 ng/mL, respectively. Pretreatment, the extent of disease
(PRETEXT) was: II, 1; III, 6; IV, 1. For all patients, preoperative systemic
chemotherapy was administered before TACE. At each TACE, carboplatin and
adriamycin mixed with iodized oil were infused into the feeding arteries. Tumor
response and prognosis after treatment were evaluated. RESULTS: TACE resulted in
few Grade 1 adverse effects (AEs), without G3 or more AEs, according to CTACAE
3.0. Mean tumor shrinkage was 60.9%, and the mean AFP decrease from initial
levels was 94.8%. In all cases TACE combined with systemic chemotherapy enabled
subsequent safe and complete surgical resection. After a mean follow-up of 59
months, tumor-free survival was 75%. CONCLUSION: Preoperative TACE combined with
systemic chemotherapy was effective in inducing surgical resectability of
unresectable hepatoblastoma.
PMID- 24923586
TI - Mycoinsecticides: potential and future perspective.
AB - Crop damage and consequent loss in productivity associated with arthropod pests
represent the most serious threats to the world economy. Different methods have
been used in the past to control arthropod pests; however, the increase in
incidences of resistance to pesticides, food safety concerns, and concerns
related to environmental impact associated with the use of chemical pesticides
have led to the recent expansion of biological control agents. Entomopathogenic
fungi are important and promising bio-control agents for controlling arthropod
pests. This review compiles recent information regarding the potential of
entomopathogenic fungi used against arthropod pests, traits responsible for
mycoinsecticide virulence, and possible ways to enhance the virulence of
entomopathogenic fungi, in order to pave the way for the development of bio
rational and eco-friendly arthropod pest management strategies.
PMID- 24923585
TI - Modification of rifamycin polyketide backbone leads to improved drug activity
against rifampicin-resistant Mycobacterium tuberculosis.
AB - Rifamycin B, a product of Amycolatopsis mediterranei S699, is the precursor of
clinically used antibiotics that are effective against tuberculosis, leprosy, and
AIDS-related mycobacterial infections. However, prolonged usage of these
antibiotics has resulted in the emergence of rifamycin-resistant strains of
Mycobacterium tuberculosis. As part of our effort to generate better analogs of
rifamycin, we substituted the acyltransferase domain of module 6 of rifamycin
polyketide synthase with that of module 2 of rapamycin polyketide synthase. The
resulting mutants (rifAT6::rapAT2) of A. mediterranei S699 produced new rifamycin
analogs, 24-desmethylrifamycin B and 24-desmethylrifamycin SV, which contained
modification in the polyketide backbone. 24-Desmethylrifamycin B was then
converted to 24-desmethylrifamycin S, whose structure was confirmed by MS, NMR,
and X-ray crystallography. Subsequently, 24-desmethylrifamycin S was converted to
24-desmethylrifampicin, which showed excellent antibacterial activity against
several rifampicin-resistant M. tuberculosis strains.
PMID- 24923587
TI - Extraction of aggrecan-peptide from cartilage by tissue autolysis.
AB - Aggrecan is a cartilage specific proteoglycan containing chondroitin sulfate (CS)
and keratan sulfate (KS). CS is an acidic polysaccharide having wide range of
applications in pharmaceutical, cosmetic, and food industries. CS is extracted
from cartilage by tissue proteolysis with an exogenous proteinase or by
activating endogenous proteinases (autolysis) to release aggrecan-peptides from
the tissue. This review is focused on the latter technique. Bovine nasal and
tracheal cartilages, and broiler chicken sternum cartilage have been used for
autolysis studies. To extract aggrecan-peptide, cartilage tissues are cut into
small pieces, and incubated in a monovalent or divalent salt solution (e.g., 0.1
M sodium or calcium acetate) at pH 4.5 and 37 °C for 7 - 24 h. Most (~80% or
more) of total tissue uronic acid, a constituent sugar of aggrecan, is extracted
and released into the salt solution during incubation. Reextraction of the tissue
residue results in release of a small amount of uronic acid. Aggrecan-peptides
purified using anion exchange chromatography are large compounds containing CS
and KS. On gel chromatography, they are excluded from the column of Sephacryl S
300. Chemical composition analysis demonstrated that aggrecan-peptides from
either bovine or chicken cartilage contain >90% CS with small amount (< 10%) of
either KS or peptide. Patent information included production of aggrecan-peptide
substantially free of DNA. The bovine aggrecan-peptide prepared by tissue
autolysis has been used as a plate coating antigen in enzyme- linked
immunosorbent assay (ELISA) to determine KS.
PMID- 24923588
TI - If I had $7,000,000 to spend on radiology equipment today.
PMID- 24923589
TI - A 3 month old infant with a "strawberry" red mass on her nose.
PMID- 24923590
TI - Hospital staff caring for tracheotomy patients need better training, enquiry
finds.
PMID- 24923591
TI - NHS England's chief executive sets out thinking on new models of care.
PMID- 24923592
TI - Nearly one in 10 US residents has diabetes, CDC reports.
PMID- 24923593
TI - Glutaminase 1 is essential for the differentiation, proliferation, and survival
of human neural progenitor cells.
AB - Glutaminase is the enzyme that converts glutamine into glutamate, which serves as
a key excitatory neurotransmitter and one of the energy providers for cellular
metabolism. Previous studies have revealed that mice lacking glutaminase 1
(GLS1), the dominant isoform in the brain and kidney, died shortly after birth
due to disrupted glutamatergic transmission, suggesting the critical role of GLS1
in the physiological functions of synaptic network. However, whether GLS1
regulates neurogenesis, a process by which neurons are generated from neural
progenitor cells (NPCs), is unknown. Using a human NPC model, we found that both
GLS1 isotypes, kidney-type glutaminase and glutaminase C, were upregulated during
neuronal differentiation, which were correlated with the expression of neuronal
marker microtubule-associated protein 2 (MAP-2). To study the functional impact
of GLS1 on neurogenesis, we used small interference RNA targeting GLS1 and
determined the expressions of neuronal genes by western blot, real-time
polymerase chain reaction, and immunocytochemistry. siRNA silencing of GLS1
significantly reduced the expression of MAP-2, indicating that GLS1 is essential
for neurogenesis. To unravel the specific process(es) of neurogenesis being
affected, we further studied the proliferation and survival of NPCs in vitro.
siRNA silencing of GLS1 significantly reduced the Ki67(+) and increased the
TUNEL(+) cells, suggesting critical roles of GLS1 for the proliferation and
survival of NPCs. Together, these data suggest that GLS1 is critical for proper
functions of NPCs, including neuronal differentiation, proliferation, and
survival.
PMID- 24923594
TI - Quantitative assessment of susceptibility-weighted imaging processing methods.
AB - PURPOSE: To evaluate different susceptibility-weighted imaging (SWI) phase
processing methods and parameter selection, thereby improving understanding of
potential artifacts, as well as facilitating choice of methodology in clinical
settings. MATERIALS AND METHODS: Two major phase processing methods, homodyne
filtering and phase unwrapping-high pass (HP) filtering, were investigated with
various phase unwrapping approaches, filter sizes, and filter types. Magnitude
and phase images were acquired from a healthy subject and brain injury patients
on a 3T clinical Siemens MRI system. The results were evaluated based on image
contrast-to-noise ratio and presence of processing artifacts. RESULTS: When using
a relatively small filter size (32 pixels for the matrix size 512 * 512 pixels),
all homodyne-filtering methods were subject to phase errors leading to 2% to 3%
masked brain area in lower and middle axial slices. All phase unwrapping
filtering/smoothing approaches demonstrated fewer phase errors and artifacts
compared to the homodyne-filtering approaches. For performing phase unwrapping,
Fourier-based methods, although less accurate, were 2-4 orders of magnitude
faster than the PRELUDE, Goldstein, and Quality-guide methods. CONCLUSION:
Although homodyne-filtering approaches are faster and more straightforward, phase
unwrapping followed by HP filtering approaches perform more accurately in a wider
variety of acquisition scenarios.
PMID- 24923595
TI - Risk factors for perihilar cholangiocarcinoma: a hospital-based case-control
study.
AB - BACKGROUND & AIMS: Perihilar cholangiocarcinoma (pCCA) is the most common form of
bile duct cancer, arising from cholangiocytes at the confluence of hepatic ducts.
Given the diversity of cholangiocarcinoma (CCA) aetiology according to the
location, and the scarcity of studies on the aetiology of pCCA, we aimed to
identify the risk factors for pCCA. METHODS: A total of 81 patients diagnosed
with pCCA between July 2007 and December 2013, and 162 controls matched 2:1 for
age, sex and date of diagnosis were included in this hospital-based case-control
study. Potential risk factors were retrospectively investigated through clinical
records, and the associations with pCCA were studied by calculating the odds
ratios (ORs) using conditional logistic regression analysis. RESULTS: In the
univariate model, the prevalence of choledocholithiasis (OR: 14.00, P = 0.014),
hepatolithiasis (OR: 12.00, P = 0.021) and diabetes mellitus (DM) (OR: 2.74, P =
0.005) was higher in pCCA patients than in controls. Heavy smoking and cirrhosis
were marginally significant risk factors for pCCA (P < 0.1). Multivariate
analysis revealed an association between pCCA and hepatolithiasis,
choledocholithiasis, DM, and heavy smoking, each, with adjusted ORs of 16.47,
9.39, 3.36 and 2.52 respectively. DM, heavy smoking, hepatolithiasis and
choledocholithiasis accounted for about 22.5%, 17.1%, 8.5% and 4.8% of pCCA risk
respectively (population attributable risk percentage). CONCLUSION: Our data
showed that DM, heavy smoking, choledocholithiasis and hepatolithiasis were risk
factors for pCCA development, implying that pCCA may share some aetiological
factors with intrahepatic CCA although it has been classified as extrahepatic
CCA.
PMID- 24923596
TI - Frequency of coeliac disease in children with breath-holding spells.
AB - AIM: Iron deficiency anaemia (IDA), which is reported very commonly among
patients with breath holding spells (BHS), is the most common presentation of
coeliac disease (CD). In that aspect, IDA may be a common pathway linking these
two diseases. The aim of this study was to evaluate the frequency of CD in
patients with BHS. METHODS: We studied 348 children with BHS, and 470 age- and
sex-matched controls with no known disease. Serological screening for CD was
performed in all patients by searching for serum tissue transglutaminase
immunoglobulin A. RESULTS: The first group consisted of 348 children with BHS
(231 males, 117 females; mean age 2.23 +/- 1.84 years), and the second group
consisted of 470 healthy children (284 males, 186 females; mean age 2.11 +/- 1.98
years). A total of 300 (86.2%) patients had cyanotic type of BHS only, 27 (7.8%)
had pallid type of BHS only and 21 (6%) had mixed type of BHS. The prevalence of
IDA was statistically significantly higher in BHS patients compared with
controls. Tissue transglutaminase immunoglobulin A was not detected as positive
in any patients in either group; therefore, endoscopic and histopathological
examinations were not performed. CONCLUSIONS: Our report is the first to describe
the frequency of tissue transglutaminase immunoglobulin A positivity in patients
with BHS. There was no evidence of a relationship between CD and BHS, but IDA
seems to be an important risk factor in the development of BHS. Therefore,
serological screening for CD in patients with BHS does not seem to be necessary.
PMID- 24923597
TI - A stable polyaniline-benzoquinone-hydroquinone supercapacitor.
AB - A Polyaniline-Supercapacitor with quinone electrolytes remains stable over 50 000
galvanostatic charge-discharge cycles. The quinones provide superior stability by
preventing the conversion of porous polyaniline to a highly reactive state. Our
work shows that highly stable polymer-supercapacitors can be engineered by
combining electrochemically active polymers and redox-active electrolytes with
concerted electrochemical properties.
PMID- 24923599
TI - The Pinch Rhytidectomy: A Safe, Effective, "Low SMAS" Variation on the Theme.
AB - BACKGROUND: The competitive efficacy of the superficial musculoaponeurotic system
(SMAS) rhytidectomy (SMASectomy) facelift has been well established. However, the
outcomes after an associated open necklift have not been as reliable; the
persistent incidence of recurrent bands and iatrogenic deformities has prompted a
change in technique. OBJECTIVES: The author conducted a long-term retrospective
review to evaluate a variation on the SMASectomy facelift technique. A solely
lateral, "low SMAS" approach was substituted for direct necklift in all patients.
METHODS: Patients who were candidates for a facelift underwent this laterally
based, SMASectomy technique. Those who underwent concomitant full-face CO2 laser
resurfacing were excluded from the review. A total of 198 patients treated
consecutively during a 7-year period (2006-2012) were included in the study.
RESULTS: Postoperative follow-up ranged from 1 to 7 years. All patients' neck
deformities were fully corrected by the solely lateral SMASectomy technique. In
addition, the patients recovered more rapidly than with traditional direct
necklift, with no nerve injuries, skin compromise, or hypertrophic scarring.
CONCLUSIONS: Results indicate that midline open necklift can be replaced by the
low SMASectomy. LEVEL OF EVIDENCE: 4.
PMID- 24923598
TI - Serum mitochondrial biomarkers and damage-associated molecular patterns are
higher in acetaminophen overdose patients with poor outcome.
AB - Acetaminophen (APAP) overdose is a major cause of acute liver failure (ALF).
Numerous studies have shown that APAP hepatotoxicity in mice involves
mitochondrial dysfunction, and recent data suggest that this is also the case in
humans. We have previously shown that glutamate dehydrogenase (GDH),
mitochondrial DNA (mtDNA), and nuclear DNA (nDNA) fragments can be measured in
circulation of overdose patients as mechanistic biomarkers of mitochondrial
damage and damage-associated molecular patterns. In the present study, our aim
was to determine whether these biomarkers are higher in serum from nonsurvivors
of APAP-induced ALF (AALF), compared to survivors. GDH, mtDNA, and nDNA fragments
were measured in serum from AALF patients who did (n = 34) or did not (n = 35)
recover. Importantly, all three were significantly increased in patients who
died, compared to those who survived (GDH: 450 +/- 73 vs. 930 +/- 145 U/L; mtDNA:
21 +/- 6 vs. 48 +/- 13 and 33 +/- 10 vs. 43 +/- 7 ng/mL for two different genes;
nDNA fragments: 148 +/- 13 vs. 210 +/- 13% of control). Receiver operating
characteristic (ROC) curve analyses revealed that nDNA fragments, GDH, and mtDNA
were predictive of outcome (area under the curve [AUC], study admission: 0.73,
0.70, and 0.71 or 0.76, respectively, P < 0.05; AUC, time of peak ALT: 0.78,
0.71, and 0.71 or 0.76, respectively, P < 0.05), and the results were similar to
those from the Model for End-Stage Liver Disease (MELD; AUC, peak MELD: 0.77; P <
0.05). CONCLUSIONS: Our data suggest that patients with more mitochondrial damage
are less likely to survive, demonstrating that mitochondria are central in the
mechanisms of APAP hepatotoxicity in humans. Clinically, serum nDNA fragments,
GDH, and mtDNA could be useful as part of a panel of biomarkers to predict
patient outcome. (Hepatology 2014;60:1336-1345).
PMID- 24923600
TI - Does Cryolipolysis Lead to Skin Tightening? A First Report of Cryodermadstringo.
PMID- 24923601
TI - Clarification about occurrence of complications during liposuction.
PMID- 24923603
TI - Inappropriate antidiuretic hormone syndrome presenting as ectopic antidiuretic
hormone-secreting gastric adenocarcinoma: a case report.
AB - INTRODUCTION: Although the syndrome of inappropriate antidiuretic hormone has
connection with various malignant tumors, there are few reports associated with
advanced gastric cancer. CASE PRESENTATION: We describe the case of a 63-year-old
Korean male with inappropriate antidiuretic hormone syndrome due to an ectopic
antidiuretic hormone-producing advanced gastric adenocarcinoma manifested with
overt serum hypo-osmolar hyponatremia and high urinary sodium concentrations. His
adrenal, thyroidal, and renal functioning were normal, and the hyponatremia
improved following removal of the tumor. The cancer cells were immunostained and
found to be positive for the antidiuretic hormone. To our knowledge, this is the
first report of an antidiuretic hormone-secreting advanced gastric adenocarcinoma
associated with the syndrome of inappropriate antidiuretic hormone, showing
cancer cells immunostained for the antidiuretic hormone. CONCLUSIONS: Although a
strong relationship between gastric cancer and the syndrome of inappropriate
antidiuretic hormone remains to be established, we suggest that gastric cancer
could be included as a differential diagnosis of cancer that is associated with
the syndrome of antidiuretic hormone.
PMID- 24923604
TI - Interaction between pre-weaning undernutrition and post-weaning environmental
enrichment on somatic development and behaviour in male and female rats.
AB - Male and female rats were undernourished from birth to 30 days by restricting
access to the lactating mother, and then fed ad libitum. At weaning, underfed and
normally suckled controls were permanently housed either in pairs in standard
cages or in groups of 10 in 1 m(3) cages containing ladders, ropes etc. Severe
undernutrition during suckling followed by 4 months of refeeding, produced some
changes in sexual behaviour in adult males (increased ejaculation frequency) but
had no effect on behaviour in open field, dark preference or passive avoidance.
Differential post-weaning environment produced significant differences in
behaviour, irrespective of previous feeding conditions. Enriched animals were
more active and exploratory. Females differed from males in the same direction as
enriched from standard, and were more responsive to social and housing
conditions.
PMID- 24923605
TI - Intermale aggression of subordinate resident long-evans rats.
AB - Dominant male rats were separated from their colonies and subordinate residents
were tested for aggression after a two week period. Subordinate animals showed
significant increases in body weight and aggressive behavior toward intruders.
Replacement of the previously dominant male led to rank reversals in three of six
colonies. To assess whether two weeks of separation from the dominant male was
necessary for subordinate males to exhibit aggression towards conspecifics,
another intruder test was given following an additional two weeks of social
housing. At the conclusion of this test, the more aggressive resident was removed
and a naive intruder was immediately introduced into the colony with the
subordinate male resident and again at 1, 5, and 10 day intervals. Aggressive
posturing by the subordinate resident increased immediately following the removal
of the dominant male, and remained at a high level throughout the subsequent
intruder tests. In contrast, biting was initially low but increased steadily
throughout the period of separation. These findings demonstrated that social or
situational changes within a colony can greatly influence the aggression of
subordinate males.
PMID- 24923602
TI - Salicylic acid signaling controls the maturation and localization of the
arabidopsis defense protein ACCELERATED CELL DEATH6.
AB - ACCELERATED CELL DEATH6 (ACD6) is a multipass membrane protein with an ankyrin
domain that acts in a positive feedback loop with the defense signal salicylic
acid (SA). This study implemented biochemical approaches to infer changes in ACD6
complexes and localization. In addition to forming endoplasmic reticulum (ER)-
and plasma membrane (PM)-localized complexes, ACD6 forms soluble complexes, where
it is bound to cytosolic HSP70, ubiquitinated, and degraded via the proteasome.
Thus, ACD6 constitutively undergoes ER-associated degradation. During SA
signaling, the soluble ACD6 pool decreases, whereas the PM pool increases.
Similarly, ACD6-1, an activated version of ACD6 that induces SA, is present at
low levels in the soluble fraction and high levels in the PM. However, ACD6
variants with amino acid substitutions in the ankyrin domain form aberrant,
inactive complexes, are induced by a SA agonist, but show no PM localization. SA
signaling also increases the PM pools of FLAGELLIN SENSING2 (FLS2) and BRI1
ASSOCIATED RECEPTOR KINASE 1 (BAK1). FLS2 forms complexes ACD6; both FLS2 and
BAK1 require ACD6 for maximal accumulation at the PM in response to SA signaling.
A plausible scenario is that SA increases the efficiency of productive folding
and/or complex formation in the ER, such that ACD6, together with FLS2 and BAK1,
reaches the cell surface to more effectively promote immune responses.
PMID- 24923606
TI - Effects of varied prior manipulatory or consummatory behaviours on nut opening,
predation, novel foods consumption, nest building, and food tablet grasping in
rats.
AB - Varied manipulatory-gnawing experience with nonfood objects facilitated later nut
opening and insect predation in rats. Varied food consumption, which minimized
manipulation, facilitated the hulling and consumption of sunflower seeds. Both
treatments weakly facilitated forepaw food tablet grasping but neither treatment
altered novel foods consumption or nest building. The data suggest that these two
forms of prior experience contribute differentially to some later behaviours
depending upon the sensory-motoric demands of the task and/or upon the intensity
of food related stimulation.
PMID- 24923607
TI - Effects of residence, aggressive experience and intruder familiarity on attack
shown by male mice.
AB - Two groups of 12 mature male Swiss Morini strain mice were matched for
aggressiveness on the basis of their response to anosmic docile male intruders
after 24 h individual housing in a large defensible cage. One group was
subsequently individually housed without disturbance for a further 12 days
whereas the second group was exposed to a new anosmic intruder every 3 days over
this same period. All mice were subsequently retested against anosmic intruders
for a 10 min period. Animals which had had the opportunity to fight repeatedly
showed more attack than individuals lacking such experience. A second experiment
contrasted the responses of dominant isolates to the same or a different anosmic
intruder, 10 min after a successful attack. Familiar intruders were attacked less
vigorously than unfamiliar mice. It seems likely that the defeated mouse becomes
less potent as a stimulus eliciting attack as the resident becomes habituated to
it. Conversely, unfamiliar intruders evoke aggressive reactions by residents
despite the prolonged fights they had had before final testing. These experiments
provide little support for the appetence view of aggression in mice (i.e. the
view that aggressiveness is augmented by depriving the animal of the opportunity
to fight) or the view that this phenomenon is simply a consequence of "social
deprivation".
PMID- 24923608
TI - Suppression of reproductive maturation in male-stimulated virgin female microtus
by a female urinary chemosignal.
AB - Urine from female Microtus ochrogaster possesses a chemosignal that suppresses
reproductive maturation in other females. Uterine enlargement in virgin females
stimulated by a male was suppressed by subsequent association with another female
or by application of female urine on the nose. Females so suppressed are not able
to achieve estrus. Urine from virgin sibling and non-sibling females and from
pregnant females possesses the suppressing effect.
PMID- 24923609
TI - Neonate orientation towards human voice differs with type of feeding.
AB - Within the first day after birth, infants develop the tendency to respond to
human voices with enhanced asymmetrical mouthing. Breast-fed infants generally
mouthed in the same direction as the voice while bottle-fed infants usually
mouthed towards the left, wherever the voice came from. In both groups, this
response thus reflects the orientation of anticipatory mouthing in the feeding
situation.
PMID- 24923610
TI - Ontogenese de certains aspects de l'orientation du grillon des bois Nemobius
sylvestris (Bosc), dans son milieu naturel.
AB - The ontogeny of the orientation of the wood-cricket, Nemobius sylvestris, was
studied experimentally in a variety of biotopes. In each experimental situation,
the straightness of the routes taken by crickets improves with age. These results
demonstrate that, depending on the variety of the constraints within each living
area, various local individual solutions to the problem of orientation develop
during ontogeny.
PMID- 24923611
TI - Cleaning behaviour of the goldsinny (Pisces, Labridae) in Swedish waters.
AB - The goldsinny, Ctenolabrus rupestris , on the Swedish west-coast has been shown
to be a facultative cleaner with the ballan wrasse, Labrus berggylta , as the
cleanee. Twenty-four cleanings were observed during 1975 to 1981. The cleaning
pattern of the symbiosis is described and the low rate of cleaners within the
species is discussed.
PMID- 24923612
TI - Finite state grammars for dialects of the advertising song of the savannah
sparrow (Passerculus Sandwichensis ).
AB - Right-linear finite state grammars were extracted for song sequences of Savannah
Sparrows (Passerculus sandwichensis) from Ontario and Nova Scotia. The Nova
Scotia grammar was found to be longer than the Ontario grammar (possess more
rewrite statements) and allow for the production of sequences containing
consecutive trills. These differences are discussed with respect to genetic and
environmental factors shaping song behaviour in the two regions. Limitations of a
nonstochastic finite state grammar procedure are also addressed.
PMID- 24923617
TI - Instructions and format of a camera-ready paper for direct photo-offset
reproduction.
AB - These instructions are presented to assist you in preparing a typescript
(Chomsky, 1977) which is suitable for direct photo-offset reproduction. Your
manuscript (Rome and Rome, 1971) should be prepared on special paper (Freed et
at., 1975) provided by the publisher. It will be photographically reproduced,
whereby a reduction to 75% of its original size will be applied.
PMID- 24923618
TI - Meta-analysis of face processing event-related potentials in schizophrenia.
AB - BACKGROUND: Schizophrenia is associated with impaired face processing. N170 and
N250 are two event-related potentials that have been studied in relation to face
processing in schizophrenia, but the results have been mixed. The aim of this
article was to conduct a meta-analysis of N170 and N250 in schizophrenia to
evaluate trends and resolve the inconsistencies. METHODS: Twenty-one studies of
N170 (n = 438 schizophrenia patients, n = 418 control subjects) and six studies
of N250 (n = 149 schizophrenia patients, n = 151 control subjects) were
evaluated. Hedges' g was calculated for each study, and the overall weighted mean
effect size (ES) was calculated for N170 and N250. Homogeneity of the ES
distributions, potential publication bias, and impact of potential moderators
were also assessed. RESULTS: The amplitude of both N170 and N250 to face stimuli
was smaller in patients than control subjects (N170 ES = .64; N250 ES = .49; ps <
.001). The distributions of the ES were homogeneous (ps > .90), and there was no
indication of a publication bias. We found no significant effect of task
requirements regarding judgments of the face stimuli. Moreover, we found no
significant difference between the ES for N170 and N250. CONCLUSIONS: Though
findings of individual studies have been mixed, the results of the meta-analysis
strongly support disruption of N170 and N250 in schizophrenia. The comparable
effect sizes across the two waveforms suggest that the well-established
behavioral deficit in face emotion processing is mirrored in an underlying neural
impairment for processing faces.
PMID- 24923619
TI - Event-related potential and time-frequency endophenotypes for schizophrenia and
psychotic bipolar disorder.
AB - BACKGROUND: The investigators compared event-related potential (ERP) amplitudes
and event-related oscillations across a broad frequency range during an auditory
oddball task using a comprehensive analysis approach to describe shared and
unique neural auditory processing characteristics among healthy subjects (HP),
schizophrenia probands (SZ) and their first-degree relatives, and bipolar
disorder I with psychosis probands (BDP) and their first-degree relatives.
METHODS: This Bipolar-Schizophrenia Network on Intermediate Phenotypes sample
consisted of clinically stable SZ (n = 229) and BDP (n = 188), HP (n = 284),
first-degree relatives of schizophrenia probands (n = 264), and first-degree
relatives of bipolar disorder I with psychosis probands (n = 239). They were
administered an auditory oddball task in the electroencephalography environment.
Principal components analysis derived data-driven frequency bands evoked power.
Spatial principal components analysis reduced ERP and frequency data to component
waveforms for each subject. Clusters of time bins with significant group
differences on response magnitude were assessed for proband/relative differences
from HP and familiality. RESULTS: Nine variables survived a linear discriminant
analysis between HP, SZ, and BDP. Of those, two showed evidence (deficit in
relatives and familiality) as genetic risk markers more specific to SZ (N1, P3b),
one was specific to BDP (P2) and one for psychosis in general (N2). CONCLUSIONS:
This study supports for both shared and unique deficits in early sensory and late
cognitive processing across psychotic diagnostic groups. Additional ERP and time
frequency component alterations (frontal N2/P2, late high, early, mid, and low
frequency) may provide insight into deficits in underlying neural architecture
and potential protective/compensatory mechanisms in unaffected relatives.
PMID- 24923620
TI - Differentiation of calcified regions and iron deposits in the ageing brain on
conventional structural MR images.
AB - PURPOSE: In the human brain, minerals such as iron and calcium accumulate
increasingly with age. They typically appear hypointense on T2*-weighted MRI
sequences. This study aims to explore the differentiation and association between
calcified regions and noncalcified iron deposits on clinical brain MRI in
elderly, otherwise healthy subjects. MATERIALS AND METHODS: Mineral deposits were
segmented on co-registered T1- and T2*-weighted sequences from 100 1.5 Tesla MRI
datasets of community-dwelling individuals in their 70s. To differentiate
calcified regions from noncalcified iron deposits we developed a method based on
their appearance on T1-weighted images, which was validated with a purpose
designed phantom. Joint T1- and T2*-weighted intensity histograms were
constructed to measure the similarity between the calcified and noncalcified iron
deposits using a Euclidean distance based metric. RESULTS: We found distinct
distributions for calcified regions and noncalcified iron deposits in the
cumulative joint T1- and T2*-weighted intensity histograms across all subjects
(correlations ranging from 0.02 to 0.86; mean = 0.26 +/- 0.16; t = 16.93; P <
0.001) consistent with differences in iron and calcium signal in the phantom. The
mean volumes of affected tissue per subject for calcified and noncalcified
deposits were 236.74 +/- 309.70 mm(3) and 283.76 +/- 581.51 mm(3); respectively.
There was a positive association between the mineral depositions (beta = 0.32, P
< 0.005), consistent with existing literature reports. CONCLUSION: Calcified
mineral deposits and noncalcified iron deposits can be distinguished from each
other by signal intensity changes on conventional 1.5T T1-weighted MRI and are
significantly associated in brains of elderly, otherwise healthy subjects.
PMID- 24923621
TI - The management of early osteoarthritis.
PMID- 24923622
TI - Physical activity, weight status, diabetes and dementia: a 34-year follow-up of
the population study of women in Gothenburg.
AB - BACKGROUND: There is evidence of a synergistic interaction between obesity and
sedentary lifestyle with respect to diabetes. Although diabetes is a known risk
factor for dementia, it is unclear if both diseases have common aetiologies.
METHODS: A community-based sample of 1,448 Swedish women, aged 38-60 years and
free of diabetes and dementia in 1968, was followed by means of up to 5
examinations spread over 34 years. 9.6% of all women developed diabetes and 11.4%
developed dementia (over 40,000 person-years of follow-up for each disease). Cox
proportional hazard regression was used to assess the influence of selected risk
factors on both diseases, and the relation between diabetes and dementia.
RESULTS: Comparing risk factors for incident diabetes and dementia, both diseases
showed a synergistic association with obesity combined with a low level of
leisure time physical activity [hazard ratio (HR) for interaction = 2.7, 95%
confidence interval (CI) = 1.2-6.3 for diabetes and HR = 3.3, 95% CI = 1.1-9.9
for dementia]. Development of diabetes doubled the risk for subsequent dementia
(HR = 2.2, 95% CI = 1.1-4.4), which was slightly reduced upon adjustment for
common risk factors. CONCLUSIONS: Shared risk factors suggest a similar aetiology
for diabetes and dementia and partially explain the association between diseases.
PMID- 24923623
TI - Steps toward improving diet and exercise for cancer survivors (STRIDE): a quasi
randomised controlled trial protocol.
AB - BACKGROUND: Cancer survivorship rates have increased in developed countries
largely due to population ageing and improvements in cancer care. Survivorship is
a neglected phase of cancer treatment and is often associated with adverse
physical and psychological effects. There is a need for broadly accessible, non
pharmacological measures that may prolong disease-free survival, reduce or
alleviate co-morbidities and enhance quality of life. The aim of the Steps TowaRd
Improving Diet and Exercise (STRIDE) study is to evaluate the effectiveness of an
online-delivered physical activity intervention for increasing walking in cancer
survivors living in metropolitan and rural areas of South Australia.
METHODS/DESIGN: This is a quasi-randomised controlled trial. The intervention
period is 12-weeks with 3-month follow-up. The trial will be conducted at a
university setting and community health services in South Australia. Participants
will be insufficiently active and aged 18 years or older. Participants will be
randomly assigned to either the intervention or control group. All participants
will receive a pedometer but only the intervention group will have access to the
STRIDE website where they will report steps, affect and ratings of perceived
exertion (RPE) during exercise daily. Researchers will use these variables to
individualise weekly step goals to increase walking.The primary outcome measure
is steps per day. The secondary outcomes are a) health measures (anthropometric
and physiological), b) dietary habits (consumption of core foods and non-core
foods) and c) quality of life (QOL) including physical, psychological and social
wellbeing. Measures will be collected at baseline, post-intervention and 3-month
follow-up. DISCUSSION: This protocol describes the implementation of a trial
using an online resource to assist cancer survivors to become more physically
active. It is an innovative tool that uses ratings of perceived exertion and
daily affect to create individualised step goals for cancer survivors. The
research findings may be of relevance to public health policy makers as an
efficacious and inexpensive online-delivered intervention can have widespread
application and may improve physical and psychological outcomes among this
vulnerable population. Findings may indicate directions for the implementation of
future physical activity interventions with this population. TRIAL REGISTRATION:
Australian New Zealand Clinical Trials Registry: ACTRN12613000473763.
PMID- 24923624
TI - A quick, easy, cheap, effective, rugged, and safe method for the simultaneous
detection of four triazolone herbicides in cereals combined with ultrahigh
performance liquid chromatography with tandem mass spectrometry.
AB - This paper describes a novel, rapid, and sensitive analytical method for
monitoring four triazolone herbicides in cereals (wheat, rice, corn, and
soybean), using a quick, easy, cheap, effective, rugged, and safe sample
extraction procedure followed by ultrahigh performance liquid chromatography
coupled with tandem mass spectrometry. The four triazolone herbicides
(amicarbazone, carfentrazone-ethyl, sulfentrazone, and thiencarbazone-methyl)
were extracted using acidified acetonitrile (containing 1% v/v formic acid) and
subsequently purified with octadecylsilane (C18 ) prior to sample analysis.
Ultrahigh performance liquid chromatography coupled with tandem mass spectrometry
was operated in positive and negative ionization switching mode. Amicarbazone and
carfentrazone-ethyl were detected in the positive mode (ESI+), while
sulfentrazone and thiencarbazone-methyl were detected in the negative mode (ESI
). All compounds were successfully separated in less than 3.0 min. Further
optimization achieved desired recoveries ranging from 74.5 to 102.1% for all
analytes with relative standard deviation values <=17.2% in all tested matrices
at three levels (10, 100, and 500 MUg/kg). The limits of detection for all
compounds were <=2.3 MUg/kg, and the limits of quantitation did not exceed 7.1
MUg/kg. The developed method showed excellent linearity (R(2) >= 0.994) and was
proven to be highly efficient and reliable for the routine monitoring of
triazolone herbicides in cereals.
PMID- 24923625
TI - The Relationship Between Structural Game Characteristics and Gambling Behavior: A
Population-Level Study.
AB - The aim of this study was to examine the relationship between the structural
characteristics and gambling behavior among video lottery terminal (VLT)
gamblers. The study was ecological valid, because the data consisted of actual
gambling behavior registered in the participants natural gambling environment
without intrusion by researchers. Online behavioral tracking data from Multix, an
eight game video lottery terminal, were supplied by Norsk-Tipping (the state
owned gambling company in Norway). The sample comprised the entire population of
Multix gamblers (N = 31,109) who had gambled in January 2010. The individual
number of bets made across games was defined as the dependent variable, reward
characteristics of a game (i.e., payback percentage, hit frequency, size of
winnings and size of jackpot) and bet characteristics of a game (i.e., range of
betting options and availability of advanced betting options) served as the
independent variables. Control variables were age and gender. Two separate cross
classified multilevel random intercepts models were used to analyze the
relationship between bets made, reward characteristics and bet characteristics,
where the number of bets was nested within both individuals and within games. The
results show that the number of bets is positively associated with payback
percentage, hit frequency, being female and age, and negatively associated with
size of wins and range of available betting options. In summary, the results show
that the reward characteristics and betting options explained 27% and 15% of the
variance in the number of bets made, respectively. It is concluded that
structural game characteristics affect gambling behavior. Implications of
responsible gambling are discussed.
PMID- 24923626
TI - New autostatic surgical retractor in head and neck surgery.
PMID- 24923627
TI - RE: De Boer EWJ, Dijkstra PU, Stegenga B, et al. Value of cone-beam computed
tomography in the process of diagnosis and management of disorders of the
temporomandibular joint. Br J Oral Maxillofac Surg 52;2014:241-46.
PMID- 24923628
TI - Communication problems after the Great East Japan Earthquake of 2011.
AB - OBJECTIVES: After the 2011 Great East Japan Earthquake, the resource utilization
of and the problems encountered with communication devices were examined.
METHODS: A questionnaire survey was submitted to disaster medical assistance
teams (DMATs) that were at the primary sites of destruction after the earthquake.
RESULTS: We collected data from 196 teams. During the first 4 days after the
earthquake, the use of mobile phones, laptop computers, and landline phones was
rated as poor to moderate, and satisfaction was very low, while satisfaction with
satellite phones was rated as good to moderate (50%). The degree of satisfaction
continued to increase gradually over time. Satellite phones, however, had several
problems: poor reception, line instability, voice call use only, and inability to
send large amounts of data. CONCLUSIONS: To ensure effective communication during
the acute phase in the aftermath of large disasters, a new satellite
communication device is needed that not only is portable, battery powered, and
able to send large volumes of data, but also offers stable communication.
PMID- 24923629
TI - Study on the synthesis of sulfonamide derivatives and their interaction with
bovine serum albumin.
AB - Three sulfonamide derivatives (SAD) were first synthesized from p-hydroxybenzoic
acid and sulfonamides (sulfadimidine, sulfamethoxazole and sulfachloropyridazine
sodium) and were characterized by elemental analysis, (1) H NMR and MS. The
interaction between bovine serum albumin (BSA) and SAD was studied using UV/vis
absorption spectroscopy, fluorescence spectroscopy, time-resolved fluorescence
spectroscopy and circular dichroism spectra under imitated physiological
conditions. The experimental results indicated that SAD effectively quenched the
intrinsic fluorescence of BSA via a static quenching process. The thermodynamic
parameters showed that hydrogen bonding and van der Waal's forces were the
predominant intermolecular forces between BSA and two SADs [4-((4-(N-(4,6
dimethylpyrimidin-2-yl)sulfamoyl)phenyl)carbamoyl)phenyl acetate and 4-((4-(N-(5
methylisoxazol-3-yl)sulfamoyl)phenyl)carbamoyl)phenyl acetate], but hydrophobic
forces played a major role in the binding process of BSA and 4-((4-(N-(6
chloropyridazin-3-yl)sulfamoyl)phenyl) carbamoyl)phenyl acetate. In addition, the
effect of SAD on the conformation of BSA was investigated using synchronous
fluorescence spectroscopy and circular dichroism spectra. Molecular modeling
results showed that SAD was situated in subdomain IIA of BSA.
PMID- 24923630
TI - Fluorescent-tilmanocept for tumor margin analysis in the mouse model.
AB - BACKGROUND: Dendritic cells (DC) are localized in close proximity to cancer cells
in many well-known tumors, and thus maybe a useful target for tumor margin
assessment. MATERIALS AND METHODS: [(99m)Tc]- cyanine 7 (Cy7)-tilmanocept was
synthesized and in vitro binding assays to bone marrow-derived DC were performed.
Fifteen mice, implanted with either 4T1 mouse mammary or K1735 mouse melanoma
tumors, were administered 1.0 nmol of [(99m)Tc]-Cy7-tilmanocept via tail vein
injection. After fluorescence imaging 1 or 2 h after injection, the tumor,
muscle, and blood were assayed for radioactivity to calculate percent-injected
dose. Digital images of the tumors after immunohistochemical staining for DC were
analyzed to determine DC density. RESULTS: In vitro binding demonstrated
subnanomolar affinity of [(99m)Tc]-Cy7-tilmanocept to DC (KA = 0.31 +/- 0.11 nM).
After administration of [(99m)Tc]-Cy7-tilmanocept, fluorescence imaging showed a
5.5-fold increase in tumor signal as compared with preinjection images and a 3.3
fold difference in fluorescence activity when comparing the tumor with the
surgical bed after tumor excision. Immunohistochemical staining analysis
demonstrated that DC density positively correlated with tumor percent of injected
dose per gram (r = 0.672, P = 0.03), and higher DC density was observed at the
periphery versus center of the tumor (186 +/- 54 K versus 64 +/- 16 K arbitrary
units, P = 0.001). CONCLUSIONS: [(99m)Tc]-Cy7-tilmanocept exhibits in vitro and
in vivo tumor-specific binding to DC and maybe useful as a tumor margin targeting
agent.
PMID- 24923631
TI - Moving beyond survival as a measure of success: understanding the patient
experience of necrotizing soft-tissue infections.
AB - BACKGROUND: Necrotizing soft tissue infections (NSTIs) represent a devastating
spectrum of disease with high case mortality and resource intensive care.
Treatment consists of wide surgical debridement and often prolonged periods of
intensive care unit care. Major complications are common. The severity of the
disease and the aggressive treatment requirements put survivors at risk for
significant long-term sequelae related to the trauma of both disease and
treatment. Information about the postdischarge impact of NSTIs on survivors is
extremely limited. Qualitative approaches are typically used to explore new
phenomena and to capture individuals' thoughts, feelings, or interpretations of
meaning and process. Therefore, qualitative methods are ideally suited for
hypothesis generation to guide future quantitative study and ensure measured
outcomes are patient-centered. MATERIALS AND METHODS: Semistructured interviews
were conducted with survivors of NSTIs from a single regional referral center.
Stratified purposive sampling was used to select interview candidates based on
severity of illness. Descriptive thematic analysis was performed to identify
major themes described by the patients. RESULTS: Interviews with 18 survivors
identified three primary domains of experience: (1) individual factors, (2)
relational factors, and (3) societal factors. These domains were split into the
following two categories: factors effecting the disease process and recovery, and
factors that were outcomes of the disease process. Each category yielded between
one and seven themes. Themes identified included physical, psychological,
relationship, and employment aspects. CONCLUSIONS: Patient's reported quality of
life was significantly affected by their disease and recovery. The results
demonstrate that many outcomes of importance for patients are not easily assessed
by traditional measures of outcomes. This work illustrates the multidimensional
nature of recovery from critical illness, and shows that it represents a massive
transition period in the lives of survivors that affects the patient, their
family, and the patient's ability to interact with society. Interviews with
survivors ensure that the patient voice is heard before developing tools to
assess long-term outcomes.
PMID- 24923632
TI - Effect of physicochemical parameters on nitrile-hydrolyzing potentials of newly
isolated nitrilase of Fusarium oxysporum f. sp. lycopercisi ED-3.
AB - In recent years, nitrilases from fungus have received increasing attention, and
most of the studies are performed on nitrilases of bacterial origin. Frequently
used methods are based on analytical methods such as high-performance liquid
chromatography, liquid chromatography-mass spectrometry, and gas chromatography;
therefore, an efficient, user friendly, and rapid method has been developed to
screen nitrilase enzyme based on the principle of color change of a pH indicator.
Phenol red amended with the minimal medium appears light yellow at neutral pH,
which changes into pink with the formation of ammonia, indicating nitrilase
activity in the reaction medium. A highly potent strain ED-3 identified as
Fusarium oxysporum f. sp. lycopercisi (specific activity 17.5 umol/Min/mg dcw)
was isolated using this method. The nitrilase activity of F. oxysporum f. sp.
lycopercisi ED-3 strain showed wide substrate specificity toward aliphatic
nitriles, aromatic nitriles, and orthosubstituted heterocyclic nitriles. 4
Aminobenzonitrile was found to be a superior substrate among all the nitriles
used in this study. This nitrilase was active within pH 5-10 and temperature
ranging from 25 to 60 degrees C with optimal at pH 7.0 and temperature at 50
degrees C. The nitrilase activity was enhanced to several folds through
optimization of culture and biotransformation conditions from 1,121 to 1,941
umol/Min.
PMID- 24923634
TI - Grazing under experimental hypercapnia and elevated temperature does not affect
the radula of a chiton (Mollusca, Polyplacophora, Lepidopleurida).
AB - Chitons (class Polyplacophora) are benthic grazing molluscs with an eight-part
aragonitic shell armature. The radula, a serial tooth ribbon that extends
internally more than half the length of the body, is mineralised on the active
feeding teeth with iron magnetite apparently as an adaptation to constant grazing
on rocky substrates. As the anterior feeding teeth are eroded they are shed and
replaced with a new row. The efficient mineralisation and function of the radula
could hypothetically be affected by changing oceans in two ways: changes in
seawater chemistry (pH and pCO2) may impact the biomineralisation pathway,
potentially leading to a weaker or altered density of the feeding teeth; rising
temperatures could increase activity levels in these ectothermic animals, and
higher feeding rates could increase wear on the feeding teeth beyond the animals'
ability to synthesise, mineralise, and replace radular rows. We therefore
examined the effects of pH and temperature on growth and integrity in the radula
of the chiton Leptochiton asellus. Our experiment implemented three temperature
(~10, 15, 20 degrees C) and two pCO2 treatments (~400 MUatm, pH 8.0; ~2000
MUatm, pH 7.5) for six treatment groups. Animals (n = 50) were acclimated to the
treatment conditions for a period of 4 weeks. This is sufficient time for growth
of ca. 7-9 new tooth rows or 20% turnover of the mineralised portion. There was
no significant difference in the number of new (non-mineralised) teeth or total
tooth row count in any treatment. Examination of the radulae via SEM revealed no
differences in microwear or breakage on the feeding cusps correlating to
treatment groups. The shell valves also showed no signs of dissolution. As a
lineage, chitons have survived repeated shifts in Earth's climate through
geological time, and at least their radulae may be robust to future
perturbations.
PMID- 24923633
TI - Daily walking and the risk of incident functional limitation in knee
osteoarthritis: an observational study.
AB - OBJECTIVE: Physical activity is recommended to mitigate functional limitations
associated with knee osteoarthritis (OA). However, it is unclear whether walking
on its own protects against the development of functional limitation. METHODS:
Walking over 7 days was objectively measured as steps/day within a cohort of
people with or at risk of knee OA from the Multicenter Osteoarthritis Study.
Incident functional limitation over 2 years was defined by performance-based
(gait speed <1.0 meter/second) and self-report (Western Ontario and McMaster
Universities Osteoarthritis Index physical function score >28 of 68) measures. We
evaluated the association of steps/day at baseline with developing functional
limitation 2 years later by calculating risk ratios adjusted for potential
confounders. The number of steps/day that best distinguished risk for developing
functional limitation was estimated from the maximum distance from chance on
receiver operating characteristic curves. RESULTS: Among 1,788 participants (mean
age 67 years, mean body mass index 31 kg/m(2) , 60% women), each additional 1,000
steps/day was associated with a 16% and 18% reduction in incident functional
limitation by performance-based and self-report measures, respectively. Walking
<6,000 and <5,900 steps/day were the best thresholds to distinguish incident
functional limitation by performance-based (sensitivity 67.3%, specificity 71.8%)
and self-report (sensitivity 58.7%, specificity 68.9%) measures, respectively.
CONCLUSION: More walking was associated with less risk of functional limitation
over 2 years. Walking >6,000 steps/day provides a preliminary estimate of the
level of walking activity to protect against developing functional limitation in
people with or at risk of knee OA.
PMID- 24923635
TI - Lessons learned during the development and transfer of technology related to a
new Hib conjugate vaccine to emerging vaccine manufacturers.
AB - The incidence of Haemophilus Influenzae type b (Hib) disease in developed
countries has decreased since the introduction of Hib conjugate vaccines in their
National Immunization Programs (NIP). In countries where Hib vaccination is not
applied routinely, due to limited availability and high cost of the vaccines,
invasive Hib disease is still a cause of mortality. Through the development of a
production process for a Hib conjugate vaccine and related quality control tests
and the transfer of this technology to emerging vaccine manufacturers in
developing countries, a substantial contribution was made to the availability and
affordability of Hib conjugate vaccines in these countries. Technology transfer
is considered to be one of the fastest ways to get access to the technology
needed for the production of vaccines. The first Hib conjugate vaccine based on
the transferred technology was licensed in 2007, since then more Hib vaccines
based on this technology were licensed. This paper describes the successful
development and transfer of Hib conjugate vaccine technology to vaccine
manufacturers in India, China and Indonesia. By describing the lessons learned in
this process, it is hoped that other technology transfer projects can benefit
from the knowledge and experience gained.
PMID- 24923636
TI - Better vaccines for healthier life. Part I. Conference report of the DCVMN
International 14th Annual General Meeting Hanoi, Vietnam.
AB - The Developing Countries Vaccine Manufacturers' Network (DCVMN) brought together
nearly 220 senior representatives of governmental and non-governmental global
health organizations, as well as corporate executives of emerging vaccine
manufacturers, from 26 countries for a two-day tailored lectures, Q&A sessions,
CEOs panel discussion and networking opportunities, followed by a vaccine
technology symposium and visit to manufacturing facilities in Hanoi, Vietnam.
Participants included representatives of 38 vaccine manufacturers, as well as
international partners and collaborating research institutions, with 39% female
participants. The Vice-Minister of Health to Vietnam commended the speakers and
participants to this Annual General Meeting, devoted to achieve our common goal
of protecting people against infectious diseases with better vaccines, for a
healthier life. He reminded the audience that the first vaccine produced in
Vietnam was oral polio vaccine (OPV) in the early 1960s and contributed to polio
eradication in Vietnam, in 2000. Through its manufacturing resources, Vietnam
eliminated neonatal tetanus in 2005, and has controlled measles and hepatitis B
spread. The Ministry of Health hopes that by sharing experiences, delegates at
this conference will foster international cooperation and partnerships among
organizations. CEOs elaborated on challenges and opportunities for emerging
countries.
PMID- 24923637
TI - Tobacco mosaic virus efficiently targets DC uptake, activation and antigen
specific T cell responses in vivo.
AB - Over the past 20 years, dendritic cells (DCs) have been utilized to activate
immune responses capable of eliminating cancer cells. Currently, ex vivo DC
priming has been the mainstay of DC cancer immunotherapies. However, cell-based
treatment modalities are inherently flawed due to a lack of standardization,
specialized facilities and personnel, and cost. Therefore, direct modes of DC
manipulation, circumventing the need for ex vivo culture, must be investigated.
To facilitate the development of next-generation, in vivo targeted DC vaccines,
we characterized the DC interaction and activation potential of the Tobacco
Mosaic virus (TMV), a plant virus that enjoys a relative ease of production and
the ability to deliver protein payloads via surface conjugation. In this study we
show that TMV is readily taken up by mouse bone marrow-derived DCs, in vitro.
Footpad injection of fluorophore-labeled TMV reveals preferential uptake by
draining lymph node resident DCs in vivo. Uptake leads to activation, as measured
by the upregulation of key DC surface markers. When peptide antigen-conjugated
TMV is injected into the footpad of mice, DC-mediated uptake and activation leads
to robust antigen-specific CD8(+) T cell responses, as measured by antigen
specific tetramer analysis. Remarkably, TMV priming induced a greater magnitude T
cell response than Adenovirus (Ad) priming. Finally, TMV is capable of boosting
either Ad-induced or TMV-induced antigen-specific T cell responses, demonstrating
that TMV, uniquely, does not induce neutralizing self-immunity. Overall, this
study elucidates the in vivo DC delivery and activation properties of TMV and
indicates its potential as a vaccine vector in stand alone or prime-boost
strategies.
PMID- 24923638
TI - Better vaccines for healthier life. Part II. Conference report of the DCVMN
International 14th Annual General Meeting Hanoi, Vietnam.
AB - New vaccines are required to meet the public health challenges of the next
generation and many unmet global health needs can be addressed by developing
countries vaccine manufacturers such as lower-cost vaccines based on single-dose,
thermostable formulations, efficacious in children with compromised
gastrointestinal tracts. GMP compliance is also a challenge, as sometimes
innovation and clinical development focus is not accompanied by command of scale
up and quality assurance for large volume manufacturing and supply. Identifying
and addressing such challenges, beyond cost and cold-chain space, including
safety considerations and health worker behavior, regulatory alliances and
harmonization to foster access to vaccines, will help countries to ensure
sustainable immunization. There needs to be continuous and close management of
the global vaccine supply both at national and international levels, requiring
careful risk management, coordination and cooperation with manufacturers.
Successful partnership models based on sharing a common goal, mutual respect and
good communication were discussed among stakeholders.
PMID- 24923639
TI - The missing link: family physician perspectives on barriers and enablers to
prescribing a new Meningococcal B vaccine and other recommended, non-government
funded vaccines.
AB - OBJECTIVES: To determine factors influencing Family Physician (FP) uptake of non
government-funded vaccines, and to explore FP attitudes towards the introduction
and use of a new vaccine to protect against serogroup B meningococcal disease to
inform its future introduction into the Australian Immunisation Schedule. DESIGN
SETTING AND PARTICIPANTS: Quantitative, self-administered state-wide
questionnaire mailed to all FPs in South Australia (n=1786). Results from 523 FP
respondents in South Australia, collected between June and October 2013. MAIN
OUTCOME MEASURES: Self-reported immunisation counselling practices; and
knowledge, attitudes and barriers to prescribing of Meningococcal B (Men B)
vaccine and other recommended, non-funded immunisations. RESULTS: The response
rate was 30% (n=523). While most (59%) respondents had worked in general practice
for over 20 years, only 39% of all respondents had ever had personal or
professional experience with a case of invasive meningococcal disease (IMD). Most
FPs (63%) were aware that a meningococcal B vaccine was being developed, and 93%
of respondents agreed that this vaccine should be government-funded. FPs ranked
Men B vaccine as the highest priority to receive funding of eight currently non
funded immunisation strategies. High vaccine cost and low patient socioeconomic
status were identified as definite barriers to prescribing non-funded vaccines by
59% of respondents. Past IMD experience significantly affected attitudes and
prescribing practices. CONCLUSIONS: IMD, while encountered rarely in clinical
practice, is considered an important disease to vaccinate against by FPs. Cost
and perceived low socioeconomic status of patients are substantial barriers to
FPs prescribing Men B and other non-funded vaccines, and inclusion of such
vaccines on the National Immunisation Program is likely to improve equity of
access.
PMID- 24923640
TI - Economic evaluation of vaccination programme of mumps vaccine to the birth cohort
in Japan.
AB - The most common preventative measure against mumps is vaccination with mumps
vaccine. In most parts of the world, mumps vaccine is routinely delivered through
live attenuated Measles-Mumps-Rubella (MMR) vaccine. In Japan, receiving mumps
vaccine is voluntary and vaccine uptake rate is less than 30%. The introduction
of mumps vaccine into routine vaccination schedule has become one of the current
topics in health policy and has raised the need to evaluate efficient ways in
protecting children from mumps-related diseases in Japan. We conducted a cost
effectiveness analysis with Markov model and calculated incremental cost
effectiveness ratios (ICERs) of 11 different programmes; a single-dose programme
at 12-16 months and 10 two-dose programmes with second dose uptakes at ages 2, 3,
4, 5, 6, 7, 8, 9, 10 and 11. Our base-case analyse set the cost per shot at Y6951
(US$72; 1US$=96.8). Results show that single-dose programme dominates status quo.
On the other hand, ICERs of all 10 two-dose programmes are under Y6,300,000
(US$65,082) per QALY from payer's perspective while it ranged from cost-saving to
=6mm) of prenatal BPA
treated animals compared to controls. Number of follicular waves tended also to
be more variable in the prenatal BPA-treated groups ranging from 2 to 5
follicular waves per cycle, while this was restricted to 3 to 4 waves in control
females. These changes in ovarian follicular dynamics coupled with defects in
time interval between estradiol rise and preovulatory LH release are likely to
lead to subfertility in prenatal BPA-treated females.
PMID- 24923656
TI - A randomized clinical trial of mesalazine suppository: the usefulness and
problems of central review of evaluations of colonic mucosal findings.
AB - BACKGROUND: The methods of evaluating endoscopic mucosal findings and the
definition of mucosal healing in inflammatory bowel disease have not been
standardized. AIM: To examine a third-party central review of colonic mucosal
evaluations. METHODS: A double-blind, placebo-controlled, parallel-group trial
was performed for 4weeks, which involved continuous administration of a 1-g
mesalazine suppository to 129 patients with mild to moderate ulcerative colitis
and active rectal inflammatory findings. Mucosal findings were evaluated by using
a 4-grade score (0, 1, 2, 3). Reviews by attending physicians were considered the
primary evaluations. Concurrently, a central review committee of 7
gastroenterologists served as the third party. RESULTS: The endoscopic remission
induction rate from the attending physicians' evaluations was 82.8% in the
mesalazine suppository group and 31.1% in the placebo suppository group, whereas
the respective rates from the central review committee were 90.6% and 59.0%.
However, there was a difference of 27.9 percentage points between the remission
induction rates of the placebo group found by the two groups of raters.
Differences in the evaluations of mucosal finding scores were also found among
the third-party reviewers. CONCLUSIONS: The evaluations of the attending
physicians were consistent with those of the central review committee in showing
the effectiveness of mesalazine suppository through the index of mucosal healing.
However, differences were observed among the raters in their evaluations of
mucosal finding scores. Therefore, standardizing evaluation criteria and
improving review methods for mucosal findings would enable the more effective use
of third-party central reviews in clinical drug trials.
PMID- 24923658
TI - Cell-surface signaling in Pseudomonas: stress responses, iron transport, and
pathogenicity.
AB - Membrane-spanning signaling pathways enable bacteria to alter gene expression in
response to extracytoplasmic stimuli. Many such pathways are cell-surface
signaling (CSS) systems, which are tripartite molecular devices that allow Gram
negative bacteria to transduce an extracellular stimulus into a coordinated
transcriptional response. Typically, CSS systems are composed of the following:
(1) an outer membrane receptor, which senses the extracellular stimulus; (2) a
cytoplasmic membrane-spanning protein involved in signal transduction from the
periplasm to the cytoplasm; and (3) an extracytoplasmic function (ECF) sigma
factor that initiates expression of the stimulus-responsive gene(s). Members of
genus Pseudomonas provide a paradigmatic example of how CSS systems contribute to
the global control of gene expression. Most CSS systems enable self-regulated
uptake of iron via endogenous (pyoverdine) or exogenous (xenosiderophores, heme,
and citrate) carriers. Some are also implicated in virulence, biofilm formation,
and cell-cell interactions. Incorporating insights from the well-characterized
alginate regulatory circuitry, this review will illustrate common themes and
variations at the level of structural and functional properties of Pseudomonas
CSS systems. Control of the expression and activity of ECF sigma factors are
central to gene regulation via CSS, and the variety of intrinsic and extrinsic
factors influencing these processes will be discussed.
PMID- 24923659
TI - Anaerobic digestion of corn stovers for methane production in a novel bionic
reactor.
AB - To improve the biogas production from corn stovers, a new bionic reactor was
designed and constructed. The bionic reactor simulated the rumen digestion of
ruminants. The liquid was separated from corn stovers and refluxed into corn
stovers again, which simulated the undigested particles separated from completely
digested materials and fed back again for further degradation in ruminant
stomach. Results showed that the bionic reactor was effective for anaerobic
digestion of corn stovers. The liquid amount and its reflux showed an obvious
positive correlation with biogas production. The highest biogas production rate
was 21.6 ml/gVS-addedd, and the total cumulative biogas production was 256.5
ml/gVS-added. The methane content in biogas ranged from 52.2% to 63.3%. The
degradation of corn stovers were greatly enhanced through simulating the animal
digestion mechanisms in this bionic reactor.
PMID- 24923660
TI - Responses of microbial community and acidogenic intermediates to different water
regimes in a hybrid solid anaerobic digestion system treating food waste.
AB - This study investigated the effects of different water regimes in an acidogenic
leach bed reactor (LBR) during 16-day batch mode food waste digestion. LBRs were
operated under five water replacement ratios (WRRs) (100%, 75%, 50%, 25% and 5%
in LBRs R1, R2, R3, R4 and R5, respectively) and methanogenic effluent (ME)
addition with two leachate recirculation frequencies (once in 24 h and 12 h in
LBRs R6 and R7, respectively). Results showed that 50-100% WRRs accelerated the
hydrolysis and acidogenesis with butyrate as the dominant product (~35% of COD);
whereas 5-25% WRRs promoted propionate production. The ME recirculation enhanced
protein decomposition and reduced ethanol production. Lactobacillus dominated in
LBRs with water addition (R1-R5), while Clostridium and hetero-fermenting lactic
acid bacteria dominated in LBR with ME addition (R7). The highest volatile solid
degradation (82.9%) and methane yield (0.29 L-CH4/g VS) were obtained with ME
addition at 0.7 d hydraulic retention time.
PMID- 24923661
TI - Comments in response to the authors of "human dirofilariasis due to Dirofilaria
repens in the Russian Federation-remarks concerning epidemiology".
PMID- 24923662
TI - Purine salvage in the apicomplexan Sarcocystis neurona, and generation of
hypoxanthine-xanthine-guanine phosphoribosyltransferase-deficient clones for
positive-negative selection of transgenic parasites.
AB - Sarcocystis neurona is an apicomplexan parasite that causes severe neurological
disease in horses and marine mammals. The Apicomplexa are all obligate
intracellular parasites that lack purine biosynthesis pathways and rely on the
host cell for their purine requirements. Hypoxanthine-xanthine-guanine
phosphoribosyltransferase (HXGPRT) and adenosine kinase (AK) are key enzymes that
function in two complementary purine salvage pathways in apicomplexans.
Bioinformatic searches of the S. neurona genome revealed genes encoding HXGPRT,
AK and all of the major purine salvage enzymes except purine nucleoside
phosphorylase. Wild-type S. neurona were able to grow in the presence of
mycophenolic acid (MPA) but were inhibited by 6-thioxanthine (6-TX), suggesting
that the pathways involving either HXGPRT or AK are functional in this parasite.
Prior work with Toxoplasma gondii demonstrated the utility of HXGPRT as a
positive-negative selection marker. To enable the use of HXGPRT in S. neurona,
the SnHXGPRT gene sequence was determined and a gene-targeting plasmid was
transfected into S. neurona. SnHXGPRT-deficient mutants were selected with 6-TX,
and single-cell clones were obtained. These Sn?HXG parasites were susceptible to
MPA and could be complemented using the heterologous T. gondii HXGPRT gene. In
summary, S. neurona possesses both purine salvage pathways described in
apicomplexans, thus allowing the use of HXGPRT as a positive-negative drug
selection marker in this parasite.
PMID- 24923663
TI - How nurses and their work environment affect patient experiences of the quality
of care: a qualitative study.
AB - BACKGROUND: Healthcare organisations monitor patient experiences in order to
evaluate and improve the quality of care. Because nurses spend a lot of time with
patients, they have a major impact on patient experiences. To improve patient
experiences of the quality of care, nurses need to know what factors within the
nursing work environment are of influence. The main focus of this research was to
comprehend the views of Dutch nurses on how their work and their work environment
contribute to positive patient experiences. METHODS: A descriptive qualitative
research design was used to collect data. Four focus groups were conducted, one
each with 6 or 7 registered nurses in mental health care, hospital care, home
care and nursing home care. A total of 26 nurses were recruited through
purposeful sampling. The interviews were audiotaped, transcribed and subjected to
thematic analysis. RESULTS: The nurses mentioned essential elements that they
believe would improve patient experiences of the quality of nursing care:
clinically competent nurses, collaborative working relationships, autonomous
nursing practice, adequate staffing, control over nursing practice, managerial
support and patient-centred culture. They also mentioned several inhibiting
factors, such as cost-effectiveness policy and transparency goals for external
accountability. Nurses feel pressured to increase productivity and report a high
administrative workload. They stated that these factors will not improve patient
experiences of the quality of nursing care. CONCLUSIONS: According to
participants, a diverse range of elements affect patient experiences of the
quality of nursing care. They believe that incorporating these elements into
daily nursing practice would result in more positive patient experiences.
However, nurses work in a healthcare context in which they have to reconcile cost
efficiency and accountability with their desire to provide nursing care that is
based on patient needs and preferences, and they experience a conflict between
these two approaches. Nurses must gain autonomy over their own practice in order
to improve patient experiences.
PMID- 24923664
TI - Effects of temperature on the life-history traits of Sancassania (Caloglyphus)
berlesei (Acari: Astigmatina: Acaridae) feeding on root-knot nematodes,
Meloidogyne spp. (Nematoda: Meloidogynidae).
AB - Sancassania (Caloglyphus) berlesei (Michael) is a cosmopolitan and free-living
mite that inhabits soil as well as laboratory colonies of insects and fungi and
may have a role as a biocontrol agent of nematodes. In this study, we
investigated the effects of temperature on the development, reproduction, and
food consumption of S. berlesei fed egg masses of root-knot nematodes,
Meloidogyne spp., an important group of agricultural pests. Mites were reared at
20, 25 or 30 degrees C in the dark. The mites could feed on the nematode egg
masses, and their developmental time decreased at higher temperatures. Time from
the egg to adult was similar in females and males reared at the same temperature.
Adult females lived longer than males at 25 degrees C, but not at 20 or 30
degrees C. Generally, females showed a higher rate of food consumption than
males. Females laid the largest number of eggs at 20 and 25 degrees C (199.7 and
189.8 eggs/female, respectively), but the intrinsic rate of natural increase was
highest at 30 degrees C (r m = 0.29). In comparing our data with previous
reports, we noted that S. berlesei that fed on egg masses of root-knot nematodes
showed a longer developmental time and a lower reproductive rate than Sancassania
mites that fed on other diets. Nonetheless, the relatively high value of r m
(e.g., at 25 and 30 degrees C) suggests that this mite may have certain
advantages as a biocontrol agent of root-knot nematodes.
PMID- 24923657
TI - Neurobiology of microglial action in CNS injuries: receptor-mediated signaling
mechanisms and functional roles.
AB - Microglia are the first line of immune defense against central nervous system
(CNS) injuries and disorders. These highly plastic cells play dualistic roles in
neuronal injury and recovery and are known for their ability to assume diverse
phenotypes. A broad range of surface receptors are expressed on microglia and
mediate microglial 'On' or 'Off' responses to signals from other host cells as
well as invading microorganisms. The integrated actions of these receptors result
in tightly regulated biological functions, including cell mobility, phagocytosis,
the induction of acquired immunity, and trophic factor/inflammatory mediator
release. Over the last few years, significant advances have been made toward
deciphering the signaling mechanisms related to these receptors and their
specific cellular functions. In this review, we describe the current state of
knowledge of the surface receptors involved in microglial activation, with an
emphasis on their engagement of distinct functional programs and their roles in
CNS injuries. It will become evident from this review that microglial homeostasis
is carefully maintained by multiple counterbalanced strategies, including, but
not limited to, 'On' and 'Off' receptor signaling. Specific regulation of theses
microglial receptors may be a promising therapeutic strategy against CNS
injuries.
PMID- 24923665
TI - The bias associated with amplicon sequencing does not affect the quantitative
assessment of bacterial community dynamics.
AB - The performance of two sets of primers targeting variable regions of the 16S rRNA
gene V1-V3 and V4 was compared in their ability to describe changes of bacterial
diversity and temporal turnover in full-scale activated sludge. Duplicate sets of
high-throughput amplicon sequencing data of the two 16S rRNA regions shared a
collection of core taxa that were observed across a series of twelve monthly
samples, although the relative abundance of each taxon was substantially
different between regions. A case in point was the changes in the relative
abundance of filamentous bacteria Thiothrix, which caused a large effect on
diversity indices, but only in the V1-V3 data set. Yet the relative abundance of
Thiothrix in the amplicon sequencing data from both regions correlated with the
estimation of its abundance determined using fluorescence in situ hybridization.
In nonmetric multidimensional analysis samples were distributed along the first
ordination axis according to the sequenced region rather than according to sample
identities. The dynamics of microbial communities indicated that V1-V3 and the V4
regions of the 16S rRNA gene yielded comparable patterns of: 1) the changes
occurring within the communities along fixed time intervals, 2) the slow turnover
of activated sludge communities and 3) the rate of species replacement calculated
from the taxa-time relationships. The temperature was the only operational
variable that showed significant correlation with the composition of bacterial
communities over time for the sets of data obtained with both pairs of primers.
In conclusion, we show that despite the bias introduced by amplicon sequencing,
the variable regions V1-V3 and V4 can be confidently used for the quantitative
assessment of bacterial community dynamics, and provide a proper qualitative
account of general taxa in the community, especially when the data are obtained
over a convenient time window rather than at a single time point.
PMID- 24923666
TI - Identification, classification, and growth of moa chicks (Aves: Dinornithiformes)
from the genus Euryapteryx.
AB - BACKGROUND: The analysis of growth in extinct organisms is difficult. The general
lack of skeletal material from a range of developmental states precludes
determination of growth characteristics. For New Zealand's extinct moa we have
available to us a selection of rare femora at different developmental stages that
have allowed a preliminary determination of the early growth of this giant
flightless bird. We use a combination of femora morphometrics, ancient DNA, and
isotope analysis to provide information on the identification, classification,
and growth of extinct moa from the genus Euryapteryx. RESULTS: Using ancient DNA,
we identify a number of moa chick bones for the species Euryapteryx curtus,
Dinornis novaezealandiae, and Anomalopteryx didiformis, and the first chick bone
for Pachyornis geranoides. Isotope analysis shows that ?15N levels vary between
the two known size classes of Euryapteryx, with the larger size class having
reduced levels of ?15N. A growth series for femora of the two size classes of
Euryapteryx shows that early femora growth characteristics for both classes are
almost identical. Morphometric, isotopic, and radiographic analysis of the
smallest Euryapteryx bones suggests that one of these femora is from a freshly
hatched moa at a very early stage of development. CONCLUSION: Using morphometric,
isotopic, and ancient DNA analyses have allowed the determination of a number of
characteristics of rare moa chick femora. For Euryapteryx the analyses suggest
that the smaller sized class II Euryapteryx is identical in size and growth to
the extant Darwin's rhea.
PMID- 24923668
TI - Synthetic gestagens exert differential effects on arterial thrombosis and aortic
gene expression in ovariectomized apolipoprotein E-deficient mice.
AB - BACKGROUND AND PURPOSE: Combined hormone replacement therapy with oestrogens plus
the synthetic progestin medroxyprogesterone acetate (MPA) is associated with an
increased risk of thrombosis. However, the mechanisms of this pro-thrombotic
effect are largely unknown. The purpose of this study was to: (i) compare the pro
thrombotic effect of MPA with another synthetic progestin, norethisterone acetate
(NET-A), (ii) determine if MPA's pro-thrombotic effect can be antagonized by the
progesterone and glucocorticoid receptor antagonist mifepristone and (iii)
elucidate underlying mechanisms by comparing aortic gene expression after chronic
MPA with that after NET-A treatment. EXPERIMENTAL APPROACH: Female apolipoprotein
E-deficient mice were ovariectomized and treated with placebo, MPA, a combination
of MPA + mifepristone or NET-A for 90 days on a Western-type diet. Arterial
thrombosis was measured in vivo in a photothrombosis model. Aortic gene
expression was analysed using microarrays; GeneOntology and KEGG pathway analyses
were conducted. KEY RESULTS: MPA's pro-thrombotic effects were prevented by
mifepristone, while NET-A did not affect arterial thrombosis. Aortic gene
expression analysis showed, for the first time, that gestagens induce similar
effects on a set of genes potentially promoting thrombosis. However, in NET-A
treated mice other genes with potentially anti-thrombotic effects were also
affected, which might counterbalance the effects of the pro-thrombotic genes.
CONCLUSIONS AND IMPLICATIONS: The pro-thrombotic effects of synthetic progestins
appear to be compound-specific, rather than representing a class effect of
gestagens. Furthermore, the different thrombotic responses elicited by MPA and
NET-A might be attributed to a more balanced, 'homeostatic' gene expression
induced in NET-A- as compared with MPA-treated mice.
PMID- 24923669
TI - Is single-stage revision according to a strict protocol effective in treatment of
chronic knee arthroplasty infections?
AB - BACKGROUND: The increasing number of patients experiencing periprosthetic total
knee arthroplasty (TKA) infections and the cost of treating them suggest that we
seek alternatives to two-stage revision. Single-stage revision is a potential
alternative to the standard two-stage procedure because it involves only one
surgical procedure, so if it is comparably effective, it would be associated with
less patient morbidity and lower cost. QUESTIONS/PURPOSES: We compared (1) the
degree to which our protocol of a highly selective single-stage revision approach
achieved infection control compared with a two-stage revision approach to TKA
infections; and (2) Knee Society scores and radiographic evidence of implant
fixation between the single-stage and two-stage patients who were treated for
more complicated infections. METHODS: Between 2004 and 2009, we treated 102
patients for chronic TKA infections, of whom 28 (27%) were treated using a single
stage approach and 74 (73%) were treated using a two-stage approach. All patients
were available for followup at a minimum of 3 years (mean, 6.5 years; range, 3-9
years). The indications for using a single-stage approach were minimal/moderate
bone loss, the absence of immunocompromise, healthy soft tissues, and a known
organism with known sensitivities for which appropriate antibiotics are
available. Participants included 38 men and 64 women with a mean age of 65 years
(range, 45-87 years). We used the Musculoskeletal Infection Society definition of
periprosthetic joint infection to confirm infection control at the last followup
appointment. Radiographs were evaluated for signs of loosening, and patients
completed Knee Society Scores for clinical evaluation. RESULTS: None of the
patients in the single-stage revision group developed recurrence of infection,
and five patients (93%) in the two-stage revision group developed reinfection
(p=0.16). Patients treated with a single-stage approach had higher Knee Society
scores than did patients treated with the two-stage approach (88 versus 76,
p<0.001). However, radiographic findings showed a well-fixed prosthesis in all
patients with no evidence of loosening at last followup in either group.
CONCLUSIONS: Our data provide preliminary support to the use of a single-stage
approach in highly selected patients with chronically infected TKAs as an
alternative to a two-stage procedure. However, larger, multicenter, prospective
trials are called for to validate our findings. LEVEL OF EVIDENCE: Level III,
therapeutic study. See Instructions for Authors for a complete description of
levels of evidence.
PMID- 24923670
TI - Preoperative fine needle aspiration of axillary lymph nodes in breast cancer:
clinical utility, diagnostic accuracy and potential pitfalls.
AB - OBJECTIVE: This study evaluates the diagnostic accuracy of axillary lymph node
fine needle aspiration (FNA) cytology in breast cancer and correlates it with
clinical parameters/outcomes. STUDY DESIGN: A total of 91 females underwent FNA
of axillary lymph nodes at our institution from January 2007 to February 2013.
The cases were classified as 'positive', 'negative for malignancy' and
'nondiagnostic'. RESULTS: Forty-six cases (50.5%) had a histologic follow-up (4.4
+/- 3.1 months); of these, 22 (47.8%) were true positive, 7 (15.2%) were true
negative, 2 (4.3%) were false negative, 5 (11%) were false positive and 10
(21.7%) were nondiagnostic. However, cytological review of all false positive and
false negative cases confirmed the presence or absence of tumor, respectively.
All false positive cases had undergone preoperative neoadjuvant chemotherapy,
with no residual tumor present, and a treatment effect identified only
histologically. Meanwhile, the 2 false negative cases involved micrometastasis
(<= 1.5 mm). Thus, if we exclude these false positive cases (complete
responders), then the sensitivity, specificity, positive predictive value and
negative predictive value were 91.7, 100, 100 and 77.8%, respectively. All
preoperative FNA-positive axillary lymph nodes were spared from sentinel lymph
node biopsy except for 3 of 27 (11.1%). CONCLUSION: We suggest that axillary
lymph node FNA is a highly sensitive technique with a low false negative rate
(4.3%) and a diagnostic accuracy of 93.5%.
PMID- 24923672
TI - Balloon-occluded retrograde transvenous obliteration for recurrent fundal gastric
variceal bleeding in an adolescent.
AB - Gastric variceal bleeding is associated with high morbidity and mortality.
Balloon-occluded retrograde transvenous obliteration is a relatively new
treatment used to control bleeding gastric varices that involves transvenous
sclerosis of gastric varices through a spontaneous gastrorenal shunt. Here, we
report on a 14-yr-old patient that underwent balloon-occluded retrograde
transvenous obliteration for refractory bleeding fundal varices in the setting of
esophageal varices and cirrhosis, which did not respond to medical management or
endoscopic injection. This case report serves as a reminder that balloon-occluded
retrograde transvenous obliteration can successfully control fundal variceal
bleeding in pediatric patients and may serve as a bridge to liver
transplantation.
PMID- 24923671
TI - Circulating levels of tumor necrosis factor-alpha receptor 2 are increased in
heart failure with preserved ejection fraction relative to heart failure with
reduced ejection fraction: evidence for a divergence in pathophysiology.
AB - BACKGROUND: Various pathways have been implicated in the pathogenesis of heart
failure (HF) with preserved ejection fraction (HFPEF). Inflammation in response
to comorbid conditions, such as hypertension and diabetes, may play a
proportionally larger role in HFPEF as compared to HF with reduced ejection
fraction (HFREF). METHODS AND RESULTS: This study investigated inflammation
mediated by the tumor necrosis factor-alpha (TNFalpha) axis in community-based
cohorts of HFPEF patients (n = 100), HFREF patients (n = 100) and healthy
controls (n = 50). Enzyme-linked immunosorbent assays were used to investigate
levels of TNFalpha, its two receptors (TNFR1 and TNFR2), and a non-TNFalpha
cytokine, interleukin-6 (IL-6), in plasma derived from peripheral blood samples.
Plasma levels of TNFalpha and TNFR1 were significantly elevated in HFPEF relative
to controls, while levels of TNFR2 were significantly higher in HFPEF than both
controls and HFREF. TNFalpha, TNFR1 and TNFR2 were each significantly associated
with at least two of the following: age, estimated glomerular filtration rate,
hypertension, diabetes, smoking, peripheral vascular disease or history of atrial
fibrillation. TNFR2 levels were also significantly associated with increasing
grade of diastolic dysfunction and severity of symptoms in HFPEF. CONCLUSIONS:
Inflammation mediated through TNFalpha and its receptors, TNFR1 and TNFR2, may
represent an important component of a comorbidity-induced inflammatory response
that partially drives the pathophysiology of HFPEF.
PMID- 24923673
TI - Epidemiology and outcome of nosocomial candidemia in elderly patients admitted
prevalently in medical wards.
AB - BACKGROUND AND AIMS: Candidemia represents an important cause of morbidity and
mortality. To-date, the highest rates of candidemia occur in elderly patients,
but there are few data on such patient population. The aims of this study were to
evaluate the epidemiology, treatment and outcome of candidemia in an elderly
patient population. METHODS: Nosocomial candidemia episodes occurring in a
university general hospital were included in this study. Demographic, clinical,
and Candida susceptibility testing data were retrospectively collected. Potential
risk factors for 30-day crude mortality rate including host factors, Candida
species, concomitant bacteremia, severity of sepsis, and management of fungemia
were assessed by hazard risk (HR) analyses. RESULTS: 145 consecutive episodes of
candidemia occurring in 140 patients with a median age of 81 years (interquartile
range, 78-86 years) were analyzed. At the onset of candidemia, 98 (67.6 %) cases
were hospitalized in medical wards. Candida albicans accounted for 55 % of all
candidemia episodes. Overall, resistance to fluconazole was detected in 8.0 % of
Candida isolates. Crude hospital mortality at 30 days was 46 %. Failure to
receive adequate antifungal therapy was the significant risk factor for death on
multivariable analysis (adjusted HR 1.87, 95 % CI 0.94-2.79). DISCUSSION AND
CONCLUSIONS: Over two-thirds of elderly patients with candidemia are admitted to
medical wards in our series. 30-day crude mortality is high and seems to be
related to inadequate antifungal therapy. Increased awareness of the burden of
this disease also in medical wards is strongly required to recognize and treat
properly this severe infection.
PMID- 24923674
TI - Patterns of sequencing coverage bias revealed by ultra-deep sequencing of
vertebrate mitochondria.
AB - BACKGROUND: Genome and transcriptome sequencing applications that rely on
variation in sequence depth can be negatively affected if there are systematic
biases in coverage. We have investigated patterns of local variation in
sequencing coverage by utilising ultra-deep sequencing (>100,000X) of mtDNA
obtained during sequencing of two vertebrate genomes, wolverine (Gulo gulo) and
collared flycatcher (Ficedula albicollis). With such extreme depth, stochastic
variation in coverage should be negligible, which allows us to provide a very
detailed, fine-scale picture of sequence dependent coverage variation and
sequencing error rates. RESULTS: Sequencing coverage showed up to six-fold
variation across the complete mtDNA and this variation was highly repeatable in
sequencing of multiple individuals of the same species. Moreover, coverage in
orthologous regions was correlated between the two species and was negatively
correlated with GC content. We also found a negative correlation between the site
specific sequencing error rate and coverage, with certain sequence motifs
"CCNGCC" being particularly prone to high rates of error and low coverage.
CONCLUSIONS: Our results demonstrate that inherent sequence characteristics
govern variation in coverage and suggest that some of this variation, like GC
content, should be controlled for in, for example, RNA-Seq and detection of copy
number variation.
PMID- 24923675
TI - Quantitative neutron imaging of water distribution, venation network and sap flow
in leaves.
AB - MAIN CONCLUSION: Quantitative neutron imaging is a promising technique to
investigate leaf water flow and transpiration in real time and has perspectives
towards studies of plant response to environmental conditions and plant water
stress. The leaf hydraulic architecture is a key determinant of plant sap
transport and plant-atmosphere exchange processes. Non-destructive imaging with
neutrons shows large potential for unveiling the complex internal features of the
venation network and the transport therein. However, it was only used for two
dimensional imaging without addressing flow dynamics and was still unsuccessful
in accurate quantification of the amount of water. Quantitative neutron imaging
was used to investigate, for the first time, the water distribution in veins and
lamina, the three-dimensional venation architecture and sap flow dynamics in
leaves. The latter was visualised using D2O as a contrast liquid. A high dynamic
resolution was obtained by using cold neutrons and imaging relied on radiography
(2D) as well as tomography (3D). The principle of the technique was shown for
detached leaves, but can be applied to in vivo leaves as well. The venation
network architecture and the water distribution in the veins and lamina unveiled
clear differences between plant species. The leaf water content could be
successfully quantified, though still included the contribution of the leaf dry
matter. The flow measurements exposed the hierarchical structure of the water
transport pathways, and an accurate quantification of the absolute amount of
water uptake in the leaf was possible. Particular advantages of neutron imaging,
as compared to X-ray imaging, were identified. Quantitative neutron imaging is a
promising technique to investigate leaf water flow and transpiration in real time
and has perspectives towards studies of plant response to environmental
conditions and plant water stress.
PMID- 24923676
TI - Characterization of an apple TT2-type R2R3 MYB transcription factor functionally
similar to the poplar proanthocyanidin regulator PtMYB134.
AB - MAIN CONCLUSION: The apple MdMYB9 gene encodes a positive regulator of
proanthocyanidin synthesis that activates anthocyanidin reductase promoters from
apple and poplar via interaction with basic helix-loop-helix proteins. The
regulation of proanthocyanidins (PAs, condensed tannins) is of great importance
in food plants due to the many benefits of PAs in the human diet. Two candidate
flavonoid MYB regulators, MdMYB9 and MdMYB11, were cloned from apple (Malus *
domestica) based on their similarity to known MYB PA regulators. Transcript
accumulation of both MdMYB9 and MdMYB11 was induced by high light and wounding,
similar to the poplar (Populus spp) PA regulator PtMYB134. In transient
activation assays with various basic helix-loop-helix (bHLH) co-regulators,
MdMYB9 activated apple and poplar anthocyanidin reductase (ANR) promoters, while
MdMYB11 showed no activity. Potential transcription factor binding elements were
found within several ANR promoters, and the importance of the bHLH binding site
(E-box) on ANR promoter activation was demonstrated via mutational analysis. The
ability of MdMYB9 and PtMYB134 to reciprocally activate ANR promoters from both
apple and poplar and to partner with heterologous bHLH co-factors from these
plants confirms the high degree of conservation of PA regulatory complexes across
species. The similarity in apple and poplar PA regulation suggests that
regulatory genes from poplar could be effectively employed for metabolic
engineering of the PA pathway in apple.
PMID- 24923678
TI - MoS2/graphene cocatalyst for efficient photocatalytic H2 evolution under visible
light irradiation.
AB - Exploiting noble-metal-free cocatalysts is of huge interest for photocatalytic
water splitting using solar energy. Here we report a composite material
consisting of CdS nanocrystals grown on the suface of a nanosized MoS2/graphene
hybrid as a high-performance noble-metal-free photocatalyst for H2 evolution
under visible light irradiation. Through the optimizing of each component
proportion, the MoS2/G-CdS composite showed the highest photocatalytic H2
production activity when the content of the MoS2/graphene cocatalyst is 2.0 wt %
and the molar ratio of MoS2 to graphene is 1:2. The photocatalytic H2 evolution
activity of the proposed MoS2/G-CdS composite was tested and compared in Na2S
Na2SO3 solution and lactic acid solution. A 1.8 mmol/h H2 evolution rate in
lactic acid solution corresponding to an AQE of 28.1% at 420 nm is not only
higher than the case in Na2S-Na2SO3 solution of 1.2 mmol/h but also much higher
than that of Pt/CdS in lactic acid solution. The relative mechanism has been
investigated. It is believed that this kind of MoS2/G-CdS composite would have
great potential as a promising photocatalyst with high efficiency and low cost
for photocatalytic H2 evolution reaction.
PMID- 24923677
TI - Raphanusanin-mediated resistance to pathogens is light dependent in radish and
Arabidopsis thaliana.
AB - Raphanusanin (Ra) is a light-induced inhibitor of hypocotyl growth that responds
to unilateral blue light illumination in radish seedlings. We have previously
shown that Ra regulates genes that are involved in common defense mechanisms.
Many genes that are induced by Ra are also positively regulated by early blue
light. To extend the understanding of the role of Ra in pathogen defense, we
evaluated the effects of Ra on radish and Arabidopsis thaliana (A. thaliana)
infected with the necrotrophic pathogen Botrytis cinerea (B. cinerea) and
biotrophic pathogen Pseudomonas syringae (P. syringae). Radish and A. thaliana
were found to be resistant to both pathogens when treated with Ra, depending on
the concentration used. Interestingly, Ra-mediated resistance to P. syringae is
dependent on light because Ra-treated seedlings exhibited enhanced susceptibility
to P. syringae infection when grown in the dark. In addition to regulating the
biotic defense response, Ra inhibited seed germination and root elongation and
enhanced the growth of root hairs in the presence of light in radish and A.
thaliana. Our data suggest that Ra regulates the expression of a set of genes
involved in defense signaling pathways and plays a role in pathogen defense and
plant development. Our results show that light may be generally required not only
for the accumulation of Ra but also for its activation during the pathogen
defense response.
PMID- 24923679
TI - Evaluation of factors predicting recoverability of renal function after
pyeloplasty in adults.
AB - AIM: To analyze the status of renal function after pyeloplasty in a large
contemporary adult series and to detect which variables predict improvement of
renal function. MATERIALS AND METHODS: 85 adult patients were retrospectively
identified who had undergone pyeloplasty between January 2002 and May 2011 with
available pre- and postoperative diuretic scintigraphy. Preoperative differential
renal function (DRF) and single-kidney GFR (SKGFR) were obtained by (99m)Tc-MAG-3
diuretic scintigraphy. Baseline-weighted (bw-) DRF and SKGFR were calculated
between baseline conditions and time of last follow-up. Factors that explain the
variance of bw-DRF and bw-SKGFR were determined. RESULTS: The mean (SD)
preoperative DRF significantly increased from 34% (11.6) to 37.2% (11.8) after
pyeloplasty (p < 0.001). Similarly, mean (SD) SKGFR showed a significant
improvement from 31.2 (12.9) to 35.9 (15) ml/min (p < 0.001). Patients with a
lower baseline DRF (<=40%) showed a significant improvement as opposed to those
with a higher baseline DRF (>40%) (p < 0.001 and 0.3, respectively). Baseline DRF
and cortical thickness explained the variance in bw-DRF and bw-SKGFR with more
contribution of baseline DRF. CONCLUSIONS: Renal function showed improvement
after pyeloplasty in adults and preoperative DRF and cortical thickness were the
predicting variables.
PMID- 24923680
TI - Involvement of YODA and mitogen activated protein kinase 6 in Arabidopsis post
embryogenic root development through auxin up-regulation and cell division plane
orientation.
AB - The role of YODA MITOGEN ACTIVATED PROTEIN KINASE KINASE KINASE 4 (MAPKKK4)
upstream of MITOGEN ACTIVATED PROTEIN KINASE 6 (MPK6) was studied during post
embryonic root development of Arabidopsis thaliana. Loss- and gain-of-function
mutants of YODA (yda1 and DeltaNyda1) were characterized in terms of root
patterning, endogenous auxin content and global proteomes. We surveyed
morphological and cellular phenotypes of yda1 and DeltaNyda1 mutants suggesting
possible involvement of auxin. Endogenous indole-3-acetic acid (IAA) levels were
up-regulated in both mutants. Proteomic analysis revealed up-regulation of auxin
biosynthetic enzymes tryptophan synthase and nitrilases in these mutants. The
expression, abundance and phosphorylation of MPK3, MPK6 and MICROTUBULE
ASSOCIATED PROTEIN 65-1 (MAP65-1) were characterized by quantitative polymerase
chain reaction (PCR) and western blot analyses and interactions between MAP65-1,
microtubules and MPK6 were resolved by quantitative co-localization studies and
co-immunoprecipitations. yda1 and DeltaNyda1 mutants showed disoriented cell
divisions in primary and lateral roots, abortive cytokinesis, and differential
subcellular localization of MPK6 and MAP65-1. They also showed deregulated
expression of TANGLED1 (TAN1), PHRAGMOPLAST ORIENTING KINESIN 1 (POK1), and GAMMA
TUBULIN COMPLEX PROTEIN 4 (GCP4). The findings that MPK6 localized to preprophase
bands (PPBs) and phragmoplasts while the mpk6-4 mutant transformed with MPK6AEF
(alanine (A)-glutamic acid (E)-phenylanine (F)) showed a root phenotype similar
to that of yda1 demonstrated that MPK6 is an important player downstream of YODA.
These data indicate that YODA and MPK6 are involved in post-embryonic root
development through an auxin-dependent mechanism regulating cell division and
mitotic microtubule (PPB and phragmoplast) organization.
PMID- 24923681
TI - Coordinated DNA dynamics during the human telomerase catalytic cycle.
AB - The human telomerase reverse transcriptase (hTERT) utilizes a template within the
integral RNA subunit (hTR) to direct extension of telomeres. Telomerase exhibits
repeat addition processivity (RAP) and must therefore translocate the nascent DNA
product into a new RNA:DNA hybrid register to prime each round of telomere repeat
synthesis. Here, we use single-molecule FRET and nuclease protection assays to
monitor telomere DNA structure and dynamics during the telomerase catalytic
cycle. DNA translocation during RAP proceeds through a previously uncharacterized
kinetic substep during which the 3'-end of the DNA substrate base pairs
downstream within the hTR template. The rate constant for DNA primer realignment
reveals this step is not rate limiting for RAP, suggesting a second slow
conformational change repositions the RNA:DNA hybrid into the telomerase active
site and drives the extrusion of the 5'-end of the DNA primer out of the enzyme
complex.
PMID- 24923683
TI - Colloids for fluid resuscitation: what is their role in patients with shock?
AB - BACKGROUND: Fluid therapy is widely used in critically ill patients to restore
effective intravascular volume and improve organ perfusion. Recent studies have
questioned the administration of colloid-based solutions, especially if
containing hydroxyethyl starch (HES), in different ICU populations; however,
there is still uncertainty on the use of colloids as initial fluid therapy for
early resuscitation. METHODS: The aim of this study was to investigate the effect
of two different resuscitation fluid strategies on the mortality of patients with
shock. In a multicentric (57 ICUs), controlled, open-label trial (from February
2003 to August 2012), the authors randomized patients with signs of acute
hypovolemia, defined by the combination of hypotension, evidence of low filling
pressures or cardiac index and at least two signs of tissue hypoperfusion (such
as altered consciousness, mottled skin, oliguria, lactate levels > 2 mmol/L), to
received either a colloid- or crystalloid-based therapy. Both cohorts received
maintenance fluids consisting in isotonic crystalloids and albumin in case of
severe hypoalbuminemia (<2 g/dL). Exclusion criteria included previous fluid
therapy, pregnancy, brain death, extended burns, chronic hemodialysis or liver
disease, known coagulopathy, acute anaphylaxis, dehydration and hypotension due
to sedative drugs. RESULTS: Among the 6498 eligible patients, 2857 were
eventually randomized in one of the two groups. The 28-day mortality was 25.4% in
the colloid and 27.0% in the crystalloid group (P=0.26). In the sub-group
analysis, similar mortality rates were reported for shock due to hypovolemia,
sepsis or trauma. Also, the use of continuous renal replacement therapy was
similar between groups (11.0% vs. 12.5%, P=0.19). There were more days alive
without mechanical ventilation or vasopressors during the first 7 and 28 days and
a lower 90-day mortality in the colloid group. CONCLUSION: These data suggest
that mortality was not increased and probably decreased with the use of colloids
in different forms of shock requiring early fluid resuscitation. We discussed
herein some methodological issues that may explain the discrepancies of this
trial with the other studies developed in the same field.
PMID- 24923684
TI - Retraction note to: Cryptomycota: the missing link. Protein Cell 2012, 3(3): 161
2.
PMID- 24923682
TI - Measuring and predicting long-term outcomes in older survivors of critical
illness.
AB - Older adults (age >=65 years) now initially survive what were previously fatal
critical illnesses, but long-term mortality and disability after critical illness
remain high. Most studies show that the majority of deaths among older ICU
survivors occur during the first 6 to 12 months after hospital discharge. Less is
known about the relationship between critical illness and subsequent cause of
death, but longitudinal studies of ICU survivors of pneumonia, stroke, and those
who require prolonged mechanical ventilation suggest that many debilitated older
ICU survivors die from recurrent infections and sepsis. Recent studies of older
ICU survivors have created a new standard for longitudinal critical care outcomes
studies with a systematic evaluation of pre-critical illness comorbidities and
disability and detailed assessments of physical and cognitive function after
hospital discharge. These studies show that after controlling for pre-morbid
health, older ICU survivors experience large and persistent declines in cognitive
and physical function after critical illness. Long-term health-related quality-of
life studies suggest that some older ICU survivors may accommodate to a degree of
physical disability and still report good emotional and social well-being, but
these studies are subject to survivorship and proxy-response bias. In order to
risk-stratify older ICU survivors for long-term (6-12 months) outcomes, we will
need a paradigm shift in the timing and type of predictors measured. Emerging
literature suggests that the initial acuity of critical illness will be less
important, whereas prehospitalization estimates of disability and frailty, and,
in particular, measures of comorbidity, frailty, and disability near the time of
hospital discharge will be essential in creating reliable long-term risk
prediction models.
PMID- 24923685
TI - Multinational, multicentre, randomised, open-label study evaluating the impact of
a 91-day extended regimen combined oral contraceptive, compared with two 28-day
traditional combined oral contraceptives, on haemostatic parameters in healthy
women.
AB - OBJECTIVES: To evaluate the impact of a 91-day extended regimen combined oral
contraceptive (150 MUg levonorgestrel [LNG]/30 MUg ethinylestradiol [EE] for 84
days, followed by 10 MUg EE for seven days [Treatment 1]) compared with two
traditional 21/7 regimens (21 days 150 MUg LNG/30 MUg EE [Treatment 2] or 150 MUg
desogestrel [DSG]/30 MUg EE [Treatment 3], both with seven days' hormone free),
on several coagulation factors and thrombin formation markers. METHODS:
Randomised, open-label, parallel-group comparative study involving healthy women
(18-40 years). The primary endpoint was change from baseline in prothrombin
fragment 1 + 2 (F1 + 2) levels over six months. RESULTS: A total of 187 subjects
were included in the primary analysis. In all groups, mean F1 + 2 values were
elevated after six months of treatment. Changes were comparable between
Treatments 1 and 2 (least squares mean change: 170 pmol/L and 158 pmol/L,
respectively) but noticeably larger after Treatment 3 (least squares mean change:
592 pmol/L). The haemostatic effects of Treatment 1 were comparable to those of
Treatment 2 and noninferior to those of Treatment 3 (lower limit of 95%
confidence interval [- 18.3 pmol/L] > - 130 pmol/L). CONCLUSIONS: The LNG/EE
regimens had similar effects on F1 + 2. Noninferiority was demonstrated between
extended regimen LNG/EE and DSG/EE.
PMID- 24923686
TI - Moderate value of non-contrast magnetic resonance imaging after non-dislocating
shoulder trauma.
AB - PURPOSE: The purpose of the present study was to determine the value of shoulder
magnetic resonance imaging (MRI) obtained in the community setting interpreted by
musculoskeletal radiologists in patients with shoulder pain initiated by a single
non-dislocating shoulder trauma. METHODS: In 56 of 61 consecutive patients who
underwent shoulder arthroscopy due to pain after a single non-dislocating
shoulder trauma, the data sets of non-contrast MRI were complete. These were
retrospectively interpreted by three radiologists specialized on musculoskeletal
MRI who were blinded for patients' history and who did not have access to the
reports of arthroscopy. Standard evaluation forms were used to assess the MRIs
for superior labrum anterior and posterior (SLAP) lesions, anterior or posterior
labrum lesions, lesions of the long head of biceps tendon (LHB) and for partial
tears of the supraspinatus tendon and the upper quarter of the subscapularis
tendon. Quality of the MRI was assessed by each radiologist on a four-point
scale. RESULTS: The pooled sensitivity for the three radiologists for the
detection of SLAP lesions was 45.0 %, for anterior or posterior labrum tears 77.8
and 66.7 %, for lesions of the LHB 63.2 % and for partial tears of the
supraspinatus or subscapularis tendon tears 84.8 and 33.3 %. Corresponding inter
rater reliabilities were poor (SLAP lesions) to substantial (anterior labrum
tears). Quality of MRI only influenced the accuracy for the detection of
posterior labrum tears. CONCLUSION: A non-contrast shoulder MRI obtained in the
community setting after non-dislocating shoulder trauma has a moderate
sensitivity for most intraarticular pathologies when interpreted by
musculoskeletal radiologists. Accuracy is dependent on the observer and not on
the assessed quality. LEVEL OF EVIDENCE: Case series, Level IV.
PMID- 24923687
TI - Locked bucket-handle type bony Bankart lesion resulting from manipulation.
AB - A patient who underwent manipulation for stiffness accompanying a rotator cuff
tear experienced a complication consisting of a bony Bankart lesion connected to
the superior and inferior labrum. This lesion was displaced posteriorly, locked
behind the humeral head and blocking the reduction. Attachment of the labrum to
this fragment made reduction and fixation easier. This bony Bankart lesion was
fixed successfully by arthroscopic placement of knotless suture anchors. Level of
evidence Case report, Level IV.
PMID- 24923688
TI - Outcome of distal clavicle resection in patients with acromioclavicular joint
osteoarthritis and full-thickness rotator cuff tear.
AB - PURPOSE: The purpose of this study was to examine the impact of distal clavicle
resection (DCR) on subjective and objective outcome measures in patients with
acromioclavicular (AC) joint osteoarthritis (OA) and rotator cuff tear. METHODS:
Prospectively collected data of consecutive patients with arthroscopic evidence
of OA of the ACJ with complete data at 2 years were used for the data analysis.
Patients with moderate-to-severe OA of the AC joint underwent a DCR in
conjunction with rotator cuff repair, while patients with mild OA of the ACJ
underwent surgery related to concomitant rotator cuff tear without a DCR.
RESULTS: Data of 184 (72 females, 112 males, mean age: 62) patients with rotator
cuff tear and varying degrees of OA of the AC joint were used for the analysis.
Of 184 patients, 144 (78 %) had a resection of distal clavicle (resection group)
and 40 (22 %) did not have a resection (no-resection group). Both groups showed a
statistically significant (p < 0.0001) improvement in the American Shoulder and
Elbow Surgeons (ASES) scores, Constant Murley score, and strength. Multivariable
analysis showed that lower pre-operative ASES scores (higher disability), having
a larger tear, an active compensation claim, and not having a DCR, had a negative
impact on post-operative ASES scores. CONCLUSION: When untreated surgically, even
mild arthroscopic findings of AC joint OA may lead to a poorer outcome after
rotator cuff repair. Further characterisation of patients in whom mild
arthroscopic findings of OA of AC joint are clinically significant and warrant
resection is needed. LEVEL OF EVIDENCE: Retrospective outcome study, Level II.
PMID- 24923689
TI - Does knee stability in the coronal plane in extension affect function and outcome
after total knee arthroplasty?
AB - PURPOSE: The aim of the present study was to clarify whether varus-valgus
laxities under static stress in extension, femoral condylar lift-off during
walking, and patient-reported outcomes after total knee arthroplasty (TKA) were
correlated with each other. METHODS: Ninety-four knees, which had undergone
posterior-stabilized TKA, were analysed. The varus-valgus laxity during knee
extension was measured using a stress radiograph. New Knee Society Score (KSS)
questionnaires were mailed to all patients. Correlations between the values of
stress radiographs and KSS were analysed. Additionally, continuous radiological
images were taken of 15 patients while each walked on a treadmill to determine
condylar lift-off from the tibial tray using a 3D-to-2D image-to-model
registration technique. Correlations between the amount of lift-off and either
the stress radiograph or the KSS were also analyzed. RESULTS: The mean angle
measured was 5.9 +/- 2.7 degrees with varus stress and 5.0 +/- 1.6 degrees with
valgus stress. The difference between them was 0.9 +/- 2.8 degrees . Varus-valgus
laxities, or the differences between them, did not show any statistically
significant correlation with either component of the KSS (p > 0.05). The average
amount of femoral condylar lift-off during walking was 1.4 +/- 0.8 mm (medial
side) and 1.3 +/- 0.6 mm (lateral side). The amount of lift-off did not correlate
with either varus-valgus laxities or the KSS (p > 0.05). CONCLUSIONS: No
correlations were found among varus-valgus laxities under static stress in
extension, femoral condylar lift-off during walking, or patient-reported outcomes
after well-aligned TKA. This study suggests that small variations in coronal
laxities do not influence lift-off during walking and the patient-reported
outcomes. LEVEL OF EVIDENCE: IV.
PMID- 24923690
TI - Anterior cruciate ligament injury and ankle dorsiflexion.
AB - PURPOSE: The aim was to study whether the degree of ankle dorsiflexion differs
between subjects with an anterior cruciate ligament (ACL) injury and uninjured
controls. Another aim was to study ankle dorsiflexion between the injured and the
uninjured leg and in addition between women and men with an ACL injury. METHOD:
Sixty subjects (ACL injury, n = 30 and controls, n = 30) were enroled
consecutively at two physical therapy settings. Ankle dorsiflexion was measured
with a goniometer in a standardized way in a weight-bearing lunge position.
RESULTS: Repeated-measures ANOVA revealed a significant difference (p < 0.001) in
ankle dorsiflexion between subjects with an ACL injury (mean 41.1 degrees SD
5.7) and those without (mean 46.6 degrees SD 5.3). No difference in ankle
dorsiflexion was found between the injured leg and the uninjured or between women
and men with ACL injury. CONCLUSION: The present findings suggest lower degree of
ankle dorsiflexion in subjects with an ACL injury than in uninjured controls. A
functional test measuring ankle dorsiflexion with a goniometer may be one way of
identifying individuals at increased risk of ACL injury. LEVEL OF EVIDENCE:
Comparative study, Level II.
PMID- 24923691
TI - Treatment of osteochondral defects of the talus in children.
PMID- 24923693
TI - A "turn on/off" scorpion biosensor targeting point mutation of SMN genes for
diagnosis of spinal muscular atrophy.
AB - A "turn on/off" biosensor for diagnosis of exon 7 of the SMN1 gene was developed
by employing a "scorpion primer". This scorpion primer was based on the principle
of fluorescence resonance energy transfer using a fluorophore, a blocker and a
quencher. It was successfully applied to detect 10 volunteer samples, and not
only to in vitro testing.
PMID- 24923692
TI - Anatomic observation of the running space of the suprascapular nerve at the
suprascapular notch in the same direction as the nerve.
AB - PURPOSE: The configuration of suprascapular notch was previously analysed from
the anteroposterior viewing. However, the approach direction of the suprascapular
nerve (SSN) to the inlet of the suprascapular notch is consistently craniocaudal
and mediolateral. We propose a new method to observe the suprascapular notch
according to the running course of the SSN. We reviewed the anatomic
characteristics of the suprascapular notch in relation to the nerve. METHODS: In
30 formalin-fixed cadaveric shoulders, the morphology of the suprascapular notch
and the attachments of the superior transverse scapular ligament (STSL) were
examined from both the anteroposterior and the nerve approach direction. The
dimensions of the opening of the SSN passage were measured. RESULTS: By observing
from the nerve approach direction, the inlet of the suprascapular notch was
recognized to be a space-bordered by the medial wall of the coracoid process, the
deep fascia of subscapularis, and the STSL. The STSL formed a sheet-like
structure, which ran parallel to the nerve and also to the deep fascia of
subscapularis. The attachment of the ligament to the coracoid process was located
at the posteromedial corner rather than the medial side. CONCLUSION: Based on the
present study, the space between the STSL and deep fascia of the subscapularis
formed the space for the nerve to run through. As a clinical implication, this
new method to assess the suprascapular notch in the nerve approach direction
might develop the imaging techniques for evaluation of SSN entrapment.
PMID- 24923694
TI - Laparoscopic adjustable gastric banding reduces subcutaneous adipose tissue and
blood inflammation in nondiabetic morbidly obese individuals.
AB - BACKGROUND: Significant and sustained excess weight loss (EWL) appears to reduce
the risk of obesity-related comorbidities (insulin resistance, hyperlipidemia,
and inflammation), but this has been primarily shown in adult diabetic obese
patients. We evaluated whether the EWL obtained 3 years after laparoscopic
adjustable gastric banding (LAGB) improves the metabolic phenotype in nondiabetic
morbidly obese (NDMO) individuals from south Italy. METHODS: Serum and
subcutaneous adipose tissue (SAT) samples from 20 obese individuals (median
BMI=41.5 kg/m(2)) before (T0) and after LAGB (T1) and from 10 controls (median
BMI=22.8 kg/m(2)) were taken. Serum leptin, adiponectin, C reactive protein
(CRP), and main analyte levels were evaluated by routine methods or immunoassay.
In SAT, adipocyte size was measured by hematoxylin/eosin staining, cluster of
differentiation 68 (CD68) macrophage infiltration marker by immunohistochemistry,
and adiponectin, adiponectin receptors 1 and 2, and interleukin 6 (IL6) messenger
RNAs by qRT-PCR. RESULTS: The average EWL was 66.7 %, and CRP, triglycerides,
hepatic markers, leptin levels, homeostasis model assessment, and the
leptin/adiponectin ratio were lower (p<0.05) at T1 than at T0. The expression of
small adipocytes and adiponectin was increased (p<0.05), and inflammation markers
(CD68 and IL6) decreased (p<0.05) at T1 vs. T0. At linear regression multivariate
analysis, over 90 % (R (2)=0.905) of EWL (dependent variable) was explained by
CD68, adiponectinemia, triglyceridemia, CRP, and total protein levels.
CONCLUSIONS: The EWL obtained 3 years after LAGB resulted in an improvement of
lipid metabolism and a reduction of inflammation in NDMO patients, thereby
decreasing the risk of obesity-associated diseases.
PMID- 24923696
TI - The effect of 22 kHz calls and artificial 38 kHz signals on activity in rats.
AB - Ultrasonic calls at 22 kHz are emitted by adult rats Rattus norvegicus when
submissive, after and sometimes before copulation and in aversive situations, but
the function of these calls, if any, is not known. This study examined the effect
of 22 kHz calls on the behaviour of individuals. Rats were exposed singly for 5
min to a tape-recorded test signal; 22 kHz calls or artificial 38 kHz signals or
tape noise. All rats were exposed to tape noise before and after the test signal.
Both of the ultrasonic signals, and particularly the 22 kHz calls, decreased
locomotor activity in rats during replay and for 5 min afterwards. Rats sniffed
the loudspeaker less during the replay of 22 kHz calls than during the other test
signals. These results complement others showing that constant frequency
ultrasound from visual display units and oscilloscopes also depresses activity.
In natural aggressive situations 22 kHz calls could allow a subordinate to escape
and prevent the dominant wasting time in pursuit. In the laboratory exposure of
rats to natural or artificial ultrasound could affect ongoing or subsequent
behaviour and so be a confounding experimental variable.
PMID- 24923695
TI - Consensus report from the 6th International forum for liver MRI using gadoxetic
acid.
AB - As the utility of liver-specific magnetic resonance imaging (MRI) increases, it
is pertinent to optimize and expand protocols to improve accuracy and foster
evolution of techniques; in turn, positive impacts should be seen in patient
management. This article reports on the latest expert thinking and current
evidence in the field of liver-specific MRI, as discussed at the 6(th)
International Forum for Liver MRI, which was held in Vancouver, Canada in
September 2012. Topics discussed at this forum described the use of gadoxetic
acid-enhanced MRI for the assessment of liver function at the segmental level; to
increase accuracy in the diagnosis of liver metastases; to overcome current
challenges in patients with cirrhosis, including management of arterial hypo
/isovascular, hepatobiliary phase hypointense nodules; and the data which would
be required in order to recommend the use of this modality in hepatocellular
carcinoma management guidelines. Growing evidence suggests that gadoxetic acid
enhanced MRI can help to improve the management of patients with a number of
different liver disorders; however, more data are needed in some areas, and there
may be a case for developing an interpretation guideline for gadoxetic acid
enhanced MRI findings to aid standardization.
PMID- 24923697
TI - Social motivation in Japanese quail coturnix coturnix japonica chicks selected
for high or low levels of treadmill behaviour.
AB - Social motivation (as measured by a visually isolated chick's tendency to
establish visual contact with, move towards and remain in proximity to a group of
conspecifics) was assessed in lines of Japanese quail which had been selected for
high (HSR line) or low (LSR line) levels of an index of treadmill behaviour which
combined the tendency to run towards conspecifics with the tendency to move away
from them. HSR line chicks peeded sooner and more frequently before establishing
visual contact with conspecifics and spent more time close to conspecifics after
visual contact had been established than LSR line chicks. HSR line chicks also
tended to have shorter latencies to establish visual contact with conspecifics,
jump more and interact more with conspecifics than LSR chicks. It is suggested
that these results indicate that selection for treadmill behaviour, which is
considered to be a measure of social reinstatement tendencies, has influenced
overall levels of social motivation. Furthermore, it would also appear that the
phenotypic differences between the HSR and LSR lines are relatively intensive to
genotype x environment interactions.
PMID- 24923698
TI - Response to intruders in female rabbit colonies is related to sex of intruder and
rank of residents.
AB - Behavioural reactions to unfamiliar conspecifics of both sexes were studied in
female domestic rabbits, living in stable unisexual groups. Intrusion caused an
abrupt increase in the frequency of social investigation and agonistic behaviours
directed to both intruders and group-mates. Reactions depended on the rank of
resident females and the sex of the intruder, and were generally more marked in
the presence of the male than the female intruder. Dominant and sub-dominant
females investigated the male more than the female intruder; the opposite held
for subordinate females. In the presence of the female intruder, only dominant
and subdominant females were aggressive towards the intruder and group-mates. In
the presence of the male intruder, aggression was directed to the intruder and
group-mates by dominant females only. They tended to frequently attack sub
dominants, which in turn fled away from them more often than they did from other
group-mates.
PMID- 24923699
TI - A new pheromone in the ant Pheidole pallidula (Myrmicinae).
AB - In the dimorphic species Pheidole pallidula, an ethological factor, present on
the VIIth abdominal sternite attracts ants from short distances and enhances the
linear speed of those having approached it. Added to poison gland extract, it
improves the workers' trail following behaviour. This factor is essentially
presented by minors, majors' sternite having a much weaker ethological effect.
Several functions and a glandular origin are suggested for this hitherto unknown
pheromone in Pheidole pallidula.
PMID- 24923700
TI - Song syllable variation tolerance and motor theory of song perception: the
territorial reaction of cirl bunting Emberiza cirlus to homologue syllables.
AB - The extent of syllable variation tolerance was tested using synthetic homologue
syllables. A synthetic copy of a common syllable type of cirl bunting Emberiza
cirlus song was used as control. Homologue syllables, in the same frequency range
but shorter or longer, were created by multiplying (2/3, 5/3, 7/3) the time scale
of the control syllable. By this way the specificity of homologue syllables
inside (2/3) and outside (5/3 and 7/3) the syllable duration range (mean +/- 2
SD) of the species were tested. Results do not support a hypothesis for syllable
variation tolerance founded on motor perception theory. Processes free from
production occur in syllable recognition.
PMID- 24923701
TI - Discrimination of group members by laying hens Gallus domesticus.
AB - Two experiments were performed to test if hens could discriminate between
conspecifics in learning experiments. In experiment 1, three adult hens were
trained to discriminate between a member of their own social group and a member
of a neighbouring group. Only one test bird showed evidence of discrimination
above conventional levels of significance. In experiment 2, three hens were
trained to discriminate between two members from their own social group. All test
birds showed strong evidence of discrimination above conventional levels of
significance indicating that laying hens are able to discriminate between members
of their own social group.
PMID- 24923703
TI - Tolerability and efficacy of long-term treatment with daptomycin, ceftazidime and
colistin in a patient with a polymicrobial, multidrug-resistant prosthetic joint
reinfection: a case report.
AB - INTRODUCTION: Prosthetic joint infections are severe complications of joint
implants. Further complications arise when polymicrobial and/or multidrug
resistant microorganisms are involved. Currently, there are limited data on the
management of these infections and on the tolerability of long-term treatment
with daptomycin, ceftazidime and colistin. CASE PRESENTATION: A 55-year-old
Caucasian woman who had a right hip prosthesis removed 1 year prior because of
infection was admitted for prosthesis reimplantation. On admission at our
hospital, anamnesis regarding etiology and management of prosthesis infection was
not available. On clinical, laboratory findings and imaging studies infection was
not suspected. A hip prosthesis was reimplanted. At surgery, histopathological
and microbiological investigations were not taken. Three weeks after
reimplantation, surgical site infection due to Enterobacter cloacae was diagnosed
and oral ciprofloxacin was prescribed. Four days later, a periprosthesis fluid
collection was evidenced and a percutaneous needle aspirate grew Staphylococcus
epidermidis and S. haemolyticus. Enterobacter genome was also detected from the
same sample. Teicoplanin and meropenem were added to ciprofloxacin without
clinical improvement. Moreover, acetabular cup dislocation was documented. She
underwent prosthesis explantation, debridement, and positioning of an
antimicrobial mixed spacer. From the intraoperatory cultures S. epidermidis and
Acinetobacter baumannii were grown. Daptomycin, ceftazidime, colistin and
rifampin were administered. Four days later, rifampin was stopped due to a
suspected liver toxicity. While undergoing therapy she presented recurrent
episodes of wound dehiscence and on the 22nd week of treatment a further surgical
debridement was performed, upon which the spacer was removed. At this time,
intraoperative cultures resulted negative. Three months later, after a total of 8
months, antimicrobials were interrupted. Subsequently, a femoral transcondylar
traction was positioned, and 3 weeks later a new prosthesis was reimplanted. At
over 1 year after reimplantation she is well. CONCLUSIONS: Our findings suggest
that microbiologic investigations are mandatory even when prosthetic joint
infection is not suspected. Molecular methods for identification of
microorganisms can be used in addition to conventional cultures especially when
patients are under antibiotic treatment. Daptomycin, ceftazidime and colistin can
be administered for several months without side effects. Guidelines specifically
addressing the diagnosis and the management of polymicrobial, multidrug-resistant
prosthetic joint infections need to be developed.
PMID- 24923704
TI - High prevalence of non-alcoholic fatty liver disease in the Chinese - results
from the Hong Kong liver health census.
AB - BACKGROUND & AIMS: The prevalence of non-alcoholic fatty liver disease (NAFLD) in
the general Chinese population is not clear, as there have been few large
population studies focussing on healthy individuals. We aim to determine the
prevalence and risk factors of NAFLD in a healthy Westernized Chinese population.
METHODS: This is a prospective cross-sectional study of 2493 volunteers recruited
from the general population and the Red Cross Transfusion Center in Hong Kong.
All underwent comprehensive questionnaire survey, blood test, abdominal
ultrasound and transient elastography. RESULTS: The prevalence of NAFLD was 42%.
Gender, age, waist circumference, systolic blood pressure, fasting cholesterol
and glucose levels were significant factors. The relative risk of NAFLD in those
with high waist circumference, diabetes, hypertension, and hypercholesterolaemia
was 2.99, 2.01, 1.79 and 1.54 respectively. The degree of steatosis increased
with levels of fasting glucose, cholesterol, systolic blood pressure and waist
circumference (all P < 0.001). The optimal waist circumference was found to be 84
and 74 cm for male and females respectively, with a relative risk of 5.16 for
those above this limit. In total, 1.2% and 0.002% with NAFLD had advanced liver
fibrosis and cirrhosis respectively. CONCLUSION: Non-alcoholic fatty liver
disease was found to be highly prevalent in the Chinese population. Increasing
levels of risk factors were associated with increasing severity of NAFLD. Lower
cut-off levels of waist circumference to predict NAFLD should be adopted for
Chinese population.
PMID- 24923706
TI - Childhood tuberculosis: A roadmap towards zero deaths.
AB - 'Every day, more than 200 children under the age of 15 die needlessly from
tuberculosis (TB) - a disease that is preventable and curable. The World Health
Organization estimates that as many as 1 in 10 TB cases globally (6-10% of all TB
cases) are among this age group, but the number could be even higher because many
children are simply undiagnosed.' Childhood TB is emerging from the shadows. This
quote comes from the recently launched international roadmap towards zero TB
deaths in children. We provide a brief update of new developments and remaining
challenges related to childhood TB, with particular emphasis on the new roadmap.
PMID- 24923707
TI - Misclassification in assessment of diabetogenic risk using electronic health
records.
AB - PURPOSE: Suspected diabetogenic effects or drug indication may increase testing
for diabetes mellitus (DM), resulting in measurement bias when evaluating
diabetogenic drug effects. We sought to evaluate the validity of electronic
health record data in determining DM risk. METHODS: We used time-dependent Cox
proportional hazard models within a retrospective cohort design to assess
associations between use of antihypertensives, statins, atypical antipsychotics,
and antidepressants, and two endpoints: (i) DM onset defined as fasting blood
glucose (BG) >=126 mg/dl, random BG >=200 mg/dl, HbA1c >=7.0%, or antidiabetic
drug initiation; and (ii) first negative DM test. We used Poisson regression to
assess the influence of these drugs on DM testing rates. Patients aged 35-64
years enrolled in Kaiser Permanente Northwest between 1997 and 2010 entered the
cohort at the first negative BG test after >=6 months without manifest DM.
RESULTS: All drug classes showed significant associations not only with DM onset
but also with first negative BG test and with DM testing rates. Antipsychotics
had the greatest diabetogenic risk (adjusted hazard ratio [HR] = 1.73 [1.44
2.08]), the greatest propensity for a first negative test (adjusted HR = 1.87
[1.74-2.01]), and the highest testing rate (adjusted rate ratio = 1.76 [1.72
1.81]. Although renin-angiotensin system blockers and calcium channel blockers
have shown no diabetogenic risk in clinical trials, both were associated with DM
(HR = 1.19 [1.12-1.26] and 1.27 [1.17-1.38]), a negative glucose test (1.38 [1.35
1.41] and 1.24 [1.20-1.28]), and increased testing rates (rate ratio = 1.26 [1.24
1.27] and 1.27 [1.25-1.28]). CONCLUSION: Caution should be used when diabetogenic
risk is evaluated using data that rely on DM testing in general practice.
PMID- 24923708
TI - High-efficiency perovskite solar cells based on the black polymorph of HC(NH2)2
PbI3.
AB - Perovskite solar cells with power conversion efficiencies exceeding 16% at AM 1.5
G one sun illumination are developed using the black polymorph of formamidnium
lead iodide, HC(NH2)2 PbI3 . Compared with CH3 NH3 PbI3 , HC(NH2 )2 PbI3 extends
its absoprtion to 840 nm and shows no phase transition between 296 and 423 K.
Moreover, a solar cell based on HC(NH2 )2 PbI3 exhibits photostability and little
I-V hysteresis.
PMID- 24923711
TI - The acoustic behaviour of the bushcricket Tettigonia cantans III. Coprocessing of
auditory and vibratory information in the central nervous system.
AB - All the acoustic units in the ventral-nerve cord respond to both sound and
vibration. Most of them show improved coding abilities when stimulated
simultaneously with conspecific songs and vibration signals. This is also true
for habituating neurons. Stridulating tettigoniids produce both airborne sound
and substrate borne vibration and their simultaneous processing in the central
nervous system may therefore lead to a better localization of a nearly sound
source in the biotope.
PMID- 24923710
TI - Quantification of left ventricular volumes, mass, and ejection fraction using
cine displacement encoding with stimulated echoes (DENSE) MRI.
AB - PURPOSE: To test the hypothesis that magnitude images from cine displacement
encoding with stimulated echoes (DENSE) magnetic resonance imaging (MRI) can
accurately quantify left ventricular (LV) volumes, mass, and ejection fraction
(EF). MATERIALS AND METHODS: Thirteen mice (C57BL/6J) were imaged using a 7T
ClinScan MRI. A short-axis stack of cine T2-weighted black blood (BB) images was
acquired for calculation of LV volumes, mass, and EF using the gold standard sum
of-slices methodology. DENSE images were acquired during the same imaging session
in three short-axis (basal, mid, apical) and two long-axis orientations. A custom
surface fitting algorithm was applied to epicardial and endocardial borders from
the DENSE magnitude images to calculate volumes, mass, and EF. Agreement between
the DENSE-derived measures and BB-derived measures was assessed via coefficient
of variation (CoV). RESULTS: 3D surface reconstruction was completed on the order
of seconds from segmented images, and required fewer slices to be segmented.
Volumes, mass, and EF from DENSE-derived surfaces matched well with BB data (CoVs
<=11%). CONCLUSION: LV mass, volumes, and EF in mice can be quantified through
sparse (five slices) sampling with DENSE. This consolidation significantly
reduces the time required to assess both mass/volume-based measures of cardiac
function and advanced cardiac mechanics.
PMID- 24923712
TI - Amplitude, latency, and habituation of the electrodermal response to acoustic
stimuli in the frog.
AB - The electrodermal response (EDR) of frogs to various acoustic stimuli was
measured in the form of the skin potential response (SPR). There was no
correlation between the polarity of the SPR and the intensity of the stimuli.
When different frequencies were presented at the same intensity, the amplitude of
the SPR to each was inversely proportional to the sound pressure at which that
frequency just elicited an SPR. The amplitude of the sound-induced SPR increased
monotonically with increasing sound pressure. The latency of the SPR decreased
with increasing intensity of the acoustic stimulus. Acoustic stimuli repeated at
intervals of 1 and 2 min elicited responses with progressively decreased
amplitude and increased latency; with 4 min intervals there was little
habituation. Fatigue participates to only a very slight extent in reducing the
amplitude of the SPR and increasing its latency. The results are compared with
the published data on frogs and mammals, including humans.
PMID- 24923709
TI - Review of collagen I hydrogels for bioengineered tissue microenvironments:
characterization of mechanics, structure, and transport.
AB - Type I collagen hydrogels have been used successfully as three-dimensional
substrates for cell culture and have shown promise as scaffolds for engineered
tissues and tumors. A critical step in the development of collagen hydrogels as
viable tissue mimics is quantitative characterization of hydrogel properties and
their correlation with fabrication parameters, which enables hydrogels to be
tuned to match specific tissues or fulfill engineering requirements. A
significant body of work has been devoted to characterization of collagen I
hydrogels; however, due to the breadth of materials and techniques used for
characterization, published data are often disjoint and hence their utility to
the community is reduced. This review aims to determine the parameter space
covered by existing data and identify key gaps in the literature so that future
characterization and use of collagen I hydrogels for research can be most
efficiently conducted. This review is divided into three sections: (1) relevant
fabrication parameters are introduced and several of the most popular methods of
controlling and regulating them are described, (2) hydrogel properties most
relevant for tissue engineering are presented and discussed along with their
characterization techniques, (3) the state of collagen I hydrogel
characterization is recapitulated and future directions are proposed. Ultimately,
this review can serve as a resource for selection of fabrication parameters and
material characterization methodologies in order to increase the usefulness of
future collagen-hydrogel-based characterization studies and tissue engineering
experiments.
PMID- 24923713
TI - Estimation of fear in two lines of the domestic chick: Correlations between
various methods.
AB - The assessment of fear is a controversial issue and low levels of correlation
between different measures have been used to criticise the fear concept. The
present study assessed fear levels in individual domestic chicks of each of two
lines, flighty and docile, using four commonly employed methods of estimating
fear. They were the hole-in-the-wall box, the open field, response to a bell and
tonic immobility. On the basis of a wide variety of behavioural responses each
chick was ranked for fearfulness in each of the four tests. The degrees of
association or correlation between these ranking within lines were then
calculated. The significant intra-individual correlations found in both lines
provides some support for the use of these tests as methods of estimating fear,
at least within the lines used. Differences in the degree or form of fear
responding between the lines are also discussed in terms of reactions to handling
and to sudden auditory stimuli.
PMID- 24923714
TI - Plumage phenotypes and mate preferences in Japanese quail 2. sexual imprinting.
AB - Mate selection, with emphasis on early social (sexual imprinting) and subsequent
long-term social experience, was studied in a randombred population of Japanese
quail consisting of wildtype (W), redhead (R) and Albino (A) plumage colors.
Early social experiences involved situations where flocks of the various plumage
colors were maintained either separately or intermingled. Initial mate
preferences were determined from a series of paired choice-tests between plumage
phenotypes. Wildtype and redhead females exhibited no preferences, while albino
hens preferred albino males. Preferences exhibited by albino males depended on
sexual imprinting; those with no other experience preferred albinos and redheads
to wildtypes, whereas those raised with other morphs did not distinguish among
phenotypes. Redhead and wildtype males while avoiding albino hens, did not
distinguish between redhead and wildtype hens. Combinations of the plumage color
social experience flocks (A&R; A&W; R&W) were housed for long-term observations
of mate selection. Albino hens mated only albino males. Redhead and wildtype hens
having previous experience with albinos mated more frequently with albino males
than those lacking such experience. Redhead and wildtype hens showed no
preference between redhead and wildtype males. Albino males did not distinguish
among female plumage colors, whereas redhead and wildtype males avoided albino
hens, and mated equally with redhead and wildtype hens. In a series of
nonsimultaneous choice trials, redhead and wildtype females were mated
significantly more than albinos. These results demonstrate the influence of
genetic mechanisms, sexual imprinting and subsequent long-term social experiences
on the optimization of mate selection.
PMID- 24923715
TI - Studies on tube restraint-induced attack on a metal target by laboratory mice.
AB - This study examines factors influencing the attack on a metal target by
laboratory 'TO' strain mice confined within a narrow perspex tube and contrasts
this form of behaviour with attack seen in less equivocal forms of 'aggression
test'. The effects of sex, housing condition, reproductive experience, density,
anosmia and castration (in the male) were systematically examined. This 'model'
of 'aggression' shows few parallels with social conflict, parental defense and
electroshock-induced forms of attack as none of the above manipulations
influenced the level of target biting in this situation. Thus, in spite of claims
that tube restraint-induced attack may show parallels with intermale aggression,
the data suggest that it involves a totally different motivation.
PMID- 24923716
TI - Reproductive memory for diagonal and nondiagonal patterns in chimpanzees.
AB - Two male juvenile chimpanzees were trained to reproduce from memory geometric
patterns composed of lighted cells in a 3 x 3 matrix. In Experiment I, subjects
reproduced 3-cell horizontal, vertical and diagonal patterns with either 0- or 5
second delay between stimulus offset and response. Diagonals were more difficult
and were more affected by delay than were nondiagonal patterns. The sequence of
response to diagonals was less structured than to nondiagonals. In Experiment II,
more complex 4-cell patterns were used and, following training, subjects were
tested for transfer to new patterns. Again, diagonals were more difficult to
reproduce than nondiagonals. Transfer of training to new patterns requiring
different motoric responses was successful. Similar to Experiment I, organization
of responding was greater for nondiagonals than for diagonals. These results are
discussed with regard to the presence of internal representation of visual
information in nonhuman primates.
PMID- 24923717
TI - Maternal subgrouping in lowland gorillas.
AB - Mountain gorilla females have been reported to seek out the dominant male and to
increase the time spent in proximity to him when they are caring for young
infants. The study examined subgrouping phenomenon in a captive group of lowland
gorilla mothers. Interaction scores failed to support the position that the male
is attractive to mothers, or that they seek him out and cluster about him. The
data indicate instead that the females avoid interacting with the male and rarely
initiate contact with him. Subgroups and social clustering observed among the
females is interpreted as preferential contact among mothers.
PMID- 24923719
TI - The beta-adrenoceptor agonist isoproterenol rescues acetaminophen-injured livers
through increasing progenitor numbers by Wnt in mice.
AB - Acetaminophen (APAP)-induced acute liver injury (AILI) is a major health problem.
Accumulating evidence suggests that the sympathetic nervous system (SNS)
regulates neuronal and hematopoietic progenitors. SNS signaling affects hepatic
progenitor/oval cells (HPCs) and beta-adrenoceptor agonism will expand HPCs to
reduce AILI. Dopamine beta-hydroxylase-deficient mice (Dbh-/-), lacking
catecholamine SNS neurotransmitters, isolated HPCs, and immature ductular 603B
cells were initially used to investigate SNS involvement in HPC physiology.
Subsequently, control mice were treated with APAP (350 mg/kg) followed by the
beta-adrenoceptor agonist, isoproterenol (ISO), or the beta-adrenoceptor
antagonist, propranolol. Mechanistic studies examined effects of non-SNS HPC
expansion on AILI, involvement of the canonical Wnt/beta-catenin pathway (CWP) in
the action of ISO on HPC expansion and comparison of ISO with the current
standard of care, N-acetylcysteine (NAC). Dbh-/- mice lacking catecholamines had
low HPC numbers, reconstituted by ISO. In vitro, ISO-induced proliferation of
603B cells was CWP dependent. In control mice, AILI raised HPC numbers, further
increased by ISO, with attenuation of liver injury. Delayed administration of NAC
did not, but delayed ISO did, reverse AILI. Propranolol worsened AILI. AILI
activated the CWP, and ISO enhanced Wnt-ligand production. HPCs were the major
source of Wnt ligands. Recombinant Wnt3a and ISO-603B-conditioned media, but not
ISO alone, protected isolated hepatocytes from death, reversed by DKK1-a Wnt
antagonist. Additionally, tumor-associated weak inducer of apoptosis expanded
HPCs and protected against AILI. Furthermore, allotransplantation of HPCs from
APAP+ISO-treated mice to other APAP-injured mice improved AILI, an effect
antagonized by DKK1. CONCLUSION: SNS catecholamines expand HPCs, which are both
targets and sources of Wnt ligands. Hepatoprotection by ISO is mediated by para-
and autocrine effects of Wnt signaling. ISO represents novel pharmacotherapy for
AILI.
PMID- 24923720
TI - Incidental magnetic resonance diffusion-weighted imaging-positive lesions are
rare in neurologically asymptomatic community-dwelling adults.
AB - BACKGROUND AND PURPOSE: Incidental magnetic resonance diffusion-weighted imaging
(DWI)-positive lesions, considered to represent small acute infarcts, have been
detected in patients with cerebral small vessel diseases or cognitive impairment,
but the prevalence in the community population is unknown. METHODS: DWI sequences
collected in 793 participants in the Prospective Urban Rural Epidemiological
(PURE) study were reviewed for DWI lesions consistent with small acute infarcts.
RESULTS: No DWI-positive lesions were detected (0%, 95% confidence interval, 0
0.5). CONCLUSIONS: DWI-positive lesions are rare in an asymptomatic community
population. The prevalence of DWI-positive lesions in the community seems to be
lower than in patients with cerebral amyloid angiopathy, intracerebral
hemorrhage, or cognitive impairment.
PMID- 24923721
TI - Features predictive of brain arteriovenous malformation hemorrhage: extrapolation
to a physiologic model.
AB - BACKGROUND AND PURPOSE: Although there is generally thought to be a 2% to 4% per
annum rupture risk for brain arteriovenous malformations (bAVMs), there is no way
to estimate risk for an individual patient. METHODS: In this retrospective study,
patients were eligible who had nidiform bAVMs and underwent detailed pretreatment
diagnostic cerebral angiography at our medical center from 1996 to 2006. All
patients had superselective microcatheter angiography, and films were reviewed
for the purpose of this project. Patient demographics, clinical presentation, and
angioarchitectural characteristics were analyzed. A univariate analysis was
performed, and angioarchitectural features with potential physiological
significance that showed at least a trend toward significance were added to a
multivariate logistic regression model. RESULTS: One hundred twenty-two bAVMs met
criteria for study entry. bAVMs with single venous drainage anatomy were more
likely to present with hemorrhage. In addition, patients with multiple draining
veins and a venous stenosis reverted to a risk similar to those with 1 draining
vein, whereas those with multiple draining veins and without stenosis had
diminished association with hemorrhage presentation. Those bAVMs with associated
aneurysms were more likely to present with hemorrhage. These findings were robust
in both univariate and multivariate models. CONCLUSIONS: The results of this
article lead to the first physiological, internally consistent model of
individual bAVM hemorrhage risk, where 1 draining vein, venous stenosis, and
associated aneurysms increase risk.
PMID- 24923722
TI - Strategic planning to reduce the burden of stroke among veterans: using
simulation modeling to inform decision making.
AB - BACKGROUND AND PURPOSE: Reducing the burden of stroke is a priority for the
Veterans Affairs Health System, reflected by the creation of the Veterans Affairs
Stroke Quality Enhancement Research Initiative. To inform the initiative's
strategic planning, we estimated the relative population-level impact and
efficiency of distinct approaches to improving stroke care in the US Veteran
population to inform policy and practice. METHODS: A System Dynamics stroke model
of the Veteran population was constructed to evaluate the relative impact of 15
intervention scenarios including both broad and targeted primary and secondary
prevention and acute care/rehabilitation on cumulative (20 years) outcomes
including quality-adjusted life years (QALYs) gained, strokes prevented, stroke
fatalities prevented, and the number-needed-to-treat per QALY gained. RESULTS: At
the population level, a broad hypertension control effort yielded the largest
increase in QALYs (35,517), followed by targeted prevention addressing
hypertension and anticoagulation among Veterans with prior cardiovascular disease
(27,856) and hypertension control among diabetics (23,100). Adjusting QALYs
gained by the number of Veterans needed to treat, thrombolytic therapy with
tissue-type plasminogen activator was most efficient, needing 3.1 Veterans to be
treated per QALY gained. This was followed by rehabilitation (3.9) and targeted
prevention addressing hypertension and anticoagulation among those with prior
cardiovascular disease (5.1). Probabilistic sensitivity analysis showed that the
ranking of interventions was robust to uncertainty in input parameter values.
CONCLUSIONS: Prevention strategies tend to have larger population impacts, though
interventions targeting specific high-risk groups tend to be more efficient in
terms of number-needed-to-treat per QALY gained.
PMID- 24923723
TI - Randomized controlled trial on hemifield eye patching and optokinetic stimulation
in acute spatial neglect.
AB - BACKGROUND AND PURPOSE: Right hemisphere stroke patients frequently experience
spatial neglect, a severe lack of awareness for contralesional hemispace.
Although neglect counts among the strongest predictors for poor functional
outcome after stroke, there is no established therapy, particularly not for the
acute stage. METHODS: In a randomized controlled trial, we compared the combined
treatment of hemifield eye patching and repetitive optokinetic stimulation in
acute stroke patients with neglect to the spontaneous course. Outcome measures
were a neuropsychological test battery for neglect as well as scales of
functional independence and clinical impairment. Outcomes were assessed at
baseline (day 1), post treatment (day 8), and at 1-month follow-up (day 30).
RESULTS: Final analysis included 21 acute right hemisphere stroke patients with
neglect (23 enrolled, 2 lost to follow-up) allocated either to the treatment (1
week hemifield eye patching and daily sessions of optokinetic stimulation, n=11)
or the control group (no neglect-specific treatment, n=10). At baseline, both
groups did not differ in neuropsychological test performance, clinical
impairment, or functional disability. At the post treatment session, both groups
had improved in all these measures, and results were stable or further improved
at follow-up. However, there was no significant difference in this change between
the treatment and the control group. CONCLUSIONS: An early intervention of
combined hemifield eye patching and optokinetic stimulation in acute stroke
patients with spatial neglect has no additive effect to the spontaneous remitting
course of the disorder. CLINICAL TRIAL REGISTRATION URL:
http://www.clinicaltrials.gov. Unique identifier: NCT01617343.
PMID- 24923724
TI - Impact of diffusion-weighted imaging Alberta stroke program early computed
tomography score on the success of endovascular reperfusion therapy.
AB - BACKGROUND AND PURPOSE: In acute ischemic stroke patients treated by intravenous
thrombolysis, a diffusion-weighted imaging (DWI) Alberta Stroke Program Early
Computed Tomography Score (ASPECTS) is an independent factor of functional
outcomes. Our aim was to assess the impact of pretreatment DWI-ASPECTS on
outcomes after endovascular therapy, with a specific emphasis on recanalization.
METHODS: We analyzed data collected between April 2007 and March 2013 in a
prospective clinical registry of acute ischemic stroke patients treated by
endovascular approach. Every patient with a documented internal carotid artery or
middle cerebral artery occlusion who underwent an acute DWI-MRI before treatment
was eligible for this study. The primary outcome was a favorable outcome defined
by modified Rankin Scale of 0 to 2 at 90 days. RESULTS: Two hundred ten patients
were included and median DWI-ASPECTS was 7 (interquartile range, 4-8). DWI
ASPECTS>=5 was the optimal threshold to predict a favorable outcome (area under
the curve=0.69; sensitivity, 90%; specificity, 38%). In a multivariate analysis
including confounding variables, the adjusted odds ratio for favorable outcomes
associated with a DWI-ASPECTS of >=5 was 5.06 (95% confidence interval, 1.86
13.77; P=0.002). Nonetheless, the occurrence of a complete recanalization was
associated with an increased rate of favorable outcomes in patients with DWI
ASPECTS under 5 (50% versus 3%, P<0.001). CONCLUSIONS: DWI-ASPECTS>=5 seems to be
the optimal threshold to predict favorable outcomes among patients undergoing
endovascular reperfusion within 6 hours. Selected patients with a DWI-ASPECTS of
<5 may still benefit when a complete reperfusion is achieved.
PMID- 24923725
TI - The 2015 Hyogo Framework for Action: cautious optimism.
PMID- 24923726
TI - The prevalence of anxiety and depression in people with age-related macular
degeneration: a systematic review of observational study data.
AB - BACKGROUND: Comorbid mental health problems have been shown to have an adverse
effect on the quality of life of people with common eye disorders. This study
aims to assess whether symptoms of anxiety and/or depression are more prevalent
in people with age-related macular degeneration (AMD) than in people without this
condition. METHODS: A systematic search of electronic databases (Medline, CINAHL,
EMBASE, PsycINFO) from inception to February 2012 was conducted to identify
studies of AMD populations which measured symptoms of anxiety and/or depression.
Reference checking of relevant articles was also performed. Data on the study
setting, prevalence and how anxiety and depression were measured were extracted
from the papers. Critical appraisal was performed using the Critical Appraisal
Skills Programme (CASP) tools. RESULTS: A total of 16 papers were included in the
review, from an original search result of 597. The prevalence estimates, taken
from nine cross-sectional and cohort studies, ranged from 15.7%-44% for
depressive symptoms and 9.6%-30.1% for anxiety symptoms in people with AMD. The
seven case-control studies found that people with AMD were more likely to
experience symptoms of depression compared with those without AMD, but not more
likely to experience symptoms of anxiety. CONCLUSIONS: Overall, the evidence
suggests that symptoms of depression are more prevalent amongst AMD populations
than anxiety symptoms. The heterogeneity of the studies included in this review
means that it is difficult to draw strong conclusions as to the true estimates of
depression and anxiety symptoms in AMD populations and prevented formal meta
analysis. Further research which specifies clinical anxiety and gives clear
definitions as to the type of AMD being investigated is required.
PMID- 24923727
TI - A neighborhood-based approach to population health in the pediatric medical home.
AB - Health care reform is increasingly focused on population health outcomes. Local
strategies low-income neighborhoods could connect every newborn to a medical home
and create a platform to link them with other health-promoting community
resources. (1) To improve connections to the medical home for infants from one
low-income neighborhood (2) To increase the number of families enrolled in a
local home visiting program, and (3) To improve communication between medical
staff and home visitors. The study was conducted in a neighborhood with 550
births per year and median household income of $27,000. Quality improvement
methods were used to test: (1) newborn registry in the medical home, (2)
proactive outreach by nursing staff, (3) standardized protocol for enrolling
families in home visiting, and (4) coordination of care between medical home and
home visitors. Outcomes were timeliness of well child care and enrollment in home
visiting. Time series analyses compared patients from the intervention
neighborhood with a demographically similar neighborhood. Mean age at newborn
visit decreased from 14.4 to 10.1 days of age. Attendance at 2- and 4-month well
child visits increased from 68 to 79% and 35 to 59 %, respectively. Rates did not
improve for infants from the comparison neighborhood. Confirmed enrollment in
home visiting increased. After spread to 2 more clinics, 43 % of infants in the
neighborhood were reached. Neighborhood-based newborn registries, proactive
nursing outreach, and collaboration with a home visiting agency aligned multiple
clinics in a low-income neighborhood to improve access to health-promoting
services.
PMID- 24923728
TI - Cancer survival among First Nations people of Ontario, Canada (1968-2007).
AB - We aimed to compare cancer survival in Ontario First Nations people to that in
other Ontarians for five major cancer types: colorectal, lung, cervix, breast and
prostate. A list of registered or "Status" Indians in Ontario was used to create
a cohort of over 140,000 Ontario First Nations people. Cancers diagnosed in
cohort members between 1968 and 2001 were identified from the Ontario Cancer
Registry, with follow-up for death until December 31st, 2007. Flexible parametric
modeling of the hazard function was used to compare the survival experience of
the cohort to that of other Ontarians. We considered changes in survival from the
first half of the time period (1968-1991) to the second half (1992-2001). For
other Ontarians, survival had improved over time for every cancer site. For the
First Nations cohort, survival improved only for breast and prostate cancers; it
either declined or remained unchanged for the other cancers. For cancers
diagnosed in 1992 or later, all-cause and cause-specific survival was
significantly poorer for First Nations people diagnosed with breast, prostate,
cervical, colorectal (male and female) and male lung cancers as compared to their
non-First Nations peers. For female lung cancer, First Nations women appeared to
have poorer survival; however, the result was not statistically significant.
Ontario's First Nations population experiences poorer cancer survival when
compared to other Ontarians and strategies to reduce these inequalities must be
developed and implemented.
PMID- 24923729
TI - Simplified submission.
PMID- 24923730
TI - Antiarrhythmic effect of tamoxifen on the vulnerability induced by
hyperthyroidism to heart ischemia/reperfusion damage.
AB - Hyperthyroidism, known to have deleterious effects on heart function, and is
associated with an enhanced metabolic state, implying an increased production of
reactive oxygen species. Tamoxifen is a selective antagonist of estrogen
receptors. These receptors make the hyperthyroid heart more susceptible to
ischemia/reperfusion. Tamoxifen is also well-known as an antioxidant. The aim of
the present study was to explore the possible protective effect of tamoxifen on
heart function in hyperthyroid rats. Rats were injected daily with 3,5,3'
triiodothyronine at 2mg/kg body weight during 5 days to induce hyperthyroidism.
One group was treated with 10mg/kg tamoxifen and another was not. The protective
effect of the drug on heart rhythm was analyzed after 5 min of coronary occlusion
followed by 5 min reperfusion. In hyperthyroid rats not treated with tamoxifen,
ECG tracings showed post-reperfusion arrhythmias, and heart mitochondria isolated
from the ventricular free wall lost the ability to accumulate and retain matrix
Ca(2+) and to form a high electric gradient. Both of these adverse effects were
avoided with tamoxifen treatment. Hyperthyroidism-induced oxidative stress caused
inhibition of cis-aconitase and disruption of mitochondrial DNA, effects which
were also avoided by tamoxifen treatment. The current results support the idea
that tamoxifen inhibits the hypersensitivity of hyperthyroid rat myocardium to
reperfusion damage, probably because its antioxidant activity inhibits the
mitochondrial permeability transition.
PMID- 24923731
TI - All sex steroids are made intracellularly in peripheral tissues by the mechanisms
of intracrinology after menopause.
AB - Following the arrest of estradiol secretion by the ovaries at menopause, all
estrogens and all androgens in postmenopausal women are made locally in
peripheral target tissues according to the physiological mechanisms of
intracrinology. The locally made sex steroids exert their action and are
inactivated intracellularly without biologically significant release of the
active sex steroids in the circulation. The level of expression of the steroid
forming and steroid-inactivating enzymes is specific to each cell type in each
tissue, thus permitting to each cell/tissue to synthesize a small amount of
androgens and/or estrogens in order to meet the local physiological needs without
affecting the other tissues of the organism. Achieved after 500 million years of
evolution, combination of the arrest of ovarian estrogen secretion, the
availability of high circulating levels of DHEA and the expression of the
peripheral sex steroid-forming enzymes have permitted the appearance of menopause
with a continuing access to intratissular sex steroids for the individual
cells/tissues without systemic exposure to circulating estradiol. In fact, one
essential condition of menopause is to maintain serum estradiol at biologically
inactive (substhreshold) concentrations, thus avoiding stimulation of the
endometrium and risk of endometrial cancer. Measurement of the low levels of
serum estrogens and androgens in postmenopausal women absolutely requires the use
of MS/MS-based technology in order to obtain reliable accurate, specific and
precise assays. While the activity of the series of steroidogenic enzymes can
vary, the serum levels of DHEA show large individual variations going from barely
detectable to practically normal "premenopausal" values, thus explaining the
absence of menopausal symptoms in about 25% of women. It should be added that the
intracrine system has no feedback elements to adjust the serum levels of DHEA,
thus meaning that women with low DHEA activity will not be improved without
external supplementation. Exogenous DHEA, however, follows the same intracrine
rules as described for endogenous DHEA, thus maintaining serum estrogen levels at
substhreshold or biologically inactive concentrations. Such blood concentrations
are not different from those observed in normal postmenopausal women having high
serum DHEA concentrations. Androgens, on the other hand, are practically all made
intracellularly from DHEA by the mechanisms of intracrinology and are always
maintained at very low levels in the blood in both pre- and postmenopausal women.
Proof of the importance of intracrinology is also provided, among others, by the
well-recognized benefits of aromatase inhibitors and antiestrogens used
successfully for the treatment of breast cancer in postmenopausal women where all
estrogens are made locally. Each medical indication for the use of DHEA, however,
requires clinical trials performed according to the FDA guidelines and the best
rules of clinical medicine.
PMID- 24923732
TI - Premature adrenarche: etiology, clinical findings, and consequences.
AB - Adrenarche means the morphological and functional change of the adrenal cortex
leading to increasing production of adrenal androgen precursors (AAPs) in mid
childhood, typically at around 5-8 years of age in humans. The AAPs
dehydroepiandrosterone (DHEA) and its sulfate conjugate (DHEAS) are the best
serum markers of adrenal androgen (AA) secretion and adrenarche. Normal ACTH
secretion and action are needed for adrenarche, but additional inherent and
exogenous factors regulate AA secretion. Inter-individual variation in the timing
of adrenarche and serum concentrations of DHEA(S) in adolescence and adulthood
are remarkable. Premature adrenarche (PA) is defined as the appearance of
clinical signs of androgen action (pubic/axillary hair, adult type body odor,
oily skin or hair, comedones, acne, accelerated statural growth) before the age
of 8 years in girls or 9 years in boys associated with AAP concentrations high
for the prepubertal chronological age. To accept the diagnosis of PA, central
puberty, adrenocortical and gonadal sex hormone secreting tumors, congenital
adrenal hyperplasia, and exogenous source of androgens need to be excluded. The
individually variable peripheral conversion of circulating AAPs to biologically
more active androgens (testosterone, dihydrotestosterone) and the androgen
receptor activity in the target tissues are as important as the circulating AAP
concentrations as determinants of androgen action. PA has gained much attention
during the last decades, as it has been associated with small birth size, the
metabolic and polycystic ovarian syndrome (PCOS), and thus with an increased risk
for type 2 diabetes and cardiovascular diseases in later life. The aim of this
review is to describe the known hormonal changes and their possible regulators in
on-time and premature adrenarche, and the clinical features and possible later
health problems associating with PA.
PMID- 24923733
TI - Steroid dimers-in vitro cytotoxic and antimicrobial activities.
AB - The in vitro cytotoxic activity of previously synthesized steroid dimers with
different spacer group (sulfide, trithiolane ring or phosphorotrithioate) and the
substituent at C-17 position was tested for their possible effects against
following human tumor cell lines: cervical adenocarcinoma (HeLa), chronic
myelogenous leukemia (K562) and two human breast cancer cell lines (MDA-MB-361
and MDA-MB-453). These compounds, applied at micromolar concentrations, exhibited
cytotoxic activity of different intensity (compared with cisplatin as a control),
modality and selectivity in these malignant cell lines. The best activity against
all four cell cancer lines was exhibited by dimer-sulfides. All screened
compounds exerted concentration-dependent cytotoxic activity against leukemia
K562 cells. The compounds which exerted the most pronounced cytotoxic action
exhibited notably higher cytotoxic activities against K562, HeLa and MDA-MB-453
cells in comparison to resting and PHA-stimulated PBMC, pointing to a significant
selectivity in their antitumor actions. Examination of the mechanisms of
cytotoxicity on leukemia K562 cells revealed pro-apoptotic action of each of the
investigated compounds applied at concentrations 2IC50. The most prominent pro
apoptotic action was exhibited by dimer-sulfide of cholest-4-en-3-one.
Furthermore, almost all of the tested compounds at IC50 concentrations induced G1
phase cell cycle arrest in K562 cells. Antimicrobial activity against Gram
positive, Gram-negative bacteria and fungal cells, and toxicity to brine shrimp
Artemia salina, were evaluated. There was no antibacterial activity. The best
antifungal activity was exhibited against Saccharomyces cerevisiae by dimers
linked with trithiolane ring, indicating a selective activity of investigated
compounds.
PMID- 24923734
TI - Cell proliferation and modulation of interaction of estrogen receptors with
coregulators induced by ERalpha and ERbeta agonists.
AB - The aim of the present study was to investigate modulation of the interaction of
the ERalpha and ERbeta with coregulators in the ligand responses induced by
estrogenic compounds. To this end, selective ERalpha and ERbeta agonists were
characterized for intrinsic relative potency reflected by EC50 and maximal
efficacy towards ERalpha and ERbeta mediated response in ER selective reporter
gene assays, and subsequently tested for induction of cell proliferation in T47D
ERbeta cells with variable ERalpha/ERbeta ratio, and finally for ligand dependent
modulation of the interaction of ERalpha and ERbeta with coregulators using the
MARCoNI assay, with 154 unique nuclear receptor coregulator peptides derived from
66 different coregulators. Results obtained reveal an important influence of the
ERalpha/ERbeta ratio and receptor selectivity of the compounds tested on
induction of cell proliferation. ERalpha agonists activate cell proliferation
whereas ERbeta suppresses ERalpha mediated cell proliferation. The responses in
the MARCoNI assay reveal that upon ERalpha or ERbeta activation by a specific
agonist, the modulation of the interaction of the ERs with coregulators is very
similar indicating only a limited number of differences upon ERalpha or ERbeta
activation by a specific ligand. Differences in the modulation of the interaction
of the ERs with coregulators between the different agonists were more pronounced.
Based on ligand dependent differences in the modulation of the interaction of the
ERs with coregulators, the MARCoNI assay was shown to be able to classify the ER
agonists discriminating between different agonists for the same receptor, a
characteristic not defined by the ER selective reporter gene or proliferation
assays. It is concluded that the ultimate effect of the model compounds on
proliferation of estrogen responsive cells depends on the intrinsic relative
potency of the agonist towards ERalpha and ERbeta and the cellular ERalpha/ERbeta
ratio whereas differences in the modulation of the interaction of the ERalpha and
ERbeta with coregulators contribute to the ligand dependent responses induced by
estrogenic compounds.
PMID- 24923736
TI - Heat-shock proteins and acute ischaemic kidney injury.
AB - The incidence of acute kidney injury due to ischaemia-reperfusion injury (IRI) is
rising but effective treatments and preventative approaches are currently
lacking. IRI is also an inevitable consequence of kidney transplantation and
significantly contributes to delayed graft function. Heat-shock proteins (Hsps)
are highly conserved and ubiquitously expressed molecular chaperones that help
maintain and restore normal cellular function in the kidney following IRI. Hsp70
is one of the most frequently studied Hsps because of potential cytoprotective
properties and attractiveness as a therapeutic target. However, the protective
properties of Hsp70 in renal IRI are not fully understood and putative modes of
protection include correction of protein conformation, cytoskeletal
stabilisation, anti-inflammatory effects, requirement in autophagy, anti
apoptotic properties, influence over macrophage phenotype and stimulation of
regulatory T cells. Significant clinical interest has been generated about the
possibility of applying pharmacological agents to induce Hsp70 and prevent renal
IRI, but prior to this, an increased mechanistic understanding of the protective
nature of Hsp70 is needed. In particular, further investigation of Hsp expression
on inflammatory cell behaviour is required as this could lead to the development
of new therapeutic strategies for enhancing recovery following renal IRI and
broaden the range of these therapies to a wider group of patients.
PMID- 24923735
TI - Titrating T-cell epitopes within self-assembled vaccines optimizes CD4+ helper T
cell and antibody outputs.
AB - Epitope content plays a critical role in determining T-cell and antibody
responses to vaccines, biomaterials, and protein therapeutics, but its effects
are nonlinear and difficult to isolate. Here, molecular self-assembly is used to
build a vaccine with precise control over epitope content, in order to finely
tune the magnitude and phenotype of T helper and antibody responses. Self
adjuvanting peptide nanofibers are formed by co-assembling a high-affinity
universal CD4+ T-cell epitope (PADRE) and a B-cell epitope from Staphylococcus
aureus at specifiable concentrations. Increasing the PADRE concentration from
micromolar to millimolar elicited bell-shaped dose-responses that are unique to
different T-cell populations. Notably, the epitope ratios that maximize T
follicular helper and antibody responses differed by an order of magnitude from
those that maximized Th1 or Th2 responses. Thus, modular materials assembly
provides a means of controlling epitope content and efficiently skewing the
adaptive immune response in the absence of exogenous adjuvant; this approach may
contribute to the development of improved vaccines and immunotherapies.
PMID- 24923737
TI - Metabolic syndrome after pediatric liver transplantation.
AB - Half of adult liver transplantation (LT) recipients develop metabolic syndrome,
but the prevalence after childhood LT remains unknown. We conducted a national
cross-sectional study of all living patients who had undergone LT between 1987
and 2007 at an age less than 18 years. We gathered information on blood pressure,
body composition, serum lipids, glucose metabolism, and histological liver fat
content. The diagnostic criteria for metabolic syndrome of the American Heart
Association and the International Diabetes Federation were used. After a median
post-LT follow-up time of 12 years, half of all patients had no components of
metabolic syndrome. The prevalence of overweight/obesity was 20%, and the
prevalence of hypertension was 24%. Serum triglycerides were high in 9%, and high
density lipoprotein levels were low in 23%. Fasting glucose levels were impaired
in 14%, but none had diabetes. Altogether, 9 patients (14%) had metabolic
syndrome. Moderate liver steatosis found in protocol liver biopsy samples was
associated with the accumulation of metabolic syndrome features (P = 0.01). No
significant associations were found between immunosuppressive medications and
metabolic syndrome. In conclusion, the prevalence of metabolic syndrome after
childhood LT is similar to the prevalence in the general population of the same
age. Guidelines for the general population, therefore, seem valid for the
prevention and treatment of metabolic syndrome after pediatric LT as well.
PMID- 24923738
TI - Three-dimensional scapular motion during arm elevation is altered in women with
fibromyalgia.
AB - BACKGROUND: The core feature of fibromyalgia is pain, which may play a role in
various mechanisms that might lead to alterations in shoulder kinematics.
Alterations in muscle activity and presence of tender points in the shoulder
girdle have already been described in this population; however there is lack of
evidence on three-dimensional scapular motion in women with fibromyalgia.
METHODS: Forty women with fibromyalgia and 25 healthy women (control group)
matched in terms of age, weight and height, took part in this study. Three
dimensional scapular kinematics of the dominant arm were collected during
elevation and lowering of the arm in the sagittal and scapular planes. Pain was
evaluated by the Visual Analogue Scale and the Numerical Pain Rating Scale. Group
comparisons were performed with one-way ANOVA for pain and two-way ANOVA for the
kinematic variables (scapular internal/external rotation, upward/downward
rotation and anterior/posterior tilt), with group and humeral elevation angle as
categorical factors. Significance level was set at P<0.05. FINDINGS: Fibromyalgia
women presented higher pain scores (P<0.001) than the control group. Fibromyalgia
women also presented greater scapular upward rotation (P<0.001, both planes) and
greater scapular posterior tilt (P<0.001, both planes) than the control group.
INTERPRETATION: Women with fibromyalgia present greater scapular upward rotation
and posterior tilt in the resting position and during arm elevation and lowering
of the arm in sagittal and scapular planes. These alterations may be a
compensatory mechanism to reduce pain during arm movement.
PMID- 24923739
TI - Adenocarcinoma of the pancreas: Does prognosis depend on mode of lymph node
invasion?
AB - BACKGROUND: Lymph node (LN) invasion in pancreatic ductal adenocarcinoma (PDAC)
is the most important prognostic factor after surgical resection. The mechanisms
of LN invasion include lymphatic spreading and/or direct extension from the main
tumor. However, few studies have assessed the impact of these different patterns
of invasion on prognosis. PATIENTS AND METHODS: Pathologic reports of pancreatic
resections for PDAC from 1997 to 2007 were retrospectively analyzed. The mode of
LN invasion was defined as follows: standard lymphatic metastases (S), contiguous
from the main tumor (C) and standard with extracapsular invasion (EI). Clinical
outcomes were compared according to the mode of invasion and the number of
invaded LN. RESULTS: 306 patients were reviewed. Median age at resection was 61
years (range, 34-81). Eighty seven patients were N- (28.9%) and 214 were N+
(71.1%). Of the N+ patients, 195 (91.1%) were S+, 35 (16.3%) were C+, and 24
(12.3% of the S+ patients) were EI+. Median survival in N+ patients was lower
than in N- patients (29 vs. 57 months, p < 0.001). In patients without standard
involvement, C+ patients (n = 19) had worse survival than C- patients (n = 47)
(34 vs. 57 months, p = 0.037). In S+ patients, C status was correlated with
prognosis when the number of LN S+ was <2 (p = 0.07). EI status had no influence
on prognosis. On multivariate analysis, only perineural invasion (p = 0.02) and
LN ratio (p = 0.042) were independent prognostic factors. CONCLUSION: Direct
invasion of LN by the tumor is predictive of reduced survival, but has little
impact compared to standard LN involvement and perineural invasion.
PMID- 24923740
TI - A phoenix of clinical toxinology: white-tailed spider (Lampona spp.) bites. A
case report and review of medical significance.
AB - The Australian white-tailed spiders ("WTS"; Lamponidae: notably Lampona
cylindrata &Lampona murina) have a continuing reputation on Internet sites as a
cause of skin ulceration, labelled "necrotic arachnidism", despite an increasing
number of peer-reviewed publications debunking this reputation, with >135
confirmed cases now reported without any evidence of necrosis. We present here a
case of confirmed WTS bite in a 42-year old male, followed for over a month, with
photos of bite site signs and no development of skin ulceration/necrosis. The
patient was initially alarmed by information on the Internet suggesting local
necrosis would result from the bite. We discuss the evolution of knowledge about
bites by the WTS, and the persistence of misconceptions about their factually
mild medical significance.
PMID- 24923741
TI - BMP4 promotes SSEA-1(+) hUC-MSC differentiation into male germ-like cells in
vitro.
AB - OBJECTIVES: Recent studies have demonstrated that primordial germ cells (PGC) can
be differentiated from human umbilical cord mesenchymal stem cells (hUC-MSCs),
and embryonic stem cells (ESCs) in vitro. Nevertheless, efficiencies were low and
unstable. Here, whether hUC-MSCs can be induced to differentiate into germ-like
cells with the aid of bone morphogenetic protein (BMP4) was investigated.
MATERIALS AND METHODS: Human umbilical cord mesenchymal stem cells were freshly
isolated and cultured with BMP4. SSEA-1(+/-) cells were purified using magnetic
activated cell sorting (MACS) from the hUC-MSCs, and further induced with BMP4.
Quantitative real-time PCR (qRT-PCR) and immunofluorescence analysis were used to
determine PGC and germ-like cell-specific markers. RESULTS: Human umbilical cord
mesenchymal stem cells differentiated into SSEA-1(+) spherical PGC-like cells
efficiently with 12.5 ng/ml BMP4. qRT-PCR and immunofluorescence analysis
demonstrated that SSEA-1(+) cells expressed higher levels of PGC-specific markers
than SSEA-1(-) cells. Furthermore, SSEA-1(+) cells were induced with BMP4 to
differentiate into STRA8, SCP3, DMRT1 and PLZF-positive male germ-like cells, and
some sperm-like cells were obtained by 7-14 days after induction. CONCLUSION:
These results suggest that SSEA-1(+) hUC-MSCs can differentiate into male germ
like cells in the presence of BMP4. This study provides an efficient protocol to
study germ-cell development using hUC-MSCs.
PMID- 24923743
TI - Alterations in the release calls of six European anura (amphibia) after partial
or total extirpation of the vocal cords.
AB - In the interior of the larynx of Ranidae there are two sturdy vocal cords. The
Bufonidae have more delicate vocal cords, and in addition paired cushions of
tissue anterior to the cords and paired folds posterior to the cords. In the
three ranids Rana esculenta, Rana ridibunda and Rana temporaria, partial or total
extirpation of the vocal cords results in loss of voice or atypical release
calls. In such remnants of calls as are retained, the frequency composition is
little affected, whereas the intensity is always greatly reduced. The most severe
impairment is evident in the formation of sound pulses and in the rhythmicity of
the pulse sequence. In the three bufonids Bufo bufo, Bufo calamita and Bufo
viridis loss of voice is a less common result of the various operations than in
the ranids. The most marked deterioration follows removal of all or part of the
vocal cords. The tissue cushions and the posterior folds participate, along with
the vocal cords, in production of the release calls. Post-operative alterations
in the release calls are therefore quite variable.
PMID- 24923742
TI - Biopsy-negative, varicella zoster virus (VZV)-positive giant cell arteritis,
zoster, VZV encephalitis and ischemic optic neuropathy, all in one.
AB - A 72-year-old man developed clinical features of giant cell arteritis (GCA) and
ipsilateral ophthalmic-distribution zoster, followed within 2 weeks by VZV
encephalitis and 2 months later by ischemic optic neuropathy. Temporal artery
biopsy was histopathologically negative for GCA, but contained VZV antigen and
VZV DNA in multiple non-contiguous (skip) areas. The collective clinical and
laboratory findings revealed a remarkably close temporal association of zoster,
multifocal VZV vasculopathy with temporal artery infection, biopsy-negative VZV
positive GCA and VZV encephalitis.
PMID- 24923744
TI - Behavioural and morphological effects of testosterone and gonadotropins in the
young male domestic duck (Anas platyrhynchos L.).
AB - Young male domestic ducks 20-72 days old were successively injected with two
hormonal preparations. The first hormone treatment included males injected with
testosterone propionate (TP), human chorionic gonadotropin (HCG), pregnant mare
serum (PMS), control oil injected males (C) and males injected with TP and
submitted at the same time to a permanent intense light. During the second
hormonal treatment all males except controls were injected with TP. Almost no
behavioural effects were observed in any group of males following the first
treatment. The second one, however, induced intense social display and sexual
behaviour in the four TP-injected groups. Some qualitative and quantitative
differences were found between groups according to the first hormone treatment to
which they had been submitted. This suggests a possible role of gonadotropic
hormones in the control of social behaviour in ducks. Experimental data
supporting this hypothesis are briefly reviewed and discussed.
PMID- 24923745
TI - Ontogenetic development of conditioned food aversion in chickens.
AB - Development of conditioned food aversion (CFA) was studied in 25-, 35-, and 45
day Leghorn chicks. Food-deprived birds had 10-min access to normal food on days
1 and 2, and to green coloured food CS on day 3. Injection of LiCl (0.15 M, 3-4%
body weight) administered 10 min after CS on day 3 served as the US. Control
groups were injected with the same volume of saline. Retention was tested on day
4 in three 3-min presentations of normal food alternating with two presentations
of green food. Number of pecks and amount of food consumed were measured.
Significant neophobic rejection of green food was observed in 25- but not in 35-
and 45-day-old control birds. Neophobia in the youngest chicks was further
accentuated by CFA which could be observed in pure form in the 45-day-old
experimental group. Comparison of pecking rate and food intake showed that CFA in
younger birds was accomplished predominantly by inhibition of pecking, and in
older birds also by reduction of peck volume. It is concluded that protection
against poisoning in chickens shifts between 25 and 45 days of posthatching age
from neophobia to CFA.
PMID- 24923746
TI - Selective facilitative effect of post-trial reticular stimulation in
discriminative learning in the rat.
AB - Ninety-eight Sprague-Dawley rats, implanted with electrodes in the mesencephalic
tegmentum (reticular activating system, RAS) served as subjects in two
experiments. In the first experiment (n = 42) we investigated the effects of a
RAS stimulation (5 MU A, 300 Hz, 90 sec in duration) on the acquisition of a
positively reinforced light-dark discrimination in a T-maze. In the second
experiment (n = 56) the reinforcement and the treatment were dissociated by
comparing the effects of the RAS stimulation administered after correct or
incorrect choices, during the same discrimination task. In the two experiments,
despite large differences in learning conditions, the results show a considerable
learning facilitation by administering the RAS stimulation immediately after each
trial. This facilitation does not seem to be due to an interaction between
reinforcement and stimulation, since the results of experiment 2 show the maximum
facilitation in animals stimulated after each (non-reinforced) error, compared to
subjects stimulated after each (reinforced) correct choice. These results are
discussed both in terms of consolidation processes and in terms of comparison of
the cue values of S(+) and S(-) in a discriminative learning situation.
PMID- 24923747
TI - Acquisition of avoidance reaction in rats with different social experience in
youth.
AB - The acquisition of both active and passive avoidance response, the extinction of
the former and the retention of the long-term memory trace of the latter were
studied in 30- and 90-day-old male rats of the Wistar strain. The rats were in 3
groups which had had a different history between 15 and 30 days of age: (1)
normally weaned rats lived from birth in a cage together with mother and
siblings, i.e. under usual laboratory breeding conditions; (2) prematurely weaned
rats lived under the same conditions for the first 15 days; after this period,
their mother was removed from the cage; (3) community-reared rats had the same
history up to 15 days of age; then they began to live in a community (5 connected
cages) in contact with both young and adult rats from other cages. Ninety- day
old male rats acquired an active avoidance response at the same rate irrespective
of their history in youth but 30-day-old rats were relatively slower if they had
been prematurely weaned. Among both normally and prematurely weaned 30-day-old
rats, the extinction was slower than in community-reared rats of the same age.
Passive avoidance response was acquired by all rats at the same rate irrespective
of their history and age. The long- term memory trace was always more stable in
adult rats than in young ones.
PMID- 24923748
TI - The interaction between conditioned fish and naive schools of juvenile carp
(Cyprinus carpio, pisces).
AB - In a circular experimental pool the behaviour of trained juvenile carp placed in
naive schools of different size and of different behavioural motivation was
studied. It could be shown that the time the trained fish needed to arrive at the
feeding place was much shorter when it swam in a habituated school than in a
frightened one. This is discussed as a result of the conflict between the
conditioned reaction and the various levels of mutual attraction. In the same
manner the time elapsing from CS until the interruption of the movement of gills
and fins also varied. The percentage of schooling companions which followed the
trained fish to the feeding place diminished with growing school size. Six to ten
fish may be the upper limit that one carp can guide.
PMID- 24923751
TI - Performance enhancement of capacitive-coupling dual-gate ion-sensitive field
effect transistor in ultra-thin-body.
AB - Recently, thin-film transistor based-ISFETs with the dual-gate (DG) structures
have been proposed, in order to beat the Nernst response of the standard ISFET,
utilizing diverse organic or inorganic materials. The immutable Nernst response
can be dramatically transformed to an ultra-sensing margin, with the capacitive
coupling arisen from the DG structure. In order to advance this platform, we here
embedded the ultra-thin body (UTB) into the DG ISFET. The UTB of 4.3 nm serves to
not only increase its sensitivity, but also to strongly suppress the leakage
components, leading to a better stability of the DG ISFET. In addition, we first
provide a comprehensive analysis of the body thickness effects especially how the
thick body can render the degradation in the device performance, such as
sensitivity and stability. The UTB DG ISFET will allow the ISFET-based biosensor
platform to continue enhancement into the next decade.
PMID- 24923752
TI - The canine hepatic progenitor cell niche: molecular characterisation in health
and disease.
AB - Hepatic progenitor cells (HPCs) are an adult stem cell compartment in the liver
that contributes to liver regeneration when replication of mature hepatocytes is
insufficient. In this study, laser microdissection was used to isolate HPC niches
from the livers of healthy dogs and dogs with lobular dissecting hepatitis (LDH),
in which HPCs are massively activated. Gene expression of HPC, hepatocyte and
biliary markers was determined by quantitative reverse transcriptase PCR.
Expression and localisation of selected markers were further studied at the
protein level by immunohistochemistry and immunofluorescent double staining in
samples of normal liver and liver from dogs with LDH, acute and chronic
hepatitis, and extrahepatic cholestasis. Activated HPC niches had higher gene
expression of the hepatic progenitor markers OPN, FN14, CD29, CD44, CD133, LIF,
LIFR and BMI1 compared to HPCs from normal liver. There was lower expression of
albumin, but activated HPC niches were positive for the biliary markers SOX9,
HNF1beta and keratin 19 by immunohistochemistry and immunofluorescence. Laminin,
activated stellate cells and macrophages are abundant extracellular matrix and
cellular components of the canine HPC niche. This study demonstrates that the
molecular and cellular characteristics of canine HPCs are similar to rodent and
human HPCs, and that canine HPCs are distinctively activated in different types
of liver disease.
PMID- 24923753
TI - Diagnosis of canine gastric adenocarcinoma using squash preparation cytology.
AB - Adenocarcinoma is the most common gastric tumour in dogs. Clinical signs and
laboratory results are often non-specific, with histopathological examination of
gastric biopsies being required to reach a definitive diagnosis. Use of cytology
would potentially shorten the time to diagnosis and allow early interventional
measures to be implemented. However, there are relatively few studies of the
cytological features of gastric samples. The present study was designed to
investigate whether cytology might be useful for diagnosis of canine gastric
adenocarcinomas and to evaluate the performance of squash preparation cytology
for this purpose. Squash preparations of gastric biopsies from 94 dogs were
reviewed to determine the presence or absence of specific cytological features
associated with adenocarcinomas and to compare findings with the results of
histopathological examination of gastric biopsies. The presence of signet ring
cells, microvacuolation, cellular pleomorphism and single cell distribution of
epithelial cells were positively associated with a diagnosis of gastric
adenocarcinoma. Combined evaluation (parallel testing) for the presence of signet
ring cells and microvacuolation demonstrated excellent results for recognition of
adenocarcinomas. Cytological examination of squash preparations from gastric
biopsies and identification of signet ring cells and cytoplasmic vacuolation can
allow rapid and reliable diagnosis of canine gastric adenocarcinomas.
PMID- 24923754
TI - Feline parvovirus infection and associated diseases.
AB - Feline panleukopenia, caused by the single-stranded DNA virus feline parvovirus
(FPV), is a highly contagious and often lethal disease of cats and other Felidae.
FPV, but also canine parvovirus (CPV) can be isolated from both healthy and
diseased cats. In Germany, CPV was detected in only approximately 10% of feline
samples, but in Southeast Asia, reports estimated that up to approximately 80% of
diseased cats were infected with CPV. Infection spreads rapidly, especially in
cells with high mitotic activity, such as bone marrow, lymphoid tissue and
intestinal crypt cells. Anorexia, vomiting, diarrhoea, neutropenia and
lymphopenia are common in clinically affected cases. In utero or neonatal
infection can result in cerebellar hypoplasia. Depending on the severity of
clinical signs, mortality ranges from 25 to 100%. Effective vaccination and
thorough disinfection are of the utmost importance in the prevention of disease
transmission in multi-cat households and animal shelters. If clinical signs
develop, supportive treatment should be commenced. The efficacy of feline
recombinant interferon and FPV antibodies has not been clearly demonstrated.
Commercially available vaccines should induce protective immunity when
administered according to current guidelines. Recent studies suggest that in some
kittens, maternally derived antibodies (MDA) can persist for much longer than has
been previously recognised. FPV serum antibody tests are available, but
protection status needs to be interpreted with caution in kittens with MDA and a
negative titre in adult cats does not necessarily denote lack of protection.
PMID- 24923755
TI - Impact of tetrodotoxin application and lidocaine supplementation on equine
jejunal smooth muscle contractility and activity of the enteric nervous system in
vitro.
AB - By blocking the enteric nervous system (ENS) using tetrodotoxin (TTX), previous
studies have documented the contractility-enhancing (CE) effects of lidocaine in
equine intestinal smooth muscle (SM) at the level of SM cells and/or interstitial
cells of Cajal (ICC). The present study examined the impact of ENS deactivation
on CE lidocaine effects, and investigated the effects of lidocaine on ENS
activity. TTX application did not affect the CE effects of lidocaine, indicating
that these were not mediated by TTX-sensitive sodium channels. Application of TTX
or >=100 mg/L lidocaine reduced ENS activity. Although such concentrations of
lidocaine exceed therapeutic blood concentrations, tissue concentrations may be
higher with the potential to reduce ENS activity and impair intestinal motility
in vivo. Improved understanding of underlying mechanisms is relevant for
therapeutic use of lidocaine in horses with postoperative ileus.
PMID- 24923756
TI - Prevalence of upper respiratory pathogens in four management models for unowned
cats in the Southeast United States.
AB - Upper respiratory infection (URI) is a pervasive problem in cats and impacts the
capacity and cost of sheltering programs. This study determined the pattern of
respiratory pathogens in cats with and without clinical signs of URI in four
different models for managing unowned cats, namely, (1) short-term animal
shelters (STS), (2) long-term sanctuaries (LTS), (3) home-based foster care
programs (FCP), and (4) trap-neuter-return programs for community cats (TNR).
Conjunctival and oropharyngeal swabs from 543 cats, approximately half of which
showed clinical signs of URI, were tested for feline herpes virus-1 (FHV), feline
calicivirus (FCV), Chlamydia felis, Bordetella bronchiseptica, Mycoplasma felis,
and canine influenza virus by real-time PCR. FHV (59%, 41%) and B. bronchiseptica
(33%, 24%) were more prevalent in both clinically affected and nonclinical cats,
respectively, in STS than other management models. FCV (67%, 51%) and M. felis
(84%, 86%) were more prevalent in LTS than any other management model. Clinically
affected cats in FCP were more likely to carry FHV (23%, 6%), C. felis (24%,
10%), or M. felis (58%, 38%) than were nonclinical cats. Clinically affected cats
in TNR were more likely to carry FCV (55%, 36%) or C. felis (23%, 4%) than were
nonclinical cats. The prevalence of individual pathogens varied between different
management models, but the majority of the cats in each model carried one or more
respiratory pathogens regardless of clinical signs. Both confined and free
roaming cats are at risk of developing infectious respiratory disease and their
health should be protected by strategic vaccination, appropriate antibiotic
therapy, effective biosecurity, feline stress mitigation, and alternatives to
high-density confinement.
PMID- 24923757
TI - Recognition of lameness: man versus machine.
PMID- 24923759
TI - Programming of the development of tumor-promoting neutrophils by mesenchymal
stromal cells.
AB - BACKGROUND/AIMS: Neutrophils obtain immunosuppressive function during tumor
development, yet the mechanisms are largely unknown. This study explored whether
and how mesenchymal stromal cells (MSCs), the key component of tumor
microenvironment, regulate the suppressive function of neutrophils. METHODS:
Immunosuppressive function of neutrophils was evaluated by T cell proliferation
assay and 4T1 breast tumor model; molecular mechanisms were explored by
transcriptional profiling, Real-time RT-PCR, arginase activity assay, and iNOS
inhibition experiments. RESULTS: After being cocultured with MSCs primed by TNF
alpha (TNF-MSCs), CD11b(+)Ly6G(+) neutrophils isolated from bone marrow of normal
mice or spleen of tumor-bearing mice obtained immunosuppressive function to
inhibit T cell proliferation in vitro, and to enhance 4T1 tumor progression in
vivo. Moreover, arginase activity and expression of iNOS, saa3, some cytokines
and chemokines and their receptors, were upregulated in neutrophils after co
culture with TNF-MSCs. Inhibition of iNOS activity attenuated the suppressive
effect of TNF-MSC pre-cocultured neutrophils on T cell proliferation. CONCLUSION:
MSCs program neutrophils into an immunosuppressive and tumor-promoting phenotype.
PMID- 24923758
TI - Red cell distribution width predicts mortality in infective endocarditis.
AB - BACKGROUND: Infective endocarditis (IE) is associated with significant morbidity
and mortality. Red cell distribution width (RDW) is a recently recognized
biomarker of adverse outcome in a number of acute and chronic conditions. AIM: To
investigate the relationship between RDW and 1-year survival in patients with IE.
METHODS: Clinical records from two tertiary centres were used to analyze data
from patients with definite IE. Clinical, echocardiographic and biochemical
variables were evaluated along with RDW. One-year survival status after index
hospitalization was identified for each patient. RESULTS: One hundred consecutive
patients (mean age 47.8+/-16.7 years; 61% men) with definite IE were enrolled.
According to receiver operating characteristic curve analysis, the optimal RDW
cut-off value for predicting mortality was 15.3% (area under the curve 0.70;
P=0.001). Forty-one patients (41%) died within 1 year; of these, 88% had RDW
results>15.3%. Univariate Cox proportional-hazards analysis showed that
RDW>15.3%, heart failure, renal failure, cardiac abscess, severe valvular
regurgitation and presence of dehiscence were associated with increased
mortality. Multivariable Cox proportional-hazards analysis revealed that renal
failure (hazard ratio [HR] 3.21, 95% confidence interval [CI] 1.35-7.59;
P=0.008), heart failure (HR 2.77, 95% CI 1.1-6.97; P=0.03) and RDW>15.3% (HR
3.07, 95% CI 1.06-8.86; P=0.03) were independent predictors of mortality in
patients with IE. CONCLUSION: According to our results, mortality is high in
patients with IE. RDW is a promising biomarker for predicting 1-year survival
rates in these patients.
PMID- 24923760
TI - Antipsychotic polypharmacy in schizophrenia patients in China and its association
with treatment satisfaction and quality of life: findings of the third national
survey on use of psychotropic medications in China.
AB - OBJECTIVE: This study examined the use, demographic and clinical correlates of
antipsychotic polypharmacy (APP) and its associations with treatment satisfaction
and quality of life (QOL) in schizophrenia patients in China. METHOD: A total of
4239 patients in 45 nationwide Chinese psychiatric hospitals/centers were
interviewed in 2012 in the third cross-sectional study, with the first two having
been conducted in 2002 and 2006. Patients' socio-demographic and clinical
characteristics, including psychopathology, side effects, satisfaction with
treatment and QOL, were recorded using a standardized protocol and data
collection procedure. RESULTS: The proportion of APP prescriptions in 2012 was
34.2%, which was significantly higher than the frequency of APP in 2002 (26.1%)
and 2006 (26.4%) (p<0.001). Of patients on APP, 91.1% received two
antipsychotics, 8.6% received three and 0.3% received four or more
antipsychotics. Multiple logistic regression analyses revealed that compared to
those on antipsychotic monotherapy, patients on APP and their families had lower
satisfaction with treatment, had higher QOL in the mental domain, younger age of
onset, more side effects, higher doses of antipsychotics and were more likely to
receive first-generation antipsychotics and less likely to receive
benzodiazepines (total R (2)=0.31, p<0.001). CONCLUSIONS: APP was found in about
one in three schizophrenia patients. The prevalence of APP seems to have been
increasing since 2002. Considering the increased frequency of drug-induced side
effects and the patients' and their relatives' dissatisfaction with antipsychotic
treatment, further examination of the rationale and appropriateness of APP and
its alternatives is warranted.
PMID- 24923761
TI - Suicide attempt and externalizing behaviours in posttraumatic stress disorder
(PTSD): possible role of the activating effect of antidepressants.
PMID- 24923762
TI - Selective TGF-beta1/ALK inhibitor improves neuronal differentiation of mouse
embryonic stem cells.
AB - The transforming growth factor-beta1 (TGF-beta1), a polypeptide member of the TGF
beta superfamily, has myriad cellular functions, including cell fate
differentiation. We hypothesized that suppression of TGF-beta1 signaling would
improve the efficacy of neuronal differentiation during embryoid body (EB)
development. In this study, mouse embryonic stem cells (ESCs) were allowed to
differentiate into their neuronal lineage, both with, and without the TGF-beta1
inhibitor (A83-01). After 8 days of EB suspension culture, the samples were
examined by morphological analysis, immunocytochemistry and immunohistochemistry
with pluripotent (Oct4, Sox2) and neuronal specific markers (Pax6, NeuN). The
alteration of gene expressions during EB development was determined by
quantitative RT-PCR. Our results revealed that the TGF-beta1/ALK inhibitor
potentially suppressed pluripotent gene (Oct4) during a rapidly up-regulation of
neuronal associated genes including Sox1 and MAP2. Strikingly, during EB
development, the expression of GFAP, the astrocyte specific gene, remarkably
decreased compared to the non-treated control. This strategy demonstrated the
beneficial function of TGF-beta1/ALK inhibitor that rapidly and uniformly drives
cell fate alteration from pluripotent state toward neuronal lineages.
PMID- 24923763
TI - Effects of electroacupuncture on ethanol-induced impairments of spatial learning
and memory and Fos expression in the hippocampus in rats.
AB - It is well established that alcohol impairs spatial learning and memory. Here, we
investigated the effects of electroacupuncture (EA) at ST36 or nonacupoint on
ethanol-induced learning and memory impairment and the expression of Fos in the
hippocampus. Ethanol (5g/kg) was administered intragastrically once a day for 5
consecutive days; 2Hz EA was administered immediately after ethanol exposure.
After a 2-day ethanol abstinence, for 6 consecutive days, the rats were submitted
to Morris water maze training. Probe trials were performed on 1 day after the
final training session. We also applied immunohistochemistry to detect Fos
positive nuclei in the hippocampus. We found that 5-day ethanol exposure markedly
decreased spatial learning and memory abilities in the Morris water maze task as
indicated by escape latency and time in the target quadrant. EA treatment
shortened the time of reaching platform and increased times traveled in the
target quadrant (P<0.05). Animals administered with ethanol emitted significantly
fewer Fos expression in the hippocampal CA1 area. EA increased Fos expression in
the hippocampal CA1 area. Significant correlations were obtained between Fos
protein expression in CA1 and time in the target quadrant. Altogether, these
results suggest that EA protects against ethanol-induced impairments of spatial
learning and memory, which may be involved in the hippocampal CA1 area. EA
treatment may provide a novel nonpharmacological strategy for ethanol-induced
learning and memory impairment.
PMID- 24923764
TI - Ribosomally encoded antibacterial proteins and peptides from Pseudomonas.
AB - Members of the Pseudomonas genus produce diverse secondary metabolites affecting
other bacteria, fungi or predating nematodes and protozoa but are also equipped
with the capacity to secrete different types of ribosomally encoded toxic
peptides and proteins, ranging from small microcins to large tailocins. Studies
with the human pathogen Pseudomonas aeruginosa have revealed that effector
proteins of type VI secretion systems are part of the antibacterial armamentarium
deployed by pseudomonads. A novel class of antibacterial proteins with structural
similarity to plant lectins was discovered by studying antagonism among plant
associated Pseudomonas strains. A genomic perspective on pseudomonad
bacteriocinogeny shows that the modular architecture of S pyocins of P.
aeruginosa is retained in a large diversified group of bacteriocins, most of
which target DNA or RNA. Similar modularity is present in as yet poorly
characterized Rhs (recombination hot spot) proteins and CDI (contact-dependent
inhibition) proteins. Well-delimited domains for receptor recognition or
cytotoxicity enable the design of chimeric toxins with novel functionalities,
which has been applied successfully for S and R pyocins. Little is known
regarding how these antibacterials are released and ultimately reach their
targets. Other remaining issues concern the identification of environmental
triggers activating these systems and assessment of their ecological impact in
niches populated by pseudomonads.
PMID- 24923766
TI - Direct detection for G6PD Bangkok and G6PD Bangkok Noi mutations in the families
with chronic nonspherocytic hemolytic anemia (CNSHA).
PMID- 24923765
TI - Five-membered heteroaromatic ring fused-pyrimidine derivatives: design,
synthesis, and hedgehog signaling pathway inhibition study.
AB - A series of novel five-membered heteroaromatic ring fused-pyrimidine derivatives
including purines, pyrrolo[2,3-d]pyrimidines, pyrrolo[3,2-d]pyrimidines,
thieno[2,3-d]pyrimidines, thieno[3,2-d]pyrimidines and furo[3,2-d]pyrimidines
have been identified to be potent inhibitors of hedgehog signaling pathway. The
synthesis and SAR of these compounds are described. Among this new series of
hedgehog signaling pathway inhibitors, most compounds exhibited significant
inhibitory activity compared to vismodegib, indicating that the five-membered
heteroaromatic ring fused-pyrimidines stand out as encouraging scaffolds among
the currently reported structural skeletons for hedgehog signaling pathway
inhibitors, deserving more exploration and further investigation.
PMID- 24923767
TI - Reassignment of the land tortoise haemogregarine Haemogregarina fitzsimonsi Dias
1953 (Adeleorina: Haemogregarinidae) to the genus Hepatozoon Miller 1908
(Adeleorina: Hepatozoidae) based on parasite morphology, life cycle and
phylogenetic analysis of 18S rDNA sequence fragments.
AB - SUMMARY Research was undertaken to clarify the true taxonomic position of the
terrestrial tortoise apicomplexan, Haemogregarina fitzsimonsi (Dias, 1953). Thin
blood films were screened from 275 wild and captive South African tortoises of 6
genera and 10 species between 2009-2011. Apicomplexan parasites within films were
identified, with a focus on H. fitzsimonsi. Ticks from wild tortoises, especially
Amblyomma sylvaticum and Amblyomma marmoreum were also screened, and sporogonic
stages were identified on dissection of adult ticks of both species taken from H.
fitzsimonsi infected and apparently non-infected tortoises. Parasite DNA was
extracted from fixed, Giemsa-stained tortoise blood films and from both fresh and
fixed ticks, and PCR was undertaken with two primer sets, HEMO1/HEMO2, and
HepF300/HepR900, to amplify parasite 18S rDNA. Results indicated that
apicomplexan DNA extracted from tortoise blood films and both species of tick had
been amplified by one or both primer sets. Haemogregarina fitzsimonsi 18S rDNA
sequences from tortoise blood aligned with those of species of Hepatozoon, rather
than those of species of Haemogregarina or Hemolivia. It is recommended therefore
that this haemogregarine be re-assigned to the genus Hepatozoon, making
Hepatozoon fitzsimonsi (Dias, 1953) the only Hepatozoon known currently from any
terrestrial chelonian. Ticks are its likely vectors.
PMID- 24923768
TI - Peritoneal dialysis outcomes after temporary haemodialysis for peritonitis-
influence on current practice.
PMID- 24923769
TI - Drugs in the elderly with chronic kidney disease: beware of potentially
inappropriate medications.
PMID- 24923770
TI - Label free high throughput screening for apoptosis inducing chemicals using time
lapse microscopy signal processing.
AB - Label free time-lapse microscopy has opened a new avenue to the study of time
evolving events in living cells. When combined with automated image analysis it
provides a powerful tool that enables automated large-scale spatiotemporal
quantification at the cell population level. Very few attempts, however, have
been reported regarding the design of image analysis algorithms dedicated to the
detection of apoptotic cells in such time-lapse microscopy images. In particular,
none of the reported attempts is based on sufficiently fast signal processing
algorithms to enable large-scale detection of apoptosis within hours/days without
access to high-end computers. Here we show that it is indeed possible to
successfully detect chemically induced apoptosis by applying a two-dimensional
linear matched filter tailored to the detection of objects with the typical
features of an apoptotic cell in phase-contrast images. First a set of recorded
computational detections of apoptosis was validated by comparison with apoptosis
specific caspase activity readouts obtained via a fluorescence based assay. Then
a large screen encompassing 2,866 drug like compounds was performed using the
human colorectal carcinoma cell line HCT116. In addition to many well known
inducers (positive controls) the screening resulted in the detection of two
compounds here reported for the first time to induce apoptosis.
PMID- 24923771
TI - Key concepts in consumer and community engagement: a scoping meta-review.
AB - BACKGROUND: Although consumer and community engagement (CCE) in health care is
receiving increasing attention, research and practice in this area are hampered
by the variability of concepts and terminology commonly employed. This scoping
meta-review aims to identify key CCE concepts and examine terminology used to
describe them. METHODS: In a scoping meta-review, an extensive list of 47 phrases
and 11 Medical Subject Headings (MeSH) was used to undertake a comprehensive and
systematic search in PubMed Central, Embase, EBM reviews, CINAHL, APAPsycNET, and
Scopus. RESULTS: 59 systematic reviews met the selection criteria and were
included in the final analysis. The analysis identified nine different concepts
related to CCE: shared decision making, self-management, CCE in health care
systems, community-based health promotion, providing access to health care,
rehabilitation, participation in research, collaboration in research design and
conduct, and peer support. The identified concepts differ from each other in many
aspects including the aim of the activity, the role of consumers and the type of
professionals' involvement. Each concept was described by a range of terms, with
some terms shared by different concepts. In addition, two overlapping concepts of
patient-centeredness and patient empowerment were recognised. CONCLUSIONS: This
study describes CCE-related key concepts and provides new insight into their
relationship with different CCE-related terms. Identification of key CCE-related
concepts and terms will be useful to focus future studies and initiatives and
enhance production of CCE-related evidence.
PMID- 24923772
TI - Multiple roles of the PGE2 -EP receptor signal in vascular permeability.
AB - BACKGROUND AND PURPOSE: PGE2 is a major prostanoid that regulates inflammation by
stimulating EP1-4 receptors. However, how PGE2 induces an initial inflammatory
response to vascular hyper-permeability remains unknown. Here we investigated the
role of the PGE2 -EP receptor signal in modulating vascular permeability both in
vivo and in vitro. EXPERIMENTAL APPROACH: We used a modified Miles assay and
intravital microscopy to examine vascular permeability in vivo. Endothelial
barrier property was assessed by measuring transendothelial electrical resistance
(TER) in vitro. KEY RESULTS: Local administration of PGE2 , an EP2 or EP4
receptor agonist into FVB/NJcl mouse ear skin caused vascular leakage, indicated
by dye extravasation. Intravital microscopy and laser Doppler blood-flow imaging
revealed that these treatments dilated peripheral vessels and increased local
blood flow. Pretreatment with the vasoconstrictor phenylephrine inhibited the
PGE2 -induced blood flow increase and vascular leakage. In contrast to the EP2
and EP4 receptor agonists, administration of an EP3 receptor agonist suppressed
vascular leakage without altering vascular diameter or blood flow. In isolated
HUVECs, the EP3 receptor agonist elevated TER and blocked thrombin-induced
dextran passage. Inhibiting PKA restored the hypo-permeability induced by the EP3
receptor agonist. CONCLUSIONS AND IMPLICATIONS: Activation of the PGE2 -EP2 or
EP4 receptor signal induces vasodilatation in mural cells, resulting in increased
local blood flow and hyper-permeability. In contrast, activation of the PGE2 -EP3
receptor signal induces a cAMP-dependent enhancement of the endothelial barrier,
leading to hypo-permeability. We provide the first evidence that endothelial
cells and mural cells cooperate to modulate vascular permeability.
PMID- 24923773
TI - Immunosuppressive medication adherence in kidney transplant patients.
AB - OBJECTIVES: To assess the degree of immunosuppressive medication adherence in
kidney transplant patients (KTPs) and to determine if there is a difference in
the rate of adherence to tacrolimus (Tac), cyclosporine (CsA) and sirolimus
(Sir). SUBJECTS AND METHODS: From a total of 63 KTPs treated at the Clinic of
Nephrology, Clinical Centre Nis, Serbia, 60 participated in the study by
responding to questionnaires. They were divided into the adherence group (n = 43)
and the nonadherence group (n = 17) according to their degree of adherence which
was measured using a validated survey form, the simplified medication adherence
questionnaire. The KTP adherence to the different immunosuppressive regimens
(Tac, CsA and Sir) was compared. Statistical analysis was performed using the
Student t test. RESULTS: Adherence was observed in 43 (71.7%) patients, and only
17 (28.3%) did not follow the prescribed therapy. The estimated glomerular
filtration rate was significantly lower in the nonadherence group (38.52 +/-
18.22 ml/min) than in the adherence group (52.43 +/- 16.91 ml/min, p < 0.05).
With regard to the Tac level, a significant difference was also found between the
adherers and the nonadherers (6.30 +/- 2.06 vs. 5.0 +/- 1.52 ng/ml, p < 0.05).
CONCLUSION: The KTPs in this study demonstrated a high level of adherence.
Nonadherence was associated with worse graft function and a lower Tac level.
Knowledge about the degree of adherence could help the early identification of
nonadherent patients and the development of strategies to improve this.
PMID- 24923774
TI - Long-term evaluation of orbital rhabdomyosarcoma in children.
AB - BACKGROUND: Orbital rhabdomyosarcoma (ORMS) is associated with an excellent
survival rate greater than 85%, and is considered to be a favourable site for
this tumour. Treatment is based on combination chemotherapy together with best
local therapy, sometimes surgery but more often radiation therapy. Local therapy
is associated with frequent and potentially severe late sequelae. DESIGN:
Retrospective hospital single-centre analysis. PARTICIPANTS: Eighty-two patients
treated in Institut Curie, Paris. METHODS: To define long-term status of
survivors after localized ORMS, patients treated between 1975 and 2010 were
analysed. MAIN OUTCOME MEASURES: Clinical structural and functional orbital, and
general sequelae. RESULTS: Median age at diagnosis was 6 years (range: 8 months
19 years), and median follow up was 8.5 years (range: 7 months-24 years). The 5
year globe conservation rate was 90.4%. Ophthalmic dysfunction was present in 79%
of patients. Impaired visual acuity (VA), was present in 62% of patients; 38% of
them had severe visual disability with VA < 6/60. Late effects on orbitofacial
structure were present in 39.8% of patients. Ocular or palpebral sequelae were
present in 79% of survivors, mainly cataract (42%), ocular surface lesions such
as keratoconjunctivitis (40%) and eyelid abnormalities (29%). General late
effects were rare. CONCLUSIONS: These data suggest that ocular and orbital late
effects are frequent after treatment of ORMS, indicating the need for systematic
long-term ophthalmologic follow up of these patients. Radiation therapy is an
important part of the total burden of therapy.
PMID- 24923775
TI - A systems biology approach to study the biology characteristics of esophageal
squamous cell carcinoma by integrating microRNA and messenger RNA expression
profiling.
AB - Esophageal squamous cell carcinoma (ESCC) is one of the most malignant tumors.
The aim of this study was to investigate the biology characteristics of ESCC by
analyzing microRNA and mRNA expression profile. We used BRB-array tools to
analyze the deregulated microRNA and mRNA between esophageal squamous cell
carcinomas and paired normal adjacent tissues. We used miRTrail and protein
protein interaction methods to explore the related pathways and networks of
deregulated microRNA and mRNA. By combining the results of pathways and networks,
we found that the deregulated microRNA and their deregulated target mRNA are
enriched in the following pathways: DNA replication, cell cycle, ECM-receptor
interaction, focal adhesion, mismatch repair, and pathways in cancer. The results
showed that many deregulated microRNAs and mRNAs may play a vital role in the
pathogenesis of ESCC, and the systems biology approach is very helpful to explore
molecular mechanism of ESCC.
PMID- 24923776
TI - Retraction. Further pieces of evidence to the pulmonary origin of sevoflurane
escaping to the operating room during general anaesthesia.
PMID- 24923777
TI - Mitogenomic sequences effectively recover relationships within brush-footed
butterflies (Lepidoptera: Nymphalidae).
AB - BACKGROUND: Mitogenomic phylogenies have revealed well-supported relationships
for many eukaryote groups. In the order Lepidoptera, 113 species mitogenomes had
been sequenced (May 14, 2014). However, these data are restricted to ten of the
forty-three recognised superfamilies, while it has been challenging to recover
large numbers of mitogenomes due to the time and cost required for primer design
and sequencing. Nuclear rather than mitochondrial genes have been preferred to
reconstruct deep-level lepidopteran phylogenies, without seriously evaluating the
potential of entire mitogenomes. Next-generation sequencing methods remove these
limitations by providing efficiently massive amounts of sequence data. In the
present study, we simultaneously obtained a large number of nymphalid butterfly
mitogenomes to evaluate the utility of mitogenomic phylogenies by comparing
reconstructions to the now quite well established phylogeny of Nymphalidae.
RESULTS: We newly obtained 30 nymphalid mitogenomes via pyrosequencing on the
Roche 454 GS Junior system, and combined these sequences with publicly accessible
data to provide a 70-taxa dataset covering 37 genes for a 15,495 bp alignment.
Polymorphic sites were not homogeneously distributed across the gene. Two gene
regions, nad6 and 3' end of nad5, were most variable, whereas the cox1 and 5'
ends of rrnL were most conserved. Phylogenetic relationships inferred by two
likelihood methods were congruent and strongly supported (>0.95 posterior
probability; ML bootstrap >85%), across the majority of nodes for multiple
partitioning strategies and substitution models. Bayes factor results showed that
the most highly partitioned dataset is the preferred strategy among different
partitioning schemes. The most striking phylogenetic findings were that the
subfamily Danainae not Libytheinae was sister of the remaining brush-footed
butterflies and that, within Limenitidini, the genus Athyma was clearly
polyphyletic. None of the single-gene phylogenies recovered the highly supported
topologies generated on the basis of the whole mitogenomic data. CONCLUSIONS:
Thirty mitogenomes were assembled with 89% completeness from the contigs of
pyrosequencing-derived reads. Entire mitogenomes or higher-quality sequences
could be obtained by increasing pyrosequencing read coverage or by additional
Sanger sequencing. Our mitogenomic phylogenies provide robust nodal support at a
range of levels, demonstrating that mitogenomes are both accurate and efficient
molecular markers for inferring butterfly phylogeny.
PMID- 24923778
TI - Lie, truth, lie: the role of task switching in a deception context.
AB - A cornerstone of the task switching literature is the finding that task
performance is typically slower and more error-prone when the task switches than
when it repeats. So far, deception research has largely ignored that such
cognitive switch costs should also emerge when switching between truth telling
and lying, and may affect the cognitive cost of lying as reflected in higher
prefrontal brain activity and slower and less accurate responding compared to
truth telling. To get a grasp on the relative size of the switch costs associated
with lying and truth telling, the current study had participants perform a
reaction time-based deception task, in which they alternated between lying and
telling the truth to yes/no questions that were related to activities performed
in the lab (Experiment 1) or neutral autobiographical facts (Experiment 2). In
both experiments, the error and reaction time switch costs were found to be
equally large for switching from truth telling to lying and from lying to truth
telling. This symmetry in switch costs can be explained from the hypothesis that
lying requires a first step of truth telling, and demonstrates that task
switching does not contribute to the cognitive cost of lying when the
repetition/switch ratio is balanced. Theoretical and methodological implications
are considered.
PMID- 24923779
TI - The public health approach to reducing suicide: opportunities for curriculum
development in psychiatry residency training programs.
AB - The authors review the current status of suicide prevention curricula in
psychiatry training programs, describe the public health approach to suicide
prevention, discuss public health strategies for reducing suicides and the unique
role played by psychiatrists with respect to suicide prevention, and offer public
health-oriented suicide prevention curriculum guidelines for psychiatry
residents.
PMID- 24923780
TI - Big pharma in residency training: losing more than a free lunch?
PMID- 24923781
TI - Informal health care practices of residents: "curbside" consultation and self
diagnosis and treatment.
AB - OBJECTIVE: The authors explore the experiences of residents with respect to
informal care related to personal health, including "curbside consultation," self
diagnosis, and self-prescription-self-care practices that run counter to ethical
guidelines in medicine. METHODS: Residents at one medical school completed a
written survey regarding their personal health care practices, including their
experiences in seeking or providing informal consultation, self-diagnosis, and
self-prescribing. The authors obtained frequency data and analyzed responses via
cross-tabulation. They used logistic regression models to assess the association
of reported informal care practices and potential confounders, such as age,
gender, and training program. RESULTS: One hundred and fifty-five residents
volunteered (71 % response rate). Most respondents had sought health care
formally (70 %), and more had sought informal care in the previous 12 months (80
%). Of those who had pursued informal care, 90 % endorsed having requested a
physical exam, a laboratory test, or a medication prescription from an attending,
resident, or medical student. Respondents (28 %) commonly endorsed prescribing
medication for themselves. Most respondents (90 %) reported being approached for
informal care at least once in the previous year, including 84 % who were
approached for prescriptions and 22 % who were approached by attending
physicians. Main reasons endorsed for informal care seeking related to busy
schedules and to cost and confidentiality advantages. Psychiatry residents
reported using both formal and informal channels for personal health care, and 31
% acknowledged prescribing medications for themselves. CONCLUSIONS: Informal care
was a common practice among the residents in this study. Residents reported time
constraints as the greatest influence on informal care seeking, rather than
reasons found in previous studies related to cost and quality of care, protection
of confidentiality, or prevention of embarrassment. The effects of informal care
practices involving resident physicians warrant additional study.
PMID- 24923782
TI - Analysis of the influence of cell heterogeneity on nanoparticle dose response.
AB - Understanding the effect of variability in the interaction of individual cells
with nanoparticles on the overall response of the cell population to a nanoagent
is a fundamental challenge in bionanotechnology. Here, we show that the technique
of time-resolved, high-throughput microscopy can be used in this endeavor. Mass
measurement with single-cell resolution provides statistically robust assessments
of cell heterogeneity, while the addition of a temporal element allows assessment
of separate processes leading to deconvolution of the effects of particle supply
and biological response. We provide a specific demonstration of the approach, in
vitro, through time-resolved measurement of fibroblast cell (HFF-1) death caused
by exposure to cationic nanoparticles. The results show that heterogeneity in
cell area is the major source of variability with area-dependent nanoparticle
capture rates determining the time of cell death and hence the form of the
exposure-response characteristic. Moreover, due to the particulate nature of the
nanoparticle suspension, there is a reduction in the particle concentration over
the course of the experiment, eventually causing saturation in the level of
measured biological outcome. A generalized mathematical description of the system
is proposed, based on a simple model of particle depletion from a finite supply
reservoir. This captures the essential aspects of the nanoparticle-cell
interaction dynamics and accurately predicts the population exposure-response
curves from individual cell heterogeneity distributions.
PMID- 24923784
TI - Drosophila insulin-producing cells are differentially modulated by serotonin and
octopamine receptors and affect social behavior.
AB - A set of 14 insulin-producing cells (IPCs) in the Drosophila brain produces three
insulin-like peptides (DILP2, 3 and 5). Activity in IPCs and release of DILPs is
nutrient dependent and controlled by multiple factors such as fat body-derived
proteins, neurotransmitters, and neuropeptides. Two monoamine receptors, the
octopamine receptor OAMB and the serotonin receptor 5-HT1A, are expressed by the
IPCs. These receptors may act antagonistically on adenylate cyclase. Here we
investigate the action of the two receptors on activity in and output from the
IPCs. Knockdown of OAMB by targeted RNAi led to elevated Dilp3 transcript levels
in the brain, whereas 5-HT1A knockdown resulted in increases of Dilp2 and 5. OAMB
RNAi in IPCs leads to extended survival of starved flies and increased food
intake, whereas 5-HT1A-RNAi produces the opposite phenotypes. However, knockdown
of either OAMB or 5-HT1A in IPCs both lead to increased resistance to oxidative
stress. In assays of carbohydrate levels we found that 5-HT1A knockdown in IPCs
resulted in elevated hemolymph glucose, body glycogen and body trehalose levels,
while no effects were seen after OAMB knockdown. We also found that manipulations
of the two receptors in IPCs affected male aggressive behavior in different ways
and 5-HT1A-RNAi reduced courtship latency. Our observations suggest that
activation of 5-HT1A and OAMB signaling in IPCs generates differential effects on
Dilp transcription, fly physiology, metabolism and social interactions. However
the findings do not support an antagonistic action of the two monoamines and
their receptors in this particular system.
PMID- 24923785
TI - Mutation of essential Hsp90 co-chaperones SGT1 or CNS1 renders yeast
hypersensitive to overexpression of other co-chaperones.
AB - The essential molecular chaperone Hsp90 functions with over ten co-chaperones in
Saccharomyces cerevisiae, but the in vivo roles of many of these co-chaperones
are poorly understood. Two of these co-chaperones, Cdc37 and Sgt1, target
specific types of clients to Hsp90 for folding. Other co-chaperones have general
roles in supporting Hsp90 function, but the degree of overlapping or competing
functions is unclear. None of the chaperones, when overexpressed, were able to
rescue the lethality of an SGT1 disruption strain. However, overexpression of
SBA1, PPT1, AHA1 or HCH1 caused varying levels of growth defects in an sgt1-K360E
strain. Negative effects of CPR6 overexpression were similarly observed in cells
expressing the temperature-sensitive mutation cns1-G90D. In all cases,
alterations within co-chaperones designed to disrupt Hsp90 interaction relieved
the negative growth defects. Sgt1-K360E and Cns1-G90D were previously shown to
exhibit reduced Hsp90 interaction. Our results indicate that overexpression of
other co-chaperones further disrupts the essential functions of Cns1 and Sgt1.
However, the specificity of the negative effects indicates that only a subset of
co-chaperones competes with Sgt1 or Cns1 for binding to Hsp90. This provides new
evidence that co-chaperones selectively compete for binding to subpopulations of
cellular Hsp90 and suggest that changes in the relative levels of co-chaperones
may have dramatic effects on Hsp90 function.
PMID- 24923786
TI - Bt-maize (MON810) and non-GM soybean meal in diets for Atlantic salmon (Salmo
salar L.) juveniles--impact on survival, growth performance, development,
digestive function, and transcriptional expression of intestinal immune and
stress responses.
AB - Responses in Atlantic salmon (Salmo salar L.) juveniles (fry) fed diets
containing genetically modified maize (Bt-maize, MON810) expressing Cry1Ab
protein from first-feeding were investigated during a 99-day feeding trial. Four
experimental diets were made; each diet contained ~20% maize, either Bt-maize or
its near-isogenic maternal line (non-GM maize). One pair was fishmeal-based while
the other pair included standard (extracted) soybean meal (SBM; 16.7% inclusion
level), with the intention of investigating responses to the maize varieties in
healthy fish as well as in immunologically challenged fish with SBM-induced
distal intestinal inflammation, respectively. Three replicate tanks of fry
(0.17+/-0.01 g; initial mean weight +/- SEM) were fed one of the four diets and
samples were taken on days 15, 36, 48 and 99. Survival, growth performance, whole
body composition, digestive function, morphology of intestine, liver and
skeleton, and mRNA expression of some immune and stress response parameters in
the distal intestine were evaluated. After 99 days of feeding, survival was
enhanced and the intended SBM-induced inflammatory response in the distal
intestine of the two groups of SBM-fed fish was absent, indicating that the
juvenile salmon were tolerant to SBM. Mortality, growth performance and body
composition were similar in fish fed the two maize varieties. The Bt-maize fed
fish, however, displayed minor but significantly decreased digestive enzyme
activities of leucine aminopeptidase and maltase, as well as decreased
concentration of gut bile salts, but significantly increased amylase activity at
some sampling points. Histomorphological, radiographic and mRNA expression
evaluations did not reveal any biologically relevant effects of Bt-maize in the
gastrointestinal tract, liver or skeleton. The results suggest that the Cry1Ab
protein or other compositional differences in GM Bt-maize may cause minor
alterations in intestinal responses in juvenile salmon, but without affecting
overall survival, growth performance, development or health.
PMID- 24923788
TI - Radiation dose reduction with dictionary learning based processing for head CT.
AB - In CT, ionizing radiation exposure from the scan has attracted much concern from
patients and doctors. This work is aimed at improving head CT images from low
dose scans by using a fast Dictionary learning (DL) based post-processing. Both
Low-dose CT (LDCT) and Standard-dose CT (SDCT) nonenhanced head images were
acquired in head examination from a multi-detector row Siemens Somatom Sensation
16 CT scanner. One hundred patients were involved in the experiments. Two groups
of LDCT images were acquired with 50 % (LDCT50 %) and 25 % (LDCT25 %) tube
current setting in SDCT. To give quantitative evaluation, Signal to noise ratio
(SNR) and Contrast to noise ratio (CNR) were computed from the Hounsfield unit
(HU) measurements of GM, WM and CSF tissues. A blinded qualitative analysis was
also performed to assess the processed LDCT datasets. Fifty and seventy five
percent dose reductions are obtained for the two LDCT groups (LDCT50 %, 1.15 +/-
0.1 mSv; LDCT25 %, 0.58 +/- 0.1 mSv; SDCT, 2.32 +/- 0.1 mSv; P < 0.001).
Significant SNR increase over the original LDCT images is observed in the
processed LDCT images for all the GM, WM and CSF tissues. Significant GM-WM CNR
enhancement is noted in the DL processed LDCT images. Higher SNR and CNR than the
reference SDCT images can even be achieved in the processed LDCT50 % and LDCT25 %
images. Blinded qualitative review validates the perceptual improvements brought
by the proposed approach. Compared to the original LDCT images, the application
of DL processing in head CT is associated with a significant improvement of image
quality.
PMID- 24923787
TI - Imaging intraorganellar Ca2+ at subcellular resolution using CEPIA.
AB - The endoplasmic reticulum (ER) and mitochondria accumulate Ca(2+) within their
lumens to regulate numerous cell functions. However, determining the dynamics of
intraorganellar Ca(2+) has proven to be difficult. Here we describe a family of
genetically encoded Ca(2+) indicators, named calcium-measuring organelle
entrapped protein indicators (CEPIA), which can be utilized for intraorganellar
Ca(2+) imaging. CEPIA, which emit green, red or blue/green fluorescence, are
engineered to bind Ca(2+) at intraorganellar Ca(2+) concentrations. They can be
targeted to different organelles and may be used alongside other fluorescent
molecular markers, expanding the range of cell functions that can be
simultaneously analysed. The spatiotemporal resolution of CEPIA makes it possible
to resolve Ca(2+) import into individual mitochondria while simultaneously
measuring ER and cytosolic Ca(2+). We have used these imaging capabilities to
reveal differential Ca(2+) handling in individual mitochondria. CEPIA imaging is
a useful new tool to further the understanding of organellar functions.
PMID- 24923789
TI - New in situ trapping metalations of functionalized arenes and heteroarenes with
TMPLi in the presence of ZnCl2 and other metal salts.
AB - The addition of TMPLi to a mixture of an aromatic or heteroaromatic substrate
with a metal salt such as MgCl2, ZnCl2, or CuCN at -78 degrees C first leads to
lithiation of the arene followed by transmetalation with the metal salt to afford
functionalized organometallic compounds of Mg, Zn, or Cu. This in situ trapping
method allows an expedited metalation (-78 degrees C, 5 min) of a range of
sensitive pyridines (bearing a nitro, ester, or cyano group) and allows the
preparation of kinetic regioisomers of functionalized aromatic compounds or
heterocycles not otherwise available by standard metalating agents, such as
TMPMgCl?LiCl or TMPZnCl?LiCl.
PMID- 24923790
TI - Differences in regional adiposity, bone mineral density, and physical exercise
participation based on exercise self-efficacy among senior adults.
AB - AIM: The impact of exercise self-efficacy levels (ESE) on exercise participation,
levels of body fat (BF), and bone mineral density (BMD) are unclear in senior
aged adults. The purpose of this study was to investigate effects of ESE on
exercise participation, regional distribution of BF, and BMD among seniors.
METHODS: Senior adults (N.=76; 36 males, 40 females) were separated into tertiles
(T1, age=60.4+/-1.4; T2, age=61.3+/-1.4; T3, age=60.4+/-1.5) based on self
reported levels of ESE. BMD and regional BF were measured using dual-energy x-ray
absorptiometry and exercise participation levels were measured using the Rapid
Physical-Activity Questionnaire. RESULTS: MANOVA revealed a significant Wilks
Lambda (p < 0.001) and univariate analysis was completed for exercise
participation levels, android BF, gynoid BF, and spinal BMD. ANOVA revealed T3
was significantly lower for android BF (p=0.002) than T1 and T2 (30% and 26%,
respectively) while gynoid BF was significantly lower (p=0.012) for T3 (24%)
compared to T1. When evaluating exercise participation levels, T3 was
significantly higher (p < 0.001) than T1 or T2. Spinal BMD was significantly
higher (p=0.030) between T2 (10%) and T1. CONCLUSION: ESE is an important factor
in senior adults ability to maintain longitudinal health.
PMID- 24923792
TI - Exploratory study of the effect of lifestyle counselling on bone mineral density
and body composition in users of the contraceptive depot-medroxyprogesterone
acetate.
AB - OBJECTIVES: To compare variations in bone mineral density (BMD) and body
composition (BC) in depot-medroxyprogesterone acetate (DMPA) users and nonusers
after providing counselling on healthy lifestyle habits. METHODS: An exploratory
study in which women aged 18 to 40 years participated: 29 new DMPA users and 25
new non-hormonal contraceptive users. All participants were advised on healthy
lifestyle habits: sun exposure, walking and calcium intake. BMD and BC were
assessed at baseline and 12 months later. Statistical analysis included the Mann
Whitney test or Student's t-test followed by multiple linear regression analysis.
RESULTS: Compared to the controls, DMPA users had lower BMD at vertebrae L1 and
L4 after 12 months of use. They also had a mean increase of 2 kg in total fat
mass and an increase of 2.2% in body fat compared to the non-hormonal
contraceptive users. BMD loss at L1 was less pronounced in DMPA users with a
calcium intake >= 1 g/day compared to DMPA users with a lower calcium intake.
CONCLUSIONS: DMPA use was apparently associated with lower BMD and an increase in
fat mass at 12 months of use. Calcium intake >= 1 g/day attenuates BMD loss in
DMPA users. Counselling on healthy lifestyle habits failed to achieve its aims.
PMID- 24923794
TI - Mean platelet volume and platelet distribution width can be related to bone
mineralization.
AB - Platelets functions are related to bone resorption and formation. The present
study aimed at studying the association between platelet function and bone
mineralization. We showed that mean platelet volume (MPV) and platelet
distribution width (PDW) levels in osteoporosis patients increased. The study
also showed that PDW and age independently associated with bone mineralization.
INTRODUCTION: MPV and PDW are widely used for assessing platelet function.
Recently, authors argued that platelet function has an important role in bone
mineralization. However, only one study has investigated the relationship between
MPV and osteoporosis. We aimed to study the levels of MPV and PDW in
postmenopausal osteoporosis. METHODS: We investigated 320 bone mineral density
(BMD) measurements between the years 2012 and 2013 retrospectively in our clinic.
Eighty patients whom chronic diseases are absent and all laboratory findings are
complete enrolled in this study. Patients were divided in three groups as an
osteoporosis, osteopenia, and normal BMD group. MPV and PDW levels were
investigated in these groups. We performed correlation test and linear regression
analysis to determine whether there is a relationship between platelet function
markers and BMD measurements. RESULTS: Eighty patients were divided as an
osteoporosis, osteopenia, and normal BMD group. MPV levels and PDW levels in the
osteoporosis group were lower than the normal BMD group. PDW was positively
correlated with femur total T (FTT) score and lumbar 1-4T (L1-4T) scores. Linear
regression analysis showed that age and PDW were independently related to FTT and
LTT scores. CONCLUSION: Platelet functions are related to the bone
mineralization. PDW and MPV have a significant role in the development of
postmenopausal osteoporosis.
PMID- 24923793
TI - Clustering and correlates of multiple health behaviours in 9-10 year old
children.
AB - BACKGROUND: Sleep, physical activity, screen time and dietary behaviours
influence health during childhood, but few studies have looked at all of these
behaviours simultaneously and previous research has relied predominantly on self-
or proxy-reports of physical activity and food frequency questionnaires for the
assessment of diet. PURPOSE: To assess the prevalence and clustering of health
behaviours and examine the socio-demographic characteristics of children that
fail to meet multiple health behaviour guidelines. METHODS: Data are from the
Sport, Physical activity and Eating behaviour: Environmental Determinants in
Young people (SPEEDY) study. Participants (n = 1472, 42.9% male) were
dichotomized based on whether or not they met public health guidelines for
accelerometer-assessed physical activity, diet-diary assessed fruit/vegetable
intake and fat/non-milk extrinsic sugar (NMES) intake, and self-reported screen
time and sleep duration. Behavioural clustering was assessed using an observed
over expected ratio (O/E). Socio-demographic characteristics of participants that
failed to meet multiple health behaviour guidelines were examined using ordinal
logistic regression. Data were analysed in 2013. RESULTS: 83.3% of children
failed to meet guidelines for two or more health behaviours. The O/E ratio for
two behavioural combinations significantly exceeded 1, both of which featured
high screen time, insufficient fruit/vegetable consumption and excessive fat/NMES
intake. Children who were older (Proportional odds ratio (95% confidence
interval): 1.69 (1.21,2.37)) and those that attended a school with a physical
activity or diet-related policy (1.28 (1.01,1.62)) were more likely to have a
poor health behaviour profile. Girls (0.80 (0.64,0.99)), participants with
siblings (0.76 (0.61,0.94)) and those with more highly educated parents (0.73
(0.56,0.94)) were less likely to have a poor health behaviour profile.
CONCLUSIONS: A substantial proportion of children failed to meet guidelines for
multiple health behaviours and there was evidence of clustering of screen viewing
and unhealthy dietary behaviours. Sub-groups at greatest risk may be targeted for
intervention.
PMID- 24923795
TI - Validation of Waffle procedure for constrictive pericarditis with epicardial
thickening.
AB - OBJECTIVE: Waffle procedure, a small grid-like incision of epicardium, is a
surgical technique for constrictive pericarditis with epicardial thickening. Yet
evidences to endorse this approach for improved outcomes are lacking. The aim of
this study is to elucidate better surgical treatment strategy for constrictive
pericarditis with epicardial thickening. METHODS: Twenty-five patients (mean 64.1
years) who underwent pericardiectomy for constrictive pericarditis between
January 1992 and July 2012 were included in this study and were classified into
two groups according to the procedure they received; single total pericardiectomy
(Group A, n = 17) and total pericardiectomy with the Waffle procedure (Group B, n
= 8). Early and mid-term outcomes were analyzed for each group. RESULTS: No major
postoperative complications or all-cause deaths at 30 days were observed in each
group. Upon discharge, postoperative echocardiography showed statistically
significant increase of left ventricular end-diastolic volume (from 76.6 +/- 30.3
to 91.0 +/- 27.3 ml; p < 0.02) and systolic volume (from 44.4 +/- 19.1 to 54.5 +/
17.3 ml; p < 0.05) in Group B than those in Group A. The 5-year cardiac event
free rate was similar between groups [83.6 +/- 10.8 % for group A and 83.3 +/-
15.2 % for group B (p = NS)] as well as the mean value of NYHA classification for
each at the mid-term periods (1.5 for Group A and 1.3 for Group B). CONCLUSION:
Waffle procedure improved echocardiographic elements of diastolic function of
patients with epicardial thickening more than did total pericardiectomy without
additional compromises.
PMID- 24923796
TI - Decline of fiber tract integrity over the adult age range: a diffusion spectrum
imaging study.
AB - PURPOSE: We applied a novel diffusion spectrum imaging (DSI) acquisition to
determine associations between aging and subcortical fiber tract integrity.
MATERIALS AND METHODS: We studied 35 cognitively healthy subjects (17 women),
spanning the adult age range between 23 and 77 years, using anatomical MRI and a
novel DSI acquisition scheme at 3 Tesla. The study was approved by the local
institutional review board. DSI data were analyzed using tractography and
complementary voxel-based analysis of generalized fractional anisotropy (GFA)
maps. We determined the effects of age on generalized fractional anisotropy in
selected fiber tracts as well as in a whole brain voxel-based analysis. For
comparison, we studied the effects of age on regional gray and white matter
volumes. RESULTS: We found a significant reduction in anterior corpus callosum
fiber tract integrity with age (P < 0.001), as well as significant GFA reduction
throughout the subcortical white matter (P < 0.05, false discovery rate [FDR]
corrected). GFA decline was accompanied by significant gray matter atrophy in
frontal and temporal association cortex (P < 0.05, FDR corrected). CONCLUSION:
Our data suggest that normal aging leads to a regionally specific decline in
fiber tract integrity. DSI may become a useful biomarker in healthy and
pathological aging.
PMID- 24923797
TI - Robust detection of tyrosine phosphatase activity by coupling chymotrypsin
assisted selective peptide cleavage and a graphene oxide-based fluorescent
platform.
AB - A versatile graphene oxide (GO)-based fluorescent assay is developed for the
detection of protein tyrosine phosphatase activity by coupling with a
chymotrypsin-assisted selective peptide cleavage reaction.
PMID- 24923799
TI - What information is used by rats to update choices in the radial-arm maze?
AB - This study examined the information processed by rats in the radial maze. In
Experiment 1, performance in the standard straight-arm radial maze was compared
with performance in a angle-arm maze which required a right turn at the end of
each radial arm. The results showed that the performance of rats initially
trained in the angle-arm maze was much more affected when later tested on the
straight-arm maze than was the performance of rats initially trained on the
straight-arm maze and then moved to the angle-arm maze. In Experiment 2, two
groups of rats were trained in the angle-arm maze and then subjected to two
transfer tests during which the location and/or direction of the correct goal
arms was manipulated. Both transfer tests produced an increase in errors, but the
increase was much more pronounced in rats required to learn a new set of baited
locations than in rats tested on the same set of baited locations and required to
use new initial travel directions. Together, these experiments demonstrate that
rats make complementary use of information about both initial travel direction
and goal location.
PMID- 24923798
TI - The effects of protein malnutrition and cortisol treatment on motor activity of
rats.
AB - Virgin female Wistar rats were randomly assigned to one of three isocaloric diets
(20, 11 and 6.7% protein content). From a week prior to mating and during
gestation and suckling periods they were fed ad lib. At the beginning of
gestation period each dietary group was subdivided into two groups according to
the treatment with a daily injection of either hydrocortisone acetate solution or
saline solution they were to receive until delivery. After weaning, only the male
pups were selected and fed ad lib with the same diet as their mothers. They were
weighed and evaluated in an open field test every 10 days for 70 days. The body
weight of the animals diminished as protein content in diet decreased. The 6.7%
group was hyperactive and less emotional than the other dietary groups. The 11%
group showed more vertical activity than the 20 and 6.7% groups. Cortisol
administration during gestation decreased motor activity in the 20% group, but
had no effect on the two malnourished groups.
PMID- 24923800
TI - Forebrain-mediated control of visually guided prey-catching in toads:
investigation of striato-pretectal connections with intracellular
recording/labeling methods.
AB - Studies in anurans have shown that ablation of the whole telencephalon (or the
caudal striatum) abolishes visual prey-catching behavior, whereas an additional
lesion to the pretectum leads to hyperexcited, "disinhibited" prey-catching. This
suggests a disinhibitory gating/arousal function mediated by two inhibitory
projections: telencephalon to pretectum and pretectum to tectum. Since the latter
projection has been physiologically investigated already in great detail, the
present paper focuses on the former projection. Intracellular recordings from the
pretectal region in response to electrical stimulation of the ipsilateralcaudal
ventral striatum or the ipsilateral lateral forebrain bundle revealed cells that
display pure inhibitory postsynaptic potentials with short latencies of 2-3 ms,
indicative for monosynaptic striato-pretectal connection. But it must be
emphasized that a relatively large number of pretectal neurons of this sample
showed sequential excitatory/inhibitory postsynaptic potentials. The latter data
are not in conflict, with the hypothesis, rather they open new perspectives for
further investigations.
PMID- 24923801
TI - The effects of social deprivation on levels of social play in the laboratory rat
Rattus norvegicus.
AB - Previous studies on a variety of species suggest the existence of a 'rebound'
effect in social play: young animals may show heightened levels of play,
following temporary deprivation of opportunity for social interaction. The
present experiment investigated this phenomenon in greater detail, by measuring
the effect of different types of social deprivation on levels of social play in
prepubescent laboratory rats Rattus norvegicus. In one deprivation condition,
subjects were denied whole-body contact, but not other forms of social
interaction; in another condition, subjects experienced more extensive isolation.
Both kinds of deprivation produced equally large post-deprivation increases in
social play, suggesting that the important factor in producing the rebound effect
is the absence of opportunity for whole-body contact - the predominant component
of "rough and tumble" social play.
PMID- 24923802
TI - Ethoexperimental analysis of the impact of chlordiazepoxide (CDP) on social
interactions in three strains of mice.
AB - Categories of male C57BL/10, BALB/c and Swiss mice were injected s.c. with saline
or 2.5, 5 or 10 mg/kg of CDP in saline 15 min prior to encounters with
peripherally anosmic Swiss male 'standard opponents'. The 10-min encounters were
videotaped and subsequently analysed using a microprocessor for the times
allocated to 11 broad ethological categories of behaviour. The animal's genotype
had a profound effect on its response to CDP, effects being evident on attack,
threat, avoidance-flee, defensive-submissive behaviour, social investigation, non
social investigation, digging and exploration-from-a-distance. Only body care and
immobility showed no clear strain effect. A dose-effect of CDP was only evident
on defense-submission - indeed the drug progressively increased the incidence of
this behaviour in all strains.
PMID- 24923803
TI - Identification of a QTL in Mus musculus for alcohol preference, withdrawal, and
Ap3m2 expression using integrative functional genomics and precision genetics.
AB - Extensive genetic and genomic studies of the relationship between alcohol
drinking preference and withdrawal severity have been performed using animal
models. Data from multiple such publications and public data resources have been
incorporated in the GeneWeaver database with >60,000 gene sets including 285
alcohol withdrawal and preference-related gene sets. Among these are evidence for
positional candidates regulating these behaviors in overlapping quantitative
trait loci (QTL) mapped in distinct mouse populations. Combinatorial integration
of functional genomics experimental results revealed a single QTL positional
candidate gene in one of the loci common to both preference and withdrawal.
Functional validation studies in Ap3m2 knockout mice confirmed these
relationships. Genetic validation involves confirming the existence of
segregating polymorphisms that could account for the phenotypic effect. By
exploiting recent advances in mouse genotyping, sequence, epigenetics, and
phylogeny resources, we confirmed that Ap3m2 resides in an appropriately
segregating genomic region. We have demonstrated genetic and alcohol-induced
regulation of Ap3m2 expression. Although sequence analysis revealed no
polymorphisms in the Ap3m2-coding region that could account for all phenotypic
differences, there are several upstream SNPs that could. We have identified one
of these to be an H3K4me3 site that exhibits strain differences in methylation.
Thus, by making cross-species functional genomics readily computable we
identified a common QTL candidate for two related bio-behavioral processes via
functional evidence and demonstrate sufficiency of the genetic locus as a source
of variation underlying two traits.
PMID- 24923804
TI - Fertility and polarized cell growth depends on eIF5A for translation of
polyproline-rich formins in Saccharomyces cerevisiae.
AB - eIF5A is an essential and evolutionary conserved translation elongation factor,
which has recently been proposed to be required for the translation of proteins
with consecutive prolines. The binding of eIF5A to ribosomes occurs upon its
activation by hypusination, a modification that requires spermidine, an essential
factor for mammalian fertility that also promotes yeast mating. We show that in
response to pheromone, hypusinated eIF5A is required for shmoo formation,
localization of polarisome components, induction of cell fusion proteins, and
actin assembly in yeast. We also show that eIF5A is required for the translation
of Bni1, a proline-rich formin involved in polarized growth during shmoo
formation. Our data indicate that translation of the polyproline motifs in Bni1
is eIF5A dependent and this translation dependency is lost upon deletion of the
polyprolines. Moreover, an exogenous increase in Bni1 protein levels partially
restores the defect in shmoo formation seen in eIF5A mutants. Overall, our
results identify eIF5A as a novel and essential regulator of yeast mating through
formin translation. Since eIF5A and polyproline formins are conserved across
species, our results also suggest that eIF5A-dependent translation of formins
could regulate polarized growth in such processes as fertility and cancer in
higher eukaryotes.
PMID- 24923805
TI - Placing epidemiological results in the context of multiplicity and typical
correlations of exposures.
AB - Epidemiological studies evaluate multiple exposures, but the extent of
multiplicity often remains non-transparent when results are reported. There is
extensive debate in the literature on whether multiplicity should be adjusted for
in the design, analysis, and reporting of most epidemiological studies, and, if
so, how this should be done. The challenges become more acute in an era where the
number of exposures that can be studied (the exposome) can be very large. Here,
we argue that it can be very insightful to visualize and describe the extent of
multiplicity by reporting the number of effective exposures for each category of
exposures being assessed, and to describe the distribution of correlation between
exposures and/or between exposures and outcomes in epidemiological datasets. The
results of new proposed associations can be placed in the context of this
background information. An association can be assigned to a percentile of
magnitude of effect based on the distribution of effects seen in the field. We
offer an example of how such information can be routinely presented in an
epidemiological study/dataset using data on 530 exposure and demographic
variables classified in 32 categories in the National Health and Nutrition
Examination Survey (NHANES). Effects that survive multiplicity considerations and
that are large may be prioritized for further scrutiny.
PMID- 24923806
TI - Primary health-care costs associated with special health care needs up to age 7
years: Australian population-based study.
AB - AIM: We studied infants and children with and without special health care needs
(SHCN) during the first 8 years of life to compare the (i) types and costs to the
government's Medicare system of non-hospital health-care services and
prescription medication in each year and (ii) cumulative costs according to
persistence of SHCN. METHODS: Data from the first two biennial waves of the
nationally representative Longitudinal Study of Australian Children, comprising
two independent cohorts recruited in 2004, at ages 0-1 (n = 5107) and 4-5 (n =
4983) years. Exposure condition: parent-reported Children with Special Health
Care Needs Screener at both waves, spanning ages 0-7 years. OUTCOME MEASURE:
Federal Government Medicare expenditure, via data linkage to the Medicare
database, on non-hospital health-care attendances and prescriptions from birth to
8 years. RESULTS: At both waves and in both cohorts, >92% of children had
complete SHCN and Medicare data. The proportion of children with SHCN increased
from 6.1% at age 0-1 years to 15.0% at age 6-7 years. Their additional Medicare
costs ranged from $491 per child at 6-7 years to $1202 at 0-1 year. This equates
to an additional $161.8 million annual cost or 0.8% of federal funding for non
hospital-based health care. In both cohorts, costs were highest for children with
persistent SHCNs. CONCLUSIONS: SHCNs incur substantial non-hospital costs to
Medicare, and no doubt other sources of care, from early childhood. This suggests
that economic evaluations of early prevention and intervention services for SHCNs
should consider impacts on not only the child and family but also the health-care
system.
PMID- 24923807
TI - Zwitterionic red fluorescent polymeric nanoparticles for cell imaging.
AB - Phospholipid monomer and aggregation-induced emission (AIE) dye-based dimers are
incorporated via reversible addition-fragmentation transfer polymerization to
afford cross-linked zwitterionic fluorescent copolymers. Such copolymers are
prone to self-assembly into fluorescent polymeric nanoparticles (FPNs) in
physiological solution due to their amphiphilic nature. Characterization of these
red FPNs by Fourier-transform infrared spectroscopy and X-ray photoelectron
spectroscopy indicates the successful preparation of these zwitterionic
copolymers. UV-visible absorption spectroscopy, fluorescence spectroscopy,
transmission electron microscopy, and dynamic light scattering are performed to
demonstrate the bright red fluorescence of the FPNs and their stable
dispersibilities, even below the critical micelle concentration in physiological
solution. Finally, studies of the biocompatibility and cell uptake behavior of
the FPNs are conducted and show excellent biocompatibility for cell imaging
application.
PMID- 24923809
TI - Benefits of trastuzumab outweigh its harms, says Cochrane review.
PMID- 24923808
TI - No evidence of benefit from antibiotic lock therapy in pediatric oncology
patients with central line-related bloodstream infection: results of a
retrospective matched cohort study and review of the literature.
AB - BACKGROUND: Long-term central venous catheters (CVCs) are essential to modern
pediatric oncology practice, but central line-related bloodstream infection
(CRBSI) is a frequent and important complication. CVC salvage is often attempted
but treatment failure is common due to persistent infection, delayed catheter
removal, or subsequent relapse of infection, which can be associated with
significant morbidity and cost. Adjunctive antibiotic lock therapy (ALT) has been
proposed to reduce the risk of treatment failure, but insufficient data are
available to confirm efficacy of this intervention. PROCEDURE: We undertook a
retrospective matched cohort study of ALT use for treatment of CRBSI in pediatric
hematology/oncology patients at St. Jude Children's Research Hospital between
2006 and 2012. RESULTS: Thirty-eight eligible episodes of CRBSI treated with
adjunctive ALT were identified and compared to 73 episodes treated with standard
therapy (ST) alone, matched by catheter-type and organism. Overall, treatment
failure was similar between ALT and ST groups (50.0 vs. 38.4%; P = 0.24), but the
timing was different; in the ALT cohort, immediate CVC removal was less common
(0.0 vs. 12.3%; P = 0.03) but delayed removal (4-13 days) and relapse of
infection was more common (50.0 vs. 24.7%; P = 0.01). CONCLUSIONS: This
retrospective study was unable to identify any benefit of adjunctive ALT in
pediatric oncology patients with CRBSI. The available evidence does not support
routine ALT use, and well-conducted prospective studies are needed.
PMID- 24923810
TI - A case of anaphylactic shock induced by FX60 polysulfone hemodialyzer but not F6
HPS polysulfone hemodialyzer.
AB - A 75-year-old woman was admitted for dyspnea and fever. She underwent emergent
dialysis smoothly under F6-HPS polysulfone hemodialyzer. With two subsequent
hemodialysis sessions, severe anaphylactic reaction with cardiopulmonary
resuscitation occurred under FX60 polysulfone dialyzer. Further dialysis sessions
by F6-HPS polysulfone dialyzer were uneventful. This rare case demonstrated that
dialyzer reaction may be markedly different even with the same material and the
same manufacturer.
PMID- 24923812
TI - Status of intertidal infaunal communities following the Exxon Valdez oil spill in
Prince William Sound, Alaska.
AB - Intertidal infaunal communities were sampled in Prince William Sound, Alaska from
1990-2000 to evaluate impacts and recovery from the Exxon Valdez oil spill.
Initial findings suggested that the spill and cleanup depressed abundances of all
taxonomic groups. By 1992, abundances of major taxonomic categories at disturbed
sites had either converged or paralleled populations at Unoiled sites. Abundances
of littleneck clams, Leukoma (Protothaca) staminea, slowly increased at Treated
sites and converged with Unoiled sites by 2000. Infaunal population differences
positively correlated with fine-grained sediments at Treated sites. We believe
that sediment fines removal during cleanup, and subsequent slow natural
replenishment, impeded the return of the environment to pre-spill conditions.
This suggests physical recovery of spill-affected beaches is an important
precursor to biological recovery.
PMID- 24923811
TI - Adherence to oral diabetes medications and glycemic control during and following
breast cancer treatment.
AB - OBJECTIVES: We evaluated changes in oral diabetes mellitus medication adherence
and persistence, as well as glycemic control for the year prior to breast cancer
(BC) diagnosis (Year -1), during BC treatment, and in subsequent years. METHODS:
Cohort study of 4216 women diagnosed with incident early stage (I and II)
invasive BC from 1990-2008, enrolled in Group Health Cooperative. Adherence was
measured in prevalent users at baseline (N = 509), during treatment, and 1-3
years post-diagnosis using medication possession ratio (MPR), % adherent (MPR
>=0.80) and discontinuation rates. Laboratory data on glycosylated hemoglobin
(HbA1c ) was obtained for the corresponding periods. RESULTS: Compared with Year
1, mean MPR for metformin/sulfonylureas (0.86 vs 0.49, p < 0.001) and % adherent
(75.3% vs 24.6%, p < 0.001) declined during BC treatment. MPR and % adherent rose
slightly during Years 1-3 post-diagnosis but never returned to baseline.
Discontinuation rates increased from treatment to Year +1 (59.3% vs 75.6%, p <
0.001) and remained elevated during subsequent observation periods. Compared with
baseline, increased HbA1c (7.0% vs 7.4%, p = 0.001) and % women with high HbA1c
>7.0% (34.9% vs 51.1%, p < 0.001) coincided with decreased adherence. CONCLUSION:
Diabetes mellitus medication adherence declined following BC diagnosis, whereas
discontinuation rates were relatively stable but poor overall. The proportion of
adherent users increased only marginally following treatment, whereas the
proportion of women meeting goals for HbA1c decreased considerably. These data
support the hypothesis that adherence and subsequent glycemic control are
sensitive to BC diagnosis and treatment. Confirmatory studies in other settings,
on reasons for reduced adherence post-cancer diagnosis, and on subsequent
indicators of glycemic control are warranted.
PMID- 24923813
TI - Development and application of an oil spill model with wave-current interactions
in coastal areas.
AB - The present paper focuses on developing a numerical oil spill model that
incorporates the full three-dimensional wave-current interactions for a better
representation of the spilled oil transport mechanics in complicated coastal
environments. The incorporation of surface wave effects is not only imposing a
traditional drag coefficient formulation at the free surface, but also the 3D
momentum equations are adjusted to include the impact of the vertically dependent
radiation stresses on the currents. Based on the current data from SELFE and wave
data from SWAN, the oil spill model utilizes oil particle method to predict the
trajectory of individual droplets and the oil concentration. Compared with the
observations in Dalian New Port oil spill event, the developed model taking into
account wave-current coupling administers to giving better conformity than the
one without. The comparisons demonstrates that 3D radiation stress impacts the
spill dynamics drastically near the sea surface and along the coastline, while
having less impact in deeper water.
PMID- 24923814
TI - delta(15)N variation in Ulva lactuca as a proxy for anthropogenic nitrogen inputs
in coastal areas of Gulf of Gaeta (Mediterranean Sea).
AB - We tested the capacity of Ulva lactuca to mark N sources across large marine
areas by measuring variation in its delta(15)N at several sites in the Gulf of
Gaeta. Comparisons were made with the macroalga Cystoseira amentacea. Variation
of delta(15)N values was assessed also in the coastal waters off the Circeo
Natural Park, where U. lactuca and C. amentacea were harvested, as these waters
are barely influenced by human activities and were used as reference site. A
small fragment from each frond was preserved before deployment in order to
characterize the initial isotopic values. After 48 h of submersion, U. lactuca
was more responsive than C. amentacea to environmental variation and delta(15)N
enrichment in the Gulf of Gaeta was observed. The spatial distribution of
delta(15)N enrichment indicated that different macro-areas in the Gulf were
affected by N inputs from different origins. Comparison of the delta(15)N values
of fragments taken from the same transplanted frond avoided bias arising from
natural isotopic variability.
PMID- 24923815
TI - Clinical importance of risk variants in the dihydropyrimidine dehydrogenase gene
for the prediction of early-onset fluoropyrimidine toxicity.
AB - We investigated the clinical relevance of dihydropyrimidine dehydrogenase gene
(DPYD) variants to predict severe early-onset fluoropyrimidine (FP) toxicity, in
particular of a recently discovered haplotype hapB3 and a linked deep intronic
splice site mutation c.1129-5923C>G. Selected regions of DPYD were sequenced in
prospectively collected germline DNA of 500 patients receiving FP-based
chemotherapy. Associations of DPYD variants and haplotypes with hematologic,
gastrointestinal, infectious, and dermatologic toxicity in therapy cycles 1-2 and
resulting FP-dose interventions (dose reduction, therapy delay or cessation) were
analyzed accounting for clinical and demographic covariates. Fifteen additional
cases with toxicity-related therapy delay or cessation were retrospectively
examined for risk variants. The association of c.1129-5923C>G/hapB3 (4.6% carrier
frequency) with severe toxicity was replicated in an independent prospective
cohort. Overall, c.1129-5923G/hapB3 carriers showed a relative risk of 3.74 (RR,
95% CI = 2.30-6.09, p = 2 * 10(-5)) for severe toxicity (grades 3-5). Of 31 risk
variant carriers (c.1129-5923C>G/hapB3, c.1679T>G, c.1905+1G>A or c.2846A>T), 11
(all with c.1129-5923C>G/hapB3) experienced severe toxicity (15% of 72 cases, RR
= 2.73, 95% CI = 1.61-4.63, p = 5 * 10(-6)), and 16 carriers (55%) required FP
dose interventions. Seven of the 15 (47%) retrospective cases carried a risk
variant. The c.1129-5923C>G/hapB3 variant is a major contributor to severe early
onset FP toxicity in Caucasian patients. This variant may substantially improve
the identification of patients at risk of FP toxicity compared to established
DPYD risk variants (c.1905+1G>A, c.1679T>G and c.2846A>T). Pre-therapeutic DPYD
testing may prevent 20-30% of life-threatening or lethal episodes of FP toxicity
in Caucasian patients.
PMID- 24923816
TI - Comparison of block and event-related experimental designs in diffusion-weighted
functional MRI.
AB - PURPOSE: To compare diffusion-weighted functional magnetic resonance imaging
(DfMRI), a novel alternative to the blood oxygenation level-dependent (BOLD)
contrast, in a functional MRI experiment. MATERIALS AND METHODS: Nine
participants viewed contrast reversing (7.5 Hz) black-and-white checkerboard
stimuli using block and event-related paradigms. DfMRI (b = 1800 mm/s(2)) and
BOLD sequences were acquired. Four parameters describing the observed signal were
assessed: percent signal change, spatial extent of the activation, the Euclidean
distance between peak voxel locations, and the time-to-peak of the best fitting
impulse response for different paradigms and sequences. RESULTS: The BOLD
conditions showed a higher percent signal change relative to DfMRI; however,
event-related DfMRI showed the strongest group activation (t = 21.23, P <
0.0005). Activation was more diffuse and spatially closer to the BOLD response
for DfMRI when the block design was used. DfMRIevent showed the shortest TTP (4.4
+/- 0.88 sec). CONCLUSION: The hemodynamic contribution to DfMRI may increase
with the use of block designs.
PMID- 24923817
TI - Ermod: fast and versatile computation software for solvation free energy with
approximate theory of solutions.
AB - ERmod is a software package to efficiently and approximately compute the
solvation free energy using the method of energy representation. Molecular
simulation is to be conducted at two condensed-phase systems of the solution of
interest and the reference solvent with test-particle insertion of the solute.
The subprogram ermod in ERmod then provides a set of energy distribution
functions from the simulation trajectories, and another subprogram slvfe
determines the solvation free energy from the distribution functions through an
approximate functional. This article describes the design and implementation of
ERmod, and illustrates its performance in solvent water for two organic solutes
and two protein solutes. Actually, the free-energy computation with ERmod is not
restricted to the solvation in homogeneous medium such as fluid and polymer and
can treat the binding into weakly ordered system with nano-inhomogeneity such as
micelle and lipid membrane. ERmod is available on web at
http://sourceforge.net/projects/ermod.
PMID- 24923818
TI - ParaPep: a web resource for experimentally validated antiparasitic peptide
sequences and their structures.
AB - ParaPep is a repository of antiparasitic peptides, which provides comprehensive
information related to experimentally validated antiparasitic peptide sequences
and their structures. The data were collected and compiled from published
research papers, patents and from various databases. The current release of
ParaPep holds 863 entries among which 519 are unique peptides. In addition to
peptides having natural amino acids, ParaPep also consists of peptides having d
amino acids and chemically modified residues. In ParaPep, most of the peptides
have been evaluated for growth inhibition of various species of Plasmodium,
Leishmania and Trypanosoma. We have provided comprehensive information about
these peptides that include peptide sequence, chemical modifications,
stereochemistry, antiparasitic activity, origin, nature of peptide, assay types,
type of parasite, mode of action and hemolytic activity. Structures of peptides
consisting of natural, as well as modified amino acids have been determined using
state-of-the-art software, PEPstr. To facilitate users, various user-friendly web
tools, for data fetching, analysis and browsing, have been integrated. We hope
that ParaPep will be advantageous in designing therapeutic peptides against
parasitic diseases. Database URL: http://crdd.osdd.net/raghava/parapep/
PMID- 24923819
TI - Curation accuracy of model organism databases.
AB - Manual extraction of information from the biomedical literature-or biocuration-is
the central methodology used to construct many biological databases. For example,
the UniProt protein database, the EcoCyc Escherichia coli database and the
Candida Genome Database (CGD) are all based on biocuration. Biological databases
are used extensively by life science researchers, as online encyclopedias, as
aids in the interpretation of new experimental data and as golden standards for
the development of new bioinformatics algorithms. Although manual curation has
been assumed to be highly accurate, we are aware of only one previous study of
biocuration accuracy. We assessed the accuracy of EcoCyc and CGD by manually
selecting curated assertions within randomly chosen EcoCyc and CGD gene pages and
by then validating that the data found in the referenced publications supported
those assertions. A database assertion is considered to be in error if that
assertion could not be found in the publication cited for that assertion. We
identified 10 errors in the 633 facts that we validated across the two databases,
for an overall error rate of 1.58%, and individual error rates of 1.82% for CGD
and 1.40% for EcoCyc. These data suggest that manual curation of the experimental
literature by Ph.D-level scientists is highly accurate. Database URL:
http://ecocyc.org/, http://www.candidagenome.org//
PMID- 24923820
TI - Egas: a collaborative and interactive document curation platform.
AB - With the overwhelming amount of biomedical textual information being produced,
several manual curation efforts have been set up to extract and store concepts
and their relationships into structured resources. As manual annotation is a
demanding and expensive task, computerized solutions were developed to perform
such tasks automatically. However, high-end information extraction techniques are
still not widely used by biomedical research communities, mainly because of the
lack of standards and limitations in usability. Interactive annotation tools
intend to fill this gap, taking advantage of automatic techniques and existing
knowledge bases to assist expert curators in their daily tasks. This article
presents Egas, a web-based platform for biomedical text mining and assisted
curation with highly usable interfaces for manual and automatic in-line
annotation of concepts and relations. A comprehensive set of de facto standard
knowledge bases are integrated and indexed to provide straightforward concept
normalization features. Real-time collaboration and conversation functionalities
allow discussing details of the annotation task as well as providing instant
feedback of curator's interactions. Egas also provides interfaces for on-demand
management of the annotation task settings and guidelines, and supports standard
formats and literature services to import and export documents. By taking
advantage of Egas, we participated in the BioCreative IV interactive annotation
task, targeting the assisted identification of protein-protein interactions
described in PubMed abstracts related to neuropathological disorders. When
evaluated by expert curators, it obtained positive scores in terms of usability,
reliability and performance. These results, together with the provided innovative
features, place Egas as a state-of-the-art solution for fast and accurate
curation of information, facilitating the task of creating and updating knowledge
bases and annotated resources. Database URL: http://bioinformatics.ua.pt/egas.
PMID- 24923821
TI - IFIM: a database of integrated fitness information for microbial genes.
AB - Knowledge of an organism's fitness for survival is important for a complete
understanding of microbial genetics and effective drug design. Current essential
gene databases provide only binary essentiality data from genome-wide
experiments. We therefore developed a new database that Integrates quantitative
Fitness Information for Microbial genes (IFIM). The IFIM database currently
contains data from 16 experiments and 2186 theoretical predictions. The highly
significant correlation between the experiment-derived fitness data and our
computational simulations demonstrated that the computer-generated predictions
were often as reliable as the experimental data. The data in IFIM can be accessed
easily, and the interface allows users to browse through the gene fitness
information that it contains. IFIM is the first resource that allows easy access
to fitness data of microbial genes. We believe this database will contribute to a
better understanding of microbial genetics and will be useful in designing drugs
to resist microbial pathogens, especially when experimental data are unavailable.
Database URL: http://cefg.uestc.edu.cn/ifim/ or http://cefg.cn/ifim/
PMID- 24923823
TI - Editorial.
PMID- 24923822
TI - MorusDB: a resource for mulberry genomics and genome biology.
AB - Mulberry is an important cultivated plant that has received the attention of
biologists interested in sericulture and plant-insect interaction. Morus
notabilis, a wild mulberry species with a minimal chromosome number is an ideal
material for whole-genome sequencing and assembly. The genome and transcriptome
of M. notabilis were sequenced and analyzed. In this article, a web-based and
open-access database, the Morus Genome Database (MorusDB), was developed to
enable easy-to-access and data mining. The MorusDB provides an integrated data
source and an easy accession of mulberry large-scale genomic sequencing and
assembly, predicted genes and functional annotations, expressed sequence tags
(ESTs), transposable elements (TEs), Gene Ontology (GO) terms, horizontal gene
transfers between mulberry and silkworm and ortholog and paralog groups.
Transcriptome sequencing data for M. notabilis root, leaf, bark, winter bud and
male flower can also be searched and downloaded. Furthermore, MorusDB provides an
analytical workbench with some built-in tools and pipelines, such as BLAST,
Search GO, Mulberry GO and Mulberry GBrowse, to facilitate genomic studies and
comparative genomics. The MorusDB provides important genomic resources for
scientists working with mulberry and other Moraceae species, which include many
important fruit crops. Designed as a basic platform and accompanied by the
SilkDB, MorusDB strives to be a comprehensive platform for the silkworm-mulberry
interaction studies. Database URL: http://morus.swu.edu.cn/morusdb.
PMID- 24923824
TI - Hoarding versus the immediate consumption of food among hamsters and gerbils.
AB - This project dealt with a comparative analysis of the effects of food deprivation
on feeding and hoarding in hamsters and gerbils. The animals were given food in
their home cage and their food intake was measured during a 30-min period after
which they were transferred to an apparatus in which hoarding behaviour was
assessed. The results indicated interesting species differences in the animals'
reactions in the test situations. Whereas food-deprived gerbils ate more food
than nondeprived gerbils, the food intake of hamsters was not significantly
enhanced by deprivation. In the hoarding test, although significant differences
were observed between deprived and nondeprived gerbils, the absolute level of
hoarding in gerbils was very low in comparison to that of hamsters tested under
the same conditions. These results suggest that hamsters and gerbils respond to
challenges to their food reserves with different strategies.
PMID- 24923825
TI - Prey capture by the cuttlefish (Sepia officinalis L): An experimental study of
two strategies.
AB - This study shows that the size of the prey (Carcinus maenas ) relative to the
predator (Sepia officinalis ) is of importance in the choice between two types of
attack: either capture by ejection of the two extensible tentacles, or capture by
jumping on the prey. Small crabs are preferentially captured by the first method
and large crabs by the second. Other factors which may explain the observed
variations, include previous experience of the predator and the behaviour of the
prey.
PMID- 24923826
TI - Classical heart-rate conditioning and differentiation of visual CS with an
appetitive UCS in pigeons.
AB - A tachycardic response was classically conditioned in thirsty pigeons using water
as an unconditioned stimulus and localized lights as conditioned stimuli. This
was successful in 6 out of 9 birds. In one experiment the subjects had their
heads immobilized so that the positions of the stimuli within the visual field
could be precisely specified. When the stimuli were shifted from the binocular to
the monocular field and vice versa there was no initial transfer of a conditioned
differentiation between a white and a green light.
PMID- 24923827
TI - Dominance and aggression in social groups of male and female rats.
AB - Two experiments were performed to examine aggression and dominance in domestic
male and female Rattus norvegicus living in small mixed-sex (3 males and 3
females) groups. Experiment 1 examined the development of aggression in females.
A single female (alpha) within each of the six colonies tested showed the
preponderance of attacks on male intruders placed into the home-cage when male
colony residents were absent. Over 12 weeks of intruder-aggression training
female alphas showed only a mild nonsignificant elevation of aggressive behavior.
A comparison of aggression of male and female colony alphas tested with opponents
of each sex revealed that aggression was mainly directed at like-sex opponents,
and that female attack was more defensive in character than male attack
regardless of opponent sex. The highest intensity of aggression occurred when
male alphas confronted male intruders. Although intruders never showed offense
toward male residents, 61% of intruding males showed offense in response to
attack by females. Experiment 2 investigated the relationship between aggressive
dominance and competitive measures of dominance within each of 10 mixed-sex
colonies. Alpha stat s of male and female colony residents did not reliably
predict priority of access to food or water in tests of direct resource
competition with like-sex colony members. When colony males were simultaneously
tested for copulation, the copulatory behavior of alpha males was significantly
greater than that of other colony males. Results are discussed in relation to the
role of aggression in the reproductive strategy of male and female Rattus
norvegicus .
PMID- 24923828
TI - Agonistic experience and individual recognition in male Quelea quelea.
AB - Male Quelea were moved between groups to assess whether experience of winning or
losing in new groups was correlated with their success in competition over food
when they were returned to their original groups. No such effect was found.
However, differences in time spent feeding after deprivation and in aggressive
behaviour were found between groups depending on whether they were made up from
high- or low-ranking individuals. In paired encounters there was no evidence that
birds threatened unfamiliar individuals more than familiar ones or that they
avoided sitting next to them more than familiar birds. This suggests that
individual recognition, if it exists at all in these groups, is not important in
their agonistic relationships. The rank birds occupied was correlated with beak
colour, a probable measure of androgen levels, and with the amount of food
consumed after deprivation. The latter result suggests that the same period of
deprivation may affect some individuals more than others and this in turn may
lead them to compete more for food.
PMID- 24923829
TI - Effects of repeated exposure to electric footshock on subsequent agonistic
behaviour and adrenocortical secretion in male mice of different androgen status.
AB - Two experiments were conducted to examine effects of repeated exposure to
electric footshock on subsequent agonistic behaviour and adrenocortical secretion
in male mice of different androgen status. Aggressive and submissive behavioural
components were independently studied in the two experiments. It was found that
repetition reduced aggressive, and stimulated submissive and adrenocortical
responses to shock, particularly in castrates. The direction of the shock effects
on aggressive behaviour was reversed by repetition in castrates, whereas only the
magnitude of the behavioural responses was changed at normal androgen levels. It
is suggested that the changes in agonistic responses to shock induced by
repetition are mediated by changes in pituitary-adrenocortical secretion, and
that androgen inhibits the shock effects on agonistic behaviour through
inhibition of the pituitary-adrenocortical responses to shock.
PMID- 24923830
TI - Hypothalamic levels of thyrotropin-releasing hormone (TRH) in male albino mice of
different social status.
AB - Hypothalamic levels of TRH were contrasted in identified dominant and submissive
(housed together for 4 days) Swiss male mice and undisturbed 'isolated'
counterparts. Both dominants and submissives had significantly higher titres of
this hormone than the 'isolates', suggesting that the experience of fighting
relatively elevates the concentration of this factor in both winners and losers.
It seems likely that titres of TRH are modified by fighting experience and these
factors may alter subsequent behaviour but more investigation is needed on this
topic.
PMID- 24923831
TI - A tilted rotational stimulation improves the gait of a cerebellar mutant mouse :
The staggerer.
AB - A titled rotational stimulation was given daily from birth to normal and
cerebellar staggerer mutant mice. At weaning time the ability to ambulate on a
holed floor was measured. An increase in neither the speed nor the total
exploration was demonstrated but the ability to avoid holes was significantly
improved for both groups. In this experiment, the mutant appeared to be more
sensitive to the enrichment factor than the normal.
PMID- 24923836
TI - Agnes Richter's jacket.
PMID- 24923838
TI - A method to identify and validate mitochondrial modulators using mammalian cells
and the worm C. elegans.
AB - Mitochondria are semi-autonomous organelles regulated by a complex network of
proteins that are vital for many cellular functions. Because mitochondrial
modulators can impact many aspects of cellular homeostasis, their identification
and validation has proven challenging. It requires the measurement of multiple
parameters in parallel to understand the exact nature of the changes induced by
such compounds. We developed a platform of assays scoring for mitochondrial
function in two complementary models systems, mammalian cells and C. elegans. We
first optimized cell culture conditions and established the mitochondrial
signature of 1,200 FDA-approved drugs in liver cells. Using cell-based and C.
elegans assays, we further defined the metabolic effects of two pharmacological
classes that emerged from our hit list, i.e. imidazoles and statins. We found
that these two drug classes affect respiration through different and cholesterol
independent mechanisms in both models. Our screening strategy enabled us to
unequivocally identify compounds that have toxic or beneficial effects on
mitochondrial activity. Furthermore, the cross-species approach provided novel
mechanistic insight and allowed early validation of hits that act on
mitochondrial function.
PMID- 24923839
TI - Health region development from the perspective of system theory - an empirical
cross-regional case study.
AB - Governments are increasingly establishing health regions to deal with current
challenges of public health service. These regions are seen as instruments to
balance public and private stakeholders, and offer health care to regional
citizens as well as to medical/health tourists. However, it is still unclear how
the development of such health regions as well as their governance may be
conceptualized. We apply Luhmann's system theory approach in the context of a
cross-regional case study that compares health region developments in the
Autonomous Province of Bolzano-South Tyrol (Italy) with particular regard to the
Eastern Dolomites and in the province of Zeeland (the Netherlands). We suggest
that Luhmann's system theory provides a useful set of criteria to evaluate and
judge health region development. Fully developed health regions can be understood
as auto-poietic systems. By emphasizing programs, personnel, and communication
channels, these case studies illustrate the suitability of the system theory
toolset to analyze the governance and spatial embeddedness of health regions.
Additionally, the study contributes to literature by indicating that health
regions are closely related to identity issues and to decision making in regions.
PMID- 24923840
TI - Impact of chronic kidney disease on myocardial blood flow regulation in dogs.
AB - BACKGROUND/AIMS: Chronic kidney disease (CKD) increases cardiovascular risk
possibly due to coronary microvessel dysfunction and impaired myocardial flow
reserve. This study investigated the effects of CKD on the regulation and
transmural distribution of myocardial blood flow along with oxygen demand during
intravenous dobutamine-induced increases in cardiac work. METHODS: CKD was
produced in dogs by a two-stage subtotal nephrectomy (kidney ablation-infarction
model). Serum creatinine and blood urea nitrogen were evaluated during the
development of CKD along with systemic blood pressure (tail-cuff
plethysmography). After 5 weeks, the CKD dogs were staged according to the
International Renal Interest Society staging system; all dogs were anesthetized
and surgically prepared for blood flow studies. Data analyses were performed
between sham control (CTR) and stage 1 and 2 CKD dogs. RESULTS: At baseline,
myocardial blood flow and diastolic aortic pressure were similar for all groups.
During intravenous dobutamine, myocardial blood flow was markedly higher than CTR
even though hematocrit levels declined with the severity of CKD. In the CTR dogs,
myocardial blood flow increased in direct relation to cardiac work. However, in
the CKD dogs (stage 1 and 2), maximum blood flow was achieved with low-dose
dobutamine, indicating that coronary autoregulation is more readily exhausted
with minimal increases in cardiac work during CKD. CONCLUSION: We report that CKD
markedly impairs coronary vascular reserve and myocardial blood flow regulation
which could contribute to greater cardiovascular risk and poor clinical outcomes
in CKD patients.
PMID- 24923837
TI - Neuronal deletion of GSK3beta increases microtubule speed in the growth cone and
enhances axon regeneration via CRMP-2 and independently of MAP1B and CLASP2.
AB - BACKGROUND: In the adult central nervous system, axonal regeneration is abortive.
Regulators of microtubule dynamics have emerged as attractive targets to promote
axonal growth following injury as microtubule organization is pivotal for growth
cone formation. In this study, we used conditioned neurons with high regenerative
capacity to further dissect cytoskeletal mechanisms that might be involved in the
gain of intrinsic axon growth capacity. RESULTS: Following a phospho-site broad
signaling pathway screen, we found that in conditioned neurons with high
regenerative capacity, decreased glycogen synthase kinase 3beta (GSK3beta)
activity and increased microtubule growth speed in the growth cone were present.
To investigate the importance of GSK3beta regulation during axonal regeneration
in vivo, we used three genetic mouse models with high, intermediate or no
GSK3beta activity in neurons. Following spinal cord injury, reduced GSK3beta
levels or complete neuronal deletion of GSK3beta led to increased growth cone
microtubule growth speed and promoted axon regeneration. While several
microtubule-interacting proteins are GSK3beta substrates, phospho-mimetic
collapsin response mediator protein 2 (T/D-CRMP-2) was sufficient to decrease
microtubule growth speed and neurite outgrowth of conditioned neurons and of
GSK3beta-depleted neurons, prevailing over the effect of decreased levels of
phosphorylated microtubule-associated protein 1B (MAP1B) and through a mechanism
unrelated to decreased levels of phosphorylated cytoplasmic linker associated
protein 2 (CLASP2). In addition, phospho-resistant T/A-CRMP-2 counteracted the
inhibitory myelin effect on neurite growth, further supporting the GSK3beta-CRMP
2 relevance during axon regeneration. CONCLUSIONS: Our work shows that increased
microtubule growth speed in the growth cone is present in conditions of increased
axonal growth, and is achieved following inactivation of the GSK3beta-CRMP-2
pathway, enhancing axon regeneration through the glial scar. In this context, our
results support that a precise control of microtubule dynamics, specifically in
the growth cone, is required to optimize axon regrowth.
PMID- 24923841
TI - Innovative method and equipment for personalized ventilation.
AB - At the University of Debrecen, a new method and equipment for personalized
ventilation has been developed. This equipment makes it possible to change the
airflow direction during operation with a time frequency chosen by the user. The
developed office desk with integrated air ducts and control system permits
ventilation with 100% outdoor air, 100% recirculated air, or a mix of outdoor and
recirculated air in a relative proportion set by the user. It was shown that
better comfort can be assured in hot environments if the fresh airflow direction
is variable. Analyzing the time step of airflow direction changing, it was found
that women prefer smaller time steps and their votes related to thermal comfort
sensation are higher than men's votes.
PMID- 24923842
TI - High prevalence of maternal hypothyroidism despite adequate iodine status in
Indian pregnant women in the first trimester.
AB - BACKGROUND: Iodine requirements are increased during pregnancy to maintain
maternal and fetal euthyroidism. There have been recent improvements in iodized
salt coverage in India, but whether iodized salt is sufficient to sustain iodine
requirements during pregnancy remains uncertain. Our aims were to measure thyroid
status in first trimester pregnant women in southern India and assess potential
determinants of thyroid function, including iodine status, thyroid autoimmunity,
dietary patterns, body weight, and anemia. METHODS: This was a cross-sectional
study among 334 pregnant women of <= 14 weeks' gestation, in Bangalore, India. We
measured anthropometrics, urinary iodine concentration (UIC), maternal thyroid
volume (by ultrasound), and thyroid function. We applied a thyrotropin (TSH)
upper limit of 2.5 mIU/L to classify thyroid insufficiency. Using a
questionnaire, we obtained sociodemographic and dietary data, obstetric history,
and use of iodized salt and iodine supplements. RESULTS: Among the women, the
mean (standard deviation) gestational age was 10.3 (2.5) weeks, 67% were
nulliparous, 21% were vegetarian, 19% were anemic, and 23% were overweight or
obese. Iodized salt was used by 98% of women, and they were iodine sufficient:
median UIC (range) was 184.2 MUg/L (8.1-1152 MUg/L) and all had a normal thyroid
volume. However, 18% of the women had thyroid insufficiency: 3.7% had overt
hypothyroidism (83% with positive TPO-Ab), 9.2% had subclinical hypothyroidism,
and 5.2% had hypothyroxinemia. Women consuming vegetarian diets did not have
significantly lower iodine intakes or higher risk of hypothyroidism than those
consuming mixed diets, but overweight/obesity and anemia predicted thyroid
insufficiency. CONCLUSION: In this urban population of southern India, pregnant
women have adequate iodine status in the first trimester. Despite this, many have
thyroid insufficiency, and the prevalence of overt hypothyroidism is more than
fivefold higher than reported in other iodine sufficient populations of pregnant
women.
PMID- 24923843
TI - Multiple cerebral sinus thromboses complicating meningococcal meningitis: a
pediatric case report.
AB - BACKGROUND: Cerebral venous sinus thrombosis (CVT) is a rare and potentially life
threatening condition in the pediatric population. The clinical presentation is
frequently nonspecific; thus diagnosis is often delayed or missed. CASE
PRESENTATION: A previously healthy 8 month-old boy was diagnosed with
meningococcal meningitis. At hospital admission, an urgent non contrast-enhanced
computed tomography (CT) of the head and neck was performed with normal results.
Ceftriaxone was promptly started and the clinical condition of the patient
improved. However, on the 7th day of hospitalization, the child suddenly
manifested irritability and lethargy. An urgent contrast-enhanced CT of the head
and neck was immediately performed, revealing thrombosis of the superior
sagittal, transverse and rectus sinuses. A thrombophilic evaluation was
performed, revealing hyperhomocysteinemia and methylenetetrahydrofolate reductase
(MTHFR) variants (C677T and A1298C). CONCLUSIONS: The causes of CVT may be
categorized into three main groups: hypercoagulable states, conditions causing
blood flow disturbances, and all causes of inflammation or infection. In this
case report, we observed more than one risk factor that predisposed the patient
to CVT. Consequently, even if a causative factor is detected, a thrombophilic
blood evaluation should be performed. In fact, in case of a prothrombotic
condition, the patient's family should be advised that prompt administration of
anticoagulant is necessary in the event of situations that could lead to
thrombosis. Finally, CVT may be considered a possible complication of infection
even when recent imaging results are normal. A prompt CVT diagnosis is required
to obtain a good outcome. Delayed diagnosis is mainly due to the rarity of the
disease and physicians' unawareness of this type of complication.
PMID- 24923844
TI - Liver Selective Internal Radiation Therapy with (90)Y resin microspheres:
comparison between pre-treatment activity calculation methods.
AB - Different methods to calculate (90)Y resin microspheres activity for Selective
Internal Radiation Therapy (SIRT) were compared. Such comparison is not yet
available and is needed in clinical practice to optimize patient specific
treatment planning. 32 (99m)Tc-macroagregates (MAA) evaluations were performed,
followed by 26 treatments. Four methods to calculate (90)Y-activity were applied
retrospectively: three based on Body Surface Area and one based on MIRD
formalism, partition model (PM). Relationships between calculated activities,
lung breakthrough (LB), the activity concentration ratio between lesions and
healthy liver (T/N) and tumour involvement were investigated, where lobar and
whole liver treatments were analysed separately. Without attenuation correction,
overestimation of LB was 65%. In any case, the estimated lungs' doses remained
below 30 Gy. Thus, the maximal injectable activity (MIA) is not limited by lungs'
irradiation. Moreover, LB was not significantly related to T/N, neither to tumour
involvement nor radiochemical purity (RP). Differences in calculated activity
with the four methods were extremely large, in particular they were greater
between BSA-based and PM activities for lobar treatments (from -85% to 417%)
compared to whole liver treatments (from -49% to 61%). Two values of T/N ratio
were identified as thresholds: for BSA-based methods, healthy liver doses are
much higher than 30 Gy when T/N < 3; for PM, tumour doses are higher than 120 Gy
when T/N > 4. As PM accounts for uptake ratio between normal and tumour liver,
this method should be employed over BSA-based methods.
PMID- 24923845
TI - Lactose intolerance is not the cause of gastrointestinal adverse effects in beta
thalassemia patients treated with deferasirox.
PMID- 24923847
TI - Disturbance of larval orientation in Spodoptera frugiperda (Lepidoptera :
Noctuidae) by microsurgical removal of endocrine centres.
AB - The larvae of S. frugiperda showed differences in orientation depending largely
upon their physiological states. A preference for wet conditions manifested
itself in the orientation of the penultimate instar larvae, whereas the larvae of
the final stage exhibited preference neither for wet nor for dry conditions.
However, the 'light level' proved to be of prime importance in the final stage,
such that it drove the larvae almost exclusively to the dark, while it appeared
to be of secondary importance in the preceding stage where the larvae only
responded photopositively if deprived of the humidity-preference. Microsurgical
removal of the medial neurosecretory cells in the pars intercerebralis resulted
in a preference for dry conditions in both larval instars concomitant with an
appreciable increase in the total water content of the larvae. The normal
situation could be easily restored by implantation of some active cells.
Allatectomy failed to alter the normal preferences of the final instar larvae,
whereas it induced a humidity-preference in the larvae of the penultimate stage,
a response exhibited normally by intact larvae of the final stage. This result
might suggest the implication of the morphogenetic hormones in some way or
another in the control of larval response to the 'humidity level'. However,
photopreference in both larval instars appeared to be genetically controlled.
PMID- 24923846
TI - Fisetin inhibits high-glucose-induced vascular inflammation in vitro and in vivo.
AB - AIM AND OBJECTIVE: Fisetin, an active compound isolated from flowering plants in
the family Fabaceae, was reported to have antiviral, neuroprotective, and anti
inflammatory effects. Vascular inflammatory processes have been suggested to play
key roles in the initiation and progression of atherosclerosis, a major
complication of diabetes mellitus. Thus, we determined the ability of fisetin to
suppress vascular inflammatory processes induced by high glucose (HG) in primary
human umbilical vein endothelial cells (HUVECs) and mice. METHODS: The effects of
fisetin on HG-induced vascular inflammation were determined by measuring vascular
permeability, leukocyte adhesion and migration, cell adhesion molecule (CAM)
expression levels, reactive oxygen species (ROS) formation, and nuclear factor
(NF)-kappaB activation. RESULTS: HG markedly increased vascular permeability,
monocyte adhesion, expressions of CAMs, formation of ROS, and activation of NF
kappaB. Remarkably, all of the observed vascular inflammatory effects induced by
HG were inhibited by pretreatment with fisetin. CONCLUSION: Vascular inflammatory
responses induced by HG are critical events underlying the development of
diabetic complications; therefore, our results suggest that fisetin possesses
significant therapeutic effects against diabetic complications and
atherosclerosis.
PMID- 24923848
TI - Central control of mating call production and spawning in the tree frog Hyla
arborea savignyi (Audouin): Results of electrical stimulation of the brain.
AB - Electrical stimulation of the anterior preoptic nucleus elicited the production
of mating calls by male Hyla arborea savignyi. Such calls are composed of pulses
resembling those of natural mating calls both in their duration and in their
sequential arrangement. As a rule, the amplitude of successive pulses within a
group increases more rapidly in the electrically evoked calls than in natural
calls. The frogs could be brought into a state of readiness to call, which did
not lead to actual calling activity, by stimulation in the anterior preoptic,
posterior preoptic, and magnocellular nuclei as well as in the hypothalamus.
Stimulation of the anterior preoptic nucleus of one female elicited repeated
vocalizations, which may be interpretable as mating calls. The posture adopted by
the female for spawning can be elicited in males as well as in females by
electrical stimulation of the anterior preoptic nucleus.
PMID- 24923849
TI - Attempt to modify rate and duration of licking in rats by operant conditioning.
AB - Lick-rate in rats is said to be constant for a given animal, despite variations
of internal and external stimuli. On the other hand, small changes can be
observed due to changes in the construction of the licking device. However,
variations do not exceed 20%. In an attempt to gain operant control over the ILI
(interlick interval - the time between two lick-onsets) the delivery of
reinforcement (20 MUl water) was made dependent on the emission of ILIs of a
predetermined length longer than during baseline licking. It could be observed
that rats could not shift the peak of their ILI distribution within the
reinforced range but - to increase the number of reinforcements - they increased
the scatter of the ILI distribution or developed a "harmonic" peak at double ILI
length. When the animals were forced in a second experiment to prolong the lick
duration (time of tongue-spout contact) to obtain water, they failed if the
restriction from the drinking spout made a closer approach impossible. It is
argued that the ability to obtain reinforcement under both schedules is due to
postural changes of the animal. The mechanisms controlling licking seem to be
relatively constant, which allows good coordination with other behaviours which
have to be performed during drinking, such as breathing and swallowing. It can be
concluded that the amount of water consumed by rats is controlled by the length
of time spent in licking and not by changing the lick-rate.
PMID- 24923850
TI - A quantitative study of copulatory behaviour of large Felidae.
AB - A total of 109 copulations was observed in six male-female pairs from four
species of large Felidae. The mean intromission durations were 3.0 sec for Asian
leopards (Panthera pardus), 3.3 sec for African leopards (Panthera pardus), 12.9
sec for snow leopards (Uncia uncia), 2.3 sec for spotted jaguars (Panthera onca),
3.3 sec for black jaguars (Panthera onca), and 12.4 sec for Siberian tigers
(Panthera tigris). Behavioural patterns were qualitatively similar across
species; all displayed a copulatory pattern with no lock, no intra-vaginal
thrusting, ejaculation on a single insertion, and multiple ejaculations. Whereas
domestic cats are reported to assume a neck grip and to tread prior to insertion,
these larger Felidae generally did so after intromission had been achieved. After
copulation, females of some pairs swiped at the male and displayed a rolling
after-reaction.
PMID- 24923851
TI - Sex differences in nonhuman primate grooming.
AB - In this article, sex differences in nonhuman primate social grooming are
reviewed. In general, female nonhuman primates groom more than do males. This
conclusion is tempered somewhat by acknowledgements of exceptions and
qualifications, and by evidence for experiential control of grooming.
PMID- 24923852
TI - Quantitative studies of schizophrenic behavior.
AB - Behavioral data from eight psychiatric patients were collected and formed into 14
time series of 100 weekly rates (percentages of positive entries for frequent
behaviors such as pacing, talking, hand-movement, etc.). A variety of methods of
time-series analysis were applied to determine optimal predictive schemes in an
effort to develop an inductive approach to input-output behavioral modelling.
Some attempts at numerical prediction into new data were successful, and the
results suggested that the best overall approach is likely to be nonlinear
modification of auto-regressive schemes. Nonlinearity and nonstationarity of such
time series are prominent features, but deterministic trends appear strong enough
to justify extensive work. Application of artificial inputs to some of the models
suggests that protracted oscillatory responses to stimulation may be
characteristic for some schizophrenic patients.
PMID- 24923856
TI - MicroRNA-224 upregulation and AKT activation synergistically predict poor
prognosis in patients with hepatocellular carcinoma.
AB - BACKGROUND AND AIM: Previous evidence has shown that microRNA (miR)-224 may
function as an onco-miRNA in hepatocellular carcinoma (HCC) cells by activating
AKT signaling. However, little is known about the clinical significance of the
combined expression of miR-224 and phosphorylated-AKT (pAKT) on human HCC. The
aim of this study was to investigate the synergistical influence of miR-224 and
pAKT on clinical characteristics and prognosis in patients with HCC. METHODS: One
hundred and thirty HCC patients who had undergone curative liver resection were
selected. In situ hybridization and immunohistochemistry were respectively
performed to detect the expression of miR-224 and pAKT in the respective tumors.
RESULTS: Compared with the adjacent nonneoplastic liver tissues, the expression
levels of miR-224 and pAKT protein in HCC tissues were both significantly
increased (both P<0.001). In addition, the combined upregulation of miR-224 and
pAKT protein was significantly associated with serum AFP (P=0.01), tumor stage
(P=0.002) and tumor grade (P=0.008). Moreover, HCC patients highly expressing
both miR-224 and pAKT protein had worse 5-year disease-free survival and 5-year
overall survival (both P<0.001). Furthermore, the Cox proportional hazards model
showed that the combined upregulation of miR-224 and pAKT protein (miR-224
high/pAKT-high) may be independent poor prognostic factors for both 5-year
disease-free survival (P=0.008) and 5-year overall survival (P=0.01) in HCC.
CONCLUSION: These results indicate for the first time that miR-224 upregulation
and AKT activation may synergistically associate with tumor progression of HCC.
The combined high expression of miR-224 and pAKT may be a potential indicator for
predicting unfavorable prognosis in HCC patients.
PMID- 24923857
TI - Incidence of bone metastases and survival after a diagnosis of bone metastases in
breast cancer patients.
AB - BACKGROUND: Bone is the most common metastatic site associated with breast
cancer. Using a database of women with breast cancer treated at Guy's Hospital,
London 1976-2006 and followed until end 2010, we determined incidence of and
survival after bone metastases. METHODS: We calculated cumulative incidence of
bone metastases considering death without prior bone metastases as a competing
risk. Risk of bone metastases was modelled through Cox-regression. Survival after
bone metastases diagnosis was calculated using Kaplan-Meier methodology. RESULTS:
Of the 7064 women, 589 (22%) developed bone metastases during 8.4 years (mean).
Incidence of bone metastases was significantly higher in younger women, tumour
size >5 cm, higher tumour grade, lobular carcinoma and >= four positive nodes,
but was not affected by hormone receptor status. Median survival after bone
metastases diagnosis was 2.3 years in women with bone-only metastases compared
with <1 year in women with visceral and bone metastases. There was a trend for
decreased survival for patients who developed visceral metastases early, and
proportionately fewer patients in this group. INTERPRETATION: Incidence of bone
metastases has decreased but bone metastases remain a highly relevant clinical
problem due to the large number of patients being diagnosed with breast cancer.
PMID- 24923858
TI - Intrinsic optical properties and enhanced plasmonic response of epitaxial silver.
AB - Using atomically smooth epitaxial silver films, new optical permittivity
highlighting significant loss reduction in the visible frequency range is
extracted. Largely enhanced propagation distances of surface plasmon polaritons
are measured, confirming the low intrinsic loss in silver. The new permittivity
is free of extrinsic spectral features associated with grain boundaries and
localized plasmons inevitably present in thermally deposited films.
PMID- 24923860
TI - Assessment of proteasome concentration and chymotrypsin-like activity in plasma
of patients with newly diagnosed multiple myeloma.
AB - The ubiquitin-proteasome pathway is implicated in the pathogenesis of many
haematologic malignancies, including multiple myeloma. Under conditions of rapid
cell turnover and growth rate, proteasomes are returned into circulation. The
measurement of their levels or activity could offer a new approach to diagnosis,
prognosis and monitoring of anticancer treatment in carcinoma patients. We
analysed proteasome concentration and chymotrypsin-like (ChT-L) activity in the
plasma of 64 patients with a newly diagnosed multiple myeloma and 30 healthy
volunteers. The values were found to be significantly higher in the studied
patients and advanced disease stages compared to the control group, and decreased
significant after chemotherapy. Both proteasome concentration and ChT-L activity
correlated with adverse prognostic factors, such as lactate dehydrogenase and
beta2-macroglobulin. We also showed that proteasome concentration positively
correlates with IL-6 level, as opposed to proteasome ChT-L activity. Of note,
higher proteasome ChT-L activity, unlike the concentration, was proved to be an
indicator of a shorter progression free survival, constituting thereby an
important prognostic marker.
PMID- 24923859
TI - Depression in Cardiovascular Patients in Middle Eastern Populations: A Literature
Review.
AB - Cardiovascular disease (CVD) is increasing in Middle Eastern countries.
Depression is associated with increased morbidity and mortality rates among
cardiovascular (CV) patients. Early detection of and intervention for depression
among CV patients can reduce morbidity and mortality and save health care costs.
Public information on mental health care needs of Arab CV patients living in
Middle East regions is scattered and limited. This literature review surveyed and
summarized research studies to learn what is known about the relationship between
depression and CVD in Middle Eastern populations. The information will raise
awareness among health care professionals and policy makers regarding the
clinical significance of depression in Arab CV patients. It might contribute to
development of culturally appropriate and effective mental health care services.
Multiple databases were searched and 60 articles were assessed, including studies
that investigated depression in Arab CV patient populations, physiological
mechanisms of depression-CVD comorbidity, and intervention strategies that affect
CV risk in depressed Arab patients. We discuss the extent to which this issue has
been explored in Arab populations living in Middle East regions and Arab
populations living abroad. We recommend that more comprehensive and in-depth
research studies be conducted with Arab cardiac patients to enable implementation
of culturally appropriate and effective mental health care interventions.
PMID- 24923861
TI - Cell line cross-contamination: WSU-CLL is a known derivative of REH and is
unsuitable as a model for chronic lymphocytic leukaemia.
PMID- 24923862
TI - Lack of shared decision making in cancer screening discussions: results from a
national survey.
AB - BACKGROUND: Clinicians are encouraged to support patients in achieving shared
decision making (SDM) for cancer screening. PURPOSE: To describe decision making
processes and outcomes for cancer screening discussions. METHODS: A 2011 national
Internet survey of adults aged >=50 years who made cancer screening decisions
(breast, BrCa; colorectal, CRC; prostate, PCa) within the previous 2 years was
conducted. Participants were asked about their perceived cancer risk; how
informed they felt about cancer tests; whether their healthcare provider
addressed pros/cons of testing, presented the option of no testing, and elicited
their input; whether they were tested; and their confidence in the screening
decision. Data were analyzed in 2013-2014 with descriptive statistics and
logistic regression. RESULTS: Overall, 1,134 participants (477 men, 657 women)
aged >=50 years made cancer screening decisions, and 1,098 (354, BrCa; 598, CRC;
146, PCa) decisions were discussed with a healthcare provider. Most discussions
(51%-67%) addressed pros of screening some or a lot, but few (7%-14%) similarly
addressed cons. For all cancer screening decisions, providers usually (63%-71%)
explained that testing was optional, but less often asked women (43%-57%) than
men (70%-71%) whether they wanted testing. Only 27%-38% of participants reported
SDM, 69%-93% underwent screening, and 55%-76% would definitely make the same
decision again. Perceived high/average cancer risk and feeling highly informed
were associated with confidence in the screening decision. CONCLUSIONS:
Discussions often failed to provide balanced information and meet SDM criteria.
Supporting SDM could potentially improve the quality of cancer screening
decisions.
PMID- 24923863
TI - Firearm prohibition for persons convicted of violent crimes: a potential non
legislative approach.
PMID- 24923864
TI - Polypharmacology rescored: protein-ligand interaction profiles for remote binding
site similarity assessment.
AB - Detection of remote binding site similarity in proteins plays an important role
for drug repositioning and off-target effect prediction. Various non-covalent
interactions such as hydrogen bonds and van-der-Waals forces drive ligands'
molecular recognition by binding sites in proteins. The increasing amount of
available structures of protein-small molecule complexes enabled the development
of comparative approaches. Several methods have been developed to characterize
and compare protein-ligand interaction patterns. Usually implemented as
fingerprints, these are mainly used for post processing docking scores and (off
)target prediction. In the latter application, interaction profiles detect
similarities in the bound interactions of different ligands and thus identify
essential interactions between a protein and its small molecule ligands.
Interaction pattern similarity correlates with binding site similarity and is
thus contributing to a higher precision in binding site similarity assessment of
proteins with distinct global structure. This renders it valuable for existing
drug repositioning approaches in structural bioinformatics. Current methods to
characterize and compare structure-based interaction patterns - both for protein
small-molecule and protein-protein interactions - as well as their potential in
target prediction will be reviewed in this article. The question of how the set
of interaction types, flexibility or water-mediated interactions, influence the
comparison of interaction patterns will be discussed. Due to the wealth of
protein-ligand structures available today, predicted targets can be ranked by
comparing their ligand interaction pattern to patterns of the known target. Such
knowledge-based methods offer high precision in comparison to methods comparing
whole binding sites based on shape and amino acid physicochemical similarity.
PMID- 24923865
TI - ABC transporters in adaptive immunity.
AB - BACKGROUND: ABC transporters ubiquitously found in all kingdoms of life move a
broad range of solutes across membranes. Crystal structures of four distinct
types of ABC transport systems have been solved, shedding light on different
conformational states within the transport process. Briefly, ATP-dependent
flipping between inward- and outward-facing conformations allows directional
transport of various solutes. SCOPE OF REVIEW: The heterodimeric transporter
associated with antigen processing TAP1/2 (ABCB2/3) is a crucial element of the
adaptive immune system. The ABC transport complex shuttles proteasomal
degradation products into the endoplasmic reticulum. These antigenic peptides are
loaded onto major histocompatibility complex class I molecules and presented on
the cell surface. We detail the functional modules of TAP, its ATPase and
transport cycle, and its interaction with and modulation by other cellular
components. In particular, we emphasize how viral factors inhibit TAP activity
and thereby prevent detection of the infected host cell by cytotoxic T-cells.
MAJOR CONCLUSIONS: Merging functional details on TAP with structural insights
from related ABC transporters refines the understanding of solute transport.
Although human ABC transporters are extremely diverse, they still may employ
conceptually related transport mechanisms. Appropriately, we delineate a working
model of the transport cycle and how viral factors arrest TAP in distinct
conformations. GENERAL SIGNIFICANCE: Deciphering the transport cycle of human ABC
proteins is the major issue in the field. The defined peptidic substrate, various
inhibitory viral factors, and its role in adaptive immunity provide unique tools
for the investigation of TAP, making it an ideal model system for ABC
transporters in general. This article is part of a Special Issue entitled
Structural biochemistry and biophysics of membrane proteins.
PMID- 24923867
TI - Iron deficiency in heart failure.
PMID- 24923868
TI - Exploring the longitudinal relationships between the use of grammar in text
messaging and performance on grammatical tasks.
AB - Research has demonstrated that use of texting slang (textisms) when text
messaging does not appear to impact negatively on children's literacy outcomes
and may even benefit children's spelling attainment. However, less attention has
been paid to the impact of text messaging on the development of children's and
young people's understanding of grammar. This study therefore examined the
interrelationships between children's and young adults' tendency to make
grammatical violations when texting and their performance on formal assessments
of spoken and written grammatical understanding, orthographic processing and
spelling ability over the course of 1 year. Zero-order correlations showed
patterns consistent with previous research on textism use and spelling, and there
was no evidence of any negative associations between the development of the
children's performance on the grammar tasks and their use of grammatical
violations when texting. Adults' tendency to use ungrammatical word forms ('does
you') was positively related to performance on the test of written grammar.
Grammatical violations were found to be positively associated with growth in
spelling for secondary school children. However, not all forms of violation were
observed to be consistently used in samples of text messages taken 12 months
apart or were characteristic of typical text messages. The need to differentiate
between genuine errors and deliberate violation of rules is discussed, as are the
educational implications of these findings.
PMID- 24923866
TI - Barriers to biomedical care and use of traditional medicines for treatment of
cervical cancer: an exploratory qualitative study in northern Uganda.
AB - Use of traditional medicines for treatment of cancers has increased worldwide. We
used a qualitative approach to explore barriers to biomedical care and reasons
for use of traditional medicines for the treatment of cervical cancer in Gulu,
northern Uganda. We carried out 24 focus group discussions involving men and
women aged 18-59 years. We employed content analyses technique in data analysis.
Traditional medicines were used mainly due to barriers to biomedical care for
cervical cancer. The barriers included health system factors, for example long
distances to health facilities and unavailability of medicines; health workers'
factors, for example negative attitudes towards patients and demands for bribes;
individual patient's factors, for example inability to pay for medical care; and
socio-cultural beliefs about superiority of traditional medicines and perceived
greater privacy in accessing traditional healers. Barriers to biomedical care and
community beliefs in the effectiveness of traditional medicines encourage use of
traditional medicines for treatment of cervical cancer but might hinder help
seeking at biomedical facilities. There is need for targeted culturally sensitive
awareness campaign to promote effectiveness of modern medicine and to encourage
cautious use of traditional medicines in the treatment of cervical cancer.
PMID- 24923869
TI - Role of free fatty acid receptors in the regulation of energy metabolism.
AB - Free fatty acids (FFAs) are energy-generating nutrients that act as signaling
molecules in various cellular processes. Several orphan G protein-coupled
receptors (GPCRs) that act as FFA receptors (FFARs) have been identified and play
important physiological roles in various diseases. FFA ligands are obtained from
food sources and metabolites produced during digestion and lipase degradation of
triglyceride stores. FFARs can be grouped according to ligand profiles, depending
on the length of carbon chains of the FFAs. Medium- and long-chain FFAs activate
FFA1/GPR40 and FFA4/GPR120. Short-chain FFAs activate FFA2/GPR43 and FFA3/GPR41.
However, only medium-chain FFAs, and not long-chain FFAs, activate GPR84
receptor. A number of pharmacological and physiological studies have shown that
these receptors are expressed in various tissues and are primarily involved in
energy metabolism. Because an impairment of these processes is a part of the
pathology of obesity and type 2 diabetes, FFARs are considered as key therapeutic
targets. Here, we reviewed recently published studies on the physiological
functions of these receptors, primarily focusing on energy homeostasis.
PMID- 24923870
TI - Intermolecular interactions between molecules in various conformational states:
the dimer of oxalic acid.
AB - We considered stability of the dimer of oxalic acid. The global minimum energy
structure identified by us is stabilized by two inter- and four intramolecular
hydrogen bonds, whereas the most stable structure identified in previous studies
is supported by two inter- and three intramolecular hydrogen bonds. The latter
structure proves to be less stable by 25 meV than the former. The global minimum
stability results from a balancing act between a moderately attractive two-body
interaction energy and small repulsive one-body terms. We have analyzed zero
point vibrational corrections to the stability of various conformers of oxalic
acid and their dimers. We have found that minimum energy structures with the most
stabilizing sets of hydrogen bonds have the largest zero-point vibrational
energy, contrary to a naive anticipation based on red shifts of OH stretching
modes involved in hydrogen bonds.
PMID- 24923871
TI - Caregivers provide more labeling responses to infants' pointing than to infants'
object-directed vocalizations.
AB - Existing studies have observed a robust relationship between infants' pointing
gestures and language outcomes. By contrast, infants' overall vocal production is
not related to language outcomes. One possible explanation for the association
between pointing and language is that pointing gestures, as compared to
vocalizations, may elicit more verbal responses from social partners that are
facilitative for language learning. To test this, we observed forty-seven infants
aged 1;0 during free play with their mothers and fathers separately to compare
parents' verbal responses to infants' pointing gestures and object-directed
vocalizations. Results showed that, compared to object-directed vocalizations,
infants' pointing elicited more verbal responses from parents, particularly
object labels. Moreover, mothers were more likely than fathers to provide labels.
These results may help explain why pointing is associated with indices of
language acquisition, but the production of vocalizations is not.
PMID- 24923872
TI - Factors affecting surgical outcome of endoscopic third ventriculostomy in
congenital hydrocephalus.
AB - Endoscopic third ventriculostomy (ETV) is an accepted modality of treatment for
obstructive hydrocephalus, with good results in adult patients. However in the
pediatric age group results vary from poor to similar to the adult population.
This study evaluates the outcome of ETV in congenital hydrocephalus of both early
and delayed presentation, and investigates factors that determine the outcome.
Patients with congenital hydrocephalus who underwent ETV between January 2006 and
December 2011 were retrospectively analyzed. Any conditions potentially
influencing the need for redo surgery (persistent cerebrospinal fluid [CSF] leak
not responding to local measures, tense fontanelle, increased ventricular size,
recurrence of symptoms or radiological evidence of failure) were analyzed. A
total of 102 patients with a mean age of 7.45years were included. Presenting
features were increasing head circumference and delayed milestones. Ninety-eight
patients had triventricular hydrocephalus due to aqueductal stenosis. Procedures
performed were ETV only (n=74), ETV with aqueductoplasty (n=22), ETV with
cystoventriculostomy (n=2) and aqueductoplasty only (n=2). Failure of ETV
occurred in 11 patients and all were managed with a ventriculoperitoneal shunt.
CSF leak in the perioperative period was the only factor that was significantly
associated with failure of ETV. ETV is a safe procedure with a good success rate
and can be offered to children with aqueductal stenosis. There is a higher chance
of failure if there is a CSF leak in the early or late postoperative period.
PMID- 24923873
TI - The role of receipt and timeliness of treatment in socioeconomic inequalities in
lung cancer survival: population-based, data-linkage study.
AB - BACKGROUND: Lung cancer survival is socioeconomically patterned, and
socioeconomic inequalities in receipt of treatment have been demonstrated. In
England, there are target waiting times for the referral (14 days) and treatment
intervals (31 days from diagnosis, 62 days from GP referral). Socioeconomic
inequalities in the time intervals from GP referral have been found. Cancer
registry, Hospital Episode Statistics and lung cancer audit data were linked in
order to investigate the contribution of these inequalities to socioeconomic
inequalities in lung cancer survival. METHODS: Logistic regression was used to
examine the likelihood of being alive 2 years after diagnosis, by socioeconomic
position, for 22,967 lung cancer patients diagnosed in 2006-2009, and in a subset
with stage recorded (n=5233). RESULTS: Socioeconomic inequalities in survival
were found in a multivariable analysis adjusted for age, sex, histology, year,
timely GP referral, performance status and comorbidity, with those in the most
deprived socioeconomic group significantly less likely to be alive after 2 years
(OR=0.77, 95% CI 0.66 to 0.88, p<0.001). When receipt of treatment was included
in the analysis, the association no longer remained significant (OR=0.87, 95% CI
0.75 to 1.00, p=0.06). Addition of timeliness of treatment did not alter the
conclusion. Patients treated within guideline targets had lower likelihood of two
year survival. CONCLUSIONS: Socioeconomic inequalities in survival from lung
cancer were statistically explained by socioeconomic inequalities in receipt of
treatment, but not by timeliness of referral and treatment. Further research is
required to determine the currently unexplained socioeconomic variance in
treatment rates.
PMID- 24923874
TI - Case-based discussion from North Tyneside General Hospital: somatostatin
analogues in yellow nail syndrome associated with recurrent pleural effusions.
PMID- 24923875
TI - Navigated transcranial magnetic stimulation improves the treatment outcome in
patients with brain tumors in motor eloquent locations.
AB - BACKGROUND: Neurological and oncological outcomes of motor eloquent brain-tumor
patients depend upon the ability to localize functional areas and the respective
proposed therapy. We set out to determine whether the use of navigated
transcranial magnetic stimulation (nTMS) had an impact on treatment and outcome
in patients with brain tumors in motor eloquent locations. METHODS: We enrolled
250 consecutive patients and compared their functional and oncological outcomes
to a matched pre-nTMS control group (n = 115). RESULTS: nTMS mapping results
disproved suspected involvement of primary motor cortex in 25.1% of cases,
expanded surgical indication in 14.8%, and led to planning of more extensive
resection in 35.2% of cases and more restrictive resection in 3.5%. In comparison
with the control group, the rate of gross total resections increased
significantly from 42% to 59% (P < .05). Progression-free-survival for low grade
glioma was significantly better in the nTMS group at 22.4 months than in control
group at 15.4 months (P < .05). Integration of nTMS led to a nonsignificant
change of postoperative deficits from 8.5% in the control group to 6.1% in the
nTMS group. CONCLUSIONS: nTMS provides crucial data for preoperative planning and
surgical resection of tumors involving essential motor areas. Expanding surgical
indications and extent of resection based on nTMS enables more patients to
undergo surgery and might lead to better neurological outcomes and higher
survival rates in brain tumor patients. The impact of this study should go far
beyond the neurosurgical community because it could fundamentally improve
treatment and outcome, and its results will likely change clinical practice.
PMID- 24923876
TI - Gender-stereotyping and cognitive sex differences in mixed- and same-sex groups.
AB - Sex differences in specific cognitive abilities are well documented, but the
biological, psychological, and sociocultural interactions that may underlie these
differences are largely unknown. We examined within a biopsychosocial approach
how gender stereotypes affect cognitive sex differences when adult participants
were tested in mixed- or same-sex groups. A total of 136 participants (70 women)
were allocated to either mixed- or same-sex groups and completed a battery of sex
sensitive cognitive tests (i.e., mental rotation, verbal fluency, perceptual
speed) after gender stereotypes or gender-neutral stereotypes (control) were
activated. To study the potential role of testosterone as a mediator for group
sex composition and stereotype boost/threat effects, saliva samples were taken
before the stereotype manipulation and after cognitive testing. The results
showed the typical male and female advantages in mental rotation and verbal
fluency, respectively. In general, men and women who were tested in mixed-sex
groups and whose gender stereotypes had not been activated performed best.
Moreover, a stereotype threat effect emerged in verbal fluency with reduced
performance in gender stereotyped men but not women. Testosterone levels did not
mediate the effects of group sex composition and stereotype threat nor did we
find any relationship between testosterone and cognitive performance in men and
women. Taken together, the findings suggest that an interaction of gender
stereotyping and group sex composition affects the performance of men and women
in sex-sensitive cognitive tasks. Mixed-sex settings can, in fact, increase
cognitive performance as long as gender-stereotyping is prevented.
PMID- 24923877
TI - Feminized behavior and brain gene expression in a novel mouse model of
Klinefelter Syndrome.
AB - Klinefelter Syndrome (KS) is the most common sex chromosome aneuploidy in men and
is characterized by the presence of an additional X chromosome (XXY). In some
Klinefelter males, certain traits may be feminized or shifted from the male
typical pattern towards a more female-typical one. Among them might be partner
choice, one of the most sexually dimorphic traits in the animal kingdom. We
investigated the extent of feminization in XXY male mice (XXYM) in partner
preference and gene expression in the bed nucleus of the stria
terminalis/preoptic area and the striatum in mice from the Sex Chromosome Trisomy
model. We tested for partner preference using a three-chambered apparatus in
which the test mouse was free to choose between stimulus animals of either sex.
We found that partner preference in XXYM was feminized. These differences were
likely due to interactions of the additional X chromosome with the Y. We also
discovered genes that differed in expression in XXYM versus XYM. Some of these
genes are feminized in their expression pattern. Lastly, we also identified genes
that differed only between XXYM versus XYM and not XXM versus XYM. Genes that are
both feminized and unique to XXYM versus XYM represent strong candidates for
dissecting the molecular pathways responsible for phenotypes present in KS/XXYM
but not XXM. In sum, our results demonstrated that investigating behavioral and
molecular feminization in XXY males can provide crucial information about the
pathophysiology of KS and may aid our understanding of sex differences in brain
and behavior.
PMID- 24923879
TI - Open chromatin in plant genomes.
AB - Sensitivity to DNase I digestion is an indicator of the accessibility and
configuration of chromatin in eukaryotic genomes. Open chromatin exhibits high
sensitivity to DNase I cleavage. DNase I hypersensitive sites (DHSs) in
eukaryotic genomes can be identified through DNase I treatment followed by
sequencing (DNase-seq). DHSs are most frequently associated with various cis
regulatory DNA elements, including promoters, enhancers, and silencers in both
animal and plant genomes. Genome-wide identification of DHSs provides an
efficient method to interpret previously un-annotated regulatory DNA sequences.
In this review, we provide an overview of the historical perspective of DHS
research in eukaryotes. We summarize the main achievements of DHS research in
model animal species and review the recent progress of DHS research in plants. We
finally discuss possible future directions of using DHS as a tool in plant
genomics research.
PMID- 24923878
TI - Caffeic acid phenethyl amide ameliorates ischemia/reperfusion injury and cardiac
dysfunction in streptozotocin-induced diabetic rats.
AB - BACKGROUND: Caffeic acid phenethyl ester (CAPE) has been shown to protect the
heart against ischemia/reperfusion (I/R) injury by various mechanisms including
its antioxidant effect. In this study, we evaluated the protective effects of a
CAPE analog with more structural stability in plasma, caffeic acid phenethyl
amide (CAPA), on I/R injury in streptozotocin (STZ)-induced type 1 diabetic rats.
METHODS: Type 1 diabetes mellitus was induced in Sprague-Dawley rats by a single
intravenous injection of 60 mg/kg STZ. To produce the I/R injury, the left
anterior descending coronary artery was occluded for 45 minutes, followed by 2
hours of reperfusion. CAPA was pretreated intraperitoneally 30 minutes before
reperfusion. An analog devoid of the antioxidant property of CAPA, dimethoxyl
CAPA (dmCAPA), and a nitric oxide synthase (NOS) inhibitor (Nomega-nitro-l
arginine methyl ester [l-NAME]) were used to evaluate the mechanism involved in
the reduction of the infarct size following CAPA-treatment. Finally, the
cardioprotective effect of chronic treatment of CAPA was analyzed in diabetic
rats. RESULTS: Compared to the control group, CAPA administration (3 and 15
mg/kg) significantly reduced the myocardial infarct size after I/R, while dmCAPA
(15 mg/kg) had no cardioprotective effect. Interestingly, pretreatment with a NOS
inhibitor, (L-NAME, 3 mg/kg) eliminated the effect of CAPA on myocardial
infarction. Additionally, a 4-week CAPA treatment (1 mg/kg, orally, once daily)
started 4 weeks after STZ-induction could effectively decrease the infarct size
and ameliorate the cardiac dysfunction by pressure-volume loop analysis in STZ
induced diabetic animals. CONCLUSIONS: CAPA, which is structurally similar to
CAPE, exerts cardioprotective activity in I/R injury through its antioxidant
property and by preserving nitric oxide levels. On the other hand, chronic CAPA
treatment could also ameliorate cardiac dysfunction in diabetic animals.
PMID- 24923880
TI - Review of 268 lacrimal gland biopsies in an Australian cohort.
AB - BACKGROUND: To review the distribution of pathology in lacrimal gland biopsies
performed in an Australian cohort. DESIGN: Retrospective review. PARTICIPANTS:
Two hundred sixty-eight lacrimal gland biopsies from 263 patients. METHODS:
Pathology archives in South Australia and Victoria were searched for lacrimal
gland biopsies performed between 1 January 1997 and 31 December 2012. Data
retrieved included the year of biopsy, the histopathological diagnosis, patient
age and gender. MAIN OUTCOME MEASURES: Distribution of pathology affecting the
lacrimal gland; patient age and gender. RESULTS: The distribution of lacrimal
gland pathology was: inflammations and vasculitides 50.0%, lymphomas 19.8%,
lymphoid hyperplasias 12.3%, benign epithelial tumours 7.8% (all pleomorphic
adenomas), malignant epithelial tumours 4.1%, dacryops 3.0% and miscellaneous
3.0%. The mean age was 52 years, with lymphoma affecting the oldest patient group
(64.6 years) and sarcoidosis the youngest (40.6 years). Of the patients with
biopsy-confirmed dacryoadenitis, biopsy revealed a specific diagnosis in 34% of
cases. Immunoglobulin G4-related disease was the most common 'specific'
dacryoadenitis. Significantly more pleomorphic adenomas were diagnosed in the
period 1997-2004 than the period 2005-2012 inclusive, but there were no other
significant changes in the distribution of pathology over time. CONCLUSIONS: Two
thirds of dacryoadenitis was 'non-specific', two thirds of epithelial tumours
were pleomorphic adenomas and approximately two thirds of all lacrimal gland
biopsies were accounted for by inflammations and lymphoid hyperplasias. The ratio
of inflammations to neoplasias will be significantly influenced by the
clinician's threshold for biopsying patients presenting with features of
dacryoadenitis.
PMID- 24923881
TI - Multifunctional properties of chicken embryonic prenatal mesenchymal stem cells-
pluripotency, plasticity, and tumor suppression.
AB - The chick embryo represents an accessible and economical in vivo model, which has
long been used in developmental biology, gene expression analysis, and loss/gain
of function experiments. In the present study, we assessed and characterized bone
marrow derived mesenchymal stem cells from prenatal day 13 chicken embryos
(chBMMSCs) and determined some novel properties. After assessing the mesenchymal
stem cell (MSC) properties of these cells by the presence of their signature
markers (CD 44, CD 73, CD 90, CD 105, and vimentin), we ascertained a very broad
spectrum of multipotentiality as these MSCs not only differentiated into the
classic tri-lineages of MSCs but also into ectodermal, endodermal, and mesodermal
lineages such as neuron, hepatocyte, islet cell, and cardiac. In addition to wide
plasticity, we detected the presence of several pluripotent markers such as Oct4,
Sox2, and Nanog. This is the first study characterizing prenatal chBMMSCs and
their ability to not only differentiate into mesenchymal lineages but also into
all the germ cell layer lineages. Furthermore, our studies indicate that prenatal
chBMMSCs derived from the chick provide an excellent model for multi-lineage
development studies because of their broad plasticity and faithful reproduction
of MSC traits as seen in the human. Here, we also present evidence for the first
time that media derived from prenatal chBMMSC cultures have an anti-tumorigenic,
anti-migratory, and pro-apoptotic effect on human tumors cells acting through the
Wnt-beta-catenin pathway. These data confirm that chBMMSCs are enriched with
factors in their secretome that are able to destroy tumor cells. This suggests a
commonality of properties of MSCs across species between human and chicken.
PMID- 24923882
TI - Pro-chondrogenic effect of miR-221 and slug depletion in human MSCs.
AB - In this study we have inhibited the expression of two negative regulators of
chondrogenesis, Slug transcription factor (TF) and the small non-coding single
stranded RNA microRNA-221 (miR-221), in human mesenchymal stem cells (MSCs). Our
aim was test a new approach to guide the cells toward a chondrocyte - like
phenotype, without the employment of differentiating agents, in the prospect of
their clinical applications for cell-based cartilage tissue engineering. We have
characterized these manipulated cells by gene expression analysis at the RNA and
protein levels. We demonstrated that decreased miR-221 or Slug induced an
increase of chondrogenic markers, including collagen type II (Col2A1), and the
positive chondrogenic TFs Sox9 and TRPS1. Slug and TRPS1 are not direct targets
of miR-221 since their expression was not affected by miR-221 content. Further,
we showed by gene expression and Chromatin Immunoprecipitation analyses that i.
miR-221 is positively regulated by Slug in hMSCs, where Slug and miR-221 high
levels hamper cell differentiation, and ii. TRPS1 contributes to maintaining low
levels of miR-221, both in hMSCs committed toward chondrogenesis by Slug
depletion and in chondrocytes, where the low levels of miR-221 and Slug allow a
chondrogenic phenotype.Taken together, our data may be relevant both to
understand yet unknown miRNA - TF regulatory loops in cartilage biology and to
establish new strategies based on a siRNA approach for cartilage tissue
engineering.
PMID- 24923883
TI - Lipoxin A4 suppresses the development of endometriosis in an ALX receptor
dependent manner via the p38 MAPK pathway.
AB - BACKGROUND AND PURPOSE: Lipoxins can function as endogenous 'breaking signals' in
inflammation and play important roles in the progression of endometriosis. In
this study, we further investigated the molecular mechanism by which lipoxin A4
(LXA4 ) suppresses the development of endometriosis. EXPERIMENTAL APPROACH:
Primary endometriotic stromal cells (ESCs) were treated with IL-1beta, or pre
incubated with LXA4 before incubation with IL-1beta. The LXA4 receptor (ALX
receptor) antagonist Boc-2 and gene-silencing approaches were used to study the
involvement of the ALX receptor in anti-inflammatory signalling responses in
ESCs. An animal model of endometriosis was induced in BALB/c mice by i.p.
injection of an endometrium-rich fragment. KEY RESULTS: Decreased levels of LXA4
and 15-LOX-2 expression but increased expression of AXL receptors were observed
in endometriotic tissues. LXA4 inhibited the release of inflammatory factors and
phosphorylation of p38 MAPK in IL-1beta-induced ESCs, an effect mediated by ALX
receptors. LXA4 inhibited the proliferation of ESCs, as indicated by reduced DNA
replication, caused G0 /G1 phase cell cycle arrest and down-regulated the
expression of proliferating cell nuclear antigen in ESCs. LXA4 also attenuated
the invasive activity of ESCs mainly by suppressing the expression and activity
of MMP-9. In vivo, we further confirmed that LXA4 could inhibit the progression
of endometriosis by acting as an anti-inflammatory. CONCLUSIONS AND IMPLICATIONS:
LXA4 exerted anti-inflammatory, anti-proliferative and anti-invasive effects on
endometriosis through a mechanism that involved down-regulating the activities of
p38 MAPK, which was mediated by ALX receptors.
PMID- 24923885
TI - A self-categorization theory perspective on adolescent boys' sexual bullying of
girls.
AB - This preliminary study applied Self-Categorization Theory (SCT) to the sexual
bullying of high school girls by boys. Seventy-five Year 9 boys responded to
vignettes portraying sexual bullying in which gender was a more or a less salient
feature of the social context described. As predicted, boys were more likely to
engage in sexual bullying when gender was more salient. Masculine sex role was
not correlated with engagement in sexual bullying. Controlling for social
desirability, pro-bullying attitude was predictive of such engagement, but only
when the social context rendered gender less salient. This suggests the power of
the perceived social context for determining which individual characteristics
will gain expression. It is concluded that SCT is a promising avenue for
advancing understanding of bullying, a field of research that has previously
largely lacked a theoretical focus.
PMID- 24923884
TI - Comparative genomic analysis of Mycobacterium tuberculosis clinical isolates.
AB - BACKGROUND: Due to excessive antibiotic use, drug-resistant Mycobacterium
tuberculosis has become a serious public health threat and a major obstacle to
disease control in many countries. To better understand the evolution of drug
resistant M. tuberculosis strains, we performed whole genome sequencing for 7 M.
tuberculosis clinical isolates with different antibiotic resistance profiles and
conducted comparative genomic analysis of gene variations among them. RESULTS: We
observed that all 7 M. tuberculosis clinical isolates with different levels of
drug resistance harbored similar numbers of SNPs, ranging from 1409-1464. The
numbers of insertion/deletions (Indels) identified in the 7 isolates were also
similar, ranging from 56 to 101. A total of 39 types of mutations were identified
in drug resistance-associated loci, including 14 previously reported ones and 25
newly identified ones. Sixteen of the identified large Indels spanned PE-PPE-PGRS
genes, which represents a major source of antigenic variability. Aside from SNPs
and Indels, a CRISPR locus with varied spacers was observed in all 7 clinical
isolates, suggesting that they might play an important role in plasticity of the
M. tuberculosis genome. The nucleotide diversity (L value) and selection
intensity (dN/dS value) of the whole genome sequences of the 7 isolates were
similar. The dN/dS values were less than 1 for all 7 isolates (range from
0.608885 to 0.637365), supporting the notion that M. tuberculosis genomes undergo
purifying selection. The L values and dN/dS values were comparable between drug
susceptible and drug-resistant strains. CONCLUSIONS: In this study, we show that
clinical M. tuberculosis isolates exhibit distinct variations in terms of the
distribution of SNP, Indels, CRISPR-cas locus, as well as the nucleotide
diversity and selection intensity, but there are no generalizable differences
between drug-susceptible and drug-resistant isolates on the genomic scale. Our
study provides evidence strengthening the notion that the evolution of drug
resistance among clinical M. tuberculosis isolates is clearly a complex and
diversified process.
PMID- 24923886
TI - Intimate partner violence, coercive control, and child adjustment problems.
AB - Coercive control is a relationship dynamic that is theorized to be key for
understanding physical intimate partner violence (IPV). This research examines
how coercive control in the context of physical IPV may influence child
adjustment. Participants were 107 mothers and their children, aged 7 to 10 years.
In each family, mothers reported the occurrence of at least one act of physical
IPV in the past 6 months. Mothers reported on physical IPV and coercive control,
and mothers and children reported on children's externalizing and internalizing
problems. Coercive control in the context of physical IPV related positively with
both mothers' and children's reports of child externalizing and internalizing
problems, after accounting for the frequency of physical IPV, psychological
abuse, and mothers' education. This research suggests that couple relationship
dynamics underlying physical IPV are potentially important for understanding how
physical IPV leads to child adjustment problems.
PMID- 24923887
TI - Spanking of young children: do immigrant and U.S.-born Hispanic parents differ?
AB - Building on prior research showing fewer parenting risk behaviors and lower
levels of harsh punishment among less acculturated Hispanic parents, we tested
the hypothesis that foreign-born (FB; immigrant) Hispanic parents use less
spanking toward children at 3 years and 5 years of age than U.S.-born Hispanic
parents. We also examined whether other indicators of acculturation-endorsement
of traditional gender norms and religiosity-showed any direct or indirect effects
in explaining the hypothesized association. Path model analyses were conducted
with a sample of Hispanic mothers (n = 1,089) and fathers (n = 650). Cross
sectional and time lagged path models controlling for a wide range of
psychosocial and demographic confounds indicated that, when compared with U.S.
born Hispanic parents, FB Hispanic mothers and fathers used less spanking toward
their young children. In cross-sectional analysis only, mothers' greater
endorsement of traditional gender norms had small protective effects on spanking.
Although fathers' endorsement of traditional gender norms was not a significant
direct predictor of spanking, there was a significant indirect effect of nativity
status on spanking mediated by endorsement of traditional gender norms.
Religiosity showed no relation to spanking for either mothers or fathers.
Immigrant status may be an important protective factor that is associated with
lower levels of parenting aggression among Hispanic mothers and fathers living in
the United States.
PMID- 24923888
TI - Social desirability and partner agreement of men's reporting of intimate partner
violence in substance abuse treatment settings.
AB - Estimates indicate that intimate partner violence (IPV) occurs in approximately
30% of relationships and up to 85% of the relationships of men in substance abuse
treatment. However, partners consistently display poor agreement in reporting the
presence of IPV. Social desirability is frequently offered as the primary reason
for under-reporting of IPV by perpetrators. The goal of the current study was to
explicitly test the social desirability hypothesis using both partners' reports
of negotiation, psychological aggression, physical aggression, sexual aggression,
and injuries in a substance abuse treatment sample. A total of 54 males and their
female partners were recruited from a residential adult substance use treatment
facility. Consistent with prior literature, partners displayed poor agreement
about the presence of different types of IPV. The male partner's social
desirability was not associated with his reporting of male-to-female physical
aggression, psychological aggression, or injuries. Men who engaged in higher
levels of self-deceptive enhancement and lower levels of impression management
were more likely to under-report male-to-female sexual coercion. Overall, the
findings question the generalized importance of social desirability in IPV
reporting in substance abuse treatment populations.
PMID- 24923889
TI - Beyond Clery Act statistics: a closer look at college victimization based on self
report data.
AB - This study examined the relationships among victimization, demographic
characteristics, and activity choices in a cross-sectional sample of 479
undergraduate students. In the sample, 74% was female and 65% was Caucasian, with
an even distribution of ages ranging from 18 to 22+ years; all participants
completed an online survey. Using survey feedback, the study team performed
multivariate regression analysis and reported quantity and type of victimization
experiences in relation to factors that may contribute to identifying groups at
risk for experiencing violence. Findings suggest that gender, grade point
average, and membership in student organizations or Greek-letter organizations
influence students' potential for different types of victimization. Furthermore,
alcohol use and household income did not have a statistically significant
relationship with any victimization types. Finally, rates reported indicate
student underreporting and illustrate difficulty implementing the Clery Act.
Policy recommendations, future research, and limitations are discussed. With
accurate victimization data, resources and services may be more efficiently
allocated to meet the needs of students at greater risk for victimization.
PMID- 24923890
TI - Effect of face-to-face interview versus computer-assisted self-interview on
disclosure of intimate partner violence among African American women in WIC
clinics.
AB - African American women in the United States report intimate partner violence
(IPV) more often than the general population of women. Overall, women underreport
IPV because of shame, embarrassment, fear of retribution, or low expectation of
legal support. African American women may be especially unlikely to report IPV
because of poverty, low social support, and past experiences of discrimination.
The purpose of this article is to determine the context in which low-income
African American women disclose IPV. Consenting African American women receiving
Special Supplemental Nutrition Program for Women, Infants, and Children (WIC)
services in WIC clinics were randomized to complete an IPV screening (Revised
Conflict Tactics Scales-Short Form) via computer-assisted self-interview (CASI)
or face-to-face interview (FTFI). Women (n = 368) reported high rates of lifetime
and prior-year verbal (48%, 34%), physical (12%, 7%), sexual (10%, 7%), and any
(49%, 36%) IPV, as well as IPV-related injury (13%, 7%). Mode of screening, but
not interviewer race, affected disclosure. Women screened via FTFI reported
significantly more lifetime and prior-year negotiation (adjusted odds ratio [aOR]
= 10.54, 3.97) and more prior-year verbal (aOR = 2.10), sexual (aOR = 4.31), and
any (aOR = 2.02) IPV than CASI-screened women. African American women in a WIC
setting disclosed IPV more often in face-to-face than computer screening, and
race-matching of client and interviewer did not affect disclosure. Findings
highlight the potential value of face-to-face screening to identify women at risk
of IPV. Programs should weigh the costs and benefits of training staff versus
using computer-based technologies to screen for IPV in WIC settings.
PMID- 24923891
TI - Physical dating violence, sexual violence, and unwanted pursuit victimization: a
comparison of incidence rates among sexual-minority and heterosexual college
students.
AB - The purpose of this study was to estimate the 6-month incidence rates of sexual
assault, physical dating violence (DV), and unwanted pursuit (e.g., stalking)
victimization among sexual-minority (i.e., individuals with any same-sex sexual
experiences) college students with comparison data from non-sexual-minority
(i.e., individuals with only heterosexual sexual experiences) college students.
Participants (N = 6,030) were primarily Caucasian (92.7%) and non-sexual-minority
(82.3%). Compared with non-sexual-minority students (N-SMS; n = 4,961), sexual
minority students (SMS; n = 1,069) reported significantly higher 6-month
incidence rates of physical DV (SMS: 30.3%; N-SMS: 18.5%), sexual assault (SMS:
24.3%; N-SMS: 11.0%), and unwanted pursuit (SMS: 53.1%; N-SMS: 36.0%)
victimization. We also explored the moderating role of gender and found that
female SMS reported significantly higher rates of physical DV than female N-SMS,
whereas male SMS and male N-SMS reported similar rates of physical DV. Gender did
not moderate the relationship between sexual-minority status and victimization
experiences for either unwanted pursuit or sexual victimization. These findings
underscore the alarmingly high rates of interpersonal victimization among SMS and
the critical need for research to better understand the explanatory factors that
place SMS at increased risk for interpersonal victimization.
PMID- 24923892
TI - Sexual victimization history, depression, and task physiology as predictors of
sexual revictimization: results from a 6-month prospective pilot study.
AB - The current study examined depression and physiological reactivity to a sexual
threat task as longitudinal predictors of sexual revictimization in women with
sexual victimization histories. The sample included 14 young adult women (M(age)
= 19.15) who reported child sexual abuse. Heart rate and root mean square of the
successive differences were measured at baseline and during the presentation of
sexual victimization-related words during an Emotional Stroop task. Results
indicated that women who reported a greater history of childhood sexual abuse and
adult sexual victimization were at increased risk for sexual revictimization 6
months after initial data collection. Furthermore, even after accounting for
their childhood and adult sexual victimization histories and depression symptoms,
women who exhibited reduced, or blunted, physiological activity during the sexual
victimization stimuli of the Stroop task were more likely to report sexual
revictimization during the 6-month follow-up. The findings suggest that sexual
victimization survivors may benefit from interventions that address physiological
blunting and the recognition of sexual threat cues in their environment.
PMID- 24923893
TI - Domestic violence against women in Kosovo: a qualitative study of women's
experiences.
AB - Research on gender-based violence describes domestic violence by male partners as
a major public health issue and serious human rights violation. Many studies have
been conducted in Kosovo to understand the factors that contribute to violence
against women. The present study aims to examine the experiences of battered
women and their understanding of the violence from an ecological framework, by
asking questions regarding personal, situational, and socio-cultural factors. The
study is qualitative, consisting of 50 in-depth interviews with victims of
domestic violence, and uses a grounded theory approach to identify main themes of
the women's experiences. Findings from the study suggest that poverty, a
patriarchal culture, strictly defined gender roles, and lack of programs for
reintegrating victims subordinate women and leave them susceptible to domestic
violence.
PMID- 24923894
TI - Judgments of social awkwardness from brief exposure to children with and without
high-functioning autism.
AB - We form first impressions of many traits based on very short interactions. This
study examines whether typical adults judge children with high-functioning autism
to be more socially awkward than their typically developing peers based on very
brief exposure to still images, audio-visual, video-only, or audio-only
information. We used video and audio recordings of children with and without high
functioning autism captured during a story-retelling task. Typically developing
adults were presented with 1 s and 3 s clips of these children, as well as still
images, and asked to judge whether the person in the clip was socially awkward.
Our findings show that participants who are naive to diagnostic differences
between the children in the clips judged children with high-functioning autism to
be socially awkward at a significantly higher rate than their typically
developing peers. These results remain consistent for exposures as short as 1 s
to visual and/or auditory information, as well as for still images. These data
suggest that typical adults use subtle nonverbal and non-linguistic cues produced
by children with high-functioning autism to form rapid judgments of social
awkwardness with the potential for significant repercussions in social
interactions.
PMID- 24923895
TI - Cognitive-behavioral therapy for anxiety in youth with an autism spectrum
disorder: A follow-up study.
AB - Cognitive-behavioral therapy for anxiety in youth with an autism spectrum
disorder appears efficacious; however, maintenance of treatment gains has not yet
been studied. Using a sample of 32 youth who had benefited at least minimally
from a past trial of cognitive-behavioral therapy for anxiety in autism spectrum
disorder, this study assessed anxiety symptoms in youth 10-26 months following
treatment completion. Compared to baseline, follow-up scores were associated with
large effects for treatment. Relative to post-treatment, a small effect for
return in symptoms was present and significantly fewer individuals were rated as
responders at follow-up. Future studies should investigate factors associated
with poor treatment maintenance and modifications or additions to treatment that
may help maintain treatment gains.
PMID- 24923896
TI - Limitations in social anticipation are independent of imaginative and Theory of
Mind abilities in children with autism but not in typically developing children.
AB - Anticipating future interactions is characteristic of our everyday social
experiences, yet has received limited empirical attention. Little is known about
how children with autism spectrum disorder, known for their limitations in social
interactive skills, engage in social anticipation. We asked children with autism
spectrum disorder and their typically developing counterparts to consider an
interaction with another person in the near future. Our results suggest that
children with autism spectrum disorder and typically developing children
performed similarly when anticipating the age, gender, and possible questions of
another person, but children with autism spectrum disorder struggled more to
anticipate what they would say in response to an anticipated interaction.
Furthermore, such responses were robustly associated with imaginative capacities
in typically developing children but not children with autism spectrum disorder.
Our findings suggest that the cognitive mechanisms of social anticipation may
differ between these groups.
PMID- 24923897
TI - Thymoma with loss of keratin expression (and giant cells): a potential diagnostic
pitfall.
AB - Due to its profound therapeutic consequences, the distinction between thymoma and
T-lymphoblastic lymphoma in needle biopsies is one of the most challenging in
mediastinal pathology. One essential diagnostic criterion favouring thymoma is
the demonstration of increased numbers of keratin-positive epithelial cells by
immunohistochemistry. Loss of keratin expression in neoplastic epithelial cells
could lead to detrimental misdiagnoses. We here describe a series of 14 thymic
epithelial tumours (11 type B2 and B3 thymomas, 3 thymic carcinomas) with loss of
expression of one or more keratins. Cases were analysed for expression of various
keratins and desmosomal proteins by immunohistochemistry and immunofluorescence
and compared with 45 unselected type B thymomas and 24 thymic carcinomas arranged
in a multitissue histological array. All 14 cases showed highly reduced
expression of at least one keratin, three cases were completely negative for all
keratins studied. Of the 14 cases, 13 showed strong nuclear expression of p63.
Expression of desmosomal proteins was preserved, suggesting intact cell contact
structures. Loss of expression of broad-spectrum-keratins and K19 was observed in
3 and 5 % of unselected thymomas and in 30 and 60 % of thymic carcinomas. A
proportion of keratin-depleted thymomas contained giant cells, reminiscent of
thymic nurse cells. Loss of keratin expression in type B2 and B3 thymomas is an
important diagnostic pitfall in the differential diagnosis with T-lymphoblastic
lymphoma and can be expected in 5 % of cases. A panel of epithelial markers
including p63 is warranted to ensure correct diagnosis of keratin-negative
mediastinal tumours.
PMID- 24923898
TI - Non-functioning adrenal incidentalomas are associated with higher hypertension
prevalence and higher risk of atherosclerosis.
AB - INTRODUCTION: Adrenal incidentalomas (AIs) have been associated with an increased
incidence of several cardiovascular risk factors. The aim of this study was to
investigate plasma adiponectin, leptin, resistin, homocysteine, high sensitive C
reactive protein levels, and carotid intima media thickness (CIMT) in patients
with non-functioning AI (NFAI). MATERIALS AND METHODS: This study included data
from 28 patients with NFAI (Group 1) and 41 controls (Group 2). Of the patients,
50 were female and 19 were male, and the mean age was 46.7 (range 37-65) years.
RESULTS: There were no significant differences between Group 1 and 2 in terms of
age, sex, or BMI. Hypertension prevalence was significantly higher in the NFAI
group than in the control group (p = 0.01). Both groups had similar lipid, blood
glucose, homocysteine, uric acid, high-sensitivity CRP levels. Adiponectin,
leptin, and resistin levels were similar in both groups. CIMTs were significantly
higher in the NFAI group. CONCLUSION: There is increasing evidence that several
cardiometabolic risk factors occur with higher prevalence in non-functioning
adrenal incidentaloma patients compared to age-matched healthy subjects. In our
study, hypertension prevalence and CIMT were higher in the NFAI group. Serum
adipokine levels were similar for both groups.
PMID- 24923899
TI - The gonadal function in obese adolescents: review.
AB - This review deals with the relationship between obesity in male adolescents and
gonadal function. The article is structured in two main paragraphs; the first one
is about population studies that have assessed puberty timing and its mode of
onset in relation with body weight to evaluate if and how the latter can
influence the gonadal function in this phase of life. These studies analyze
issues such as increased BMI and early onset of male puberty, gender differences,
secular trend toward early onset of puberty in males, effects of a different body
composition on male puberty and consequences of a different stage of childhood
obesity on the onset of male puberty. The second paragraph examines the possible
mechanisms through which, obesity may alter the timing of puberty in young males,
including the role of SHBG, leptin, insulin resistance, ghrelin, GH-IGF-1 axis,
AR polymorphisms, primary testicular dysfunction, retinol binding protein 4 (RBP
4) and liver function abnormalities. However, despite the numerous studies in the
literature, the etiology of gonadal disfunction in obese adolescents on puberty
remains uncertain.
PMID- 24923900
TI - Vitamin D levels in a paediatric population of normal weight and obese subjects.
AB - BACKGROUND: Vitamin D exerts pleiotropic effects and few studies are available in
the Italian population. AIM: Aim of our study was to evaluate vitamin D status in
children living in Northern Italy. METHODS: We studied vitamin D levels in a
population of 113 normal weight (NW) and 444 obese (OB) children, prepubertal and
pubertal. We considered vitamin D levels >20 ng/ml as normal, but also measured
percentage of children with vitamin D levels higher than a cutoff of 30 ng/ml.
RESULTS: 68.2 % of NW children showed normal levels of vitamin D, while 31.8 %
showed a clear vitamin D deficiency. Only 28.3 % showed vitamin D levels higher
than 30 ng/ml. Obese children showed 55.6 % of subjects with normal levels of
vitamin D and 44.4 % of subjects a status of vitamin D deficiency. Only the 18.9
% showed vitamin D levels higher than 30 ng/ml. Mean vitamin D levels in NW
children (27.3 +/- 1.2 ng/ml) were higher than in OB children (21.8 +/- 0.6
ng/ml). No differences have been found between prepubertal and pubertal children
in terms of vitamin D levels. CONCLUSIONS: Our paediatric population demonstrates
a low percentage of vitamin D sufficiency. In particular, obese children show
only 19 % of subjects with normal levels while almost half of this population
shows a clear deficiency. Further studies are needed to support these results and
to evaluate the possible metabolic consequences.
PMID- 24923901
TI - Site-specific changes in cytokine response to septic dose of lipopolysaccharide
in ovariectomized female rats.
AB - PURPOSE: The immune response is altered according to hormonal and metabolic
status. Obesity increases the inflammatory and fever response, whereas loss of
gonadal steroid decreases behavioral response to immune stress. However, the
immune systems of ovariectomized animals exhibiting obesity and gonadal steroid
deficiency, particularly under septic conditions, have not been fully examined.
In the present study, we evaluated the ovariectomy-induced changes of central and
peripheral immune responses to life-threatening septic stimulus. METHODS AND
RESULTS: Ovariectomized rats showed heavier body weight and lighter uterine
weight when compared with gonadally intact rats. Fever response to septic dose of
lipopolysaccharide (LPS) in ovariectomized rats was less evident when compared
with that in gonadally intact rats. In addition, under LPS-injected septic
conditions, hypothalamic gene levels of Interleukin-1beta (IL-1beta), Interleukin
6 (IL-6) and tumor necrosis factor-alpha (TNF-alpha) and serum protein levels of
IL-1beta and TNF-alpha in ovariectomized rats were lower than those in gonadally
intact rats. On the other hand, IL-6 levels in visceral fat under septic
conditions were higher in ovariectomized rats than in gonadally intact rats.
CONCLUSIONS: These findings indicate that ovariectomy-induced site-specific
changes in cytokine response under septic conditions. As hypothalamic, but not
peripheral, pro-inflammatory cytokines are directly involved in the fever
response, the attenuation of fever response observed in ovariectomized rats may
be caused by a reduction in central cytokine responses.
PMID- 24923902
TI - Targeted iron-oxide nanoparticle for photodynamic therapy and imaging of head and
neck cancer.
AB - Photodynamic therapy (PDT) is a highly specific anticancer treatment modality for
various cancers, particularly for recurrent cancers that no longer respond to
conventional anticancer therapies. PDT has been under development for decades,
but light-associated toxicity limits its clinical applications. To reduce the
toxicity of PDT, we recently developed a targeted nanoparticle (NP) platform that
combines a second-generation PDT drug, Pc 4, with a cancer targeting ligand, and
iron oxide (IO) NPs. Carboxyl functionalized IO NPs were first conjugated with a
fibronectin-mimetic peptide (Fmp), which binds integrin beta1. Then the PDT drug
Pc 4 was successfully encapsulated into the ligand-conjugated IO NPs to generate
Fmp-IO-Pc 4. Our study indicated that both nontargeted IO-Pc 4 and targeted Fmp
IO-Pc 4 NPs accumulated in xenograft tumors with higher concentrations than
nonformulated Pc 4. As expected, both IO-Pc 4 and Fmp-IO-Pc 4 reduced the size of
HNSCC xenograft tumors more effectively than free Pc 4. Using a 10-fold lower
dose of Pc 4 than that reported in the literature, the targeted Fmp-IO-Pc 4 NPs
demonstrated significantly greater inhibition of tumor growth than nontargeted IO
Pc 4 NPs. These results suggest that the delivery of a PDT agent Pc 4 by IO NPs
can enhance treatment efficacy and reduce PDT drug dose. The targeted IO-Pc 4 NPs
have great potential to serve as both a magnetic resonance imaging (MRI) agent
and PDT drug in the clinic.
PMID- 24923904
TI - Volatile sulphur compound levels and related factors in patients with chronic
renal failure.
AB - AIMS: To analyse specific volatile sulphur compound(VSC) levels in a group of
chronic renal failure (CRF) patients and determine the relationship between these
VSC levels and organoleptic measurements, blood urea nitrogen (BUN) levels,
dental and periodontal conditions, salivary flow rate, and tongue coating scores.
MATERIALS AND METHODS: One examiner performed organoleptic and VSC measurements
on fifty patients with CRF before and after haemodialysis (HD) and controls. DMFT
and CPITN indexes, tongue coating scores, salivary flow rates were measured.
Comparisons were performed using the Mann-Whitney U, Wilcoxon signed-ranks, and
chi-square tests. Spearman correlation coefficient was used to analyse
correlations. RESULTS: Before HD, the mean dimethyl sulphide level was 1.04 +/-
1.20 in the CRF patients and 0.51 +/- 0.65 in controls, with a significant
difference. The mean hydrogen sulphide, methyl mercaptan and dimethyl sulphide
levels in CRF patients were 1.47 +/- 3.04, 1.03 +/- 1.85, and 1.04 +/- 1.20,
respectively, before HD; and 0.53 +/- 1.65, 0.48 +/- 1.27, and 0.56 +/- 0.85,
respectively, after HD; with the differences being significant. Methyl mercaptan
levels increased with an increase in HD duration. Tongue coating and organoleptic
measurements were significantly correlated with methyl mercaptan. CONCLUSIONS:
Dimethyl sulphide is the main VSC in extraoral blood-borne halitosis; but methyl
mercaptan may also contribute to this type of halitosis. A decreased salivary
flow rate and an increased pH of the biofilm matrix may be a significant
parameter for VSC levels in CRF patients.
PMID- 24923906
TI - Macrophagic myofasciitis and vaccination: consequence or coincidence?
AB - Macrophagic myofasciitis (MMF) characterized by specific muscle lesions assessing
long-term persistence of aluminum hydroxide within macrophages at the site of
previous immunization has been reported with increasing frequency in the past 10
years. We describe clinical and laboratory findings in patients with MMF. We did
a retrospective analysis of 16 cases observed in our Neuropathology Laboratory,
between January 2000 and July 2013. The mean age of the 16 patients was 48.8 +/-
18.0 years; 80.0 % were female. Chronic fatigue syndrome was found in 8 of 16
patients. Half of the patients had elevated creatinine kinase levels, and 25.0 %
had a myopathic electromyogram. Thirteen patients received intramuscular
administration of aluminum-containing vaccine prior to the onset of symptoms. MMF
may mirror a distinctive pattern of an inflammatory myopathy. The vaccines
containing this adjuvant may trigger MMF in some patients.
PMID- 24923907
TI - Empathy in intimate relationships: the role of positive illusions.
AB - Previous studies have shown empathy to be an important aspect of a high quality
intimate relationship. Likewise, positive illusions about a partner's
characteristics have been shown to contribute to relationship quality. The
present study connects these issues by examining the degree to which individuals
hold positive illusions about a partner's level of empathy, and the extent to
which these positive illusions are related to relationship quality and adjustment
in a sample of 55 couples. Results showed that positive illusions concerning a
partner's level of empathy were only held by women. In addition, people's
evaluation of relationship quality and adjustment was consistently related to
both their own and their partner's positive empathy illusions. Finally, an
interaction effect was found between participant sex and the partner effect of
positive empathy illusions: the illusions held by the partner (only for men) were
related to relationship quality. Results and implications for theory and
relationship counseling are discussed.
PMID- 24923908
TI - Cross-cultural equivalence of the patient- and parent-reported quality of life in
short stature youth (QoLISSY) questionnaire.
AB - BACKGROUND: Testing cross-cultural equivalence of patient-reported outcomes
requires sufficiently large samples per country, which is difficult to achieve in
rare endocrine paediatric conditions. We describe a novel approach to cross
cultural testing of the Quality of Life in Short Stature Youth (QoLISSY)
questionnaire in five countries by sequentially taking one country out (TOCO)
from the total sample and iteratively comparing the resulting psychometric
performance. METHODS: Development of the QoLISSY proceeded from focus group
discussions through pilot testing to field testing in 268 short-statured patients
and their parents. To explore cross-cultural equivalence, the iterative TOCO
technique was used to examine and compare the validity, reliability, and
convergence of patient and parent responses on QoLISSY in the field test dataset,
and to predict QoLISSY scores from clinical, socio-demographic and psychosocial
variables. RESULTS: Validity and reliability indicators were satisfactory for
each sample after iteratively omitting one country. Comparisons with the total
sample revealed cross-cultural equivalence in internal consistency and construct
validity for patients and parents, high inter-rater agreement and a substantial
proportion of QoLISSY variance explained by predictors. CONCLUSION: The TOCO
technique is a powerful method to overcome problems of country-specific testing
of patient-reported outcome instruments. It provides an empirical support to
QoLISSY's cross-cultural equivalence and is recommended for future research.
PMID- 24923909
TI - Tonic inhibition in dentate gyrus impairs long-term potentiation and memory in an
Alzheimer's [corrected] disease model.
AB - Amyloid plaques and tau tangles are common pathological hallmarks for Alzheimer's
disease (AD); however, reducing Abeta production failed to relieve the symptoms
of AD patients. Here we report a high GABA (gamma-aminobutyric acid) content in
reactive astrocytes in the dentate gyrus (DG) of a mouse model for AD (5xFAD)
that results in increased tonic inhibition and memory deficit. We also confirm in
human AD patient brains that dentate astrocytes have a high GABA content,
suggesting that high astrocytic GABA level may be a novel biomarker and a
potential diagnostic tool for AD. The excessive GABA in 5xFAD astrocytes is
released through an astrocyte-specific GABA transporter GAT3/4, and significantly
enhances tonic GABA inhibition in dentate granule cells. Importantly, reducing
tonic inhibition in 5xFAD mice rescues the impairment of long-term potentiation
(LTP) and memory deficit. Thus, reducing tonic GABA inhibition in the DG may lead
to a novel therapy for AD.
PMID- 24923911
TI - Comment on Ma XL et al.: prognostic role of circulating tumor cells and
disseminated tumor cells in patients with prostate cancer: a systematic review
and meta-analysis.
PMID- 24923910
TI - Direct sensing of 5-methylcytosine by polymerase chain reaction.
AB - The epigenetic control of genes by the methylation of cytosine resulting in 5
methylcytosine (5mC) has fundamental implications for human development and
disease. Analysis of alterations in DNA methylation patterns is an emerging tool
for cancer diagnostics and prognostics. Here we report that two thermostable DNA
polymerases, namely the DNA polymerase KlenTaq derived from Thermus aquaticus and
the KOD DNA polymerase from Thermococcus kodakaraensis, are able to extend 3'
mismatched primer strands more efficiently from 5 mC than from unmethylated C.
This feature was advanced by generating a DNA polymerase mutant with further
improved 5mC/C discrimination properties and its successful application in a
novel methylation-specific PCR approach directly from untreated human genomic
DNA.
PMID- 24923913
TI - I want to complain.
PMID- 24923912
TI - Identification of a novel protein complex containing ASIC1a and GABAA receptors
and their interregulation.
AB - Acid-sensing ion channels (ASICs) belong to the family of the epithelial sodium
channel/degenerin (ENaC/DEG) and are activated by extracellular protons. They are
widely distributed within both the central and peripheral nervous systems. ASICs
were modified by the activation of gamma-aminobutyric acid receptors (GABAA), a
ligand-gated chloride channels, in hippocampal neurons. In contrast, the activity
of GABAA receptors were also modulated by extracellular pH. However so far, the
mechanisms underlying this intermodulation remain obscure. We hypothesized that
these two receptors-GABAA receptors and ASICs channels might form a novel protein
complex and functionally interact with each other. In the study reported here, we
found that ASICs were modified by the activation of GABAA receptors either in
HEK293 cells following transient co-transfection of GABAA and ASIC1a or in
primary cultured dorsal root ganglia (DRG) neurons. Conversely, activation of
ASIC1a also modifies the GABAA receptor-channel kinetics. Immunoassays showed
that both GABAA and ASIC1a proteins were co-immunoprecipitated mutually either in
HEK293 cells co-transfected with GABAA and ASIC1a or in primary cultured DRG
neurons. Our results indicate that putative GABAA and ASIC1a channels
functionally interact with each other, possibly via an inter-molecular
association by forming a novel protein complex.
PMID- 24923914
TI - Dental radiography: gold thread therapy.
PMID- 24923915
TI - Evidence-based dentistry: more than just P values.
PMID- 24923916
TI - Dental instruments: operating otoscope.
PMID- 24923917
TI - Risk to fish-eating vertebrates.
PMID- 24923918
TI - Pain relief clinic celebrates 15 years.
PMID- 24923920
TI - Nation unites to improve oral health.
PMID- 24923919
TI - Myth-busting: donkey dentistry and other stories.
PMID- 24923923
TI - A physiotherapist's life.
PMID- 24923927
TI - Dental stem cells may assist stroke patients.
PMID- 24923929
TI - Largest study of its kind connects tooth loss with heart disease.
PMID- 24923932
TI - "Delayed" cocaine poisoning.
PMID- 24923933
TI - Denture identification marking should be standard practice.
AB - The focus of this opinion article is to revisit whether denture identification
marking should be routine and standard practice at manufacture in the United
Kingdom. The benefits of denture identification marking are evident in the
literature particularly for those who are in residential care or who will have to
seek care due to dementia or physical frailty; however, within the UK it is not
normally practised. Many patients would appear to be unaware of denture marking,
but present positive attitudes towards it. Results of a survey of UK dental
laboratories would indicate that the vast majority of them offer an inclusion
denture marking service with a mean cost of L5 per denture. Is the lack of
denture marking in the UK due to dental professionals having differences in
opinion, lack of education of professionals in training or financial
disincentives?
PMID- 24923934
TI - Minimal intervention dentistry: part 8. Biotherapies for the dental pulp.
AB - The development of new therapies, the biotherapies, can now be envisioned in
dentistry thanks to the important development of research in the stem cell and
biomaterial fields. Indeed, the recent discovery of stem cells in different
tissues of the body, and in particular in the dental pulp, should allow the
development of new therapeutic approaches for pulpo-dentinal lesions. In case of
moderate pulp injury, the stimulation by biomolecules of pulp stem cells located
in the remaining pulp is the approach to be considered. In case of more severe
lesions requiring a total removal of pulp tissues, a cell therapy could be
considered. The objective of this article is to present these innovative
therapeutic approaches for the future of dentistry.
PMID- 24923936
TI - Minimal intervention dentistry in the management of the paediatric patient.
AB - Minimal intervention dentistry (MID) is a philosophy of care that aims to
conserve tooth tissues throughout a persons' life. This paper aims to review the
literature on topics that are related to MID approaches for the management of
dental caries and that can be applicable to the paediatric patient. Tools for
caries diagnosis and early lesion detection are presented and discussed. More
conservative and less invasive techniques for managing enamel and dentine carious
lesions in primary and permanent teeth are compared to the traditional
treatments. The traditional treatments are centred on the disease and on
restoring its sequels, and have been shown to be insufficiently effective in
controlling caries disease over time. In contrast, the MID philosophy has created
potentially very suitable options for treating children that are considered more
child-friendly, less anxiety provoking, preserve more tooth tissues and are
equally good as the traditional treatments.
PMID- 24923937
TI - General medicine and surgery for dental practitioners: part 1. History taking and
examination of the clothed patient.
AB - All dental practitioners must be proficient at taking a medical history,
examining a clothed patient and recognising relevant clinical signs. The general
examination of a patient should take into account findings from the history. This
paper does not attempt to address the detailed oral and dental examination
carried out by dental practitioners but focuses on the holistic patient
assessment - essential for safe patient management.
PMID- 24923938
TI - General medicine and surgery for dental practitioners: part 2. Medical
emergencies in dental practice: the drug box, equipment and basic principles of
management.
AB - Dental practitioners need knowledge of the diagnosis and management of medical
emergencies. This paper deals with the general aspects of emergency treatment
including basic management principles which are applicable to all emergencies.
The next paper in this series, part 3, deals with more specific aspects of
medical emergency management.
PMID- 24923943
TI - Summary of: Continuing professional development and application of knowledge from
research findings: a qualitative study of general dental practitioners.
PMID- 24923944
TI - Summary of: Over-the-counter (OTC) bruxism splints available on the Internet.
PMID- 24923959
TI - William David Stalley.
PMID- 24923960
TI - Wilfred George Selley.
PMID- 24923961
TI - Continuing professional development and application of knowledge from research
findings: a qualitative study of general dental practitioners.
AB - OBJECTIVES: To explore general dental practitioners' opinions about continuing
professional development (CPD) and potential barriers to translating research
findings into clinical dental practice. DESIGN: Qualitative focus group and
interviews. SUBJECTS, SETTING AND METHODS: Four semi-structured interviews and a
single focus group were conducted with 11 general dental practitioners in North
East England. OUTCOME MEASURE: Transcripts were analysed using the constant
comparative method to identify emergent themes. RESULTS: The key theme for
practitioners was a need to interact with colleagues in order to make informed
decisions on a range of clinical issues. For some forms of continuing
professional development the value for money and subsequent impact upon clinical
practice was limited. There were significant practice pressures that constrained
the ability of practitioners to participate in certain educational activities.
The relevance of some research findings and the formats used for their
dissemination were often identified as barriers to their implementation in
general dental practice. CONCLUSIONS: There are a number of potential barriers
that exist in general dental practice to the uptake and implementation of
translational research. CPD plays a pivotal role in this process and if new
methods of CPD are to be developed consideration should be given to include
elements of structured content and peer review that engages practitioners in a
way that promotes implementation of contemporary research findings.
PMID- 24923962
TI - Over-the-counter (OTC) bruxism splints available on the Internet.
AB - BACKGROUND: Some individuals may now be bypassing their dentists for treatment of
bruxism. Self-diagnosed, self-adjusted and self-monitored consumers can access
over-the-counter (OTC) bruxism splints via the Internet. While some may regard
this market as benefiting consumers there are potential pitfalls that need to be
highlighted. These include unevidenced claims of efficacy. OBJECTIVE: To survey
OTC bruxism splints available to individuals in the UK via the Internet,
categorise their characteristics, and determine any web-based claims or safety
warnings. MATERIALS AND METHODS: An Internet search was made of OTC bruxism
splints available in the UK. The following information was recorded for each OTC
splint: the name of the manufacturer, name of the device, its UK price and any
claims and safety warnings made either on a major UK retail website or via other
UK web retail outlets. In addition, a note was made of any web-based mention of
'CE marking', indicating compliance with the EC Medical Devices Directive's
requirements for safety, quality and performance. RESULTS: Safety information is
notable for its paucity and is totally lacking on many Internet sites. However,
manufacturers are not obliged to display safety information on the Internet, but
it must be provided with the product. A search of the MAUDE database showed a
number of potentially serious adverse events associated with these splints
including choking hazards, tissue damage and occlusal changes. None of the splint
designs assure full occlusal coverage. CONCLUSIONS: As with any partial coverage
appliance, if worn for protracted periods there may be a risk of unwanted tooth
movement. Dentists should report or assist patients with reporting suspected
adverse events with OTC splints to the relevant competent authority. In the UK
this is the Medicines and Healthcare Products Regulatory Agency (MHRA).
PMID- 24923963
TI - Characteristics and referral of head and neck cancer patients who report chewing
and dental issues on the Patient Concerns Inventory.
AB - BACKGROUND: Patients experience considerable dental-related difficulties
following head and neck cancer (HNC) treatment including problems with chewing,
dry mouth, oral hygiene, appearance and self-esteem. These can go unrecognised in
busy follow-up clinics. The Patient Concerns Inventory (PCI) is specifically for
HNC patients, enabling them to select topics they wish to discuss and members of
the multi-professional team they want to see. AIM: The study aimed to identify
the clinical characteristics of patients raising dental concerns on the PCI and
to explore the outcome of onward referral. Assessments included the PCI and the
University of Washington Quality of Life Questionnaire (UW-QOL) version 4, with
clinic details collated from hospital and cancer databases. METHOD: PCI data were
obtained from 317 HNC patients between 2007 and 2011. Their mean age was 63 years
and 60% were male. Most had oral squamous cell carcinoma and underwent surgery.
The median (IQR) time from treatment to first PCI was 13 (4-42) months. RESULTS:
Three comparison groups were identified: patients with significant chewing
problems, patients without significant chewing problems who wanted to discuss
dental-related concerns and patients without significant chewing problems who did
not want to discuss such concerns. Fifty-two percent reported either a
significant chewing problem on the UW-QOL or a wish to discuss dental-related
concerns. A quarter specifically asked to talk to a dental professional. Clinical
characteristics significantly associated with dental issues were stage, primary
treatment and free flap reconstruction. Clinic letters were copied to only 10% of
general dental practitioners (GDPs). CONCLUSION: Better communication with GDPs
is essential.
PMID- 24923964
TI - Laparoendoscopic single-site retroperitoneoscopic nephrectomy for giant
hydronephrosis.
AB - PURPOSE: To report our experience and assess the feasibility and safety of
laparoendoscopic single-site (LESS) retroperitoneoscopic nephrectomy for giant
hydronephrosis. PATIENTS AND METHODS: Between July 2011 and April 2013, 22
patients underwent LESS retroperitoneoscopic nephrectomy for giant hydronephrosis
with nonfunctioning kidneys. A reusable elastic single-port device was inserted
through the 2.5-cm incision at the midpoint between the costal arch and iliac
crest on the midaxillary line. A rigid, 10-mm, 30 degrees extra-long laparoscope
was introduced for monitoring, and a combination of lengthened prebent and
conventional laparoscopic instruments was used for handling. The operation
procedure was similar to the conventional retroperitoneal laparoscopic
nephrectomy. RESULTS: LESS retroperitoneoscopic nephrectomy was completed in all
patients without conversion to open surgery and the additional placement of
ports. The mean operative time was 98 minutes (range 77-146), and estimated blood
loss was 45 mL (range 20-120). No major intraoperative complications occurred.
The postoperative period was uneventful in all patients and the mean hospital
stay was 3.4 days (range 2-7). CONCLUSIONS: LESS retroperitoneoscopic nephrectomy
for giant hydronephrosis is technically feasible and safe for selected patients.
The combination of conventional and prebent laparoscopic instruments represents
an attractive option for retroperitoneoscopic LESS.
PMID- 24923965
TI - Structure, composition and metagenomic profile of soil microbiomes associated to
agricultural land use and tillage systems in Argentine Pampas.
AB - Agriculture is facing a major challenge nowadays: to increase crop production for
food and energy while preserving ecosystem functioning and soil quality.
Argentine Pampas is one of the main world producers of crops and one of the main
adopters of conservation agriculture. Changes in soil chemical and physical
properties of Pampas soils due to different tillage systems have been deeply
studied. Still, not much evidence has been reported on the effects of
agricultural practices on Pampas soil microbiomes. The aim of our study was to
investigate the effects of agricultural land use on community structure,
composition and metabolic profiles on soil microbiomes of Argentine Pampas. We
also compared the effects associated to conventional practices with the effects
of no-tillage systems. Our results confirmed the impact on microbiome structure
and composition due to agricultural practices. The phyla Verrucomicrobia,
Plactomycetes, Actinobacteria, and Chloroflexi were more abundant in non
cultivated soils while Gemmatimonadetes, Nitrospirae and WS3 were more abundant
in cultivated soils. Effects on metabolic metagenomic profiles were also
observed. The relative abundance of genes assigned to transcription, protein
modification, nucleotide transport and metabolism, wall and membrane biogenesis
and intracellular trafficking and secretion were higher in cultivated fertilized
soils than in non cultivated soils. We also observed significant differences in
microbiome structure and taxonomic composition between soils under conventional
and no-tillage systems. Overall, our results suggest that agronomical land use
and the type of tillage system have induced microbiomes to shift their life
history strategies. Microbiomes of cultivated fertilized soils (i.e. higher
nutrient amendment) presented tendencies to copiotrophy while microbiomes of non
cultivated homogenous soils appeared to have a more oligotrophic life-style.
Additionally, we propose that conventional tillage systems may promote
copiotrophy more than no-tillage systems by decreasing soil organic matter
stability and therefore increasing nutrient availability.
PMID- 24923967
TI - 'Anxiety' in laboratory rodents: a brief review of some recent behavioural
developments.
AB - It is clearly difficult to determine which of the multitude of behavioural and
physiological indices provides the most reliable indicator of 'stress' in any
particular animal. Although 'fear' and 'anxiety' have obvious survival value in
feral animals, the induction of intense or chronic states of fearfulness are
likely to account for much of the 'stress' which concerns applied ethologists,
animal welfare students and keepers of animal collections etc. Recent
developments applying ethoexperimental approaches (combining ethology and
experimental psychology) to the study of behavioural indicators of anxiety in
rodents, seemingly provide useful indicators of 'stress'. The areas looked at are
predator-prey interactions, studies on ultrasonic 'distress calls' in neonates
and analyses of fearful defensive behaviour in dyadic encounters.
PMID- 24923966
TI - Clinical and metabolic evaluation of patients with history of renal calculi in
Qazvin, Iran.
AB - BACKGROUND: Nephrolithiasis is a common clinical disorder with significant health
and economic burden. We conducted this study to evaluate clinical and metabolic
parameters in adult patients with history of renal calculi. MATERIALS AND
METHODS: A total of 213 patients with history of nephrolithiasis participated in
this study. Evaluation included the measurement of serum calcium, uric acid,
parathormone, renal function tests, urinalysis, and urinary tests for cystinuria.
Also, parameters such as volume, creatinine, calcium, uric acid, citrate, and
oxalate levels were measured on 24-h urine. All patients underwent urinary tract
system sonography. RESULTS: Of total patients, 52% were males and 48% females.
The mean age was 45.16 +/- 13.16 years. Also, 51.2% of subjects had positive
family history of nephrolithiasis. The mean body mass index was (26.8 +/- 4.2)
kg/m(2). The mean 24-h urine biochemical profiles were volume (1,748 +/- 860 ml),
Ca (183 +/- 115), uric acid (544 +/- 220), citrate (490 +/- 351), and oxalate
(17.1 +/- 15.3) mg/day; urine calcium to creatinine ratio (0.15 +/- 0.10) mg/mg,
and urine calcium to weight ratio (2.4 +/- 1.7) mg/kg. While there were weak
positive correlations between the body mass index and urinary calcium (r = 0.101,
P < 0.001) and uric acid (r = 0.200, P < 0.001), a weak negative correlation with
urine pH (r = -0.104, P < 0.001) was found. CONCLUSIONS: Urine calcium, uric
acid, and oxalate excretion were low in our patients while urine citrate was
relatively high. Higher BMI maybe a risk factor for nephrolithiasis.
PMID- 24923968
TI - The specificity of social stress.
AB - This paper attempts to clarify the concept of "social stress" as implicitly
understood in the ethological literature. With respect to the general
characteristics of stressors and stress responses, the concept when applied to
crowding or to encounters with unfamiliar conspecifics does not appear to denote
a specific process. The concept is more specific when it refers to the
psychological (emotional, perceptive or cognitive) processes that accompany
"behavioural stress", e.g. agonistic interactions and subordination experience.
The concept of "sociological stress" is proposed to denote the very specific
process whereby stress responses of individuals result from a group's social
structure, and whereby the former determine the latter via behavioural
interactions and the other types of couplings (e.g. perceptive) between the group
members. Finally, it is submitted that social stress at the group level may not
be adequately reflected by traditional hormonal indicators of physiological
stress.
PMID- 24923969
TI - Stress, stereotypies and welfare.
AB - Stereotypies have been attributed various functions ranging from coping with
conflict and frustration to self narcotization via activation of endogenous
opioids. However, the evidence in favor of these postulated functions is far from
conclusive. Stereotypies are more likely to represent the outward expression of
disturbances in brain neural structures controlling persistence of behaviour in
response to environmental precipitating factors interacting with individual
predisposing factors.
PMID- 24923970
TI - Stereotypies and suffering.
AB - Stereotypies often develop in environments that independent evidence shows cause
poor welfare. Thus the development od stereotypies indicates that well-being has
probably been poor, with the animal motivated to show a behaviour pattern that it
could not perform normally or to completion. The continued performance of
stereotypies may also indicate current suffering. However, an individual or
population's degree of stereotypy does not necessarily correspond to the degree
to which its well-being or welfare is impaired. This would require individual
differences in stereotypy level to be the product of motivational differences and
nothing else. In fact, they are also likely to be influenced by an animal's
tendency to respond to the eliciting aspects of its environment with active
behaviour rather than in a more inactive way. Stereotypy levels are also likely
to be influenced by the general propensity of the individual to develop
inflexible behavioural routines. Furthermore, as a stereotypy develops and
becomes more habit-like it may be performed in circumstances where well-being is
not at stake. Thus when comparing animals, lower levels of stereotypy do not
necessarily reflect better well-being. General rules about the levels of
stereotypy that are deemed "acceptable" from a welfare point of view are of
limited use in reducing animal suffering unless they take take these factors into
account. There is a great need for more research into the developmental processes
responsible for stereotypies.
PMID- 24923971
TI - Assessing welfare and suffering.
AB - Poor welfare occurs in situations in which the effects on the animal are adverse
in that there is reduced fitness or clear indications that fitness will be
reduced, ie. the animal is stressed, and in situations where the individual has
difficulty in coping with its environment. Welfare is improved by increased
control over the environment. There is a wide variety of measurements of welfare.
Behaviour measurements are of particular importance but should be combined with
physiological, immunological, injury, disease, mortality risk, growth and
reproduction measures in order to obtain complete information. Suffering is an
important aspect of poor welfare but welfare can be poor in the absence of
suffering. There are many measures of poor welfare which do not necessarily
indicate suffering. Welfare should not be defined solely in terms of subjective
experiences.
PMID- 24923972
TI - Coping styles of tethered sows.
AB - Behaviour of 20 non-pregnant primiparous sows was observed from the moment of
first tethering till 2 hours thereafter, and after being tethered for 1 and 2
months. After 2 months of tethering the sows could be divided into 2 distinct
groups; (1) high stereotypers and (2) low stereotypers. Sows that resisted most
at first tethering showed the lowest level of stereotyping after 1 and 2 months
of tethering. High resisting sows may experience the uncontrollability of first
tethering most drastically and develop a state of helplessness. Low resisting
sows may accept tethering easier and adapt by means of developing stereotypies.
Low stereotyping sows were more alert after 1 and 2 months of tethering than high
stereotyping ones. Heart rate measurements showed that high stereotyping sows had
lower mean heart-rates after feeding (when stereotyping is most pronounced) than
low stereotyping ones. This difference was already present before tethering when
the sows were loose-housed. The results show differences in styles of coping in
sows that become more visible during tethering.
PMID- 24923973
TI - Relationship between plasma cortisol and stereotypic activities in pigs.
AB - The relationship between the performance of post-feeding stereotypic behaviour
and plasma cortisol levels and the effect of prevention of stereotypic behaviour
on plasma cortisol levels were studied in chronically restrained sows. In
experiment 1 sows classified as high or low stereotypers on the basis of time
spent manipulating chain and behaviour directed to the nipple drinker were found
not to differ in plasma cortisol levels. Removing the chain and nipple drinkers
also did not affect cortisol concentrations of either group. In experiment 2
detailed recordings were made by an automatic logging system of two major
categories of stereotypy; chain manipulation and drinking. There were large
individual differences in levels of both chain manipulation and drinking. Both
activities showed a similar diurnal pattern, but average levels across
individuals were not correlated. Both activities showed a continuous distribution
across individuals and therefore a correlational rather than factorial analysis
was used. Chain manipulation was not correlated to cortisol concentrations on any
of the control days and removing the chain for one day (experiment 2A) or for
seven days (experiment 2B) was also not accompanied by increased cortisol levels.
However individuals showed a consistent cortisol response to chain removal as
indicated by correlations between treatment days. Drinking showed a tendency to
be negatively correlated to cortisol concentrations on control days. Long-term
chain removal was accompanied by an increase in average drinking that showed a
non-significant tendency to be negatively correlated to plasma cortisol on
treatment days and thus may explain part of the consistency of response to chain
removal. The lack of correlation between chain manipulation and plasma cortisol
suggests that this activity does not serve to reduce plasma cortisol in
chronically restrained sows. The relationship between drinking and cortisol need
not necessarily reflect the coping capacity of this behaviour but may be a
physiological consequence of the large amounts of water ingested.
PMID- 24923974
TI - Seasonal variation in stereotypic pacing in an American black bear Ursus
americanus.
AB - The behaviour of a male American black bear Ursus americanus was observed for
over 2400 h across all seasons of the year. Stereotypic pacing was most frequent,
oriented away from the exhibit, and performed mainly after feeding during the
period May-July; from August-November pacing was oriented towards the exhibit and
performed mainly around feeding time. Placing bear odors in the enclosure
slightly reduced pacing and increased exploring/foraging in the late spring.
Hiding small food items in the exhibit almost completely eliminated pacing in the
fall and replaced it with foraging. Comparison with seasonal changes in the
behaviour of wild bears suggest that the stereotypy of this bear, and probably
zoo bears in general, developed from two main primary behaviours that cannot be
performed in a barren zoo environment: mate-seeking behaviour predominating in
the late spring and foraging behaviour in the late summer and fall.
PMID- 24923975
TI - Early experience with the farm environment and effects on later behaviour in
silver Vulpes vulpes and blue foxes Alopex lagopus.
AB - Seventy-one silver fox and 141 blue fox cubs were exposed to constant visual
contact with the farm environment from the age of 2 to 8 weeks. The exposure
consisted in opening a door in the nest box facing the feed gang-way. Control
cubs (33 silver and 77 blue foxes) were reared in similar but closed nest boxes.
All cubs were tested at the age of 12-16 weeks and again at the age of 23-28
weeks; during these tests the behavioural responses of the foxes towards a human
being were recorded. Both tests showed that in the two species, the early
experience with the farm environment reduced the fear responses of the foxes
towards humans. The conclusion of the study was that early visual experience with
the farm environment makes the foxes better adapted to captivity, including the
presence of humans.
PMID- 24923976
TI - Effects of whole-year nest boxes on cortisol, circulating leucocytes, exploration
and agonistic behaviour in silver foxes.
AB - An experiment was carried out for a period of 2 years, using 50 silver fox vixens
kept in cages with nest boxes, and 50 vixens kept in barren wire cages without
any sort of equipment. At the end of the experiment, the animals living with
access to nest boxes had lower base levels of cortisol and eosinophils, and
higher base levels of lymphocytes. They also were less fearful towards humans and
more active/ explorative in an open field test. It was concluded that these
animals were less stressed than those living without nest boxes, a result that
could have practical implications for the welfare of foxes during everyday life
at the farm.
PMID- 24923977
TI - Effects of immobility stress and food restriction on stereotypies in low and high
stereotyping female ranch mink.
AB - Two experiments were conducted to examine the effects of repeated immobilisations
and food restriction on normal activity and stereotypies in low and high
stereotyping female ranch mink. Repeated immobilisations had immediate inhibitory
effects on normal activity and stereotypies in both groups, whereas food
restriction had the opposite immediate effects. Subsequent to both
immobilisations and food restriction, stereotypies were increased, whereas normal
activities returned to pre-experimental levels. Repeated immobilisations were
followed by increases in cortisol levels in both low and high stereotyping
females. High stereotyping females had lower baseline cortisol levels than low
stereotypers but tended to show higher cortisol responses to immobilisations.
These results indicate that stressful experiences may affect stereotypies, but
that the direction of the changes depends on type of stressor as well as the
duration of exposure to the stressor. It is moreover suggested that stereotypies
can be emancipated.
PMID- 24923979
TI - Summary and perspectives: individual patterns of stress responses.
PMID- 24923978
TI - Effect of environmental stress and immobilization on stress physiological
variables in farmed mink.
AB - The effect of cage size and nest box environment on plasma cortisol, number of
eosinophil leucocytes, and on frequency of leucocyte groups was measured on 132
farmed mink. The experiment included three cage sizes (0.10 m(2), 0.27 m(2), and
1.1 m(2)) and cages with and without nest boxes. Furthermore, the effect of daily
immobilization a mink trap for 5 or 30 min. over periods of 10 days was
demonstrated. The effect of daily immobilization for 5 min. was an unchanged
cortisol response to immobilization from day 1 to day 10, no effect on eosinophil
leucocyte level, and a decrease of H/L-ratio from day 1 to day 10. After 30 min.
daily immobilization the cortisol response decreased from day 1 to day 10, the
eosinophil leucocyte level decreased, and the H/L-ratio increased from day 1 to
day 10. Mink females in cages without nest boxes had a higher plasma cortisol
level, a lower level of eosinophil leucogytes, and a higher H/L-ratio than mink
in cages with nest boxes. No effect of cage sizes was seen on the physiological
variables used. A pronounced seasonal variation has been shown in the
physiological variables used. The results made it possible to conclude that the
duration of individual immobility sessions is of consequence to the physiological
effect of immobilization. The physiological stress level increased when farmed
mink were deprived of the use of nest boxes. The effect of keeping mink in cages
without nest boxes was similar to daily immobilization for 30 min. with regard to
both the level of eosinophil leucocytes, the relative distribution of leucocyte
types, and the H/L-ratio.
PMID- 24923980
TI - Symmetry of the fornix using diffusion tensor imaging.
AB - PURPOSE: To: 1) Present fornix tractography in its entirety for 20 healthy
individuals to assess variability. 2) Provide individual and groupwise whole
tract diffusion parameter symmetry assessments prior to clinical application. 3)
Compare whole tract diffusion parameter assessments with tract-based spatial
statistics (TBSS). MATERIALS AND METHODS: Diffusion tensor imaging (DTI) data
were acquired on a 3T Siemens magnetic resonance imaging (MRI) system using a
single-shot spin echo planar imaging (EPI) sequence. Individual fornix
tractography was conducted and whole tract diffusion parameter symmetries
assessed. Whole tract results were compared with asymmetry contrasts conducted
with voxelwise statistical analysis of diffusion parameters using TBSS. RESULTS:
The fornix tract could be visualized in its entirety including the columns, body,
crura, and fimbria. Contrary to the crus and body, there were some tractography
inconsistencies of the columns and fimbria across subjects. Although whole tract
diffusion parameter asymmetries were nonsignificant, fractional anisotropy (FA)
values bordered on statistical significance (P = 0.052). Using TBSS, significant
FA asymmetries were identified (P <= 0.01, corrected). CONCLUSION: The findings
demonstrate consistency of fornix tractography as well as some variability in the
columns and fimbria. While parametric assessment demonstrates diffusion parameter
symmetry, permutation-based TBSS analysis reveals significant FA asymmetries in
the crura and fimbriae.
PMID- 24923981
TI - Differential effects of amphetamine and GBR-12909 on orolingual motor function in
young vs aged F344/BN rats.
AB - RATIONALE: Orolingual motor deficits, such as dysarthria and dysphagia,
contribute to increased morbidity and mortality in the elderly. In preclinical
studies, we and others have reported age-related decreases in tongue motility in
both F344 and F344/BN rats. The fact that these deficits are associated with
nigrostriatal dopamine (DA), tissue measures suggest that increasing dopamine
function might normalize tongue motility. OBJECTIVE: The purpose of the current
study was to determine whether two indirect dopamine agonists with locomotor
enhancing effects, d-amphetamine (amphetamine; 1 and 2 mg/kg) and GBR-12909 (5,
10, and 20 mg/kg), can improve tongue motility in aged F344/BN rats. METHODS:
Young (6 months) and aged (30 months) F344/BN rats licked water from an isometric
force disc so that tongue motility (licks/second) and tongue force could be
measured as a function of age and drug dose. RESULTS: Consistent with our
previous studies, tongue force was greater and tongue motility was lower in the
aged group. Tongue motility was increased by amphetamine but not by GBR-12909.
Amphetamine decreased peak tongue force, primarily in the young group. GBR-12909
did not affect tongue force. GBR-12909 increased the number of licks/session in
the young group but not in the aged group, while amphetamine increased this
measure in both groups. CONCLUSION: These results demonstrate differential
effects of these drugs on orolingual motor function and suggest that blocking DA
uptake is insufficient to increase tongue motility in aging.
PMID- 24923982
TI - Negative reinforcement via motivational withdrawal is the driving force behind
the transition to addiction.
PMID- 24923983
TI - Persistent modification of forebrain networks and metabolism in rats following
adolescent exposure to a 5-HT7 receptor agonist.
AB - RATIONALE: The serotonin 7 receptor (5-HT7-R) is part of a neuro-transmission
system with a proposed role in neural plasticity and in mood, cognitive or sleep
regulation. OBJECTIVES: We investigated long-term consequences of sub-chronic
treatment, during adolescence (43-45 to 47-49 days old) in rats, with a novel 5
HT7-R agonist (LP-211, 0 or 0.250 mg/kg/day). METHODS: We evaluated behavioural
changes as well as forebrain structural/functional modifications by in vivo
magnetic resonance (MR) in a 4.7 T system, followed by ex vivo histology.
RESULTS: Adult rats pre-treated during adolescence showed reduced anxiety-related
behaviour, in terms of reduced avoidance in the light/dark test and a less
fragmented pattern of exploration in the novel object recognition test. Diffusion
tensor imaging (DTI) revealed decreased mean diffusivity (MD) in the amygdala,
increased fractional anisotropy (FA) in the hippocampus (Hip) and reduced axial
(D||) together with increased radial (D?) diffusivity in the nucleus accumbens
(NAcc). An increased neural dendritic arborization was confirmed in the NAcc by
ex vivo histology. Seed-based functional MR imaging (fMRI) identified increased
strength of connectivity within and between "limbic" and "cortical" loops, with
affected cross-correlations between amygdala, NAcc and Hip. The latter displayed
enhanced connections through the dorsal striatum (dStr) to dorso-lateral
prefrontal cortex (dl-PFC) and cerebellum. Functional connection also increased
between amygdala and limbic elements such as NAcc, orbito-frontal cortex (OFC)
and hypothalamus. MR spectroscopy (1H-MRS) indicated that adolescent LP-211
exposure increased glutamate and total creatine in the adult Hip. CONCLUSIONS:
Persistent MR-detectable modifications indicate a rearrangement within forebrain
networks, accounting for long-lasting behavioural changes as a function of
developmental 5-HT7-R stimulation.
PMID- 24923984
TI - Behavioural and neurochemical assessment of salvinorin A abuse potential in the
rat.
AB - RATIONALE: Salvinorin A is a recreational drug derived from Salvia divinorum, a
sage species long used as an entheogen. While salvinorin A has potent
hallucinogenic properties, its abuse potential has not been assessed consistently
in controlled behavioural and neurochemical studies in rodents. OBJECTIVE: This
study aimed to assess salvinorin A abuse potential by measuring its capacity to
establish and maintain self-administration behaviour and to modify dopamine (DA)
levels in the nucleus accumbens (NAcc) of rats. RESULTS: Male Lister Hooded (LH)
and Sprague-Dawley (SD) rats were allowed to self-administer salvinorin A (0.5 or
1.0 MUg/kg/infusion) intravenously 2 h/day for 20 days under a continuous
schedule of reinforcement and lever pressing as operandum. LH rats discriminated
between the active and inactive levers but did not reach the acquisition
criterion for stable self-administration (>=12 active responses vs <=5 inactive
responses for at least 5 consecutive days). SD rats discriminated between the two
levers at the lower dose only but, like LH rats, never acquired stable self
administration behaviour. Systemic salvinorin A increased extracellular DA in the
NAcc shell of both LH (at >=40 MUg/kg) and SD rats (at >=5 MUg/kg), but injection
into the ventral tegmental area (VTA) induced no significant change in NAcc DA
concentration in LH rats and only brief elevations in SD rats. CONCLUSIONS:
Salvinorin A differs from other commonly abused compounds since although it
affects accumbal dopamine transmission, yet it is unable, at least at the tested
doses, to sustain stable intravenous self-administration behaviour.
PMID- 24923985
TI - Accounting for sex-related differences in the estimation of breath alcohol
concentrations using transdermal alcohol monitoring.
AB - RATIONALE: Previously, we reported methods to estimate peak breath alcohol
concentrations (BrAC) from transdermal alcohol concentrations (TAC) under
conditions where alcohol consumption was controlled to produce similar BrAC
levels in both sexes. OBJECTIVE: This study characterized differences in the
relationship between BrAC and TAC as a function of sex and developed a model to
predict peak BrAC that accounts for known sex differences in peak BrAC. METHODS:
TAC and BrAC were monitored during the consumption of a varying number of beers
on different days. Both men (n=11) and women (n=10) consumed one, two, three,
four, and five beers at the same rate in a 2-h period. Sex and sex-related
variables were considered for inclusion in a multilevel model to develop an
equation to estimate peak BrAC levels from TAC. RESULTS: While peak BrAC levels
were significantly higher in women than men, sex differences were not significant
in observed TAC levels. This lack of correspondence was evidenced by significant
sex differences in the relationship between peak TAC and peak BrAC. The best
model to estimate peak BrAC accounted for sex-related differences by including
peak TAC, time-to-peak TAC, and sex. This model was further validated using
previously collected data. CONCLUSIONS: The relationship between peak TAC and
actual peak BrAC differs between men and women, and these differences can be
accounted for in a statistical model to better estimate peak BrAC. Further
studies are required to extend these estimates of peak BrAC to the outpatient
environment where naturalistic drinking occurs.
PMID- 24923986
TI - Efficacy and safety of yokukansan in treatment-resistant schizophrenia: a
randomized, double-blind, placebo-controlled trial (a Positive and Negative
Syndrome Scale, five-factor analysis).
AB - BACKGROUND: Treating schizophrenia patients who fail to respond to antipsychotics
is a major challenge, and the percentage of treatment-resistant patients is
estimated to be 20-25 %. Recent studies indicate that yokukansan (YKS; D2 and
5HT1A partial agonist and 5HT2A and glutamate antagonist) to be safe and useful
in treating behavioral and psychological symptoms associated with dementia and
other neuropsychiatric conditions. We aimed at evaluating both the efficacy and
safety of YKS in patients with treatment-resistant schizophrenia. METHODS: This
randomized, multicenter, double-blind, placebo-controlled study was conducted
between May 2010 and August 2012. One hundred twenty antipsychotic-treated
inpatients from 34 psychiatric hospitals in Japan were included. Patients were
randomized to adjuvant treatment with YKS 7.5 g/day or placebo. During a 4-week
follow-up, psychopathology was assessed using the Positive and Negative Syndrome
Scale (PANSS) with five factors [excitement/hostility (P4, P7, G8, and G14),
depression/anxiety (G1, G2, G3, G4, and G6), cognition (P2, N5, N7, G5, G10, G11,
G12, G13, and G15], positive (P1, P3, P5, P6, and G9), and negative (N1, N2, N3,
N4, N6, G7, and G16]]. Other assessments included, Clinical Global Impression
Severity (CGI-S), Global Assessment of Functioning (GAF), and Drug-Induced
Extrapyramidal Symptoms Scale (DIEPSS). The primary efficacy outcome was the
change in PANSS five-factor scores. The secondary outcomes were changes in the
scores of CGI-S. The analysis was made on a modified intention to treat basis
with the help of a last observation carried forward method. RESULTS: YKS showed a
tendency of superiority to placebo in reducing total all PANSS five-factor scores
in treatment-resistant schizophrenia, but the difference was not statistically
significant in total, depression/anxiety, cognition, positive, and negative
factors. However, compared to the placebo group, the YKS group showed
statistically significant improvements in the PANSS excitement/hostility factor
scores (p<0.05). No substantial side effects were recorded. CONCLUSION: The
results of the present study indicate YKS to be a potential adjunctive treatment
strategy for treatment-resistant schizophrenia, particularly to improve
excitement/hostility symptoms.
PMID- 24923988
TI - Dynamically controlled one-pot synthesis of heterogeneous core-shell MOF single
crystals using guest molecules.
AB - A new mixed guest approach for the synthesis of heterogeneous core-shell MOF
crystals was exemplified by one-pot assembly of photoactive guests into an
anionic host framework. The formation mechanism, photophysical properties and
oxygen gas sensing properties of as-synthesized core-shell MOF crystals were also
investigated.
PMID- 24923987
TI - Levodopa administration modulates striatal processing of punishment-associated
items in healthy participants.
AB - RATIONALE: Appetitive and aversive processes share a number of features such as
their relevance for action and learning. On a neural level, reward and its
predictors are associated with increased firing of dopaminergic neurons, whereas
punishment processing has been linked to the serotonergic system and to decreases
in dopamine transmission. Recent data indicate, however, that the dopaminergic
system also responds to aversive stimuli and associated actions. OBJECTIVES: In
this pharmacological functional magnetic resonance imaging study, we investigated
the contribution of the dopaminergic system to reward and punishment processing
in humans. METHODS: Two groups of participants received either placebo or the
dopamine precursor levodopa and were scanned during alternating reward and
punishment anticipation blocks. RESULTS: Levodopa administration increased
striatal activations for cues presented in punishment blocks. In an interaction
with individual personality scores, levodopa also enhanced striatal activation
for punishment-predictive compared with neutral cues in participants scoring
higher on the novelty-seeking dimension. CONCLUSIONS: These data support recent
indications that dopamine contributes to punishment processing and suggest that
the novelty-seeking trait is a measure of susceptibility to drug effects on
motivation. These findings are also consistent with the possibility of an
inverted U-shaped response function of dopamine in the striatum, suggesting an
optimal level of dopamine release for motivational processing.
PMID- 24923992
TI - Work stress among nurses engaged in palliative care on general wards.
AB - OBJECTIVE: The objective of the present study was to elucidate the work stress
among nurses engaged in palliative care on general wards. The relationship
between nurses' psychological characteristics and stress was the particular focus
of this study in order to clarify the actual stress situation. METHODS: Nurses
with >=3 years of experience in palliative care on general wards were surveyed
with respect to their personal characteristics, work stress using a scale created
by the authors, the Profile of Mood States (POMS) short version, and the Coping
Inventory for Stressful Situations (CISS). Correlations between each derived
variable and stress were tested. Variables found to be significant were set as
independent variables, and multiple regression analysis was performed with
overall stress as the dependent variable. RESULTS: A total of 402 nurses
participated. The questionnaire response rate was 68.2%, with a valid response
rate of 59.7%. The analysis involved 240 participants (96.7% female participants;
mean age, 36.2 years old). Tension-Anxiety (POMS), Fatigue (POMS), Confusion
(POMS), and Emotion-Oriented Coping (CISS) were the significant variables. On
multiple regression analysis with these four variables, the coefficient of
determination was R(2) = 0.103, and the coefficient of determination adjusted
for degrees of freedom was R(2) = 0.087. Fatigue (POMS) (beta = 0.179, p < 0.05)
and Emotion-Oriented Coping (CISS; beta = 0.197, p < 0.05) were found to be
significantly related to stress among nurses providing palliative care on general
wards. CONCLUSIONS: The stress among nurses engaged in palliative care on general
wards can be predicted by the degree of 'fatigue' and 'emotion-oriented coping'.
Mechanisms to address these issues are needed.
PMID- 24923990
TI - Emerging mechanisms of mRNP remodeling regulation.
AB - The assembly and remodeling of the components of messenger ribonucleoprotein
particles (mRNPs) are important in determining the fate of a messenger RNA
(mRNA). A combination of biochemical and cell biology research, recently
complemented by genome-wide high-throughput approaches, has led to significant
progress on understanding the formation, dynamics, and function of mRNPs. These
studies also advanced the challenging process of identifying the evolving
constituents of individual mRNPs at various stages during an mRNA's lifetime.
While research on mRNP remodeling in general has been gaining momentum, there has
been relatively little attention paid to the regulatory aspect of mRNP
remodeling. Here, we discuss the results of some new studies and potential
mechanisms for regulation of mRNP remodeling.
PMID- 24923993
TI - Gender, age-related, and regional differences of the magnetization transfer ratio
of the cortical and subcortical brain gray matter.
AB - PURPOSE: To explore gender, age-related, and regional differences of
magnetization transfer ratio (MTR) of brain cortical and subcortical gray matter
(GM). MATERIALS AND METHODS: In all, 102 healthy subjects (51 women and 51 men;
range 25-84 years) were examined with 3-mm thick MT images. We assessed MTR in
automatically segmented GM structures including frontal, parietal-insular,
temporal, and occipital cortex, caudate, pallidus and putamen, and cerebellar
cortex. A general linear model analysis was conducted to ascertain the linear and
quadratic relationship among the MTR and gender, age, and anatomical structure.
RESULTS: The effect of gender was borderline (P = 0.07) in all GM structures
(with higher MTR values in men), whereas age showed a significant linear as well
as quadratic effect in all cortical and subcortical GM structures (P <= 0.001).
Quadratic age-related decrease in MTR began at about 40 years of age. Mean and
standard deviation (SD) of MTR had the following decreasing order: thalamus (58.3
+ 0.8), pallidus (56.8 +/- 1.3), caudate (55.5 +/- 1.6) and putamen (54.6 +/-
1.1); temporal (56.8 +/- 0.9), parietal-insular (56.8 +/- 1.1), frontal (56.5 +/-
1.1), occipital (55.4 +/- 1.0) and cerebellar (53.2 +/- 1.0) cortex. In post-hoc
testing, all regional pairwise differences were statistically significant except
pallidus vs. temporal or parietal-insular cortex, caudate vs. occipital cortex,
frontal vs. parietal-insular or temporal cortex. CONCLUSION: MTR of the cortical
and subcortical brain GM structures decreases quadratically after midlife and
shows significant regional differences.
PMID- 24923991
TI - Axonal localization of Ca2+-dependent activator protein for secretion 2 is
critical for subcellular locality of brain-derived neurotrophic factor and
neurotrophin-3 release affecting proper development of postnatal mouse
cerebellum.
AB - Ca2+-dependent activator protein for secretion 2 (CAPS2) is a protein that is
essential for enhanced release of brain-derived neurotrophic factor (BDNF) and
neurotrophin-3 (NT-3) from cerebellar granule cells. We previously identified
dex3, a rare alternative splice variant of CAPS2, which is overrepresented in
patients with autism and is missing an exon 3 critical for axonal localization.
We recently reported that a mouse model CAPS2Deltaex3/Deltaex3 expressing dex3
showed autistic-like behavioral phenotypes including impaired social interaction
and cognition and increased anxiety in an unfamiliar environment. Here, we
verified impairment in axonal, but not somato-dendritic, localization of dex3
protein in cerebellar granule cells and demonstrated cellular and physiological
phenotypes in postnatal cerebellum of CAPS2Deltaex3/Deltaex3 mice. Interestingly,
both BDNF and NT-3 were markedly reduced in axons of cerebellar granule cells,
resulting in a significant decrease in their release. As a result, dex3 mice
showed developmental deficits in dendritic arborization of Purkinje cells,
vermian lobulation and fissurization, and granule cell precursor proliferation.
Paired-pulse facilitation at parallel fiber-Purkinje cell synapses was also
impaired. Together, our results indicate that CAPS2 plays an important role in
subcellular locality (axonal vs. somato-dendritic) of enhanced BDNF and NT-3
release, which is indispensable for proper development of postnatal cerebellum.
PMID- 24923994
TI - Improving organizational capacity to address health literacy in public health: a
rapid realist review.
AB - OBJECTIVES: Despite the growing significance of health literacy to public health,
relatively little is known about how organizational capacity may be improved for
planning, implementing and sustaining health literacy interventions. This study
aimed to connect decision makers in a public health agency with evidence of how
organizational capacity may be improved for delivering health literacy services.
STUDY DESIGN: A rapid realist review of published and grey literature was
conducted by a partnership between the Public Health Agency of Canada (PHAC) and
the InSource Research Group. METHODS: Realist review methodology attempts to
understand what works for whom under what circumstances, and is characterized by
its focus on strategies/interventions, contexts, mechanisms and their
relationship to outcome. This review was completed in collaboration with a
reference panel (comprised of a broad range of PHAC representatives) and an
expert panel. Literature searching was conducted using three databases
supplemented with bibliographic hand searches and articles recommended by panels.
Data were extracted on key variables related to definitions,
strategies/interventions associated with increased organizational capacity,
contextual factors associated with success (and failure), mechanisms activated as
a result of different strategies and contexts, key outcomes, and evidence cited.
RESULTS: Strategies found to be associated with improved organizational capacity
for delivering health literacy services may be classified into three domains: (1)
government action; (2) organizational/practitioner action; and (3) partnership
action. Government action includes developing policies to reinforce social norms;
setting standards for education; conducting research; and measuring health
literacy levels. Organizational/practitioner action relates to appropriate models
of leadership (both high-level government engagement and distributed leadership).
Innovative partnership action includes collaborations with media outlets, those
producing electronic materials, community organizations and school-based
programs. Contextual factors for success include positive leadership models,
interorganizational relationships, and a culture committed to experimentation and
learning. Potential mechanisms activated by strategies and contextual factors
include increased visibility and recognition of health literacy efforts,
enthusiasm and momentum for health literacy activities, reduced cognitive
dissonance between vision and action, a sense of ownership for health literacy
data, and creation of a common language and understanding. CONCLUSIONS:
Government initiated interventions and policies are powerful strategies by which
organizational capacity to improve health literacy may be affected. Using the
foundations created by the government policy environment, organizations may
improve the impact of health literacy interventions through supported distributed
leadership.
PMID- 24923995
TI - Concept mapping as a promising method to bring practice into science.
AB - OBJECTIVE: Concept mapping is a method for developing a conceptual framework of a
complex topic for use as a guide to evaluation or planning. In concept mapping,
thoughts and ideas are represented in the form of a picture or map, the content
of which is determined by a group of stakeholders. This study aimed to explore
the suitability of this method as a tool to integrate practical knowledge with
scientific knowledge in order to improve theory development as a sound basis for
practical decision-making. METHOD: Following a short introduction to the method
of concept mapping, five Dutch studies, serving different purposes and fields in
public health, will be described. The aim of these studies was: to construct a
theoretical framework for good regional public health reporting; to design an
implementation strategy for a guideline for integral local health policy; to
guide the evaluation of a local integral approach of overweight and obesity in
youth; to guide the construction of a questionnaire to measure the quality of
postdisaster psychosocial care; and to conceptualize an integral base for
formulation of ambitions and targets for the new youth healthcare programme of a
regional health service. RESULTS: The studies showed that concept mapping is a
way to integrate practical and scientific knowledge with careful selection of
participants that represent the different perspectives. Theory development can be
improved through concept mapping; not by formulating new theories, but by
highlighting the key issues and defining perceived relationships between topics.
In four of the five studies, the resulting concept map was received as a sound
basis for practical decision-making. CONCLUSIONS: Concept mapping is a valuable
method for evidence-based public health policy, and a powerful instrument for
facilitating dialogue, coherence and collaboration between researchers,
practitioners, policy makers and the public. Development of public health theory
was realized by a step-by-step approach, considering both scientific and
practical knowledge. However, the external validity of the concept maps in place
and time is of importance.
PMID- 24923996
TI - Post-implementation review of pulse oximetry screening of well newborns in an
Australian tertiary maternity hospital.
AB - AIM: Despite there being evidence that pulse oximetry screening is better than
clinical examination alone in early detection of CHD, implementation has been
slow. The aim of this paper was to evaluate the practice after its implementation
into routine care at Royal Prince Alfred Hospital in 2008. METHODS: A single
pulse oximetry measurement was incorporated in the routine discharge newborn
examination or, with early discharge, as a part of the Midwife Discharge Support
Programme. An oxygen saturation level greater than or equal to 95% was considered
normal, and a level less than 95%, confirmed on a repeat measure, triggered a
review and examination by a consultant neonatal paediatrician. The saturation
levels were recorded in the hospital database. Ascertainment of major CHD
requiring surgery in the first 12 months was performed by searching the cardiac
surgery database of the Heart Centre for Children. RESULTS: A total of 18 801
babies were screened over a 42-month period. Of these, four babies with major CHD
were diagnosed prior to discharge with the main clinical alert resulting from
routine pulse oximetry screening (true positive). Of the 11 cases with saturation
<95% but no CHD (false positive cases), six had respiratory pathology. One baby
with normal saturation level needed surgery in the first year for a large
ventricular septal defect (false negative). The false positive rate of pulse
oximetry screening for CHD was 0.13% with sensitivity 80%, specificity of 99.8%,
a positive predictive value of 13.3% and a negative predictive value of 99.9%.
Nine additional echocardiogram were required over 42 months. CONCLUSIONS: These
post-implementation data confirm that pulse oximetry screening increases early
diagnosis of major CHD as well as other important pathology with a very low false
positive rate and minimal requirement for extra echocardiograms. Pulse oximetry
screening of apparently well newborns should become a standard of care.
PMID- 24923997
TI - Association of increased travel distance to dialysis units with the risk of
anemia in rural chronic hemodialysis elderly.
AB - Geographic remoteness has been found to influence health-related outcomes
negatively. As reported in the literature, rural dialysis patients have a higher
risk of mortality with increasing travel distance to dialysis units. However, few
studies have focused on the impact of travel distances on the development of
dialysis complications. We utilized a prospectively collected chronic
hemodialysis patient cohort from a rural regional hospital for analysis. Data on
demographics, comorbidities, and serum laboratory results were obtained.
Correlation analyses between travel distance to dialysis units and dialysis
complications were conducted, and significantly correlated parameters were
entered into multivariate logistic regression models to determine their exact
associations. A total of 46 rural chronic hemodialysis patients were enrolled,
with an average age higher than others in the literature. Significant correlation
was found between travel distance and serum hemoglobin levels (R(2) = -0.34, P
value = 0.029). Multivariate logistic regression found that every 1 km increase
in travel distance was associated with an increased risk of anemia (hemoglobin <9
g/dL) (odds ratio 1.46; P value = 0.01). Sensitivity analyses further showed that
the associated risk was partially attenuated by serum albumin (odds ratio 1.83; P
value = 0.07) and ferritin (odds ratio 1.39; P value = 0.08) levels. This is the
first study to demonstrate the association between increased travel distance to
dialysis units and the risk of anemia in chronic dialysis patients, especially
elderly. Malnutrition, inflammation, and atherosclerosis syndrome could be
partially responsible for the observed association. Further research is required
to confirm our findings.
PMID- 24923998
TI - Comparative performance of novel self-sampling methods in detecting high-risk
human papillomavirus in 30,130 women not attending cervical screening.
AB - We determined whether the participation rate for a brush-based cervicovaginal
self-sampling device is noninferior to the participation rate for a lavage-based
one for testing for hrHPV (high-risk human papillomavirus). Additionally,
positivity rates for hrHPV, the detection rates for cervical intraepithelial
neoplasia grades 2 and 3 or worse (CIN2+/3+), and user comfort were compared. A
total of 35,477 non-responders of the regular cervical screening program aged 33
63 years were invited to participate. Eligible women (n = 30,130) were randomly
assigned to receive either a brush-based or a lavage-based device, and a
questionnaire for reporting user convenience. Self-sampling responders testing
hrHPV-positive were invited for a physician-taken sample for cytology; triage
positive women were referred for colposcopy. A total of 5,218 women participated
in the brush-based sampling group (34.6%) and 4809 women in the lavage-based
group (31.9%), i.e. an absolute difference of 2.7% (95%CI 1.8-4.2). The hrHPV
positivity rates in the two groups were identical (8.3%, relative risk (RR) 0.99,
95%CI 0.87-1.13). The detection of CIN2+ and CIN3+ in the brush group (2.0% for
CIN2+; 1.3% for CIN3+) was similar to that in the lavage group (1.9% for CIN2+;
1.0% for CIN3+) with a cumulative RR of 1.01, 95%CI 0.83-1.24 for CIN2+ and 1.25,
95%CI 0.92-1.70 for CIN3+. The two self-sampling devices performed similarly in
user comfort. In conclusion, offering a brush-based device to non-responders is
noninferior to offering a lavage-based device in terms of participation. The two
self-sampling methods are equally effective in detecting hrHPV, CIN2+/CIN3+ and
are both well accepted.
PMID- 24923999
TI - The MC-DFT approach including the SCS-MP2 energies to the new Minnesota-type
functionals.
AB - We have applied the multicoefficient density functional theory (MC-DFT) to four
recent Minnesota functionals, including M06-2X, M08-HX, M11, and MN12-SX on the
performance of thermochemical kinetics. The results indicated that the accuracy
can be improved significantly using more than one basis set. We further included
the SCS-MP2 energies into MC-DFT, and the resulting mean unsigned errors (MUEs)
decreased by approximately 0.3 kcal/mol for the most accurate basis set
combinations. The M06-2X functional with the simple [6-311+G(d,p)/6-311+G(2d,2p)]
combination gave the best performance/cost ratios for the MC-DFT and MC-SCS
MP2|MC-DFT methods with MUE of 1.58 and 1.22 kcal/mol, respectively.
PMID- 24924001
TI - Precis of Unified theories of cognition.
AB - The book presents the case that cognitive science should turn its attention to
developing theories of human cognition that cover the full range of human
perceptual, cognitive, and action phenomena. Cognitive science has now produced a
massive number of high-quality regularities with many microtheories that reveal
important mechanisms. The need for integration is pressing and will continue to
increase. Equally important, cognitive science now has the theoretical concepts
and tools to support serious attempts at unified theories. The argument is made
entirely by presenting an exemplar unified theory of cognition both to show what
a real unified theory would be like and to provide convincing evidence that such
theories are feasible. The exemplar is SOAR, a cognitive architecture, which is
realized as a software system. After a detailed discussion of the architecture
and its properties, with its relation to the constraints on cognition in the real
world and to existing ideas in cognitive science, SOAR is used as theory for a
wide range of cognitive phenomena: immediate responses (stimulus-response
compatibility and the Sternberg phenomena); discrete motor skills (transcription
typing); memory and learning (episodic memory and the acquisition of skill
through practice); problem solving (cryptarithmetic puzzles and syllogistic
reasoning); language (sentence verification and taking instructions); and
development (transitions in the balance beam task). The treatments vary in depth
and adequacy, but they clearly reveal a single, highly specific, operational
theory that works over the entire range of human cognition, SOAR is presented as
an exemplar unified theory, not as the sole candidate. Cognitive science is not
ready yet for a single theory - there must be multiple attempts. But cognitive
science must begin to work toward such unified theories.
PMID- 24924000
TI - Dark matter RNA illuminates the puzzle of genome-wide association studies.
AB - In the past decade, numerous studies have made connections between sequence
variants in human genomes and predisposition to complex diseases. However, most
of these variants lie outside of the charted regions of the human genome whose
function we understand; that is, the sequences that encode proteins.
Consequently, the general concept of a mechanism that translates these variants
into predisposition to diseases has been lacking, potentially calling into
question the validity of these studies. Here we make a connection between the
growing class of apparently functional RNAs that do not encode proteins and whose
function we do not yet understand (the so-called 'dark matter' RNAs) and the
disease-associated variants. We review advances made in a different genomic
mapping effort - unbiased profiling of all RNA transcribed from the human genome
and provide arguments that the disease-associated variants exert their effects
via perturbation of regulatory properties of non-coding RNAs existing in
mammalian cells.
PMID- 24924002
TI - Unified cognitive theory: You can't get there from here.
PMID- 24924003
TI - Reframing the problem of intelligent behavior.
PMID- 24924004
TI - A unified theory for psychologists?
PMID- 24924005
TI - Toward unified cognitive theory: The path is well worn and the trenches are deep.
PMID- 24924006
TI - Re-membering cognition.
PMID- 24924007
TI - Active symbols, limited storage and the power of natural intelligence.
PMID- 24924008
TI - Unified cognitive theory is not comprehensive.
PMID- 24924009
TI - Is Unified theories of cognition good strategy?
PMID- 24924010
TI - A cognitive theory without inductive learning.
PMID- 24924011
TI - SOAR as a world view, not a theory.
PMID- 24924013
TI - Unified cognitive theory: Having one's apple pie and eating it.
PMID- 24924012
TI - A psychologically implausible architecture that is always conscious, always
active.
PMID- 24924014
TI - Unifying congnition: Has it all been put together?
PMID- 24924015
TI - Unified cognition misses language.
PMID- 24924016
TI - Unified theories must explain the codependencies among perception, cognition and
action.
PMID- 24924017
TI - Unified psychobiological theory.
PMID- 24924018
TI - How human is SOAR?
PMID- 24924019
TI - Does the evolutionary perspective offer more than constraints?
PMID- 24924020
TI - Choosing a unifying theory for cognitive development.
PMID- 24924021
TI - Problem spaces, language and connectionism: Issues for cognition.
PMID- 24924022
TI - Unified theories and theories that mimic each other's predictions.
PMID- 24924023
TI - On models and mechanisms.
PMID- 24924024
TI - A cognitive process shell.
PMID- 24924025
TI - On putting the cart before the horse: Taking perception seriously in unified
theories of cognition.
PMID- 24924026
TI - Cognition and simulation.
PMID- 24924027
TI - Cartesian vs. Newtonian research strategies for cognitive science.
PMID- 24924028
TI - A psychobiological theory of attachment.
AB - This article describes a neurobiological basis for the "first attachment" of the
primate infant to its caretaker. The infant normally internalizes a
neurobiological "image" of the behavioral and emotional characteristics of its
caregiver that later regulates important features of its brain function. Current
models of sensorimotor analysis and its relation to emotion suggest that
sensorimotor systems are also habit and memory systems, their functional status
and lability regulated in part by biogenic amine systems. The intertwined
development of neural and social functions can sometimes go awry. If the
attachment process fails or the caregiver is incompetent, the infant may become
socially dysfunctional. This helps explain the developmental psychopathology and
later vulnerability to adult psychopathology that result from disruptions of
social attachment.
PMID- 24924029
TI - Refining the attachment model.
PMID- 24924030
TI - What do attachment objects afford?
PMID- 24924031
TI - Levels of explanation in theories of infant attachment.
PMID- 24924032
TI - A wise child: Face perception by human neonates.
PMID- 24924034
TI - Oxytocin and the neurobiology of attachment.
PMID- 24924033
TI - The many levels of attachment.
PMID- 24924035
TI - Attachment: How early, how far?
PMID- 24924036
TI - The meanings of attachment.
PMID- 24924037
TI - Attachment and the sources of behavioral pathology.
PMID- 24924038
TI - Does function imply structure?
PMID- 24924039
TI - A new psychobiological theory of attachment: Primum non nocere.
PMID- 24924040
TI - Attachment: A view from evolutionary biology and behavior genetics.
PMID- 24924041
TI - Behavioural, aminergic and neural systems in attachment.
PMID- 24924042
TI - The interface between the psychobiological and cognitive models of attachment.
PMID- 24924043
TI - A psychopharmacologist's view of attachment.
PMID- 24924044
TI - Emotions of human infants and mothers and development of the brain.
PMID- 24924045
TI - Psychophysical scaling: Judgments of attributes or objects?
AB - Psychophysical scaling models of the form R = f(I), with R the response and I
some intensity of an attribute, all assume that people judge the amounts of an
attribute. With simple biases excepted, most also assume that judgments are
independent of space, time, and features of the situation other than the one
being judged. Many data support these ideas: Magnitude estimations of brightness
(R) increase with luminance (I). Nevertheless, I argue that the general model is
wrong. The stabilized retinal image literature shows that nothing is seen if
light does not change over time. The classification literature shows that
dimensions often combine to produce emergent properties that cannot be described
by the elements in the stimulus. These and other effects cannot be adjusted for
by simply adding variables to the general model because some factors do not
combine linearly. The proposed alternative is that people initially judge the
entire stimulus - the object in terms of its environment. This agrees with the
constancy literature that shows that objects and their attributes are identified
through their relations to other aspects of the scene. That the environment
determines judgments is masked in scaling studies where the standard procedure is
to hold context constant. In a typical brightness study (where different lights
are presented on the same background on different trials) the essential stimulus
might be the intensity of the light or a difference between the light and the
background. The two are perfectly confounded. This issue is examined in the case
of audition. Judgments of the loudness of a tone depend on how much that tone
differs from the previous tone in both pitch and loudness. To judge loudness (and
other attributes) people first seem to process the stimulus object in terms of
differences between it and other aspects in the situation; only then do they
assess the feature of interest. Psychophysical judgments will therefore be better
interpreted by theories of attention that are based in biology or psychology than
those (following Fechner) that are based in classical physics.
PMID- 24924046
TI - Perception, apperception and psychophysics.
PMID- 24924047
TI - Integration psychophysics is not traditional psychophysics.
PMID- 24924048
TI - Lockhead's view of scaling: Something's fishy here.
PMID- 24924049
TI - Psychophysical scaling within an information processing approach?
PMID- 24924050
TI - Psychophysical scaling: To describe relations or to uncover a law?
PMID- 24924051
TI - Accounting for an old inconsistency in the psychophysics of Plateau and Delboeuf.
PMID- 24924052
TI - Psychophysical scaling: Context and illusion.
PMID- 24924053
TI - The determinants of perceived brightness are complicated, but not hopelessly so.
PMID- 24924054
TI - Can brightness be related to luminance by a meaningful function?
PMID- 24924055
TI - Psychophysical invariance, perceptual invariance and the physicalistic trap.
PMID- 24924056
TI - The complexity and importance of the psychophysical scaling of sensory
attributes.
PMID- 24924057
TI - Scales falling from the eyes?
PMID- 24924058
TI - Walking in a psychophysical dustbowl creates a dustcloud.
PMID- 24924059
TI - Psychophysics: Plus ca change ....
PMID- 24924060
TI - Context effects: Pervasiveness and analysis.
PMID- 24924061
TI - Will the real stimulus please step forward?
PMID- 24924062
TI - Two categories of contextual variable in perception.
PMID- 24924063
TI - Covert converging operations for multidimensional psychophysics.
PMID- 24924064
TI - The perplexing plurality of psychophysical processes.
PMID- 24924065
TI - Psychophysics and quantitative perceptual laws.
PMID- 24924066
TI - How important are dimensions to perception?
PMID- 24924067
TI - Attributes or objects: A paradigm shift in psychophysics.
PMID- 24924068
TI - The evident object of inquiry.
PMID- 24924069
TI - Context effects in the entropic theory of perception.
PMID- 24924070
TI - Should the psychophysical model be rejected?
PMID- 24924071
TI - Selecting one attribute for judgment is not an act of stupidity.
PMID- 24924072
TI - Do we scale "objects" or isolated sensory dimensions?
PMID- 24924073
TI - Ceteris paribus laws.
PMID- 24924074
TI - Keeping the bath water along with the baby: Context effects represent a
challenge, not a mortal wound, to the body of psychophysics.
PMID- 24924076
TI - Corrigenda.
PMID- 24924075
TI - Relation of sensory scales to physical scales.
PMID- 24924077
TI - An ethopharmacological analysis of morphine effects in fish (Macropodus
Opercularis).
AB - The behavioural effects of morphine on fish (Macropodus opercularis) were studied
by an ethopharmacological analysis. For this purpose active and passive
behavioural units were observed. The results show that morphine treatment had a
stimulating effect. However, the treatment resulted not in a general enhancement
of active behavioural units, but in a selective increase of some of them, such as
swimming and erratic behaviour, or in stereotyped behaviours, such as circling.
By contrast, high dosages of morphine resulted in a decrease of escape and of
standing under the surface behaviours.
PMID- 24924078
TI - Role of the pituitary-adrenocortical axis in the control of agonistic behaviour
after single and repeated footshock in castrated male mice.
AB - Two experiments were conducted to examine the role of the pituitary
adrenocortical axis in the mediation of the effects of single and repeated
electric footshock on subsequent agonistic responding in castrated male mice. It
was found that (1) preventing corticosterone responses to shock occludes the
facilitatory effects of single shock on both aggressive and submissive behaviour
and occludes the additional increases in submissive behaviour which normally
occur after repeated shock, and (2) blocking pituitary release of ACTH by
dexamethasone treatment restores aggressive behaviour after repeated shock,
independently of the initial levels of corticosterone and testosterone. These
findings suggest that (1) increases in aggressive and submissive behaviour in
response to single shock depend on shock-induced increases in corticosterone
levels; (2) further increases in submissive behaviour in response to repeated
shock require further increases in the corticosterone levels; and (3) inhibition
of aggressive behaviour after shock repetition appears to depend on increases in
ACTH levels, and occurs independently of the initial corticosterone and
testosterone levels.
PMID- 24924080
TI - Response to heiner.
PMID- 24924079
TI - A problem with using derivatives to explain the matching law.
AB - Herrnstein (1979) recently claimed that the matching law could be derived from an
ordinary differential equation. He failed, however, to analyze the dynamic
properties of his proposed equation. I show that it implies behavior cannot
stabilize in accordance with the matching law. Consequently, Herrnstein's
equation cannot explain why matching behavior has been widely observed.
PMID- 24924081
TI - Spontaneous and deferred imitation in the pigeon.
AB - Experimentally-naive pigeons were placed on one side of a clear partition. A
pigeon on the other side received food for pecking a ping-pong ball, pulling a
rope, or pecking a plastic disk. When given access to a similar object, each
naive pigeon pecked or pulled at a low rate for several sessions and two
continued to do so forseveral sessions in the absence of the leader. In a second
experiment, the latter effect was demonstrated after a delay of 24 hours, even
though the naive pigeons had never had access to the object in the presence of
the model. A third experiment demonstrated that the effect on the follower was
not due merely to the presence of or activity of another pigeon and was at least
somewhat specific to the behavior of the model.
PMID- 24924082
TI - Saline intake after shifts to water in hamsters and gerbils.
AB - In Experiment 1, hamsters and gerbils were given a 24-hr two-bottle test with
saline and water for eight days before being placed on a water-only condition for
the subsequent four days. On day 13 the saline bottle was returned to the animals
and their intake of saline and water was monitored for another six days.
Comparisons were made of the animals' intake of saline during the last two pre
withdrawal with that during the first two post-withdrawal days. Saline intake was
enhanced in male but not in female gerbils following the 4-day withdrawal period.
In contrast, male hamsters showed a decrement in their saline intake while female
hamsters showed no significant change in these pre- and post-withdrawal measures.
In Experiment 2, a between-groups design was used to test for differences between
the saline intake of hamsters and gerbils exposed to the experimental (saline
withdrawal) and control (no withdrawal) conditions. When tested with 0.45% or
2.0% saline solution, there was no significant difference between experimental
and control hamsters. The results with male gerbils indicated a significant
withdrawal effect when the animals were tested with 3.0% saline but not with 4.0%
NaCl solution. The implications of these results for a behavioral taxonomy of
"compensators" and "noncompensators" are discussed.
PMID- 24924083
TI - Modification of nocturnal spontaneous and adrenergic-induced feeding in the rat
following either A5 or A7 lesions.
AB - The ingestive profiles of intact, A5 and A7 damaged animals were examined during
the 2-hr nocturnal period following onset of the dark cycle. A5, A7 and intact
rats consumed comparable amounts of food following initial access to food
nocturnally. Sebsequent feeding declined in A5 animals below control values and
failed to return to baseline at the end of the nocturnal period examined. A7
damaged rats appeared more resistant to the appetite suppressing effects of
initial meal taking and consumed more food than control animals. Only A5 damaged
rats were noted to be hyperdipsic during the immediate 10 postoperative days.
Intracranial injection of 1-norepinephrine bitartrate (10 ug/ul) into the
paraventricular nucleus (PVN) of the hypothalmus produced a reliable facilitation
of feeding in A5, A7 and intact rats during the first hour of the dark cycle. A5
rats exhibited the largest increase in feeding elicited by NE administration into
the PVN. This feeding response was observed in rats with A5 lesions regardless of
whether testing was carried out during the initial hours of the dark cycle or
during a predetermined "satiation" test. A5 lesions also effected a marked
hyperglycemia while A7 lesions were ineffective in this respect. Taken together
these data suggest the A5 and A7 cell groupings regulate spontaneous feeding
within a rostrally coursing feeding circuitry and appear to interact with the PVN
in the elicitation of noradrenergic feeding.
PMID- 24924084
TI - Hypotheses on mechanisms underlying observational learning in animals.
AB - Learning through observation or vicarious learning has been systematically
studied in a variety of animal species for only 20 years. Demonstrating in
animals a capacity to benefit from a conspecific's experience, this type of
acquisition was first thought to require superior cognitive processes and thus to
be restricted to primates, if possible at all in animals. The concept of
imitation was commonly applied in this line of study to any social transmission.
Later, experiments on vicarious learning showed that numerous species (rodents,
cats, birds, primates, etc.) learn more quickly to perform an act whenever they
have the opportunity of watching a conspecific performing that act. The principal
characteristic of this acquisition is that it occurs during the observation
period when the observer has no opportunity of either performing a response or
receiving reinforcement. Four hypothesis have been put forward to explain the
mechanisms underlying this type of acquisition : local enhancement, the
opportunity for mediate responses, a sensory preconditioning, and the monitoring
of the observer's response by some quantitative and/or qualitative aspects of the
model's response. The fact that animals prove to have such a capacity is moreover
a factor to be kept in mind in all studies in the eco-ethological field
(particularly those concerning the predator-prey relation).
PMID- 24924085
TI - The ontogeny of feeding behaviour in four species of centrarchid fish.
AB - Relatively little work has been done on the ontogeny of feeding behaviour in
fish. One of the aims of this study was to compare the ontogeny of feeding
behaviour in four species of centrarchid fish of diverse feeding guilds. A second
aim was to test Mayr's hypothesis that the locomotory acts involved in the
ontogeny of feeding behaviour would be stereotyped. All four species initially
displayed five feeding acts, with three of the acts disappearing from the
repertoire at various intervals. The disappearance of the acts appeared to be
related to the size and not to the age of the fry. The acts decreased in
frequency as the fry aged and differences among the species in frequencies were
minimal. The data supported Mayr's hypothesis but a modifying corollary was added
to account for changes due to maturation.
PMID- 24924086
TI - Variability of force and interresponse time under random interval reinforcement
schedules.
AB - Response variability was examined under five random interval schedules with
minimum mean interreinforcement intervals of 15, 30, 60, 120, and 240 sec.
Distributions of response force-time integrals showed no systematic variability
changes with increases in reinforcement intermittency. Corresponding
distributions of interresponse times revealed increases in variability
accompanying increases in reinforcement intermittency. The relationship of
variability to these two measures, and the potential effect of behavior outside
the experimentally measured response class, on recorded variability within the
class, are considered.
PMID- 24924087
TI - Overshadowing of responding on ratio and interval schedules by an independent
predictor of reinforcement.
AB - This experiment replicated previous demonstrations that interposing a brief
stimulus between reinforced responses and the presentation of the reinforcer
reduces responding maintained by intermittent reinforcement schedules.
Furthermore, we could find no significant difference between the relative size of
the reduction during training on ratio and interval schedules when the predictive
significance of the response and stimulus was controlled by a yoking procedure.
PMID- 24924088
TI - The influence of sex, sexual condition and age on the exploratory behaviour of
wild wood mice (Apodemus Sylvaticus L.).
AB - From April 1978 to April 1980 the exploratory behaviour of more than 1000 wild
wood mice was studied in a modified open field test situation. The influence of
sex, sexual condition and age on the exploratory activity was investigated.
Sufficient data being available, the exploratory activity of both sexually active
and sexually inactive adult males and adult females, of both sexually active and
sexually inactive subadult males and subadult females and of both sexually
inactive juvenile males and females was compared by means of a one way analysis
of variance for each two-month period. In other analyses the influence of age of
adult males and adult females on the exploratory behaviour was further examined.
No differences could be found in the exploratory activity displayed by males or
females and by sexually active or sexually inactive animals. Also a clear
influence of age was absent. The interindividual variation was very large.
PMID- 24924089
TI - The putative role of vitamin D in essential hypertension: stepping into the
light?
PMID- 24924090
TI - Response to "The putative role of vitamin D in essential hypertension: stepping
into the light?".
PMID- 24924091
TI - Aerobic exercise and endothelial function in pre- and postmenopausal women.
PMID- 24924092
TI - Response to "Aerobic exercise and endothelial function in pre- and post
menopausal women".
PMID- 24924096
TI - Molecular weight dependent vertical composition profiles of PCDTBT:PC71BM blends
for organic photovoltaics.
AB - We have used Soxhlet solvent purification to fractionate a broad molecular weight
distribution of the polycarbazole polymer PCDTBT into three lower polydispersity
molecular weight fractions. Organic photovoltaic devices were made using a blend
of the fullerene acceptor PC71BM with the molecular weight fractions. An average
power conversion efficiency of 5.89% (peak efficiency of 6.15%) was measured for
PCDTBT blend devices with a number average molecular weight of Mn = 25.5 kDa.
There was significant variation between the molecular weight fractions with low
(Mn = 15.0 kDa) and high (Mn = 34.9 kDa) fractions producing devices with average
efficiencies of 5.02% and 3.70% respectively. Neutron reflectivity measurements
on these polymer:PC71BM blend layers showed that larger molecular weights leads
to an increase in the polymer enrichment layer thickness at the anode interface,
this improves efficiency up to a limiting point where the polymer solubility
causes a reduction of the PCDTBT concentration in the active layer.
PMID- 24924097
TI - [Squamous cell carcinomas of the ear: factors of gravity and surgical treatment,
about 30 cases].
AB - INTRODUCTION: Squamous cell carcinomas (SCC) of the ear are known for their
aggressiveness. The aim of this study was to investigate factors of gravity while
freeing the therapeutic requirements. PATIENTS AND METHODS: This is a
retrospective study of 28 patients (30 ears) operated for SCC. The
characteristics of the lesion, the presence of metastasis, treatment modalities
and histologic findings were collected. Local recurrences and metastasis are
identified with a mean follow-up time of 37months (17-110months). RESULTS: The
lesion size was less than 2cm in 19 cases (63.3%) and greater than or equal to
2cm in 11 cases (36.7%). Macroscopic resection margins were 5, 7 or 10mm. The
resections were histologically incomplete in 4 cases (13%) requiring further
surgery. Six cases had local recurrence (20%): three with pulmonary or lymph node
metastases (10%) and one death (3.3%). In these 6 cases of recurrence, the
surgical margins were 6.3mm on average, the initial lesion measured always
greater than 2cm (100%). Three quarters of incomplete resections have recurrent
despite a surgical revision in healthy margin. CONCLUSION: Our study confirms the
data of literature, namely the pejorative character of a lesion greater than 2cm
and incomplete resection. The HAS recommendations seem minimal regarding the ear.
In case of injury by more than 2cm and with a proximal location on the ear pinna,
only amputation of the ear allows satisfactory cancer control.
PMID- 24924098
TI - Gilthead seabream (Sparus aurata) immune responses are modulated after feeding
with purified antinutrients.
AB - The present study aimed to evaluate the effects of two purified antinutrients,
soy saponins and phytosterols, in an important species for Mediterranean
aquaculture. For this purpose, gilthead seabream (Sparus aurata) were fed six
experimental diets containing two levels of those antinutrients, alone or in
combination, and a control diet, to apparent visual satiation under controlled
conditions. Blood and head-kidney were collected at 7, 15 and 48 days following
first feeding in order to assess immune parameters and the expression of immune
related genes. Plasma bactericidal and alternative complement pathway activities
increased in fish fed antinutrients compared to fish fed the control diet during
the course of the experiment, with more important changes at 7 and 48 days for
bactericidal activity and at 7 and 15 days for complement values. In contrast,
plasma total immunoglobulins (Ig) increased in fish fed antinutrients only at 48
days. Caspase 1 (casp1), interleukin 18 (il18), colony-stimulating factor-1
receptor (csfr) and hepcidin (hep) presented similar patterns of expression with
more important changes at 7 and 48 days, while interleukin 10 (il10) and beta
defensin (def) were mainly up-regulated in fish fed antinutrients at 48 days. The
level of expression of IgM increased already at 7 days in fish fed the low
concentration of both saponins and phytosterols while a general up-regulation was
observed at 48 days compared to fish fed the control diet. Results suggest that
feeding seabream a diet with purified saponins and phytosterols, alone or in
combination, induces a number of changes that are related to the development of
inflammation, with most important changes in fish fed the lower phytosterols
concentration.
PMID- 24924099
TI - Reliable determination of training-induced alterations in muscle fiber
composition in human skeletal muscle using quantitative polymerase chain
reaction.
AB - Determination of muscle fiber composition in human skeletal muscle biopsies is
often performed using immunohistochemistry, a method that tends to be both time
consuming, technically challenging, and complicated by limited availability of
tissue. Here, we introduce quantitative reverse transcriptase polymerase chain
reaction (qRT-PCR)-based Gene-family profiling (GeneFam) of myosin heavy chain
(MyHC) mRNA expression as a high-throughput, sensitive, and reliable alternative.
We show that GeneFam and immunohistochemistry result in similar disclosures of
alterations in muscle fiber composition in biopsies from musculus vastus
lateralis and musculus biceps brachii of previously untrained young women after
12 weeks of progressive strength training. The adaptations were evident as (a)
consistent increases in MyHC2A abundance; (b) consistent decreases in MyHC2X
abundance; and (c) consistently stable MyHC1 abundance, and were not found using
traditional reference gene-based qRT-PCR analyses. Furthermore, muscle fiber
composition found using each of the two approaches was correlated with each other
(r = 0.50, 0.74, and 0.78 for MyHC1, A, and X, respectively), suggesting that
GeneFam may be suitable for ranking of individual muscle phenotype, particularly
for MyHC2 fibers. In summary, GeneFam of MyHC mRNA resulted in reliable
assessment of alterations in muscle fiber composition in skeletal muscle of
previously untrained women after 12 weeks of strength training.
PMID- 24924101
TI - Recent patents on novel MCH1 receptor antagonists as potential anti-obesity
drugs.
AB - Today, the 'obesity pandemic' is one of the biggest health issues around the
world. Melanin-concentrating hormone (MCH), a hypothalamic neuropeptide, is one
of the most potent, central stimulators of feeding and it also attenuates energy
expenditure. Inhibitions of the MCH receptor, the melanin-concentrating hormone
receptor-1 (MCHR1), has attracted considerable attention as a potential anti
obesity drug, during the last decade. Now, there are a large number of MCHR1
antagonists, pharmacological tools and clinical drug candidates that can provide
clues to develop new structures with high potency and good pharmacokinetic
profile. The function of MCHR1 in energy homeostasis, obesity, metabolic
syndrome, mood disorders and inflammatory bowel disease is discussed. Relevant
clinical trials and patent background information of the MCHR1 antagonists over
the last 4 years are also reviewed.
PMID- 24924102
TI - Possible physiopathological roles of the transglutaminase activity in the
etiopathogenesis of human neurodegenerative diseases.
AB - Transglutaminases are ubiquitous enzymes which catalyze post-translational
modifications of proteins. The main activity of these enzymes is the cross
linking of glutaminyl residues of a protein/peptide substrate to lysyl residues
of a protein/peptide co-substrate. In addition to lysyl residues, other second
nucleophilic co-substrates may include monoamines or polyamines (to form mono- or
bi-substituted /crosslinked adducts) or -OH groups (to form ester linkages). In
the absence of co-substrates, the nucleophile may be water, resulting in the net
deamidation of the glutaminyl residue. Transglutaminase activity has been
suggested to be involved in molecular mechanisms responsible for both
physiological or pathological processes. For example, neurodegenerative diseases,
such as Alzheimer's Disease, Parkinson's Disease, supranuclear palsy,
Huntington's Disease and other polyglutamine diseases, are characterized in part
by aberrant cerebral transglutaminase activity and by increased cross-linked
proteins in affected brains. This review focuses on the possible molecular
mechanisms responsible for such diseases and on the possible therapeutic effects
of transglutaminase inhibitors for patients with diseases characterized by
aberrant transglutaminase activity.
PMID- 24924100
TI - Social insecurity in relation to orbitofrontal activity in patients with eating
disorders: a near-infrared spectroscopy study.
AB - BACKGROUND: Functional neuroimaging techniques are widely used to elucidate
changes in brain activity, and various questionnaires are used to investigate
psychopathological features in patients with eating disorders (ED). It is well
known that social skills and interpersonal difficulties are strongly associated
with the psychopathology of patients with ED. However, few studies have examined
the association between brain activity and social relationships in patients with
ED, particularly in patients with extremely low body weight. METHODS: In this
study, 22-channel near-infrared spectroscopy was used to quantify regional
hemodynamic changes during a letter fluency task (LFT) in 20 female patients with
ED with a mean body mass index of 14.0 kg/m(2) and 31 female controls (CTLs).
Symptoms were assessed using the Eating Disorder Inventory-2 and Beck Depression
Inventory. We hypothesized that frontal activity in patients with ED would be
lower than in CTLs and would show different correlations with psychopathological
features compared with CTLs. RESULTS: The LFT performance and score on the social
insecurity subscale of the Eating Disorder Inventory-2 were significantly higher
in the ED group than in the CTL group. The mean change in oxygenated hemoglobin
(oxy-Hb) in bilateral frontal regions during the LFT was significantly smaller in
the ED group than in the CTL group. Social insecurity score was positively
correlated with the concentration of oxy-Hb in the bilateral orbitofrontal cortex
in the ED group but not in the CTL group. CONCLUSIONS: These results suggest that
activity of the orbitofrontal cortex is associated with social insecurity and
disturbed in patients with ED. Therefore, disturbed orbitofrontal cortex activity
may underlie the lack of insight and social isolation that is characteristic of
patients with ED.
PMID- 24924103
TI - New General Medical Council language checks to be introduced in the summer. How
will radiology reports fare?
PMID- 24924104
TI - [Presentation of primary thyroid tuberculosis as a sub-acute thyroid abscess. A
case report].
PMID- 24924105
TI - [Not Available].
AB - One of the most striking features of cave fishes is their pronounced ocular
regression. They are, however, perfectly adapted since they multiply and display
the behaviour patterns which are biologically important in their surroundings. It
is therefore of interest to study the relative role of visual factors in the
corresponding behaviour patterns in related epigean species. Other authors have
previously demonstrated the dominant role of chemical stimuli in the releasing of
sexual behaviour patterns in M. sphenops, of which some forms are cavernicolous.
In M. velifera and M. latipinna, on the contrary, visual factors seem more
important. The releasers of the aggressive behaviour patterns of these three
species seem to be predominantly visual. In the present study, the importance of
visual factors has been verified experimentally in M. velifera by comparing the
observed behavioural differences in experimentally blinded individuals and normal
controls. The results of the pre-experimental phase show that there is no
difference between these two groups before blinding, neither in the frequency of
the patterns, nor in their numerical values. The results of the first
experimental phase confirm the importance of visual releasers in the sexual
behaviour patterns of M. velifera. Thus, sexual approaches, mouth-abdomen
contacts and copulation attempts are totally absent in blinded pairs. Sexual
motivation however is still present since the gonopodal flexions are equally
frequent in both groups. On the other hand, it seems that aggressive behaviour
patterns are maintained in blinded individuals but only when the partners are
very close to each other or when an actual contact has taken place. The
comparison of pairs in which only one of the partners is blinded (second
experimental phase), as well as results of the first phase, suggest that
experimental blinding does not cause hormonal changes liable to influence the
observed patterns. In addition to this, the role of the ? in courtship has been
specified. When a ? individual is intact and receptive, it will initiate
courtship when it is placed in the company of an inactive blinded partner.
PMID- 24924106
TI - The response of willow grouse chicks to auditory stimuli 1. Preference for hen
grouse calls.
AB - Willow grouse (Lagopus lagopus lagopus) chicks newly hatched from mechanically
incubated eggs were exposed to different combinations of sounds in a heated
runway. They approached a loudspeaker playing grouse hen calls, which they had
never heard, in preference to one playing the noise of the hatching machine from
which they had just been removed. Similarly they preferred the hen call to
another novel sound. When the three sounds were played singly instead of in
pairs, only the hen call was approached.
PMID- 24924107
TI - Catecholamine levels in whole brain of stressed and control domestic and wild
rats (Rattus Norvegicus).
AB - Whole brain catecholamine (dopamine, nonadrenaline, adrenaline) levels were
measured in control and electric footshocked Wild and domestic (Sprague Dawley
and Long Evans) rats. No significant differences were found among the three
strains of rats for combined total catecholamine content, or for combined total
catecholamines between the control and footshocked groups. Significant
differences were found for the total of each catecholamine taken separately,
dopamine being present at three times the level of noradrenaline and ten times
the level of adrenaline. No significant differences were found for dopamine in
both control and footshocked animals among all three rat strains. Noradrenaline
was significantly higher in the control domestic rats compared to the control
Wild rats, and in the footshocked domestic rats compared to the footshocked Wild
rats. No differences in noradrenaline levels were found between Sprague Dawley
and Long Evans rats, but noradrenaline increased significantly in the latter
following footshock. Adrenaline was significantly highest in the Sprague Dawley
controls and lowest in the Wild controls. Footshocking resulted in almost
identical levels of adrenaline in the domestic strains and an increase in the F1
Wild strain.
PMID- 24924108
TI - Influence of environmental disturbances on uterine motility during pregnancy and
parturition in rabbit and sheep.
AB - The effect of stressful stimuli on uterine motility during pregnancy and
parturition was studied in sheep and rabbits. The effects of epinephrine and
various alpha- and beta- adrenergic blocking agents were also investigated. By
comparing the results of these experiments, the authors conclude that the
increase in epinephrine level (stress response of the organism) is the direct
cause of the observed changes in uterine motility. Both stress and epinephrine
caused either an activation or an inhibition of uterine motility. The direction
of the effect depended on the ratio of sex-steroid concentrations in the plasma.
Blood plasma levels of oestradiol-17beta and of progesterone were determined in
the sheep. Both stress and epinephrine inhibited uterine motility only when
plasma levels of oestradiol-17beta were very high (oestrogen-domination). The
biological relevance and clinical implications are discussed.
PMID- 24924109
TI - Responsiveness to "social" releasers in cattle. I. A study of the differential
and additive effects of visual and sound stimuli, with special reference to the
law of heterogeneous summation.
AB - Two experiments (Exp.1, Exp.2) were carried out to investigate the effects of
social releasers, i.e. models and calls of conspecifics, on the approach
responses of Friesian-Dutch heifers. In Exp.1, models consisted of either a vague
representation of a conspecific's shape, or of a life-sized photograph of a
conspecific. Sound (S) stimulations (calls) and movement (M) were combined to
these visual (V) models. In Exp.2, V models and S stimulations were presented
either separately or in combination. Models consisted of a ? and of a ? life
sized photograph and S stimulations consisted of recorded calls of ?? or of ??
conspecifics. In Exp.1, a significant increase in responsiveness was found after
addition of sound S to the V models, especially to the photographs. Adding S to V
models resulted in a much higher increase in responsiveness than adding M to V
models. An additive effect was also found in Exp.2: combined presentations of V
and S increased significantly the number of responses and of responding subjects
as compared to either V or S separate presentations. In both Exp.1 and Exp.2, the
total number of responses was a little more than twice as high for combined
presentation of V and S as it was for V separate presentations, or for S separate
presentations (Exp.2). In Exp.2, a complete verification of Seitz's law of
heterogeneous summation of the stimuli was attempted by a further comparison,
demonstrating that the releasing effect of V and S presented in combination
(simultaneously) was equivalent to the number of responses found after addition
of the partial effects of V and S presented separately (successively).
PMID- 24924111
TI - Abecedarium: Who am I? T'....
PMID- 24924112
TI - Sudden bilateral hearing loss revealing polyarteritis nodosa.
PMID- 24924113
TI - Hearing screening by community physicians in under-2 year-olds.
AB - The efficacy of neonatal screening in lowering age at diagnosis and treatment in
congenital hearing loss should not mask the limitations due to the fact that
hearing loss often appears or is detected after birth. Early diagnosis of
secondary or progressive postnatal hearing loss is nevertheless necessary and
justifies systematic screening of hearing disorder in all children seen in
consultation, for whatever reason. Screening bilateral hearing loss in under-2
year-olds in a community context is feasible, using simple means: parent
interview, clinical observation, and acoumetry using the voice and sound-emitting
toys. In case of abnormality detected or suspected on screening, children of
whatever age should be referred to an ENT specialist for complete audiometric
assessment. Behavioural audiometry is feasible in very young children, and
indispensable to diagnosis. The parents will only be reassured after specialized
hearing examinations have been performed.
PMID- 24924115
TI - Abecedarium: Who am I? S'....
PMID- 24924114
TI - Dysphagia after radiotherapy: state of the art and prevention.
AB - Adjuvant radiotherapy after surgery or exclusive radiotherapy, with or without
concurrent chemotherapy is a valuable treatment option in the great majority of
patients with head and neck cancer. Recent technical progress in radiotherapy has
resulted in a decreased incidence of xerostomia. Another common toxicity of
radiotherapy is dysphagia, which alters the nutritional status and quality of
life of patients in remission. The objective of this review is to describe the
physiology of swallowing function, the pathophysiology of radiation-induced
dysphagia and the various strategies currently available to prevent this
complication.
PMID- 24924116
TI - Abecedarium: Who am I? R' ....
PMID- 24924118
TI - Detection of glutamate and acetylcholine with organic electrochemical transistors
based on conducting polymer/platinum nanoparticle composites.
AB - The aim of the study is to open a new scope for organic electrochemical
transistors based on PEDOT:PSS, a material blend known for its stability and
reliability. These devices can leverage molecular electrocatalysis by
incorporating small amounts of nano-catalyst during the transistor manufacturing
(spin coating). This methodology is very simple to implement using the know-how
of nanochemistry and results in efficient enzymatic activity transduction, in
this case utilizing choline oxidase and glutamate oxidase.
PMID- 24924117
TI - Comparison of early hearing outcomes of type 2 ossiculoplasty using
hydroxyapatite bone cement versus other materials.
AB - OBJECTIVES: To compare the hearing outcomes of type 2 ossiculoplasties for
erosion of the long process of the incus according to the reconstruction material
used: cartilage, ossicles, hydroxyapatite (HAP) partial ossiculoplasty
reconstruction prostheses (PORP), titanium PORP, and HAP cement. Complications
related to cement reconstruction were systematically investigated and reported.
MATERIAL AND METHODS: Seventy patients operated between 2007 and 2011 for non
cholesteatomatous chronic otitis media were included in this study. Mean air-bone
gap (500, 1000, 2000 and 4000Hz) was compared preoperatively and 3 months
postoperatively according to the reconstruction material used. Postoperative
results were classified as good (air-bone gap<10dB), acceptable (air-bone gap 10
20dB) or insufficient (air-bone gap>20dB) and were compared according to the
reconstruction material used. RESULTS: Groups were comparable in terms of
preoperative air-bone gap (P>0.05, Anova). The mean postoperative air-bone gap
was 14.82+/-11.52dB in the cartilage group, 13.31+/-9.03dB in the ossicles group,
22.12+/-11.95dB in the HAP PORP group, 13.75+/-11.20dB in the titanium PORP
group, and 7.26+/-8.99dB in the HAP cement group. Statistical analysis showed a
significant air-bone gap difference only between HAP PORP and HAP cement groups
(P=0.021, Tukey's test). No significant difference was observed between groups
when classified by air-bone gap class (P=0.29, Fisher's test). No major
complication was reported with HAP cement with a minimum follow-up of 10 months.
CONCLUSION: HAP cement provides similar hearing outcomes to autologous material
and titanium PORP, and better outcomes than HAP PORP in our patients. These
results must be confirmed in a larger series with a longer follow-up.
PMID- 24924119
TI - EMA policy on transparency is "strikingly" similar to deal struck with drug
company, say experts.
PMID- 24924121
TI - Activation of benign autoimmunity as both tumor and autoimmune disease
immunotherapy: a comprehensive review.
AB - Here, I consider how benign autoimmunity, the immunological homunculus, can be
used to reinstate the healthy regulation of inflammation in both autoimmune
diseases and in tumor immunotherapy. Different autoimmune diseases manifest
clinically distinct phenotypes, but, in general, they all result from the
transition of benign, healthy recognition of key body molecules into a damaging
effector reaction. Tumors, in contrast to autoimmune diseases, grow by subverting
the immune system into supporting and protecting the growing tumor from immune
surveillance. Therefore our therapeutic aim in autoimmune disease is to induce
the immune system to down-regulate the specific autoimmune effector reaction that
causes the disease; in tumor immunotherapy, on the contrary, we aim to deprive
the growing tumor of its illicit activation of immune suppression and to unleash
an autoimmune disease targeted to the tumor. The recent success of anti-PD1 and
anti-CTLR4 treatments exemplify the reinstatement of tumor autoimmunity
subsequent to inhibition of immune suppression. With regard to the therapy of
autoimmune diseases, I cite examples of immune system down-regulation of
autoimmune diseases by T cell vaccination or HSP60 peptide treatment. Inducing
the immune system to regulate itself is safer than global immune suppression and
may be more effective in the long run.
PMID- 24924120
TI - Cytokine networks that mediate epithelial cell-macrophage crosstalk in the
mammary gland: implications for development and cancer.
AB - Dynamic interactions between the hormone responsive mammary gland epithelium and
surrounding stromal macrophage populations are critical for normal development
and function of the mammary gland. Macrophages are versatile cells capable of
diverse roles in mammary gland development and maintenance of homeostasis, and
their function is highly dependent on signals within the local cytokine
microenvironment. The mammary epithelium secretes a number of cytokines,
including colony stimulating factor 1 (CSF1), transforming growth factor beta 1
(TGFB1), and chemokine ligand 2 (CCL2) that affect the abundance, phenotype and
function of macrophages. However, aberrations in these interactions have been
found to increase the risk of tumour formation, and utilisation of stromal
macrophage support by tumours can increase the invasive and metastatic potential
of the cancer. Studies utilising genetically modified mouse models have shed
light on the significance of epithelial cell-macrophage crosstalk, and the
cytokines that mediate this communication, in mammary gland development and
tumourigenesis. This article reviews the current status of our understanding of
the roles of epithelial cell-derived cytokines in mammary gland development and
cancer, with a focus on the crosstalk between epithelial cells and the local
macrophage population.
PMID- 24924122
TI - The non-canonical Wnt ligand, Wnt5a, is upregulated and associated with
epithelial to mesenchymal transition in epithelial ovarian cancer.
AB - OBJECTIVE: Aberrant Wnt signalling has previously been associated with
gynaecological cancers, and the aim of this study was to investigate the
expression of Wnt5a in epithelial ovarian cancer, and clarify its role in
activating or inhibiting beta-catenin dependent and independent Wnt signalling
pathways. METHOD: Wnt5a expression was investigated in a large cohort of
epithelial ovarian cancer patient samples using immunohistochemistry and
correlated with clinicopathological variables. Wnt5a function was investigated in
vitro in ovarian cell lines. RESULTS: Wnt5a expression was found to be
upregulated in all major subtypes (serous, endometrioid, clear cell and mucinous)
of epithelial ovarian cancer compared to borderline tumours and benign controls.
Treatment of ovarian surface epithelial cells with recombinant Wnt5a decreased
cell adhesion and was associated with increased epithelial to mesenchymal
transition (EMT). In addition, downstream targets of beta-catenin dependent Wnt
signalling were inhibited, and beta-catenin independent targets increased
following Wnt5a upregulation. Knockdown of Wnt5a in ovarian cancer cells was
associated with a mesenchymal to epithelial transition (MET), but had no
significant effect on cell migration or proliferation. CONCLUSION: This study
adds to the increasing evidence that Wnt signalling may play an important role in
ovarian cancer development. Utilising an unparalleled large cohort of 623
patients, Wnt5a protein expression was shown to be significantly higher in
ovarian cancer patients when compared to benign and borderline ovarian tumours
and healthy control patients. In addition, we have utilised in vitro models to
show for the first time in ovarian cancer that Wnt5a driven non-canonical
pathways can alter epithelial to mesenchymal transition (EMT).
PMID- 24924123
TI - A nationwide study of serous "borderline" ovarian tumors in Denmark 1978-2002:
centralized pathology review and overall survival compared with the general
population.
AB - OBJECTIVE: To describe the study population and estimate overall survival of
women with a serous "borderline" ovarian tumor (SBT) in Denmark over 25 years
relative to the general population. METHODS: The Danish Pathology Data Bank and
the Danish Cancer Registry were used to identify 1487 women diagnosed with SBTs
from 1978 to 2002. The histologic slides were collected from Danish pathology
departments and reviewed by expert pathologists and classified as SBT/atypical
proliferative serous tumor (APST) or noninvasive low-grade serous carcinoma
(LGSC). Associated implants were classified as noninvasive or invasive. Medical
records were collected from hospital departments and reviewed. Data were analyzed
using Kaplan-Meier and relative survival was estimated with follow-up through
September 2, 2013. RESULTS: A cohort of 1042 women with a confirmed SBT diagnosis
was identified. Women with stage I had an overall survival similar to the overall
survival expected from the general population (p=0.3), whereas women with
advanced stage disease had a poorer one (p<0.0001). This was evident both in
women with noninvasive (p<0.0001) and invasive implants (p<0.0001). Only among
women with advanced stage, overall survival of women with SBT/APST (p<0.0001) and
noninvasive LGSC (p<0.0001) was poorer than expected from the general population.
CONCLUSIONS: To date this is the largest nationwide cohort of SBTs where all
tumors have been verified by expert pathologists. Only in women with advanced
stage SBT, overall survival is poorer than in the general population which
applies both to women with noninvasive and invasive implants as well as to women
with SBT/APST and noninvasive LGSC.
PMID- 24924124
TI - Soluble epoxide hydrolase inhibition is antinociceptive in a mouse model of
diabetic neuropathy.
AB - Neuropathic pain is currently an insufficiently treated clinical condition. There
remains a critical need for efficacious therapies without severe side effects to
treat the uniquely persistent and tonic pain of neuropathy. Inhibitors of the
soluble epoxide hydrolase (sEH) enzyme that stabilize endogenous epoxy fatty
acids have demonstrated antihyperalgesia in clinical chronic inflammatory pain
and modeled neuropathic pain. Recently, the conditioned place preference assay
has been used to evaluate the tonic nature of neuropathy in several animal
models. The current experiments use the conditioned place preference assay
alongside withdrawal thresholds to investigate the antihyperalgesic efficacy of
sEH inhibitors in a murine model of diabetic neuropathy. Here, the sEH inhibitor
trans-4-[4-(3-trifluoromethoxyphenyl-1-ureido)-cyclohexyloxy]-benzoic acid (t
TUCB) at 10 mg/kg induced a robust place preference in diabetic neuropathic mice
representative of pain relief. Importantly, this effect was absent both in
control mice and in sEH-knockout mice at the same dose, indicating that t-TUCB is
not positively reinforcing or rewarding. When compared to gabapentin, t-TUCB
elicited a similar degree of withdrawal threshold improvement without the same
degree of spontaneous locomotion decline in neuropathic mice. Overall, these
experiments show that inhibiting the sEH enzyme attenuates chronic pain and
offers an alternative to current side-effect-limited therapies to meet this
clinical need. PERSPECTIVE: These experiments demonstrate antihyperalgesia in a
murine chronic pain model mediated by inhibiting the sEH enzyme. The results of
this study indicate that inhibiting the sEH is a promising alternative for
blocking chronic pain.
PMID- 24924125
TI - Methods to measure cytoplasmic and mitochondrial Ca(2+) concentration using
Ca(2+)-sensitive dyes.
AB - Ca(2+) is a ubiquitous second messenger that is involved in regulation of various
signaling pathways. Cytoplasmic Ca(2+) is maintained at low concentrations (~100
nM) by many active mechanisms. Increases in intracellular Ca(2+) concentration
([Ca(2+)]i) indeed can initiate multiple signaling pathways, depending both on
their pattern and subcellular localization. In T cells, the stimulation of T-cell
receptor leads to an increase in [Ca(2+)]i upon the opening of Ca(2+) release
activated calcium (CRAC) channels. T cells can actually sustain high [Ca(2+)]i
for several hours, resulting in the activation of transcriptional programs
orchestrated by members of the nuclear factor of activated T-cell (NFAT) protein
family. Here, we describe an imaging method widely employed to measure
cytoplasmic [Ca(2+)] in naive and effector T cells based on the ratiometric dye
Fura-2. Furthermore, we discuss a pharmacological method relying on an inhibitor
of CRAC channels, 2-aminoethyldiphenyl borate, to validate the role of CRAC
channels in cytoplasmic Ca(2+) elevation. Finally, we describe an approach to
measure mitochondrial [Ca(2+)] based on another fluorescent dye, Rhod-2. With
appropriate variations, our methodological approach can be employed to assess the
effect and regulation of cytosolic and mitochondrial Ca(2+) waves in multiple
experimental settings, including cultured cancer cells.
PMID- 24924126
TI - Methods to measure intracellular Ca(2+) fluxes with organelle-targeted aequorin
based probes.
AB - The photoprotein aequorin generates blue light upon binding of Ca(2+) ions.
Together with its very low Ca(2+)-buffering capacity and the possibility to add
specific targeting sequences, this property has rendered aequorin particularly
suitable to monitor Ca(2+) concentrations in specific subcellular compartments.
Recently, a new generation of genetically encoded Ca(2+) probes has been
developed by fusing Ca(2+)-responsive elements with the green fluorescent protein
(GFP). Aequorin has also been employed to this aim, resulting in an aequorin-GFP
chimera with the Ca(2+) sensitivity of aequorin and the fluorescent properties of
GFP. This setup has actually solved the major limitation of aequorin, for
example, its poor ability to emit light, which rendered it inappropriate for the
monitoring of Ca(2+) waves at the single-cell level by imaging. In spite of the
numerous genetically encoded Ca(2+) indicators that are currently available,
aequorin-based probes remain the method of election when an accurate
quantification of Ca(2+) levels is required. Here, we describe currently
available aequorin variants and their use for monitoring Ca(2+) waves in specific
subcellular compartments. Among various applications, this method is relevant for
the study of the alterations of Ca(2+) homeostasis that accompany oncogenesis,
tumor progression, and response to therapy.
PMID- 24924127
TI - Measuring baseline Ca(2+) levels in subcellular compartments using genetically
engineered fluorescent indicators.
AB - Intracellular Ca(2+) signaling is involved in a series of physiological and
pathological processes. In particular, an intimate crosstalk between bioenergetic
metabolism and Ca(2+) homeostasis has been shown to determine cell fate in
resting conditions as well as in response to stress. The endoplasmic reticulum
and mitochondria represent key hubs of cellular metabolism and Ca(2+) signaling.
However, it has been challenging to specifically detect highly localized Ca(2+)
fluxes such as those bridging these two organelles. To circumvent this issue,
various recombinant Ca(2+) indicators that can be targeted to specific
subcellular compartments have been developed over the past two decades. While the
use of these probes for measuring agonist-induced Ca(2+) signals in various
organelles has been extensively described, the assessment of basal Ca(2+)
concentrations within specific organelles is often disregarded, in spite of the
fact that this parameter is vital for several metabolic functions, including the
enzymatic activity of mitochondrial dehydrogenases of the Krebs cycle and protein
folding in the endoplasmic reticulum. Here, we provide an overview on genetically
engineered, organelle-targeted fluorescent Ca(2+) probes and outline their
evolution. Moreover, we describe recently developed protocols to quantify
baseline Ca(2+) concentrations in specific subcellular compartments. Among
several applications, this method is suitable for assessing how changes in basal
Ca(2+) levels affect the metabolic profile of cancer cells.
PMID- 24924128
TI - Autophagy and autophagic flux in tumor cells.
AB - Macroautophagy (hereafter referred to as autophagy), a central mechanism
mediating the lysosomal degradation of cytoplasmic components, can be stimulated
by a wide panel of adverse stimuli, including a panoply of anticancer agents. The
central autophagic organelle is the autophagosome, a double membrane-bound
vacuole that sequesters the cytoplasmic material destined to disposal. The
ultimate destiny of the autophagosome is to fuse with a lysosome, resulting in
the degradation of the autophagic cargo. In this setting, it is important to
discriminate whether a particular stimulus actually promotes autophagy or it
simply blocks the fusion of autophagosomes with lysosomes. To this aim, the
methods that assess autophagy should assess not only the number of autophagosomes
but also the so-called autophagic flux, that is, the clearance of the autophagy
cargo from the lysosomal compartment. Here, we present a compendium of methods to
assess the autophagic flux in cultured malignant cells. This approach should
allow for the study of the intimate link between autophagy and oncometabolism in
several experimental paradigms.
PMID- 24924129
TI - Methods to assess autophagy in situ--transmission electron microscopy versus
immunohistochemistry.
AB - Autophagy is a well-conserved lysosomal degradation pathway that plays a major
role in both oncogenesis and tumor progression. Transmission electron microscopy
(TEM) as well as immunohistochemistry are indispensable tools for the evaluation
of autophagy in situ. Here, we describe an optimized protocol for the study of
autophagic vacuoles by TEM and elaborate on the immunohistochemical detection of
microtubule-associated protein 1 light chain (MAP1LC3, best known as LC3), which
is currently considered as one of the most reliable markers of the autophagic
process. The advantages, potential pitfalls, and limitations of these methods, as
well as their value in the field of autophagy and oncometabolism research are
discussed. Overall, we recommend a combined use of different techniques including
TEM, immunohistochemistry, and molecular approaches (such as immunoblotting) for
the unambiguous detection of autophagy in malignant as well as in normal tissues.
PMID- 24924130
TI - Methods to measure the enzymatic activity of PI3Ks.
AB - Phosphoinositide-3-kinase (PI3K) signaling has been implicated in a panoply of
cellular responses including survival, proliferation, protein synthesis,
migration, and vesicular trafficking. In addition, alterations in the enzymatic
activity of PI3Ks have been involved in the pathogenesis of multiple diseases,
ranging from cancer to chronic inflammation. The emerging interest in PI3K as a
pharmacological target has prompted the development of several molecules with
inhibitory activity. In this context, the quantification of the second messenger
generated by PI3Ks, phosphoinositide-3-phosphate, offers an opportunity to
directly test variations in the lipid kinase activity of PI3K in physiological as
well as pathological conditions. Here, we will describe common methods to measure
the lipid kinase activity of PI3K in vitro and new techniques to follow the
production of phosphoinositide-3-phosphate in vivo. These methods are relevant to
study the alterations of the PI3K systems at the interface between signaling and
oncometabolism.
PMID- 24924131
TI - Luciferase-based reporter to monitor the transcriptional activity of the SIRT3
promoter.
AB - Sirtuin 3 (SIRT3) is a major regulator of oncometabolism. Indeed, the activity of
SIRT3 significantly affects the response to oxidative stress, glycolytic
proficiency, and tumorigenic potential of malignant cells. Thus, a system to
accurately measure the transcriptional activity of the SIRT3 promoter could
facilitate the identification of novel antineoplastic agents or have diagnostic
applications. Here, we describe all the steps involved in the development of a
luciferase-based reporter system to measure the activation of the human SIRT3
promoter, encompassing the design of appropriate primers, the cloning of the
promoter fragment, and its site-directed mutagenesis. We validated this system in
human embryonic kidney 293T cells, taking advantage of the renowned ability of
the transcription factor estrogen-related receptor alpha to transactivate SIRT3.
Moreover, here we demonstrate that SIRT3 expression is responsive to rapamycin, a
small inhibitor of mammalian target of rapamycin that has been extensively
employed as a caloric restriction mimetic. Finally, we provide an overview of the
complementary molecular biology techniques that might be employed to further
verify the reliability of this system.
PMID- 24924132
TI - Metabolomic profiling of cultured cancer cells.
AB - Quantitative proteomics approaches have been developed-and now begin to be
implemented on a high-throughput basis-to fill-in the large gap between the
genomic/transcriptomic setup of (cancer) cells and their phenotypic/behavioral
traits, reflecting a significant degree of posttranscriptional regulation in gene
expression as well as a robust posttranslational regulation of protein function.
However, proteomic profiling assays not only fail to detect labile
posttranslational modifications as well as unstable protein-to-protein
interactions but also are intrinsically incapable of assessing the enzymatic
activity, as opposed to the mere abundance, of a given protein. Thus, determining
the abundance of theoretically all the metabolites contained in a
cell/tissue/organ/organism may significantly improve the informational value of
proteomic approaches. Several techniques have been developed to this aim,
including high-performance liquid chromatography (HPLC) coupled to quadrupole
time-of-flight (Q-TOF) high-resolution mass spectrometry (HRMS). This approach is
particularly advantageous for metabolomic profiling as it offers elevated
accuracy and improved sensitivity. Here, we describe a simple procedure to
determine the complete complement of intracellular metabolites in cultured
malignant cells by HPLC coupled to Q-TOF HRMS. According to this method, (1)
cells are collected and processed to minimize contaminations as well as
fluctuations in their metabolic profile; (2) samples are separated by HPLC and
analyzed on a Q-TOF spectrometer; and (3) data are extracted, normalized, and
deconvoluted according to refined mathematical methods. This protocol constitutes
a simple approach to determine the intracellular metabolomic profile of cultured
cancer cells. With minimal variations (mostly related to sample collection and
processing), this method is expected to provide reliable metabolomic data on a
variety of cellular samples.
PMID- 24924133
TI - Pulsed stable isotope-resolved metabolomic studies of cancer cells.
AB - Metabolic reprogramming is a key step in oncogenic transformation, and it
involves alterations in both bioenergetic and anabolic metabolism. Sustained by
these metabolic alterations, malignant cells acquire the ability to re-enter the
cell cycle and proliferate. The so-called central carbon metabolism (CCM) is the
ultimate source for energy and building blocks enabling cellular growth and
proliferation. The time-resolved monitoring of the conversion of stable isotope
labeled metabolites provides profound insights into the metabolic dynamics of
malignant cells and enables the tracking of individual carbon routes within the
CCM. Specifically, the analysis of isotope incorporation rates within short time
frames by means of pulsed stable isotope-resolved metabolomics (pSIRM) can be
used to determine the dynamics of glycolysis and glutaminolysis-two metabolic
circuitries that are often deregulated in malignant cells. Here, we detail a
pSIRM-based method that can be applied to the study of metabolic alteration in
cultured cancer cells.
PMID- 24924134
TI - Single-cell imaging for the study of oncometabolism.
AB - Metabolic profiling is commonly employed to investigate the global metabolic
alterations of malignant cells or tissues. In the latter setting, neoplastic
lesions are separated from adjacent, healthy tissues and their metabolites are
quantified upon a chromatographic run coupled to mass spectrometry. Changes in
the abundance of specific metabolites are then mapped on metabolic networks and
the underlying metabolic circuitries are investigated as potential targets for
the development of novel anticancer drugs. This approach, however, does not take
into account the intrinsic heterogeneity of neoplastic lesions, which contain a
large amount of non-transformed cells. To circumvent this issue, techniques have
been developed that allow for the imaging of metabolites at the single-cell
level. Here, we summarize established protocols that are suitable for imaging
metabolites in animal cells (be them malignant or not) as well as in plant and
prokaryotic cells. These methods are relevant for the study of the metabolic
alterations that accompany oncogenesis and tumor progression.
PMID- 24924135
TI - Study of cellular oncometabolism via multidimensional protein identification
technology.
AB - Cellular proteomics is becoming a widespread clinical application, matching the
definition of bench-to-bedside translation. Among various fields of
investigation, this approach can be applied to the study of the metabolic
alterations that accompany oncogenesis and tumor progression, which are globally
referred to as oncometabolism. Here, we describe a multidimensional protein
identification technology (MuDPIT)-based strategy that can be employed to study
the cellular proteome of malignant cells and tissues. This method has previously
been shown to be compatible with the reproducible, in-depth analysis of up to a
thousand proteins in clinical samples. The possibility to employ this technique
to study clinical specimens demonstrates its robustness. MuDPIT is advantageous
as compared to other approaches because it is direct, highly sensitive, and
reproducible, it provides high resolution with ultra-high mass accuracy, it
allows for relative quantifications, and it is compatible with multiplexing (thus
limiting costs).This method enables the direct assessment of the proteomic
profile of neoplastic cells and tissues and could be employed in the near future
as a high-throughput, rapid, quantitative, and cost-effective screening platform
for clinical samples.
PMID- 24924136
TI - In vivo quantitative proteomics for the study of oncometabolism.
AB - The active reprograming of cellular metabolism is a primary driver of oncogenesis
and a hallmark of established neoplastic lesions. Much of this reprogramming
depends on the expression levels and posttranslational modifications (PTMs) of
metabolic enzymes. Stable isotope labeling of amino acids in culture (SILAC) is
an amino acid-based labeling technique that can be used both in vitro and in vivo
to comparatively assess the levels and PTMs of proteins. To this aim, SILAC
labeled cell lysates can be spiked into each sample as a standard, followed by
the analysis of specimens by mass spectrometry (MS). Combined with appropriate
protocols for the lysis and preparation of samples for MS, this technique allows
for the accurate and in-depth quantification of the proteome of a wide variety of
cell and tissue samples. In particular, SILAC can be employed to infer the
metabolic state of neoplastic lesions and obtain a profound understanding of the
proteomic alterations that accompany oncogenesis and tumor progression. Here, we
describe a proteomic approach based on SILAC, high-resolution chromatography and
high-accuracy MS for comparing levels and phosphorylation status of proteins
between the samples of interest. This method can be applied not only to the
proteomic study of oncometabolism in murine tissues, but also to the study of
cellular samples and human specimens.
PMID- 24924138
TI - Metabolomic profiling of tumor-bearing mice.
AB - Metabolomics is one of the newcomers among the "omics" techniques, perhaps also
constituting the most relevant for the study of pathophysiological conditions.
Metabolomics may indeed yield not only disease-specific biomarkers but also
profound insights into the etiology and progression of a variety of human
disorders. Various metabolomic approaches are currently available to study
oncogenesis and tumor progression in vivo, in murine tumor models. Many of these
models rely on the xenograft of human cancer cells into immunocompromised mice.
Understanding how the metabolism of these cells evolves in vivo is critical to
evaluate the actual pertinence of xenograft models to human pathology. Here, we
discuss various tumor xenograft models and methods for their metabolomic
profiling to provide a short guide to investigators interested in this field of
research.
PMID- 24924137
TI - Metabolomic profiling of neoplastic lesions in mice.
AB - Most cancers develop upon the accumulation of genetic alterations that provoke
and sustain the transformed phenotype. Several metabolomic approaches now allow
for the global assessment of intermediate metabolites, generating profound
insights into the metabolic rewiring associated with malignant transformation.
The metabolomic profiling of neoplastic lesions growing in mice, irrespective of
their origin, can provide invaluable information on the mechanisms underlying
oncogenesis, tumor progression, and response to therapy. Moreover, the
metabolomic profiling of tumors growing in mice may result in the identification
of novel diagnostic or prognostic biomarkers, which is of great clinical
significance. Several methods can be applied to the metabolomic profiling of
neoplastic lesions in mice, including mass spectrometry-based techniques (e.g.,
gas chromatography-, capillary electrophoresis-, or liquid chromatography-coupled
mass spectrometry) as well as nuclear magnetic resonance. Here, we compare and
discuss the advantages and disadvantages of all these techniques to provide a
concise and reliable guide for readers interested in this active area of
investigation.
PMID- 24924139
TI - Metabolomic studies of patient material by high-resolution magic angle spinning
nuclear magnetic resonance spectroscopy.
AB - Magic angle spinning nuclear magnetic resonance (MAS-NMR) spectroscopy offers a
convenient means for the rapid determination of metabolic profiles from intact
malignant tissues with high resolution. The implementation of MAS-NMR
spectroscopy requires minimal sample processing, hence being compatible with
complementary histological or biochemical analyses. The metabolites routinely
detected in (1)H MAS-NMR spectra can simultaneously inform on many of the
metabolic alterations that characterize malignant cells, including altered
choline metabolism and the so-called Warburg effect. Clinical MAS-NMR profiles
have been attributed with diagnostic or prognostic value, correlating to disease
subtype, tumor stage/grade, response to chemotherapy, and patient survival.
Herein, the scientific rationale behind MAS-NMR and its utility for translational
cancer research and patient stratification is summarized. Moreover, a basic
protocol for the analysis of tumor samples by MAS-NMR spectroscopy is detailed.
PMID- 24924140
TI - Analysis of metabolomic profiling data acquired on GC-MS.
AB - Gas chromatography-mass spectrometry (GC-MS) is one of the three most popular
analytical platforms for metabolomics and is largely employed for the study of
oncometabolism. Large volumes of data are usually generated in a GC-MS
experiment, and many analytical steps are required to extract biologically
relevant information from GC-MS data. These steps include (1) spectrum
deconvolution, to convert raw data into a peak list; (2) metabolite
identification, to recognize metabolites associated to chromatographic peaks; (3)
quantification, to compare the abundance of a specific metabolite in different
samples; (4) association network analysis, to reveal correlations among the
changes in the abundance of multiple metabolites; and (5) pathway analysis, to
understand the biochemical interrelationship between several metabolites that
vary in a coordinated or differential manner. Here, we describe in detail the
analytical steps that are necessary to interpret a GC-MS dataset.
PMID- 24924141
TI - Preface: oncometabolism: a new field of research with profound therapeutic
implications.
PMID- 24924142
TI - Photoperiodic effects on diapause-associated gene expression trajectories in
European Leptinotarsa decemlineata populations.
AB - Behavioural and physiological changes during diapause, an important strategy of
insects for surviving harsh seasonal conditions, have been intensively studied.
The genetic and molecular mechanisms underpinning diapause development are less
well known. We took a candidate gene approach to study prediapause gene
expression patterns in the Colorado potato beetle (Leptinotarsa decemlineata), an
invasive insect that has rapidly spread northwards to high seasonality
environments. Newly eclosed beetles originating from southern (Italy) and
northern (Russia) Europe were reared under short- [12 h light (L):12 h dark (D)]
and long-day (18L:6D) photoperiods for 10 days. This time period includes the
sensitive period for the photoperiodic induction and initiation of diapause. Gene
expression trajectories of 12 diapause-related genes (regulatory, metabolic and
stress-resistance) were analysed from 0-, 5- and 10-day-old beetles. Gene
expression differences increased with age, deviating significantly between
populations and photoperiods in 10-day-old beetles. The gene expression profiles,
particularly those related to energy metabolism and stress-resistance, indicate
that beetles originating from Russia also prepare for diapause under the long-day
photoperiod and show qualitative differences in the diapausing phenotype. Our
study shows that population-dependent differences seen in behavioural and
physiological traits connected with diapause in L. decemlineata are also evident
in the expression trajectories of diapause-related genes.
PMID- 24924143
TI - A kinase-phosphatase signaling module with BSK8 and BSL2 involved in regulation
of sucrose-phosphate synthase.
AB - External supply of sucrose to carbon-starved Arabidopsis seedlings induced
changes in phosphorylation of Brassinosteroid Signaling Kinase 8 (BSK8) at two
different sites. Serine S(20) lies within a phosphorylation hotspot at the N
terminal region of the protein, while S(213) is located within the kinase domain
of BSK8. Upon sucrose supply phosphorylation of BSK8(S20) and BSK8(S213) showed
opposite behavior with increasing phosphorylation of S(213) and decreased
phosphorylation of S(20) at 5 min after sucrose supply. Here we aim to
systematically analyze the effects of BSK8 mutations on downstream cellular
regulatory events and characterize molecular functions of BSK8 and its
phosphorylation. Comparative phosphoproteomic profiling of a bsk8 knockout mutant
and wild type revealed potential targets in sucrose metabolism. Activity of
sucrose-phosphate synthase (SPS) was decreased by phosphorylation at S(152), and
SPS phosphorylation inversely correlated with sucrose-induced BSK8 activity.
Furthermore, BSK8 was found to interact with BSL2, a Kelch-type phosphatase. On
the basis of a combination of kinase activity measurements, SPS activity assays,
and phosphorylation site mutations in BSK8 at S(20) and S(213), we conclude that
regulation of SPS by BSK8 occurs through activation of a phosphatase that in turn
may dephosphorylate SPS and thus activates the enzyme.
PMID- 24924144
TI - Sensing the neuronal glycocalyx by glial sialic acid binding immunoglobulin-like
lectins.
AB - Sialic acid binding immunoglobulin-like lectins (Siglecs) are cell surface
receptors of microglia and oligodendrocytes that recognize the sialic acid cap of
healthy neurons and neighboring glial cells. Upon ligand binding, Siglecs
typically signal through an immunoreceptor tyrosine-based inhibition motif (ITIM)
to keep the cell in a homeostatic status and support healthy neighboring cells.
Siglecs can be divided into two groups; the first, being conserved among
different species. The conserved Siglec-4/myelin-associated glycoprotein is
expressed on oligodendrocytes and Schwann cells. Siglec-4 protects neurons from
acute toxicity via interaction with sialic acids bound to neuronal gangliosides.
The second group of Siglecs, named CD33-related Siglecs, is almost exclusively
expressed on immune cells and is highly variable among different species.
Microglial expression of Siglec-11 is human lineage-specific and prevents
neurotoxicity via interaction with alpha2.8-linked sialic acid oligomers exposed
on the neuronal glycocalyx. Microglial Siglec-E is a mouse CD33-related Siglec
member that prevents microglial phagocytosis and the associated oxidative burst.
Mouse Siglec-E of microglia binds to alpha2.8- and alpha2.3-linked sialic acid
residues of the healthy glycocalyx of neuronal and glial cells. Recently,
polymorphisms of the human Siglec-3/CD33 were linked to late onset Alzheimer's
disease by genome-wide association studies. Human Siglec-3 is expressed on
microglia and produces inhibitory signaling that decreases uptake of particular
molecules such as amyloid-beta aggregates. Thus, glial ITIM-signaling Siglecs
recognize the intact glycocalyx of neurons and are involved in the modulation of
neuron-glia interaction in healthy and diseased brain.
PMID- 24924145
TI - Iron deficiency status irrespective of anemia: a predictor of unfavorable outcome
in chronic heart failure patients.
AB - OBJECTIVE: To assess the prognostic significance of iron deficiency (ID) in a
chronic heart failure (CHF) outpatient population. METHODS AND RESULTS: We
prospectively evaluated 127 patients with stable CHF and left ventricular
ejection fraction <=45%. Clinical and analytical data as well as information
regarding the occurrence of the composite endpoint of overall mortality and
nonfatal cardiovascular events were assessed. Among the 127 patients enrolled
[81% men, median age: 62 years (25th-75th percentile: 53-68)], 46 (36%) patients
had ID. Women, patients with higher plasma brain natriuretic peptide levels (>400
pg/ml) and with right ventricular systolic dysfunction presented ID more
frequently (p < 0.05 for all). At 225 +/- 139 days of follow-up, the composite
endpoint occurred in 15 (12%) patients. It was more frequent in ID (24 vs. 5%, p
= 0.001) and anemic patients (25 vs. 8%, p = 0.014). In a Cox regression
analysis, ID was associated with a higher likelihood of composite endpoint
occurrence (HR 5.00, 95% CI 1.59-15.78, p = 0.006). In a multivariable analysis
adjusted for clinical variables, including the presence of anemia, ID remained a
significant predictor of the composite endpoint (HR 5.38, 95% CI 1.54-18.87, p =
0.009). CONCLUSION: In a CHF outpatient population, ID carried a higher risk of
unfavorable outcome, irrespectively of the presence of anemia.
PMID- 24924147
TI - Peroxidasins: novel players in tissue genesis.
AB - Stabilization of extracellular matrix by protein crosslinking is a universal and
essential process in multicellular organisms. Recent findings revealed that
peroxidasin, a unique heme-peroxidase, produces hypohalides to support matrix
synthesis. Unexpectedly, the highly reactive and potentially damaging hypohalides
mediate the formation of sulfilimine bonds between adjacent collagen IV
protomers. This crosslink is a fundamental feature of basal membranes, defining
peroxidasin-dependent oxidant generation and sulfilimine crosslink formation as
an elemental mechanism of tissue biogenesis.
PMID- 24924148
TI - Reduced recognition memory is correlated with decrease in DNA methyltransferase1
and increase in histone deacetylase2 protein expression in old male mice.
AB - Chromatin modifying enzymes DNA methyltransferases (DNMTs), histone deacetylase
(HDAC) 2 and CREB binding protein (CBP) play a crucial role in memory,
particularly during consolidation process which declines with advancing age.
However, the expression of these enzymes and their effect on memory consolidation
during aging are not clearly understood. In the present study, novel object
recognition test was used to assess the memory consolidation followed by
expression analysis of DNMTs, HDAC2 and CBP in the cerebral cortex and
hippocampus of young, adult and old male mice. Object recognition memory was
reduced in old as compared to young and adult. DNMT1 protein expression was high
in the cerebral cortex and hippocampus of young male mice, but declined gradually
with age. On the other hand, HDAC2 mRNA and protein expression increased in the
hippocampus of old male mice as compared to young and adult. Alteration in the
expression of these enzymes is correlated with reduced recognition memory in old.
PMID- 24924149
TI - Low levels of 1,5-anhydro-D-glucitol are associated with vascular endothelial
dysfunction in type 2 diabetes.
AB - BACKGROUND: Vascular endothelial dysfunction is involved in macrovascular disease
progression in type 2 diabetes mellitus (T2DM). We reported previously that blood
glucose fluctuations, as evaluated by continuous glucose monitoring (CGM),
correlate with vascular endothelial function, serving as a marker of vascular
endothelial function. However, the use of CGM is limited, suggesting the need for
another marker of vascular endothelial function. Here, we investigated the
relationship between vascular endothelial dysfunction and blood levels of 1,5
anhydro-D-glucitol (1,5-AG), a marker of both postprandial hyperglycemia and
fluctuations in blood glucose. METHODS: In 32 inpatients with T2DM and HbA1c less
than 8.0%, the reactive hyperemia index (RHI), an index of vascular endothelial
function, was determined by peripheral arterial tonometry. The relationships
between RHI and 1,5-AG, blood glucose, lipid metabolism markers, and blood
pressure, were examined. RESULTS: There was a strong correlation between 1,5-AG
and natural logarithmic-scaled RHI (L_RHI) (r = 0.55; P = 0.001). However, there
was no correlation between L_RHI and HbA1c, fasting blood glucose, IRI, LDL-C,
HDL-C, TG, systolic blood pressure, or diastolic blood pressure. Multivariate
analysis identified blood 1,5-AG levels to be the only significant and
independent determinant of L_RHI. CONCLUSIONS: In T2DM with HbA1c <8.0%, low 1,5
AG levels were associated with vascular endothelial dysfunction, suggesting it is
a potentially useful marker for vascular endothelial dysfunction. TRIAL
REGISTRATION: UMIN000015317.
PMID- 24924150
TI - A mean field model for competition: from neutral ecology to the Red Queen.
AB - Individual species are distributed inhomogeneously over space and time, yet,
within large communities of species, aggregated patterns of biodiversity seem to
display nearly universal behaviour. Neutral models assume that an individual's
demographic prospects are independent of its species identity. They have
successfully predicted certain static, time-independent patterns. But they have
generally failed to predict temporal patterns, such as species ages or population
dynamics. We construct a new, multispecies framework incorporating competitive
differences between species, and assess the impact of this competition on static
and dynamic patterns of biodiversity. We solve this model exactly for the special
case of a Red Queen hypothesis, where fitter species are continually arising. The
model predicts more realistic species ages than neutral models, without greatly
changing predictions for static species abundance distributions. Our modelling
approach may allow users to incorporate a broad range of ecological mechanisms.
PMID- 24924151
TI - Sequencing and characterization of the transcriptome of half-smooth tongue sole
(Cynoglossus semilaevis).
AB - BACKGROUND: Half-smooth tongue sole (Cynoglossus semilaevis) is a valuable fish
for aquaculture in China. This fish exhibits sexual dimorphism, particularly
different growth rates and body sizes between two genders. Thus, C. semilaevis is
a good model that can be used to investigate mechanisms responsible for such
dimorphism, this model can also be utilized to answer fundamental questions in
evolution and applied fields of aquaculture. Hence, advances in second-generation
sequencing technology, such as 454 pyrosequencing, could provide a robust tool to
study the genome characteristics of non-model species. RESULTS: In this study, C.
semilaevis was subjected to de novo transcriptome sequencing and
characterization. A total of 749,954 reads were generated using a single 454
sequencing run in a full PicoTiter plate. These reads were then assembled into
62,632 contigs with a 10-fold average sequencing coverage. A total of 26,589
sequences were successfully annotated based on sequence similarities; among these
sequences, 3,451 transcripts exhibited gene ontology terms and 2,362 showed
enzyme commissions associated with 186 pathways from Kyoto Encyclopedia of Gene
and Genomes pathways. A search of repetitive elements was performed, and 1,898
transposable elements were identified. Approximately 7,800 simple-sequence
repeats and 21,234 single-nucleotide polymorphisms were also detected.
CONCLUSIONS: Our data provided an integrated and comprehensive transcriptome
resource for C. semilaevis. These data could be used for further research in
population genetics, gene function, and tissue-specific gene expressions.
PMID- 24924153
TI - Ultrasonography, FNA, mutations, hormones and thyroid nodule obsession in the
twenty-first century: a cytopathologist's plea for reason in the age of thyroid
storm.
PMID- 24924152
TI - Soluble CD40-ligand (sCD40L, sCD154) plays an immunosuppressive role via
regulatory T cell expansion in HIV infection.
AB - CD40/CD40-ligand (CD40L) signalling is a key stimulatory pathway which triggers
the tryptophan (Trp) catabolizing enzyme IDO in dendritic cells and is
immunosuppressive in cancer. We reported IDO-induced Trp catabolism results in a
T helper type 17 (Th17)/regulatory T cell (Treg ) imbalance, and favours
microbial translocation in HIV chronic infection. Here we assessed the link
between sCD40L, Tregs and IDO activity in HIV-infected patients with different
clinical outcomes. Plasmatic sCD40L and inflammatory cytokines were assessed in
anti-retroviral therapy (ART)-naive, ART-successfully treated (ST), elite
controllers (EC) and healthy subjects (HS). Plasma levels of Trp and its
metabolite Kynurenine (Kyn) were measured by isotope dilution tandem mass
spectrometry and sCD14 was assessed by enzyme-linked immunosorbent assay (ELISA).
IDO-mRNA expression was quantified by reverse transcription-polymerase chain
reaction (RT-PCR). The in-vitro functional assay of sCD40L on Treg induction and
T cell activation were assessed on peripheral blood mononuclear cells (PBMCs)
from HS. sCD40L levels in ART-naive subjects were significantly higher compared
to ST and HS, whereas EC showed only a minor increase. In ART-naive alone, sCD40L
was correlated with T cell activation, IDO-mRNA expression and CD4 T cell
depletion but not with viral load. sCD40L was correlated positively with IDO
enzymatic activity (Kyn/Trp ratio), Treg frequency, plasma sCD14 and inflammatory
soluble factors in all HIV-infected patients. In-vitro functional sCD40L
stimulation induced Treg expansion and favoured Treg differentiation by reducing
central memory and increasing terminal effector Treg proportion. sCD40L also
increased T cell activation measured by co-expression of CD38/human leucocyte
antigen D-related (HLA-DR). These results indicate that elevated sCD40L induces
immunosuppression in HIV infection by mediating IDO-induced Trp catabolism and
Treg expansion.
PMID- 24924155
TI - Affective motives for smoking among early stage smokers.
AB - BACKGROUND: As most smokers initiate smoking during adolescence, assessment of
smoking motives that underlie trajectories of dependence is critical for both
prevention and cessation efforts. In the current study, we expected participants
with higher nicotine dependence would smoke (a) less for positive reinforcement
(PR) and (b) more for negative reinforcement (NR) motives. We secondarily
assessed the relative contribution of PR to NR motives across levels of
dependence. METHODS: Data were drawn from a study on cue-elicited craving among
occasional versus daily adolescent smokers aged 16-20 years (N = 111). Smoking
motives were assessed in relation to 3 commonly used measures of nicotine
dependence: (a) Fagerstrom Test for Nicotine Dependence (FTND), (b) Autonomy over
Smoking Scale (AUTOS), and (c) Nicotine Dependence Syndrome Scale (NDSS).
RESULTS: Compared to occasional smokers, daily smokers had significantly higher
scores on each dependence measure and endorsed more prominent NR smoking motives.
Each measure of nicotine dependence was strongly associated with NR motives for
smoking, although measures differed in their association with PR motives. As
expected, the FTND, AUTOS, and NDSS each significantly predicted smoking motive
difference score (PR - NR), such that higher dependence was associated with more
prominent NR motives for smoking. CONCLUSIONS: Results are consistent with our
understanding of dependence and provide further support for 3 common measures of
nicotine dependence among early stage smokers.
PMID- 24924156
TI - Definitions of sleeplessness in children with attention-deficit hyperactivity
disorder (ADHD): implications for mothers' mental state, daytime sleepiness and
sleep-related cognitions.
AB - BACKGROUND: Sleep disturbances are common in children with attention-deficit
hyperactivity disorder (ADHD). Sleeplessness is frequently reported although
results are inconsistent perhaps because different definitions for it are
applied. This study looked at maternal functioning and child objective sleep
patterns in relation to different definitions of sleeplessness in children with
ADHD. METHODS: The study included 45 children (aged 3-14 years) with ADHD and
their mothers. Sleeplessness was defined according to: (i) yes/no report of
whether mothers thought their children had a problem with sleeplessness (Maternal
definition MD) and (ii) mothers' responses to a quantitative standardized
questionnaire (Quantitative definition QD) designed to detect the frequency and
duration of parent-reported problems with settling, night waking and early
waking. Objective sleep patterns were also assessed by means of actigraphy.
Maternal mental health, daytime sleepiness and cognitions related to child sleep
were assessed by questionnaire. RESULTS: Both definitions appeared to tap similar
although slightly different constructs. There were no group differences in
objective sleep patterns. Maternal mental health was found to be significantly
worse in the mothers who considered their child to be sleepless (MD) (P < 0.025),
but not in those mothers whose child was found to be sleepless according to the
standardized criteria (QD). Maternal sleepiness did not differ between groups.
For both categories of sleepless children (MD and QD), the mothers had
significantly more doubts about their competency as a parent (P < 0.01 and P <
0.025, respectively) compared to mothers of children without sleeplessness.
CONCLUSIONS: Two different maternal assessments of child sleeplessness in
children with ADHD may assess subtly different constructs, but both may provide
useful information about potential problems across the family.
PMID- 24924157
TI - Stimulant use and its impact on growth in children receiving growth hormone
therapy: an analysis of the KIGS International Growth Database.
AB - BACKGROUND: Children receiving stimulants for attention deficit hyperactivity
disorder (ADHD) frequently present to pediatric endocrinology clinics for
evaluation and treatment of growth disorders. The worldwide prevalence of
stimulant use in children with ADHD also receiving recombinant human growth
hormone (rhGH) and the impact on response to rhGH are unknown. METHODS: Data on
children enrolled in the KIGS(r) (Pfizer International Growth Study) registry
were evaluated for the associated diagnosis of ADHD prior to initiation of
Genotropin(r) rhGH. Concomitant stimulant medications and auxological information
were captured. Response to rhGH was evaluated using established growth prediction
models. RESULTS: The prevalence of ADHD in KIGS was 2.3% (1,748/75,251), with
stimulants used in 1.8% (1,326/75,251). Children with idiopathic growth hormone
deficiency (IGHD) who received stimulants grew significantly less (1.1 cm) in the
first year of rhGH therapy than expected for rhGH-treated non-ADHD IGHD children.
After one year of rhGH, idiopathic short stature (ISS) children with ADHD were
significantly shorter [0.74 cm (with stimulants) and 0.69 cm (without
stimulants)] than non-ADHD ISS children. CONCLUSIONS: We demonstrated an impaired
response to rhGH in IGHD and ISS children with ADHD. Our findings suggest that
the ADHD phenotype, alone or in conjunction with stimulant therapy, may impair
the short-term growth response to rhGH.
PMID- 24924158
TI - A Comparison of Urge Intensity and the Probability of Tic Completion During Tic
Freely and Tic Suppression Conditions.
AB - Tic-suppression-based treatments (TSBTs) represent a safe and effective treatment
option for Chronic Tic Disorders (CTDs). Prior research has demonstrated that
treatment naive youths with CTDs have the capacity to safely and effectively
suppress tics for prolonged periods. It remains unclear how tic suppression is
achieved. The current study principally examines how effective suppression is
achieved and preliminary correlates of the ability to suppress tics. Twelve
youths, ages 10 to 17 years, with moderate-to-marked CTDs participated in an
alternating sequence of tic freely and reinforced tic suppression conditions
during which urge intensity and tic frequency were frequently assessed.
Probability of tics occurring was half as likely following high-intensity urges
during tic suppression (31%) in contrast to low-intensity urges during tic freely
conditions (60%). Age was not associated with ability to suppress. Intelligence
indices were associated with or trended toward greater ability to suppress tics.
Attention difficulties were not associated with ability to suppress but were
associated with tic severity. In contrast to our "selective suppression"
hypothesis, we found participants equally capable of suppressing their tics
regardless of urge intensity during reinforced tic suppression. Tic suppression
was achieved with an "across-the-board" effort to resist urges. Preliminary data
suggest that ability to suppress may be associated with general cognitive
variables rather than age, tic severity, urge severity, and attention. Treatment
naive youths appear to possess a capacity for robust tic suppression. TSBTs may
bolster these capacities and/or enable their broader implementation, resulting in
symptom improvement.
PMID- 24924159
TI - Repartnering After Widowhood.
AB - OBJECTIVES: This study estimates the sex-specific prevalence of repartnering
after widowhood. The main objective is to examine the competing choice between
nonmarital cohabitation and remarriage as well as repartnering differentials.
METHODS: The study uses data from the 2007 Canadian General Social Survey and
life table methods to illustrate gender and regional differences in the
cumulative proportion of people aged 45 and older who repartner after widowhood.
Proportional hazard models are used to examine how factors such as socioeconomic
resources, region, demographic characteristics, and health associate with the
risk of repartnering and repartnering preferences. RESULTS: Most repartnering
after widowhood occurs within ten years of this event or not at all. Ten years
after widowhood, about 7% of widows and 29% of widowers have formed a new union.
For both widows and widowers, the rate of remarriage is twice as high as the rate
of cohabitation. The exception to this is the province of Quebec, where
cohabitation is a more prevalent choice of repartnering than remarriage. There is
a weak association between socioeconomic resources and both the risk of
cohabitation and remarriage. DISCUSSION: Our results confirm that constraints in
marriage markets appear to contribute to a gender gap in the prevalence of
repartnering after widowhood. Though the widowed prefer remarriage over
cohabitation as a repartnering choice, there are important regional differences
in repartnering that reflect cultural norms in the social acceptance of
cohabitation. Socioeconomic disincentives to marriage do not appear to push the
widowed into cohabitation.
PMID- 24924160
TI - Depressive Mood, Anger, and Daily Cortisol of Caregivers on High- and Low-Stress
Days.
AB - OBJECTIVES: This study examines the association of daily cortisol with depressive
mood and anger. METHOD: Depressive mood, anger and 2 markers of cortisol, area
under the curve (AUC), and cortisol awakening response (CAR) were examined for
caregivers (N = 164) of individuals with dementia (IWDs) across 8 days, some of
which IWDs attended an adult day service (ADS) program. Caregivers were primarily
female (86.7%) with a mean age of 61.99. First, multilevel models were run with
CAR and AUC each as separate covariates of anger and depressive mood. A second
set of models examined contextual factors of caregivers (i.e., care-related
stressors and amount of ADS use) were added to the models for anger and
depressive mood (Model 2). RESULTS: On days where caregivers had AUCs below their
average they expressed higher anger scores. However in Model 2, anger was
associated with more care-related stressors, but not ADS use or daily cortisol.
Caregivers who on average had smaller CARs were more likely to be depressed. In
Model 2, depressed mood was associated with more care-related stressors and a low
average CAR. DISCUSSION: We found that hypocortisol patterns, reflective of
chronic stress experienced by caregivers, are associated with negative mood.
PMID- 24924161
TI - Does embeddedness protect? Personal network density and vulnerability to
mistreatment among older American adults.
AB - OBJECTIVES: This study considers the association between personal network density
and risk of elder mistreatment among American adults. METHOD: Using egocentric
network data from the National Social Life, Health, and Aging Project, we employ
logistic and negative binomial regression to predict recent experience of elder
mistreatment. We further unpack the density mistreatment association by linking
perpetrators to the victim's network and by assessing their position within its
structure. RESULTS: As hypothesized, older adults with dense networks had a lower
risk of elder mistreatment. Interestingly, the perpetrators of these harmful acts
were often found within seniors' close networks-though there was little evidence
to suggest that perpetrators themselves were poorly embedded in the network.
DISCUSSION: Results highlight how network-level phenomena can operate
distinctively from dyadic mistreatment processes. Dense personal networks seem to
provide structural protection against elder mistreatment, even as many offensive
acts are committed by those that are close to the victim and relatively well
embedded in their network.
PMID- 24924162
TI - The Paget Bicentenary: An Australian perspective.
AB - The year 2014 marks the bicentenary of the birth of Sir James Paget (1814-1899),
the celebrated English surgeon and pathologist. Although best known for his work
on bone and breast disease, Paget also played an important role in the
institution of Australia's first medical school. In this article, that
involvement and Paget's other antipodean influences are summarised. The naming of
Paget's disease of the bone is also discussed.
PMID- 24924163
TI - Molecular epidemiology of infant botulism in California and elsewhere, 1976-2010.
AB - BACKGROUND: Infant botulism (IB), first identified in California in 1976, results
from Clostridium botulinum spores that germinate, multiply, and produce botulinum
neurotoxin (BoNT) in the immature intestine. From 1976 to 2010 we created an
archive of 1090 BoNT-producing isolates consisting of 1012 IB patient (10
outpatient, 985 hospitalized, 17 sudden death), 25 food, 18 dust/soils, and 35
other strains. METHODS: The mouse neutralization assay determined isolate toxin
type (56% BoNT/A, 32% BoNT/B). Amplified fragment-length polymorphism (AFLP)
analysis of the isolates was combined with epidemiologic information. RESULTS:
The AFLP dendrogram, the largest to date, contained 154 clades; 52% of isolates
clustered in just 2 clades, 1 BoNT/A (n=418) and 1 BoNT/B (n=145). These clades
constituted an endemic C. botulinum population that produced the entire clinical
spectrum of IB. Isolates from the patient's home environment (dust/soil, honey)
usually located to the same AFLP clade as the patient's isolate, thereby
identifying the likely source of infective spores. C. botulinum A(B) strains were
identified in California for the first time. CONCLUSIONS: Combining molecular
methods and epidemiological data created an effective tool that yielded novel
insights into the genetic diversity of C. botulinum and the clinical spectrum,
occurrence, and distribution of IB in California.
PMID- 24924165
TI - A new myeloarchitectonic map of the human neocortex based on data from the Vogt
Vogt school.
AB - The human cerebral cortex contains numerous myelinated fibres, the arrangement
and density of which is by no means homogeneous throughout the cortex. Local
differences in the spatial organization of these fibres render it possible to
recognize areas with a different myeloarchitecture. The neuroanatomical
subdiscipline aimed at the identification and delineation of such areas is known
as myeloarchitectonics. During the period extending from 1910 to 1970, Oscar and
Cecile Vogt and their numerous collaborators (The Vogt-Vogt school) published a
large number of myeloarchitectonic studies on the cortex of the various lobes of
the human cerebrum. Recently, one of us (Nieuwenhuys in Brain Struct Funct 218:
303-352, 2013) extensively reviewed these studies. It was concluded that the data
available are adequate and sufficient for the composition of a myeloarchitectonic
map of the entire human neocortex. The present paper is devoted to the creation
of this map. Because the data provided by the Vogt-Vogt school are derived from
many different brains, a standard brain had to be introduced to which all data
available could be transferred. As such, the colin27 structural scan, aligned to
the MNI305 template was selected. The procedure employed in this transfer
involved computer-aided transformations of the lobar maps available in the
literature, to the corresponding regions of the standard brain, as well as local
adjustments in the border zones of the various lobes. The resultant map includes
180 myeloarchitectonic areas, 64 frontal, 30 parietal, 6 insular, 17 occipital
and 63 temporal. The designation of the various areas with simple Arabic numbers,
introduced by Oscar Vogt for the frontal and parietal cortices, has been extended
over the entire neocortex. It may be expected that combination of the
myeloarchitectonic data of the Vogt-Vogt school, as expressed in our map, with
the results of the detailed cytoarchitectonic and receptor architectonic studies
of Karl Zilles and Katrin Amunts and their numerous associates, will yield a
comprehensive 'supermap' of the structural organization of the human neocortex.
For the time being, i. e., as long as this 'supermap' is not yet available, our
map may provide a tentative frame of reference for (a) the morphological
interpretation of the results of functional neuroimaging studies; (b) the
selection of starting points (seed voxels, regions-of-interest) in diffusion
tractography studies and
PMID- 24924164
TI - HIV reverse-transcriptase drug resistance mutations during early infection reveal
greater transmission diversity than in envelope sequences.
AB - BACKGROUND: Drug resistance mutations (DRMs) can serve as distinct,
nonpolymorphic markers for evaluating diversity of expressed HIV-1. We screened
for DRMs during early-acute viremia and examined the diversity in reverse
transcriptase (RT) relative to envelope (env) in cases of transmitted drug
resistance. METHODS: We evaluated 111 longitudinal plasma samples collected every
2-7 days from 15 individuals who seroconverted for HIV-1 infection in 1994-2000.
The samples were screened with sensitive polymerase chain reaction assays for the
commonly transmitted M41L and K70R mutations and for K65R, which was undetected
by bulk sequencing. Mutation-positive samples were further characterized by
clonal sequencing of RT and env V1-V3. RESULTS: Drug resistance mutations were
detected in 4 of 15 seroconverters at 5-50 days of viral nucleic acid expression;
most mutations disappeared about the time of seroconversion. Clonal sequencing
verified low-level K65R at frequencies of 0.4%-4.9%. In each case, K65R coexisted
unlinked with variants carrying 2-5 thymidine analog mutations at frequencies of
1.6%-23.0%. In one seroconverter, variants with M184V and nonnucleoside RT
inhibitor mutations were also identified at first RNA expression. Each
seroconverter displayed a homogeneous V1-V3 env population. CONCLUSIONS: Reverse
transcriptase DRMs demonstrate that the breadth of variants in transmission may
be greater than what is reflected in envelope sequences.
PMID- 24924166
TI - Historical perspective on the introduction and use of chemotherapy for the
treatment of osteosarcoma.
AB - Chemotherapy for treatment of osteosarcoma was demonstrated to be effective in
eradicating primary tumor and pulmonary metastases in the mid-twentieth century.
The first agents that held promise were doxorubicin and high-dose methotrexate
with leucovorin (citrovorin factor) in the mid-1970s. Since then, other agents
that can eliminate or cause regression of tumor have been discovered: cis
diamminedichloroplatinum II (cisplatin) and the oxazaphosphorines ifosfamide and
cyclophosphamide. Additional agents await further study to define their
potential. The effective agents have been utilized in various combination
regimens and have escalated the survival rate from <10 to 75 %. They have also
enabled pulmonary metastectomy in patients with persistent and/or recurrent
pulmonary metastases and tumor ablation and limb salvage in 80 % of newly
diagnosed patients. Unfortunately, however, despite these impressive advances no
change in survival expectancy of patients with osteosarcoma during the past 40
years has occurred. There have been no new chemotherapeutic agents effective in
addressing disease that is resistant to current agents; the few that have been
introduced await further study to substantiate their efficacy. This also includes
attempts at alternate administration of chemotherapy (intra-arterial and
inhalation therapy.) In this chapter, we provide an account of the sequential
introduction of the chemotherapeutic agents, review the results of their
application in selected regimens, and discuss the role of neoadjuvant
chemotherapy.
PMID- 24924167
TI - Wnt signaling in osteosarcoma.
AB - Osteosarcoma (OS) is the most common primary bone malignancy diagnosed in
children and adolescents with a high propensity for local invasion and distant
metastasis. Despite current multidisciplinary treatments, there has not been a
drastic change in overall prognosis within the last two decades. With current
treatments, 60-70 % of patients with localized disease survive. Given a
propensity of Wnt signaling to control multiple cellular processes, including
proliferation, cell fate determination, and differentiation, it is a critical
pathway in OS disease progression. At the same time, this pathway is extremely
complex with vast arrays of cross-talk. Even though decades of research have
linked the role of Wnt to tumorigenesis, there are still outstanding areas that
remain poorly understood and even controversial. The canonical Wnt pathway
functions to regulate the levels of the transcriptional co-activator beta
catenin, which ultimately controls key developmental gene expressions. Given the
central role of this mediator, inhibition of Wnt/beta-catenin signaling has been
investigated as a potential strategy for cancer control. In OS, several secreted
protein families modulate the Wnt/beta-catenin signaling, including secreted
Frizzled-related proteins (sFRPs), Wnt inhibitory protein (WIF), Dickkopf
proteins (DKK-1,2,3), sclerostin, and small molecules. This chapter focuses on
our current understanding of Wnt/beta-catenin signaling in OS, based on recent in
vitro and in vivo data. Wnt activates noncanonical signaling pathways as well
that are independent of beta-catenin which will be discussed. In addition, stem
cells and their association with Wnt/beta-catenin are important factors to
consider. Ultimately, the multiple canonical and noncanonical Wnt/beta-catenin
agonists and antagonists need to be further explored for potential targeted
therapies.
PMID- 24924168
TI - Receptor tyrosine kinases in osteosarcoma: not just the usual suspects.
AB - Despite aggressive surgical and chemotherapy protocols, survival rates for
osteosarcoma patients have not improved over the last 30 years. Therefore, novel
therapeutic agents are needed. Receptor tyrosine kinases have emerged as targets
for the development of new cancer therapies since their activation leads to
enhanced proliferation, survival, and metastasis. In fact, aberrant expression
and activation of RTKs have been associated with the progression of many cancers.
Studies from our lab using phosphoproteomic screening identified RTKs that are
activated and thus may contribute to the signaling within metastatic human
osteosarcoma cells. Functional genomic screening using siRNA was performed to
distinguish which of the activated RTKs contribute to in vitro phenotypes
associated with metastatic potential (motility, invasion, colony formation, and
cell growth). The resulting RTK hits were then validated using independent
validation experiments. From these results, we identified four RTKs (Axl, EphB2,
FGFR2, and Ret) that have not been previously studied in osteosarcoma and provide
targets for the development of novel therapeutics.
PMID- 24924169
TI - Understanding the role of Notch in osteosarcoma.
AB - The Notch pathway has been described as an oncogene in osteosarcoma, but the
myriad functions of all the members of this complex signaling pathway, both in
malignant cells and nonmalignant components of tumors, make it more difficult to
define Notch as simply an oncogene or a tumor suppressor. The cell-autonomous
behaviors caused by Notch pathway manipulation may vary between cell lines but
can include changes in proliferation, migration, invasiveness, oxidative stress
resistance, and expression of markers associated with stemness or tumor
initiating cells. Beyond these roles, Notch signaling also plays a vital role in
regulating tumor angiogenesis and vasculogenesis, which are vital aspects of
osteosarcoma growth and behavior in vivo. Further, osteosarcoma cells themselves
express relatively low levels of Notch ligand, making it likely that nonmalignant
cells, especially endothelial cells and pericytes, are the major source of Notch
activation in osteosarcoma tumors in vivo and in patients. As a result, Notch
pathway expression is not expected to be uniform across a tumor but likely to be
highest in those areas immediately adjacent to blood vessels. Therapeutic
targeting of the Notch pathway is likewise expected to be complicated. Most
pharmacologic approaches thus far have focused on inhibition of gamma secretase,
a protease of the presenilin complex. This enzyme, however, has numerous other
target proteins that would be expected to affect osteosarcoma behavior, including
CD44, the WNT/beta-catenin pathway, and Her-4. In addition, Notch plays a vital
role in tissue and organ homeostasis in numerous systems, and toxicities,
especially GI intolerance, have limited the effectiveness of gamma secretase
inhibitors. New approaches are in development, and the downstream targets of
Notch pathway signaling also may turn out to be good targets for therapy. In
summary, a full understanding of the complex functions of Notch in osteosarcoma
is only now unfolding, and this deeper knowledge will help position the field to
better utilize novel therapies as they are developed.
PMID- 24924170
TI - Developmental pathways hijacked by osteosarcoma.
AB - Cancer of any type often can be described by an arrest, alteration or disruption
in the normal development of a tissue or organ, and understanding of the normal
counterpart's development can aid in understanding the malignant state. This is
certainly true for osteosarcoma and the normal developmental pathways that guide
osteoblast development that are changed in the genesis of osteogenic sarcoma. A
carefully regulated crescendo-decrescendo expression of RUNX2 accompanies the
transition from mesenchymal stem cell to immature osteoblast to mature
osteoblast. This pivotal role is controlled by several pathways, including bone
morphogenic protein (BMP), Wnt/beta-catenin, fibroblast growth factor (FGF), and
protein kinase C (PKC). The HIPPO pathway and its downstream target YAP help to
regulate proliferation of immature osteoblasts and their maturation into non
proliferating mature osteoblasts. This pathway also helps regulate expression of
the mature osteoblast protein osteocalcin. YAP also regulates expression of MT1
MMP, a membrane-bound matrix metalloprotease responsible for remodeling the
extracellular matrix surrounding the osteoblasts. YAP, in turn, can be regulated
by the ERBB family protein Her-4. Osteosarcoma may be thought of as a cell held
at the immature osteoblast stage, retaining some of the characteristics of that
developmental stage. Disruptions of several of these pathways have been described
in osteosarcoma, including BMP, Wnt/b-catenin, RUNX2, HIPPO/YAP, and Her-4.
Further, PKC can be activated by several receptor tyrosine kinases implicated in
osteosarcoma, including the ERBB family (EGFR, Her-2 and Her-4 in osteosarcoma),
IGF1R, FGF, and others. Understanding these functions may aid in the
understanding the mechanisms underpinning clinical observations in osteosarcoma,
including both the lytic and blastic phenotypes of tumors, the invasiveness of
the disease, and the tendency for treated tumors to ossify rather than shrink.
Through a better understanding of the relationship between normal osteoblast
development and osteosarcoma, we may gain insights into novel therapeutic avenues
and improved outcomes.
PMID- 24924171
TI - MicroRNAs in osteosarcomagenesis.
AB - The etiology of osteosarcoma (OS) remains enigmatic. Particular clinical and
molecular patterns, observed with high frequency in OS, suggest that it results
from some yet-to-be-discovered central driver. How else can biology generate such
an aggressive, metastatic, genetically and chromosomally unstable malignancy with
virtually no apparent precursor neoplasms that are partway along a disease path
toward OS? With this conundrum as a backdrop, the discovery of every new native
molecule with power to impact a cell's biology is usually quickly followed by a
search to see if this type of molecule contains the key to unlock OS biology.
PMID- 24924172
TI - RECQ DNA helicases and osteosarcoma.
AB - The RECQ family of DNA helicases is a conserved group of enzymes that are
important for maintaining genomic integrity. In humans, there are five RECQ
helicase genes, and mutations in three of them-BLM, WRN, and RECQL4-are
associated with the genetic disorders Bloom syndrome, Werner syndrome, and
Rothmund-Thomson syndrome (RTS), respectively. Importantly all three diseases are
cancer predisposition syndromes. Patients with RTS are highly and uniquely
susceptible to developing osteosarcoma; thus, RTS provides a good model to study
the pathogenesis of osteosarcoma. The "tumor suppressor" role of RECQL4 and the
other RECQ helicases is an area of active investigation. This chapter reviews
what is currently known about the cellular functions of RECQL4 and how these may
relate to tumorigenesis, as well as ongoing efforts to understand RECQL4's
functions in vivo using animal models. Understanding the RECQ pathways may
provide insight into avenues for novel cancer therapies in the future.
PMID- 24924173
TI - Autophagy in osteosarcoma.
AB - Osteosarcoma (OS) metastatic disease is resistant to conventional chemotherapy.
Tumor resistance to chemotherapy has been one of the major areas of concern to
clinicians and the topic of many laboratory investigators. Evaluation of
mechanisms implicated in OS lung metastasis resistance to chemotherapy has been
the focus of some of our most recent work. We have previously demonstrated the
therapeutic efficacy of aerosol gemcitabine (GCB) in OS lung metastases. However,
a subset of cells fails to respond to GCB treatment and persists as isolated lung
metastases in vivo. Autophagy, a physiological mechanism that supports
nutritional deprivation under stressful conditions, has been implicated in tumor
resistance to chemotherapy. We demonstrated the induction of autophagy by GCB in
LM7 metastatic human OS cells and K7M3 metastatic murine OS cells. Inhibition of
autophagy resulted in increased sensitivity to GCB in LM7 cells. By contrast,
inhibiting autophagy in K7M3 cells decreased GCB sensitivity. Defining the role
autophagy plays in chemotherapy response in different tumor types has become of
greater importance in order to identify the best suitable therapeutic approach.
In this chapter, we summarize some of the most recent work related to autophagy
in OS, identify some of the known mechanisms, and address the different roles
autophagy plays in chemotherapy response.
PMID- 24924174
TI - HER-2 involvement in osteosarcoma.
AB - The major goals of translational research in osteosarcoma entail the
identification of prognostic factors and therapeutic targets. Given the relevance
of epidermal growth factor receptor pathway to breast cancer and the finding that
HER-2 was expressed in a proportion of osteosarcoma, it was reasonable to
investigate this pathway further. Investigations of HER-2 in osteosarcoma have
led to the publication of numerous conflicting reports with regard to the level
and prognostic value of HER-2 expression, which are reviewed and discussed.
Numerous lessons provided by this research experience are described. This pathway
has also been explored as a therapeutic target with at least one study of
trastuzumab for the treatment of osteosarcoma completed. Other studies utilizing
alternative approaches to target the HER-2 receptor for the treatment of
osteosarcoma have been considered.
PMID- 24924175
TI - Role of ezrin in osteosarcoma metastasis.
AB - The cause of death for the vast majority of cancer patients is the development of
metastases at sites distant from that of the primary tumor. For most pediatric
sarcoma patients such as those with osteosarcoma (OS), despite successful
management of the primary tumor through multimodality approaches, the development
of metastases, commonly to the lungs, is the cause of death. Significant
improvements in long-term outcome for these patients have not been seen in more
than 30 years. Furthermore, the long-term outcome for patients who present with
metastatic disease is grave [1-5]. New treatment options are needed.Opportunities
to improve outcomes for patients who present with metastases and those at-risk
for progression and metastasis require an improved understanding of cancer
progression and metastasis. With this goal in mind we and others have identified
ezrin as a metastasis-associated protein that associated with OS and other
cancers. Ezrin is the prototypical ERM (Ezrin/Radixin/Moesin) protein family
member. ERMs function as linker proteins connecting the actin cytoskeleton and
the plasma membrane. Since our initial identification of ezrin in pediatric
sarcoma, an increasing understanding the role of ezrin in metastasis has emerged.
Briefly, ezrin appears to allow metastatic cells to overcome a number of stresses
experienced during the metastatic cascade, most notably the stress experienced as
cells interact with the microenvironment of the secondary site. Cells must
rapidly adapt to this environment in order to survive. Evidence now suggests a
connection between ezrin expression and a variety of mechanisms linked to this
important cellular adaptation including the ability of metastatic cells to
initiate the translation of new proteins and to allow the efficient generation of
ATP through a variety of sources. This understanding of the role of ezrin in the
biology of metastasis is now sufficient to consider ezrin as an important
therapeutic target in osteosarcoma patients. This chapter reviews our
understanding of ezrin and the related ERM proteins in normal tissues and
physiology, summarizes the expression of ezrin in human cancers and associations
with clinical parameters of disease progression, reviews reports that detail a
biological understanding of ezrin's role in metastatic progression, and concludes
with a rationale that may be considered to target ezrin and ezrin biology in
osteosarcoma.
PMID- 24924176
TI - Participation of the Fas/FasL signaling pathway and the lung microenvironment in
the development of osteosarcoma lung metastases.
AB - The lungs are the most common site for the metastatic spread of osteosarcoma.
Success in using chemotherapy to improve overall survival has reached a plateau.
Understanding the biologic properties that permit osteosarcoma cells to grow in
the lungs may allow the identification of novel therapeutic approaches-the goal
being to alter the tumor cells' expression of cell surface proteins so that there
is no longer compatibility with the metastatic niche. We have demonstrated that
the Fas Ligand positive (FasL(+)) lung microenvironment eliminates Fas(+)
osteosarcoma cells that metastasize to the lungs. Indeed, osteosarcoma lung
metastases from patients are Fas(-), similar to what we found in several
different mouse models. The Fas(+) cells are cleared from the lungs through
apoptosis induced by the Fas signaling pathway following interaction of Fas on
the tumor cell surface with the lung FasL. Blocking the Fas signaling pathway
interferes with this process, allowing the Fas(+) cells to grow in the lungs. Our
investigations show that Fas expression in osteosarcoma cells is regulated
epigenetically by the micro-RNA miR-20a, encoded by the miR-17-92 cluster. Our
studies support the feasibility of finding agents that can re-induce Fas
expression as a novel therapeutic approach to treat osteosarcoma patients with
lung metastases. We have identified two such agents, the histone deacetylase
inhibitor entinostat and the chemotherapeutic agent gemcitabine (GCB). Aerosol
GCB and oral entinostat induce the upregulation of Fas and the regression of
established osteosarcoma lung metastases. Aerosol GCB was not effective in the
FasL-deficient gld mouse confirming that the lung microenvironment was central to
the success of this therapy. Our studies establish the critical role of the lung
microenvironment in the metastatic process of osteosarcoma to the lungs and
suggest an alternative focus for therapy, that is, incorporating the lung
microenvironment as part of the treatment strategy against established
osteosarcoma disease in the lungs.
PMID- 24924177
TI - Zebrafish as a model for human osteosarcoma.
AB - For various reasons involving biological comparativeness, expansive technological
possibilities, accelerated experimental speed, and competitive costs, zebrafish
has become a comprehensive model for cancer research. Hence, zebrafish embryos
and full-grown fish have been instrumental for studies of leukemia, melanoma,
pancreatic cancer, bone tumors, and other malignancies. Although because of its
similarities to human osteogenesis zebrafish appears to be an appealing model to
investigate osteosarcoma, only a few osteosarcoma specific studies have been
accomplished yet. Here, we review interesting related and unrelated reports of
which the findings might be extrapolated to osteosarcoma. More importantly,
rational but yet unexplored applications of zebrafish are debated to expand the
window of opportunities for future establishment of osteosarcoma models.
Accordingly technological advances of zebrafish based cancer research, such as
robotic high-throughput multicolor injection systems and advanced imaging methods
are discussed. Furthermore, various use of zebrafish embryos for screening drug
regimens by combinations of chemotherapy, novel drug deliverers, and immune
system modulators are suggested. Concerning the etiology, the high degree of
genetic similarity between zebrafish and human cancers indicates that affected
regions are evolutionarily conserved. Therefore, zebrafish as a swift model
system that allows for the investigation of multiple candidate gene-defects is
presented.
PMID- 24924178
TI - Using canine osteosarcoma as a model to assess efficacy of novel therapies: can
old dogs teach us new tricks?
AB - Since its domestication more than 10,000 years ago, the dog has been the animal
that most intimately shares our work and homelife. Interestingly, the dog also
shares many of our diseases including cancer such as osteosarcoma. Like the
human, osteosarcoma is the most common bone malignancy of the dog and death from
pulmonary metastasis is the most common outcome. The incidence of this
spontaneous bone neoplasm occurs ten times more frequently that it does so in
children with about 8,000-10,000 cases estimated to occur in dogs in the USA.
Because there is no "standard of care" in veterinary medicine, the dog can also
serve us by being a model for this disease in children. Although the most common
therapy for the dog with osteosarcoma is amputation followed by chemotherapy, not
all owners choose this route. Consequently, novel therapeutic interventions can
be attempted in the dog with or without chemotherapy that could not be done in
humans with osteosarcoma due to ethical concerns. This chapter will focus on the
novel therapies in the dog that have been reported or are in veterinary clinical
trials at the author's institution. It is hoped that collaboration between
veterinary oncologists and pediatric oncologists will lead to the development of
novel therapies for (micro- or macro-) metastatic osteosarcoma that improve
survival and might ultimately lead to a cure in both species.
PMID- 24924179
TI - Oncolytic viruses for potential osteosarcoma therapy.
AB - Since the first anecdotal reports of dramatic tumor responses following a viral
infection in early 1900s, the field of oncolytic virotherapy has evolved at a
rapid pace finally making its way into clinical trials. A large number of both
wild-type and genetically altered viruses with a preferential replication
competency for tumor cells have been studied in tissue cultures, animal models
and in humans, with an ever increasing repertoire of new viruses being added to
this pool. Although oncolytic viruses have caused dramatic antitumor responses in
cell cultures and mouse models, their clinical effects in humans have been
modest. Therefore, the current research is focused on understanding the
mechanisms by which viruses kill tumor cells, the barriers to successful viral
delivery and penetration into tumor cells, the role of the immune system in viral
oncolysis and generating stronger target specific and replication competent
viruses. Osteosarcoma is a challenging malignancy to identify novel targets for
therapy due to its complex genetic make-up. Oncolytic virotherapy may be a
promising approach as a novel therapeutic, not dependent on consistent expression
of a single target. In this review we summarize the supportive evidence and
rationale for use of viral oncolysis in osteosarcoma along with the specific
challenges it may face.
PMID- 24924180
TI - IL-11Ralpha: a novel target for the treatment of osteosarcoma.
AB - Recent advances have shown that cell surface receptors are expressed
differentially in normal and pathological conditions. Novel organ specific and
disease specific proteins expressed on tumor vasculature have been identified by
in vivo phage display technology and the diversity of the tumor-associated
vasculature has provided the basis for the development of targeted therapeutics.
Investigators recently screened a phage display library in a human cancer
patient. An IL-11 mimic phage displaying the cyclic peptide CGRRAGGSC (single
letter amino acid code) specifically bound to immobilized IL-11Ralpha. It has
been demonstrated that the expression of the IL-11Ralpha is increased in several
other types of tumors including osteosarcoma. The ability to selectively target
the IL-11Ralpha may provide an alternative treatment of for a disease where new
treatment options are truly needed.
PMID- 24924181
TI - Bone-seeking radiopharmaceuticals as targeted agents of osteosarcoma: samarium
153-EDTMP and radium-223.
AB - Osteosarcoma is a cancer characterized by formation of bone by malignant cells.
Routine bone scan imaging with Tc-99m-MDP is done at diagnosis to evaluate
primary tumor uptake and check for bone metastases. At time of relapse the Tc-99m
MDP bone scan also provides a specific means to assess formation of bone by
malignant osteosarcoma cells and the potential for bone-seeking
radiopharmaceuticals to deliver radioactivity directly into osteoblastic
osteosarcoma lesions. This chapter will review and compare a bone-seeking
radiopharmaceutical that emits beta-particles, samarium-153-EDTMP, with an alpha
particle emitter, radium-223. The charged alpha particles from radium-223 have
far more mass and energy than beta particles (electrons) from Sm-153-EDTMP.
Because radium-223 has less marrow toxicity and more radiobiological
effectiveness, especially if inside the bone forming cancer cell than samarium
153-EDTMP, radium-223 may have greater potential to become widely used against
osteosarcoma as a targeted therapy. Radium-223 also has more potential to be used
with chemotherapy against osteosarcoma and bone metastases. Because osteosarcoma
makes bone and radium-223 acts like calcium, this radiopharmaceutical could
possibly become a new targeted means to achieve safe and effective reduction of
tumor burden as well as facilitate better surgery and/or radiotherapy for
difficult to resect large, or metastatic tumors.
PMID- 24924182
TI - Muramyl tripeptide-phosphatidyl ethanolamine encapsulated in liposomes (L-MTP-PE)
in the treatment of osteosarcoma.
AB - Bacille Calmette-Guerin (BCG) has been used for decades as an immune stimulant to
treat cancer. Early work by Fidler and Kleinerman identified muramyl dipeptide
(MDP) as a critical component of the BCG cell wall which retained most of the
immunostimulatory properties of the native BCG. Addition of a peptide to MDP
resulted in muramyl tripeptide (MTP) which allowed incorporation into liposomal
membranes. The resulting pharmaceutical, liposomal muramyl tripeptide
phosphatidyl ethanolamine (L-MTP-PE or mifamurtide) showed activity in
preclinical models of human cancers. Phase I studies documented the safety of the
compound for human administration. These trials did not reach a maximally
tolerated dose (MTD), and the dose chosen for phase II trials was a biologically
optimized dose, not an MTD. Phase II studies showed decreased risk of further
recurrence in patients who received mifamurtide after surgical ablation of
metastatic osteosarcoma. A phase III prospective randomized trial demonstrated a
statistically significant reduction in the risk of death from osteosarcoma when
MTP was added to systemic chemotherapy for the treatment of localized
osteosarcoma. The same trial allowed treatment of patients who presented with
initially metastatic disease. While the overall and event-free survival was
improved in patients with metastatic osteosarcoma who received L-MTP-PE, the
sample size was small and the improvement did not achieve conventional
statistical significance. From 2008 to 2012, patients with metastatic and
recurrent osteosarcoma were given L-MTP-PE in an expanded access trial, and the
results suggest a decreased risk of subsequent recurrence and death with the
inclusion of L-MTP-PE in the treatment strategy for these high-risk patients.
PMID- 24924184
TI - Natural killer cells for osteosarcoma.
AB - Natural killer (NK) cells are lymphocytes of the innate immune system that have
the ability to recognize malignant cells through detection of a variety of cell
surface indicators of stress and danger. Once activated through such recognition,
NK cells release cytokines and induce target cell lysis through a variety of
mechanisms. NK cells are increasingly recognized as important mediators of other
immunotherapeutic modalities, including cytokines, antibodies, immunomodulators,
and stem cell transplantation. Adoptive immunotherapies with NK cells are being
tested in early-stage clinical trials, and recent advances in manipulating their
number and function have caused a renewed emphasis on this cancer-fighting cell.
In this chapter we address the evidence for NK cell recognition of osteosarcoma
in vitro and in vivo, discuss new therapies that are directly or indirectly
dependent on NK cell function, and describe potential approaches for manipulating
NK cell number and function to enhance therapy against osteosarcoma.
PMID- 24924185
TI - Hybridizing triboelectrification and electromagnetic induction effects for high
efficient mechanical energy harvesting.
AB - The recently introduced triboelectric nanogenerator (TENG) and the traditional
electromagnetic induction generator (EMIG) are coherently integrated in one
structure for energy harvesting and vibration sensing/isolation. The suspended
structure is based on two oppositely oriented magnets that are enclosed by hollow
cubes surrounded with coils, which oscillates in response to external disturbance
and harvests mechanical energy simultaneously from triboelectrification and
electromagnetic induction. It extends the previous definition of hybrid cell to
harvest the same type of energy with multiple approaches. Both the sliding-mode
TENG and contact-mode TENG can be achieved in the same structure. In order to
make the TENG and EMIG work together, transformers are used to match the output
impedance between these two power sources with very different characteristics.
The maximum output power of 7.7 and 1.9 mW on the same load of 5 kOmega was
obtained for the TENG and EMIG, respectively, after impedance matching.
Benefiting from the rational design, the output signal from the TENG and the EMIG
are in phase. They can be added up directly to get an output voltage of 4.6 V and
an output current of 2.2 mA in parallel connection. A power management circuit
was connected to the hybrid cell, and a regulated voltage of 3.3 V with constant
current was achieved. For the first time, a logic operation was carried out on a
half-adder circuit by using the hybrid cell working as both the power source and
the input digit signals. We also demonstrated that the hybrid cell can serve as a
vibration isolator. Further applications as vibration dampers, triggers, and
sensors are all promising.
PMID- 24924183
TI - Genetically modified T-cell therapy for osteosarcoma.
AB - T-cell immunotherapy may offer an approach to improve outcomes for patients with
osteosarcoma, who fail current therapies. In addition, it has the potential to
reduce treatment-related complications for all patients. Generating tumor
specific T cells with conventional antigen presenting cells ex vivo is time
consuming and often results in T-cell products with a low frequency of tumor
specific T cells. In addition, the generated T cells remain sensitive to the
immunosuppressive tumor microenvironment. Genetic modification of T cells is one
strategy to overcome these limitations. For example, T cells can be genetically
modified to render them antigen specific, resistant to inhibitory factors, or
increase their ability to home to tumor sites. Most genetic modification
strategies have only been evaluated in preclinical models, however early phase
clinical trials are in progress. In this chapter we review the current status of
gene-modified T-cell therapy with special focus on osteosarcoma, highlighting
potential antigenic targets, preclinical and clinical studies, and strategies to
improve current T-cell therapy approaches.
PMID- 24924186
TI - A mutation burst during the acute phase of Helicobacter pylori infection in
humans and rhesus macaques.
AB - The evolution rate and genetic changes that occur during chronic infection with
Helicobacter pylori have been analysed, but little is known about the genomic
changes during the initial, acute bacterial infection phase. Here we analyse the
rate and pattern of genome evolution in H. pylori from the genomes of two input
strains isolated from human volunteers with asymptomatic infection, and the
genomes of two output strains collected 20 and 44 days after re-infection.
Similarly, we analyse genome evolution in bacteria from the genome sequences of
input and output strains sequentially taken after experimental infection of a
rhesus macaque. The estimated mutation rate reveals a mutation burst during the
acute infection phase that is over 10 times faster than the mutation rate during
chronic infection, and orders of magnitude faster than mutation rates in any
other bacteria. The elevated frequency of mutations in outer membrane protein
genes suggests that the mutation burst facilitates rapid host adaptation of the
bacteria.
PMID- 24924187
TI - Sugar-based molecular computing by material implication.
AB - A method to integrate an (in principle) unlimited number of molecular logic gates
to construct complex circuits is presented. Logic circuits, such as half- or full
adders, can be reinterpreted by using the functional completeness of the
implication function (IMP) and the trivial FALSE operation. The molecular gate
IMP is represented by a fluorescent boronic acid sugar probe. An external wiring
algorithm translates the fluorescent output from one gate into a chemical input
for the next gate on microtiter plates. This process is demonstrated on a four
bit full adder.
PMID- 24924188
TI - Ganges River dolphin: an overview of biology, ecology, and conservation status in
India.
AB - Ganges River dolphin, Platanista gangetica gangetica, is one of the three
obligatory freshwater dolphins in the world and is distributed in the Ganges
Brahmaputra-Meghna and Sangu-Karnaphuli River systems in India, Nepal, and
Bangladesh. This species is facing considerable threats to its survival, and its
population has dwindled from 4000 to 5000 in the early 1980s to 3500 in 2014 in
the distribution range. This article reviews current status of the sub-species,
habitat use, and the potential threats that the dolphins face for their survival
(details of taxonomic status and genetics, evolutionary adaptations and
anatomical peculiarities, physical adaptation, primitive characteristics,
biology, behavior, surfacing behavior and dive times, mating and birth, and life
span/age have been placed as Electronic Supplementary Materials). Recommendations
have been made for the protection and developing strategies for the conservation
of this Endangered and endemic sub-species.
PMID- 24924189
TI - Small interfering RNA inhibition of Andes virus replication.
AB - Andes virus (ANDV) is the most common causative agent of hantavirus pulmonary
syndrome (HPS) in the Americas, and is the only hantavirus associated with human
to-human transmission. Case fatality rates of ANDV-induced HPS are approximately
40%. There are currently no effective vaccines or antivirals against ANDV. Since
HPS severity correlates with viral load, we tested small interfering RNA (siRNA)
directed against ANDV genes as a potential antiviral strategy. We designed pools
of 4 siRNAs targeting each of the ANDV genome segments (S, M, and L), and tested
their efficacy in reducing viral replication in vitro. The siRNA pool targeting
the S segment reduced viral transcription and replication in Vero-E6 cells more
efficiently than those targeting the M and L segments. In contrast, siRNAs
targeting the S, M, or L segment were similar in their ability to reduce viral
replication in human lung microvascular endothelial cells. Importantly, these
siRNAs inhibit ANDV replication even if given after infection. Taken together,
our findings indicate that siRNAs targeting the ANDV genome efficiently inhibit
ANDV replication, and show promise as a strategy for developing therapeutics
against ANDV infection.
PMID- 24924190
TI - The microRNA bantam regulates a developmental transition in epithelial cells that
restricts sensory dendrite growth.
AB - As animals grow, many early born structures grow by cell expansion rather than
cell addition; thus growth of distinct structures must be coordinated to maintain
proportionality. This phenomenon is particularly widespread in the nervous
system, with dendrite arbors of many neurons expanding in concert with their
substrate to sustain connectivity and maintain receptive field coverage as
animals grow. After rapidly growing to establish body wall coverage, dendrites of
Drosophila class IV dendrite arborization (C4da) neurons grow synchronously with
their substrate, the body wall epithelium, providing a system to study how
proportionality is maintained during animal growth. Here, we show that the
microRNA bantam (ban) ensures coordinated growth of C4da dendrites and the
epithelium through regulation of epithelial endoreplication, a modified cell
cycle that entails genome amplification without cell division. In Drosophila
larvae, epithelial endoreplication leads to progressive changes in dendrite
extracellular matrix (ECM) and dendrite-epithelium contacts, coupling
dendrite/substrate expansion and restricting dendrite growth beyond established
boundaries. Moreover, changes in epithelial expression of cell adhesion
molecules, including the beta-integrin myospheroid (mys), accompany this
developmental transition. Finally, endoreplication and the accompanying changes
in epithelial mys expression are required to constrain late-stage dendrite growth
and structural plasticity. Hence, modulating epithelium-ECM attachment probably
influences substrate permissivity for dendrite growth and contributes to the
dendrite-substrate coupling that ensures proportional expansion of the two cell
types.
PMID- 24924191
TI - FGF signaling activates a Sox9-Sox10 pathway for the formation and branching
morphogenesis of mouse ocular glands.
AB - Murine lacrimal, harderian and meibomian glands develop from the prospective
conjunctival and eyelid epithelia and produce secretions that lubricate and
protect the ocular surface. Sox9 expression localizes to the presumptive
conjunctival epithelium as early as E11.5 and is detected in the lacrimal and
harderian glands as they form. Conditional deletion showed that Sox9 is required
for the development of the lacrimal and harderian glands and contributes to the
formation of the meibomian glands. Sox9 regulates the expression of Sox10 to
promote the formation of secretory acinar lobes in the lacrimal gland. Sox9 and
FGF signaling were required for the expression of cartilage-associated
extracellular matrix components during early stage lacrimal gland development.
Fgfr2 deletion in the ocular surface epithelium reduced Sox9 and eliminated Sox10
expression. Sox9 deletion from the ectoderm did not affect Fgf10 expression in
the adjacent mesenchyme or Fgfr2 expression in the epithelium, but appeared to
reduce FGF signaling. Sox9 heterozygotes showed a haploinsufficient phenotype, in
which the exorbital branch of the lacrimal gland was absent in most cases.
However, enhancement of epithelial FGF signaling by expression of a
constitutively active FGF receptor only partially rescued the lacrimal gland
defects in Sox9 heterozygotes, suggesting a crucial role of Sox9, downstream of
FGF signaling, in regulating lacrimal gland branching and differentiation.
PMID- 24924192
TI - Large hypomethylated domains serve as strong repressive machinery for key
developmental genes in vertebrates.
AB - DNA methylation is a fundamental epigenetic modification in vertebrate genomes
and a small fraction of genomic regions is hypomethylated. Previous studies have
implicated hypomethylated regions in gene regulation, but their functions in
vertebrate development remain elusive. To address this issue, we generated
epigenomic profiles that include base-resolution DNA methylomes and histone
modification maps from both pluripotent cells and mature organs of medaka fish
and compared the profiles with those of human ES cells. We found that a subset of
hypomethylated domains harbor H3K27me3 (K27HMDs) and their size positively
correlates with the accumulation of H3K27me3. Large K27HMDs are conserved between
medaka and human pluripotent cells and predominantly contain promoters of
developmental transcription factor genes. These key genes were found to be under
strong transcriptional repression, when compared with other developmental genes
with smaller K27HMDs. Furthermore, human-specific K27HMDs show an enrichment of
neuronal activity-related genes, which suggests a distinct regulation of these
genes in medaka and human. In mature organs, some of the large HMDs become
shortened by elevated DNA methylation and associate with sustained gene
expression. This study highlights the significance of domain size in epigenetic
gene regulation. We propose that large K27HMDs play a crucial role in pluripotent
cells by strictly repressing key developmental genes, whereas their shortening
consolidates long-term gene expression in adult differentiated cells.
PMID- 24924193
TI - The dynamic DNA methylation cycle from egg to sperm in the honey bee Apis
mellifera.
AB - In honey bees (Apis mellifera), the epigenetic mark of DNA methylation is central
to the developmental regulation of caste differentiation, but may also be
involved in additional biological functions. In this study, we examine the whole
genome methylation profiles of three stages of the haploid honey bee genome:
unfertilised eggs, the adult drones that develop from these eggs and the sperm
produced by these drones. These methylomes reveal distinct patterns of
methylation. Eggs and sperm show 381 genes with significantly different CpG
methylation patterns, with the vast majority being more methylated in eggs. Adult
drones show greatly reduced levels of methylation across the genome when compared
with both gamete samples. This suggests a dynamic cycle of methylation loss and
gain through the development of the drone and during spermatogenesis. Although
fluxes in methylation during embryogenesis may account for some of the
differentially methylated sites, the distinct methylation patterns at some genes
suggest parent-specific epigenetic marking in the gametes. Extensive germ line
methylation of some genes possibly explains the lower-than-expected frequency of
CpG sites in these genes. We discuss the potential developmental and evolutionary
implications of methylation in eggs and sperm in this eusocial insect species.
PMID- 24924194
TI - Transcription factors involved in retinogenesis are co-opted by the circadian
clock following photoreceptor differentiation.
AB - The circadian clock is known to regulate a wide range of physiological and
cellular processes, yet remarkably little is known about its role during embryo
development. Zebrafish offer a unique opportunity to explore this issue, not only
because a great deal is known about key developmental events in this species, but
also because the clock starts on the very first day of development. In this
study, we identified numerous rhythmic genes in zebrafish larvae, including the
key transcriptional regulators neurod and cdx1b, which are involved in neuronal
and intestinal differentiation, respectively. Rhythmic expression of neurod and
several additional transcription factors was only observed in the developing
retina. Surprisingly, these rhythms in expression commenced at a stage of
development after these transcription factors are known to have played their
essential role in photoreceptor differentiation. Furthermore, this circadian
regulation was maintained in adult retina. Thus, once mature photoreceptors are
formed, multiple retinal transcription factors fall under circadian clock
control, at which point they appear to play a new and important role in
regulating rhythmic elements in the phototransduction pathway.
PMID- 24924195
TI - Nuclear to cytoplasmic shuttling of ERK promotes differentiation of muscle
stem/progenitor cells.
AB - The transition between the proliferation and differentiation of progenitor cells
is a key step in organogenesis, and alterations in this process can lead to
developmental disorders. The extracellular signal-regulated kinase 1/2 (ERK)
signaling pathway is one of the most intensively studied signaling mechanisms
that regulates both proliferation and differentiation. How a single molecule
(e.g. ERK) can regulate two opposing cellular outcomes is still a mystery. Using
both chick and mouse models, we shed light on the mechanism responsible for the
switch from proliferation to differentiation of head muscle progenitors and
implicate ERK subcellular localization. Manipulation of the fibroblast growth
factor (FGF)-ERK signaling pathway in chick embryos in vitro and in vivo
demonstrated that blockage of this pathway accelerated myogenic differentiation,
whereas its activation diminished it. We next examined whether the spatial
subcellular localization of ERK could act as a switch between proliferation
(nuclear ERK) and differentiation (cytoplasmic ERK) of muscle progenitors. A
myristoylated peptide that blocks importin 7-mediated ERK nuclear translocation
induced robust myogenic differentiation of muscle progenitor/stem cells in both
head and trunk. In the mouse, analysis of Sprouty mutant embryos revealed that
increased ERK signaling suppressed both head and trunk myogenesis. Our findings,
corroborated by mathematical modeling, suggest that ERK shuttling between the
nucleus and the cytoplasm provides a switch-like transition between proliferation
and differentiation of muscle progenitors.
PMID- 24924196
TI - Larval mesenchyme cell specification in the primitive echinoid occurs
independently of the double-negative gate.
AB - Echinoids (sea urchins) are divided into two major groups - cidaroids (a
'primitive' group) and euechinoids (a 'derived' group). The cidaroids are a
promising model species for understanding the ancestral developmental mechanisms
in echinoids, but little is known about the molecular mechanisms of cidaroid
development. In euechinoids, skeletogenic mesenchyme cell specification is
regulated by the double-negative gate (DNG), in which hesC represses the
transcription of the downstream mesenchyme specification genes (alx1, tbr and
ets1), thereby defining the prospective mesenchyme region. To estimate the
ancestral mechanism of larval mesenchyme cell specification in echinoids, the
expression patterns and roles of mesenchyme specification genes in the cidaroid
Prionocidaris baculosa were examined. The present study reveals that the
expression pattern and function of hesC in P. baculosa were inconsistent with the
DNG model, suggesting that the euechinoid-type DNG is not utilized during
cidaroid mesenchyme specification. In contrast with hesC, the expression patterns
and functions of alx1, tbr and ets1 were similar between P. baculosa and
euechinoids. Based on these results, we propose that the roles of alx1, tbr and
ets1 in mesenchyme specification were established in the common ancestor of
echinoids, and that the DNG system was acquired in the euechinoid lineage after
divergence from the cidaroid ancestor. The evolutionary timing of the
establishment of the DNG suggests that the DNG was originally related to
micromere and/or primary mesenchyme cell formation but not to skeletogenic cell
differentiation.
PMID- 24924198
TI - A conserved transcriptional network regulates lamina development in the
Drosophila visual system.
AB - The visual system of insects is a multilayered structure composed externally by
the compound eye and internally by the three ganglia of the optic lobe: lamina,
medulla and the lobula complex. The differentiation of lamina neurons depends
heavily on Hedgehog (Hh) signaling, which is delivered by the incoming
photoreceptor axons, and occurs in a wave-like fashion. Despite the primary role
of lamina neurons in visual perception, it is still unclear how these neurons are
specified from neuroepithelial (NE) progenitors. Here we show that a homothorax
(hth)-eyes absent (eya)-sine oculis (so)-dachshund (dac) gene regulatory cassette
is involved in this specification. Lamina neurons differentiate from NE
progenitors that express hth, eya and so. One of the first events in the
differentiation of lamina neurons is the upregulation of dac expression in
response to Hh signaling. We show that this dac upregulation, which marks the
transition from NE progenitors into lamina precursors, also requires Eya/So, the
expression of which is locked in by mutual feedback. dac expression is crucial
for lamina differentiation because it ensures repression of hth, a negative
regulator of single-minded, and thus dac allows further lamina neuron
differentiation. Therefore, the specification of lamina neurons is controlled by
coupling the cell-autonomous hth-eya-so-dac regulatory cassette to Hh signaling.
PMID- 24924197
TI - A transcription factor network specifying inhibitory versus excitatory neurons in
the dorsal spinal cord.
AB - The proper balance of excitatory and inhibitory neurons is crucial for normal
processing of somatosensory information in the dorsal spinal cord. Two neural
basic helix-loop-helix transcription factors (TFs), Ascl1 and Ptf1a, have
contrasting functions in specifying these neurons. To understand how Ascl1 and
Ptf1a function in this process, we identified their direct transcriptional
targets genome-wide in the embryonic mouse neural tube using ChIP-Seq and RNA
Seq. We show that Ascl1 and Ptf1a directly regulate distinct homeodomain TFs that
specify excitatory or inhibitory neuronal fates. In addition, Ascl1 directly
regulates genes with roles in several steps of the neurogenic program, including
Notch signaling, neuronal differentiation, axon guidance and synapse formation.
By contrast, Ptf1a directly regulates genes encoding components of the
neurotransmitter machinery in inhibitory neurons, and other later aspects of
neural development distinct from those regulated by Ascl1. Moreover, Ptf1a
represses the excitatory neuronal fate by directly repressing several targets of
Ascl1. Ascl1 and Ptf1a bind sequences primarily enriched for a specific E-Box
motif (CAGCTG) and for secondary motifs used by Sox, Rfx, Pou and homeodomain
factors. Ptf1a also binds sequences uniquely enriched in the CAGATG E-box and in
the binding motif for its co-factor Rbpj, providing two factors that influence
the specificity of Ptf1a binding. The direct transcriptional targets identified
for Ascl1 and Ptf1a provide a molecular understanding of how these DNA-binding
proteins function in neuronal development, particularly as key regulators of
homeodomain TFs required for neuronal subtype specification.
PMID- 24924199
TI - Role of MAPK in oncolytic herpes viral therapy in triple-negative breast cancer.
AB - Triple-negative breast cancers (TNBCs) have poor clinical outcomes owing to a
lack of targeted therapies. Activation of the MEK/MAPK pathway in TNBC has been
associated with resistance to conventional chemotherapy and biologic agents and
has a significant role in poor clinical outcomes. NV1066, a replication-competent
herpes virus, infected, replicated in and killed all TNBC cell lines (MDA-MB-231,
HCC1806, HCC38, HCC1937, HCC1143) tested. Greater than 90% cell kill was achieved
in more-sensitive lines (MDA-MB-231, HCC1806, HCC38) by day 6 at a multiplicity
of infection (MOI) of 0.1. In less-sensitive lines (HCC1937, HCC1143), NV1066
still achieved >70% cell kill by day 7 (MOI 1.0). In vivo, mean volume of flank
tumors 14 days after treatment with NV1066 was 57 versus 438 mm(3) in controls
(P=0.002). NV1066 significantly downregulated p-MAPK activation by 48 h in all
cell lines in vitro and in MDA-MB-231 xenografts in vivo. NV1066 demonstrated
synergistic effects with a MEK inhibitor, PD98059 in vitro. We demonstrate that
oncolytic viral therapy (NV1066) effectively treats TNBC with correlation to
decreased MEK/MAPK signaling. These findings merit future studies investigating
the potential role of NV1066 as a sensitizing agent for conventional
chemotherapeutic and biologic agents by downregulating the MAPK signaling
pathway.
PMID- 24924200
TI - Downregulation of miR-221/222 enhances sensitivity of breast cancer cells to
tamoxifen through upregulation of TIMP3.
AB - Aberrantly expressed microRNAs (miRNAs) are involved in breast tumorigenesis. It
is still unclear if and how miRNAs-221/222 are implicated in breast cancer and
the resistance to estrogen receptor modulator tamoxifen. We investigated the
roles and mechanisms of miR-221/222 in breast cancer cells, particularly in
modulating response to tamoxifen therapy. MCF-7 and MDA-MB-231 breast cancer
cells were transfected with antisense oligonucleotides AS-miR-221 and AS-miR-222
and their expression of miR-221 and miR-222 was assessed. The correlation of miR
221/222 with tissue inhibitor of metalloproteinase-3 (TIMP3) expression was
investigated by fluorescence quantitative PCR and western blotting analysis. The
therapeutic sensitivity of these cells, transfected and untransfected, to
tamoxifen was determined. Transfection of AS-miR-221 and AS-miR-222 dramatically
inhibited expression of miR-221 and miR-222, respectively, in both MCF-7 and MDA
MB-231 cells (P<0.05-0.01). Downregulation of miR-221/222 significantly increased
the expression of TIMP3 compared with controls (P<0.05-0.01). The viability of
estrogen receptor (ER)-positive MCF-7 cells transfected with AS-miR-221 or/and AS
miR-222 was significantly reduced by tamoxifen (P<0.05-0.01). We have
demonstrated for the first time that suppression of miRNA-221/222 increases the
sensitivity of ER-positive MCF-7 breast cancer cells to tamoxifen. This effect is
mediated through upregulation of TIMP3. These findings suggest that upregulation
of TIMP3 via inhibition of miRNA-221/222 could be a promising therapeutic
approach for breast cancer.
PMID- 24924202
TI - Reduction in Renal Specimen After Laparoscopic Radical Nephrectomy: A
Histopathologic Analysis.
AB - Abstract Background and Purpose: There is no consensus on the most appropriate
way to extract the kidney after laparoscopy. A previous study evaluated the
reduction in total kidney volume and incision size (40%) after perfusion with a
5% hypertonic solution in a porcine model. The purpose of the current study was
to compare the histopathologic renal tumor diagnosis before and after this
perfusion. Furthermore, fluid drained from the renal vein was analyzed for the
presence of neoplastic cells. Materials and Methods: After radical nephrectomy,
specimens of 21 cases of renal tumors were studied. A small piece of the tumor
was removed and fixed in formaldehyde. After that, 500 mL of a 5% NaCL solution
was infused through the renal artery. The first 10 mL drained from the vein was
collected and sent for cytologic study. The specimens and the fragment were
analyzed. The parameters studied were histologic subtypes, Fuhrman grade,
necrosis, and microvascular invasion. Results: Clear-cell renal carcinoma was
found in 81% of the cases. Two cases of chromophobic renal carcinoma, one case of
papillary tumor, and one case of oncocytoma were found. There were no differences
in histologic subtypes, Fuhrman grade, necrosis, and microvascular invasion
before and after perfusion in most of the cases. All cytologic analysis of
drained liquid from the renal vein was negative for neoplastic cells.
Conclusions: Renal perfusion with 5% NaCL solution after laparoscopic radical
nephrectomy did not interfere with the histopathologic and cytologic
characteristics of the kidney. In addition, all samples from the liquid drained
from the renal vein were negative for neoplastic cells. These findings suggest
that renal shrinkage with hypertonic saline after laparoscopic radical
nephrectomy is feasible and might be useful for patients with kidney cancer.
Validation of our results as well as their impact on clinical outcomes is
warranted.
PMID- 24924201
TI - EMMPRIN reduction via scFv-M6-1B9 intrabody affects alpha3beta1-integrin and MCT1
functions and results in suppression of progressive phenotype in the colorectal
cancer cell line Caco-2.
AB - Extracellular matrix metalloproteinase inducer (EMMPRIN) exhibits overexpression
in various cancers and promotes cancer progression and metastasis via the
interaction with its associated molecules. The scFv-M6-1B9 intrabody has a
potential ability to reduce EMMPRIN cell surface expression. However, the
subsequent effect of scFv-M6-1B9 intrabody-mediated EMMPRIN abatement on its
related molecules, alpha3beta1-integrin, MCT1, MMP-2 and MMP-9, is undefined. Our
results demonstrated that the scFv-M6-1B9 intrabody efficiently decreased
alpha3beta1-integrin cell surface expression levels. In addition, intracellular
accumulation of MCT1 and lactate were increased. These results lead to
suppression of features characteristic for tumor progression, including cell
migration, proliferation and invasion, in a colorectal cancer cell line (Caco-2)
although there was no difference in MMP expression. Thus, EMMPRIN represents an
attractive target molecule for the disruption of cancer proliferation and
metastasis. An scFv-M6-1B9 intrabody-based approach could be relevant for cancer
gene therapy.
PMID- 24924204
TI - Reinforcement signals facilitate learning about early behaviors of a response
sequence.
AB - The present experiment examined the effect of presenting a stimulus
simultaneously with the delivery of reinforcement on the acquisition of a two
response sequence. Two groups of rats responded on a schedule that required the
subject to emit either a left-lever right-lever or a right-lever left-lever
response sequence to obtain reinforcement. A group with a 500-ms auditory
reinforcement-signal emitted the sequence at a higher rate than a groups of rats
that lacked the signal. The rates of response on the terminal response lever were
similar in both signalled and unsignalled groups, but rates were higher on the
initial response lever in the signalled compared to the unsignalled condition.
These results suggest that a signal for reinforcement acts to enhance learning
about the preceding response sequence, and that this facilitation is due to an
enhancement in emitting the initial rather than the terminal component of the
sequence.
PMID- 24924205
TI - Description des donnees d'observation continue du comportement par une technique
d'analyse de textes 1. Mise enevidence de styles individuels de maternage dans
une lignee consanguine de souris.
AB - This study illustrates the use of an original technique to give a precise
description of the developmental environment provided by female mice to their
pups, and of his changes with the age of the pups. Successive events recorded by
continous observation of maternal behaviour of C57BL/6 inbred mice were analysed
by a technique devoted to textual analysis. The different mothers were easily
characterised by differences in the frequencies of the behavioural items
expressed on the nest. These individual differences were stable with increasing
age of the pups. On the other hand, the frequencies of behavioural sequences
showed differences related to the age of the pups. The psychological meaning of
some behavioural items is suggested by the results. The relevance of multivariate
techniques of description directly applied on initial data of continous
observation is discussed.
PMID- 24924203
TI - Mechanisms of tolerance and high degradation capacity of the herbicide mesotrione
by Escherichia coli strain DH5-alpha.
AB - The intensive use of agrochemicals has played an important role in increasing
agricultural production. One of the impacts of agrochemical use has been changes
in population structure of soil microbiota. The aim of this work was to analyze
the adaptive strategies that bacteria use to overcome oxidative stress caused by
mesotrione, which inhibits 4-hydroxyphenylpyruvate dioxygenase. We also examined
antioxidative stress systems, saturation changes of lipid membranes, and the
capacity of bacteria to degrade mesotrione. Escherichia coli DH5-a was chosen as
a non-environmental strain, which is already a model bacterium for studying
metabolism and adaptation. The results showed that this bacterium was able to
tolerate high doses of the herbicide (10* field rate), and completely degraded
mesotrione after 3 h of exposure, as determined by a High Performance Liquid
Chromatography. Growth rates in the presence of mesotrione were lower than in the
control, prior to the period of degradation, showing toxic effects of this
herbicide on bacterial cells. Changes in the saturation of the membrane lipids
reduced the damage caused by reactive oxygen species and possibly hindered the
entry of xenobiotics in the cell, while activating glutathione-S-transferase
enzyme in the antioxidant system and in the metabolizing process of the
herbicide. Considering that E. coli DH5-alpha is a non-environmental strain and
it had no previous contact with mesotrione, the defense system found in this
strain could be considered non-specific. This bacterium system response may be a
general adaptation mechanism by which bacterial strains resist to damage from the
presence of herbicides in agricultural soils.
PMID- 24924206
TI - Description des donnees d'observation continue du comportement par une technique
d'analyse de textes. 2. Comparaisons des conduites maternelles dans trois souches
de souris.
AB - This study illustrates the use of multifactorial analysis to perform comparisons
between different genotypic groups, based on behavioral profiles. Maternal
behaviour of C57BL/6 mice and of two reciprocal F2 was precisely described by
continous observation. Unpolished data were directly analysed by a textual
analysis technique using correspondences analysis. Strains were clearly different
according to the relative frequencies of the different acts. These differences
seemed to be related to grand-maternal effects, as previously shown in the same
strains. Pups age related variation between day 2 and day 6 was very low when
compared to maternal styles of the three strains.
PMID- 24924207
TI - Species-specific sound production in three ephippigerine bushcrickets.
AB - Songs of three Ephippigerine species (Ephippiger ephippiger, E. discoidalis and
E.perforatus) have been recorded and analysed. Manipulation experiments have been
carried out by removing single teeth from the pars stridens. The songs of
manipulated animals show characteristic gaps within the impulse structure of the
opening and closing syllables. Morphological measurements were carried out by
means of SEM-photographs of the pars stridens. Combining both the bioacoustic and
morphological results reveals that only the lateral part of the pars stridens is
used during stridulation. Furthermore it could be shown that the individual
impulse interval pattern within one syllable is also highly constant between
syllables. The impulse interval pattern correlates with the pattern of tooth
spacing on the pars stridens.
PMID- 24924208
TI - The spatial parameters encoded by hamsters during exploration: a further study.
AB - The information used by hamsters while reacting to a spatial change after
habituation of exploration was analysed. In our previous work, animals had the
opportunity to explore a circular open field containing four different objects,
for two sessions. During the third session, when the locations of two objects
were exchanged, hamsters re-explored selectively the exchanged objects. The
present study, relying on the same procedure, examined the possibility that
subjects' detection of the change relied on an egocentric strategy, i.e., that
hamsters were using the pattern formed by the horizontal alignment of the objects
as seen from the location at which they were introduced into the field.
Therefore, in Experiment 1, the placement of the subjects at the beginning of the
test session was different from that used on previous sessions. Most hamsters
still displayed a reaction to the object displacements, although their reactions
were not selectively directed to the exchanged objects. Experiment 2 revealed
that, when the subjects were placed at a different location at the beginning of
each session, the change in object locations was not detected. Lastly, Experiment
3 showed that removing the only available extra-apparatus visual cue did not
prevent hamsters from selectively reacting to the displaced objects. These
results are discussed with respect to the notion that during exploration hamsters
encode local views of the environment, which ultimately leads to the building up
of overall cognitive maps after they have been given sufficient exploration.
PMID- 24924209
TI - Biomonitoring of infant exposure to phenolic endocrine disruptors using urine
expressed from disposable gel diapers.
AB - Infant exposure to endocrine disruptors (EDs) may cause adverse health effects
because of their fast growth and development during this life stage. However,
collecting urine from infants for exposure assessment using biological monitoring
is not an easy task. For this purpose, we evaluated the feasibility of using
urine expressed from disposable gel absorbent diapers (GADs) as a matrix for
biomonitoring selected phenolic EDs. GADs urine was expressed with the assistance
of CaCl(2) and was collected using a device fabricated in our laboratory. The
analytes were extracted and concentrated using a liquid-liquid method and their
hydroxyl groups were modified by dansyl chloride to enhance their chromatography
and detection. Finally, the analytes were measured by high-performance liquid
chromatography (HPLC) coupled with electrospray ionization (ESI) tandem mass
spectrometry (MS/MS). The target chemicals were bisphenol A, triclosan, 17 alpha
ethynylestradiol, the natural hormone estrone, and 17 beta-estradiol. The ratio
of the CaCl(2) to the urine-wetted gel absorbent, variation of the inter
urination volume, and analyte deposition bias in the diaper were assessed.
Analyte blank values in the diapers, the sample storage stabilities, and
recoveries of the analytes were also evaluated. The results showed that 70-80 %
of the urine could be expressed from the diaper with the assistance of CaCl(2)
and 70.5-124 % of the spiked analytes can be recovered in the expressed urine.
The limits of detections (LODs) were 0.02-0.27 ng/mL, well within the range for
detection in human populations. Our pilot data suggest that infants are widely
exposed to the selected EDs.
PMID- 24924210
TI - Monitoring urinary metabolites resulting from sulfur mustard exposure in rabbits,
using highly sensitive isotope-dilution gas chromatography-mass spectrometry.
AB - A highly sensitive method for the determination of sulfur mustard (SM)
metabolites thiodiglycol (TDG) and thiodiglycol sulfoxide (TDGO) in urine was
established and validated using isotope-dilution negative-ion chemical ionization
(NICI) gas chromatography-mass spectrometry (GC-MS). TDGO in the samples was
reduced with TiCl3, and then determined together with TDG as a single analyte.
The sample preparation procedures, including two solid-phase-extraction (SPE)
clean-up steps, were optimized to improve the sensitivity of the method. The
limits of detection (LOD) for both TDG and TDG plus TDGO (TDG + TDGO) were 0.1 ng
mL(-1), and the limits of quantitation (LOQ) for both were 0.3 ng mL(-1). The
method was used in a rabbit cutaneous SM exposure model. Domestic rabbits were
exposed to neat liquid SM at three dosage levels (0.02, 0.05, and 0.15 LD50), and
the urinary excretion of four species of hydrolysis metabolites, namely free TDG,
free plus conjugated TDG (total TDG), free TDG + TDGO, and free plus conjugated
TDG + TDGO (total TDG + TDGO), was evaluated to investigate the metabolic
processes. The total urinary excretion profiles of the metabolites, including the
peak time, time window, and dose-response and time-response relationships, were
clarified. The results revealed that the concentrations of TDG and TDG + TDGO in
the urine increased quickly and then decreased rapidly in the first two days
after SM exposure. The cumulative amount of total TDG + TDGO excreted in urine
during the first five days accounted for 0.5-1% of the applied dose of SM. It is
also concluded that TDG and TDGO in urine existed mainly in free form, the levels
of glucuronide and of sulfate conjugates of TDG or TDGO were very low, and most
hydrolysis metabolites were present in the oxidized form (TDGO). The study
indicates that the abnormal increase of TDG and TDGO excretion levels can be used
as a diagnostic indicator and establishes a reference time-window for
retrospective analysis and sampling after SM exposure.
PMID- 24924211
TI - The impact of immobilized metal affinity chromatography (IMAC) resins on DNA
aptamer selection.
AB - DNA aptamers are single-stranded oligonucleotides which can form various
secondary and tertiary structures. They can recognize a broad range of targets
ranging from small molecules, such as ions, vitamins, antibiotics, to high
molecular weight structures, including enzymes and antibodies. DNA aptamers are
extensively studied as a potential source of new pharmaceutical drugs due to
their inexpensive synthesis, low immunogenicity, and high specificity. The
commonly used aptamer selection procedure is systematic evolution of ligands by
exponential enrichment (SELEX) where the target molecule is immobilized on an
appropriate chromatography resin. For peptide/protein targets, immobilized metal
affinity chromatography (IMAC) resins are frequently used. There is a broad range
of commercially available resins which can be used for IMAC. They are
characterized by different metal ions, linker types, and bead materials. In this
study, we tested the impact of different IMAC resins on the DNA aptamer selection
process during eight SELEX cycles. A histidine-tagged 29 amino acid peptide
corresponding to the interdomain connecting loop of human proliferating cell
nuclear antigen was used as a selection target. Different resin materials
containing the same metal ion (Co(2+)) were tested. Simultaneously, agarose
resins containing identical linkers, but different metal ions (Co(2+), Cu(2+),
Ni(2+), and Zn(2+)) were analyzed. The results of this study clearly demonstrated
the impact of the metal ion and resin material on the DNA aptamer selection
progress. The presented data indicate that for successful IMAC resin-based SELEX,
the determination of the optimal resin might be crucial.
PMID- 24924212
TI - Targeting lysosomal membrane permeabilization to induce and image apoptosis in
cancer cells by multifunctional Au-ZnO hybrid nanoparticles.
AB - We have developed multifunctional Au-ZnO hybrid nanoparticles (NPs) for targeted
induction lysosomal membrane permeabilization (LMP)-dependent apoptosis in cancer
cells and real-time imaging.
PMID- 24924213
TI - Non-contrast-enhanced MR portography with balanced steady-state free-precession
sequence and time-spatial labeling inversion pulses: comparison of imaging with
flow-in and flow-out methods.
AB - PURPOSE: To compare and evaluate images of non-contrast-enhanced MR portography
acquired with two different methods, the flow-in and flow-out methods. MATERIALS
AND METHODS: Twenty-five healthy volunteers were examined using respiratory
triggered three-dimensional balanced steady-state free-precession (SSFP) with two
selective inversion recovery pulses (flow-in method) and one tagging pulse and
one nonselective inversion recovery pulse (flow-out method). For quantitative
analysis, vessel-to-liver contrast (Cv-l) ratios of the main portal vein (MPV),
right portal vein (RPV), and left portal vein (LPV) were measured. The quality of
portal vein visualization was scored using a four-point scale. RESULTS: The Cv-ls
of the MPV, RPV, and LPV were all significantly higher with the flow-out than
flow-in method (MPV = 0.834 +/- 0.06 versus 0.711 +/- 0.10; RPV = 0.861 +/- 0.04
versus 0.729 +/- 0.11; LPV = 0.786 +/- 0.08 versus 0.545 +/- 0.22; P < 0.0001).
In all analyses of vessel visibility, non-contrast-enhanced MR portography with
the flow-out method showed higher scores than with the flow-in method. With the
flow-out method, visual scores of the MPV, RPV, portal vein branches of segments
4 (P4), and 8 (P8) were significantly better than with the flow-in method (MPV =
3.4 +/- 0.7 versus 2.6 +/- 0.9; RPV = 4.0 +/- 0.0 versus 3.5 +/- 0.9; P4 = 2.8 +/
1.3 versus 1.6 +/- 1.0; P8 = 4.0 +/- 0.0 versus 2.9 +/- 1.1; P < 0.05).
CONCLUSION: Non-contrast-enhanced MR portography with the flow-out method
improves the visualization of the intrahepatic portal vein in comparison with the
flow-in method. J. Magn. Reson. Imaging 2014;40:583-587. (c) 2013 Wiley
Periodicals, Inc.
PMID- 24924214
TI - Zinc, magnesium, and calcium ion supplementation confers tolerance to acetic acid
stress in industrial Saccharomyces cerevisiae utilizing xylose.
AB - Lignocellulosic biomass is a potential substrate for ethanol production. However,
pretreatment of lignocellulosic materials produces inhibitory compounds such as
acetic acid, which negatively affect ethanol production by Saccharomyces
cerevisiae. Supplementation of the medium with three metal ions (Zn(2+) , Mg(2+)
, and Ca(2+) ) increased the tolerance of S. cerevisiae toward acetic acid
compared to the absence of the ions. Ethanol production from xylose was most
improved (by 34%) when the medium was supplemented with 2 mM Ca(2+) , followed by
supplementation with 3.5 mM Mg(2+) (29% improvement), and 180 MUM Zn(2+) (26%
improvement). Higher ethanol production was linked to high cell viability in the
presence of metal ions. Comparative transcriptomics between the supplemented
cultures and the control suggested that improved cell viability resulted from the
induction of genes controlling the cell wall and membrane. Only one gene, FIT2,
was found to be up-regulated in common between the three metal ions. Also up
regulation of HXT1 and TKL1 might enhance xylose consumption in the presence of
acetic acid. Thus, the addition of ionic nutrients is a simple and cost-effective
method to improve the acetic acid tolerance of S. cerevisiae.
PMID- 24924215
TI - Partners' clinic: an innovative gender strengths-based intervention for breast
cancer patients and their partners immediately prior to initiating care with
their treating physician.
PMID- 24924216
TI - Myocardial fibrosis evaluated by Look-Locker and late gadolinium enhancement
magnetic resonance imaging in apical hypertrophic cardiomyopathy: association
with ventricular tachyarrhythmia and risk factors.
AB - PURPOSE: To evaluate the distribution and extent of myocardial fibrosis
identified by either contrast-enhanced Look-Locker or late gadolinium enhancement
magnetic resonance imaging (LGE MRI) and their relationships between ventricular
tachyarrhythmia or risk factors in apical hypertrophic cardiomyopathy (APH).
MATERIALS AND METHODS: Twenty-five APH patients were examined using a 3.0 T or
1.5 T instrument. We used MRI to evaluate myocardial T1 values and scar. We
compared the myocardial fibrosis assessed by contrast-enhanced Look-Locker or LGE
MRI with ventricular tachyarrhythmia or risk factors for hypertrophic
cardiomyopathy. RESULTS: Myocardial scar was present in 17 of the 25 patients
with APH. Myocardial scar was distributed predominantly in the apical myocardium
(P < 0.01), whereas myocardial T1 values did not differ between the apical,
midventricular, and basal septum. The extent of myocardial scar according to 16
segment model and ejection fraction were related to ventricular tachyarrhythmia
or risk factors in APH (P < 0.05 for both). The myocardial T1 value was not
associated with the tachyarrhythmia or risk factors. CONCLUSION: In APH, the
extent of myocardial scar on LGE MRI is associated with ventricular
tachyarrhythmia and risk factors. Quantification of the myocardial T1 value is
not necessary for its risk stratification.
PMID- 24924217
TI - Evaluation of oscillometric and Doppler ultrasonic devices for blood pressure
measurements in anesthetized and conscious dogs.
AB - Two non-invasive blood pressure (NIBP) devices (oscillometry and Doppler) were
compared to invasive blood pressure using a Bland-Altman analysis, in
anesthetized and conscious dogs. When considering the systolic arterial pressure
only during general anesthesia, both NIBP devices slightly underestimated the
systolic arterial blood pressure however the precision and the limits of
agreement for the Doppler were of a greater magnitude. This indicates a worse
clinical performance by the Doppler. The performance of both NIBP devices
deteriorated as measured in conscious animals. In general, for the oscillometric
device, determination of invasive diastolic and mean arterial pressures was
better than the invasive systolic arterial pressure. Overall, the oscillometric
device satisfied more of the criteria set by the American College of Veterinary
Internal Medicine consensus statement. Based upon these results, the
oscillometric device is more reliable than the Doppler in the determination of
blood pressure in healthy medium to large breed dogs.
PMID- 24924218
TI - Training shelter volunteers to teach dog compliance.
AB - This study examined the degree to which training procedures influenced the
integrity of behaviorally based dog training implemented by volunteers of an
animal shelter. Volunteers were taught to implement discrete-trial obedience
training to teach 2 skills (sit and wait) to dogs. Procedural integrity during
the baseline and written instructions conditions was low across all participants.
Although performance increased with use of a video model, integrity did not reach
criterion levels until performance feedback and modeling were provided. Moreover,
the integrity of the discrete-trial training procedure was significantly and
positively correlated with dog compliance to instructions for all dyads. Correct
implementation and compliance were observed when participants were paired with a
novel dog and trainer, respectively, although generalization of procedural
integrity from the discrete-trial sit procedure to the discrete-trial wait
procedure was not observed. Shelter consumers rated the behavior change in dogs
and trainers as socially significant. Implications of these findings and future
directions for research are discussed.
PMID- 24924219
TI - Processing of gelatin-based cryogels with improved thermomechanical resistance,
pore size gradient, and high potential for sustainable protein drug release.
AB - Porous gelatin (GEL) cryogels were processed by spatiotemporal and temperature
controlled gelation and freezing-lyophilizaton process, followed by zero-length
crosslinking, using different molarities of reagents (EDC and NHS) and reaction
media (100% PBS or 20/80% PBS/EtOH mixture) for variable time extensions (1-24
h). In this way, tuneable cryogels with gradient microporosity (from 100 um to
1000 um) were formed, being mainly influenced by crosslinkers' concentration and
EtOH addition. Later affect the pore morphology (from round to ellipsoid),
consequently modulating the steady-state physiological swelling profile toward
twice lower values (~ 600%) comparing to stepwise swelling of in 100% PBS media
crosslinked cryogels. While the presence of EtOH decelerate the crosslinking
kinetic by retaining cryogels' microstructure formed during freezing, the 100%
PBS and higher EDC molarity resulted in approximately 40% crosslinking degree,
being expressed as a thermal resistance of cryogels up to approximately 73
degrees C. Finally, the tuneable enzymatic resistance allow time-dependent poly-L
Lysine (pL) release profile in up to month period. The processed GEL cryogels
have potential in broad range biomedical applications, especially as sustainable,
protein-based drug delivery systems.
PMID- 24924220
TI - On the mechanism of intramolecular nitrogen-atom hopping in the carbon chain of
C6N radical: a plausible 3c-4e crossover pi long-bond.
AB - Linear isomers of C6N radical differ in the position of the nitrogen atom in the
carbon chain of C6N. Reaction routes, involving intramolecular nitrogen atom
insertion at varying position in the carbon chain of C6N, are analyzed for the
isomerisation between linear isomers of C6N. Through an automated and systematic
search performed with global reaction route mapping of the potential energy
surface, thermal isomerisation pathways for C6N radical are proposed based on the
computations carried out at CASSCF/aug-cc-pVTZ, and CCSD(T)/6
311++G(d,p)//B3LYP/6-311++G(d,p) levels of the theory. Notably, a high lying
linear isomer, centrosymmetric with respect to the nitrogen atom, is observed to
be stabilized by a unique crossover three center-four electron pi long bond
between the carbon atoms that are spatially separated by a nitrogen atom in a
natural bond orbital. This long bond is concluded to be responsible for the
predicted thermal isomerisation to be more feasible than the dissociation during
the isomerisation pathway of a linear isomer of C6N.
PMID- 24924221
TI - BOLD signal change and contrast reversing frequency: an event-related fMRI study
in human primary visual cortex.
AB - It is believed that human primary visual cortex (V1) increases activity with
increasing temporal frequency of a visual stimulus. Two kinds of visual stimulus
were used in the previous studies, one is patterned-flash stimulus with a fixed
onset period and an increasing average luminance with the increase of temporal
frequency, the other is contrast reversing flickering checkerboard or grating
with a constant average luminance across different temporal frequencies. That
hemodynamic responses change as a function of reversal frequency of contrast
reversing checkerboard is at odds with neurophysiological studies in animals and
neuroimaging studies in humans. In the present study, we addressed the
relationship between reversal frequency of contrast reversing checkerboard and
hemodynamic response in human V1 using an event-related experimental paradigm and
found that the transient characteristics of blood oxygenation level dependent
response in human V1 depended very little on the reversal frequency of a contrast
reversing checkerboard.
PMID- 24924223
TI - Investigating the relationship between prenatal growth and postnatal outcomes: a
systematic review of the literature.
AB - Theories regarding the relationship between pre- and postnatal growth and
programming of health have been based on characteristics at birth, with little or
no reference to the patterns of growth occurring in utero. Review of the
literature to identify studies using ultrasonographically obtained fetal
dimensions to track prenatal growth and relate these patterns of growth to
postnatal anthropometry and cardiovascular and metabolic risk factors. Review of
Medline, Scopus and Proquest for studies reporting on ultrasonographically
derived estimates of fetal growth and their association with postnatal
anthropometry, body composition or cardiovascular and metabolic risk factors.
Quality of papers were assessed using the method developed by Downs and Black.
Twenty-nine studies met the inclusion criteria, with a mean score of high
quality. Twenty of the studies had follow-up in infancy, five in childhood, three
in adolescence and one in adulthood. The associations observed suggest that
centile tracking may occur early in pregnancy though whether this is as early as
the first trimester is uncertain. The second trimester may be a critical period
for the programming of blood pressure and abdominal circumference may be the most
sensitive fetal dimension to indicate any programming.
PMID- 24924224
TI - The role of folic acid in fetal programming of birth phenotypes and early human
development: a biopsychosocial perspective.
AB - Preterm birth, low birthweight, intrauterine growth retardation and small for
gestational age are birth phenotypes that significantly contribute to life-long
morbidity and mortality. This review examines the epidemiologic and biologic
evidence of folic acid (FA) as a potential population-based intervention to
curtail some adverse birth phenotypic expressions, and by extension, their later
physical and neurodevelopmental consequences. We outlined a feto-placental
adaptation categorization taking into account how prenatal insults may be encoded
in fetal development, the adaptive success of the feto-placental response, and
subsequent expression in the health of the fetus. Although there are plausible
biological pathways that can be implicated, we found that the epidemiological
evidence on the role of perinatal FA nutriture and fetal programming of adverse
birth phenotypes is still inconclusive. Because biologic and epidemiological
considerations alone do not suffice in deciphering the utility of FA in averting
adverse birth phenotypes, we proposed a biopsychosocial model that takes into
account multi-layered psychosocial contexts for improving subsequent research
studies in this area.
PMID- 24924222
TI - Deletion of astroglial CXCL10 delays clinical onset but does not affect
progressive axon loss in a murine autoimmune multiple sclerosis model.
AB - Multiple sclerosis (MS) is characterized by central nervous system (CNS)
inflammation, demyelination, and axonal degeneration. CXCL10 (IP-10), a chemokine
for CXCR3+ T cells, is known to regulate T cell differentiation and migration in
the periphery, but effects of CXCL10 produced endogenously in the CNS on immune
cell trafficking are unknown. We created floxed cxcl10 mice and crossed them with
mice carrying an astrocyte-specific Cre transgene (mGFAPcre) to ablate astroglial
CXCL10 synthesis. These mice, and littermate controls, were immunized with myelin
oligodendrocyte glycoprotein peptide 35-55 (MOG peptide) to induce experimental
autoimmune encephalomyelitis (EAE). In comparison to the control mice, spinal
cord CXCL10 mRNA and protein were sharply diminished in the mGFAPcre/CXCL10fl/fl
EAE mice, confirming that astroglia are chiefly responsible for EAE-induced CNS
CXCL10 synthesis. Astroglial CXCL10 deletion did not significantly alter the
overall composition of CD4+ lymphocytes and CD11b+ cells in the acutely inflamed
CNS, but did diminish accumulation of CD4+ lymphocytes in the spinal cord
perivascular spaces. Furthermore, IBA1+ microglia/macrophage accumulation within
the lesions was not affected by CXCL10 deletion. Clinical deficits were milder
and acute demyelination was substantially reduced in the astroglial CXCL10
deleted EAE mice, but long-term axon loss was equally severe in the two groups.
We concluded that astroglial CXCL10 enhances spinal cord perivascular CD4+
lymphocyte accumulation and acute spinal cord demyelination in MOG peptide EAE,
but does not play an important role in progressive axon loss in this MS model.
PMID- 24924225
TI - Platelet-activating factor synthesis and receptor-mediated signaling are
downregulated in ovine newborn lungs: relevance in postnatal pulmonary adaptation
and persistent pulmonary hypertension of the newborn.
AB - Platelet-activating factor (PAF) is a phospholipid with a wide range of
biological activities. We studied PAF metabolism and PAF receptor (PAFR)
signaling in perinatal ovine lungs to understand PAF's role in transition of the
perinatal pulmonary hemodynamics and pathophysiology of persistent pulmonary
hypertension of the newborn. We hypothesized that downregulation of PAF synthesis
with upregulation of PAF catabolism by acetylhydrolase (PAF-Ah) in the newborn
lung is needed for fetus-to-newborn pulmonary adaptation. Studies were conducted
on fetal and newborn lamb pulmonary arteries (PA), veins (PV) and smooth muscle
cells (SMC). PAF metabolism, PAFR binding and cell proliferation were studied by
cell culture; gene expression was studied by qPCR. Fetal lungs synthesized 60%
more PAF than newborn lungs. Compared with the fetal PVs and SMCs, PAF-Ah
activity in newborn was 40-60% greater. PAF-Ah mRNA expression in newborn vessels
was different from the expression by fetal PA. PAF-Ah gene clone activity
confirmed deletion of hypoxia-sensitive site. PAFR mRNA expression by the PVs and
SMC-PV of the fetus and newborn was greater than by corresponding PAs and SMC-PA.
Q-PCR study of PAFR expression by the SMC-PV of both groups was greater than SMC
PA. Fetal SMCs bound more PAF than the newborn SMCs. PAFR antagonist, CV-3988,
inhibited PAFR binding and DNA synthesis by the fetal SMCs, but augmented binding
and DNA synthesis by newborn cells. We show different PAF-PAFR mediated effects
in perinatal lungs, suggesting both transcriptional and translational regulation
of PAF-Ah and PAFR expression in the perinatal lamb lungs. These indicate that
the downregulation of PAF-mediated effects postnatally protects against
persistent pulmonary hypertension of the newborn.
PMID- 24924226
TI - Increased collagen deposition in the heart of chronically hypoxic ovine fetuses.
AB - This study determined the effect of chronic intrauterine hypoxia on collagen
deposition in the fetal sheep heart. Moderate or severe hypoxia was induced by
placental embolization in chronically catheterized fetal sheep for 15 days
starting at gestational day 116 +/- 2 (term ~147 days). The fetal right and left
ventricle were evaluated for collagen content using a Sirius red dye and for
changes in signaling components of pathways involved in collagen synthesis and
remodeling using quantitative polymerase chain reaction and Western blot. In
severely hypoxic fetuses (n = 6), there was a two-fold increase (P < 0.05) in the
percentage staining for collagen in the right ventricle, compared with control (n
= 6), whereas collagen content was not altered in the moderate group (n = 4).
Procollagen I and III mRNA levels were increased in the right ventricle, two-fold
(P < 0.05) and three-fold (P < 0.05), respectively, in the severe group relative
to control. These changes were paralleled by a two-fold increase (P < 0.05) in
mRNA levels of the pro-fibrotic cytokine, transforming growth factor beta (TGF
beta1), in the right ventricle. In the right ventricle, the mRNA levels of matrix
metalloproteinase 2 (MMP-2) and its activator, membrane-type MMP (MTI-MMP) were
increased five-fold (P = 0.06) and three-fold (P < 0.05), respectively, relative
to control. Protein levels of TGF-beta were increased in the left ventricle (P <
0.05). Thus, up-regulated collagen synthesis leading to increased collagen
content occurs in the chronically hypoxic fetal heart and may contribute to the
right ventricular diastolic and systolic dysfunction reported in human
intrauterine growth restriction fetuses.
PMID- 24924227
TI - Epigenetic changes in hypothalamic appetite regulatory genes may underlie the
developmental programming for obesity in rat neonates subjected to a high
carbohydrate dietary modification.
AB - Earlier, we showed that rearing of newborn rats on a high-carbohydrate (HC) milk
formula resulted in the onset of hyperinsulinemia, its persistence in the post
weaning period and adult-onset obesity. DNA methylation of CpG dinucleotides in
the proximal promoter region and modifications in the N-terminal tail of histone
3 associated with the neuropeptide Y (Npy) and pro-opiomelanocortin (Pomc) genes
were investigated to decipher the molecular mechanisms supporting the development
of obesity in HC females. Although there were no differences in the methylation
status of CpG dinucleotides in the proximal promoter region of the Pomc gene,
altered methylation of specific CpG dinucleotides proximal to the transcription
start site was observed for the Npy gene in the hypothalami of 16- and 100-day
old HC rats compared with their methylation status in mother-fed (MF) rats.
Investigation of histone tail modifications on hypothalamic chromatin extracts
from 16-day-old rats indicated decreased acetylation of lysine 9 in histone 3
(H3K9) for the Pomc gene and increased acetylation for the same residue for the
Npy gene, without changes in histone methylation (H3K9) in both genes in HC rats.
These findings are consistent with the changes in the levels of Npy and Pomc
mRNAs in the hypothalami of HC rats compared with MF animals. Our results suggest
that epigenetic modifications could contribute to the altered gene expression of
the Npy and Pomc genes in the hypothalami of HC rats and could be a mechanism
leading to hyperphagia and the development of obesity in adult female HC rats.
PMID- 24924228
TI - Circulating levels of the cytokines IL10, IFNgamma and resistin in an obese mouse
model of developmental programming.
AB - An infant's early developmental environment plays a pivotal role in the
programming of its physiological phenotype. The identification of the factors in
the maternal environment that mediate the effects of maternal obesity and diet is
essential to the development of clinical intervention strategies. Maternal
hyperglycaemia, hyperinsulinaemia, hypertriglyceridaemia, hyperleptinaemia and
altered inflammatory cytokines concentrations are potentially important
predictive factors of her future offspring's susceptibility to metabolic disease.
Using a diet-induced obese mouse model, we have investigated which of these
maternal factors could induce adverse metabolic programming in the offspring.
Female C57Bl/6 mice were fed either laboratory chow (10% fat) or high fat diet
(42% fat) for 10 weeks before mating and throughout gestation. At day 18 of
pregnancy, maternal body weight, body composition and glucose tolerance were
measured, as well as plasma insulin, adiponectin, RBP4, leptin, resistin and the
inflammatory cytokines (IL6, IL10, IL12, IL1beta, IFNgamma, KC, TNF-alpha). At
day 18 of pregnancy, high fat-fed dams were significantly heavier than the chow
dams and had increased fat mass. High fat-fed dams had higher 5 h fasting blood
glucose than chow dams and elevated plasma insulin. Although the obese dams had
both reduced plasma adiponectin and resistin levels compared with lean dams,
their plasma IL6, IL10 and IFNgamma levels were all increased. High fat feeding
in pregnancy leads to altered plasma concentrations of both adipokines and
adipocytokines in the dam that may directly pass to the fetus and affect their
development.
PMID- 24924229
TI - Insulin-like growth factor-1 and lipoprotein profile in cord blood of preterm
small for gestational age infants.
AB - Low birth weight was associated with cardiometabolic diseases in adult age.
Insulin-like growth factor-1 (IGF-1) has a crucial role in fetal growth and also
associates with cardiometabolic risks in adults. Therefore, we elucidated the
association between IGF-1 level and serum lipids in cord blood of preterm
infants. The subjects were 41 consecutive, healthy preterm neonates (27 male, 14
female) born at <37-week gestational age, including 10 small for gestational age
(SGA) infants (<10th percentile). IGF-1 levels and serum lipids were measured in
cord blood, and high-density lipoprotein cholesterol (HDLC), low-density
lipoprotein cholesterol (LDLC) and very low-density lipoprotein triglyceride
(VLDLTG) levels were determined by HPLC method. SGA infants had lower IGF-1 (13.1
+/- 5.3 ng/ml), total cholesterol (TC) (55.0 +/- 14.8), LDLC (21.6 +/- 8.3) and
HDLC (26.3 +/- 11.3) levels, and higher VLDLTG levels (19.0 +/- 12.7 mg/dl) than
in appropriate for gestational age (AGA) infants (53.6 +/- 25.6, 83.4 +/- 18.9,
36.6 +/- 11.1, 38.5 +/- 11.6, 8.1 +/- 7.0, respectively). In simple regression
analyses, log IGF-1 correlated positively with birth weight (r = 0.721, P <
0.001), TC (r = 0.636, P < 0.001), LDLC (r = 0.453, P = 0.006), and HDLC levels
(r = 0.648, P < 0.001), and negatively with log TG (r = -0.484, P = 0.002) and
log VLDL-TG (r = -0.393, P = 0.018). Multiple regression analyses demonstrated
that IGF-1 was an independent predictor of TC, HDLC and TG levels after the
gestational age and birth weight were taken into account. In preterm SGA infants,
cord blood lipids profile altered with the concomitant decrease in IGF-1 level.
PMID- 24924230
TI - Intrauterine environment and cognitive development in young twins.
AB - Intrauterine factors important for cognitive development, such as birth weight,
chorionicity and umbilical cord characteristics were investigated. A total of 663
twin pairs completed the Wechsler Intelligence Scale for Children-Revised and
scores were available for Performance, Verbal and Total Intelligence Quotient
(IQ). The intrauterine factors examined were birth weight, placental weight and
morphology, cord knots, cord length and cord insertion. IQ scores for the varying
levels of the intrauterine markers adjusting for gender and gestational age were
calculated. The heritability of IQ and the association between IQ and
intrauterine environment were examined. Twins with lower birth weight and cord
knots had lower IQ scores. The aetiology of IQ is largely distinct from that of
birth weight and cord knots, and non-shared environment may influence the
observed relationships.
PMID- 24924231
TI - Characterization of the role of microRNA-517a expression in low birth weight
infants.
AB - The purpose of this study was to analyze the expression of the placenta-specific
microRNA miR-517a in maternal serum and in placental tissue from low birth weight
newborns and try to detect the effects of miR-517a expression on invasion
potential of trophoblasts. Placental tissue and maternal serum were collected
from both low birth weight newborns (n = 10) and normal birth weight newborns (n
= 20). Expression of miR-517a was assessed in placenta and serum samples by real
time qRT-PCR. In addition, human trophoblast HTR8/SVneo cells were transfected
with a miR-517a 2'-O-methyl oligonucleotide or a negative control RNA, and
invasion was measured using transwell migration assays. Expression of miR-517a
was significantly increased in placentas from low birth weight newborns (61.79 +/
23.06) in comparison with those of normal birth weight newborns (5.01 +/- 1.97;
P < 0.05). The expression of miR-517a was also increased in maternal serum
isolated from the low birth weight newborn (25.78 +/- 8.69) compared with the
normal birth weight newborn (3.21 +/- 1.07; P < 0.05). Overexpression of miR-517a
significantly inhibited invasion of HTR8/SVneo cells (P < 0.05). These data
indicate that miR-517a overexpression could potentially lead to low birth weight,
likely through the inhibition of trophoblast invasion.
PMID- 24924233
TI - Establishing the bidirectional relationship between depression and subclinical
arteriosclerosis--rationale, design, and characteristics of the BiDirect Study.
AB - BACKGROUND: Depression and cardiovascular diseases due to arteriosclerosis are
both frequent and impairing conditions. Depression and (subclinical)
arteriosclerosis appear to be related in a bidirectional way, and it is plausible
to assume a partly joint causal relationship. However, the biological mechanisms
and the behavioral pathways that lead from depression to arteriosclerosis and
vice versa remain to be exactly determined. METHODS/DESIGN: This study protocol
describes the rationale and design of the prospective BiDirect Study that aims at
investigating the mutual relationship between depression and (subclinical)
arteriosclerosis. BiDirect is scheduled to follow-up three distinct cohorts of
individuals ((i) patients with acute depression (N = 999), (ii) patients after an
acute cardiac event (N = 347), and (iii) reference subjects from the general
population (N = 912)). Over the course of 12 years, four personal examinations
are planned to be conducted. The core examination program, which will remain
identical across follow-ups, comprises a personal interview (e.g. medical
diagnoses, health care utilization, lifestyle and risk behavior), a battery of
self-administered questionnaires (e.g. depressive symptoms, readiness to change
health behavior, perceived health-related quality of life), sensory (e.g.
olfaction, pain) and neuropsychological (e.g. memory, executive functions,
emotional processing, manual dexterity) assessments, anthropometry, body
impedance measurement, a clinical work-up regarding the vascular status (e.g.
electrocardiogram, blood pressure, intima media thickness), the taking of blood
samples (serum and plasma, DNA), and structural and functional resonance imaging
of the brain (e.g. diffusion tensor imaging, resting-state, emotional faces
processing). The present report includes BiDirect-Baseline, the first data
collection wave. DISCUSSION: Due to its prospective character, the integration of
three distinct cohorts, the long follow-up time window, the diligent diagnosis of
depression taking depression subtypes into account, the consideration of relevant
comorbidities and risk factors, the assessment of indicators of (subclinical)
arteriosclerosis in different vascular territories, and the structural and
functional brain imaging that is performed for a large number of participants,
the BiDirect Study represents an innovative approach that combines population
based cohorts with sophisticated clinical work-up methods and that holds the
potential to overcome many of the drawbacks characterizing earlier
investigations.
PMID- 24924232
TI - Exploring effects of a natural combination medicine on exercise-induced
inflammatory immune response: A double-blind RCT.
AB - Traumeel (Tr14) is a natural, combination drug, which has been shown to modulate
inflammation at the cytokine level. This study aimed to investigate potential
effects of Tr14 on the exercise-induced immune response. In a double-blind,
randomized, controlled trial, healthy, untrained male subjects received either
Tr14 (n = 40) or placebo (n = 40) for 24 h after a strenuous experimental
exercise trial on a bicycle (60 min at 80%VO2 max). A range of antigen-stimulated
cytokines (in vitro), white blood cell count, lymphocyte activation and apoptosis
markers, and indicators of muscle damage were assessed up to 24 h following
exercise. The area under the curve with respect to the increase (AUCI ) was
compared between both groups. The Tr14 group showed a reduced exercise-induced
leukocytosis and neutrocytosis (P < 0.01 for both), a higher AUCI score of
antigen-stimulated IL-1beta and IL-1alpha (absolute and per monocyte, all P <
0.05), a lower AUCI score of antigen-stimulated GM-CSF (P < 0.05) and by trend a
lower AUCI score of antigen-stimulated IL-2 and IL-4 as well as a higher AUCI
score of antigen-stimulated IL-6 (all P < 0.1). Tr14 might promote differentiated
effects on the exercise-induced immune response by (a) decreasing the
inflammatory response of the innate immune system; and (b) augmenting the pro
inflammatory cytokine response.
PMID- 24924235
TI - Influence of kinin peptides on monocyte-endothelial cell adhesion.
AB - Adhesion of leukocytes to vascular endothelium in response to proinflammatory
mediators is an important component of the overall inflammatory reaction. In the
current work, we used a retinoic acid-differentiated human promonocytic cell
line, U937 and a human microvascular endothelial cell line, HMEC-1 to analyze the
effect of the potent pro-inflammatory bradykinin-related peptides (kinins) on
cell adhesion. Bradykinin (BK) and kinin metabolites without the C-terminal
arginine residue enhanced adhesion of the monocyte-like cells to fibronectin and
to the HMEC-1 cells. Expression of adhesion proteins on the surface of both cell
types was altered by the kinin peptides. In the monocyte-like cells, expression
of CD11b, a subunit of Mac-1 integrin, was significantly increased whilst in the
endothelial cells, a strong increase in the production of intercellular adhesion
molecule 1 (ICAM-1) was observed. The positive bradykinin-induced effect on the
cell-cell interaction was reversed by a carboxypeptidase inhibitor (MGTA), hence
we suspected a significant role of the des-Arg kinin metabolites, which acted
through the kinin receptor type 1. Indeed, the expression of this receptor was up
regulated not only by agonists but also by interferon-gamma and bradykinin. Kinin
peptides also regulated signal transducer and activator of transcription proteins
(STATs) activated by cytokines. Taken together, the above observations support
our hypothesis that kinins stimulate monocyte adhesion to the vessel wall,
especially during pathological states of the circulatory system accompanied by
proinflammatory cytokine release.
PMID- 24924236
TI - JPS Global Workshop Kyoto 2012: introduction.
PMID- 24924234
TI - Gibberellin deficiency pleiotropically induces culm bending in sorghum: an
insight into sorghum semi-dwarf breeding.
AB - Regulation of symmetrical cell growth in the culm is important for proper culm
development. So far, the involvement of gibberellin (GA) in this process has not
yet been demonstrated in sorghum. Here, we show that GA deficiency resulting from
any loss-of-function mutation in four genes (SbCPS1, SbKS1, SbKO1, SbKAO1)
involved in the early steps of GA biosynthesis, not only results in severe
dwarfism but also in abnormal culm bending. Histological analysis of the bent
culm revealed that the intrinsic bending was due to an uneven cell proliferation
between the lower and upper sides of culm internodes. GA treatment alleviated the
bending and dwarfism in mutants, whereas the GA biosynthesis inhibitor,
uniconazole, induced such phenotypes in wild-type plants--both in a concentration
dependent manner, indicating an important role of GA in controlling erectness of
the sorghum culm. Finally, we propose that because of the tight relationship
between GA deficiency-induced dwarfism and culm bending in sorghum, GA-related
mutations have unlikely been selected in the history of sorghum breeding, as
could be inferred from previous QTL and association studies on sorghum plant
height that did not pinpoint GA-related genes.
PMID- 24924237
TI - The role of contextual cues in operant responding in rats.
AB - Following lever-press training on a variable-interval 60-second schedule of food
presentation, groups of rats either remained in their home cages or were exposed
to the operant chamber, from which lever and food had been removed, for five
sessions. The lever was replaced in the chamber and rats from Group 1 (exposure
to chamber) and Group 3 (home cage) were returned to the variable-interval
schedule. Although response rates in test sessions were somewhat lower than at
the end of training, there was no statistically significant difference in rates
for either group. Rats in Group 2 (exposure to chamber) and Group 4 (home cage)
received two test sessions of extinction. During the first session, Group 2 rates
of lever pressing were significantly higher than Group 4 rates. These findings do
not support the view that associations between contextual cues and the reinforcer
serve to energize instrumental behavior (Pearce & Hall, 1979), and provide only
minimal support for the view that contextual cues control responses that compete
with the operant (Mills, 1980).
PMID- 24924238
TI - Mirror-image reactions in a tool-using, adult male Macaca tonkeana.
AB - This study examined reactions to mirror-image stimulation in a wild- reared adult
male monkey (Macaca tonkeana) which had spontaneously developed a form of tool
use, namely the use of plant stems to reach mucus inside the nose. Initial
intense social responsiveness to the mirror was followed by habituation, although
some social responding persisted. Mirror- induced social facilitation of drinking
was demonstrated, the first record of such an effect in a nonhuman primate.
Rather than enhancing the subject's tool-using activity, the presence of the
mirror disrupted tool-use. Finally, an objective test for the presence of self
recognition failed to obtain any evidence of self-recognition in the subject,
lending support to the view that the capacity for self-recognition may be lacking
in monkeys.
PMID- 24924239
TI - Relative importance of distance senses in hamster predatory behavior.
AB - This study examined the relative importance vision, audition and olfaction played
in the localization of prey in the golden hamster (Mesocricetus auratus ). These
three senses were blocked singly or in various combinations so that a hamster was
tested under eight different situations with a tethered cricket and four
situations with a dead cricket. Vision, audition and olfaction all contributed to
localization of a tethered cricket with vision playing the dominant role. In
localization of a stationary cricket, a hamster used both vision and olfaction to
find a prey. Comparison of these data with that from a similar study with the
grasshopper mouse showed that the relative roles these distance senses played in
predation of these two rodents was substantially different.
PMID- 24924240
TI - Properties of behavior under different random ratio and random interval
schedules: A parametric study.
AB - Four pigeons were trained to peck a key under different values of a temporally
defined independent variable (T) and different probabilities of reinforcement
(p). Parameter T is a fixed repeating time cycle and p the probability of
reinforcement for the first response of each cycle T. Two dependent variables
were used: mean response rate and mean postreinforcement pause. For all values of
p a critical value for the independent variable T was found (T=1 sec) in which
marked changes took place in response rate and postreinforcement pauses. Behavior
typical of random ratio schedules was obtained at T 1 sec and behavior typical of
random interval schedules at T 1 sec.
PMID- 24924241
TI - Constraining response output on conjunctive fixed-ratio 1 fixed-time
reinforcement schedules: Effects on the postreinforcement pause.
AB - Two experiments used response-restriction procedures in order to test the
independence of the factors determining response rate and the factors determining
the size of the postreinforcement pause on interval schedules. Responding was
restricted by response-produced blackout or by retracting the lever. In
Experiment 1 with a Conjunctive FR 1 FT schedule, the blackout procedure reduced
the postreinforcement pause more than the lever-retraction procedure did, and
both procedures produced shorter pauses than did the schedule without response
restriction. In Experiment 2 the interreinforcement interval was also
manipulated, and the size of the pause was an increasing function of the
interreinforcement interval, but the rate of increase was lower than that
produced by fixed interval schedules of comparable interval durations. The
assumption of functional independence of the postreinforcement pause and terminal
rate in fixed interval schedules is questioned since data suggest that pause
reductions resulted from constraining variation in response number compared to
equivalent periodic schedules in which response number was allowed to vary.
PMID- 24924242
TI - Telencephalic removal and tonic immobility in the domestic hen (Gallus Domesticus
).
AB - The number of inductions and the duration of tonic immobility (TI) was measured
in Brown Leghorn hens which were either sham-operated or had total removal of the
telencephalon. The overall duration of TI was shorter in sham-operated birds than
in decerebrates. The duration of TI decreased significantly in both groups when
they were given one trial daily for 7 consecutive days but this was not seen when
the birds received 5 trials in rapid succession. The number of inductions
necessary to produce TI in decerebrate hens did not differ significantly with
repeated testing but sham-operated birds were less susceptible to induction by
the 7th trial when tested daily. In contrast, testing the birds in rapid
succession had no effect. The possible role of the telencephalon in TI was
discussed.
PMID- 24924243
TI - Undermatching in humans to amount of reinforcement.
AB - Human subjects were exposed to a concurrent-chain procedure in which amount of
reinforcement in the terminal links was varied. The experimental procedure was
designed to resemble as closely as possible animal operant procedures: verbal
instructions were eliminated, the key-press operant response was shaped, and a
"consummatory" response was required to receive reward. In addition to varying
amount of reward, three different pairs of initial-link values in the concurrent
chain were studied. The human subjects showed undermatching to amount of
reinforcement (as do animal subjects). Moreover, the degree of undermatching
tended to increase as the values of the initial links increased, consistent with
Fantino's delay reduction hypothesis (1977) that choice for a larger reward
decreases as the length of the initial link increases.
PMID- 24924244
TI - A comparison of intradimensional and extradimensional shift learning in pigeons.
AB - In each of three experiments pigeons were trained on a simultaneous
discrimination between stimuli that differed in both colour and orientation. For
half the birds the colour dimension was relevant and for half orientation was
relevant (i.e., differences along that dimension were correlated with reward and
nonreward). All birds were then shifted to a second discrimination between new
colours and orientations. For half this constituted an intradimensional shift in
that the previously relevant dimension remained relevant; for the remainder the
previously irrelevant dimension was made relevant (an extradimensional shift).
Contrary to the predictions of attentional theory, the two types of shift were
learned with equal ease.
PMID- 24924245
TI - Distribution of feeding and drinking by groups of captive house mice.
AB - Locations of feeding and drinking by social groups of commensal house mice (Mus
domesticus), were monitored daily for 30 to 90 minutes for 1 to 3 months.
Eighteen foodcups and 18 water bottles were distributed in a 2.4 * 5.1 m
population cage. Social groups concentrated their feeding at a few of the
foodcups which varied among replicates but drank from most of the water bottles.
A mouse feeding at the same sites that are used by others implies that mice use
social cues in choosing feeding sites. This is the first documentation of
socially influenced choice of feeding sites by wild-caught commensal house mice.
A mouse drinking at several sites without concentrating on any sites implies
social cues are less important in choosing drinking sites.
PMID- 24924250
TI - The age of olfactory cues functioning in chemocommunication among male domestic
cats.
AB - In a simulated open-field situation we tried to obtain information about the
ability of tomcats to distinguish between urine marks of differing ages.
Reactions to these marks were sniffing, sniffing intensively and "Flehmen". The
variables we chose to demonstrate a possible discriminatory ability between urine
marks of different ages were: (1) latency, i.e. the time that elapses between the
cat's release in the observation arena and the finding of a urine mark, (2) the
number of occurrences of Flehmen after sniffing, (3) the frequency of sniffing
and Flehmen, (4) the duration of the first sniffing bout and (5) the occurrence
of a return to the same scent source during an observation. Our most striking
observation was that fresh urine marks (maximum age, 4 hours) were explored
first, before marks more than one day old; the other variables did not differ
dramatically although we observed a general decline in the intensity of the
reaction with increasing age of the urine marks. We also found that the visual
characteristics of a urine mark play an important role, particularly in the
occurrence of returns. Furthermore we found that the reactions of sniffing and
Flehmen are linked with an attempt to identify the donor. All results, including
the possible influence upon marking behaviour of the presence or absence of fresh
marks, are discussed in the light of the use of a "time plan" spacing mechanism
by the domestic tomcat as had been suggested in earlier literature.
PMID- 24924251
TI - Dominance relations in pairs of domestic cats.
AB - The dominance relationships in a group of adult male cats were studied by means
of paired encounters in an observation arena which was equally familiar to both
animals. In order to develop a good operational technique a pilot study was
undertaken. Dominance relationships were determined by using criteria based upon
approach/withdrawal or threatening postures similar to those described by
Leyhausen (1973). During the encounters two conflicting tendencies seemed to
appear: efforts to avoid confrontation and agonistic interactions. The intensity
of the reaction varied from pair to pair. Some kinds of behaviour, not considered
to be agonistic (such as exploration and, to a lesser extent, rubbing and urine
marking), were performed more frequently by the dominant male. Furthermore it was
shown that in the course of the experiments, the number of encounters in which no
dominance could be assessed increased, probably due to increasing familiarity.
The results of a pilot study on the effect of castration and testosterone
treatment upon dominance relationships are also presented.
PMID- 24924252
TI - Role of early experience in social behaviour of laboratory-bred female rats.
AB - Social relationships among female laboratory-bred rats in a community are
influenced by their early life history. When the rats were born and kept until
adulthood under conventional breeding conditions, i.e. in single cages, and then
used to form a community in which they gave birth, one female assumed the
dominant role, with all others subordinate. The dominant female herded all young
rats born in the community, irrespective of their age, in a single litter and
suckled them. She accumulated food and wood shavings from other parts of the
community near the nest and prevented access to the nest to all other community
members including other females that had given birth. Subordinate females ceased
to show maternal behaviour, including lactation, within 24 h (occasionally within
48 h). The mortality of the young until 15 days of age was high. This type of
behaviour in a community was observed both with randomly chosen female rats and
with rats selected as dominant and subordinate types in preceding experiments.
Female rats born and reared in a community and rats living in a community from 15
to 30 days of age did not differentiate into dominant and subordinate types. All
females retained their maternal behaviour, including lactation. Mortality of
young rats was minimal. In most cases the females built one common nest;
sometimes each female built her own nest. The results point to the decisive role
of early experience in the development of maternal behaviour and in the
occurrence of communal rearing of the young.
PMID- 24924253
TI - Self-imposed food deprivation and wheel-running activity in field-trapped Norway
rats as a function of environmental change, time in captivity and age at capture.
AB - The tendency of juvenile and adult field-trapped Norway rats (Rattus norvegicus)
to exhibit self-induced food deprivation in response to changes in their living
environment was studied after different periods of residency in the laboratory
environment. Self-imposed food deprivation resulting from the forced occupation
of a novel environment (activity wheels) was greater for those animals tested
immediately upon capture and after 25 weeks in captivity than for rats in
captivity for 4 weeks. The deprivation response of juveniles was less than for
adults immediately following capture but after 25 weeks in captivity the
responses of the two age groups were similar. Deprivation responses following
reintroduction to the home cage environment diminished over time in captivity.
Wheel-running by adults was greatest immediately following capture whereas
juveniles continued to show relatively high levels of wheel-running at 4 weeks in
captivity. The results are discussed in terms of habituation to the laboratory
environment and time-dependent changes in response to novelty.
PMID- 24924254
TI - Social dominance in captive jackdaws (Corvus monedula).
AB - Social hierarchy was studied in two flocks (14 and 10 individuals, respectively)
of captive jackdaws. Parent birds acquired high-ranking positions during the
nesting period. Outside the breeding season the hierarchy seemed to be stable.
Males generally dominated females. When the top-ranking male arrived at the
feeding place it tended to supplant one of the feeding birds rather than choose
an unoccupied site.
PMID- 24924257
TI - Wheat germ in vitro translation to produce one of the most toxic sodium channel
specific toxins.
AB - Envenoming following scorpion sting is a common emergency in many parts of the
world. During scorpion envenoming, highly toxic small polypeptides of the venom
diffuse rapidly within the victim causing serious medical problems. The
exploration of toxin structure-function relationship would benefit from the
generation of soluble recombinant scorpion toxins in Escherichia coli. We
developed an in vitro wheat germ translation system for the expression of the
highly toxic Aah (Androctonus australis hector)II protein that requires the
proper formation of four disulphide bonds. Soluble, recombinant N-terminal GST
(glutathione S-transferase)-tagged AahII toxin is obtained in this in vitro
translation system. After proteolytic removal of the GST-tag, purified rAahII
(recombinant AahII) toxin, which contains two extra amino acids at its N terminal
relative to the native AahII, is highly toxic after i.c.v.
(intracerebroventricular) injection in Swiss mice. An LD50 (median lethal dose)
value of 10 ng (or 1.33 pmol), close to that of the native toxin (LD50 of 3 ng)
indicates that the wheat germ in vitro translation system produces properly
folded and biological active rAahII. In addition, NbAahII10 (Androctonus
australis hector nanobody 10), a camel single domain antibody fragment, raised
against the native AahII toxin, recognizes its cognate conformational epitope on
the recombinant toxin and neutralizes the toxicity of purified rAahII upon
injection in mice.
PMID- 24924258
TI - Tumor metastasis inhibition by imaging-guided photothermal therapy with single
walled carbon nanotubes.
AB - Multi-modal imaging guided photothermal therapy with single-walled carbon
nanotubes affords effective destruction of primary tumors together with cancer
cells in sentinel lymph nodes. This results in remarkably prolonged mouse
survival compared to mice treated by elimination of only the primary tumor by
either surgery or conventional photothermal therapy.
PMID- 24924259
TI - Modeling the shear rate and pressure drop in a hydrodynamic cavitation reactor
with experimental validation based on KI decomposition studies.
AB - A mathematical model describing the shear rate and pressure variation in a
complex flow field created in a hydrodynamic cavitation reactor (stator and rotor
assembly) has been depicted in the present study. The design of the reactor is
such that the rotor is provided with surface indentations and cavitational events
are expected to occur on the surface of the rotor as well as within the
indentations. The flow characteristics of the fluid have been investigated on the
basis of high accuracy compact difference schemes and Navier-Stokes method. The
evolution of streamlining structures during rotation, pressure field and shear
rate of a Newtonian fluid flow have been numerically established. The simulation
results suggest that the characteristics of shear rate and pressure area are
quite different based on the magnitude of the rotation velocity of the rotor. It
was observed that area of the high shear zone at the indentation leading edge
shrinks with an increase in the rotational speed of the rotor, although the
magnitude of the shear rate increases linearly. It is therefore concluded that
higher rotational speeds of the rotor, tends to stabilize the flow, which in turn
results into less cavitational activity compared to that observed around 2200
2500RPM. Experiments were carried out with initial concentration of KI as
2000ppm. Maximum of 50ppm of iodine liberation was observed at 2200RPM.
Experimental as well as simulation results indicate that the maximum cavitational
activity can be seen when rotation speed is around 2200-2500RPM.
PMID- 24924261
TI - TP53 mutations in advanced colorectal cancer: the dark side of the moon.
AB - BACKGROUND: Evidence for TP53 mutations as biomarker in colorectal cancer (CRC)
is conflicting. METHODS: We assessed TP53 mutations in 51 patients with advanced
CRC enrolled into a phase II, randomised trial of first-line tegafur-uracil
(UFT)/leucovorin (LV) plus irinotecan (n = 23) versus UFT/LV plus oxaliplatin (n
= 28). RESULTS: Non-functional TP53 mutations were found in 35% of patients. The
response rate was not significantly different according to TP53 status.
Progression-free and overall survival were longer in patients with TP53 mutations
compared to those with wild-type TP53 (9 vs. 6.5 months, p = 0.0504, and 39.2 vs.
19.6 months, p = 0.0055, respectively). On multivariable analysis, TP53 mutation
was independently associated with a decreased risk of death (hazard ratio 0.329,
95% CI 0.159-0.679; p = 0.0026). Treatment arm did not interact with TP53 in
influencing outcomes. CONCLUSION: TP53 was not predictive of benefit from first
line irinotecan- or oxaliplatin-based chemotherapy. TP53 mutations may possibly
be associated with a more indolent course of CRC after the diagnosis of
metastatic disease.
PMID- 24924260
TI - Clinicians' perceptions and provision of hormonal contraceptives for HIV-positive
and at-risk women in Southern Africa: an original research article.
AB - OBJECTIVES: To assess clinician provision of hormonal contraception for HIV
positive and at-risk women in Southern Africa. STUDY DESIGN: We conducted a
nationally representative survey of clinicians (n=1444) in HIV-prevalent settings
in South Africa and Zimbabwe to evaluate evidence-based contraceptive care and
clinician views of hormonal contraceptives for HIV-positive and at-risk women.
Multivariable logistic regression was used to analyze differences in
contraceptive provision by professional training and practice setting. RESULTS:
Most providers offered oral contraceptives (85%), but only a small minority
considered them appropriate for women at risk of HIV (27%) or HIV-positive women
(25%). A higher proportion of clinicians considered injections appropriate for
women at risk of HIV (42%) or HIV-positive women (46%). Very few considered
emergency contraceptives appropriate (13%). Multivariable results showed that
family planning training and clinic as compared to hospital practices were
associated with evidence-based attitudes about contraception for HIV-positive or
at-risk women and greater provision. There were no differences, however, between
physicians and nurses or by HIV training. CONCLUSIONS: These findings emphasize
the need to improve clinicians' awareness of evidence-based guidelines for
hormonal contraception for women at high risk of HIV and HIV-positive women.
Evidence-based information that oral contraception and injections are appropriate
is essential. Contraceptive education should be integrated into HIV training to
reach at-risk populations.
PMID- 24924262
TI - Living with diabetes and hypertension in Tunisia: popular perspectives on
biomedical treatment.
AB - OBJECTIVES: The growing prevalence of non-communicable diseases across the Middle
East and North Africa poses major challenges for underfunded health services.
This article presents data on the perspectives of ordinary Tunisians who are
coping with two of these diseases--diabetes and hypertension--and who are
obtaining treatment through Tunisian public health clinics. Little has been
written to date on patient experiences of biomedical treatment in Maghreb
countries. METHODS: Based on qualitative methods and semi-structured interviews
with 24 patients attending two clinics, one urban and one rural. RESULTS: We
examine popular aetiological beliefs, ideas about biomedical treatment and its
implications, and comparative views on the benefits and drawbacks of treatment in
both public and private clinics. CONCLUSIONS: We highlight two main themes. One
was nostalgia for a recent past when 'pure' and 'natural' food, 'proper' meals
and less stressful lives meant less chronic illness, with demanding and costly
treatment. The other concerned communication in the clinic, and the recurrent
dismay patients felt at what they saw as the cursory attention and guidance they
received from clinic staff in public facilities.
PMID- 24924263
TI - IrFC - An Ixodes ricinus injury-responsive molecule related to Limulus Factor C.
AB - Limulus Clotting Factor C is a multi-domain serine protease that triggers
horseshoe crab hemolymph clotting in the presence of trace amounts of bacterial
lipopolysaccharides. Here we describe and functionally characterize an homologous
molecule, designated as IrFC, from the hard tick Ixodes ricinus. Tick Factor C
consists of an N-terminal cysteine-rich domain, four complement control protein
(sushi) modules, an LCCL domain, a truncated C-lectin domain and a C-terminal
trypsin-type domain. Developmental expression profiling by quantitative real-time
PCR revealed that the irfc mRNA is expressed in all stages including eggs. In
tissues dissected from adult I. ricinus females, the irfc mRNA is present mainly
in tick hemocytes and accordingly, indirect immunofluorescence microscopy
localized IrFC intracellularly, in tick hemocytes. Irfc mRNA levels were markedly
increased upon injection of sterile saline, or different microbes, demonstrating
that the irfc gene transcription occurs in response to injury. This indicates a
possible role of IrFC in hemolymph clotting and/or wound healing, although these
defense mechanisms have not been yet definitely demonstrated in ticks. RNAi
silencing of irfc expression resulted in a significant reduction in phagocytic
activity of tick hemocytes against the Gram-negative bacteria Chryseobacterium
indologenes and Escherichia coli, but not against the yeast, Candida albicans.
This result suggests that IrFC plays a role in the tick primordial complement
system and as such possibly mediates transmission of tick-borne pathogens.
PMID- 24924264
TI - Using small angle solution scattering data in Xplor-NIH structure calculations.
AB - This contribution describes the use of small and wide angle X-ray and small angle
neutron scattering for biomolecular structure calculation using the program Xplor
NIH, both with and without NMR data. The current algorithms used for calculating
scattering curves are described, and the use of scattering data as a structural
restraint is given concrete form as a fragment of an Xplor-NIH structure
calculation script. We review five examples of the use of scattering data in
structure calculation, including the treatment of single domain proteins, nucleic
acids, structure determination of large proteins, and the use of ensemble
representations to characterize small and large amplitude motions.
PMID- 24924265
TI - Multiparametric magnetic resonance imaging of acute experimental brain ischaemia.
AB - Ischaemia is a condition in which blood flow either drops to zero or proceeds at
severely decreased levels that cannot supply sufficient oxidizable substrates to
maintain energy metabolism in vivo. Brain, a highly oxidative organ, is
particularly susceptible to ischaemia. Ischaemia leads to loss of consciousness
in seconds and, if prolonged, permanent tissue damage is inevitable. Ischaemia
primarily results in a collapse of cerebral energy state, followed by a series of
subtle changes in anaerobic metabolism, ion and water homeostasis that eventually
initiate destructive internal and external processes in brain tissue. (31)P and
(1)H NMR spectroscopy were initially used to evaluate anaerobic metabolism in
brain. However, since the early 1990s (1)H Magnetic Resonance Imaging (MRI),
exploiting the nuclear magnetism of tissue water, has become the key method for
assessment of ischaemic brain tissue. This article summarises multi-parametric
(1)H MRI work that has exploited diffusion, relaxation and magnetisation transfer
as 'contrasts' to image ischaemic brain in preclinical models for the first few
hours, with a view to assessing evolution of ischaemia and tissue viability in a
non-invasive manner.
PMID- 24924266
TI - NMR approaches for structural analysis of multidomain proteins and complexes in
solution.
AB - NMR spectroscopy is a key method for studying the structure and dynamics of
(large) multidomain proteins and complexes in solution. It plays a unique role in
integrated structural biology approaches as especially information about
conformational dynamics can be readily obtained at residue resolution. Here, we
review NMR techniques for such studies focusing on state-of-the-art tools and
practical aspects. An efficient approach for determining the quaternary structure
of multidomain complexes starts from the structures of individual domains or
subunits. The arrangement of the domains/subunits within the complex is then
defined based on NMR measurements that provide information about the domain
interfaces combined with (long-range) distance and orientational restraints.
Aspects discussed include sample preparation, specific isotope labeling and spin
labeling; determination of binding interfaces and domain/subunit arrangements
from chemical shift perturbations (CSP), nuclear Overhauser effects (NOEs),
isotope editing/filtering, cross-saturation, and differential line broadening;
and based on paramagnetic relaxation enhancements (PRE) using covalent and
soluble spin labels. Finally, the utility of complementary methods such as small
angle X-ray or neutron scattering (SAXS, SANS), electron paramagnetic resonance
(EPR) or fluorescence spectroscopy techniques is discussed. The applications of
NMR techniques are illustrated with studies of challenging (high molecular
weight) protein complexes.
PMID- 24924267
TI - Efficacy of hyaluronic acid spray on swelling, pain, and trismus after surgical
extraction of impacted mandibular third molars.
AB - The aim of this study was compare the efficacies of two oral sprays in reducing
swelling, pain, and trismus after the extraction of impacted mandibular third
molars. This prospective double-blind, randomized, crossover clinical trial
included 34 patients with bilateral symmetrically impacted mandibular third
molars of similar surgical difficulty. Hyaluronic acid or benzydamine
hydrochloride spray was applied (two pumps) to the extraction area, three times
daily for 7 days. Swelling was evaluated using a tape measure method, pain with a
visual analogue scale (VAS), and trismus by measuring the maximum inter-incisal
opening. Assessments were made on the day of surgery and on days 2 and 7 after
surgery. Statistically significant differences were detected for the swelling and
trismus values between the two treatment groups on the second postoperative day
(P=0.002 and P=0.03, respectively). However, there was no statistically
significant difference in VAS scores between the two groups. The administration
of hyaluronic acid spray was more effective than benzydamine hydrochloride spray
in reducing swelling and trismus. Although no evidence of a reduction in pain
levels was detected, hyaluronic acid appears to offer a beneficial effect in the
management of swelling and trismus during the immediate postoperative period
following impacted third molar surgery.
PMID- 24924268
TI - Quality assessment of data discrimination using self-organizing maps.
AB - MOTIVATION: One of the important aspects of the data classification problem lies
in making the most appropriate selection of features. The set of variables should
be small and, at the same time, should provide reliable discrimination of the
classes. The method for the discriminating power evaluation that enables a
comparison between different sets of variables will be useful in the search for
the set of variables. RESULTS: A new approach to feature selection is presented.
Two methods of evaluation of the data discriminating power of a feature set are
suggested. Both of the methods implement self-organizing maps (SOMs) and the
newly introduced exponents of the degree of data clusterization on the SOM. The
first method is based on the comparison of intraclass and interclass distances on
the map. Another method concerns the evaluation of the relative number of best
matching unit's (BMUs) nearest neighbors of the same class. Both methods make it
possible to evaluate the discriminating power of a feature set in cases when this
set provides nonlinear discrimination of the classes. AVAILABILITY: Current
algorithms in program code can be downloaded for free at
http://mekler.narod.ru/Science/Articles_support.html, as well as the supporting
data files.
PMID- 24924269
TI - Methuselah-like genes affect development, stress resistance, lifespan and
reproduction in Tribolium castaneum.
AB - Methuselah (Mth) is associated with lifespan, stress resistance and reproduction
in Drosophila melanogaster, but Mth is not present in nondrosophiline insects. A
number of methuselah-likes (mthls) have been identified in nondrosophiline
insects, but it is unknown whether the functions of mth are shared by mthls or
are divergent from them. Five mthls have been identified in Tribolium castaneum.
Although they have different developmental expression patterns, they all enhance
resistance to starvation. Only mthl1 and mthl2 enhance resistance to high
temperature, whereas mthl4 and mthl5 negatively regulate oxidative stress in T.
castaneum. Unlike in the fly with mth mutation, knockdown of mthls, except mthl3,
shortens the lifespan of T. castaneum. Moreover, mthl1 and mthl2 are critical for
Tribolium development. mthl1 plays important roles in larval and pupal
development and adult eclosion, while mthl2 is required for eclosion. Moreover,
mthl1 and mthl2 silencing reduces the fertility of T. castaneum, and mthl1 and
mthl4 are also essential for embryo development. In conclusion, mthls have a
significant effect on insect development, lifespan, stress resistance and
reproduction. These results provide experimental evidence for functional
divergence among mthls/mth and clues for the signal transduction of Mthls.
PMID- 24924270
TI - Goal-determined metrics to assess outcomes of esotropia surgery.
AB - PURPOSE: To report outcomes of esotropia surgery with a goal-determined tool.
METHODS: A goal-determined outcomes analysis tool was devised to address a
quality improvement initiative at Boston Children's Hospital. Surgeons
preoperatively ranked four possible goals for intervention: enhancement of
binocular potential, restoration of eye contact (reconstructive), management of
diplopia, and resolution of torticollis. Criteria for success were goal specific;
the primary outcome measure was surgical success at 2-4 months. Secondary
outcomes included appraisal of risk factors and a comparison of outcomes with
this methodology versus traditional criteria for success based on motor
alignment. No patients were excluded based on diagnosis, systemic and ocular risk
factors, or intervention performed. RESULTS: A total of 824 patients underwent
esotropia surgery from 2006 to 2012 and returned for evaluation at 2-4 months'
follow-up. Of these, 777 had sufficient documentation for inclusion: 372
procedures were performed primarily to improve binocular potential; 238, to
restore eye contact; 124, to resolve diplopia; and 43, to remediate torticollis.
Excellent (71%) or good (13.7%) results were obtained in 84% of cases. Without
associated risk factors, 75% had excellent and 14% had good outcomes. Risk
factors were present in 444 (57%). Success diminished with prior strabismus
surgery (P = 0.004), preoperative angle >=50(Delta) (P = 0.002), and surgery
before 12 months of age (P = 0.003). Patients having surgery to remediate
diplopia had the best outcomes (excellent, 79%; good, 8%). Preoperative ranking
of goals allowed demonstration of better results than would have been reported
with requirement of "traditional" motor alignment criteria (P = 0.009).
CONCLUSIONS: Goal-determined methodology can be useful for monitoring outcomes of
esotropia surgery in diverse populations.
PMID- 24924272
TI - The relationship of age when motor alignment is achieved and the subsequent
development of stereopsis in infantile esotropia.
AB - PURPOSE: To determine the oldest age beyond which the chance of developing
stereopsis is not possible even with excellent motor alignment in patients with
infantile esotropia. METHODS: The medical records of children with infantile
esotropia who underwent a single operation and had alignment within 10(Delta) of
orthotropia at all follow-up examinations were retrospectively reviewed. Patients
were assessed for stereopsis after the age of 48 months. RESULTS: A total of 38
children were included. There was a statistically significant inverse correlation
between age at surgery and final stereopsis (r = 0.494, P = 0.002). There was a
significant difference at mean age at surgery between patients having stereopsis
better than 1000 arcsec and those having no stereopsis (P = 0.002). Post hoc
power analysis revealed a value of 85%. Receiver operating characteristic curve
analysis revealed that the optimum cut-off value of the age at surgery for
predicting stereopsis was 16 months (Youden index = 0.474; area under ROC curve,
0.784; 95% CI: 0.62-0.90; P = 0.0002). CONCLUSIONS: Surgery for infantile
esotropia is most likely to result in measureable stereopsis if patient age at
alignment is not more than 16 months.
PMID- 24924271
TI - The effectiveness of an autorefractor with eye-tracking capability in pediatric
patients.
AB - PURPOSE: To study the diagnostic accuracy and effectiveness in children of a new
autorefractor with eye-tracking capability. METHODS: Children aged 3-17 years
were tested with a Marco Nidek ARK-560A autorefractor before and after
cycloplegia. Cycloplegic manifest refractions were conducted on the more
cooperative children. Measurements were converted to vector representations M
(sphere), J0, and J45 (cylinder) by Fourier analysis and compared before and
after cycloplegia for autorefractor measurements and clinical manifest and
retinoscopic determinations. RESULTS: A total of 88 subjects were included.
Autorefractor measurements were successfully obtained on all subjects. Before
cycloplegia the mean spherical portion of the refractive error by autorefractor
measurement (AR Dry) averaged 0.29 +/- 0.75 D less hyperopia than the clinical
manifest refraction (P < 0.005). After cycloplegia, mean autorefractor
measurements (AR Wet) demonstrated 1.03 +/- 0.84 D more hyperopia in the
spherical component than AR Dry (P < 0.001). The spherical component of
autorefraction and clinical measurements after cycloplegia were not statistically
different, but the J0 astigmatic findings differed by 0.13 D +/- 0.25 (P <
0.0003). For the 27 children under 8 years of age, precycloplegic autorefractor
findings differed from manual cycloplegic retinoscopy by 1.48 D +/- 1.13 for
sphere (P < 0.001) but were not statistically different for astigmatic
parameters. CONCLUSIONS: Autorefractors can estimate manual retinoscopy values in
children and may prove useful in the office setting in evaluating pediatric
patients. Autotracking allowed successful acquisition of measurements in all
subjects.
PMID- 24924273
TI - Evaluation and treatment of failed nasolacrimal duct probing in Down syndrome.
AB - PURPOSE: To elucidate the mechanisms underlying failed nasolacrimal duct (NLD)
probing in children with Down syndrome (DS) utilizing computed tomography (CT)
scans and histopathology of nasal mucosa. METHODS: The medical records of
children with DS and NLD obstruction confirmed by dye disappearance testing who
failed NLD surgery were retrospectively reviewed. Dimensions of the bony NLD and
presence of postductal mucosal obstruction were obtained from CT scans.
Histopathology of the nasal mucosa was performed in a subset of patients.
Subsequent treatment was topical or intranasal corticosteroids or submucosal
corticosteroids alone or combined with surgical reduction of the inferior
turbinate. RESULTS: A total of 9 subjects (age range, 8-10 years) and 43 age
matched controls were included. Both groups demonstrated a logarithmic increase
in NLD and maxilla dimensions with increasing age; however, the transverse
diameter of the NLD was consistently 1-2 mm smaller in children with DS <=5 years
age (n = 4) than in age-matched controls. The transverse diameter in DS children
overlapped that of controls after 5 years age. Histopathology revealed abnormal
lymphoplasmacytic inflammation of the mucosa in 4 of 5 biopsies of DS patients,
consistent with chronic infection, allergic disease, or immune dysregulation. The
postductal obstruction was successfully treated with topical or intranasal
corticosteroids or by surgical reduction of the inferior turbinate submucosa with
corticosteroid injection. CONCLUSIONS: Before 5 years of age, NLD obstruction in
children with DS was associated with reduced dimensions of the NLD and
hypertrophic nasal mucosa. In DS children older than 5 years of age, the
dimensions of the NLD are normal and postductal obstruction due to hypertrophic
nasal mucosa should be considered.
PMID- 24924274
TI - Surgical management of long-standing antielevation syndrome after unilateral
anterior transposition of the inferior oblique muscle.
AB - PURPOSE: To investigate surgical management of patients with long-standing
antielevation syndrome following unilateral anterior transposition of inferior
oblique muscle. METHODS: We present a series of 3 consecutive patients with
significant hypotropia several years after unilateral anterior transposition
surgery. An approach combining denervation-extirpation of the inferior oblique
muscle and subsequent inferior rectus muscle recession and contralateral superior
rectus muscle recession was used to manage all 3 patients. RESULTS: Denervation
extirpation surgery alone or with ipsilateral inferior rectus muscle recession
were not enough to improve vertical misalignment in these patients. All 3
patients achieved successful results after denervation-extirpation surgery,
ipsilateral inferior rectus muscle recession, and contralateral superior rectus
muscle recession. CONCLUSIONS: In this case series, devervation-extirpation
surgery on the inferior oblique muscle, ipsilateral inferior rectus recession,
and contralateral superior rectus recession improved vertical misalignment in
patients with long-standing antielevation syndrome after unilateral anterior
transposition of the inferior oblique.
PMID- 24924275
TI - Hypertropia in unilateral isolated abducens palsy.
AB - PURPOSE: To evaluate the incidence and features of hypertropia in abducens nerve
palsy. METHODS: The records of consecutive patients with unilateral, isolated,
previously unoperated abducens nerve palsy were reviewed for binocular alignment
on cover testing, Krimsky measurement, or Hess screen testing. Patients with
associated cranial nerve palsy (including bilateral abducens palsies), orbital
disease, myasthenia gravis, Horner syndrome, hemiplegia, cerebellar signs,
arteritis, or previous strabismus surgery were excluded. Control subjects
underwent complete examination to confirm normality. RESULTS: A total of 79
patients were included (40 males; mean age 49.2 years). Hypertropia in lateral or
central gazes was present in 15 of 79 cases (19%) on alternate cover or Krimsky
testing, in 32 of 56 cases (57%) on Hess screen testing, and absent in all 30
normal controls. Of cases with hypertropia, the mean of the greatest hypertropia
in lateral or central gaze on was 5.0(Delta) +/- 2.3(Delta) (standard deviation;
range, 1(Delta)-8(Delta)) routine clinical examination, and 5.8(Delta) +/-
4.2(Delta) (range, 2(Delta)-24(Delta)) on Hess screen testing. Of 39 cases with
partial abducens palsy evaluated by Hess screen testing, the ipsilesional eye was
hypertropic in 24 (61%) and hypotropic in 15 cases (39%). CONCLUSIONS: Small
angle hypertropia is common in isolated, unilateral abducens and does not imply
existence of multiple cranial neuropathies or skew deviation.
PMID- 24924277
TI - Evaluation of anterior segment parameters using the Pentacam in hyperopic
anisometropic amblyopic and normal eyes.
AB - PURPOSE: To evaluate differences in anterior segment parameters using the
Pentacam between hyperopic anisometropic amblyopic eyes and normal eyes. METHODS:
The amblyopic and fellow eyes of children with hyperopic anisometropic amblyopia
and the right eyes of normal control subjects were prospectively evaluated using
the Pentacam under photopic, scotopic, and cycloplegic conditions. Hyperopic
anisometropic amblyopia was defined as hyperopia of >=1.5 D, an interocular
difference of at least 1.5 D in spherical equivalent, and a visual acuity
difference between the amblyopic and normal eyes of at least 2 lines on the
Snellen chart. RESULTS: A total of 34 amblyopic subjects and 36 controls were
included. There were no significant differences in the anterior corneal
curvature, posterior corneal curvature, central corneal thickness, corneal
volume, anterior chamber depth, anterior chamber volume, and pupil diameter
between amblyopic, fellow, and normal eyes (P > 0.05). CONCLUSIONS: In this
patient cohort the Pentacam detected no significant differences in anterior
segment parameters in hyperopic anisometropic amblyopic, fellow, and normal eyes
under photopic, scotopic, and cycloplegic conditions.
PMID- 24924276
TI - Retinopathy of prematurity and brain damage in the very preterm newborn.
AB - PURPOSE: To explain why very preterm newborns who develop retinopathy of
prematurity (ROP) appear to be at increased risk of abnormalities of both brain
structure and function. METHODS: A total of 1,085 children born at <28 weeks'
gestation had clinically indicated retinal examinations and had a developmental
assessment at 2 years corrected age. Relationships between ROP categories and
brain abnormalities were explored using logistic regression models with
adjustment for potential confounders. RESULTS: The 173 children who had severe
ROP, defined as prethreshold ROP (n = 146) or worse (n = 27) were somewhat more
likely than their peers without ROP to have brain ultrasound lesions or cerebral
palsy. They were approximately twice as likely to have very low Bayley Scales
scores. After adjusting for risk factors common to both ROP and brain disorders,
infants who developed severe ROP were at increased risk of low Bayley Scales
only. Among children with prethreshold ROP, exposure to anesthesia was not
associated with low Bayley Scales. CONCLUSIONS: Some but not all of the
association of ROP with brain disorders can be explained by common risk factors.
Most of the increased risks of very low Bayley Scales associated with ROP are
probably not a consequence of exposure to anesthetic agents.
PMID- 24924279
TI - Anisometropia in children with neurofibromatosis type 1 and unilateral optic
nerve glioma.
AB - BACKGROUND: Optic nerve glioma (ONG) occurs in 5% to 25% of individuals with
neurofibromatosis type 1 (NF1). Recently an association between this lesion and
unilateral myopia was reported in a series of 4 pediatric patients. The purpose
of this study was to determine whether unilateral ONG is associated with an
increased prevalence of anisometropia. METHODS: The medical records of children
<16 years of age with NF1 disease and unilateral ONG or normal neuroimaging
examined at Tel-Aviv Medical Center between April 2008 and July 2013 were
retrospectively reviewed to determine the prevalence of anisometropia and
anisoastigmatism. RESULTS: A total of 75 children with NF1 disease were included.
Of these, 25 (11 boys) had a unilateral ONG (mean age, 7.2 years; range, 2-16
years) and 50 (29 boys) had normal neuroimaging (mean age, 8.3 years; range, 1-15
years). The mean refraction (spherical equivalent) of eyes with ONG did not
differ significantly from that of the contralateral eyes (0.74 +/- 1.41 D vs 0.71
+/- 1.19 D; P = 0.935; 95% CI, -0.710 to 0.770); moreover, there was a high
correlation between the refraction of eyes with ONG and contralateral eyes (r =
0.946, P < 0.001). The prevalence of anisometropia in children with ONG and in
NF1 children with no orbital pathology did not differ significantly (8% vs 16%; P
= 0.480), and the prevalence of anisoastigmatism was also similar in both groups
(4% vs 14%, P = 0.255). CONCLUSIONS: ONG in children with NF1 is not associated
with increased prevalence of anisometropia.
PMID- 24924280
TI - The Handy Eye Check: a mobile medical application to test visual acuity in
children.
AB - PURPOSE: To compare visual acuity results obtained with the Handy Eye Chart to
results obtained using the Handy Eye Check, a mobile medical application that
electronically presents isolated Handy Eye Chart optotypes according the
Amblyopia Treatment Study (ATS) protocol. METHODS: Consecutive patients 6-18
years of age presenting for eye examinations between May 30, 2012, and June 26,
2012, were invited to participate. Monocular visual acuity testing was performed
on the subject's poorer-seeing eye using both the Handy Eye Check and the Handy
Eye Chart under the same conditions. Visual acuity was first tested using the
mobile application, then using the chart, followed by repeated application
testing. Patients were excluded if they were unable to undergo the required
visual acuity testing or if visual acuity in the worse-seeing eye was less than
20/200 (for validity testing, but not reliability testing). RESULTS: There was a
strong linear correlation (r = 0.92) and a mean difference in acuity of -0.005
logMAR, or less than one letter (95% CI, -0.03 to 0.02), between the two tests.
The 95% limits of agreement were +/- 2 lines. Test-retest reliability was high,
with 81% of retest scores within 0.1 logMAR (5 letters) and 100% within 0.2
logMAR (10 letters), an intraclass correlation coefficient of 0.93, and a
standard error of measurement of 0.08. CONCLUSIONS: The Handy Eye Check mobile
application compares similarly to the Handy Eye Chart as a valid and reliable
test of visual acuity in children age 6-18 years.
PMID- 24924278
TI - The role of preoperative biometry in selecting initial contact lens power in the
Infant Aphakia Treatment Study.
AB - PURPOSE: To investigate the role of preoperative biometry for selecting initial
contact lens power. METHODS: Patients randomized to receive contact lenses in the
Infant Aphakia Treatment Study (IATS) were retrospectively analyzed. Inclusion
criteria were availability of both a preoperative immersion axial length
measurement and a 1-month postoperative refractive value. The target contact lens
power for distance was determined using 1-month postoperative spherical
equivalent refraction (after adjusting for a vertex distance) over the known
contact lens power. We compared targeted contact lens power for distance with
three other treatment techniques: (1) 30 D contact lens (32 D minus 2 D
overcorrection for near vision based on IATS protocol); (2) regression-estimated
contact lens power of 84.4 - 3.2 * axial length; and (3) IOL power calculated
using the Sanders-Retzlaff-Kraff (SRK/T) regression formula with a modified A
constant (112.176). Prediction error (targeted minus estimated contact lens
power) and its absolute values were calculated. RESULTS: A total of 34 eyes of 34
patients met inclusion criteria. Age at the time of cataract surgery was 2.4 +/-
1.7 months. Follow-up refraction was performed at 31 +/- 3 days after surgery.
Target contact lens power for distance was 26.0 +/- 4.5 D for the IATS cohort
(which excluded infants with corneal diameter <9 mm). The mean prediction error
was -4.0, -1.0, and -2.0 D and mean absolute prediction error was 4.4, 2.2, and
2.9 D, respectively, for 30 D contact lens, regression, and SRK/T-estimated
power. CONCLUSIONS: Preoperative biometry can be used to estimate contact lens
power for distance if an accurate refraction cannot be obtained initially.
PMID- 24924281
TI - Long-term treatment results of accommodative esotropia.
AB - PURPOSE: To report the long-term ocular alignment outcomes of patients with
accommodative esotropia. METHODS: The medical records of consecutive patients
<=12 year of age diagnosed with refractive accommodative esotropia (esotropia
eliminated or decreased to within 10(Delta) with full hyperopic correction) and
followed for at least 10 years were retrospectively reviewed. RESULTS: A total of
107 patients were included (mean age, 4.81 +/- 2.64 years). The mean follow-up
period was 12.02 +/- 2.25 years (range, 10-21 years). At the first examination
performed 10 years after spectacle correction (mean, 10.2 years), 85 patients
(79%) had orthophoria or esotropia <=10(Delta) at both near and distance
fixation, 14 (13%) had consecutive exotropia, 5 (5%) had decompensation and 3
(3%) had esotropia with a high ratio of accommodative convergence to
accommodation (AC/A). The mean time interval between presentation and
prescription of full hyperopic correction, initial cycloplegic refraction, and
presence of amblyopia was not associated with consecutive exotropia,
decompensation, or a high AC/A ratio esotropia. CONCLUSIONS: Whereas most
patients with refractive accommodative esotropia maintained normal long-term
ocular motility with spectacle treatment, some developed consecutive exotropia,
nonaccommodative esotropia, or esotropia with a high AC/A ratio.
PMID- 24924282
TI - Risk and clinical course of retinopathy of prematurity in 78 infants of
gestational age 22-25 weeks.
AB - PURPOSE: To characterize the retinopathy of prematurity (ROP) and survival of
infants born at gestational age (GA) of 22-25 weeks. METHODS: This study was a
comparative case series for the total set of 78 infants <=25 GA screened for ROP
at a level IV NICU during a 21-month period. Data are presented on infants
screened for ROP from 6 weeks after birth for 22 and 23 weeks' GA infants and
from 5 weeks after birth for 24 and 25 weeks' GA. Accounting for the competing
risk of mortality, we implemented Cox CR regression models to assess birth
weight, GA, and admission diagnosis as potential risk factors for the following
time to event outcomes: type 1 disease, aggressive posterior ROP (AP-ROP), plus
disease, first presentation of ROP, and worst ROP observed. RESULTS: Risk of
laser treatment (subhazard ratio [SHR] = 0.56, P = 0.007) and of plus disease
(SHR = 0.49, P = 0.001) was increased among those born at lower GA. Twenty
infants required laser for type 1 disease at median postmenstrual age (PMA) of
35.8 weeks (range, 33.0-42.7); infants with AP-ROP had laser at PMA of 34.5 weeks
(range, 33.0-36.9), 2 weeks earlier than infants without AP-ROP at PMA 36.5 weeks
(range, 33.9-42.7). The cumulative probability of receiving laser therapy
approached 46% (22 or 23 weeks' GA), 30% (24 weeks' GA), and 18% (25 weeks' GA).
CONCLUSIONS: The 2013 screening guidelines appear to be appropriate for infants
of 22 and 23 weeks' GA when ROP screening begins at PMA 31 weeks.
PMID- 24924283
TI - The effect of adding orbital computed tomography findings to the Chandler
criteria for classifying pediatric orbital cellulitis in predicting which
patients will require surgical intervention.
AB - PURPOSE: To assess the effect of adding orbital computerized tomography (CT)
findings to the Chandler criteria for classifying pediatric orbital cellulitis in
predicting which patients will require surgical intervention. METHODS: The
medical records of patients with orbital CT at a tertiary pediatric hospital from
January 2000 to March 2011 were reviewed retrospectively. CT images of cases with
radiology report of postseptal orbital involvement were further reviewed by a
neuroradiologist. RESULTS: Of 101 cases of orbital cellulitis, 71 (mean age, 7.1
+/- 4.0) were successfully managed with systemic antibiotics alone; 30 patients
(mean age, 7.2 +/- 4.3) required surgical intervention. Bony destruction on CT
was significantly associated with surgical intervention (P = 0.02), and the size
of the subperiosteal abscess (SPA) was significantly correlated with management
outcome. Patients who were managed with systemic antibiotics alone had a mean SPA
volume of 2.1 +/- 2.4 mL; those who had undergone surgical intervention had a
mean SPA volume of 14.3 mL +/- 16.8 mL (P < 0.0001). If SPA volume is <3.8 mL,
then the probability of surgery is 12%; if SPA is >3.8 mL, the probability of
surgery is 71% (P < 0.0001). CONCLUSIONS: Adding radiological characteristics
such as presence of bony destruction on CT and size of SPA to the Chandler
orbital cellulitis classification scheme increases the ability to more accurately
predict which patients will require surgical intervention.
PMID- 24924284
TI - Adjustable sutures in children.
AB - Although adjustable sutures are considered a standard technique in adult
strabismus surgery, most surgeons are hesitant to attempt the technique in
children, who are believed to be unlikely to cooperate for postoperative
assessment and adjustment. Interest in using adjustable sutures in pediatric
patients has increased with the development of surgical techniques specific to
infants and children. This workshop briefly reviews the literature supporting the
use of adjustable sutures in children and presents the approaches currently used
by three experienced strabismus surgeons.
PMID- 24924285
TI - Retinal telangiectasis detected during a vision screening examination in a child
with hearing loss led to the diagnosis of facioscapulohumeral muscular dystrophy.
AB - A 2-year-old girl with congenital sensorineural hearing loss was found to have
retinal exudation and subretinal fluid in her left eye. Further investigation
revealed leaking retinal telangiectasias in her left eye and extensive areas of
peripheral retinal nonperfusion in both eyes. A clinical diagnosis of
facioscapulohumeral muscular dystrophy (FSHD) was confirmed by genetic testing.
The patient was followed with serial intraoperative optical coherence tomography
(OCT) scans, which demonstrated subretinal fluid in the macula and its subsequent
resolution after treatment. She underwent 6 rounds of panretinal photocoagulation
and 2 injections of intravitreal bevacizumab, which resolved the subretinal fluid
and exudates.
PMID- 24924286
TI - Pharmacotherapy for weight loss: the cardiovascular effects of the old and new
agents.
AB - WHAT IS KNOWN AND OBJECTIVE: Obesity affects approximately one-third of the
American population, and its prevalence continues to increase. It is a
significant risk factor for cardiovascular diseases and contributes to increased
healthcare costs and mortality. The objective is to review the current literature
on the cardiovascular effects of weight loss pharmacotherapy agents. METHODS:
Literature was accessed through MEDLINE/PubMed (up to April 2013) using the
search terms obesity, weight loss, pharmacotherapy, cardiovascular adverse
effects and cardiovascular side effects. References of the articles identified
and www.clinicaltrials.gov were also reviewed. Relevant guidelines, review
articles, clinical trials, meta-analyses, case series, FDA documentation and
prescribing information were included and limited to English language articles.
RESULTS AND DISCUSSION: With the newly FDA-approved weight loss pharmacotherapy,
treatment options for obesity are more diverse. However, safety concerns,
including adverse cardiovascular effects, have played a significant role in the
history of weight loss pharmacotherapy and will likely play a role in the future
of the new agents, lorcaserin and phentermine/topiramate, as well. WHAT IS NEW
AND CONCLUSION: Long-term cardiovascular outcomes studies with and without high
risk cardiovascular patients are still needed for both lorcaserin and
phentermine/topiramate before these agents can be recommended in these patient
populations. It is yet to be determined whether modest weight loss benefit of
these new agents outweighs the cardiovascular risks.
PMID- 24924287
TI - New cholinesterase inhibitors from Garcinia atroviridis.
AB - A triflavanone, Garcineflavanone A (1) and a biflavonol, Garcineflavonol A (2)
have been isolated from the stem bark of Garcinia atroviridis (Clusiaceae),
collected in Peninsular Malaysia. Their structures were established using one and
two-dimensional NMR, UV, IR and mass spectrometry and evaluated in vitro for
their acetylcholinesterase (AChE) and butyrylcholinesterase (BChE) enzymes
inhibitory activity. Molecular docking studies of the isolated compounds were
performed using docking procedure of AutoDock to disclose the binding interaction
and orientation of these molecules into the active site gorge.
PMID- 24924288
TI - Leonurine ameliorates LPS-induced acute kidney injury via suppressing ROS
mediated NF-kappaB signaling pathway.
AB - Acute kidney injury (AKI) is an abrupt loss of kidney function. Severe AKI
requires renal replacement therapy and has high mortality. Leonurine (LEO), an
alkaloid isolated from Leonurus cardiaca, has shown biological effects such as
antioxidant, anticoagulant, and anti-apoptosis. We have examined the effect of
LEO on lipopolysaccharide (LPS)-induced AKI in mice and further studied the
mechanism involved. Blood urea nitrogen (BUN), creatinine and cytokine were
estimated in the serum or tissue. Kidney tissue specimens were used for
biochemical estimations of lipid peroxides (LPO), reduced glutathione (GSH), and
reactive oxygen species (ROS). The effects of LEO on LPS-induced renal tissue
damage were detected by hematoxylin and eosin (HE) stain and electron microscopy.
The production of cytokines in the tissue and blood was measured by ELISA.
Protein phosphorylation and protein subcellular localization were tested by
Western blot. LEO is protected against LPS-induced AKI, improved animal survival
and maintained the redox balance. The beneficial effects of LEO were accompanied
by the down-regulation of TNF-alpha, IL-1, IL-6, IL-8, KIM-1 expression and by
the inhibition of the phosphorylation of IkappaBalpha and p65 translocalization.
These results suggest that LEO may suppress NF-kappaB activation and inhibit pro
inflammatory cytokine production via decreasing cellular ROS production.
Accumulating studies have demonstrated that LEO reduces kidney injury and
protects renal functions from LPS-induced kidney injury.
PMID- 24924289
TI - Synthesis and biological evaluation of pyranoisoflavone derivatives as anti
inflammatory agents.
AB - In this paper, barbigerone (1a) and its twenty-seven related structural analogues
were synthesized via complementary synthetic routes and their anti-inflammatory
effects on the expression of TNF-alpha in LPS-stimulated splenocytes were
evaluated. Among these compounds, 1a, 1d, 1f and 1g were found to remarkably
inhibit TNF-alpha production. Furthermore, 1g showed the most potent and dose
dependent manner inhibitory effect on TNF-alpha release, with better IC50 value
(3.58 MUM) than barbigerone (8.46 MUM). Oral administration of 1g at 20 mg/kg/day
for two weeks obviously demonstrated protective effect in adjuvant-induced
arthritis models as evaluated by clinical score of paws, and histological
examination of joint tissues from rats. Mechanism studies on mRNA and protein
level suggested that 1g inhibited the TNF-alpha production via depressing TNF
alpha converting enzyme (TACE) mRNA expression. In conclusion, these data show 1g
with potential therapeutic effects as an anti-inflammatory agent.
PMID- 24924290
TI - Wild celery (Smyrnium olusatrum L.) oil and isofuranodiene induce apoptosis in
human colon carcinoma cells.
AB - Smyrnium olusatrum (Apiaceae), well known as wild celery, is a biennal celery
scented plant used for many centuries as a vegetable, then abandoned after the
introduction of celery. In the present work, the essential oil obtained from
inflorescences and the amounts of its main constituents isofuranodiene, curzerene
and germacrone were analyzed by GC as well as by HPLC because of their
degradation (Cope rearrangement) occurring at high temperatures. The oil and the
main constituents were assayed for cytotoxic activity on the human colon cancer
cell line (HCT116) by MTT assay. Flower oil and isofuranodiene showed noteworthy
activity on tumor cells with IC50 of 10.71 and 15.06 MUg/ml, respectively.
Analysis of the cytotoxic activity showed that wild celery oil and isofuranodiene
are able to induce apoptosis in colon cancer cells in a time and concentration
dependent manner suggesting a potential role as models for the development of
chemopreventive agents.
PMID- 24924291
TI - Extended transmission of two H5/H7 low pathogenic avian influenza viruses in
chickens.
AB - Transmission experiments are useful for investigating the mechanisms of low
pathogenic notifiable avian influenza virus (LPNAI) transmission. In this study,
the hypothesis that inoculation-infected chickens are more infectious than
contact-infected chickens was tested. To this end, extended transmission
experiments with one H5N2 and one H7N1 LPAIV which had previously been
characterized in a series of standard transmission experiments were conducted in
specific pathogen-free (SPF) chickens. For the H5N2 LPAIV, the infectivity of
contact-infected chickens was similar to the infectivity of inoculated chickens.
Despite results from a previous study suggesting the H7N1 LPAIV strain to be
similarly infectious to SPF chickens as the H5N2 LPAIV strain, the acquisition of
contact-infected chickens proved more difficult for H7N1 LPAIV. It was assumed
that this might have been a consequence of the length and timing of the exposure
period. In conclusion, for LPNAIVs that first seemed equally infectious, short
term transmissibility may vary considerably.
PMID- 24924292
TI - A three-year randomized controlled trial in 6-year-old children on caries
preventive strategies in a general dental practice in the Netherlands.
AB - A parallel-randomized controlled trial on caries-preventive strategies was
conducted in a general dental practice with a mixed socioeconomic background
patient population. The aim of this study was to test the hypothesis that,
compared to regular care consisting of check-ups twice a year with professional
fluoride applications and pit and fissure sealants in all permanent molars, a
larger caries-preventive effect can be achieved by following a non-operative
caries treatment and prevention (NOCTP) strategy or by following, in addition to
regular care, an increased number of professional topical fluoride applications
(IPFA). A total of 230 children (6.0 years +/- 3 months of age) were randomly
assigned to the two experimental groups or the control group. After 3 years, 179
participants remained in the study (54 NOCTP, 62 IPFA and 63 control). The
children were examined at baseline and at 3 years by the same experienced
examiner, who was blinded for the allocation of the children. Caries was scored
clinically at the D3 level. Per protocol analysis revealed a mean DMFS increment
after 3 years of 0.15 (95% CI -0.05 to 0.35) for NOCTP, 0.34 (95% CI 0.11 to
0.54) for IPFA and 0.47 (95% CI 0.26 to 0.68) for the control group. To account
for missing data, multiple imputation was used, after which the mean DMFS
increment was 0.11 (95% CI -0.05 to 0.27) for NOCTP, 0.29 (95% CI 0.11 to 0.46)
for IPFA and 0.40 (95% CI 0.21 to 0.55) for the control group. Testing the
differences with independent samples t test revealed a lower caries increment in
the NOCTP group compared to the control group. ANCOVA was used to correct for
differences in baseline dmfs, socioeconomic status and perceived dental hygiene
burden. The DeltaDMFS effect size between the NOCTP and the control group
dropped, losing statistical significance (p = 0.06). Although the results in this
study are promising, it has yet to be established in a larger study whether NOCTP
has the ability to be effective in regular dental practice with a mixed
socioeconomic status population.
PMID- 24924293
TI - Evaluation of an imaging biomarker, Dixon quantitative chemical shift imaging, in
Gaucher disease: lessons learned.
AB - Gaucher disease (GD) is the first lysosomal storage disorder for which specific
therapy became available. The infiltration of bone marrow by storage cells plays
an important part in the pathophysiology of skeletal complications and can be
quantified by measurements of bone marrow fat fraction (Ff). Ff measurements by
Dixon quantitative chemical shift imaging (QCSI) are standard for the follow-up
care of GD patients at the Academic Medical Center. Several criteria should be
met in order for these measurements to qualify as an imaging biomarker. These
include: 1) The presence of the imaging biomarker is closely coupled or linked to
the presence of the target disease or condition; 2) The detection and/or
quantitative measurement of the biomarker is accurate, reproducible, and feasible
over time, and; 3) The changes measured over time in the imaging biomarker are
closely coupled, or linked, to the success or failure of the therapeutic effect
and the true end point for the medical therapy being evaluated. This review
assesses the use of Ff measurements by QCSI as a biomarker for GD in light of
these criteria. In addition potential pitfalls are discussed including:
degenerative disc disease; vertebral collapse and infection; haematological
malignancies; focal fatty deposits; age; menopause; phase and repositioning
errors, and; fat surrounding the basivertebral vein.QCSI measurements of Ff can
be used as an imaging biomarker for GD taking these pitfalls into account. It is
one of the first biomarkers, in particular imaging biomarkers, for GD that has
been systematically evaluated and could be a valuable tool in clinical trials
comparing different treatments or dosing regimens.
PMID- 24924294
TI - Assessment of the lateral patellar facet in varus arthritis of the knee.
AB - BACKGROUND: Lateral patellar arthritis has been associated with poor outcomes in
unicompartmental knee arthroplasty. The current study correlates intraoperative
findings with MRI imaging, skyline radiographs and the presence of anterior knee
pain. METHODS: In 92 consecutive knees with varus arthritis, the patellofemoral
compartment was assessed during surgery, on skyline radiographs and on MRI.
Anterior knee pain was recorded on a visual-analog-scale. Intraoperative
assessment was based on the Outerbridge grading scale. Skyline radiographs were
evaluated according to the Ahlback grading scale; MRIs were assessed according to
a modified Outerbridge grading scale. RESULTS: There was an excellent correlation
(rs=0.833; p<0.001) in the cartilage assessment of the lateral patellar facet
between MRI and surgery. A good correlation (rs=0.664; p<0.001) was seen between
Ahlback Grades and macroscopic Outerbridge Grades of the lateral patella. Ahlback
Grades and MRI modified Outerbridge Grades showed a good correlation (rs=0.643;
p<0.001) for the lateral patella. Twelve percent of knees (seven out of 60) with
Ahlback Grade 0 or 1 and mild to moderate anterior knee pain had a macroscopic
Outerbridge Grade of 3 on the lateral patella. None of these 60 knees had a full
thickness cartilage defect on MRI. CONCLUSION: Normal skyline radiographs in
patients with mild to moderate anterior knee pain can rule out full-thickness
cartilage defects of the lateral patellar facet as observed during surgery and on
MRI. The MRI allows for the most accurate assessment of the patellofemoral joint
and is warranted in all patients with radiographic abnormalities or severe
anterior knee pain. LEVEL OF EVIDENCE: Diagnostic study, Level II.
PMID- 24924295
TI - Success or failure of critical steps in community case management of malaria with
rapid diagnostic tests: a systematic review.
AB - BACKGROUND: Malaria still causes high morbidity and mortality around the world,
mainly in sub-Saharan Africa. Community case management of malaria (CCMm) by
community health workers (CHWs) is one of the strategies to combat the disease by
increasing access to malaria treatment. Currently, the World Health Organization
recommends to treat only confirmed malaria cases, rather than to give presumptive
treatment. OBJECTIVES: This systematic review aims to provide a comprehensive
overview of the success or failure of critical steps in CCMm with rapid
diagnostic tests (RDTs). METHODS: The databases of Medline, Embase, the Cochrane
Library, the library of the 'Malaria in Pregnancy' consortium, and Web of Science
were used to find studies on CCMm with RDTs in SSA. Studies were selected
according to inclusion and exclusion criteria, subsequently risk of bias was
assessed and data extracted. RESULTS: 27 articles were included. CHWs were able
to correctly perform RDTs, although specificity levels were variable. CHWs showed
high adherence to test results, but in some studies a substantial group of RDT
negatives received treatment. High risk of bias was found for morbidity and
mortality studies, therefore, effects on morbidity and mortality could not be
estimated. Uptake and acceptance by the community was high, however negative
tested patients did not always follow up referral advice. Drug or RDT stock-outs
and limited information on CHW motivation are bottlenecks for sustainable
implementation. RDT-based CCMm was found to be cost effective for the correct
treatment of malaria in areas with low to medium malaria prevalence, but study
designs were not optimal. DISCUSSION: Trained CHWs can deliver high quality care
for malaria using RDTs. However, lower RDT specificity could lead to missed
diagnoses of non-malarial causes of fever. Other threats for CCMm are non
adherence to negative test results and low referral completion. Integrated CCM
may solve some of these issues. Unfortunately, morbidity and mortality are not
adequately investigated. More information is needed about influencing
sociocultural aspects, CHW motivation and stock supply. CONCLUSION: CCMm is
generally well executed by CHWs, but there are several barriers for its success.
Integrated CCM may overcome some of these barriers.
PMID- 24924296
TI - Risk factors associated with lipomyelomeningocele: a case-control study.
AB - BACKGROUND: In general, it seems that both genetic and environmental factors play
important roles in the induction of neural tube defects. Lipomyelomeningocele
(LipoMMC) is a rather common type of closed neural tube defect, but only limited
studies have investigated the potential risk factors of this anomaly. Therefore,
the purpose of this case-control study was to investigate the risk factors
involved in LipoMMC formation. MATERIAL AND METHOD: Various risk factors were
evaluated in 35 children between 1 month and 10 years of age with LipoMMC in a
hospital-based case-control study. The 2 control arms consisted of 35 children
with myelomeningocele (MMC group) and 35 children with congenital anomalies other
than central nervous system problems (control group). All groups were matched for
age and visited the same hospital. A structured questionnaire was used for the
collection of all data, including the mothers' weight and height during
pregnancy, education, reproductive history, previous abortions, and socioeconomic
status, as well as the parents' consanguinity and family history of the same
anomalies. RESULTS: Univariate analysis of the children with LipoMMC compared to
the control group showed that the use of periconceptional folic acid
supplementation was significantly lower in the MMC and LipoMMC groups compared to
the control group. In addition, comparison of the MMC and control groups revealed
statistically significant differences regarding the use of folic acid and
maternal obesity. In multivariate analysis, use of folic acid in the
periconceptional period and during the first trimester was an independent risk
factor for LipoMMC and MMC. Furthermore, maternal obesity was a significantly
positive risk factor for MMC. CONCLUSION: The probable risk factors for LipoMMC
were investigated in this case-control study. Consumption of folic acid in the
periconceptional period and during the first trimester is an independent
protective factor against LipoMMC. It seems that larger studies are needed to
examine other possible risk factors.
PMID- 24924297
TI - Proteomic analysis of proteins related to rice grain chalkiness using iTRAQ and a
novel comparison system based on a notched-belly mutant with white-belly.
AB - BACKGROUND: Grain chalkiness is a complex trait adversely affecting appearance
and milling quality, and therefore has been one of principal targets for rice
improvement. Eliminating chalkiness from rice has been a daunting task due to the
complex interaction between genotype and environment and the lack of molecular
markers. In addition, the molecular mechanisms underlying grain chalkiness
formation are still imperfectly understood. RESULTS: We identified a notched
belly mutant (DY1102) with high percentage of white-belly, which only occurs in
the bottom part proximal to the embryo. Using this mutant, a novel comparison
system that can minimize the effect of genetic background and growing environment
was developed. An iTRAQ-based comparative display of the proteins between the
bottom chalky part and the upper translucent part of grains of DY1102 was
performed. A total of 113 proteins responsible for chalkiness formation was
identified. Among them, 70 proteins are up-regulated and 43 down-regulated.
Approximately half of these differentially expressed proteins involved in central
metabolic or regulatory pathways including carbohydrate metabolism (especially
cell wall synthesis) and protein synthesis, folding and degradation, providing
proteomic confirmation of the notion that chalkiness formation involves diverse
but delicately regulated pathways. Protein metabolism was the most abundant
category, accounting for 27.4% of the total differentially expressed proteins. In
addition, down regulation of PDIL 2-3 and BiP was detected in the chalky tissue,
indicating the important role of protein metabolism in grain chalkiness
formation. CONCLUSIONS: Using this novel comparison system, our comprehensive
survey of endosperm proteomics in the notched-belly mutant provides a valuable
proteomic resource for the characterization of pathways contributing to
chalkiness formation at molecular and biochemical levels.
PMID- 24924300
TI - An efficient and extendable python library to analyze neuronal morphologies.
PMID- 24924298
TI - Expression and regulation of triggering receptor expressed on myeloid cells 1 in
periodontal diseases.
AB - Periodontitis is an inflammatory infectious disease that destroys the tooth
supporting tissues. It is caused by multi-species subgingival biofilms that
colonize the tooth surface. Porphyromonas gingivalis, Treponema denticola and
Tannerella forsythia (i.e. 'red complex' bacteria) are characteristic subgingival
biofilm species. The triggering receptor expressed on myeloid cells 1 (TREM-1) is
a cell surface receptor of the immunoglobulin superfamily, with a role in the
amplification of proinflammatory cytokine production during infection. This study
aimed to investigate TREM-1 mRNA expression in gingival tissues from patients
with chronic periodontitis, generalized aggressive periodontitis and healthy
subjects and its correlation with the levels of periodontal pathogens in the
tissue. A further aim was to investigate the regulation of TREM-1 in human
monocytic cells (MM6) challenged with an in-vitro subgingival biofilm model.
Gingival tissue TREM-1 expression was increased in both chronic and aggressive
periodontitis, compared to health, and correlated with the levels of the 'red
complex' species in the tissue. No significant differences were detected between
the two forms of periodontitis. Biofilm-challenged MM6 cells exhibited higher
TREM-1 expression and secretion compared to controls, with partial involvement of
the 'red complex'. Engagement or inhibition of TREM-1 affected the capacity of
the biofilms to stimulate interleukin (IL)-1beta, but not IL-8, secretion by the
cells. In conclusion, this study reveals that TREM-1 tissue expression is
enhanced in periodontal disease, and correlates with the level of periodontal
pathogens. It also provides a mechanistic insight into the regulation of TREM-1
expression and the associated IL-1beta production in biofilm-challenged
monocytes.
PMID- 24924301
TI - Government insists safeguards for patients who lack mental capacity are workable.
PMID- 24924302
TI - A shared regulatory perspective on deferral from blood donation of men who have
sex with men (MSM).
AB - National Regulatory Authorities (NRAs) establish deferral criteria for donors
with risk factors for transfusion transmissible infections (TTI). In most
jurisdictions, epidemiological data show that men who have sex with men (MSM)
have a significantly higher rate of TTI than the general population.
Nevertheless, changes from an indefinite donor deferral for MSM have been
considered in many countries in response to concerns over a perceived
discrimination and questioning of the scientific need. Changes to MSM donor
deferral criteria should be based on sound scientific evidence. Safety of
transfusion recipients should be the first priority, and stakeholder input should
be sought.
PMID- 24924303
TI - RETRACTED ARTICLE: Transkorneale Elektrostimulation bei Patienten mit primarem
Offenwinkelglaukom.
PMID- 24924304
TI - Risks of malignant and non-malignant tumours in tall women treated with high-dose
oestrogen during adolescence.
AB - BACKGROUND/AIM: High-dose oestrogen treatment has been used to reduce growth in
tall adolescent girls. The long-term safety with regard to cancer has not been
clarified. Our aim was to study if this growth reduction therapy affects cancer
risk later in life. METHODS: A cohort study of 369 (172 treated, 197 untreated)
Swedish women who in 1973-1993 were assessed for tall adolescent stature was
designed. Data were collected from university hospital records, patient
questionnaires, and the Swedish Cancer Register. RESULTS: Risks are presented as
odds ratios (ORs) with 95% confidence intervals comparing treated to untreated
subjects. In treated subjects, the overall OR for having a tumour (malignant or
non-malignant) was 1.7 (0.8-3.8). The ORs were 2.3 (0.4-12.8) for breast tumours,
0.8 (0.2-2.6) for gynaecological tumours, and 6.1 (1.04-infinity) for melanoma.
When limiting to malignant tumours, the crude ORs were of similar magnitude.
CONCLUSION: The OR for any melanoma was higher in treated than in untreated
women, suggesting an increased risk of melanoma associated with high-dose
oestrogen treatment during adolescence. Although the risk estimates were
increased for overall tumours, breast tumours, malignant gynaecological tumours,
and malignant melanoma, these associations were not statistically significant.
Our results need to be verified in a larger cohort.
PMID- 24924306
TI - Abstracts from the 3rd World Congress on Vascular Access, WoCoVA 2014, 18-20 June
2014, Berlin, Germany.
AB - Abstracts from the 3rd World Congress on Vascular Access, WoCoVA 2014, Berlin -
Germany, 18-20 June 2014.
PMID- 24924305
TI - Novel Nystatin A1 derivatives exhibiting low host cell toxicity and antifungal
activity in an in vitro model of oral candidosis.
AB - Opportunistic oral infections caused by Candida albicans are frequent problems in
immunocompromised patients. Management of such infections is limited due to the
low number of antifungal drugs available, their relatively high toxicity and the
emergence of antifungal resistance. Given these issues, our investigations have
focused on novel derivatives of the antifungal antibiotic Nystatin A1, generated
by modifications at the amino group of this molecule. The aims of this study were
to evaluate the antifungal effectiveness and host cell toxicity of these new
compounds using an in vitro model of oral candidosis based on a reconstituted
human oral epithelium (RHOE). Initial studies employing broth microdilution,
revealed that against planktonic C. albicans, Nystatin A1 had lower minimal
inhibitory concentration than novel derivatives. However, Nystatin A1 was also
markedly more toxic against human keratinocyte cells. Interestingly, using
live/dead staining to assess C. albicans and tissue cell viability after RHOE
infection, Nystatin A1 derivatives were more active against Candida with lower
toxicity to epithelial cells than the parent drug. Lactate dehydrogenase activity
released by the RHOE indicated a fourfold reduction in tissue damage when certain
Nystatin derivatives were used compared with Nystatin A1. Furthermore, compared
with Nystatin A1, colonisation of the oral epithelium by C. albicans was notably
reduced by the new polyenes. In the absence of antifungal agents, confocal laser
scanning microscopy showed that C. albicans extensively invaded the RHOE.
However, the presence of the novel derivatives greatly reduced or totally
prevented this fungal invasion.
PMID- 24924307
TI - Conformation-specific circular dichroism spectroscopy of cold, isolated chiral
molecules.
AB - The CD spectroscopy of a chiral compound in solution yields an average CD value
derived from all of the conformations of a chiral molecule. By contrast, CD
spectroscopy of cold chiral molecules in the gas phase distinguishes specific
conformers of a chiral molecule, but the weak CD effect has limited the practical
application of this technique. Reported herein is the first resonant two-photon
ionization CD spectra of ephedrines in a supersonic jet using circularly
polarized laser pulses, which were generated by synchronizing the oscillation of
the photoelastic modulator with the laser firing. The spectra exhibited well
resolved CD bands which were specific for the conformations and vibrational modes
of each enantiomer. The CD signs and magnitudes of the jet-cooled chiral
molecules were very sensitive to their conformations and thus offered crucial
information for determining the three-dimensional structures of chiral species,
as conducted in combination with quantum chemical calculations.
PMID- 24924308
TI - Laminated carbon nanotube networks for metal electrode-free efficient perovskite
solar cells.
AB - Organic-inorganic metal halide perovskite solar cells were fabricated by
laminating films of a carbon nanotube (CNT) network onto a CH3NH3PbI3 substrate
as a hole collector, bypassing the energy-consuming vacuum process of metal
deposition. In the absence of an organic hole-transporting material and metal
contact, CH3NH3PbI3 and CNTs formed a solar cell with an efficiency of up to
6.87%. The CH3NH3PbI3/CNTs solar cells were semitransparent and showed
photovoltaic output with dual side illuminations due to the transparency of the
CNT electrode. Adding spiro-OMeTAD to the CNT network forms a composite electrode
that improved the efficiency to 9.90% due to the enhanced hole extraction and
reduced recombination in solar cells. The interfacial charge transfer and
transport in solar cells were investigated through photoluminescence and
impedance measurements. The flexible and transparent CNT network film shows great
potential for realizing flexible and semitransparent perovskite solar cells.
PMID- 24924310
TI - Pharmacokinetic plasma behaviors of intravenous and oral bioavailability of
thymoquinone in a rabbit model.
AB - Thymoquinone (THQ), the active constituent of Nigella sativa seeds, has
demonstrated some potential pharmacological activities. The present study was
designed to investigate the pharmacokinetic behavior of THQ following intravenous
(IV) and oral (PO) administration using an animal model. THQ was given vascularly
(5 mg/kg IV) and extravascularly (20 mg/kg PO) to Vole rabbits, and blood samples
were collected at predetermined time points. The concentrations of THQ in plasma
were measured by a high-performance liquid chromatography, and the
pharmacokinetic parameters were determined using both compartmental and non
compartmental analyses. The calculated clearance (CL) following IV administration
was 7.19 +/- 0.83 ml/kg/min, and the estimated volume of distribution at steady
state (V ss) was 700.90 +/- 55.01 ml/kg. Whereas with PO dosing, apparent CL/F
value was 12.30 +/- 0.30 ml/min/kg and V ss/F was 5,109.46 +/- 196.08 ml/kg.
These parameters were associated with an elimination half-life (T 1/2) of 63.43
+/- 10.69 and 274.61 +/- 8.48 min with IV and PO dosing, respectively. The
calculated absorption T 1/2 was about 217 min. Compartmental analysis revealed T
1/2alpha of ~8.9 min and T 1/2beta of ~86.6 min. The calculated absolute
bioavailability of THQ was ~58 % with a lag time of ~23 min. The estimated THQ
protein binding was >99 %. Therefore, THQ represents a compound with rapid
elimination and relatively slower absorption following PO administration.
PMID- 24924309
TI - Cell division and targeted cell cycle arrest opens and stabilizes basement
membrane gaps.
AB - Large gaps in basement membrane (BM) occur during organ remodelling and cancer
cell invasion. Whether dividing cells, which temporarily reduce their attachment
to BM, influence these breaches is unknown. Here we analyse uterine-vulval
attachment during development across 21 species of rhabditid nematodes and find
that the BM gap that forms between these organs is always bounded by a non
dividing vulval cell. Through cell cycle manipulation and live cell imaging in
Caenorhabditis elegans, we show that actively dividing vulval cells facilitate
enlargement of this breach by promoting BM movement. In contrast, targeted cell
cycle arrest halts BM movement and limits gap opening. Further, we demonstrate
that the BM component laminin accumulates at the BM gap edge and promotes
increased integrin levels in non-dividing vulval cells, stabilizing gap position.
Together, these studies reveal that cell division can be used as a mechanism to
regulate BM breaches, thus controlling the exchange of cells between tissues.
PMID- 24924311
TI - Comparison of the pharmacokinetics of linezolid in burn and non-burn rabbits.
AB - Linezolid is effective on many resistant organisms for the treatment of severe
infections in burns. However, its pharmacokinetics was difficult to predict after
major burns. The study aimed to describe the pharmacokinetic properties of
linezolid administered intravenously at a dose of 10 mg/kg in severely burned
rabbits in comparison to that in non-burns. Linezolid concentrations were
quantitatively analyzed by high-performance liquid chromatography. The direct
consequence of the physiological changes after burn injury was lower plasma
linezolid concentrations. In addition, burn injury induced significantly altered
pharmacokinetic parameters with higher inter-individual variability. The
distribution volume and clearance rate were increased (2.88 vs. 1.92 L/kg, P >
0.05; 0.28 vs. 0.20 L/h/kg, P < 0.05), and the AUC0-infinity was significantly
lower (37.99 vs. 51.47 mg/L h, P < 0.05). However, there were almost no changes
in half-life and mean residence time. These results suggested that therapeutic
drug monitoring and dosage individualization of linezolid in patients with severe
burns were necessary.
PMID- 24924313
TI - Occupational injury risk by sex in a manufacturing cohort.
AB - OBJECTIVES: This study expands previous research comparing injury risk for women
and men in a cohort of 24,000 US aluminium manufacturing workers in 15 facilities
from 2001 to 2010. METHODS: We compared injury rates (all injury, first aid,
medical treatment, restricted work and lost work time) by sex and by job and sex.
Using a mixed effect modelling approach, we calculated ORs and 95% CIs adjusting
for age, job tenure, ethnicity and year as fixed effects and person, job and
plant as random effects. Additionally, we modelled the data stratified by plant
type to examine potential differences in injury risk between smelter (generally
recognised as more hazardous) and fabrication production environments. RESULTS:
Risk of injury was higher for women in four out of the five injury outcomes: all
injuries combined (OR: 1.58, CI 1.48 to 1.67), injuries requiring first aid (OR:
1.61, CI 1.54 to 1.70), injuries requiring medical treatment (OR: 1.18, CI 1.03
to 1.36) and injuries requiring restricted work (OR: 1.65, CI 1.46 to 1.87). No
difference in the risk of lost time injury by sex was found in this cohort.
Analyses stratified by plant type showed similarly elevated injury risk for
women, although the risk estimates were higher in smelters than fabrication
plants. CONCLUSIONS: To our knowledge, this is the largest single-firm study
examining injury risk by sex with sufficient data to appropriately adjust for
job. We show a consistently higher injury risk for women compared with men in the
smelting and fabrication environments.
PMID- 24924312
TI - Benzylideneacetophenone derivatives attenuate IFN-gamma-induced IP-10/CXCL10
production in orbital fibroblasts of patients with thyroid-associated
ophthalmopathy through STAT-1 inhibition.
AB - The aim of the present study was to identify a new candidate anti-inflammatory
compound for use in the active stage of thyroid-associated ophthalmopathy (TAO).
Benzylideneacetophenone compound JC3 [(2E)-3-(4-hydroxy-3-methoxyphenyl)phenylpro
2-en-l-one] was synthesized based on a structural modification of yakuchinone B,
a constituent of the seeds of Alpinia oxyphylla, which belongs to the ginger
family (Zingiberaceae), has been widely used in folk medicine as an anti
inflammatory phytochemical. Orbital fibroblasts were primarily cultured from
patients with TAO, and the potential of JC3 to suppress the interferon (IFN)
gamma-induced protein (IP)-10/CXCL10 production in these cells was determined.
IFN-gamma strongly increased the level of IP-10/CXCL10 in orbital fibroblasts
from patients with TAO. JC3 exerted a significant inhibitory effect on the IFN
gamma-induced increase in IP-10/CXCL10 in a dose-dependent manner; its potency
was greater than that of an identical concentration of yakuchinone B with no
toxicity to cells at the concentration range used. Moreover, the constructed
dimer and trimer polystructures of JC3, showed greater potency than JC3 in
suppressing the IFN-gamma-induced production of IP-10/CXCL10. JC3 significantly
attenuated the IP-10/CXCL10 mRNA expression induced by IFN-gamma, and a gel-shift
assay showed that JC3 suppressed IFN-gamma-induced DNA binding of signal
transducer and activator of transcription-1 (STAT-1) in TAO orbital fibroblasts.
Our results provide initial evidence that the JC3 compound reduces the levels of
IP-10/CXCL10 protein and mRNA induced by IFN-gamma in orbital fibroblasts of TAO
patients. Therefore, JC3 might be considered as a future candidate for
therapeutic application in TAO that exerts its effects by modulating the
pathogenic mechanisms in orbital fibroblasts.
PMID- 24924314
TI - Phase 1 clinical trial of VesicareTM (solifenacin) in the treatment of urinary
incontinence after radical prostatectomy.
AB - PURPOSE: Permanent and prolonged incontinence following robot assisted radical
prostatectomy (RARP) is known to result from injury to the external sphincter. We
hypothesize that pre-existing detrusor overactivity may also contribute to
delayed return of continence (>3 months). This pilot study examines the safety
profile and efficacy of muscarinic receptor antagonist, solifenacin, in
incontinent men after prostatectomy. MATERIALS AND METHODS: Men using three or
more pads 7 days post catheter removal were invited to enroll into the study (5
mg daily of solifenacin for 3 months). All subjects received RARP under
hypothermic conditions by one surgeon. Continence was defined as 0 pads. RESULTS:
Forty men were enrolled, one excluded due to missing follow-up. Two men reported
nonserious adverse events: one a vaso-vagal reaction when taking solifenacin with
sildenafil, and the other was dehydration and dizziness after strenuous exercise.
Additionally, four withdrew due to side effects. The efficacy outcomes show
improved intermittency after prostatectomy, though unclear if due to the
medication. At 3 months, 21 patients (53.8%) reached the primary continence
outcome of zero pads. The median time to continence in this group was 95 days.
CONCLUSIONS: Solifenacin is well tolerated in post radical prostatectomy patients
with a 15% withdrawal rate due to side effects. These results formed the basis of
a large, prospectively randomized clinical trial comparing the effects of
solifenacin versus placebo in a population of men incontinent after RARP.
PMID- 24924315
TI - Chloride-assisted catalytic water oxidation.
AB - Rates of electrocatalytic water oxidation to oxygen by Ru(II) polypyridyl
complexes are enhanced by added NaCl. Observations based on the single-site
catalyst [Ru(II)(Mebimpy)(bpy)(OH2)](2+) (Mebimpy is 2,6-bis(1-methylbenzimidazol
2-yl)pyridine; bpy is 2,2'-bipyridine) at pH 7.0 in a phosphate buffer suggest a
mechanism involving initial oxidation of the catalyst to Ru(V)(O)(3+) followed by
Cl(-) oxidation to HOCl. Once formed, HOCl is oxidized to O2.
PMID- 24924317
TI - Someone does believe the introductory books: Mahoney's problems with behaviorism.
PMID- 24924316
TI - Comparison between two types of improved motion-sensitized driven-equilibrium
(iMSDE) for intracranial black-blood imaging at 3.0 tesla.
AB - PURPOSE: To investigate the image quality impact of a new implementation of the
improved motion-sensitized driven-equilibrium (iMSDE) pulse scheme in the human
brain at 3.0 Tesla. MATERIALS AND METHODS: Two iMSDE preparation schemes were
compared; (a) iMSDE-1: two refocusing pulses and two pairs of bipolar gradients
and (b) iMSDE-2: adding extra bipolar gradients in front of the iMSDE-1
preparation. Computer simulation was used to evaluate the difference of eddy
currents effect between these two approaches. Five healthy volunteers were then
scanned with both sequences in the intracranial region and signal changes
associated with iMSDE-1 and iMSDE-2 were assessed and compared quantitatively and
qualitatively. RESULTS: Simulation results demonstrated that eddy currents are
better compensated in iMSDE-2 than in the iMSDE-1 design. In vivo comparison
showed that the iMSDE-2 sequence significantly reduced the tissue signal loss at
all locations compared with iMSDE-1 (5.0% versus 23% in average, P < 0.0002 at
paired t-test). The signal in iMSDE-1 showed greater spatial inhomogeneity than
that of iMSDE-2. CONCLUSION: Our results show that iMSDE-2 demonstrated smaller
loss in signal and less spatial variation compared with iMSDE-1, we conjecture
due to the improved eddy current compensation.
PMID- 24924318
TI - Matching by horses on several concurrent variable-interval schedules.
AB - Using three horses we imvestigated responding on several concurrent variable
interval schedules. Each horse was first trained, using the method of successive
approximations, to press a response lever with its upper lip. Following
successful acquisition of the lip-press response, horses spent several days on
pretraining schedules. These included a continuous reinforcement schedule and
three variable-interval concurrent schedules: VI 15-sec VI 15-sec, VI 30-sec VI
30-sec, and VI 45-sec VI 45-sec. Horses were then exposed to the experimental
sessions; sessions were conducted daily in the horse's home stall and lasted
until 60 grain reinforcers had been received. All horses were exposed to five
variable-interval schedules: VI 30-sec VI 90-sec, VI 45-sec VI 75-sec, VI 60-sec
VI 60-sec, VI 75-sec VI 45-sec, and VI 90-sec VI 30-sec. Like previous
experimenters, we found a close matching relationship between the horse's
relative rates of responding and the relative rates of reinforcement. When each
horse's data was fitted with a regression line, using the least squares method,
more than 97% of the variance was accounted for. These results expand the
generality of the matching law to include horses as well as providing some other
information about the response characteristics of horses.
PMID- 24924319
TI - Ambiguous stimuli and delayed matching to sample.
AB - Four pigeons learned a delayed matching task in which the sample and choice
stimuli were line orientations. After performance had reached a high level of
accuracy the procedure was altered so that only one of the line choice stimuli
was presented on each trial and a uniform white field was presented on the other
key. In the first experiment the position of the white key alternated between
left and right in successive sessions. Three of the four subjects suffered no
loss in accuracy when the white key was on one side but responded only slightly
better than chance when it was on the other. A second experiment showed that this
position effect was not eliminated by keeping the white key in the same position
for a number of sessions and, for three of the four subjects, was not affected by
changing the color from white to red.
PMID- 24924320
TI - Agonistic interactions and exploitation of limited food sources in Blattella
germanica (L.).
AB - The importance of size asymmetries between contestants that engage in agonistic
interactions at a limited food source were investigated. Rates of agonistic
interactions at the experimental food sources were not density-dependent. Most of
the interactions concerned dyads either of the same developmental stage or of
close developmental stages. Larvae interacted more frequently with an animal of
the same developmental stage whereas adults interacted more frequently with an
adult of the other sex or with a large, instar 6, larva. According to the
composition of the feeding group, the number of acts initiated per individual per
unit time varied with developmental stage. A factorial analysis of
correspondence, followed by a cluster analysis, enabled us to define three
categories of interacting groups according to group structure. Feeding group
structure influenced the level of individual aggression, particularly in adults.
We show how a slight change in group structure modified intensity and direction
of aggressiveness, particularly in males and in females.
PMID- 24924321
TI - Early maternal discrimination of alien kids by post-parturient goats.
AB - Dwarf Creole goats (n = 6) and mixed blood goats of dairy breeds (n = 13) were
tested for their ability to show selective care for their kids after post-partum
mother-young contact ranging from 2.5 to 12 h (mean: 5.0 h). 18 out of 19 mothers
were found to be selective and to reject alien young presented to them. Rejection
was independent of the age of the alien (range: 3 to 28 h; mean 9.3 h) and of
duration of mother-young contact prior to the test. Last we found that only one
mother of multiple young (n = 13) showed signs of recognition of litter size. Our
results indicate that goat mothers can establish a selective bond as rapidly as
sheep, and that they reject alien kids younger than the time necessary for the
development of labelling as reported previously. Additional mechanisms may also
be involved in the establishment of early maternal selectivity in goats.
PMID- 24924322
TI - Time allocations of various activities under multiple schedules in pigeons.
AB - Time allocations of three pigeons' various activities (pecking, turning,
preening, wing flapping, etc.) were measured under usual multiple schedules in
which only key-peck response was reinforced. Positive behavioral contrast was
found for both the number and the time allocation of key-peck response. Some time
allocations of the other activities were inversely varied to that of key-pecks,
as stated in the competition theory of behavioral contrast. However, the amount
of reallocation of interim activities was not enough to complement the amount of
increases or decreases of key-pecks in the unchanged component. Most of the
amount of increases or decreases of key-pecks in the unchanged component was
complemented with the inactive time. This result suggests that behavioral
competition is not the primary mechanism for key-peck behavioral contrast in
multiple schedules in pigeons. It is important to consider inactive time, which
is emerged in the usual experimental setting, to clearly attribute the behavioral
contrast to reallocation of time for other activities.
PMID- 24924323
TI - Preferences for constant duration delays and constant sized rewards in human
subjects.
AB - In four experiments, human subjects played a simple video game in which they
chose between two buttons that provided reinforcement either on constant or
variable schedules. In one condition of Experiment 1, subjects strongly preferred
constant sized rewards over variable sized rewards. In the two other conditions,
subjects preferred constant duration delays-to-reinforcement over variable
duration delays-to-reinforcement. In Experiment 2, subjects were exposed to
different parameters and, in Experiment 3, they were exposed to a modified
temporal procedure. In each case, subjects continued to prefer constant sized
rewards and constant duration delays. The preference for constant duration delays
contradicts analogous research showing that pigeons and rats prefer variable
duration delays over constant duration delays. In Experiment 4, we explored this
difference by omitting the prompts that segmented the phases of the procedure.
Under these conditions, the subjects preferred the variable duration delays.
Based on these results, we argue that in the absence of effective signals, both
people and animals may be forced to use secondary choice strategies such as a
proportional waiting rule. The presence of prompts, however, enables people to
segment the choice phase and directly choose between the fixed and variable
duration delays.
PMID- 24924324
TI - Choice in a self-control paradigm: effects of uncertainty.
AB - Four experiments examined humans' self-control and impulsiveness as a function of
reinforcer uncertainty. Uncertainty was manipulated by varying the probability
and durations of interruptions, temporary periods of timeout from reinforcement.
In Experiment 1 the probability of an interruption varied while its duration was
constant. In Experiment 2 the duration of an interruption varied while its
probability was constant. In Experiment 3, the subjects were interrupted only
during the delay to the larger, more delayed reinforcer. In Experiment 4 the
subjects experienced the same amounts and delays of reinforcement as in
Experiments 1-3, but did not experience any interruptions. The subjects in
Experiments 1 and 2 were not significantly more impulsive than the subjects in
Experiment 4. In Experiment 3, the subjects exhibited significantly more
impulsiveness than the subjects in Experiments 1, 2 and 4 when the reinforcement
rates were equal, but not when they were unequal. Reinforcer uncertainty affects
choice behavior to the extent that it influences the relative reinforcement rate.
PMID- 24924325
TI - Seasonal movements of female Corsican mouflon (Ovis ammon) in a Mediterranean
mountain range, southern France.
AB - The spatial occupation of female Corsican mouflon (Ovis ammon) in medium
Mediterranean mountains of southern France, was studied between the end of 1987
and June 1989. It can be divided into two seasonal home ranges, one in
fall/winter, and one in spring/summer. Both seasonal home ranges start with a
period of intense locomotive activity in the different individuals. This
behaviour could be explained by a general inclination of the animals to move on
large scale, the expression of this inclination being allowed by the favourable
environmental conditions in spring and fall. In any case, the comparison of our
results with those obtained from mountain populations of the genus Ovis, suggests
that 'phylogenetic inertia' exists towards certain modalities in spatial
occupation. Indeed, the observed seasonal movements do not seem to retain a real
adaptive character in our Mediterranean study area, as shown by the overlap of
ranges, the use of the same type of habitat during the seasons and the great
variability between individuals.
PMID- 24924326
TI - Factors affecting the incidence of dawn calling in red-legged and grey
partridges.
AB - Seasonal variations in the frequency and the timing of calling in red-legged
(Alectoris rufa) and grey (Perdix perdix) partridges, and their relationships
with biological and environmental factors, were investigated during 89 morning
surveys. In winter, when birds were living in social groups, the vocal activity
of both species decreased. Most calling began before sunrise. Yet many variations
in the timing of first calls were observed when sunrise was prior to 0530 h, i.e.
when the daylength was above 13 hours. On the contrary, when daylength was
shorter, calling activity always began approximately 45 minutes before sunrise.
Red-legged partridge calls were more particularly stimulated when sunrise was
between 0530 h and 0700 h, with no wind, when moonrise was between 0000 h and
0900 h, and when cloud cover was low.
PMID- 24924327
TI - Dustbathing as related to proximal and distal feather lipids in laying hens.
AB - After a prolonged experience with wood-shavings or with sand, 2 x 11 hens (Gallus
gallus domesticus) were litter-deprived. Prior to the 7 to 8 day deprivation
period feather samples were taken. This was repeated immediately after the
deprivation had ended and right after the first bath in the familiar litter. Each
feather was cut at the transition between the proximal plumulous and distal
pennaceous part and lipids were extracted from separate samples, containing one
type of feather parts. Hens on wood-shavings bathed 10 minutes longer after
deprivation than hens on sand. This was due only to an extension of the second
phase of the dustbath, which included rubbing; the first phase of tossing did not
differ. In the extended phase of the wood-shavings bath the tendency to rub was
lower, whereas the tendency to toss was higher than in the comparable phase of
the sand bath. Thus, litter quality affected the amount of rubbings and of
tossings in between. Rubbing did not effectuate a close contact between wood
shavings and the proximal integument, as wood-shavings could not be tossed into
the plumage. This contrasted with baths in sand and only these baths resulted in
a removal of excessive lipids from the plumulous parts. Therefore rubbing seems
functionally crucial. The lipid level immediately after deprivation and the
change in the quantity of lipids due to deprivation positively correlated with
the amount of tossings in wood-shavings and with the amount of rubbings in sand.
This indicates that the lipid condition is causally involved in dustbathing.
PMID- 24924328
TI - Responses of adult domestic cocks and capons to novel and alarming stimuli.
AB - The present study compared the responses of adult domestic cocks and capons to a
variety of novel and potentially frightening events. Cocks took longer to feed,
spent less time feeding and ate less of their diet when it was dyed an unfamiliar
colour than did capons. They also showed more pronounced avoidance of a novel
object placed in the food trough. Conversely, cocks showed less behavioural
inhibition than capons when placed individually in an unfamiliar pen (open field)
containing a human observer: they ambulated sooner and more, and vocalized,
pecked at the environment and flapped their wings more often. No significant
differences were found between the tonic immobility fear reactions of cocks and
capons. The pattern of results is considered more likely to reflect the
stabilisation of attention by testosterone rather than any direct effect of the
hormone on the ease of evocation and expression of fear.
PMID- 24924329
TI - Increased distractability in capons: an adult parallel to androgen-induced
effects in the domestic chick.
AB - In the male domestic chick, one consequence of the stabilisation of attention by
testosterone is an increased ability to pass conspicuous novel features
introduced within a runway, in order to continue and reach food. Cocks are here
shown to be better able to do this than capons. When faced with such conspicuous
change (coloured panels suspended on the runway walls, coloured tapes attached to
the food dish), capons instead began to search for food elsewhere within the
runway, and to show other signs of shifting attention, such as looking up in
preparation for leaving the runway. Capons receiving testosterone therapy behaved
more like cocks. It is thus likely that testicular androgens stabilise attention
in adult cocks. Since the corresponding effect in young chicks has short latency,
(unlike classic effects of testosterone on attack and copulation), the same may
be true in adults. Facilitation of attack and stabilisation of attention, as
separate effects of testosterone, may interact to bring about greater confidence
in dominant adult cocks with elevated levels of testosterone.
PMID- 24924330
TI - Fish movement behaviour: variability within and between groups.
AB - The movement behaviour of mullet, Mugil cephalus (Linnaeus), an obligate
schooling fish species, was recorded for individuals in small groups. Mean speeds
and mean turning frequencies both declined significantly with increasing group
size. Significant differences between groups were attributed to individual
variation. However, within groups the movements of individuals were highly
coordinated. As the size of fish schools increases, behavioural convergence
mediated by the monitoring of companions rapidly masks individual variation and
leads to the characteristic uniformity of such aggregations.
PMID- 24924331
TI - Depressive symptomatology in men receiving androgen deprivation therapy for
prostate cancer: a controlled comparison.
AB - OBJECTIVE: Prostate cancer patients who receive androgen deprivation therapy
(ADT) often experience many physical and psychological side effects. ADT may be
associated with increased risk for depression, but the relationship between ADT
and depression is not fully understood. This study used a longitudinal design to
assess depressive symptomatology in patients receiving ADT compared with two
groups of matched controls. METHODS: Participants were men initiating ADT
treatment (ADT+ group; n = 61) and their matched controls: prostate cancer
patients treated with radical prostatectomy (ADT- group; n = 61), and no-cancer
controls (CA- group; n = 61). Depressive symptomatology was assessed using the
Center for Epidemiological Studies Depression Scale at ADT initiation and again 6
months later. Differences in depressive symptomatology and rates of clinically
significant depressive symptomatology were analyzed between groups at each time
point and within groups over time. RESULTS: Between baseline and follow-up, ADT+
participants demonstrated increased depressive symptomatology and increased rates
of clinically significant depressive symptomatology (ps < 0.05). ADT+
participants also reported greater depressive symptomatology than both control
groups at follow-up (ps < 0.001). Rates of clinically significant depressive
symptomatology were higher in the ADT+ group than the ADT- and CA- groups at both
time points (baseline: 28%, 5%, 12%; follow-up: 39%, 9%, 11%). CONCLUSIONS:
Findings support the hypothesis that ADT administration yields increases in
depression and suggest that the mechanism behind ADT's association with
depression should be explored and that prostate cancer patients treated with ADT
should receive particular focus in depression screening and intervention.
PMID- 24924332
TI - Pattern of mutation rates in the germline of Drosophila melanogaster males from a
large-scale mutation screening experiment.
AB - The sperm or eggs of sexual organisms go through a series of cell divisions from
the fertilized egg; mutations can occur at each division. Mutations in the
lineage of cells leading to the sperm or eggs are of particular importance
because many such mutations may be shared by somatic tissues and also may be
inherited, thus having a lasting consequence. For decades, little has been known
about the pattern of the mutation rates along the germline development. Recently
it was shown from a small portion of data that resulted from a large-scale
mutation screening experiment that the rates of recessive lethal or nearly lethal
mutations differ dramatically during the germline development of Drosophila
melanogaster males. In this paper the full data set from the experiment and its
analysis are reported by taking advantage of a recent methodologic advance. By
analyzing the mutation patterns with different levels of recessive lethality,
earlier published conclusions based on partial data are found to remain valid.
Furthermore, it is found that for most nearly lethal mutations, the mutation rate
at the first cell division is even greater than previous thought compared with
those at other divisions. There is also some evidence that the mutation rate at
the second division decreases rapidly but is still appreciably greater than those
for the rest of the cleavage stage. The mutation rate at spermatogenesis is
greater than late cleavage and stem-cell stages, but there is no evidence that
rates are different among the five cell divisions of the spermatogenesis. We also
found that a modestly biased sampling, leading to slightly more primordial germ
cells after the eighth division than those reported in the literature, provides
the best fit to the data. These findings provide conceptual and numerical basis
for exploring the consequences of differential mutation rates during individual
development.
PMID- 24924333
TI - UV fluorescence of tryptophan residues effectively measures protein binding to
nucleic acid fragments immobilized in gel elements of microarrays.
AB - Microarrays allow for the simultaneous monitoring of protein interactions with
different nucleic acid (NA) sequences immobilized in microarray elements. Either
fluorescently labeled proteins or specific fluorescently labeled antibodies are
used to study protein-NA complexes. We suggest that protein-NA interactions on
microarrays can be analyzed by ultraviolet (UV) fluorescence of tryptophan
residues in the studied proteins, and this approach may eliminate the protein
labeling step. A specialized UV microscope was developed to obtain fluorescent
images of microarrays in the UV wavelengths and to measure the fluorescence
intensity of individual microarray elements. UV fluorescence intensity of BSA
immobilized in microarray gel elements increased linearly with increased BSA
amount with sensitivity of 0.6 ng. Real-time interaction curves between the DNA
binding domain of the NFATc1 transcription factor (NFATc1-DBD) and synthetic
hairpin-forming oligodeoxyribonucleotides immobilized within 0.2 nL microarray
gel elements at a concentration 5 * 10(-5) M and higher were obtained. The UV
fluorescence intensities of microarray gel elements containing NFATc1-DBD-DNA
complexes at equilibrium allowed the estimation of the equilibrium binding
constant for complex formation. The developed method allows the protein-NA
binding to be monitored in real time and can be applied to assess the sequence
specific affinity of NA-binding proteins in parallel studies involving many NA
sequences.
PMID- 24924334
TI - Apparent diffusion coefficient measurements as very early predictive markers of
response to chemotherapy in hepatic metastasis: a preliminary investigation of
reproducibility and diagnostic value.
AB - PURPOSE: To evaluate the reproducibility and diagnostic value of apparent
diffusion coefficient (ADC) as an early predictor of response to chemotherapy of
liver metastasis in routine clinical practice. MATERIALS AND METHODS: A
prospective study of 20 patients with histologically proven primary tumors with
liver metastases was undertaken. Diffusion weighted MRI was performed twice
before and 12-14 days after the start of treatment. Absolute and liver normalized
ADC values were calculated. Bland Altman statistics were used to assess the
reproducibility of ADC change for predicting lesion response as measured by
RECIST. RESULTS: Nineteen of 31 metastases responded. Significant increases in
absolute and normalized ADC values were found in responding (mean +208.7 * 10(-6)
m(2)/s and +18% respectively, both P < 0.001) compared with nonresponding lesions
(mean +98.6 * 10(-6) m(2)/s and 2%, respectively, P = 0.09 and 0.519).
Reproducibility was better using normalized ADC compared with absolute ADC values
(within patient coefficient of variability 8.0% and 10.1%, respectively). Using
the repeatability threshold of +/-22.3% for normalized ADC, only 8 of 19
responding and all but one nonresponding lesions could be prospectively detected.
CONCLUSION: Increases in ADC values in responding liver metastases occurred
within days after the start of chemotherapy but were of smaller magnitude than
the variability of ADC measurement. These preliminary data suggest that the
presently used technique is not reliable enough to predict final response at such
an early time point in individual lesions.
PMID- 24924336
TI - Not just neuroanesthesia, but Pediatric neuroanesthesia!
PMID- 24924335
TI - Podocytes degrade endocytosed albumin primarily in lysosomes.
AB - Albuminuria is a strong, independent predictor of chronic kidney disease
progression. We hypothesize that podocyte processing of albumin via the lysosome
may be an important determinant of podocyte injury and loss. A human urine
derived podocyte-like epithelial cell (HUPEC) line was used for in vitro
experiments. Albumin uptake was quantified by Western blot after loading HUPECs
with fluorescein-labeled (FITC) albumin. Co-localization of albumin with
lysosomes was determined by confocal microscopy. Albumin degradation was measured
by quantifying FITC-albumin abundance in HUPEC lysates by Western blot.
Degradation experiments were repeated using HUPECs treated with chloroquine, a
lysosome inhibitor, or MG-132, a proteasome inhibitor. Lysosome activity was
measured by fluorescence recovery after photo bleaching (FRAP). Cytokine
production was measured by ELISA. Cell death was determined by trypan blue
staining. In vivo, staining with lysosome-associated membrane protein-1 (LAMP-1)
was performed on tissue from a Denys-Drash trangenic mouse model of nephrotic
syndrome. HUPECs endocytosed albumin, which co-localized with lysosomes.
Choloroquine, but not MG-132, inhibited albumin degradation, indicating that
degradation occurs in lysosomes. Cathepsin B activity, measured by FRAP,
significantly decreased in HUPECs exposed to albumin (12.5% of activity in
controls) and chloroquine (12.8%), and declined further with exposure to albumin
plus chloroquine (8.2%, p<0.05). Cytokine production and cell death were
significantly increased in HUPECs exposed to albumin and chloroquine alone, and
these effects were potentiated by exposure to albumin plus chloroquine. Compared
to wild-type mice, glomerular staining of LAMP-1 was significantly increased in
Denys-Drash mice and appeared to be most prominent in podocytes. These data
suggest lysosomes are involved in the processing of endocytosed albumin in
podocytes, and lysosomal dysfunction may contribute to podocyte injury and
glomerulosclerosis in albuminuric diseases. Modifiers of lysosomal activity may
have therapeutic potential in slowing the progression of glomerulosclerosis by
enhancing the ability of podocytes to process and degrade albumin.
PMID- 24924337
TI - Cerebral perfusion pressure: how low can we go?
PMID- 24924338
TI - Designing a safe and sustainable pediatric neurosurgical practice: the English
experience.
AB - The 2001 Report of the Public Inquiry into children's heart surgery at the
Bristol Royal Infirmary 1984-1995 stated that there must be standards for
hospitals as a whole and that hospitals, which do not meet these standards,
should not be able to offer services within the National Health Service (NHS). In
2013, agreed standards for pediatric neurosurgery were produced. Between 2001 and
2013 several key documents were published, which formed the background to the
review that produced these standards:, the 'Safe and Sustainable' review. The
process had the mission statement, 'Safe, sustainable and world class. Not
ordinary, OK or just good enough.' In April 2013, the new commissioning structure
of NHS England came into being. Clinical Reference Groups (reporting directly
into the new structure) and pediatric neurosurgical operational delivery networks
are taking the Safe and Sustainable pediatric neurosurgery standards and models
of care into practice in England. Effective outcome data collection will allow us
to assess whether these networks will improve equity of access for English
children to world-class pediatric neurosurgical care and reduce the variation in
outcomes seen at the present time.
PMID- 24924339
TI - The postoperative management of pain from intracranial surgery in pediatric
neurosurgical patients.
AB - Pain following intracranial surgery has historically been undertreated because of
the concern that opioids, the analgesics most commonly used to treat moderate-to
severe pain, will interfere with the neurologic examination and adversely affect
postoperative outcome. Over the past decade, accumulating evidence, primarily in
adult patients, has revealed that moderate-to-severe pain is common in
neurosurgical patients following surgery. Using the neurophysiology of pain as a
blueprint, we have highlighted some of the drugs and drug families used in
multimodal pain management. This analgesic method minimizes opioid-induced
adverse side effects by maximizing pain control with smaller doses of opioids
supplemented with neural blockade and nonopioid analgesics, such nonsteroidal
antiinflammatory drugs, local anesthetics, corticosteroids, N-methyl-D-aspartate
(NMDA) antagonists, alpha2 -adrenergic agonists, and/or anticonvulsants
(gabapentin and pregabalin).
PMID- 24924340
TI - Use of tranexamic acid in infants undergoing choroid plexus papilloma surgery: a
report of two cases.
AB - Choroid plexus papilloma (CPP) is a highly vascular tumor of infancy. Reducing
blood loss is the key to successful surgical removal of CPPs. Tranexamic acid
(TXA) is efficacious in reducing bleeding in craniofacial surgery for infants.
This report demonstrates the potential utility of TXA for decreasing blood loss
in the removal of vascular tumors in infants. We administered tranexamic acid to
two infants with CPP during surgical removal to potentially aid hemostasis and
therefore lessen intra-operative bleeding. Gross total surgical resection was
accomplished; the patients were hemodynamically stable perioperatively, and the
total calculated blood loss was minimal at <20% of the patients' total
circulating blood volume. This is the first report of tranexamic acid
administration for CPP surgery in children. TXA is an easily administered
hemostatic agent and may merit further study as an agent to help reduce intra
operative blood loss in this vulnerable population.
PMID- 24924341
TI - [Oxytocin for the prophylaxis of uterine atony after cesarean delivery. Should we
reconsider our protocols?].
PMID- 24924342
TI - [Reply to article "Iliac aneurysm rupture during preconditioning with
levosimendan for coronary artery graft, it was levosimendan the most influencing
factor?"].
PMID- 24924343
TI - Use of platelet-rich fibrin as an autologous biologic rejuvenating media for
avulsed teeth - an in vitro study.
AB - AIM: The prognosis of replanted avulsed tooth depends on the existence of viable
cells in the periodontal ligament and also on those cells which are able to
proliferate on the damaged areas of the root. The purpose of this study was to
evaluate the survival of periodontal ligament cells (PDL) when soaked in an
autologous biologic rejuvenating media after an extra-oral dry time of 40 min.
METHOD: Thirty teeth were selected with intact crown which were advised for
Orthodontic extraction having healthy PDL. They were divided into two
experimental and two control groups. The positive and negative controls
corresponded to 0-min and 1-h dry time, respectively. The experimental teeth were
stored dry for 40 min and then immersed in one of the two media, combination of
platelet-rich fibrin and platelet poor plasma (PRF+PPP) and PPP for 45 min. The
teeth in each group were treated with dispase II and collagenase for 30 min and
later centrifuged for 5 min at 50.17 g. The supernatant was removed with sterile
micropipette, the cells labelled with 0.4% trypan blue, and the number of viable
PDL cells was counted with a haemocytometer, under a light microscope. RESULTS:
anova and Mann-Whitney U-test demonstrated statistically significant differences
in the viability of PDL cells among experimental groups. CONCLUSION: Within the
parameters of this study, a combination of platelet-rich fibrin and PPP
demonstrated higher number of viable PDL cells and hence could be a good biologic
rejuvenating media for avulsed teeth.
PMID- 24924344
TI - Application of next generation sequencing to CEPH cell lines to discover variants
associated with FDA approved chemotherapeutics.
AB - BACKGROUND: The goal of this study was to perform candidate gene association with
cytotoxicity of chemotherapeutics in cell line models through resequencing and
discovery of rare and low frequency variants along with common variations. Here,
an association study of cytotoxicity response to 30 FDA approved drugs was
conducted and we applied next generation targeted sequencing technology to
discover variants from 103 candidate genes in 95 lymphoblastoid cell lines from
14 CEPH pedigrees. In this article, we called variants across 95 cell lines and
performed association analysis for cytotoxic response using the Family Based
Association Testing method and software. RESULTS: We called 2281 variable SNP
genotypes across the 103 genes for these cell lines and identified three genes of
significant association within this marker set. Specifically, ATP-binding
cassette, sub-family C, member 5 (ABCC5), metallothionein 1A (MT1A) and NAD(P)H
dehydrogenase quinone1 (NQO1) were significantly associated with oxaliplatin drug
response. The significant SNP on NQO1 (rs1800566) has been linked with poor
survival rates in patients with non-small cell lung cancer treated with cisplatin
(which belongs to the same class of drugs as oxaliplatin). A SNP (rs1846692) near
the 5' region of MT1A was associated with arsenic trioxide. CONCLUSIONS: The
results from this study are promising and this serves as a proof-of-principle
demonstration of the use of sequencing data in the cytotoxicity models of human
cell lines. With increased sample sizes, such studies will be a fast and powerful
way to associate common and rare variants with drug response; while overcoming
the cost and time limitations to recruit cohorts for association study.
PMID- 24924345
TI - Meta-analysis of melanin-concentrating hormone signaling-deficient mice on
behavioral and metabolic phenotypes.
AB - The demand for meta-analyses in basic biomedical research has been increasing
because the phenotyping of genetically modified mice does not always produce
consistent results. Melanin-concentrating hormone (MCH) has been reported to be
involved in a variety of behaviors that include feeding, body-weight regulation,
anxiety, sleep, and reward behavior. However, the reported behavioral and
metabolic characteristics of MCH signaling-deficient mice, such as MCH-deficient
mice and MCH receptor 1 (MCHR1)-deficient mice, are not consistent with each
other. In the present study, we performed a meta-analysis of the published data
related to MCH-deficient and MCHR1-deficient mice to obtain robust conclusions
about the role of MCH signaling. Overall, the meta-analysis revealed that the
deletion of MCH signaling enhanced wakefulness, locomotor activity, aggression,
and male sexual behavior and that MCH signaling deficiency suppressed non-REM
sleep, anxiety, responses to novelty, startle responses, and conditioned place
preferences. In contrast to the acute orexigenic effect of MCH, MCH signaling
deficiency significantly increased food intake. Overall, the meta-analysis also
revealed that the deletion of MCH signaling suppressed the body weight, fat mass,
and plasma leptin, while MCH signaling deficiency increased the body temperature,
oxygen consumption, heart rate, and mean arterial pressure. The lean phenotype of
the MCH signaling-deficient mice was also confirmed in separate meta-analyses
that were specific to sex and background strain (i.e., C57BL/6 and 129Sv). MCH
signaling deficiency caused a weak anxiolytic effect as assessed with the
elevated plus maze and the open field test but also caused a weak anxiogenic
effect as assessed with the emergence test. MCH signaling-deficient mice also
exhibited increased plasma corticosterone under non-stressed conditions, which
suggests enhanced activity of the hypothalamic-pituitary-adrenal axis. To the
best of our knowledge, the present work is the first study to systematically
compare the effects of MCH signaling on behavioral and metabolic phenotypes.
PMID- 24924346
TI - Dental implant surface treatments may modulate cytokine secretion in
Porphyromonas gingivalis-stimulated human gingival fibroblasts: a comparative
study.
AB - Peri-implantitis is an inflammation that affects dental implants and can lead to
implant loss. The aim of this study was to analyze the in vitro effect of
different implant surface treatments on cytokine production by human gingival
fibroblasts (HGFs) stimulated or not with Porphyromonas gingivalis
lipopolysaccharide (PgLPS). Six different titanium implants were tested: turned,
sandblasted, anodized, acid-etched, TiO2-blasted/acid-etched, and grit
blasted/acid-etched. HGFs were seeded with each implant in a 6-well plate and
assayed before LPS treatment (-LPS) or after 36 h of LPS (+LPS) treatment.
Protein concentrations were measured using a Pierce bicinchoninic acid (BCA)
assay and cytokine secretions were analyzed using a multiplex cytokine array.
Scanning electron microscopy was performed for sterile implants and after cell
attachment. Protein levels were consistent across all implants indicating that
cell growth was uniform (p > 0.05). Sandblasted and turned surfaces significantly
increased the secretion of interleukin (IL)-6, -8, -10, MCP-1 and VEGF (p < 0.05)
when compared with the other surfaces. PgLPS stimulus increased cytokine
secretion in all tested surfaces. In conclusion, different implant surfaces had
various effects on HGFs' cytokine secretion. The findings may provide insights
into the progression of peri-implantitis.
PMID- 24924347
TI - High elastic modulus nanoparticles: a novel tool for subfailure connective tissue
matrix damage.
AB - Subfailure matrix injuries such as sprains and strains account for a considerable
portion of ligament and tendon pathologies. In addition to the lack of a robust
biological healing response, these types of injuries are often characterized by
seriously diminished matrix biomechanics. Recent work has shown nanosized
particles, such as nanocarbons and nanocellulose, to be effective in modulating
cell and biological matrix responses for biomedical applications. In this
article, we investigate the feasibility and effect of using high stiffness
nanostructures of varying size and shape as nanofillers to mechanically reinforce
damaged soft tissue matrices. To this end, nanoparticles (NPs) were characterized
using atomic force microscopy and dynamic light scattering techniques. Next, we
used a uniaxial tensile injury model to test connective tissue (porcine skin and
tendon) biomechanical response to NP injections. After injection into damaged
skin and tendon specimens, the NPs, more notably nanocarbons in skin, led to an
increase in elastic moduli and yield strength. Furthermore, rat primary patella
tendon fibroblast cell activity evaluated using the metabolic water soluble
tetrazolium salt assay showed no cytotoxicity of the NPs studied, instead after
21 days nanocellulose-treated tenocytes exhibited significantly higher cell
activity when compared with nontreated control tenocytes. Dispersion of
nanocarbons injected by solution into tendon tissue was investigated through
histologic studies, revealing effective dispersion and infiltration in the
treated region. Such results suggest that these high modulus NPs could be used as
a tool for damaged connective tissue repair.
PMID- 24924348
TI - A prospective epigenetic paradigm between cellular senescence and epithelial
mesenchymal transition in organismal development and aging.
AB - Epigenetic states can govern the plasticity of a genome to be adaptive to
environments where many stress stimuli and insults compromise the homeostatic
system with age. Although certain elastic power may autonomously reset,
reprogram, rejuvenate, or reverse the organismal aging process, enforced genetic
manipulations could at least reset and reprogram epigenetic states beyond
phenotypic plasticity and elasticity in cells, which can be further manipulated
into organisms. The question, however, remains how we can rejuvenate intrinsic
resources and infrastructures in a noninvasive manner, particularly in a whole
complex aging organism. Given inevitable increase of cancer with age, presumably
any failure of resetting, reprogramming, or even rejuvenation could be a
prominent causative factor of malignancy. Accompanied by progressive
deteriorations of physiological functions in organisms with advancing age, aging
associated cancer risk may essentially arise from unforeseen complications in
cellular senescence. At the cellular level, epithelial-mesenchymal plasticity
(dynamic and reversible transitions between epithelial and mesenchymal phenotypic
states) is enabled by underlying shifts in epigenetic regulation. Thus, the
epithelial-mesenchymal transition (EMT) and its reversal (mesenchymal-epithelial
transition [MET]) function as a key of cellular transdifferentiation programs. On
the one hand, the EMT-MET process was initially appreciated in developmental
biology, but is now attracting increasing attention in oncogenesis and
senescence, because the process is involved in the malignant progression vs
regression of cancer. On the other hand, senescence is often considered the
antithesis of early development, but yet between these 2 phenomena, there may be
common factors and governing mechanisms such as the EMT-MET program, to steer
toward rejuvenation of the biological aging system, thereby precisely controlling
or avoiding cancer through epigenetic interventions.
PMID- 24924350
TI - Spatial patterns of the congenital heart disease prevalence among 0- to 14-year
old children in Sichuan Basin, P. R China, from 2004 to 2009.
AB - BACKGROUND: Congenital heart disease (CHD) is the most common type of major birth
defects in Sichuan, the most populous province in China. The detailed etiology of
CHD is unknown but some environmental factors are suspected as the cause of this
disease. However, the geographical variations in CHD prevalence would be highly
valuable in providing a clue on the role of the environment in CHD etiology.
Here, we investigate the spatial patterns and geographic differences in CHD
prevalence among 0- to 14-year-old children, discuss the possible environmental
risk factors that might be associated with CHD prevalence in Sichuan Basin from
2004 to 2009. METHODS: The hierarchical Bayesian model was used to estimate CHD
prevalence at the township level. Spatial autocorrelation statistics were
performed, and a hot-spot analysis with different distance thresholds was used to
identify the spatial pattern of CHD prevalence. Distribution and clustering maps
were drawn using geographic information system tools. RESULTS: CHD prevalence was
significantly clustered in Sichuan Basin in different spatial scale. Typical
hot/cold clusters were identified, and possible CHD causes were discussed. The
association between selected hypothetical environmental factors of maternal
exposure and CHD prevalence was evaluated. CONCLUSIONS: The largest hot-spot
clustering phenomena and the CHD prevalence clustering trend among 0- to 14-year
old children in the study area showed a plausibly close similarity with those
observed in the Tuojiang River Basin. The high ecological risk of heavy metal(Cd,
As, and Pb)sediments in the middle and lower streams of the Tuojiang River
watershed and ammonia-nitrogen pollution may have contribution to the high
prevalence of CHD in this area.
PMID- 24924351
TI - Factors associated with molar incisor hypomineralization in Thai children.
AB - Molar incisor hypomineralization (MIH) is a qualitative developmental enamel
defect that affects one to four permanent first molars, with or without
involvement of permanent incisors. Its etiology is of systemic origin, but is not
well understood. Therefore, we conducted this cross-sectional study to examine
pre-, peri-, and postnatal risk factors for MIH among children, 7-8 yr of age, in
urban areas of Khon Kaen, Thailand. Molar incisor hypomineralization defects were
diagnosed using the European Academy of Pediatric Dentistry criteria. Mothers or
primary caregivers were interviewed on maternal medical history and habits during
pregnancy, pregnancy and delivery complications, and the child's medical history.
Molar incisor hypomineralization defects were observed in 78 (27.7%) of 282
children. Multiple logistic regression analysis showed a statistically
significant association between the development of MIH and Cesarean section
(adjusted OR = 2.0, 95% CI = 1.1-3.7), complications during vaginal delivery
(adjusted OR = 4.5, 95% CI = 1.9-11.0), and severe/chronic illness when under 3
yr of age (adjusted OR = 2.9, 95% CI = 1.6-5.0). There was no association of
preterm birth and low birth weight with MIH. The results suggest that Cesarean
section, complications during vaginal delivery, and poor health during the first
3 yr of life are independent risk factors for MIH.
PMID- 24924352
TI - Comparing cognition, mealtime performance, and nutritional status in people with
dementia with or without ideational apraxia.
AB - OBJECTIVE: To describe the prevalence rate of ideational apraxia (IA) affecting
self-feeding in people with dementia, confirm the stage of dementia at which IA
most commonly occurs, and compare mealtime performance and nutritional status
between people with dementia (PWD) with and without IA. METHOD: A cross-sectional
design with between-subject comparison was used. Among the 395 potential
participants recruited from dementia special care units at nine long-term care
facilities in central and northern Taiwan, 98 met the operational definition of
IA and were included in the PWD with IA group. From the remaining pool, 98
participants, matched for age and sex with the PWD with IA group, were randomly
allocated to the PWD without IA group. Eating Behavior Scale (EBS) scores, food
intake, total eating time, meal assistance, body mass index, Mini-Nutritional
Assessment (MNA), and Mini-Mental State Examination scores were collected.
RESULTS: The prevalence rate of IA affecting self-feeding in our study population
of PWD was 24.8%. PWD with IA had significantly lower EBS scores, had more food
intake (p < .001), spent more time being fed by caregivers, needed more verbal
and feeding assistance, and had lower MNA scores than PWD without IA. Decline in
the self-feeding ability of PWD affected by IA most commonly occurred in the
severe stage of dementia. CONCLUSION: When dementia progresses to the late stage,
staff should pay special attention to residents' mealtime performance and
nutritional status. For PWD with IA, reassigning staff at mealtimes based on
eating ability and providing memory training are recommended.
PMID- 24924349
TI - Early release of high-mobility group box 1 (HMGB1) from neurons in experimental
subarachnoid hemorrhage in vivo and in vitro.
AB - BACKGROUND: Translocation of high-mobility group box 1 (HMGB1) from nucleus could
trigger inflammation. Extracellular HMGB1 up-regulates inflammatory response in
sepsis as a late mediator. However, little was known about its role in
subarachnoid hemorrhage-inducible inflammation, especially in the early stage.
This study aims to identify whether HMGB1 translocation occurred early after SAH
and also to clarify the potential role of HMGB1 in brain injury following SAH.
METHODS: Sprague-Dawley (SD) rats were randomly divided into sham group and SAH
groups at 2 h, 12 h and on day 1, day 2. SAH groups suffered experimental
subarachnoid hemorrhage by injection of 0.3 ml autoblood into the pre-chiasmatic
cistern. Rats injected by recombinant HMGB1(rHMGB1) solution were divided into
four groups according to different time points. Cultured neurons were assigned
into control group and four hemoglobin (Hb) incubated groups. Mixed glial cells
were cultured and stimulated in medium from neurons incubated by Hb. HMGB1
expression is measured by western blot analysis, real-time polymerase chain
reaction (PCR), immunohistochemistry and immunofluorescence. Downstream nuclear
factor kappa B (NF-kappaB) subunit P65 and inflammatory factor Interleukin 1beta
(IL-1beta) were measured by western blot and real-time PCR, respectively. Brain
injury was evaluated by cleaved caspase-3 staining. RESULTS: Our results
demonstrated HMGB1 translocation occurred as early as 2 h after experimental SAH
with mRNA and protein level increased. Immunohistochemistry and
immunofluorescence results indicated cytosolic HMGB1 was mainly located in
neurons while translocated HMGB1 could also be found in some microglia. After
subarachnoid injection of rHMGB1, NF-kappaB, downstream inflammatory response and
cleaved caspase-3 were up-regulated in the cortex compared to the saline control
group. In-vitro, after Hb incubation, HMGB1 was also rapidly released from
neurons to medium. Incubation with medium from neurons up-regulated IL-1beta in
mixed glial cells. This effect could be inhibited by HMGB1 specific inhibitor
glycyrrhizic acid (GA) treatment. CONCLUSION: HMGB1 was released from neurons
early after SAH onset and might trigger inflammation as an upstream inflammatory
mediator. Extracellular HMGB1 contributed to the brain injury after SAH. These
results might have important implications during the administration of specific
HMGB1 antagonists early in order to prevent or reduce inflammatory response
following SAH.
PMID- 24924353
TI - Effect of atorvastatin on wound healing in rats.
AB - Skin-wound healing is a complex and dynamic biological process involving
inflammation, proliferation, and remodeling. Recent studies have shown that
statins are new therapeutical options because of their actions, such as anti
inflammatory and antioxidant activity, on vasodilation, endothelial dysfunction
and neoangiogenesis, which are independent of their lipid-lowering action. Our
aim was to investigate the effect of atorvastatin on tissue repair after acute
injury in healthy animals. Rats were divided into four groups: placebo-treated
(P), topical atorvastatin-treated (AT), oral atorvastatin-treated (AO), topical
and oral atorvastatin-treated (ATO). Under anesthesia, rats were wounded with an
8-mm punch in the dorsal region. Lesions were photographed on Days 0, 1, 3, 7,
10, 12, and 14 post-injury and samples taken on Days 1, 3, 7, and 14 for protein
expression analysis of insulin receptor substrate (IRS)-1, phosphatidylinositol 3
kinase (PI3K), protein kinase B (Akt), glycogen synthase kinase (GSK)-3,
endothelial nitric oxide synthase (eNOS), vascular endothelial growth factor
(VEGF), extracellular signal-regulated kinase (ERK), interleukin (IL)-10, IL
1beta, IL-6, and tumor necrosis factor (TNF)-alpha. Upon macroscopic examination,
we observed significant reductions of lesion areas in groups AT, AO, and ATO
compared to the P group. Additionally, AT and AO groups showed increased
expression of IRS-1, PI3K, Akt, GSK-3, and IL-10 on Days 1 and 3 when compared
with the P group. All atorvastatin-treated groups showed higher expression of IRS
1, PI3K, Akt, GSK-3, IL-10, eNOS, VEGF, and ERK on Day 7. On Days 1, 3, and 7,
all atorvastatin-treated groups showed lower expression of IL-6 and TNF-alpha
when compared with the P group. We conclude that atorvastatin accelerated tissue
repair of acute lesions in rats and modulated expressions of proteins and
cytokines associated with cell-growth pathways.
PMID- 24924355
TI - Efficacy of tumor-targeting Salmonella typhimurium A1-R on nude mouse models of
metastatic and disseminated human ovarian cancer.
AB - We report here the efficacy of tumor-targeting Salmonella typhimurium A1-R (A1-R)
on mouse models of disseminated and metastatic ovarian cancer. The proliferation
inhibitory efficacy of A1-R on human ovarian cancer cell lines (SKOV-3-GFP, OVCAR
3-RFP) was initially demonstrated in vitro. Orthotopic and dissemination mouse
models of ovarian cancer were made with the human ovarian cancer cell line SKOV-3
GFP. After tumor implantation, the mice were treated with A1-R (5 * 10(7) colony
forming units [CFU], i.v.), and there were no severe adverse events observed. In
the orthotopic model, tumor volume after treatment was 276 +/- 60.8 mm(3),
compared to 930 +/- 342 mm(3) in the untreated control group (P = 0.022). There
was also a significant difference in survival between treated mice and untreated
mice in a peritoneal dissemination model (P = 0.005). The results of this report
demonstrate that A1-R is effective for highly aggressive human ovarian cancer in
metastatic and dissemination mouse models and suggest its clinical potential for
this highly treatment-resistant disease.
PMID- 24924358
TI - Influences of alcohol on the social responses of male resident mice to anosmic
female intruders.
AB - The effects of acutely administered ethanol (0, 0.5, 1.0 or 2.0 g/kg, i.p.) were
studied in individually-housed male Swiss mice in dyadic encounters with anosmic,
group-housed female intruders. The procedure was designed to suppress levels of
attack in isolates. Videotape analysis of 500-second encounters, using both
simplified and complex ethological techniques, revealed that alcohol did not
potentiate aggression at any dose tested. At the highest alcohol dose there were
marked increases in total time spent in timid-defensive and non-social
behaviours, with concomitant decreases in aggressive and social/sexual
activities. The postural analysis showed increases and decreases in elements of
non-social behaviour and social investigatory elements, together with marked
increases in certain timid-defensive activities and a decrease in tail-rattling.
Comparison with previous work on inter-male paradigms shows that the type of
opponent not only modifies the behaviour of saline-treated controls, but markedly
influences the behavioural responses to alcohol treatment.
PMID- 24924357
TI - [Arthrodesis without bone fusion with an intramedullary modular nail for revision
of infected total knee arthroplasty].
AB - OBJECTIVE: To evaluate the outcome of knee fixation without bone fusion using an
intramedullary modular nail and interposed cement. MATERIAL AND METHODS:
Retrospective study of 29 infected total knee arthroplasties with prospective
data collection and a mean follow-up of 4.2 years (3-5). RESULTS: Complications
included 2 recurrent infections, 1 peri-implant fracture, and 1 cortical erosion
due to the tip of the femoral component. All of these were revised with
successful results. The mean limb length discrepancy was 0.8 cm, with 24<1cm.
Twenty-five patients reported no pain. The mean WOMAC-pain was 86.9, WOMAC
function 56.4, SF12-physical 45.1, and SF12-mental 53.7. Four patients needed a
walking frame, and only two were dependent for daily activities. CONCLUSIONS: The
Endo-Model Link nail is an effective method for knee fixation that restores the
anatomical alignment of the limb with adequate leg length.
PMID- 24924356
TI - Omics-based interpretation of synergism in a soil-derived cellulose-degrading
microbial community.
AB - Reaching a comprehensive understanding of how nature solves the problem of
degrading recalcitrant biomass may eventually allow development of more efficient
biorefining processes. Here we interpret genomic and proteomic information
generated from a cellulolytic microbial consortium (termed F1RT) enriched from
soil. Analyses of reconstructed bacterial draft genomes from all seven uncultured
phylotypes in F1RT indicate that its constituent microbes cooperate in both
cellulose-degrading and other important metabolic processes. Support for
cellulolytic inter-species cooperation came from the discovery of F1RT microbes
that encode and express complimentary enzymatic inventories that include both
extracellular cellulosomes and secreted free-enzyme systems. Metabolic
reconstruction of the seven F1RT phylotypes predicted a wider genomic rationale
as to how this particular community functions as well as possible reasons as to
why biomass conversion in nature relies on a structured and cooperative microbial
community.
PMID- 24924359
TI - Long-term retention of a poorly learned saccharin aversion : Evidence for an
incubation effect.
AB - The retention of a weak conditioned saccharin aversion was tested using
independent groups over a 14-day period. The delay between CS (saccharin 0.1 %)
and US (LiCl 0.15 M) was 6 hours. Significant variations in the retention
function were observed, in particular an improvement of memory - i.e. an
incubation effect - over the 14-day period. This result suggests that retention
of conditioned taste aversion may share common features with the retention of
more classical aversive conditioning.
PMID- 24924360
TI - Grouped sequential exploitation of food patches in a flock feeder, the feral
pigeon.
AB - Feral and laboratory flocks of rock doves (Columba livia ) show a pattern of
grouped sequential exploitation when simultaneously presented with two dispersed,
depleting patches of seed. This behavior contrasts with the ideal free
distribution pattern shown when patches are small and concentrated. Grouped
sequential exploitation consists of two phases: all pigeons first land together
and feed at one patch, then leave one by one for the other patch. Departure times
of individuals for the second patch are correlated with feeding rate at patch 1,
which is in turn correlated with position in the dominance hierarchy. The
decision to switch from patch 1 to patch 2 improves individual feeding rates in
all cases, but is done slightly later than it should according to optimal
foraging theory.
PMID- 24924361
TI - Food neophobia in wild and laboratory mice (Mus musculus domesticus).
AB - In a conditioned taste aversion procedure we were specifically interested in the
topic of food neophobia. Wild and laboratory mice were individually presented
with a novel drink (0.1 % saccharin solution). Compared with the daily water
consumption, the intake of this was lower. This decrease was greater: (1) in wild
than in tame populations ; (2) in random-bred (Swiss-albinos) than in inbred (C57
B1/6, BALB/c) strains ; (3) in F1-hybrids (either wild x tame or inbred x inbred)
than in the parental strains. These results are discussed: (1) in terms of a
selective pressure linked to man's fight against rodents, leading to increased
neophobia in wild mice ; and (2) by stressing the heterosis an inbreeding
depression effects, which suggest that food neophobia is a component of Darwinian
fitness.
PMID- 24924362
TI - Bliss points vs. minimum needs: Tests of competing motivational models.
AB - This paper tests two competing hypotheses concerning the motivational forces
underlying concurrent choice behavior: a generalized version of Staddon's minimum
distance hypothesis, which characterizes behavior in terms of minimizing the
distance to a "bliss" point, and a generalized minimum-needs hypothesis, which
emphasizes meeting minimum survival requirements first, after which the organism
is free to allocate behavior in any fashion desired. The models specify
distinctly different preference structures. The generalized minimum-needs
hypothesis is shown to provide a superior fit to molar choice data from
experiments involving food and fluid consumption.
PMID- 24924363
TI - Effects of lateral hypothalamic stimulation on acquisition, reversal and
extinction of a visual discriminative learning task.
AB - The present experiment was carried out to investigate the effects of lateral
hypothalamic (LH) stimulation on a negatively reinforced complex learning task
including acquisition, reversal and extinction of a visual discrimination in an Y
maze. Male swiss mice were stimulated 45 sec after each training session during
60 sec (group ST). The stimulation intensity administrated in post-session was
that which produced a rate of 50 responses by min during intracranial self
stimulation (ICSS) testing carried out prior to the learning experiment. Three
control groups were constituted by animals either submitted to ICSS testing but
not stimulated after training session (group NST). The post-session stimulated
(group I) or non-implanted (group NI). The post-session stimulation improved the
learning performance of the animals, but this effect was significant only during
reversal learning of the task. ICSS testing carried out before learning, as well
as electrode implantation, had no effect by themselves on the acquisition of the
visual discrimination task. Moreover, no sign of extinction was observed in any
group tested. These results suggest that facilitating effects induced by LH
stimulation may depend on the complexity of the task and that cues of the
learning situation have to reach a minimum of salience in order for LH
stimulation to be effective.
PMID- 24924364
TI - Social development in three species of macaque (Macaca mulatta , M. fascicularis
, M. tonkeana ): A preliminary report on the first ten weeks of life.
AB - The development of social relations was studied in 11 infant monkeys over the
first ten weeks of life : 5 subjects in a rhesus macaque group (Macaca mulatta ),
3 in a long-tailed macaque group (M. fascicularis ) and 3 in a Tonkean macaque
group (M. tonkeana ). Tonkean infants were found to interact with many different
group members because of the permissiveness of their mothers, while social
contacts of rhesus and long-tailed infants are less varied due to the
restrictiveness of their mothers. These differences were consistent with patterns
of adult-adult interactions, Tonkean macaques showing less intense agonistic
interactions than the other two species.
PMID- 24924365
TI - An attempt to select for spontaneous locomotor activity in Drosophila
melanogaster.
AB - Seven generations of selection for high and low spontaneous locomotor activity
were made in the wild-laboratory strain Oregon of Drosophila melanogaster. Great
care was taken to select for activity and not for reactivity. In opposition with
the non totally unambiguous results obtained by another author, absolutely no
response to selection could be obtained. Thus the Oregon strain of Drosophila
melanogaster does not appear to possess any additive genetic variance for
spontaneous locomotor activity. Yet before taking for granted that that
conclusion is applicable to all strains of Drosophila melanogaster an
experimental selection should be performed again using a freshly captured wild
strain.
PMID- 24924373
TI - Female mate choice in the pupfish, Cyprinodon variegatus.
AB - Experimental evidence suggests that female Cyprinodon variegatus do not select
between competitively inferior and superior males, but rather, deposit eggs
randomly and in proportion to the size of the male's territory. The females do
seem to have an habitat preference and probably influence the location where
males compete for territorial space.
PMID- 24924374
TI - Central and peripheral action of testosterone propionate on scent gland
morphology and marking behaviour in the Mongolian gerbil.
AB - Scent gland size and activity and frequency of marking under standard conditions
were compared in five groups of male and female gerbils: (1) intact, sham
operated controls, (2) intact with scent glands excised, (3) gonadectomized, (4)
gonadectomized injected with 1000 MUg testosterone propionate (TP) on alternate
days, and (5) gonadectomized with a low dose (25 MUg) TP applied topically to the
ventral scent gland on alternate days. The animals were housed in individual
cages and tested for marking in an open field arena with plastic pegs. The scent
gland is not required in either sex for the behavioural act of marking. Topical
application of a dose of TP too low to exert a systematic effect restored the
scent gland but not marking. Injection of sufficient TP to restore seminal
vesicle weight restored marking, as well as the scent glands. It was concluded
that in the male, both marking behaviour and scent gland size are controlled by
the testes. The effect of androgens on marking is mediated directly through the
central nervous system, and not through peripheral stimulation of the glands.
Females have smaller glands and mark less than males. The ovaries appear to have
little control over marking frequency, and some control over scent gland size. It
is possible to stimulate marking behaviour to supernormal levels by TP injection,
but not by topical application.
PMID- 24924375
TI - Sequences of feeding, sampling and exploration by wild and laboratory rats.
AB - Adult male wild rats and male laboratory rats, all Rattus norvegicus, were kept
alone for 11 d is a residential maze. The maze had a central nest box and four
arms radiating from it. Movement in the arms was automatically recorded. Three
foods were supplied, one at the end of each of three arms; the fourth arm was
empty. One food was usually much preferred to the othe two. Except on Days 1-3,
access to the arms was for only 3h daily. The rate of visiting the arms declined
during the first 3 d. The wild rats were more 'active' than the domestic in that
they made more visits; but they spent less time in the arms. Visits were of two
kinds: (a) short, usually < 1min; (b) long (> 4 min.: meals). The wild rats had
shorter meals than the donestic. A 3-h period of access usually began with a bout
of short visits to the arms. Each long visit to a food arm (a meal) was also
typically followed by such a bout, sometimes after a period in the nest box
(drinking). the first short visit after a meal by a wild rat was most often to
the empty arm; but domestic rats distributed these visits evenly among the three
arms in which they had not been feeding. In a given bout the second short visit
by a wild rat, but not a domestic, tended to repeat the first. We interpret a
bout of short visits as a patrol or re-exploration of the living space which may
include sampling of all accessible foods. When a bout occured at the beginning of
access to the maze arms, exploring was in 'competition' with eating; a bout after
a meal may have represented 'disinhibition' of exploring.
PMID- 24924376
TI - Non-reproducibility of the behavioural effects induced by scotophobin.
AB - Three different samples of scotophobin were tested successively on the light/dark
preference test and emotional reactivity of mice. Only one of these samples gave
results similar to those described previously by Ungar et al. (1972). The lack of
reproductibility of the effects of this peptide is discussed.
PMID- 24924377
TI - Circadian periodicity of escape behaviour in the mouse: Preliminary
chronobiological data.
AB - The cosinor methodology previously applied to different studies within the field
of ethological rhythms is used in the present report to assess the circadian
rhythm of escape behaviour. The peak frequency is located toward the end of the
dark span in mice exposed to light between 08.00 and 20.00 h. Such experiments
provide a quantitative approach for studying some neurobiological mechanisms
regulating adaptive behaviour.
PMID- 24924380
TI - Les livrees ou patrons de coloration chez les poissons Cichlides Africains. Leur
utilisation en ethologie et en systematique J. Voss, Universite de Liege
(Belgium). Revue Francaise d'Aquariologie, 4e annee, No. 2, 2e trimestre 1977.
PMID- 24924382
TI - Leg general muscle moment and power patterns in able-bodied subjects during
recumbent cycle ergometry with ankle immobilization.
AB - Rehabilitation of persons with pareses commonly uses recumbent pedalling and a
rigid pedal boot that fixes the ankle joint from moving. This study was performed
to provide general muscle moments (GMM) and joint power data from able-bodied
subjects performing recumbent cycling at two workloads. Twenty-six able-bodied
subjects pedalled a stationary recumbent tricycle at 60 rpm during passive
cycling and at two workloads (low 15 W and high 40 W per leg) while leg
kinematics and pedal forces were recorded. GMM and power were calculated using
inverse dynamic equations. During the high workload, the hip and knee muscles
produced extensor/flexor moments throughout the extensions/flexions phases of the
joints. For low workload, a prolonged (crank angle 0-258 degrees ) hip extension
moment and a shortened range (350-150 degrees ) of knee extension moment were
observed compared to the corresponding extension phases of each joint. The knee
and hip joints generated approximately equal power. At the high workload the hip
and knee extensors generated increased power in the propulsion phase. For the
first time, this study provides GMM and power patterns for able-bodied subjects
performing recumbent cycling with an immobilized ankle. The patterns showed
greater similarities to upright cycling with a free ankle, than previously
supposed.
PMID- 24924383
TI - Validation of a numerical FSI simulation of an aortic BMHV by in vitro PIV
experiments.
AB - In this paper, a validation of a recently developed fluid-structure interaction
(FSI) coupling algorithm to simulate numerically the dynamics of an aortic
bileaflet mechanical heart valve (BMHV) is performed. This validation is done by
comparing the numerical simulation results with in vitro experiments. For the in
vitro experiments, the leaflet kinematics and flow fields are obtained via the
particle image velocimetry (PIV) technique. Subsequently, the same case is
numerically simulated by the coupling algorithm and the resulting leaflet
kinematics and flow fields are obtained. Finally, the results are compared,
revealing great similarity in leaflet motion and flow fields between the
numerical simulation and the experimental test. Therefore, it is concluded that
the developed algorithm is able to capture very accurately all the major leaflet
kinematics and dynamics and can be used to study and optimize the design of
BMHVs.
PMID- 24924384
TI - When does hardship matter for health? Neighborhood and individual disadvantages
and functional somatic symptoms from adolescence to mid-life in The Northern
Swedish Cohort.
AB - A large body of research has shown that health is influenced by disadvantaged
living conditions, including both personal and neighborhood conditions. Little is
however known to what degree the health impact of different forms of disadvantage
differ along the life course. The present study aims to examine when, during the
life course, neighborhood and individual disadvantages relate to functional
somatic symptoms. Participants (n = 992) came from The Northern Swedish Cohort
and followed from age 16, 21, 30 until 42 years. Functional somatic symptoms,
socioeconomic disadvantage, and social and material adversity were measured
through questionnaires and linked to register data on neighborhood disadvantage.
Data was analyzed with longitudinal and cross-sectional multilevel models.
Results showed that neighborhood disadvantage, social and material adversity and
gender all contributed independently to overall levels of symptoms across the
life course. Cross-sectional analyses also suggested that the impact of
disadvantage differed between life course periods; neighborhood disadvantage was
most important in young adulthood, and the relative importance of material versus
social adversity increased as participants grew older. In summary, the study
suggests that disadvantages from different contextual sources may affect
functional somatic health across the life course, but also through life course
specific patterns.
PMID- 24924385
TI - Prevention of disease progression with anti-inflammatory therapy in patients with
HCV-related cirrhosis: a Markov model.
AB - BACKGROUND: The significance of anti-inflammatory therapy has not been fully
evaluated in hepatitis C virus (HCV)-related cirrhosis. PATIENTS AND METHODS: We
analyzed stepwise progression rates from cirrhosis to hepatocellular carcinoma
(HCC) and to death using a Markov model in 1,280 patients with HCV-related
cirrhosis. During the observation period, 303 patients received interferon and
736 received glycyrrhizin injections as anti-inflammatory therapy. RESULTS: In
the entire group, annual progression rates from cirrhosis to HCC and from
cirrhosis to death were 6.8 and 1.9%, and the rate from HCC to death was 19.0%.
When sustained virological response (SVR) or biochemical response (BR) was
attained with interferon, the annual rate to HCC decreased to 2.6%. On the
contrary, the progression rates to HCC and to death in the patients without SVR
and BR were 7.2 and 2.0%, respectively (p < 0.0001). Continuous interferon
administration significantly decreased the carcinogenesis rate to 5.5% (p =
0.0087). In the analysis of the remaining patients with high alanine transaminase
of 75 IU/l or more but without interferon response or without interferon
administration, glycyrrhizin injection significantly decreased annual non
progression probability (no glycyrrhizin 88.0% vs. glycyrrhizin therapy 92.3%, p
= 0.00055). CONCLUSION: Glycyrrhizin injection therapy is useful in the
prevention of disease progression in interferon-resistant or intolerant patients
with HCV-related cirrhosis.
PMID- 24924386
TI - Evaluation of calibration curve-based approaches to predict clinical inducers and
noninducers of CYP3A4 with plated human hepatocytes.
AB - Cytochrome P450 (P450) induction is often considered a liability in drug
development. Using calibration curve-based approaches, we assessed the induction
parameters R3 (a term indicating the amount of P450 induction in the liver,
expressed as a ratio between 0 and 1), relative induction score, Cmax/EC50, and
area under the curve (AUC)/F2 (the concentration causing 2-fold increase from
baseline of the dose-response curve), derived from concentration-response curves
of CYP3A4 mRNA and enzyme activity data in vitro, as predictors of CYP3A4
induction potential in vivo. Plated cryopreserved human hepatocytes from three
donors were treated with 20 test compounds, including several clinical inducers
and noninducers of CYP3A4. After the 2-day treatment, CYP3A4 mRNA levels and
testosterone 6beta-hydroxylase activity were determined by real-time reverse
transcription polymerase chain reaction and liquid chromatography-tandem mass
spectrometry analysis, respectively. Our results demonstrated a strong and
predictive relationship between the extent of midazolam AUC change in humans and
the various parameters calculated from both CYP3A4 mRNA and enzyme activity. The
relationships exhibited with non-midazolam in vivo probes, in aggregate, were
unsatisfactory. In general, the models yielded better fits when unbound rather
than total plasma Cmax was used to calculate the induction parameters, as
evidenced by higher R(2) and lower root mean square error (RMSE) and geometric
mean fold error. With midazolam, the R3 cut-off value of 0.9, as suggested by US
Food and Drug Administration guidance, effectively categorized strong inducers
but was less effective in classifying midrange or weak inducers. This study
supports the use of calibration curves generated from in vitro mRNA induction
response curves to predict CYP3A4 induction potential in human. With the caveat
that most compounds evaluated here were not strong inhibitors of enzyme activity,
testosterone 6beta-hydroxylase activity was also demonstrated to be a strong
predictor of CYP3A4 induction potential in this assay model.
PMID- 24924387
TI - Interindividual variations in metabolism and pharmacokinetics of 3-(6
methylpyridine-3-yl-sulfanyl)-6-(4H-[1,2,4]triazole-3-yl-sulfanyl)-N-(1,3
thiazole-2-yl)-2-pyridine carboxamide, a glucokinase activator, in rats caused by
the genetic polymorphism of CYP2D1.
AB - 3-(6-Methylpyridine-3-yl-sulfanyl)-6-(4H-[1,2,4]triazole-3-yl-sulfanyl)-N-(1,3
thiazole-2-yl)-2-pyridine carboxamide (Cpd-D) is a novel glucokinase activator
that is being developed for the treatment of type 2 diabetes. Large
interindividual variations were observed in the pharmacokinetics of Cpd-D in male
Sprague-Dawley (SD) rats, which were subsequently divided into two phenotypes; >6
fold longer terminal-phase half-life and ~10-fold larger AUC0-infinity values
were observed in slow metabolizers (SM) than in fast metabolizers (FM) after the
oral administration of Cpd-D. The thiohydantoic acid analog (M2) was the
predominant metabolite detected in the urine, bile, and plasma after the oral
administration of [(14)C]Cpd-D to the FM phenotypes of bile-duct cannulated SD
rats. The liver microsomes prepared from FM phenotyped rats extensively formed M2
with the highest affinity (Km = 0.09 MUM) and largest Vmax/Km value in primary
metabolism, whereas those from SM phenotypes had little capacity to form M2. Of
the rat cytochrome P450 isoforms tested, the formation of M2 was only catalyzed
by recombinant CYP2D1. Sequence substitutions (418A/421C and 418G/421T) were
detected in the CYP2D1 gene and were designated F and S alleles, respectively.
The genotype-phenotype correlation analysis indicated that two S alleles were
homozygous (S/S) in the SM phenotypes, whereas the FM phenotypes were either
homozygous for the F-alleles (F/F) or heterozygous (F/S). These results indicated
that the CYP2D1 polymorphism caused by nucleotide substitutions (418A/421C versus
418G/421T) was responsible for interindividual variations leading to the
polymorphism in the major metabolism and pharmacokinetics of Cpd-D in male SD
rats.
PMID- 24924388
TI - Cell culture's spider silk road.
AB - A number of synthetic and natural materials have been tried in cell culture and
tissue engineering applications in recent years. Now Jeffrey Perkel takes a look
at one new culture component that might surprise you-spider silk.
PMID- 24924389
TI - Library construction for ancient genomics: single strand or double strand?
AB - A novel method of library construction that takes advantage of a single-stranded
DNA ligase has been recently described and used to generate high-resolution
genomes from ancient DNA samples. While this method is effective and appears to
recover a greater fraction of endogenous ancient material, there has been no
direct comparison of results from different library construction methods on a
diversity of ancient DNA samples. In addition, the single-stranded method is
limited by high cost and lengthy preparation time and is restricted to the
Illumina sequencing platform. Here we present in-depth comparisons of the
different available library construction methods for DNA purified from 16 ancient
and modern faunal and human remains, covering a range of different taphonomic and
climatic conditions. We further present a DNA purification method for ancient
samples that permits the concentration of a large volume of dissolved extract
with minimal manipulation and methodological improvements to the single-stranded
method to render it more economical and versatile, in particular to expand its
use to both the Illumina and the Ion Torrent sequencing platforms. We show that
the single-stranded library construction method improves the relative recovery of
endogenous to exogenous DNA for most, but not all, of our ancient extracts.
PMID- 24924390
TI - MDC-Analyzer: a novel degenerate primer design tool for the construction of
intelligent mutagenesis libraries with contiguous sites.
AB - Recent computational and bioinformatics advances have enabled the efficient
creation of novel biocatalysts by reducing amino acid variability at hot spot
regions. To further expand the utility of this strategy, we present here a tool
called Multi-site Degenerate Codon Analyzer (MDC-Analyzer) for the automated
design of intelligent mutagenesis libraries that can completely cover user
defined randomized sequences, especially when multiple contiguous and/or adjacent
sites are targeted. By initially defining an objective function, the possible
optimal degenerate PCR primer profiles could be automatically explored using the
heuristic approach of Greedy Best-First-Search. Compared to the previously
developed DC-Analyzer, MDC-Analyzer allows for the existence of a small amount of
undesired sequences as a tradeoff between the number of degenerate primers and
the encoded library size while still providing all the benefits of DC-Analyzer
with the ability to randomize multiple contiguous sites. MDC-Analyzer was
validated using a series of randomly generated mutation schemes and experimental
case studies on the evolution of halohydrin dehalogenase, which proved that the
MDC methodology is more efficient than other methods and is particularly well
suited to exploring the sequence space of proteins using data-driven protein
engineering strategies.
PMID- 24924391
TI - Off-on polyadenylation strategy as a supplemental mechanism for silencing toxic
transgene expression during lentiviral vector production.
AB - Many gene therapy strategies rely on lentiviral-mediated transfer and expression
of genes coding for toxic proteins. Methods of controlling transgene expression
in target cells have been extensively investigated, but comparatively little
attention has been given to controlling toxic protein expression in viral vector
producing cells, despite its potential implications for viral production and
transduction efficiency. In this work, we tested a new lentiviral vector with a
backbone that inhibits transgene mRNA polyadenylation and subsequent transgene
expression in vector-producing cells. Transgene mRNA polyadenylation was not
affected in transduced cells. In a model using enhanced green fluorescent protein
(EGFP) cDNA under the control of the human phosphoglycerate kinase (PGK)
promoter, flow cytometry demonstrated that transgene expression was dramatically
decreased in 293T cells transfected with this new vector in its plasmid
configuration. Viral production was maintained, and expression was fully restored
in transduced HuH7 and 293T cells. These results provide the basis for a new
strategy to improve the production of lentiviral vectors expressing toxic
transgenes.
PMID- 24924392
TI - Simultaneous quantification of alternatively spliced transcripts in a single
droplet digital PCR reaction.
AB - Human telomerase reverse transcriptase (hTERT) is an essential component required
for telomerase activity and telomere maintenance. Several alternatively spliced
forms of hTERT mRNA have been reported in human primary and tumor cells.
Currently, however, there is no sensitive and accurate method for the
simultaneous quantification of multiple alternatively spliced RNA transcripts,
such as in the case of hTERT. Here we show droplet digital PCR (ddPCR) provides
sensitive, simultaneous digital quantification in a single reaction of two
alternatively spliced single deletion hTERT transcripts (alpha-/beta+ and
alpha+/beta-) as well as the opportunity to manually quantify non-deletion
(alpha+/beta+) and double deletion (alpha-/beta-) transcripts. Our ddPCR method
enables direct comparison among four alternatively spliced mRNAs without the need
for internal standards or multiple primer pairs specific for each variant as real
time PCR (qPCR) requires, thus eliminating potential variation due to differences
in PCR amplification efficiency.
PMID- 24924393
TI - A simple approach for classifying new mutations as somatic or germinal in DNA
samples lacking paired tissue.
AB - When studying mutations in DNA samples, determining whether novel sequence
changes are somatic mutations or germline polymorphisms can be difficult. Here we
describe a novel and very simple approach for identification of somatic mutations
and loss of heterozygosity (LoH) events in DNA samples where no matched tissue
sample is available. Our method makes use of heterozygous polymorphisms that are
located near the putative mutation to trace both germinal alleles.
PMID- 24924394
TI - Expression and purification of bioactive, low-endotoxin recombinant human
vitronectin.
AB - The secreted adhesive glycoprotein vitronectin (VTN) is a multifunctional
component of plasma and the extracellular matrix. A high-yielding, inexpensive,
low endotoxin source of bioactive recombinant human vitronectin (rhVTN) is highly
desirable for in vitro use in diverse cell culture systems ranging from basic
research settings to clinical-grade production of human cells. We describe
modifications to a previously reported heparin-based affinity chromatography
procedure that improve yield and achieve efficient removal of endotoxin from
washed and urea-solubilized human VTN inclusion bodies following standard
autoinduction of expression in Escherichia coli. This simple procedure makes
accessible the low-cost expression and purification of large quantities of
bioactive rhVTN using basic equipment and facilitates its use in a spectrum of
endotoxin-sensitive applications.
PMID- 24924395
TI - Effects of renal sympathetic denervation and angiotensin-converting enzyme
inhibitor on left ventricular hypertrophy. Comparison in spontaneously
hypertensive rats.
AB - OBJECTIVES: The aim of this study was to investigate whether renal sympathetic
denervation (RSD) is more effective on myocardial hypertrophy than the
angiotensin-converting enzyme inhibitor (ACEI) perindopril in spontaneously
hypertensive rats (SHRs). METHODS: After bilateral renal denervation blood
pressure (BP) was measured every 10 days. On day 50 the heart was
(histo)pathologically examined. The ventricular weight to body weight ratios
(VW/BW), myocardial diameter and collagen volume fraction (CVF) were calculated,
and cardiac hypertrophy marker genes were analyzed by RT-PCR. RESULTS: At the
baseline evaluation all groups had comparable BP. After treatment the BP of the
RSD group was significantly reduced (p < 0.05). The BP of the RSD group was lower
than that of the perindopril group on days 10, 20 and 30th (p < 0.05) but on day
50 systolic BP of the RSD group was significantly higher (p < 0.05) whereas there
were no significant differences in diastolic BP. The VW/BW decreased in the RSD
group, whereas the value did not change significantly in the perindopril group.
The myocardial diameter of the left ventricular cardiomyocytes was also
significantly lower in the RSD group and stayed the same in the perindopril
group. Collagen volume fraction (CVF) in the RSD group was significantly lower
than in the perindopril group (p < 0.05). Significant changes in the expression
levels of NPPA, MYH7, and MYH6 (P < 0.05) were observed in the RD-SHR groups (p <
0.05). There was a significant difference in the expression level of MYH6 (p <
0.05) between the RSD group and the perindopril group but the expression levels
of NPPA and MYH7 were not significantly different. CONCLUSION: In this study, RSD
had a significant antihypertensive effect and inhibited hypertensive-induced
cardiac hypertrophy in SHRs and showed advantages compared with ACEI in
decreasing BP in the early stage and in inhibiting myocardial fibrosis.
PMID- 24924396
TI - Relationship of galectin-3 to left ventricular geometry and hypertrophy in
chronic hemodialysis patients.
AB - AIM AND BACKGROUND: Galectin-3 (Gal-3) is used to determine the prognosis of
heart failure. Some studies revealed that Gal-3 promoted cardiac hypertrophy but
there is no study in which the relationship between Gal-3 and left ventricular
hypertrophy (LVH) geometry in patients without diastolic and systolic function
impairment has been explored. The aim of the study was to analyze associations
between plasma Gal-3 levels, LVH, and LV geometry in maintenance hemodialysis
(HD) patients without systolic and diastolic dysfunction. PATIENTS AND METHODS:
The study group included 105 patients (53 women and 52 men)--with an average age
of 58.2 +/- 12.6 years, treated with HD for an average of 45 +/- 32 months--and
60 healthy controls. The Gal-3 and other biochemical parameters were measured and
color Doppler echocardiography was performed. For this study LVH was considered
present when the LV mass index (LVMI) exceeded 95 g/m(2) in women and 115 g/m(2)
in men. Left ventricular geometry was classified into the four groups on the
basis of left ventricular mass and relative wall thickness (RWT). RESULTS:
Concentric hypertrophy (CH, 40.9 %, n = 43) was the commonest geometric pattern
in our study. The Gal-3 levels in CH patients were not different from the
patients with eccentric hypertrophy (EH). Plasma levels of Gal-3 correlated with
LVMI (r = 0.617, p < 0.001), parathyroid hormone (PTH, r = 0.408, p < 0.001),
uric acid (r = 0.281, p = 0.004), C-reactive protein (CRP, r = 0.412, p < 0.001),
and RWT (r = 0.281, p = 0.004) but were inversely correlated with albumin (r = -
0.466, P < 0.001) in the whole group. Plasma levels of Gal-3 were associated with
LVMI (r = 0.812, P < 0.001), RWT (r = 0.318, p = 0.001), and CRP(r = 0.381, p <
0.001) in maintenance hemodialysis patients. CONCLUSION: The Gal-3 level is
related to left ventricular hypertrophy and it is independent of left ventricle
geometry. The relationship between LVH and Gal-3 might be direct or it may also
be inflammation-related.
PMID- 24924397
TI - Resveratrol and clofarabine induces a preferential apoptosis-activating effect on
malignant mesothelioma cells by Mcl-1 down-regulation and caspase-3 activation.
AB - We previously demonstrated that resveratrol and clofarabine elicited a marked
cytotoxicity on malignant mesothelioma (MM) MSTO-211H cells but not on the
corresponding normal mesothelial MeT-5A cells. Little is known of the possible
molecules that could be used to predict preferential chemosensitivity on MSTO
211H cells. Resveratrol and clofarabine induced down-regulation of Mcl-1 protein
level in MSTO-211H cells. Treatment of cells with cycloheximide in the presence
of proteasome inhibitor MG132 suggested that Mcl-1 protein levels were regulated
at the post-translational step. The siRNA-based knockdown of Mcl-1 in MSTO-211H
cells triggered more growth-inhibiting and apoptosis-inducing effects with the
resultant cleavages of procaspase-3 and its substrate PARP, increased caspase-3/7
activity, and increased percentage of apoptotic propensities. However, the
majority of the observed changes were not shown in MeT-5A cells. Collectively,
these studies indicate that the preferential activation of caspase cascade in
malignant cells might have important applications as a therapeutic target for MM.
PMID- 24924398
TI - Cellular ubiquitin pool dynamics and homeostasis.
AB - Ubiquitin (Ub) is a versatile signaling molecule that plays important roles in a
variety of cellular processes. Cellular Ub pools, which are composed of free Ub
and Ub conjugates, are in dynamic equilibrium inside cells. In particular,
increasing evidence suggests that Ub homeostasis, or the maintenance of free Ub
above certain threshold levels, is important for cellular function and survival
under normal or stress conditions. Accurate determination of various Ub species,
including levels of free Ub and specific Ub chain linkages, have become possible
in biological specimens as a result of the introduction of the proteomic approach
using mass spectrometry. This technology has facilitated research on dynamic
properties of cellular Ub pools and has provided tools for in-depth investigation
of Ub homeostasis. In this review, we have also discussed the consequences of the
disruption of Ub pool dynamics and homeostasis via deletion of polyubiquitin
genes or mutations of deubiquitinating enzymes. The common consequence was a
reduced availability of free Ub and a significant impact on the function and
viability of cells. These observations further indicate that the levels of free
Ub are important determinants for cellular protection.
PMID- 24924399
TI - Re: Vanadium exposure-induced neurobehavioral alterations among Chinese workers
Li et al. (2013).
PMID- 24924400
TI - Toxicity of nanoparticles embedded in paints compared with pristine nanoparticles
in mice.
AB - The unique physical and chemical properties of nanomaterials have led to their
increased use in many industrial applications, including as a paint additive. For
example, titanium dioxide (TiO2) engineered nanoparticles (ENPs) have well
established anti-UV, self-cleaning, and air purification effects. Silver (Ag)
ENPs are renowned for their anti-microbial capabilities and silicon dioxide
(SiO2) ENPs are used as fire retardants and anti-scratch coatings. In this study,
the toxic effects and biodistribution of three pristine ENPs (TiO2, Ag, and
SiO2), three aged paints containing ENPs (TiO2, Ag, and SiO2) along with control
paints without ENPs were compared. BALB/c mice were oropharyngeally aspirated
with ENPs or paint particles (20 MUg/aspiration) once a week for 5 weeks and
sacrificed either 2 or 28 days post final aspiration treatment. A bronchoalveolar
lavage was performed and systemic blood toxicity was evaluated to ascertain cell
counts, induction of inflammatory cytokines, and key blood parameters. In
addition, the lung, liver, kidney, spleen, and heart were harvested and metal
concentrations were determined. Exposure to pristine ENPs caused subtle effects
in the lungs and negligible alterations in the blood. The most pronounced toxic
effects were observed after Ag ENPs exposure; an increased neutrophil count and a
twofold increase in pro-inflammatory cytokine secretion (keratinocyte
chemoattractant (KC) and interleukin-1beta (IL-1beta)) were identified. The paint
containing TiO2 ENPs did not modify macrophage and neutrophil counts, but mildly
induced KC and IL-1beta. The paints containing Ag or SiO2 did not show
significant toxicity. Biodistribution experiments showed distribution of Ag and
Si outside the lung after aspiration to respectively pristine Ag or SiO2 ENPs. In
conclusion, we demonstrated that even though direct exposure to ENPs induced some
toxic effects, once they were embedded in a complex paint matrix little to no
adverse toxicological effects were identified.
PMID- 24924401
TI - Loss of Nrf2 in mice evokes a congenital intrahepatic shunt that alters hepatic
oxygen and protein expression gradients and toxicity.
AB - The transcription factor Nrf2 (Nfe2l2 nuclear factor, erythroid 2-like 2)
regulates gene expression directly, controlling pharmacological and toxicological
responses. These processes may also be influenced by the structure of the hepatic
vasculature, which distributes blood flow through compartmentalized
microenvironments to maintain organismal stability. Castings of the hepatic
portal vasculature of albino C57BL/6J but not ICR Nrf2(-/-) mice revealed a
congenital intrahepatic shunt that was present in two thirds of Nrf2-disrupted
mice. This shunt directly connected the portal vein to the inferior vena cava and
displayed characteristics of a patent ductus venosus. Immunohistochemistry
revealed that Nrf2(-/-) mice with an intrahepatic shunt manifest changes to
hepatic oxygen and protein expression gradients when compared with wild-type (WT)
and non-shunted Nrf2(-/-) mice. Centrilobular hypoxia found in WT and Nrf2(-/-)
mice without shunts was reduced in Nrf2(-/-) livers with a shunt. Hepatic protein
expression of phosphoenolpyruvate carboxykinase (Pepck), normally confined to the
periportal zone, exhibited both periportal and centrilobular zonal expression in
livers from Nrf2(-/-) mice with an intrahepatic shunt. Centrilobular expression
of Cytochrome P450 2E1 (Cyp2e1) was diminished in shunted Nrf2(-/-) livers
compared with WT and Nrf2(-/-) livers without shunts. The intrahepatic shunt in
Nrf2(-/-) mice was further found to diminish acetaminophen hepatoxicity compared
with WT and Nrf2(-/-) non-shunted mice following a 6 h challenge with 250 mg/kg
acetaminophen. The presence of an intrahepatic shunt influences several
physiological and pathophysiological properties of Nrf2(-/-) mice through changes
in blood flow, hepatic oxygenation, and protein expression that extent beyond
loss of canonical transactivation of Nrf2 target genes.
PMID- 24924402
TI - Arsenic exposure and cell-mediated immunity in pre-school children in rural
Bangladesh.
AB - Prenatal arsenic exposure has been associated with reduced thymic index and
increased morbidity in infants, indicating arsenic-related impaired immune
function. We aimed at elucidating potential effects of pre- and postnatal arsenic
exposure on cell-mediated immune function in pre-school aged children. Children
born in a prospective mother-child cohort in rural Bangladesh were followed up at
4.5 years of age (n = 577). Arsenic exposure was assessed by concentrations of
arsenic metabolites (U-As) in child urine and maternal urine during pregnancy,
using high-performance liquid chromatography online with inductively coupled
plasma mass spectrometry. For assessment of delayed type hypersensitivity
response, an intradermal injection of purified protein derivative (PPD) was given
to Bacillus Calmette-Guerin vaccinated children. The diameter (mm) of induration
was measured after 48-72 h. Plasma concentrations of 27 cytokines were analyzed
by a multiplex cytokine assay. Children's concurrent, but not prenatal, arsenic
exposure was associated with a weaker response to the injected PPD. The risk
ratio (RR) of not responding to PPD (induration <5 mm) was 1.37 (95% confidence
interval (CI): 1.07, 1.74) in children in the highest quartile of U-As (range 126
1228 MUg/l), compared with the lowest (range 12-34 MUg/l). The p for trend across
the quartiles was 0.003. The association was stronger in undernourished children.
Children's U-As in tertiles was inversely associated with two out of 27 cytokines
only, i.e., IL-2 and TNF-alpha, both Th1 cytokines (in the highest tertile,
regression coefficients (95% CI): -1.57 (-2.56, -0.57) and -4.53 (-8.62, -0.42),
respectively), but not with Th2 cytokines. These associations were particularly
strong in children with recent infections. In conclusion, elevated childhood
arsenic exposure appeared to reduce cell-mediated immunity, possibly linked to
reduced concentrations of Th1 cytokines.
PMID- 24924403
TI - Social class mobility in first episode psychosis and the association with
depression, hopelessness and suicidality.
AB - BACKGROUND: Psychotic disorders are associated with a significant impairment in
occupational functioning that can begin in the prodromal phase of the disorder.
As a result, individuals with a psychotic disorder may not maintain their social
class at birth. The aim of this study was to examine the distribution of the
social classes of individuals presenting with a first episode of psychosis (FEP)
compared to the general population and to their family of origin. We evaluated
whether social drift was associated with depression, hopelessness and suicidality
at first presentation. METHODS: All individuals with a FEP presenting to a
community mental health service between 1995 and 1999 and to an early
intervention service between 2005 and 2011were included. Diagnosis was
established using the Structured Clinical Interview for DSM IV diagnoses and
clinical evaluations included the Calgary Depression Scale for Schizophrenia,
Beck Hopelessness Scale and the Suicidal Intent Scale. RESULTS: 330 individuals
were included in the study and by the time of presentation, individuals with a
FEP were more likely to be represented in the lower social classes compared to
the general population. 43% experienced a social drift and this was associated
with a diagnosis of a non-affective disorder, co-morbid cannabis abuse and a
longer DUP. Individuals who did not experience a social drift had a higher risk
of hopelessness. CONCLUSIONS: Social drift is common in psychotic disorders;
however, individuals who either maintain their social class or experience upward
social class mobility are more susceptible to hopelessness.
PMID- 24924405
TI - The role of dysfunctional attitudes in models of negative symptoms and
functioning in schizophrenia.
AB - Neurocognitive impairment is associated with negative symptoms and poor real
world functioning in schizophrenia. Dysfunctional attitudes (e.g., "If I fail
partly, it is as bad as being a complete failure") have been found to mediate
these relationships between neurocognition and negative symptoms and functioning.
In this study, these relationships were examined in 179 participants with
schizophrenia or schizoaffective disorder using structural equation modeling.
Defeatist attitudes were found to mediate the relationship between neurocognition
and negative symptoms but not the relationships between neurocognition and
performance-based or self-reported functioning. A full model with the best fit
showed mediation between neurocognition and self-reported functioning through two
different pathways: One from neurocognition to functional skill capacity to real
world functioning, and a second from neurocognition to defeatist attitudes to
negative symptoms to real-world functioning. These results may implicate skill
deficits and defeatist attitudes as a separate treatment targets for negative
symptoms and functioning in schizophrenia.
PMID- 24924404
TI - Frequency and pattern of childhood symptom onset reported by first episode
schizophrenia and clinical high risk youth.
AB - BACKGROUND: Psychosis prevention and early intervention efforts in schizophrenia
have focused increasingly on sub-threshold psychotic symptoms in adolescents and
young adults. Although many youth report symptom onset prior to adolescence, the
childhood incidence of prodromal-level symptoms in those with schizophrenia or
related psychoses is largely unknown. METHODS: This study reports on the
retrospective recall of prodromal-level symptoms from 40 participants in a first
episode of schizophrenia (FES) and 40 participants at "clinical high risk" (CHR)
for psychosis. Onset of positive and non-specific symptoms was captured using the
Structured Interview for Prodromal Syndromes. Frequencies are reported according
to onset during childhood (prior to age 13), adolescence (13-17), or adulthood
(18+). RESULTS: Childhood-onset of attenuated psychotic symptoms was not rare. At
least 11% of FES and 23% of CHR reported specific recall of childhood-onset of
unusual or delusional ideas, suspiciousness, or perceptual abnormalities. Most
recalled experiencing non-specific symptoms prior to positive symptoms. CHR and
FES did not differ significantly in the timing of positive and non-specific
symptom onset. Other than being younger at assessment, those with childhood onset
did not differ demographically from those with later onset. CONCLUSION: Childhood
onset of initial psychotic-like symptoms may be more common than previous
research has suggested. Improved characterization of these symptoms and a focus
on their predictive value for subsequent schizophrenia and other major psychoses
are needed to facilitate screening of children presenting with attenuated
psychotic symptoms. Accurate detection of prodromal symptoms in children might
facilitate even earlier intervention and the potential to alter pre-illness
trajectories.
PMID- 24924406
TI - Investigating facial affect processing in psychosis: a study using the
Comprehensive Affective Testing System.
AB - Facial affect processing (FAP) deficits in schizophrenia (SZ) and bipolar
disorder (BD) have been widely reported; although effect sizes vary across
studies, and there are limited direct comparisons of the two groups. Further,
there is debate as to the influence of both psychotic and mood symptoms on FAP.
This study aimed to address these limitations by recruiting groups of psychosis
patients with either a diagnosis of SZ or BD and comparing them to healthy
controls (HC) on a well validated battery of four FAP subtests: affect
discrimination, name affect, select affect and match affect. Overall, both groups
performed more poorly than controls in terms of accuracy. In SZ, this was largely
driven by impairments on three of the four subtests. The BD patients showed
impaired performance specifically on the match affect subtest, a task that had a
high cognitive load. FAP performance in the psychosis patients was correlated
with severity of positive symptoms and mania. This study confirmed that FAP
deficits are a consistent finding in SZ that occur independent of task specific
methodology; whilst FAP deficits in BD are more subtle. Further work in this
group is needed to replicate these results.
PMID- 24924407
TI - Self-reported cannabis use is inconsistent with the results from drug-screening
in youth at ultra high-risk for psychosis in Colorado.
PMID- 24924408
TI - Hippocampus age-related microstructural changes in schizophrenia: a case-control
mean diffusivity study.
AB - Macrostructural-volumetric abnormalities of the hippocampus have been described
in schizophrenia. Here, we characterized age-related changes of hippocampal mean
diffusivity as an index of microstructural damage by carrying out a neuroimaging
study in 85 patients with a DSM-IV-TR diagnosis of schizophrenia and 85 age- and
gender-matched healthy controls. We performed analyses of covariance, with
diagnosis as fixed factor, mean diffusivity as dependent variable and age as
covariate. Patients showed an early increase in mean diffusivity in the right and
left hippocampus that increased with age. Thus, microstructural hippocampal
changes associated with schizophrenia cannot be confined to a specific time
window.
PMID- 24924409
TI - Functional role of protease activated receptors in vascular biology.
AB - Protease activated receptors (PARs) are a small family of G protein-coupled
receptors (GPCR) mediating the cellular effects of some proteases of the
coagulation system, such as thrombin, or other proteases, such as trypsin or
metalloproteinase 1. As the prototype of PARs, PAR1 is a seven transmembrane GPCR
that, upon cleavage by thrombin, unmasks a new amino-terminus able to bind
intramolecularly to PAR1 itself thus inducing signaling. In the vascular system,
thrombin and other proteases of the coagulation-fibrinolysis system, such as
plasmin, factor VIIa and factor Xa, activated protein C, are considered
physiologically relevant agonists, and PARs appear to largely account for the
cellular effects of these enzymes. In the vasculature, PARs are expressed on
platelets, endothelial cells (ECs) and vascular smooth muscle cells (VSMCs). In
the vessel wall, under physiological conditions, PARs are mainly expressed in ECs
and participate in the regulation of vascular tone, by inducing endothelium
dependent relaxation. PAR activation on ECs promotes conversion of these cells
into a proinflammatory phenotype, causes increase of vascular permeability, and
the exposure/secretion of proteins and cytokines mediating the local accumulation
of platelets and leukocytes. These effects contribute to the vascular
consequences of sepsis and of diseases such as acute lung injury and acute
respiratory distress syndrome. In normal arteries PARs are to a much lesser
amount expressed on VSMCs. However, in conditions associated with endothelial
dysfunction, PARs mediate contraction, proliferation, migration, hypertrophy of
VSMCs and their production of extracellular matrix, thereby contributing to the
pathophysiology of atherosclerosis and hypertension. Inhibition of protease-PAR
interaction might thus become a potential therapeutic target in various vascular
diseases.
PMID- 24924410
TI - PCSK9 inhibition for the treatment of hypercholesterolemia: promises and emerging
challenges.
AB - Hypercholesterolemia, is a prominent risk factor for cardiovascular disease
(CVD). Undestanding of the biochemical mechanisms that regulate the expression of
the low density lipoproteins receptor (LDLR) and the hepatic clearance of LDL
cholesterol (LDL-C) paved the way to the statin therapy as the gold standard for
CVD prevention. The discovery of proteins that regulate - at a post-translational
level - the activity of the LDLR has been a major breakthrough in developing new
cholesterol-lowering drugs. Proprotein convertase subtilisin/kexin type 9 (PCSK9)
is a key modulator of the LDLR degradation in the liver. Genetic studies
confirmed that in humans PCSK9 mutations associate with hypercholesterolemia and
hypocholesterolemia (gain-of-function or loss-of-function variants respectively).
Moreover, PCSK9 is up-regulated by statin treatment and limits the efficacy of
these agents. These findings led to the development of PCSK9 inhibitors. Anti
PCSK9 monoclonal antibodies showed encouraging results and are currently being
evaluated in phase III clinical trials. The aim of this short review is to
describe the new frontier of PCSK9 inhibition in the treatment of
hypercholesterolemia. Emphasis here is given to critical emerging issues linked
to PCSK9 physiology and pharmacology, which will require future investigation to
definitely address the potential of anti-PCSK9 drugs in clinical practice.
PMID- 24924411
TI - Remote-controlled drug release from graphene oxide-capped mesoporous silica to
cancer cells by photoinduced pH-jump activation.
AB - Remote light control of drug release enhances our ability to address the
complexity of biological systems because of its remarkable spatial/temporal
resolution. Here, a new class of remote-controlled release system by
incorporating photoacid generator (PAG) into graphene oxide-capped mesoporous
silica was designed for delivering drug payloads to cancer cells via photoinduced
pH-jump activation. PAG was immobilized on pore wall of the boronic acid-grafted
mesoporous silica via strong physical adsorption, and then the nanoparticle was
capped with graphene oxide sheet by an acid-labile boroester bond, leading to the
formation of nanogated ensemble (MSP-BA-GO). Illuminating with a UV light, PAG
generated a pH jump, which induced cleavage of the boroester linkers and thus
resulted in the uncapping of pore gates. Moreover, folic acid-modified,
doxorubicin (DOX)-loaded MSP-BA-GO (DOX@MSP-BA-GOF) showed selective cell
internalization via receptor-mediated endocytosis and subsequent released DOX by
the remote illumination. We envisioned that this remote-controlled drug delivery
system could find potential applications for cancer therapy.
PMID- 24924412
TI - A clinical study of pingyangmycin sclerotherapy for venous malformation: an
evaluation of 281 consecutive patients.
AB - WHAT IS KNOWN AND OBJECTIVE: In China, lidocaine together with 2 mg/mL of
pingyangmycin (PYM, also known as bleomycin A5) is recommended for the treatment
of venous malformations (VMs). The purpose of this study was to investigate
whether lidocaine has a synergistic effect with PYM in improving the therapeutic
outcomes of patients with VMs. Additionally, this study aimed to evaluate the
outcomes of sclerotherapy for VMs using an intralesional injection of a low
concentration of PYM (0.5 mg/mL). METHODS: A total of 281 patients with VMs were
treated with 0.5 or 2 mg/mL of PYM with or without lidocaine and dexamethasone
(DEX). All of the patients received a direct intralesional injection at a rate of
1 mL/min, and the volume of the solution varied from 1.5 to 6.0 mL per injection.
RESULTS AND DISCUSSION: No significant differences were observed in the clinical
outcomes between the PYM and PYM + lidocaine treatment groups (P > 0.05). The
clinical outcomes were similar between the groups that were treated with 0.5 and
2 mg/mL of PYM, but the swelling and pain in the patients who were treated with
0.5 mg/mL of PYM were less severe compared with the patients who were treated
with 2 mg/mL of PYM. A total of 29 patients with VM lesions on the glans penis
were treated with 0.5 mg/mL of PYM + 0.5% lidocaine. Of these patients, 26 were
cured, whereas three experienced a marked improvement. WHAT IS NEW AND
CONCLUSION: Lidocaine does not have a synergistic effect with PYM in improving
the therapeutic outcomes of patients with VMs. Sclerotherapy with a low
concentration of PYM (0.5 mg/mL) combined with lidocaine and DEX is a safe and
effective therapy for small superficial VMs of critical organs, such as the lips
and the glans penis.
PMID- 24924413
TI - Understanding heterogeneity among elderly consumers: an evaluation of
segmentation approaches in the functional food market.
AB - It is beneficial for both the public health community and the food industry to
meet nutritional needs of elderly consumers through product formats that they
want. The heterogeneity of the elderly market poses a challenge, however, and
calls for market segmentation. Although many researchers have proposed ways to
segment the elderly consumer population, the elderly food market has received
surprisingly little attention in this respect. Therefore, the present paper
reviewed eight potential segmentation bases on their appropriateness in the
context of functional foods aimed at the elderly: cognitive age, life course,
time perspective, demographics, general food beliefs, food choice motives,
product attributes and benefits sought, and past purchase. Each of the
segmentation bases had strengths as well as weaknesses regarding seven evaluation
criteria. Given that both product design and communication are useful tools to
increase the appeal of functional foods, we argue that elderly consumers in this
market may best be segmented using a preference-based segmentation base that is
predictive of behaviour (for example, attributes and benefits sought), combined
with a characteristics-based segmentation base that describes consumer
characteristics (for example, demographics). In the end, the effectiveness of
(combinations of) segmentation bases for elderly consumers in the functional food
market remains an empirical matter. We hope that the present review stimulates
further empirical research that substantiates the ideas presented in this paper.
PMID- 24924414
TI - miR-204 suppresses cochlear spiral ganglion neuron survival in vitro by targeting
TMPRSS3.
AB - Sensorineural hearing loss (SNHL) is the most common cause of hearing impairment.
One of the essential steps to prevent progressive hearing loss is to protect
spiral ganglion neurons (SGNs) from ongoing degeneration. MicroRNAs and TMPRSS3
(transmembrane protease, serine 3) have been reported to be involved in
development of SGNs and genesis of SNHL. The aim of this study was to investigate
the role of miR-204 and TMPRSS3 in SGNs. Effect of miR-204 on cell viability of
SGNs was first examined using MTT (3-[4,5-dimethylthiazol-2-yl]-2,5 diphenyl
tetrazolium bromide) assay. Expression of TMPRSS3 in SGNs with or without
addition of miR-204 was assessed by real-time PCR and western blot further. A
luciferase reporter activity assay was conducted to confirm target association
between miR-204 and 3'-UTR of TMPRSS3. Finally, role of TMPRSS3 on cell viability
of SGNs was evaluated by transfection of TMPRSS3 siRNA. Cell viability of SGNs
was suppressed by miR-204 in a concentration-dependent manner. Overexpression of
miR-204 reduced expression of TMPRSS3 in SGNs at both mRNA and protein levels.
Binding to the 3'-UTR of TMPRSS3 by miR-204 was identified by luciferase assay.
Knockdown of TMPRSS3 by siRNA significantly inhibits cell viability of SGNs. miR
204 could be a potential therapeutic target in sensorineural hearing loss.
PMID- 24924415
TI - Inhibition of histone demethylase, LSD2 (KDM1B), attenuates DNA methylation and
increases sensitivity to DNMT inhibitor-induced apoptosis in breast cancer cells.
AB - Increasing evidence suggests that dysfunction of histone lysine demethylase is
associated with abnormal chromatin remodeling and gene silencing, contributing to
breast tumorigenesis. In silico analysis shows that the newly identified histone
demethylase lysine-specific demethylase 2 is highly expressed in breast cancer,
especially in invasive tumors. However, it is currently unknown how LSD2
regulates chromatin remodeling and gene expression regulation in breast cancer.
Using short hairpin RNA, we stably knocked down LSD2 (LSD2-KD) in MDA-MB-231
breast cancer cells. LSD2-KD led to accumulation of H3K4me1/2 without changing
methylation levels of other key histone lysine residues, suggesting that LSD2
acts as a bona fide H3K4 demethylase in breast cancer cells. LSD2-KD resulted in
decreased colony formation and attenuated global DNA methylation in MDA-MB-231
cells. Additionally, treatment with the DNMT inhibitor, 5-aza-deoxycytidine
(DAC), synergistically increased mRNA expression of aberrantly silenced genes
important in breast cancer development, including PR, RARbeta, ERalpha, SFRP1,
SFRP2, and E-cadherin in LSD2-KD cells. Furthermore, LSD2-KD cells are more
susceptible to cell death than scramble controls, and combined treatment with
tranylcypromine, an LSD2 inhibitor, and DAC resulted in synergistic growth
inhibition of breast cancer cells. DNMT inhibition by DAC in LSD2-KD cells led to
internucleosomal DNA fragmentation, enhanced PARP cleavage and increased sub-G1
apoptotic cell population. These results demonstrate an important role for LSD2
in regulation of DNA methylation and gene silencing in breast cancer, and suggest
that inhibition of LSD2 in combination with DNA methyltransferase inhibition
represents a novel approach for epigenetic therapy of breast cancer.
PMID- 24924417
TI - Valproate and folic acid in pregnancy: associations with autism.
PMID- 24924416
TI - Randomised, phase II, placebo-controlled, trial of fulvestrant plus vandetanib in
postmenopausal women with bone only or bone predominant, hormone-receptor
positive metastatic breast cancer (MBC): the OCOG ZAMBONEY study.
AB - Biomarkers of bone turnover, including urine N-telopeptide (uNTx), have been used
as surrogate measures of response to bone-targeted therapies. Vascular
endothelial growth factor (VEGF) levels correlate with extent of bone metastases.
We assessed whether vandetanib, an inhibitor of VEGF, epidermal growth factor
receptor and RET signalling, improved uNTx response when added to fulvestrant (F)
in breast cancer patients with bone metastases. Postmenopausal patients with bone
predominant, hormone-receptor-positive metastatic breast cancer were randomised
to F (500 mg IM days 1, 15, 29, then monthly) with either vandetanib (100 mg PO
OD) (FV) or placebo (FP). The primary objective was uNTx response. Secondary
objectives included PFS, OS, RECIST response, pain scores and toxicity. Sixty-one
patients were allocated to FV and 68 to FP. Out of 127 analyzable patients, an
uNTx response occurred in 66 % for FV and 54 % for FP (p = 0.21). No difference
was detected between groups for PFS; HR = 0.95 (95 % CI 0.65-1.38) or OS HR =
0.69 (95 % CI 0.37-1.31). For the 62 patients with measurable disease, clinical
benefit rates were 41 and 43 %, respectively (p = 0.47). Serious adverse events
were similar, 3.3 % for FV versus 5.9 % for FP. Elevated baseline uNTx (>65 nM
BCE/mmol Cr) was prognostic for PFS, HR = 1.55 (95 % CI 1.04-2.30) and for OS, HR
= 2.32 (95 % CI 1.25-4.33). The addition of vandetanib to fulvestrant did not
improve biomarker response, PFS or OS in patients with bone metastases. Baseline
bone turnover was prognostic for PFS and OS.
PMID- 24924418
TI - What is the risk of death or severe harm due to bone cement implantation syndrome
among patients undergoing hip hemiarthroplasty for fractured neck of femur? A
patient safety surveillance study.
AB - OBJECTIVE: To estimate the risk of death or severe harm due to bone cement
implantation syndrome (BCIS) among patients undergoing hip hemiarthroplasty for
fractured neck of femur. SETTING: Hospitals providing secondary and tertiary care
throughout the National Health Service (NHS) in England and Wales. PARTICIPANTS:
Cases reported to the National Reporting and Learning System (NRLS) in which the
reporter clearly describes severe acute patient deterioration associated with
cement use in hip hemiarthroplasty for fractured neck of femur (assessed
independently by two reviewers). OUTCOME MEASURES: Primary-number of reported
deaths, cardiac arrests and periarrests per year. Secondary-timing of
deterioration and outcome in relation to cement insertion. RESULTS: Between 2005
and 2012, the NRLS received 62 reports that clearly describe death or severe harm
associated with the use of cement in hip hemiarthroplasty for fractured neck of
femur. There was one such incident for every 2900 hemiarthroplasties for
fractured neck of femur during the period. Of the 62 reports, 41 patients died,
14 were resuscitated from cardiac arrest and 7 from periarrest. Most reports
(55/62, 89%) describe acute deterioration occurring during or within a few
minutes of cement insertion. The vast majority of deaths (33/41, 80%) occurred on
the operating table. CONCLUSIONS: These reports provide narrative evidence from
England and Wales that cement use in hip hemiarthroplasty for fractured neck of
femur is associated with instances of perioperative death or severe harm
consistent with BCIS. In 2009, the National Patient Safety Agency publicised this
issue and encouraged the use of mitigation measures. Three-quarters of the deaths
in this study have occurred since that alert, suggesting incomplete
implementation or effectiveness of those mitigation measures. There is a need for
stronger evidence that weighs the risks and benefits of cement in hip
hemiarthroplasty for fractured neck of femur.
PMID- 24924419
TI - Modular synthesis of cyclic cis- and trans-1,2-diamine derivatives.
AB - Structurally diverse carbocycles with two vicinal nitrogen-substituents were
prepared in expedient three-component reactions from simple amines, aldehydes,
and nitroalkenes. trans,trans-6-Nitrocyclohex-2-enyl amines were obtained in a
one-pot domino reaction involving condensation, tautomerisation, conjugate
addition, and nitro-Mannich cyclisation. Upon employment of less nucleophilic
carboxamides, a concerted Diels-Alder cycloaddition mechanism operated to give
the corresponding cis,trans-nitrocyclohexenyl amides. Both types of substituted
carbocycles offer ample opportunities for chemical manipulations at the core and
periphery. Ring oxidation with MnO2 affords substituted nitroarenes. Reduction
with Zn/HCl provides access to various trans- and cis-diaminocyclohexenes,
respectively, in a straight-forward manner. With enantiopure secondary amines, a
two-step synthesis of chiral nitrocyclohexadienes was developed (82-94% ee).
PMID- 24924420
TI - Pure laparoscopic and robot-assisted laparoscopic reconstructive surgery in
congenital megaureter: a single institution experience.
AB - To report our experience of pure laparoscopic and robot-assisted laparoscopic
reconstructive surgery in congenital megaureter, seven patients (one bilateral)
with symptomatic congenital megaureter underwent pure laparoscopic or robot
assisted laparoscopic surgery. The megaureter was exposed at the level of the
blood vessel and was isolated to the bladder narrow area. Extreme ureter trim and
submucosal tunnel encapsulation or papillary implantations and anti-reflux ureter
bladder anastomosis were performed intraperitoneally by pure laparoscopic or
robot-assisted laparoscopic surgery. The clinical data of seven patients after
operation were analyzed, including the operation time, intraoperative
complications, intraoperative bleeding volumes, postoperative complications,
postoperative hospitalization time and pathological results. All of the patients
were followed. The operation was successfully performed in seven patients. The
mean operation times for pure laparoscopic surgery and robotic-assistant
laparoscopic surgery were 175 (range: 150-220) and 187 (range: 170-205) min,
respectively, and the mean operative blood loss volumes were 20 (range: 10-30)
and 28.75 (range: 15-20) ml, respectively. There were no intraoperative
complications. The postoperative drainage time was 5 (range: 4-6) and 5.75
(range: 5-6) d, respectively, and the indwelling catheter time was 6.33 (range: 4
8) d and 7 (range: 7-7) d, respectively. The postoperative hospitalization time
was 7.67 (range: 7-8) d and 8 (range: 7-10) d, respectively. There was no obvious
pain, no secondary bleeding and no urine leakage after the operation.
Postoperative pathology reports revealed chronic urothelial mucosa inflammation.
The follow-up results confirmed that all patients were relieved of their
symptoms. Both pure laparoscopic and robot-assisted laparoscopic surgery using
different anti-reflux ureter bladder anastomoses are safe and effective
approaches in the minimally invasive treatment of congenital megaureter.
PMID- 24924422
TI - Heterogeneity in the effect of public health insurance on catastrophic out-of
pocket health expenditures: the case of Mexico.
AB - Low- and middle-income countries increasingly provide broad-based public health
coverage to their residents. One of the goals of such programmes is to reduce the
extent to which beneficiaries incur catastrophic out-of-pocket expenditures on
health care. A recent field experiment showed that on average Mexico's new public
insurance programme reduced such expenditures in rural areas. Our reanalysis of
that data, augmented with administrative data on health infrastructure, shows
that this effect depends strongly on the type of health facility to which the
beneficiary has access. A second analysis, based on data from Mexico's National
Household Income and Expenditure Surveys (abbreviated ENIGH for its name in
Spanish), substantiates those findings. It shows that catastrophic expenditures
have fallen sharply for rural households with access to well-staffed facilities,
but that they have fallen little if at all for rural households with access to
poorly staffed facilities. Our analysis of the ENIGH also shows that Mexico's
public health insurance programme has sharply reduced catastrophic spending among
urban households. Considering that most Mexicans live either in urban areas or in
rural areas with access to well-staffed facilities, our results show that the
public health insurance programme has been largely successful in achieving one of
its key goals. At the same time, our results show how difficult it can be to
provide effective protection against catastrophic health expenditures for
residents of remote rural areas.
PMID- 24924421
TI - The effect of wheat prebiotics on the gut bacterial population and iron status of
iron deficient broiler chickens.
AB - BACKGROUND: Currently, there is a lot of interest in improving gut health, and
consequently increasing Fe absorption, by managing the colonic microbial
population. This is traditionally done by the consumption of probiotics, live
microbial food supplements. However, an alternative, and often very effective
approach, is the consumption of food ingredients known as prebiotics. Fructans
and arabinoxylans are naturally occurring non-digestible oligosaccharides in
wheat that exhibit prebiotic properties and may enhance intestinal iron (Fe)
absorption. The aim of this study was to assess the effect of prebiotics from
wheat on Fe bioavailability in vitro (Caco-2 cells) and in vivo (broiler
chickens, Gallus gallus). METHODS: In the current study, the effect of intra
amniotic administration of wheat samples extracts at 17 d of embryonic incubation
on the Fe status and possible changes in the bacterial population in intestinal
content of broiler hatchlings were investigated. A group of 144 eggs were
injected with the specified solution (1 ml per egg) into the amniotic fluid.
Immediately after hatch (21 d) and from each treatment group, 10 chicks were
euthanized and their small intestine, liver and cecum were removed for relative
mRNA abundance of intestinal Fe related transporters, relative liver ferritin
amounts and bacterial analysis of cecal content, respectively. RESULTS: The in
vivo results are in agreement with the in vitro observations, showing no
differences in the hatchling Fe status between the treatment groups, as Fe
bioavailability was not increased in vitro and no significant differences were
measured in the intestinal expression of DMT1, Ferroportin and DcytB in vivo.
However, there was significant variation in relative amounts of bifidobacteria
and lactobacilli in the intestinal content between the treatments groups, with
generally more bifidobacteria being produced with increased prebiotic content.
CONCLUSIONS: In this study we showed that prebiotics naturally found in wheat
grains/bread products significantly increased intestinal beneficial bacterial
population in Fe deficient broiler chickens. With this short-term feeding trial
we were not able to show differences in the Fe-status of broilers. Nevertheless,
the increase in relative amounts of bifidobacteria and lactobacilli in the
presence of wheat prebiotics is an important finding as these bacterial
populations may affect Fe bioavailability in long-term studies.
PMID- 24924423
TI - Inhibitory and mechanistic investigations of oxo-lipids with human lipoxygenase
isozymes.
AB - Oxo-lipids, a large family of oxidized human lipoxygenase (hLOX) products, are of
increasing interest to researchers due to their involvement in different
inflammatory responses in the cell. Oxo-lipids are unique because they contain
electrophilic sites that can potentially form covalent bonds through a Michael
addition mechanism with nucleophilic residues in protein active sites and thus
increase inhibitor potency. Due to the resemblance of oxo-lipids to LOX
substrates, the inhibitor potency of 4 different oxo-lipids; 5-oxo-6,8,11,14
(E,Z,Z,Z)-eicosatetraenoic acid (5-oxo-ETE), 15-oxo-5,8,11,13-(Z,Z,Z,E)
eicosatetraenoic acid (15-oxo-ETE), 12-oxo-5,8,10,14-(Z,Z,E,Z)-eicosatetraenoic
acid (12-oxo-ETE), and 13-oxo-9,11-(Z,E)-octadecadienoic acid (13-oxo-ODE) were
determined against a library of LOX isozymes; leukocyte 5-lipoxygenase (h5-LOX),
human reticulocyte 15-lipoxygenase-1 (h15-LOX-1), human platelet 12-lipoxygenase
(h12-LOX), human epithelial 15-lipoxygenase-2 (h15-LOX-2), soybean 15
lipoxygenase-1 (s15-LOX-1), and rabbit reticulocyte 15-LOX (r15-LOX). 15-Oxo-ETE
exhibited the highest potency against h12-LOX, with an IC50=1 +/- 0.1 MUM and was
highly selective. Steady state inhibition kinetic experiments determined 15-oxo
ETE to be a mixed inhibitor against h12-LOX, with a Kic value of 0.087 +/- 0.008
MUM and a Kiu value of 2.10 +/- 0.8 MUM. Time-dependent studies demonstrated
irreversible inhibition with 12-oxo-ETE and h15-LOX-1, however, the concentration
of 12-oxo-ETE required (Ki=36.8 +/- 13.2 MUM) and the time frame (k2=0.0019 +/-
0.00032 s(-1)) were not biologically relevant. These data are the first
observations that oxo-lipids can inhibit LOX isozymes and may be another
mechanism in which LOX products regulate LOX activity.
PMID- 24924424
TI - Facile synthesis, optical and conformational characteristics, and efficient
intracellular delivery of a peptide-DNA conjugate.
AB - Covalent conjugation of disparate peptide and oligonucleotide biomacromolecular
species produces peptide-oligonucleotide conjugates (POCs), which are interesting
molecules with great potential for use in diverse bioapplications. However,
peptide-oligonucleotide conjugation methods are not well established, and the
intracellular delivery efficacy of POCs is debatable. Here, we describe a simple
method for the synthesis and purification of POCs. When peptides are carefully
designed to have a near-neutral charge state, a relatively hydrophobic polarity,
and receptor-targeting ligands, synthesis and purification become highly
efficient and straightforward. UV-vis, fluorescence, and circular dichroism
studies show that both types of molecules mutually influence each other, changing
their optical and conformational characteristics in the context of POCs. The
combined effect of peptide design strategy, targeting ligands, and relatively
hydrophobic property, enables the efficient cellular delivery of POCs.
PMID- 24924425
TI - Synthesis, antioxidant and cytoprotective evaluation of potential antiatherogenic
phenolic hydrazones. A structure-activity relationship insight.
AB - A novel series of hydrazones derived from substituted benzaldehydes have been
synthesized as potential antiatherogenic agents. Several methods were used for
exploring their antioxidant and cytoprotective properties, such as their
scavenging effect on 2,2-diphenyl-1-picrylhydrazyl (DPPH) radical, the inhibition
of superoxide anion (O2(.-)) generation and the measurement of cell-induced low
density lipoprotein oxidation (monitored by the formation of TBARS). The
cytoprotective efficacy was also evaluated by measuring the cell viability
(monitored by the MTT assay) in the presence of cytotoxic oxidized LDL. In this
report, we discuss the relationship between the chemical structure of phenolic
hydrazones and their antioxidant and cytoprotective activities, for subsequent
application as antiatherogenic agents. This SAR study confirms that the phenolic
frame is not the only prerequisite for antioxidant activity and N
methylbenzothiazole hydrazone moiety magnifies the dual required properties in
two most interesting derivatives.
PMID- 24924426
TI - Matching Patterns of Gene Expression to Mechanical Stiffness at Cell Resolution
through Quantitative Tandem Epifluorescence and Nanoindentation.
AB - Cell differentiation has been associated with changes in mechanical stiffness in
single-cell systems, yet it is unknown whether this association remains true in a
multicellular context, particularly in developing tissues. In order to address
such questions, we have developed a methodology, termed quantitative tandem
epifluorescence and nanoindentation, wherein we sequentially determine cellular
genetic identity with confocal microscopy and mechanical properties with atomic
force microscopy. We have applied this approach to examine cellular stiffness at
the shoot apices of Arabidopsis (Arabidopsis thaliana) plants carrying a
fluorescent reporter for the CLAVATA3 (CLV3) gene, which encodes a secreted
glycopeptide involved in the regulation of the centrally located stem cell zone
in inflorescence and floral meristems. We found that these CLV3-expressing cells
are characterized by an enhanced stiffness. Additionally, by tracking cells in
young flowers before and after the onset of GREEN FLUORESCENT PROTEIN expression,
we observed that an increase in stiffness coincides with this onset. This work
illustrates how quantitative tandem epifluorescence and nanoindentation can
reveal the spatial and temporal dynamics of both gene expression and cell
mechanics at the shoot apex and, by extension, in the epidermis of any thick
tissue.
PMID- 24924427
TI - Effects of interface pressure distribution on human sleep quality.
AB - High sleep quality promotes efficient performance in the following day. Sleep
quality is influenced by environmental factors, such as temperature, light, sound
and smell. Here, we investigated whether differences in the interface pressure
distribution on healthy individuals during sleep influenced sleep quality. We
defined four types of pressure models by differences in the area distribution and
the subjective feelings that occurred when participants slept on the mattresses.
One type of model was showed "over-concentrated" distribution of pressure; one
was displayed "over-evenly" distributed interface pressure while the other two
models were displayed intermediate distribution of pressure. A polysomnography
analysis demonstrated an increase in duration and proportion of non-rapid-eye
movement sleep stages 3 and 4, as well as decreased number of micro-arousals, in
subjects sleeping on models with pressure intermediately distributed compared to
models with over-concentrated or over-even distribution of pressure. Similarly,
higher scores of self-reported sleep quality were obtained in subjects sleeping
on the two models with intermediate pressure distribution. Thus, pressure
distribution, at least to some degree, influences sleep quality and self-reported
feelings of sleep-related events, though the underlying mechanisms remain
unknown. The regulation of pressure models imposed by external sleep environment
may be a new direction for improving sleep quality. Only an appropriate interface
pressure distribution is beneficial for improving sleep quality, over
concentrated or -even distribution of pressure do not help for good sleep.
PMID- 24924429
TI - Sensation seeking and error processing.
AB - Sensation seeking is defined by a strong need for varied, novel, complex, and
intense stimulation, and a willingness to take risks for such experience. Several
theories propose that the insensitivity to negative consequences incurred by
risks is one of the hallmarks of sensation-seeking behaviors. In this study, we
investigated the time course of error processing in sensation seeking by
recording event-related potentials (ERPs) while high and low sensation seekers
performed an Eriksen flanker task. Whereas there were no group differences in
ERPs to correct trials, sensation seeking was associated with a blunted error
related negativity (ERN), which was female-specific. Further, different
subdimensions of sensation seeking were related to ERN amplitude differently.
These findings indicate that the relationship between sensation seeking and error
processing is sex-specific.
PMID- 24924428
TI - Tumor-derived interleukin-1 promotes lymphangiogenesis and lymph node metastasis
through M2-type macrophages.
AB - Tumors formed by a highly metastatic human lung cancer cell line are
characterized by activated signaling via vascular endothelial growth factor
(VEGF)-C through its receptor (VEGFR-3) and aggressive lymph node metastasis. In
this study, we examined how these highly metastatic cancers acquired aggressive
lymph node metastasis. Compared with their lower metastatic counterparts, the
highly metastatic tumors formed by this cell line expressed higher amounts of
interleukin (IL)-1alpha, with similarly augmented expression of IL-1alpha and IL
1beta by tumor stromal cells and of VEGF-A and VEGF-C by tumor-associated
macrophages. These tumor-associated macrophages were mainly of the M2 type.
Administration of a macrophage-targeting drug suppressed the production of these
potent angiogenic and lymphangiogenic factors, resulting in decreased tumor
growth, angiogenesis, lymphangiogenesis, and lymph node metastasis. In Matrigel
plug assays, the highly metastatic cells formed tumors that were extensively
infiltrated by M2-type macrophages and exhibited enhanced angiogenesis and
lymphangiogenesis. All of these responses were suppressed by the IL-1 receptor
(IL-1R) antagonist anakinra. Thus, the IL-1alpha-driven inflammatory activation
of angiogenesis and lymphangiogenesis seems to provide a highly metastatic tumor
microenvironment favorable for lymph node metastasis through cross-talk with
macrophages. Accordingly, the IL-1R/M2-type macrophage axis may be a good
therapeutic target for patients with this form of lung cancer.
PMID- 24924431
TI - Access to and utilization of the health services among the patients in a
government homeopathic hospital in West Bengal, India: a cross-sectional study.
AB - Accessibility to and utilization of the hospital health services is a complex and
multifaceted issue. This study aimed to assess the knowledge of the patients of
health services, current level of access to and utilization of services and to
identify barriers and socioeconomic disparities in an Indian homeopathic
hospital. A cross-sectional survey was conducted in December 2013 on
systematically sampled 377 patients. Responses were analyzed using descriptive
statistics and univariate logistic regression. Mean knowledge score and perceived
mean difficulties in access to and utilization of services were 68.4% and 78.5%,
respectively. Knowledge of the services was influenced by age, residence,
education, speaking and reading of Bengali language, and income status (P < .05).
Difficulty in access to and utilization of the health services were influenced by
residence, understanding of Bengali language, and monthly household income (P <
.05). Overall, health service access and utilization appeared promising, but
needs improvement.
PMID- 24924430
TI - Introduction of the human AVPR1A gene substantially alters brain receptor
expression patterns and enhances aspects of social behavior in transgenic mice.
AB - Central arginine vasopressin receptor 1A (AVPR1A) modulates a wide range of
behaviors, including stress management and territorial aggression, as well as
social bonding and recognition. Inter- and intra-species variations in the
expression pattern of AVPR1A in the brain and downstream differential behavioral
phenotypes have been attributed to differences in the non-coding regions of the
AVPR1A gene, including polymorphic elements within upstream regulatory areas.
Gene association studies have suggested a link between AVPR1A polymorphisms and
autism, and AVPR1A has emerged as a potential pharmacological target for
treatment of social cognitive impairments and mood and anxiety disorders. To
further investigate the genetic mechanism giving rise to species differences in
AVPR1A expression patterns and associated social behaviors, and to create a
preclinical mouse model useful for screening drugs targeting AVPR1A, we
engineered and extensively characterized bacterial artificial chromosome (BAC)
transgenic mice harboring the entire human AVPR1A locus with the surrounding
regulatory elements. Compared with wild-type animals, the humanized mice
displayed a more widely distributed ligand-AVPR1A binding pattern, which
overlapped with that of primates. Furthermore, humanized AVPR1A mice displayed
increased reciprocal social interactions compared with wild-type animals, but no
differences in social approach and preference for social novelty were observed.
Aspects of learning and memory, specifically novel object recognition and spatial
relocation recognition, were unaffected. The biological alterations in humanized
AVPR1A mice resulted in the rescue of the prepulse inhibition impairments that
were observed in knockout mice, indicating conserved functionality. Although
further behavioral paradigms and additional cohorts need to be examined in
humanized AVPR1A mice, the results demonstrate that species-specific variations
in the genomic content of regulatory regions surrounding the AVPR1A locus are
responsible for differential receptor protein expression patterns across species
and that they are likely to contribute to species-specific behavioral variation.
The humanized AVPR1A mouse is a potential preclinical model for further
understanding the regulation of receptor gene expression and the impact of
variation in receptor expression on behaviors, and should be useful for screening
drugs targeting human AVPR1A, taking advantage of the expression of human AVPR1A
in human-relevant brain regions.
PMID- 24924432
TI - Ultrasound-guided fine needle aspiration cytology of a primary lymph node
leiomyoma: a flexible procedure for a complex case.
AB - BACKGROUND: A primary lymph node leiomyoma diagnosed by fine needle aspiration
cytology (FNAC) is reported. CASE: A 22-year-old male complained of right groin
swelling; ultrasound examination (US) showed a lymph node containing a 20-mm
hypoechoic nodule. The residual lymph node was oval, with a well-characterized
cortex and hilum. US-FNAC of the nodule showed oval spindle cells embedded in
fibrillar matrix. Nuclei were naked and oval with dispersed chromatin but without
nucleoli. Immunocytochemistry showed positivity for vimentin and actin, and
negativity for cytokeratin, S100, CD23 and CD31. A smear of the residual lymph
node showed a reactive lymphoid cell population. FNAC diagnosis was mesenchymal
cell proliferation with smooth muscle phenotype; a lymph node is part of the
lesion. A CT scan did not detect any inguinal or abdominal mass. The surgical
sample was a lymph node containing a spindle cell tumor, which was actin and
desmin positive, and S100, CD21, HMB45, CD23 and CD31 negative; MIB1 was positive
in <5% of the cells. The residual lymph node was normal. CONCLUSION: The final
diagnosis was primary benign leiomyoma in a lymph node. US-FNAC may frame complex
lymph node lesions and provide treatment options.
PMID- 24924433
TI - A 2q24.3q31.1 microdeletion found in a patient with Filippi-like syndrome
phenotype: a case report.
AB - Filippi syndrome is characterized by developmental delay, growth failure,
cryptorchidism, bilateral hand and foot syndactyly, and facial dysmorphism. The
2q24q31 contiguous deletion syndrome has similarly been associated with hand and
foot anomalies, growth retardation, microcephaly, characteristic facies with a
broad prominent nasal root and thin alae nasi, and intellectual disability. We
present a patient with this deletion who has a Filippi-like phenotype, which may
be the first causative cytogenetic result in this syndrome. This suggests the
importance of array comparative genomic hybridization in evaluation of patients
with Filippi syndrome, and suggests that the inheritance may not always be
autosomal recessive.
PMID- 24924435
TI - Dujardinascaris gigantea sp. n. (Nematoda: Ascaridida) from the critically
endangered crocodile Alligator sinensis Fauvel (Reptilia: Crocodylia).
AB - The Chinese alligator Alligator sinensis Fauvel (Reptilia: Crocodylia) is
considered as one of the most critically endangered species of the 23 extant
crocodiles. However, our knowledge of the helminth parasites of this rare animal
is completely lacking. During a helminthological survey of reptiles in China, we
found a new ascaridoid nematode, Dujardinascaris gigantea sp. n. from A.
sinensis. The morphology of D. gigantea sp. n. was studied using light and
scanning electron microscopy. The new species was also characterised using
molecular methods by sequencing and analysing the small ribosomal DNA (18S) and
the second internal transcribed spacer (ITS-2).
PMID- 24924434
TI - Characterisation of the mitochondrial genome of Parafilaroides normani (lungworm)
of Arctocephalus pusillus doriferus (Australian fur seal).
AB - Parafilaroides normani is a metastrongyloid nematode of clinical relevance in
some species of pinnipeds. The taxonomy, biology and epidemiology of this and
related species are not well understood. Mitochondrial (mt) DNA can provide
markers for studies in these areas, but genetic data are scant. Here, we
characterised the mt genome of P. normani. Adults of this nematode were collected
from Arctocephalus pusillus doriferus from Phillip Island, Victoria, Australia,
and genomic DNA was extracted from individuals. The complete mt genome was
amplified from DNA from a single adult male by long-range PCR, as two overlapping
amplicons (~5 and ~10 kb), and then sequenced employing 454 technology (Roche).
The mt genome was assembled from reads of ~300 bp and annotated using an
established bioinformatic pipeline. This circular mt genome is 13,411 bp and
contains two ribosomal RNA, 12 protein-coding and 22 transfer RNA genes,
consistent with those of other lungworms studied to date. This mt genome is
intended to provide a source of genetic markers for future investigations of the
biology, epidemiology and systematics of Parafilaroides spp. and related
lungworms.
PMID- 24924436
TI - Exploring the antigenic features of Fasciola hepatica rediae (Trematoda: Digenea)
through the evaluation of different antigenic candidates for further monoclonal
antibody generation.
AB - The control of fasciolosis, as that of other vector-borne diseases, must be
related to the control of the lymnaeid snails, the intermediate hosts of the
parasite. Thus, an accurate epidemiological surveillance of the transmission foci
where the infected mollusks occur is essential. For this purpose, immunoassays
could be a useful tool. However, information regarding specific proteins of
intramolluscan larvae and previous studies concerning monoclonal antibody
generation against asexual stages of trematodes are scarce. Therefore, we
explored the antigenic features of intramolluscan rediae of Fasciola hepatica to
evaluate three antigenic preparations in order to use the most promising one for
developing specific monoclonal antibodies. Mouse antiserum was generated against
each antigen for assessing the polyclonal antibody response against the crude
extract of rediae and the cross-reactivity against lymnaeids. The specific C
terminal of F. hepatica cytochrome c oxidase subunit I (first antigen), selected
by in silico analyses, might not be the appropriate target for immunoassay
detection of infected snails, due to its low representation in the total extract
of rediae. The majoritarian mixture of low-molecular-weight proteins (<30 kDa)
from the rediae homogenate (second antigen) revealed a significant cross
reactivity with lymnaeids. Evidence of the existence of mimetic immunogenic
epitopes in this fraction of F. hepatica rediae was achieved. High immunogenicity
of the crude extract of rediae (third antigen), mainly related to parasite's
specific epitopes, was regarded. Therefore, the rediae homogenate is stated as
the most promising antigen from those evaluated, for monoclonal antibody
development with potentialities for detecting F. hepatica-infected snails.
PMID- 24924437
TI - Proactive approaches to successful aging: one clear path through the forest.
AB - The importance of successful aging is increasingly acknowledged in the field of
gerontology. In this paper we contribute to ongoing efforts to clarify and
operationalize this concept by presenting our revised Comprehensive Preventive
Corrective Proactive (PCP) model that builds on relevant research traditions, our
prior formulations and accumulating research evidence based on testing this
model. Recognizing biopsychosocial challenges and contextual stressors that are
normative for older individuals, we emphasize the critical role of proactive
behavioral adaptations in ameliorating the adverse effects of stressors. We argue
that competent actions to address age-related changes and stressors are necessary
to achieve positive quality-of-life outcomes. We propose that future research may
benefit from employing more complex methodological approaches and data analytic
tools.
PMID- 24924438
TI - Daily and seasonal dynamics of remotely sensed photosynthetic efficiency in tree
canopies.
AB - The photosynthesis of various species or even a single plant varies dramatically
in time and space, creating great spatial heterogeneity within a plant canopy.
Continuous and spatially explicit monitoring is, therefore, required to assess
the dynamic response of plant photosynthesis to the changing environment. This is
a very challenging task when using the existing portable field instrumentation.
This paper reports on the application of a technique, laser-induced fluorescence
transient (LIFT), developed for ground remote measurement of photosynthetic
efficiency at a distance of up to 50 m. The LIFT technique was used to monitor
the seasonal dynamics of selected leaf groups within inaccessible canopies of
deciduous and evergreen tree species. Electron transport rates computed from LIFT
measurements varied over the growth period between the different species studied.
The LIFT canopy data and light-use efficiency measured under field conditions
correlated reasonably well with the single-leaf pulse amplitude-modulated
measurements of broadleaf species, but differed significantly in the case of
conifer tree species. The LIFT method has proven to be applicable for a remote
sensing assessment of photosynthetic parameters on a diurnal and seasonal scale;
further investigation is, however, needed to evaluate the influence of complex
heterogeneous canopy structures on LIFT-measured chlorophyll fluorescence
parameters.
PMID- 24924439
TI - Prevalence and causes of visual impairment in diabetic patients in Tunisia, North
Africa.
AB - PURPOSE: To investigate the prevalence and causes of blindness and partial sight
among a population of Tunisian diabetic patients. METHODS: A cross-sectional
study of 2320 randomly identified patients with diabetes mellitus. Patient's
characteristics as well as data from the last ophthalmic examination were
reviewed. RESULTS: Of all patients examined, 60.2% were females and 39.8% were
males. Mean age of patients was 54.5 years. Mean duration of diabetes was 7.6
years. Diabetic retinopathy (DR) was recorded in 26.3% of patients, and was
proliferative in 3.4% of patients. The prevalence of visual impairment was 22.2%,
with 4.4% patients legally blind and 17.8% partially sighted. Visual impairment
was significantly associated with age >=60 years (P<0.001), duration of diabetes
>10 years (P<0.001), body mass index >25 (P=0.014), hypertension (P<0.001), heart
disease (P<0.001), peripheral neuropathy (P=0.03), vegetative neuropathy
(P=0.002), macroalbuminuria (P<0.001), cataract (P<0.001), DR (P<0.001), diabetic
macular edema (P<0.001), open angle glaucoma (P<0.001), intravitreal hemorrhage
(P<0.001), rubeosis iridis (P<0.001), neovascular glaucoma (P<0.001), and
tractional retinal detachment (P<0.001). CONCLUSION: The current report is the
largest study of DR in North African region. It provides a baseline data against
which future progress can be assessed. Screening and treatment can greatly reduce
the incidence of visual impairment due to diabetes.
PMID- 24924440
TI - The noninvasive retro-mode imaging of confocal scanning laser ophthalmoscopy in
myopic maculopathy: a prospective observational study.
AB - PURPOSE: To investigate the morphological features of myopic maculopathy with a
new and noninvasive retro-mode imaging (RMI) technique using a confocal scanning
laser ophthalmoscope. METHODS: A total of 42 patients (69 eyes) with myopic
maculopathy were included. RMI combined with fundus photography, fundus
fluorescein angiography, and optical coherence tomography together were used to
observe and evaluate the morphological features of disease. RESULTS: Four in 4
eyes (100%) with macular retinoschisis were found with a characteristic pattern
by RMI (firework pattern centrally with surrounding fingerprint pattern). Twenty
four in 24 eyes (100%) with pigment proliferation were found by RMI as dark plain
patches, and 23 in 24 eyes with hemorrhage (95.8%) were found by RMI as gray
bump. Atrophy of different degrees (12 in 14 eyes, 85.7%) was found by RMI as an
area of pseudo-3D choroidal vessels or a fuzzy shadow but both without a clear
boundary. Choroidal neovascularization (12 in 16 eyes, 75%) was identified
laboriously by RMI as a vague raised region. Lacquer cracks were difficult to
figure out in RMI. CONCLUSIONS: Retinoschisis, pigment proliferation, hemorrhage,
and atrophy secondary to myopic maculopathy have characteristic morphologic
features in RMI; however, choroidal neovascularization and lacquer crack are not
easily distinguishable in RMI.
PMID- 24924441
TI - Melatonin prevents retinal oxidative stress and vascular changes in diabetic
rats.
AB - PURPOSE: To evaluate the role of melatonin, an antioxidant agent, in diabetic
oxidative stress and vascular damage. METHODS: Diabetes was induced in 21 male
Wistar rats by intraperitoneal (IP) administration of streptozotocin and then the
rats were equally and randomly allocated to diabetic, melatonin, and vehicle
groups. Seven healthy normal rats with similar features comprised the control
group as the fourth group. All animals were followed for 12 weeks. The melatonin
group received IP melatonin daily and the vehicle group received 2.5% ethanol IP
at the last month. At the end of 12 weeks, the rats were killed and retinas were
harvested. The retinas were investigated for the existence of hypoxia-inducible
factor 1-alpha (HIF-1alpha), vascular endothelial growth factor A (VEGF-A), and
pigment epithelium-derived factor (PEDF) by ELISA. Retinal oxidative stress is
quantitated by measuring nitrotyrosine and malondialdehyde levels. Retinal
immunohistochemistry with antibody against CD31 antigen was carried out on
retinal cross-sections. For statistics, ANOVA test was used for multiple
comparisons. RESULTS: Hyperglycemia increased retinal oxidation as measured
through levels of nitrotyrosine and malondialdehyde. Diabetic retinas are also
associated with abnormal vascular changes such as dilatation and deformation. HIF
1alpha, VEGF-A, and PEDF were all increased because of diabetic injury. Melatonin
showed a potential beneficial effect on retinopathy in diabetic rats. It
decreased retinal nitrotyrosine and malondialdehyde levels, showing an
antioxidative support. The vasculomodulator cytokines are decreased accordingly
by melatonin therapy. Melatonin normalized retinal vascular changes as well.
CONCLUSION: Melatonin may show some advantage on diabetic vascular changes
through decreasing oxidative stress and vessel-related cytokines.
PMID- 24924442
TI - Evaluation of pain during intravitreal Ozurdex injections vs. intravitreal
bevacizumab injections.
AB - PURPOSE: The purpose was to evaluate the pain associated with intravitreal
Ozurdex injections, and to compare it with that associated with intravitreal
bevacizumab injections. METHODS: The study included 57 eyes of 57 patients who
received an intravitreal Ozurdex injection at our institution. Pain was measured
by the visual analog scale (VAS). Additional parameters recorded included age,
sex, indication for the injection, number of previous Ozurdex injections in the
study eye, presence of diabetes mellitus, and lens status. Data were compared
with a 2 : 1 sex- and age-matched control group of 114 patients who received
intravitreal bevacizumab injections. RESULTS: Indications for injection included
diabetic macular edema (40.4%) and macular edema secondary to central and branch
retinal vein occlusion (28% and 31.6%, respectively). Pain scores on the VAS
ranged from 0 to 90, with a mean of 20.8+/-20.3. There was no significant
difference in pain between Ozurdex and bevacizumab injections. Pseudophakia was
correlated with increased pain in Ozurdex injections. CONCLUSIONS: This is the
first series evaluating the pain associated with intravitreal Ozurdex injections.
Despite a larger needle gauge and tunneled injection technique, intravitreal
injection of Ozurdex is not associated with increased pain compared with
bevacizumab. This finding may be a potential advantage for Ozurdex, and may serve
to improve patient compliance with future long-term treatment protocols.
PMID- 24924443
TI - Polypoidal choroidal vasculopathy and history of central serous
chorioretinopathy.
AB - PURPOSE: To evaluate the possible causative role of central serous
chorioretinopathy (CSC) in the development of exudative age-related macular
degeneration (AMD). METHODS: In a cross-sectional study at an institutional
setting, 150 control subjects who had senile cataract or nasolacrimal duct
stenosis and who were older than 50 years were enrolled. The background data for
89 patients with typical AMD (tAMD) and 138 patients with polypoidal choroidal
vasculopathy (PCV) were used for comparison. Their medical records were taken for
history of CSC, hypertension, systemic steroid use, and smoking. The fundus was
also evaluated for signs of atrophic retinal pigment epithelial (RPE) tract and
for focal photocoagulation scars in the macula. RESULTS: After adjusting for age,
gender, and history of hypertension, systemic steroid use, and smoking, history
of CSC was significantly more frequent (P<0.0001) in patients with PCV (15
patients, 10.9%) compared with patients with tAMD (2 patients, 2.2%) or control
subjects (0 patients). On fundoscopy, an atrophic RPE tract (seven patients) or a
focal photocoagulation scar (one patient) was observed only in patients with PCV
(eight patients, 5.8%), and the frequency was statistically significant compared
with that with tAMD (P=0.0143) or control subjects (P=0.0143). The laterality of
CSC and AMD involved the same eye in 9 of 10 patients among those who had
unilateral AMD and a reported unilateral CSC history. CONCLUSION: A history of
CSC may be a predisposing factor for the development of PCV in the Japanese
population.
PMID- 24924444
TI - Periocular implantation cysts: a late complication of ophthalmic surgery.
AB - PURPOSE: To describe the clinical characteristics for a group of patients
presenting with acquired implantation cysts following periocular surgery.
METHODS: Retrospective, non-comparative series of patients who underwent surgery
at Moorfields Eye Hospital for orbital implantation cysts, with review of
clinical case notes and imaging. RESULTS: Twenty-three patients (13 male; 57%)
were treated between 1997 and 2011 with cystic lesions that presented at an
average interval of 22.7 years after previous periocular surgery. The age at
presentation ranged from 14 to 76 years (mean 45.3; median 46 years). Five
patients had a history of prior enucleation or evisceration (with ball
implantation in 4/5 cases) and six had undergone orbital fracture repair with
either a silicone or titanium plate. Eight patients had prior strabismus surgery,
three had retinal detachment repair with either a scleral buckle or vitrectomy,
and one patient had repair of a conjunctival laceration in childhood. All but one
patient underwent either wide marsupialisation or complete excision of the
implantation cysts, with no record of recurrence. Visual acuity improved after
surgery in a half of the patients, whereas remaining unchanged in the remainder.
CONCLUSION: Orbital implantation cysts constitute a relatively rare complication
of periocular surgery and can present decades after the causative procedure.
PMID- 24924445
TI - Visual outcomes of vitrectomy for polypoidal choroidal vasculopathy-related
breakthrough vitreous haemorrhage.
AB - PURPOSE: To evaluate the long-term visual outcomes of pars plana vitrectomy (PPV)
for polypoidal choroidal vasculopathy (PCV)-associated vitreous haemorrhage (VH).
METHOD: We retrospectively reviewed the records of patients with PCV-related VH
who underwent PPV. The main outcome measures were best-corrected visual acuity
(BCVA) and fundus findings at 3 months postoperatively and final visit. RESULTS:
Seventeen eyes of 17 patients with massive subretinal haemorrhage (16.7+/-7.1
disc size of mean subretinal haemorrhage area) were enrolled. The mean
postoperative follow-up period was 25.2 months. Four eyes received intravitreal
bevacizumab injections, and three eyes underwent photodynamic therapy before the
onset of VH. The mean BCVA improved from logarithm of the minimum angle of
resolution (LogMAR) of 2.63+/-0.57 preoperatively to 1.43+/-0.82 at final visit
(P<0.001). Among the eyes with initial polyps at subfoveal or juxtafoveal area,
16.70% achieved final BCVA >=20/400 (LogMAR 1.3), whereas 87.50% of eyes with
initial polyps at extrafoveal area had final BCVA >=20/400 (Fisher's exact test,
P=0.026). CONCLUSIONS: PCV with massive subretinal haemorrhage is at risk for
breakthrough VH. The visual prognosis in eyes with PCV-related breakthrough VH is
variable after vitrectomy. Initial polyps at the extrafoveal area led to better
functional outcomes. Early vitrectomy may be beneficial for visual recovery after
PCV-related VH.
PMID- 24924447
TI - Colorectal cancer surgery remains effective with rising patient age.
AB - BACKGROUND: The incidence of colorectal cancer rises disproportionally in aging
persons. With a shift towards higher population age in general, an increasing
number of older patients require adequate treatment. This study aims to
investigate differences between young and elderly patients who undergo resection
for colorectal cancer, regarding clinical characteristics, morbidity, and
prognosis. METHODS: By retrospective analysis of 6 years (2007 to 2012) of a
prospectively documented database, a total of 636 patients were identified who
underwent oncological resection for colorectal cancer at our institution. Of this
total, all 569 patients with primary colorectal adenocarcinoma were included.
Four hundred ten patients were 74 years or younger and 159 were 75 years or
older. The median follow-up was 22 months. RESULTS: Older patients had
significantly more comorbidities (85 % vs. 56 %, p < 0.001) and a higher ASA
score (p < 0.001). The mean length of stay in the hospital was longer (24 vs. 20
days, p = 0.002), as was the length of postoperative intensive care stay (4 vs. 2
days, p = 0.003). However, elderly patients did not have significantly higher
rates of intraoperative complications or surgical morbidity. Tumor-specific 2
year survival was 83 +/- 4 % for the elderly and 87 +/- 2 % for the younger
patients, which was not significantly different (p = 0.90). CONCLUSIONS: Long
term outcome after oncologic resection for colorectal cancer does not differ
between elderly and younger patients. Age in general should not be considered as
a limiting factor for colorectal cancer surgery or tumor-specific prognosis.
PMID- 24924448
TI - Laparoscopy rather than colonoscopy for the diagnosis and treatment of fulminant
ischemic colitis.
PMID- 24924446
TI - Childhood glaucoma surgery in the 21st century.
AB - Most children with glaucoma will require surgery in their lifetime, often in
their childhood years. The surgical management of childhood glaucoma is however
challenging, largely because of its greater potential for failure and
complications as compared with surgery in adults. The available surgical
repertoire for childhood glaucoma has remained relatively unchanged for many
years with most progress owing to modifications to existing surgery. Although the
surgical approach to childhood glaucoma varies around the world, angle surgery
remains the preferred initial surgery for primary congenital glaucoma and a major
advance has been the concept of incising the whole of the angle (circumferential
trabeculotomy). Simple modifications to the trabeculectomy technique have been
shown to considerably minimise complications. Glaucoma drainage devices maintain
a vital role for certain types of glaucoma including those refractory to other
surgery. Cyclodestruction continues to have a role mainly for patients following
failed drainage/filtering surgery. Although the prognosis for childhood glaucoma
has improved significantly since the introduction of angle surgery, there is
still considerable progress to be made to ensure a sighted lifetime for children
with glaucoma all over the world. Collaborative approaches to researching and
delivering this care are required, and this paper highlights the need for more
high-quality prospective surgical trials in the management of the childhood
glaucoma.
PMID- 24924449
TI - Investigation of brain hemodynamic changes induced by active and passive
movements: a combined arterial spin labeling-BOLD fMRI study.
AB - PURPOSE: To assess the applicability of arterial spin labeling (ASL) in
comparison to blood-oxygenation-level-dependent (BOLD) contrast fMRI in detecting
brain activations elicited by active and passive hand movements. MATERIALS AND
METHODS: A block design for ASL and BOLD fMRI was applied in 8 healthy subjects
using active and passive hand tasks. Data analyses were performed at individual
and group level, comparing both the different movements and the performance of
the two techniques. RESULTS: Group analyses showed involvement of the same areas
during both tasks, as the contralateral sensorimotor cortex, supplementary motor
area, cerebellum, inferior parietal lobes, thalamus. ASL detected smaller
activation volumes than BOLD, but the areas had a high degree of colocalization.
Few significant differences (P < 0.05) were found when the two tasks were
compared for the number of activated voxels, coordinates of center of mass, and
CBF estimates. Considering together all the areas, the mean %BOLD change was 0.79
+/- 0.27 and 0.73 +/- 0.24 for the active and passive movements respectively,
while the mean %CBF changes were 34.1 +/- 8.9 and 27.1 +/- 14.8. CONCLUSION: Our
findings confirm passive and active tasks are strongly coupled, supporting the
importance of passive tasks as a diagnostic tool in the clinical setting. ASL
fMRI proved suitable for functional mapping and quantifying CBF changes, making
it a promising technique for patient cohort applications.
PMID- 24924450
TI - [Consensus standpoints from expert panel of Chinese Society of Cardiology on
AHA/ACC 2013 guideline on the treatment of blood cholesterol to reduce
atherosclerotic cardiovascular risk in adults].
PMID- 24924451
TI - [Echocardiography standardization training for cardiologist].
PMID- 24924452
TI - [Chinese expert consensus on management of dyslipidemia in postmenopausal women].
PMID- 24924453
TI - [Association between a new SNP in proximal promoter region of apolipoprotein M
and susceptibility of coronary artery diseases in Han Chinese population].
AB - OBJECTIVE: To investigate the association between genetic polymorphisms of
proximal promoter region of apolipoprotein M (apoM) gene and susceptibility of
coronary artery diseases (CAD) in Han Chinese population. METHODS: Two pairs of
primers were designed according to the sequence (GenBank accession nos.
EU030444.1) and the PCR products of apoM proximal promoter region were directly
sequenced. Two hundred and six patients [165 males, mean age (61.9 +/- 9.2) years
old] diagnosed with CAD according to the results of angiography (a lesion was
classed as being significant when stenosis was more than 50%) were enrolled in
the present study, 209 age- and gender-matched patients[157 males, mean age (60.4
+/- 9.1) years old] without CAD according to the results of angiography were
selected as the control group. The allelic frequencies and genotype distributions
of polymorphism in CAD and non-CAD patients were analyzed. Furthermore the wide
type and mutant promoter region of apoM were cloned into the luciferase
expression vector pGL3, respectively. Luciferase reporter assay was used to
detect the activity of apoM promoter. RESULTS: A new deletion mutation -724delC
in apoM promoter was found. The frequency of Del C allele was 8.0% in CAD
patients and only 4.1% in the non-CAD controls (OR = 2.054, 95%CI 1.125-3.749, P
= 0.017). The mean TC level was lower in groups with wide-type homozygotes
compared to the mutant allele carriers [ (6.04 +/- 0.90) mmol/L vs. (4.95 +/-
1.00)mmol/L, P < 0.01]. -724delC mutant showed obvious decreased luciferase
activities (1.13 +/- 0.25 vs. 2.11 +/- 0.15, P = 0.009). CONCLUSION: It is
reasonable to speculate that -724delC could affect the activity of the apoM
promoter and downregulate apoM expressions, therefore, influence the
susceptibility of CAD in this patient cohort.
PMID- 24924454
TI - [Low-density lipoprotein cholesterol target goal attainment rate and related
factors in patients with acute coronary syndrome after percutaneous coronary
intervention].
AB - OBJECTIVE: To observe the low-density lipoprotein cholesterol (LDL-C) target goal
attainment rate and related factors in patients with acute coronary syndrome
(ACS) after percutaneous coronary intervention (PCI). METHODS: From March 2011 to
March 2012, a total of 832 ACS patients were retrospectively evaluated in the
Cardiology Department of the First Affiliated Hospital of Dalian Medical
University. The target goal attainment rate after PCI was defined as the
percentage of patients reaching LDL-C goals recommended by The European Society
of Cardiology (ESC) and the European Atherosclerosis Society (EAS) guidelines for
the management of dyslipidemias (European guidelines) and Chinese guidelines on
prevention and treatment of dyslipidemias in adults and Chinese guidelines on
percutaneous coronary artery intervention treatment (Chinese guidelines).
Multivariate logistic regression analysis was used to analyze the related
factors. RESULTS: According to the European guidelines, the overall LDL-C goal
attainment rates at 1 month and 9 months after PCI were 25.2% (210/832) and 22.2%
(186/832), respectively. According to the Chinese guidelines, the overall LDL-C
goal attainment rates at 1 month and 9 months after PCI were 46.5% (387/832) and
42.3% (352/832), respectively. In accordance with the Chinese guidelines, the
multivariate logistic regression analysis showed that gender (females/males, OR =
0.650, 95%CI: 0.442-0.956), age ( >= 60 years/<60 years, OR = 0.628, 95%CI:0.464
0.850), hypertension (OR = 0.737, 95%CI: 0.547-0.994), prior myocardial
infarction history (OR = 0.696, 95%CI:0.511-0.948), prior PCI history (OR =
0.575, 95%CI: 0.339-0.974) and baseline LDL-C levels ( OR = 0.155, 95%CI: 0.096
0.252) were independent risk factors that affected LDL-C goal attainment at 1
month post PCI. Moreover, the following parameters were the independent risk
factors for LDL-C goal attainment at 9 months after PCI: prior myocardial
infarction history (OR = 0.706, 95%CI:0.521-0.958), prior PCI history (OR =
0.565, 95%CI:0.334-0.957) and baseline LDL-C levels (OR = 0.176, 95%CI:0.110
0.282). CONCLUSIONS: Currently, the LDL-C control rate is low in patients with
ACS after PCI. The cholesterol lowering therapy should be individually
strengthened for patients after PCI, especially in female patients, patients with
aged >= 60 years old, hypertension, prior myocardial infarction history, prior
PCI history and higher baseline LDL-C level.
PMID- 24924455
TI - [Association of serum high-density lipoprotein cholesterol level and risk of
recurrent ischemic stroke].
AB - OBJECTIVE: To observe the association between high-density lipoprotein
cholesterol (HDL-C) level and rate of ischemic stroke recurrence. METHODS: A
total of 1 059 patients with ischemic stroke were enrolled from 5 community
health centers and underwent baseline surveys during the period of January 2003
to December 2006. After baseline surveys, patients were followed up every 6
months until December 31, 2008. The new stroke events were recorded as the
primary study endpoint. The association between HDL-C, HDL-C/TC and ischemic
stroke recurrence was analyzed by Cox regression analysis. RESULTS: The
proportions of stroke patients with high ( >= 1.55 mmol/L), moderate (1.04-1.54
mmol/L) and low (<1.04 mmol/L) HDL-C levels were 15.58% (165/1 059) , 54.58%
(578/1 059) and 29.84% (316/1 059) respectively. During a mean of (3.21 +/- 1.04)
years follow-up, recurrent ischemic stroke was recorded in 137 patients. Compared
with HDL-C >= 1.40 mmol/L group, multivariate Cox regression analysis showed that
stroke recurrence rates of patients with HDL-C <= 1.00 mmol/L and ranged from
1.01 to 1.19 mmol/L increased by 0.944 (HR = 1.944, 95%CI:1.033-3.659, P = 0.039)
and 1.027 (HR = 2.027, 95%CI:1.116-3.682, P = 0.020)fold , respectively.
Recurrence rates increased 1.237 (HR = 2.237, 95%CI:1.208-4.144, P = 0.010) fold
in patients with HDL-C/TC <= 0.19 mmol/L compared to patients with HDL-C/TC >=
0.28 mmol/L. CONCLUSION: The risk of ischemic stroke recurrence increases with
decreasing HDL-C level or HDL-C/TC ratio.
PMID- 24924456
TI - [Predict value of monitoring changes of urinary neutrophil gelatinase-associated
lipocalin and kidney injury molecule-1 after coronary angiography and
percutaneous coronary intervention on early diagnosis of contrast-induced
nephropathy].
AB - OBJECTIVE: To explore the predict value of monitoring changes of urinary
neutrophil gelatinase-associated lipocalin (NGAL) and kidney injury molecule
1(KIM-1) after coronary angiography (CAG) and percutaneous coronary intervention
(PCI) on the early diagnosis of contrast-induced nephropathy(CIN). METHODS: One
hundred and sixty patients underwent CAG and PCI were enrolled in this
prospective study. There were 14 patients with CIN and non-CIN patients were
selected with the proportion of 2: 1 (n = 28).Serum creatinine (SCr) was measured
before and at 24, 48 and 72 h after the procedure. Urinary NGAL and KIM-1 were
measured before and at 4 and 24 h after the procedure. The relationship between
NGAL, KIM-1 and CIN were analyzed. Receiver operating characteristic (ROC) curve
and area under the ROC curve (AUC) were used to analyze the diagnostic
sensitivity and specificity of CIN by urinary NGAL and KIM-1. RESULTS: (1) The
values of urinary NGAL was significantly higher in the CIN group than in non-CIN
group at 4 h after CAG or PCI (P < 0.01); the value of urinary NGAL was
significantly increased from the baseline to 4 h after the procedure in the CIN
group (P < 0.01). (2) Uurinary KIM-1 levels of CIN group was significantly higher
than in non-CIN group at 24 h after the CAG or PCI (P < 0.01) ; the urinary KIM-1
levels was significantly increased from baseline to 24 h after the procedure in
the CIN group (P < 0.01). (3) Pearson correlation analysis showed that there was
a positive correlation between urinary NGAL and SCr (r = 0.814, P < 0.01) and
urinary KIM-1(r = 0.758, P < 0.01) in the CIN group. (4) ROC curve analysis
showed that the AUC for urinary NGAL was 0.897. When the cut-off value of NGAL
was set at 11.950 ug/L, the sensitivity and specificity for the diagnosis of CIN
were 92.9% and 71.4%, respectively. The AUC for urinary KIM-1 was 0.839. With the
cut-off value of urinary KIM-1 set as 4.595 ug/L, the diagnostic sensitivity and
specificity for CIN were 85.7% and 71.4%, respectively. CONCLUSIONS: Urinary NGAL
serves as a good biomarker for early diagnosis of CIN suggesting acute kidney
injury at 4 h post CAG and PCI. Urinary KIM-1 can reflect the change of renal
function after contrast injection earlier than SCr and may also be a good
biomarker for early diagnosis of CIN.
PMID- 24924457
TI - [Value of evaluating diastolic function with the single-beat E/(e'*s) obtained by
dual doppler echocardiograph in coronary heart disease patients with preserved
left ventricular systolic function].
AB - OBJECTIVE: To assess the value of E/(e'*s) in estimating left ventricular
diastolic dysfunction in patients with coronary heart disease by dual Doppler
echocardiograph. METHODS: Seventy-seven consecutive coronary heart disease
patients with preserved systolic function underwent echocardiographic study were
included. The E, e'and s were obtained by the dual Doppler echocardiography and
E/(e'*s), E/e' were calculated. All patients underwent left ventricular
catheterization to measure left ventricular end diastolic pressure (LVEDP). The
relationship between E/(e'*s), E/e' and LVEDP were analyzed. Patients were
divided into normal diastolic function (LVEDP < 12 mmHg, 1 mmHg = 0.133 kPa) and
diastolic dysfunction group (LVEDP >= 12 mmHg) . RESULTS: (1) Pearson correlation
analysis showed that both E/(e'*s) and E/e' correlated well with LVEDP (r = 0.68
and r = 0.79, both P < 0.01). (2)Using receiver operating characteristic
analysis, the optimal cut-off for E/(e'*s) was 1.2(sensitivity was 80%,
specificity was 77%,AUC was 0.85) and for E/e' was 9.2(sensitivity was 74%,
specificity was 81%,AUC was 0.87) to predict left ventricular diastolic
dysfunction. When combined cut-offs of E/(e'*s) >= 1.2 and E/e' >= 9.2, the
sensitivity and specificity of predicting left ventricular diastolic dysfunction
were 83% and 71% respectively, and AUC was 0.87. CONCLUSIONS: E/(e'*s) can
correctly reflect diastolic function status in patients with coronary artery
disease. However, combined use of E/(e'*s)and E/e' does not add the prediction
value on diastolic dysfunction in this patient cohort.
PMID- 24924458
TI - [A multi-center survey on the therapeutic status of patients with acute
myocardial infarction in Wuxi city of China].
AB - OBJECTIVE: To explore the characteristics and therapies of patients with acute
myocardial infarction (AMI) in Wuxi city, China. METHODS: A network was
established to obtain information of patients with AMI who were admitted to 9
designated hospitals between 2011 and 2012. A total of 1 714 patients were
enrolled (1 334 males, 754 smokers, 1 076 hypertension, 270 hyperlipidemia and
398 diabetes) including 1 410 patients with acute ST-segment elevation myocardial
infarction (STEMI) and 304 patients with acute non ST-segment elevation
myocardial infarction (NSTEMI). Patients' characteristics, therapies, the
incidence of major adverse cardiovascular events (MACEs) and all-cause mortality
were analyzed. RESULTS: (1) Medication therapy was as follows: antiplatelet
therapy 98.3% (1 685 cases) , beta-blockers 59.1% (1 013 cases) , ACEI or ARB
67.6% (1 159 cases) , statins 98.1% (1 682 cases) , and nitrates 71.1% (1 218
cases) . Of the patients, 7.1% (132 cases) received temporary pacemakers, 34.0%
(480 cases) with acute STEMI underwent reperfusion [direct PCI 18.4% (260 cases)
and thrombolysis 15.6% (220 cases)]. (2) According to the hospital admission
data, patients were divided into three groups: group A, transported to the
hospital by ambulance (n = 361); group B, transported to the hospital by private
vehicles (n = 1 318); and group C, AMI occurred in the hospital (n = 35). The
median time of AMI onset to physician contact of the 3 groups was 178 min, 368
min, and 9 min, respectively. The median time from AMI onset to the first ECG was
181 min, 379 min, and 10 min, respectively. The median time from AMI onset to
cardiology specialist consultation was 187 min, 431 min, and 69 min,
respectively. AMI onset-to-physician contact, AMI onset-to-first ECG, and AMI
onset-to-specialized treatment time was the shortest in group C, followed by
group A and group B. For patients with STEMI underwent reperfusion therapy, the
median AMI onset-to-reperfusion therapy time was significantly shorter in group A
patients than group B patients [thrombolysis group: 224(171, 514) min vs. 378
(158, 785) min, PCI group: 318 (154, 674) min vs. 489 (143, 816) min, all P <
0.05]. (3) The total incidence of MACEs was 16.3% (279/1 714), the all-cause in
hospital mortality rate was 13.1% (224/1 714). According to the AMI onset-to
physician contact, patients were divided into 4 groups: <3 h, 3-6 h, 6-12 h, and
>12 h. The incidence of MACEs [4.4% (23/517), 13.3% (60/451), 19.1% (77/404) and
34.8% (119/342),chi(2) = 114.36, P < 0.01] and all-cause in-hospital mortality
rate [4.1% (21/517) , 10.4% (47/451), 18.6% (75/404), 23.7% (81/342), chi(2) =
84.36, P < 0.01] increased in proportion to the time of AMI onset-to-physician
contact. Among STEMI patients, the incidence of MACEs [5.8% (15/260) , 12.3%
(27/220) , 20.9% (194/930) ,chi(2) = 39.93, P < 0.01] and all-cause in-hospital
mortality [1.5% (4/260) , 10.0% (22/220) , 18.2% (170/930) ,chi(2) = 50.90, P <
0.01] was the lowest in the primary PCI group, followed by thrombolysis group and
was the highest in the early conservative treatment group. CONCLUSIONS: Guideline
is well followed in terms of drug treatments of AMI in this cohort, but only a
small proportion of AMI patients in Wuxi received reperfusion therapy. There is a
considerable out-of-hospital time delay for AMI patients in this cohort which is
shorter in group A than in group B. All-cause in-hospital mortality and MACEs is
the lowest in AMI patients underwent primary PCI.
PMID- 24924459
TI - [Prevalence of symptomatic orthostatic hypotension and orthostatic blood pressure
changes].
AB - OBJECTIVE: To analyze the prevalence and orthostatic blood pressure changes in
subjects with symptomatic orthostatic hypotension (OH), and to observe the
relation between symptoms and orthostatic blood pressure change in this
population. METHODS: A total of 193 subjects who consulted physicians due to OH
related symptoms were selected, and divided into three groups: young (n = 37),
middle-aged (n = 66) and elder (n = 90). Height, body weight, waist
circumference, hip circumference and resting heart rate were measured. Symptom
scores of every subject were obtained. CAVI and ABI were measured. Blood pressure
including recumbent position, orthostatic systolic and diastolic blood pressure
was measured at the morning and at the afternoon on two separate examination days
with at least one week interval. After that, orthostatic changes in systolic
blood pressure (OCs) and orthostatic changes in diastolic blood pressure (OCd)
were calculated. RESULTS: OH prevalence was 32.6% in this cohort. The prevalence
of three groups was similar [young: 32.4%, middle-aged: 25.8%, and elderly:
37.8%, respectively (P > 0.05)]. Only 9 cases (14.29% of confirmed OH cases)
reached the OH diagnostic criteria with equal or more than 2 times orthostatic
blood pressure measurements. OH was diagnosed in 63 patients during the 4 times
orthostatic blood pressure check, of which 19.5% to 57.14% cases were diagnosed
with single orthostatic blood pressure check. Age, weight, body mass index, waist
to-hip ratio, smoking, drinking habit, sex, coronary heart disease, hypertension,
Parkinson's disease, stroke history, antihypertensive drug use were similar
between OH group and non-OH group. Height, waist circumference, hip
circumference, and resting heart rate were significantly lower in OH group than
in non-OH group (P < 0.05). The values of the factors in OH group were lower.
CAVI was 8.45 +/- 0.19 in non-OH group and 8.37 +/- 0.27 in OH group (P > 0.05),
ABI was significantly lower in OH group than in non-OH group (1.004 +/- 0.013 vs.
1.051 +/- 0.009, P < 0.01). CONCLUSION: The prevalence of OH in people with
related symptoms is high. Repeated orthostatic blood pressure measurements can
improve OH detection rate.
PMID- 24924460
TI - [Adenoviral short hairpin RNA targeting phosphodiesterase 5 attenuates cardiac
remodeling and cardiac dysfunction following myocardial infarction in mice].
AB - OBJECTIVE: To observe the impact of PDE5shRNA on cardiac remodeling and heart
function following myocardial infarction in mice. METHODS: Myocardial infarction
(MI) was induced in mice by left coronary artery ligation. Mice were randomly
assigned to sham group (n = 6), PDE5shRNA group (n = 12), common adenovirus group
(n = 15) and DMEM group (n = 8). Four weeks post-MI, the survival rate was
evaluated. Cardiac function was examined by echocardiography. HE staining and
Masson staining were used to evaluate the myocardial infarction size and
fibrosis. The number of blood vessels was evaluated by immunohistochemistry, PDE5
protein expression in the left ventricular was detected using Western blot, level
of cGMP or PKG activity in the left ventricle was evaluated with ELISA. RESULTS:
Four weeks post-MI, all mice survived in the sham group, 3(37%) mice died in the
DMEM group, 1 (8%) died in the PDE5shRNA group and 5 died in the common
adenovirus group (33%). Infarct size was significantly reduced in PDE5shRNA group
compared with the common adenovirus group and DMEM group [(25.4 +/- 2.9)% vs.
(42.0 +/- 3.2)% and (43.4 +/- 2.6) %, P < 0.05]. Cardiac function was
significantly improved in PDE5shRNA group compared to common adenovirus group and
DMEM group[LVFS: (21.1 +/- 3.7)% vs. (14.2 +/- 2.9)% and (14.22 +/- 2.91)%, all P
< 0.05; LVEF: (48.2 +/- 7.1)% vs. (34.6 +/- 6.2)% and (38.1 +/- 2.8)%, all P <
0.05; LVESD: (3.87 +/- 0.45) mm vs.(4.91 +/- 0.62) mm and (4.63 +/- 0.37) mm, all
P < 0.05]. The blood vessel density was also higher in PDE5shRNA group compared
with common adenovirus group (infarct area:14.3 +/- 2.0 vs. 6.6 +/- 1.2, P <
0.05; periinfarct area: 23.6 +/- 2.1 vs. 13.7 +/- 2.4, P < 0.05). Compared with
common adenovirus group, level of PDE5 was significantly downregulated and level
of cGMP or PKG was significantly upregulated in PDE5shRNA group (all P < 0.05).
CONCLUSIONS: Present study suggests PDE5shRNA improves cardiac function and
attenuates cardiac remodeling through reducing infarction size and cardiac
fibrosis and these beneficial effects are possibly mediated by activating
cGMP/PKG signaling pathway.
PMID- 24924461
TI - [Salidroside attenuates high glucose-induced apoptosis in human umbilical vein
endothelial cells via activating the Ca(2)+/CaM/CAMKIIdelta/eNOS pathway].
AB - OBJECTIVE: Endothelial oxidative stress plays an important role in the
pathogenesis of cardiovascular disease. Salidroside, a phenylpropanoid glycoside
isolated from Rhodiola rosea L, could exert potent antioxidant properties. In
this study, we investigated the protective effects, and related mechanism of
salidroside against high glucose (33 mmol/L)-induced cell damage in human
umbilical vein endothelial cells (HUVECs). METHODS: HUVECs were cultured in
normal glucose (5.5 mmol/L), high glucose (33 mmol/L), high salidroside (10
ug/ml+33 mmol/L glucose), moderate salidroside (4 ug/ml+33 mmol/L glucose), low
salidroside (1 ug/ml+33 mmol/L glucose) and very low salidroside (0.1 ug/ml+33
mmol/L glucose) for 48 h. Cell viability, the level of malondialdehyde (MDA) ,
reactive oxygen species (ROS) , nitric oxide (NO) , [Ca(2)+]i, calmodulin (CaM) ,
calmodulin-dependent kinase (CaMK) IIdelta, endothelial nitric oxide synthase
(eNOS) , active caspase-3 protein expression and eNOS ser 1177 phosphorylation of
HUVECs post various treatments were measured. The cell viability was assessed
with MTT assay, and the level of ROS, and [Ca(2)+]i was analyzed using flow
cytometry. Nitric oxide and MDA was detected by Nitric Oxide Assay Kit and MDA
Assay Kit. Western blot was performed to detect the protein expressions of eNOS,
active caspase-3 and eNOS ser 1177 phosphorylation. RESULTS: Comparing to the
normal glucose group, high glucose treatment increased the cell damage, the level
of NO and [Ca(2)+]i (P < 0.05) , downregulated CAMKIIdelta, eNOS expression and
eNOS ser 1177 phosphorylation (P < 0.05), elevated the concentration of MDA and
ROS (P < 0.05) in HUVECs. Salidroside treatment significantly attenuated high
glucose-induce cell damage on cultured HUVECs in a dose-dependent manner.
Comparing to the high glucose group, 10 ug/ml Salidroside significantly increased
cell viability (P < 0.05) , inhibited high glucose-induced release of MDA ,
generation of ROS, active caspase 3 protein expression (P < 0.05) , upregulated
the release of nitric oxide and [Ca(2)+]i by HUVECs (P < 0.05) , enhanced CaM,
CAMKIIdelta, eNOS expression and eNOS ser 1177 phosphorylation in HUVECs (P <
0.05) . CONCLUSIONS: These findings suggeste that salidroside could attenuate
high glucose induced apoptosis in HUVEC, partly through activating the
Ca(2)+/CaM/CAMKIIdelta/eNOS pathway.
PMID- 24924462
TI - [Meta-analysis of safety and efficacy on exercise rehabilitation in coronary
heart disease patients post revascularization procedure].
AB - OBJECTIVE: To evaluate the safety and efficacy of exercise rehabilitation in
coronary heart disease patients post reascularization procedure. METHOD: We
searched the Cochrane Central Register of Controlled Trials (CCRCT), Pubmed,
Wanfang, CNKI, CBM and VIP database for randomized controlled trials (RCTs) on
exercise rehabilitation for patients with coronary artery disease post
percutaneous coronary intervention revascularization or coronary artery bypass
grafting. Quality assessment and data collection were conducted by two reviewers
independently. The data were analyzed by Review Manager 5.0. RESULTS: A total of
3 474 patients from 16 RCTs were included in this meta-analysis and patients were
divided into exercise rehabilitation group (n = 1 425) and control group (n = 2
049). Meta-analysis results showed mortality rate was similar between the two
groups (OR = 0.81, 95%CI 0.38-1.69, P > 0.05) and the incidence of major
cardiovascular events rate (OR = 0.40, 95%CI 0.24-0.65, P < 0.01) and heart rate
[mean difference (MD) = -2.82, 95%CI -4.72--0.92, P < 0.01] were significantly
lower while LVEF (MD = 2.24, 95%CI 0.18-4.31, P < 0.05), the exercise metabolic
equivalent (MD = 0.94, 95%CI 0.43-1.44, P < 0.01) , anaerobic threshold (MD =
1.83, 95%CI 0.67-3.00, P < 0.01) , and maximum oxygen consumption (MD = 3.22,
95%CI 2.42-4.03, P < 0.01) were significantly higher in exercise rehabilitation
group than in control group. CONCLUSION: Exercise rehabilitation does not
increase the risk of mortality in patients of coronary heart disease after
revascularization and can effectively reduce major cardiovascular events.
PMID- 24924463
TI - [A case of Cryoballoon ablation for persistent atrial fibrillation].
PMID- 24924464
TI - [Primary right coronary angioplasty and stent implantation in an acute anterior
myocardial infarction patient with dextrocardia and situs inversus].
PMID- 24924465
TI - [Noninvasive imaging evaluation of aortico-left ventricular tunnel: a case
report].
PMID- 24924466
TI - [Prospective and value of cardiopulmonary exercise testing in clinical
cardiovascular medicine].
PMID- 24924467
TI - [Research update on the association between telocytes distribution with blood
vessels on various tissues and the biological properties of telocytes].
PMID- 24924468
TI - [Current epidemic status and intervention effect of common cardiovascular
diseases in China].
PMID- 24924469
TI - Evaluation of BSP expression and apoptosis in the periodontal ligament during
orthodontic relapse: a preliminary study.
AB - OBJECTIVE: To examine the expression of bone sialoprotein (BSP) and apoptosis in
an in vivo orthodontic relapse model. MATERIALS AND METHODS: Male mice (10-12
weeks old), either transgenic [green fluorescent protein (GFP) driven by the BSP
promoter] or wild type, were used in this study. To achieve orthodontic tooth
movement (OTM), maxillary right first molars were moved mesially using closed
coil springs. Animals were divided into an OTM group (14 days continuous
orthodontic force - 11 animals) or Relapse group (10 days of force application
followed by 4 days of relapse - 8 animals). The control group was comprised of
the contralateral maxillary molars. The periodontal ligament (PDL) was analyzed
in areas of compression and tension for transgenic expression, osteoclast
localization, and the presence of apoptotic cells. RESULTS: There was a
significant decrease in GFP-labeled cells on the compression and tension sides of
the PDL in the OTM group compared with control. In the relapse group, GFP-labeled
cells were significantly decreased only on the old compression side. Osteoclasts
were localized on the compression side of the OTM group, whereas in the Relapse
group, they were present on both sides. PDL apoptosis significantly increased on
the compression side in OTM and Relapse groups. CONCLUSION: Both OTM and Relapse
groups exhibited a decreased number of GFP-labeled cells in areas of compression
and tension. There was significant PDL apoptosis in regions under compressive
forces following OTM and to a lesser extent following relapse.
PMID- 24924470
TI - Preliminary experience with laparoscopic repair of associated inguinal and
umbilical hernias in children.
AB - PURPOSE: The authors report their preliminary experience in laparoscopic repair
of associated inguinal and umbilical hernias in children. METHODS: Twenty-six
patients affected by the association of inguinal and umbilical hernia with an
umbilical defect larger than 5 mm underwent a laparoscopic procedure. A 5-mm
trocar was placed through the umbilical defect for the optic. To fix the trocar
to avoid loss of carboperitoneum, we fashioned and tightened a purse-string non
absorbable suture with a sliding knot around the defect. In this manner, we
ensured the trocar, fixing it and avoiding any loss of CO2, proceeding safely to
the laparoscopic IH repair, by means of two additional 3 mm operative trocars. At
the end of the inguinal herniorrhaphy, the previously fashioned purse-string
suture was tightened to repair the umbilical defect. RESULTS: The mean operative
time for the repair of associated inguinal and umbilical hernias was 30.1 +/- 7.4
min in cases of unilateral inguinal hernia and 39.5 +/- 10.6 for bilateral
inguinal hernia. Follow-up ranged from 8 to 32 months. Neither intra- nor post
operative complications nor recurrences were seen. CONCLUSION: This small sample
suggests that this simple method is safe, effective and might be useful for
pediatric surgeons performing laparoscopic repair for inguinal hernia in presence
of an associated UH with a statistically significant decrease of operative time.
PMID- 24924471
TI - Bacteria in hernia sac: an important risk fact for surgical site infection after
incarcerated hernia repair.
AB - BACKGROUND: Although some recent reports have proven that incarcerated and/or
strangulated hernia is not contraindication to mesh repair, there is still a
common concern owing to increased rate of postoperative surgical site infection
(SSI). The aim of this clinical study was to evaluate factors that increase the
risk of SSI after incarcerated hernia repair, and to identify the pathogens
related to SSI. METHODS: A retrospective analysis was performed on data collected
prospectively over a 4-year interval from January 2007 to December 2011. A total
of 121 patients who underwent emergency surgery for incarcerated hernias were
analyzed. RESULTS: 107 hernias were repaired using mesh versus 14 primary suture
repairs. SSIs were observed in 9 of the 121 patients. Of 15 preoperative and
intraoperative variables studied, duration of symptoms, diabetes mellitus,
present of ileus, bowel resection or mesh repair performed, bacteria present in
hernia sac and cloudy fluid in hernia sac were found to be significant factors
predicting SSI. On multivariate analysis only bowel resection, duration of
symptoms and bacteria present in hernia sac were independent variables. The most
common pathogen found in hernia sac and cultured from wound drainage or swab was
Escherichia coli. The strains of bacteria cultured from wound drainage or swab
were same as those cultured from fluid in hernia sac in six of nine patients.
CONCLUSION: Gut-sourced E. coli is an important common organisms associated with
SSI after incarcerated hernia repair. Prosthetic mesh could be used when no bowel
resection is performed, duration of symptoms less than 24 h and fluid hernia sac
is clear.
PMID- 24924472
TI - Discourse Goals Affect the Process and Product of Nominal Metaphor Production.
AB - Although a large number of studies have addressed metaphor comprehension, only a
few attempts have so far been made at exploring the process of metaphor
production. Therefore, in this paper, we address the problem of how people
generate nominal metaphors or identify an apt vehicle for a given topic of
nominal metaphors. Specifically, we examine how the process and product of
metaphor production differ between two discourse goals of metaphor, namely an
explanatory purpose (e.g., to clarify) and a literary purpose (e.g., to
aesthetically pleasing). Experiment 1 analyzed the metaphors (or vehicles)
generated in the metaphor production task, and demonstrated that people
identified more prototypical exemplars of the property to be attributed to the
topic as a vehicle for explanatory metaphors than for literary metaphors. In
addition, it was found that metaphors generated for the explanatory purpose were
more apt and conventional, and had high topic-vehicle similarity than those
generated for the literary purpose, while metaphors generated for the literary
purpose were more familiar and imageable than those for the explanatory purpose.
Experiment 2 used a priming paradigm to assess the online availability of
prototypical and less prototypical members of the topic property during metaphor
production. The result was that both prototypical and less prototypical members
were activated in producing literary metaphors, while neither members were
activated in the production of explanatory metaphors. These findings indicate
that the process of metaphor production is affected by discourse goals of
metaphor; less prototypical members of the category are searched for a vehicle
during the production of literary metaphors, and thus literary metaphors are
generated with less prototypical vehicles than explanatory metaphors.
PMID- 24924473
TI - Increased expression of phospho-acetyl-CoA carboxylase protein is an independent
prognostic factor for human gastric cancer without lymph node metastasis.
AB - Upregulation of acetyl-CoA carboxylase (ACC), as a rate-limiting enzyme of fatty
acid synthesis,has been recognized in multiple human cancers, implicating a
critical role in cancer development and progression; yet, its role in gastric
cancer still remains unclear. In the present study, we detected ACC and
phosphorylated form of ACC (pACC) expression in gastric cancers and explored its
clinical significance. Tissue microarray blocks containing primary gastric cancer
and adjacent normal mucosa specimens obtained from 1,072 Chinese patients were
used for the detection of ACC and pACC expression by immunohistochemistry.
Gastric cancer cell lines were treated by metformin, and pACC was measured by
Western blotting. ACC overexpression was observed in all the tumor specimens.
High expression of pACC was found in 630 (58.8 %) of the 1,072 primary tumors and
in 237 (66.6 %) of the 356 primary tumors without lymph node metastasis.
Absent/low expression of pACC significantly correlated with advanced T stage (P <
0.001), tumor size (P = 0.010), lymph node metastasis (P < 0.001), advanced
disease stage (P < 0.001), and poor histological differentiation (P = 0.014) in
1,072 primary tumors, and with advanced T stage (P = 0.015), tumor size (P =
0.017), and poor histological differentiation (P = 0.001) in 356 tumors without
lymph node metastasis. Kaplan-Meier analysis showed that high expression of pACC
is strongly related to better survival rates in all gastric cancer patients (P =
0.006). Cox regression analysis revealed that pACC is an independent prognostic
factor only in patients without lymph node metastasis (P = 0.016). Metformin
treatment leaded to increased expression of pACC, which, in turn, resulted in the
reduction of cell proliferation and colony formation of gastric cancer cells (P <
0.05). Increased activation of ACC is frequent in human gastric cancer, and
downregulation of pACC is an important prognostic factor, suggesting that
ACC/pACC might be a potential target for cancer intervention.
PMID- 24924474
TI - Increased CD59 protein expression is associated with the outcome of patients with
diffuse large B-cell lymphoma treated with R-CHOP.
AB - The objective was to investigate the expression and prognostic value of CD59
expression in patients with diffuse large B-cell lymphoma (DLBCL) who underwent
rituximab-cyclophosphamide, adriamycin, vincristine, and prednisone (R-CHOP). The
immunohistochemical expressions of CD59 in 186 well-characterized DLBCL patients
were evaluated using tissue microarrays and then were related to known tumor- and
patient-related variables and to survival. The results show that CD59 expressions
were not statistically different between the germinal center B-cell-like-type and
the activated B-cell-like-type. We also analyzed the relationships of CD59
expression with overall survival (OS) and progression-free survival (PFS) in
DLBCL patients who were uniformly treated with R-CHOP. The high expression of
CD59 was correlated with poor OS and PFS compared with the low-expression CD59.
Our findings indicate that the CD59 level at onset is an independent predictor of
the prognosis of DLBCL patients treated with R-CHOP.
PMID- 24924475
TI - Highly diastereodivergent synthesis of tetrasubstituted cyclohexanes catalyzed by
modularly designed organocatalysts.
AB - A highly diastereodivergent synthesis of tetrasubstituted cyclohexanes has been
achieved using modularly designed organocatalysts (MDOs) which are self-assembled
in situ from amino acids and cinchona alkaloid derivatives. Diastereodivergence
is realized through controlling the stereoselectivity of the individual steps of
a tandem Michael/Michael reaction. Up to 8 of the 16 possible stereoisomers have
been successfully obtained in high stereoselectivities using MDOs for the tandem
reaction and an ensuing epimerization. The method was used in the
enantioselective synthesis of the natural products (-)-alpha- and beta-lycoranes.
PMID- 24924476
TI - [Eight and a half plus syndrome as a first presentation of multiple sclerosis].
PMID- 24924477
TI - The burden of imported malaria in Portugal 2003 to 2012.
AB - Increasing international travel and expatriation to sub-Saharan countries where
malaria is endemic has raised public health concerns about the burden of imported
malaria cases in Portugal. From 2009 to 2012, there was a 60% increase in malaria
hospitalizations, contradicting the declining trend observed since 2003. Older
age was associated with longer length of stay in hospital and higher lethality.
PMID- 24924478
TI - Electron donation to an archaeal cytochrome P450 is enhanced by PCNA-mediated
selective complex formation with foreign redox proteins.
AB - Cytochrome P450 monooxygenases (P450s) are environmentally friendly biocatalysts
that catalyze diverse chemical reactions using molecular oxygen under mild
reaction conditions. P450s are activated upon receiving electrons from specific
redox partner proteins, although the redox partners for most bacterial/archaeal
P450s are not yet identified. Thus, it is important to establish a variety of
efficient and versatile electron transfer systems from NAD(P)H to P450s for the
design of biocatalysts. Sulfolobus solfataricus possesses a heterotrimeric
proliferating cell nuclear antigen (PCNA). Fusion of the PCNA subunits to S.
acidocaldarius P450 (CYP119) and the Pseudomonas putida redox proteins,
putidaredoxin (PdX) and putidaredoxin reductase (PdR), yielded a selective
protein complex containing one molecule each of the three proteins. The PCNA
mediated heterotrimerization of CYP119, PdX, and PdR enhanced the CYP119
activity, likely as a result of high local concentrations of the two redox
proteins toward CYP119. Therefore, the PCNA-mediated formation of the complex
containing PdX and PdR might be applicable for harnessing the utility of P450s
whose redox partners are not yet identified.
PMID- 24924480
TI - Drawing circuits with carbon nanotubes: scratch-induced graphoepitaxial growth of
carbon nanotubes on amorphous silicon oxide substrates.
AB - Controlling the orientations of nanomaterials on arbitrary substrates is crucial
for the development of practical applications based on such materials. The
aligned epitaxial growth of single-walled carbon nanotubes (SWNTs) on specific
crystallographic planes in single crystalline sapphire or quartz has been
demonstrated; however, these substrates are unsuitable for large scale electronic
device applications and tend to be quite expensive. Here, we report a scalable
method based on graphoepitaxy for the aligned growth of SWNTs on conventional
SiO2/Si substrates. The "scratches" generated by polishing were found to feature
altered atomic organizations that are similar to the atomic alignments found in
vicinal crystalline substrates. The linear and circular scratch lines could
promote the oriented growth of SWNTs through the chemical interactions between
the C atoms in SWNT and the Si adatoms in the scratches. The method presented has
the potential to be used to prepare complex geometrical patterns of SWNTs by
'drawing' circuits using SWNTs without the need for state-of-the-art equipment or
complicated lithographic processes.
PMID- 24924479
TI - Skin pigmentation, sun exposure and vitamin D levels in children of the Avon
Longitudinal Study of Parents and Children.
AB - BACKGROUND: It has been hypothesised that light skin pigmentation has arisen to
ensure adequate levels of vitamin D as human populations moved out of Africa and
into higher latitudes. Vitamin D, which is primarily obtained through exposure to
sunlight (specifically ultraviolet radiation B (UVR-B)), has been inversely
associated with several complex diseases. Greater sun exposure, on the other
hand, is a well-known cause of skin cancer. The potential of UVR to be beneficial
for some health outcomes but detrimental for others has prompted a public health
debate on how to balance the positive and negative consequences of sun exposure.
In this study we aimed to determine the validity of the evolutionary hypothesis
linking lighter skin with higher vitamin D concentrations in a European
population. Additionally, we aimed to examine the influence of pigmentation on
personal behaviour towards sunlight exposure and the effects of this behaviour on
vitamin D. METHODS: We combined genetic variants strongly associated with skin
colour, tanning or freckling to create genetic scores for each of these
phenotypes. We examined the association of the scores with pigmentary traits, sun
exposure and serum 25-hydroxyvitamin D (25(OH)D) levels among children of the
Avon Longitudinal Study of Parents and Children (ALSPAC, N = 661 to 5649).
RESULTS: We found that fairer-skinned children, i.e. those with higher
pigmentation score values, had higher levels of 25(OH)D (0.6 nmol/l; 95% CI 0.2,
1.0; per unit increase in skin colour score; N = 5649). These children also used
more protection against the damaging effects of UVR. CONCLUSIONS: In this
population taking protective measures against sunburn and skin cancer does not
seem to remove the positive effect that having a less pigmented skin has on
vitamin D production. Our findings require further replication as skin
pigmentation showed only a small effect on circulating 25(OH)D.
PMID- 24924481
TI - Field evaluation of four widely used mosquito traps in Central Europe.
AB - BACKGROUND: To monitor adult mosquitoes several trapping devices are available.
These are differently constructed and use various mechanisms for mosquito
attraction, thus resulting in different trapping sensitivities and efficacies for
the various species. Mosquito monitoring and surveillance programs in Europe use
various types of mosquito traps, but only a few comparisons have been conducted
so far. This study compared the performance of four commercial trapping devices,
which are commonly used in Europe. METHODS: Four different traps, Biogents
Sentinel trap (BG trap), Heavy Duty Encephalitis Vector Survey trap (EVS trap),
Centres for Disease Control miniature light trap (CDC trap) and Mosquito Magnet
Patriot Mosquito trap (MM trap) were compared in a 4 * 4 latin square study. In
the years 2012 and 2013, more than seventy 24-hour trap comparisons were
conducted at ten different locations in northern and southern Germany,
representing urban, forest and floodplain biotopes. RESULTS: Per 24-hour trapping
period, the BG trap caught the widest range of mosquito species, the highest
number of individuals of the genus Culex as well as the highest number of
individuals of the species Ochlerotatus cantans, Aedes cinereus/geminus, Oc.
communis and Culex pipiens/torrentium. The CDC trap revealed best performance for
Aedes vexans, whereas the MM trap was most efficient for mosquitoes of the genus
Anopheles and the species Oc. geniculatus. The EVS trap did not catch more
individuals of any genus or species compared to the other three trapping devices.
The BG trap caught the highest number of individuals per trapping period in urban
environments as well as in wet forest, while the CDC trap caught the highest
number of individuals in the floodplain biotopes. Additionally, the BG trap was
most efficient for the number of mosquito species in urban locations. CONCLUSION:
The BG trap showed a significantly better or similar performance compared to the
CDC, EVS or MM trap with regard to trapping efficacy for most common mosquito
species in Germany, including diversity of mosquito species and number of
mosquitoes per trapping period. Thus, the BG trap is probably the best solution
for general monitoring or surveillance programs of adult mosquitoes in Central
Europe.
PMID- 24924482
TI - Serum antibody to Porphyromonas gingivalis in metabolic syndrome among an older
Japanese population.
AB - BACKGROUND AND OBJECTIVE: Potentially significant associations between metabolic
syndrome (MetS) and periodontal disease have been reported in recent studies;
however, there is a dearth of literature regarding the relationship of MetS with
serum antibody levels to periodontal pathogens. The aim of this cross-sectional
study was to investigate the association between MetS and serum antibody to the
periodontal pathogen Porphyromonas gingivalis (P. gingivalis) in 216 Japanese
individuals aged 79 years. MATERIALS AND METHODS: Serum antibody levels to P.
gingivalis were measured by enzyme-linked immunosorbent assay. An elevated serum
antibody response was defined as the upper quartile and was considered as the
outcome variable. A multivariable logistic regression model was used to evaluate
the association of MetS defined by the modified National Cholesterol Education
Program Adult Treatment Panel III criteria with an elevated antibody status.
Adjustments for gender, income, education, smoking status, dental-care
utilisation patterns and brushing frequency were considered. RESULTS: The
prevalence of MetS was 22.2% (n = 48). Study participants with MetS were 2.9
times more likely to have an elevated serum antibody to P. gingivalis (adjusted
odds ratio = 2.91, 95% confidence interval = 1.24-6.85) after simultaneous
adjustment for other covariates. CONCLUSION: Our findings suggest an independent
relationship between MetS and serum antibody levels to P. gingivalis in the
Japanese elderly. Additional longitudinal epidemiologic studies with larger, more
diversified samples and more complete information are needed to substantiate our
findings.
PMID- 24924483
TI - Autobiographical memory and psychological distress in a sample of upper-limb
amputees.
AB - Amputation is a traumatic and life-changing event that can take years to adjust
to. The present study (a) examines psychological adjustment in a specific trauma
exposed sample, (b) compares the phenomenology (e.g., vividness) of amputation
related memories to more recent memories, and (c) tests whether memory
phenomenology is associated with psychological distress. A total of 24 upper-limb
amputees recalled two autobiographical memories--an amputation-related memory and
a recent memory--and rated the phenomenological qualities of each memory,
including Vividness, Coherence, Emotional Intensity, Visual Perspective, and
Distancing. Participants also completed self-rated measures of psychological
distress and personality. The sample was generally well adjusted; participants
showed no relevant symptoms of anxiety and depression, and personality scores
were similar to the general population. There were no significant differences in
phenomenology between the two types of memories recalled. Even though amputation
related memories were, on average, almost 20 years older than the recent
memories, they retained their intense phenomenology. Despite the intensity of the
memory, none of the phenomenological dimensions were associated with
psychological distress. It is worth to further define which dimensions of
phenomenology characterize memories of traumatic events, and their association
with individuals' psychological reactions.
PMID- 24924484
TI - Frontloading and intensity of skilled home health visits: a state of the science.
AB - Frontloading of skilled nursing visits is one way home health providers have
attempted to reduce hospital readmissions among skilled home health patients.
Upon review of the frontloading evidence, visit intensity emerged as being
closely related. This state of the science presents a critique and synthesis of
the published empirical evidence related to frontloading and visit intensity.
OVID/Medline, PubMed, and Scopus were searched. Seven studies were eligible for
inclusion. Further research is required to define frontloading and visit
intensity, identify patients most likely to benefit, and to provide a better
understanding of how home health agencies can best implement these strategies.
PMID- 24924485
TI - Height, social comparison, and paranoia: an immersive virtual reality
experimental study.
AB - Mistrust of others may build upon perceptions of the self as vulnerable,
consistent with an association of paranoia with perceived lower social rank.
Height is a marker of social status and authority. Therefore we tested the effect
of manipulating height, as a proxy for social rank, on paranoia. Height was
manipulated within an immersive virtual reality simulation. Sixty females who
reported paranoia experienced a virtual reality train ride twice: at their normal
and reduced height. Paranoia and social comparison were assessed. Reducing a
person's height resulted in more negative views of the self in comparison with
other people and increased levels of paranoia. The increase in paranoia was fully
mediated by changes in social comparison. The study provides the first
demonstration that reducing height in a social situation increases the occurrence
of paranoia. The findings indicate that negative social comparison is a cause of
mistrust.
PMID- 24924486
TI - Aspirin and serum estrogens in postmenopausal women: a randomized controlled
clinical trial.
AB - Epidemiologic studies suggest a reduced risk of breast cancer among women who use
aspirin. A plausible mechanism is through aspirin's effect on estrogens, possibly
mediated through interference with estrogen synthesis via reduction in
inflammation, which is increased in adipose tissues, including breast. In a
randomized placebo-controlled trial, we evaluated the effects of six-month
administration of 325 mg/day aspirin on serum estrogens (estradiol, estrone, free
estradiol, and bioavailable estradiol) and sex hormone-binding globulin (SHBG) in
144 healthy postmenopausal women. Eligible participants, recruited 2005-2007,
were not taking nonsteroidal anti-inflammatory medication, including aspirin >2
times/week or menopausal hormone therapy, and had a Breast Imaging-Reporting and
Data System (BI-RADS) mammographic density classification of 2, 3, or 4. The
intervention effects (intent-to-treat) were evaluated by differences in the
geometric mean outcome changes at six months between aspirin and placebo groups
using generalized estimating equations (GEE). Participants were a mean 59.4 (SD,
5.4) years of age, with a mean body mass index (BMI) of 26.4 (SD, 5.4) kg/m(2).
Between baseline and six months, none of the serum estrogens or SHBG changed
substantially and there were no differences between groups. Stratifying by BMI
did not change results. In conclusion, a single daily administration of 325 mg of
aspirin for six months had no effect on serum estrogens or SHBG in postmenopausal
women. Larger doses or longer duration of aspirin administration may be needed to
affect circulating estrogens. Alternately, if aspirin influences breast cancer
risk in postmenopausal women, it may do so through direct breast tissue effects,
or through pathways other than estrogens.
PMID- 24924487
TI - RNA-binding proteins regulate the expression of the immune activating ligand
MICB.
AB - The recognition of stress-induced ligands by the activating receptor NKG2D
expressed on cytotoxic lymphocytes is crucial for the prevention and containment
of various diseases and is also one of the best-studied examples of how danger is
sensed by the immune system. Still, however, the mechanisms leading to the
expression of the NKG2D ligands are far from being completely understood. Here,
we use an unbiased and systematic RNA pull-down approach combined with mass
spectrometry to identify six RNA-binding proteins (RBPs) that bind and regulate
the expression of MICB, one of the major stress-induced ligands of NKG2D. We
further demonstrate that at least two of the identified RBPs function during
genotoxic stress. Our data provide insights into stress recognition and hopefully
open new therapeutic venues.
PMID- 24924488
TI - Morphological and proteomic analysis reveal the role of pistil under pollination
in Liriodendron chinense (Hemsl.) Sarg.
AB - Pollination is an important physiological process during which interaction
between pollen and pistil occurs. This interaction could determine whether or not
fertilization will occur and hence the ratio of plant seed setting. Liriodendron
chinense (Hemsl.) Sarg. (L. chinense) exhibits a distinct phenomenon where seed
setting ratio is not more than 10% in natural environment. To explore the origin
of this phenomenon, we conducted a comparative morphological and proteomic
analysis on L. chinense pistils upon pollination. The morphological analysis
showed that pollen grows well in vitro, but much slower on pistil or nutrient
medium containing pistil extract. Proteomic analysis showed that 493 proteins had
changed the expression after pollination. Among them, 468 and 51 proteins were
identified by isobaric tags for relative and absolute quantitation and two
dimensional gel electrophoresis respectively, and 26 proteins were common in the
two methods. After proteins functional categorization, 66 differentially
expressed proteins that are involved in reproduction process were found. Further
analysis showed that among the reproductive process related proteins, protein
disulfide-isomerase A6 and four embryo-defective proteins showed closer relations
with the low seed setting phenomenon. The results indicated that the element from
pistil might be the main reason leading to low seed setting in L. chinense, which
will provide new insights in the mechanisms underlying L. chinense reproduction
process.
PMID- 24924489
TI - Oral misoprostol for induction of labour.
AB - BACKGROUND: Misoprostol is an orally active prostaglandin. In most countries
misoprostol is not licensed for labour induction, but its use is common because
it is cheap and heat stable. OBJECTIVES: To assess the use of oral misoprostol
for labour induction in women with a viable fetus. SEARCH METHODS: We searched
the Cochrane Pregnancy and Childbirth Group's Trials Register (17 January 2014).
SELECTION CRITERIA: Randomised trials comparing oral misoprostol versus placebo
or other methods, given to women with a viable fetus for labour induction. DATA
COLLECTION AND ANALYSIS: Two review authors independently assessed trial data,
using centrally-designed data sheets. MAIN RESULTS: Overall there were 76 trials
(14,412) women) which were of mixed quality.In nine trials comparing oral
misoprostol with placebo (1109 women), women using oral misoprostol were more
likely to give birth vaginally within 24 hours (risk ratio (RR) 0.16, 95%
confidence interval (CI) 0.05 to 0.49; one trial; 96 women), need less oxytocin
(RR 0.42, 95% CI 0.37 to 0.49; seven trials; 933 women) and have a lower
caesarean section rate (RR 0.72, 95% CI 0.54 to 0.95; eight trials; 1029
women).In 12 trials comparing oral misoprostol with vaginal dinoprostone (3859
women), women given oral misoprostol were less likely to need a caesarean section
(RR 0.88, 95% CI 0.78 to 0.99; 11 trials; 3592 women). There was some evidence
that they had slower inductions, but there were no other statistically
significant differences.Nine trials (1282 women) compared oral misoprostol with
intravenous oxytocin. The caesarean section rate was significantly lower in women
who received oral misoprostol (RR 0.77, 95% CI 0.60 to 0.98; nine trials; 1282
women), but they had increased rates of meconium-stained liquor (RR 1.65, 95% CI
1.04 to 2.60; seven trials; 1172 women).Thirty-seven trials (6417 women) compared
oral and vaginal misoprostol and found no statistically significant difference in
the primary outcomes of serious neonatal morbidity/death or serious maternal
morbidity or death. The results for vaginal birth not achieved in 24 hours,
uterine hyperstimulation with fetal heart rate (FHR) changes, and caesarean
section were highly heterogenous - for uterine hyperstimulation with FHR changes
this was related to dosage with lower rates in those with lower doses of oral
misoprostol. However, there were fewer babies born with a low Apgar score in the
oral group (RR 0.60, 95% CI 0.44 to 0.82; 19 trials; 4009 babies) and a decrease
in postpartum haemorrhage (RR 0.57, 95% CI 0.34 to 0.95; 10 trials; 1478 women).
However, the oral misoprostol group had an increase in meconium-stained liquor
(RR 1.22, 95% CI 1.03 to 1.44; 24 trials; 3634 women). AUTHORS' CONCLUSIONS: Oral
misoprostol as an induction agent is effective at achieving vaginal birth. It is
more effective than placebo, as effective as vaginal misoprostol and results in
fewer caesarean sections than vaginal dinoprostone or oxytocin.Where misoprostol
remains unlicensed for the induction of labour, many practitioners will prefer to
use a licensed product like dinoprostone. If using oral misoprostol, the evidence
suggests that the dose should be 20 to 25 mcg in solution. Given that safety is
the primary concern, the evidence supports the use of oral regimens over vaginal
regimens. This is especially important in situations where the risk of ascending
infection is high and the lack of staff means that women cannot be intensely
monitored.
PMID- 24924491
TI - In Saccharomyces cerevisiae fructose-1,6-bisphosphate contributes to the Crabtree
effect through closure of the mitochondrial unspecific channel.
AB - In Saccharomyces cerevisiae addition of glucose inhibits oxygen consumption, i.e.
S. cerevisiae is Crabtree-positive. During active glycolysis hexoses-phosphate
accumulate, and probably interact with mitochondria. In an effort to understand
the mechanism underlying the Crabtree effect, the effect of two glycolysis
derived hexoses-phosphate was tested on the S. cerevisiae mitochondrial
unspecific channel (ScMUC). Glucose-6-phosphate (G6P) promoted partial opening of
ScMUC, which led to proton leakage and uncoupling which in turn resulted in,
accelerated oxygen consumption. In contrast, fructose-1,6-bisphosphate (F1,6BP)
closed ScMUC and thus inhibited the rate of oxygen consumption. When added
together, F1,6BP reverted the mild G6P-induced effects. F1,6BP is proposed to be
an important modulator of ScMUC, whose closure contributes to the "Crabtree
effect".
PMID- 24924492
TI - Unusual photoluminescence properties of the 3D mixed-lanthanide-organic
frameworks induced by dimeric structures: a theoretical and experimental
approach.
AB - The present work describes a complementary experimental and theoretical
investigation of the spectroscopic properties of the four isostructural 3D Ln
MOFs (wherein PDC = pyrazole-3,5-dicarboxylate, [La2(PDC)3(H2O)4].2H2O (1),
[(La0.9Eu0.1)2(PDC)3(H2O)4].2H2O (2), [(La0.9Tb0.1)2(PDC)3(H2O)4].2H2O (3) and
[(La0.9Eu0.5Tb0.5)2(PDC)3(H2O)4].2H2O (4)). The experimental data and theoretical
calculations show that the singular photophysical properties presented by these
Ln-MOFs are induced by strong interaction between the Ln(3+) ions.
PMID- 24924490
TI - Assessment of the extirpated Maritimes walrus using morphological and ancient DNA
analysis.
AB - Species biogeography is a result of complex events and factors associated with
climate change, ecological interactions, anthropogenic impacts, physical
geography, and evolution. To understand the contemporary biogeography of a
species, it is necessary to understand its history. Specimens from areas of
localized extinction are important, as extirpation of species from these areas
may represent the loss of unique adaptations and a distinctive evolutionary
trajectory. The walrus (Odobenus rosmarus) has a discontinuous circumpolar
distribution in the arctic and subarctic that once included the southeastern
Canadian Maritimes region. However, exploitation of the Maritimes population
during the 16th-18th centuries led to extirpation, and the species has not
inhabited areas south of 55 degrees N for ~250 years. We examined genetic and
morphological characteristics of specimens from the Maritimes, Atlantic (O. r.
rosmarus) and Pacific (O. r. divergens) populations to test the hypothesis that
the first group was distinctive. Analysis of Atlantic and Maritimes specimens
indicated that most skull and mandibular measurements were significantly
different between the Maritimes and Atlantic groups and discriminant analysis of
principal components confirmed them as distinctive groups, with complete
isolation of skull features. The Maritimes walrus appear to have been larger
animals, with larger and more robust tusks, skulls and mandibles. The mtDNA
control region haplotypes identified in Maritimes specimens were unique to the
region and a greater average number of nucleotide differences were found between
the regions (Atlantic and Maritimes) than within either group. Levels of
diversity (h and pi) were lower in the Maritimes, consistent with other studies
of species at range margins. Our data suggest that the Maritimes walrus was a
morphologically and genetically distinctive group that was on a different
evolutionary path from other walrus found in the north Atlantic.
PMID- 24924493
TI - Circadian differences in maze performance of C57BI/6 Ola mice.
AB - The diurnal expression of performance in a six-point alley-T-maze was studied in
C57BI/6 Ola mice. Besides the error rate, the latency time for leaving the start
box as well as the number of alleys entered per min were determined. From 08.00
hrs on, a group of 10 animals was investigated every four hours. Each group
received one trial per day. Home cage activity was continously monitored over a
14-day period. The results revealed the development of a circadian rhythm of maze
performance in the course of training, which became significant as from the 4th
day. The best maze performance was observed in the middle of the dark phase, from
23.07 to 03.15 hrs. No indication of a direct relationship between home cage and
ambulatory activity in the maze could be found.
PMID- 24924494
TI - Egg sac guarding by the funnel-web spider coelotes terrestris: function and
development.
AB - A number of spider species present a pattern of maternal behaviour labelled 'egg
sac guardingrs which consist of remaining close to the egg sac throughout the
incubation period. The present study aims at assessing the function of this
behaviour and at casting some light on its control mechanisms in Coelotes
terrestris, a species which, unlike those previously studied, is sedentary and
presents extended care of the young. Field and laboratory experiments have shown
that the mother had a determining role in the protection of her progency against
predators,which may include certain conspecifics, and parasites (acaria and
moulds). Confronting females at various stages of the reproductive cycle with non
guarded egg sacs provided a certain amount of information on the development of
egg guarding. Sub-adults ignored the egg sac (neither cannibalism nor egg
guarding). Cannibalism was only exhibited by inseminated females which had not
yet oviposited. The onset of egg guarding seemed to be linked with oviposition.
No external stimulations were necessary for its maintenance and no extinction was
observed. This indicated that the mother-young interactions which took place
after emergence did not interfere with the tendency to guard the egg sac.
PMID- 24924495
TI - Effects of substrate brightness differences on isopod (Porcellio scaber) turning
and turn alternation.
AB - Woodlice ran faster in a straight runway when the floor was white than when it
was black. When a runway with totally black or white floors (reflecting different
levels of light) met up with equal areas of both brightnesses, woodlice turned in
the direction of the runway brightness. Normal turn alternation after a forced
turn was suppressed by this tendency to continue following the same substrate
brightness when it involved moving in the direction of a repeating turn. However,
when it involved turning in the direction of an alternating turn, the tendency to
follow the same brightness had no effect on alternation.
PMID- 24924496
TI - Social processes and innovative behaviour in changing groups of lemur fulvus.
AB - A group of brown lemurs was presented with one or two baited food-boxes requiring
a specific type of motor response in order to be opened. Subsequently, four
groups containing different combinations of experienced individuals from the
original group and naive individuals were tested. Solutions to the problem and
access to the food were recorded and considered in relation to social factors. In
the original group, two adult males learned to open the boxes, with one male
increasingly preventing the other from approaching. In the second group, with the
subordinate male and certain females removed, the dominant male tolerated
successful performances by a juvenile female. Group 3 consisted of three passive
female participants from the original group and a naive female; one of the three
original females now became the sole box-opener. The introduction of the
subordinate male from the original group into the all-female group led to a
sharing of box-opening by this subject and the skilled female. In the final
group, intense aggression toward the skilled female by a new, naive adult male
resulted in two previously passive females succeeding on some occasions. In
lemurs, at least some 'scroungers' appear able to learn to perform a new act when
the social context permits.
PMID- 24924497
TI - Failure to find positive key-press contrast for milk reinforcers using a within
session procedure.
AB - Rats pressed keys for sweetened condensed milk delivered by multiple schedules.
Component duration varied from five seconds to 16 minutes. Positive contrast did
not occur for any duration. That is, the rate of responding emitted during a
variable interval component of a multiple schedule was not greater when the other
component was extinction than when it was an identical variable interval
schedule. The function that related key pressing to component duration also
differed from that reported by past studies of pigeons pecking keys. Finding such
functional differences suggests that behavioral contrast may be mediated by
different mechanisms for different responses. It also implies that neither
differences in the rates of responding, nor differences in the parts of the body
utilized by the response, produced past functional differences in the observation
of contrast for different responses.
PMID- 24924498
TI - Probability and delay of reinforcement: an examination of Mazur's equivalence
rule.
AB - It has recently been proposed that the probability of an event (e.g. delivery of
a reinforcer) can be interpreted as functionally equivalent to the delay of an
event. The present experiment examined the equivalence rule that states that
reinforcer probability is functionally equivalent to a set of variable delays. A
titration procedure was used in which pigeons chose between a standard,
probabilistic alternative, and an adjusting, delayed alternative. Reinforcer
probability was manipulated by varying the number of keypecks required to obtain
a reinforcer. The duration of the adjusting alternative varied as a function of a
pigeon's previous choices. There were six standard probabilities. The results
indicated that the pigeons were sensitive to changes in the standard
probabilities and that there were significant relations between: (1) reinforcer
probability and the mean adjusted delay of reinforcement, and (2) the obtained
and predicted adjusted delays. The present results support Mazur's conception of
the relation between reinforcer probability and delay, and extend his conception
to a different method of manipulating reinforcer probability.
PMID- 24924499
TI - Unexpected discrimination strategy used by pigeons.
AB - Pigeons were conditioned with a symbolic matching-to-sample paradigm. Six visual
patterns in 16 configurations were presented in an operant chamber where
reinforcement was delivered next to the correct keys. A test with novel
configurations, planned to demonstrate associative transitivity between
equivalent stimuli, revealed instead a different but consistent behavior. An
explanation for this behavior was sought in further tests and in a detailed post
hoc analysis of the training configuration. The analysis revealed an overall
location imbalance of the comparison stimuli in relation to the reinforcement
sites. This apparently led to response side biases controlled by these lateral
stimuli. The results of additional tests accorded with this hypothesis. The
directing effect was unequivocal when both lateral stimuli biased a response in
the same direction. When the directing effects by these stimuli were opposite,
the animals only solved the task when they could resort to a rote learning of
individual configurations. The characteristics of the rules that were identified
are contrasted with those that have previously been thought to operate during
conditional discrimination learning.
PMID- 24924500
TI - A tale of two mechanisms: a meta-analytic approach toward understanding the
autonomic basis of cardiovascular reactivity to acute psychological stress.
AB - A series of meta-analyses was undertaken to determine the contributions of
sympathetic and parasympathetic activation to cardiovascular stress reactivity. A
literature search yielded 186 studies of sufficient quality that measured indices
of sympathetic (n = 113) and/or parasympathetic activity (n = 73). A range of
psychological stressors perturbed blood pressure and heart rate. There were
comparable aggregate effects for sympathetic activation, as indexed by increased
plasma epinephrine and norepinephrine, and shortened pre-ejection period and
parasympathetic deactivation, as indexed by heart rate variability measures.
Effect sizes varied with stress task, sex, and age. In contrast to alpha
adrenergic blockade, beta-blockade attenuated cardiovascular reactivity.
Cardiovascular reactivity to acute psychological stress would appear to reflect
both beta-adrenergic activation and vagal withdrawal to a largely equal extent.
PMID- 24924501
TI - [Shoulder girdle, AC and SC joints].
PMID- 24924502
TI - [Surgical stabilization of acute/chronic sternoclavicular instability with
autologous gracilis tendon graft].
AB - SURGICAL OBJECTIVE: Restoration of joint stability and unimpaired, painless
shoulder function INDICATIONS: Chronic and recurrent painful instabilities of the
sternoclavicular (SC) joint. Locked posterior instability. CONTRAINDICATIONS: A
lack of autologous tendon grafts due to harvesting of all hamstring grafts during
prior surgical procedures and general contraindications for surgery/anesthesia.
SURGICAL TECHNIQUE: Joint stability is restored by a gracilis tendon allograft
passed through two drill holes in the sternum and the medial clavicle using a
figure-of-eight configuration. POSTOPERATIVE MANAGEMENT: Immobilization in a
sling for 6 weeks with passive motion exercises followed by 6 weeks of active
mobilization without weight-bearing. After 12 weeks, continuous remobilization
with increasing weight-bearing. RESULTS: SC joint reconstruction using an
autologous tendon graft in a figure-of-eight configuration can significantly
improve shoulder function and pain relief. However, some impairment of shoulder
function or persistent complaints may occur, which must be discussed with the
patient in the preoperative setting.
PMID- 24924503
TI - [Arthroscopically assisted reconstruction of acute and chronic AC joint
separations].
AB - OBJECTIVE: The surgical procedure aims at anatomic reduction and stabilization of
the acromioclavicular joint in vertical and horizontal planes for acute
separations using a trans-clavicular and trans-coracoidal suture tape fixation
with additional acromioclavicular joint augmentation with a PDS cord cerclage.
For chronic instability adding a tendon graft is essential for sustainable
stability. INDICATIONS: Acute und chronic acromioclavicular joint separations
type Rockwood III-VI. Recurrent AC-joint instability with intact coracoid process
(with tendon graft). CONTRAINDICATIONS: Acromioclavicular joint separations type
Rockwood I-II. Asymptomatic chronic AC-separations type Rockwood III-IV. Fracture
close to base of coracoid process General contraindications for (elective)
surgery. SURGICAL TECHNIQUE: Vertical reconstruction of the coraco-clavicular
ligaments using a drill-guide for trans-clavicular and trans-coracoidal tunnel
placement for high-strength suture tapes over titanium buttons. Additional
stabilization of the AC-joint with a transosseus figure of 8 PDS suture cord
cerclage. POSTOPERATIVE MANAGEMENT: Postoperatively the arm is put in a regular
sling for 6 weeks. Free active range of motion of wrist and elbow. Shoulder range
of motion is limited to 30 degrees of flexion and abduction and 80 degrees
internal and 0 degrees external rotation for 2 weeks. Extended to active
assisted 45 degrees flexion and abduction in weeks 3 and 4 and advanced to 60
degrees flexion/abduction and free internal/external rotation in weeks 5 and 6.
Range of motion is unlimited from week 7. Full daily life activities after 3
months, high-impact sports after 5-6 months postoperatively. RESULTS: The
presented surgical technique reliably stabilizes the acromioclavicular joint.
It's biomechanical properties with only the single-tunnel coracoclavicular suture
tapes is on the level of the native vertical stability, which can be additionally
improved for better horizontal stability with the cerclage over the AC-joint.
PMID- 24924504
TI - [Open anatomic reconstruction of chronic acromioclavicular instability].
AB - OBJECTIVE: The aim of open anatomic reconstruction of the acromioclavicular (AC)
joint is combined reconstruction of the AC and coracoclavicular ligaments using a
tendon graft. INDICATIONS: Symptomatic instabilities of the AC joint > type III.
CONTRAINDICATIONS: Asymptomatic instabilities < type III and general
contraindication against elective surgery. SURGICAL TECHNIQUE: Through the open
surgical approach, the exact anatomical insertion sites of the ligamentous
structures can be reproduced. In addition, this approach enables accurate
repositioning of the AC joint under direct vision (including possible debridement
of the intraarticular discus) and an additional fixation of deltotrapezoidal
fascia. POSTOPERATIVE MANAGEMENT: Postoperatively, the arm is positioned in an
abduction brace for 6-8 weeks. Passive exercises in flexion up to 90 degrees and
in external rotation up to 30 degrees are permitted during this period. After 6
8 weeks, free and active motion is allowed. RESULTS: Between January 2003 and
December 2010, 46 patients (9 women and 37 men, mean age 42 +/- 13 years)
underwent AC combined reconstruction. Complete outcome data were available for 25
patients. Mean length of follow-up was 31 +/- 26 months. The mean preoperative
coracoclavicular distance was 20.1 +/- 5.6 mm; postoperatively the mean distance
was 7.1 +/- 3.0 mm (p < 0.001) The mean clinical scores also statistically
improved (p < 0.001): American Shoulder and Elbow Score improved from 53.4 +/-
18.7 points preoperatively to 80.6 +/- 25.7 points postoperatively and the
Constant Murley Score improved from 60.0 +/- 16.7 points preoperatively to 85.2
+/- 22.8 points postoperatively.
PMID- 24924505
TI - [Arthroscopic resection of the acromioclavicular joint].
AB - OBJECTIVE: Arthroscopic resection of the painful and degenerative altered
acromioclavicular (AC) joint without destabilization of the joint and therefore
pain relief and improvement in function. INDICATIONS: Conservative failed therapy
of painful AC joint osteoarthritis. Impingement caused by caudal AC joint
osteophytes. Lateral clavicular osteolysis. CONTRAINDICATIONS: General
contraindications (infection, local tumor, coagulation disorders), higher grade
instability of the AC joint (resection only together with stabilization).
SURGICAL TECHNIQUE: Diagnostic glenohumeral arthroscopy. Treatment of
accompanying lesions (subacromial impingement, rotator cuff, long head of
biceps). Subacromial arthroscopy with bursectomy (partial) and visualization of
the AC joint. Resection of caudal osteophytes. Localization of the anterior
portal using a spinal needle in the outside-in technique. Resection of 2-3 mm of
the acromial side and the 3-4 mm of the clavicular side with shaver/acromionizer.
RESULTS: An isolated open AC joint resection was performed in 9 studies and an
arthroscopic resection in 6 studies. Good and very good results were obtained in
79% (range 54-100%) in open resection and 91% (range 85-100%) in arthroscopic
resections. Patients were able to return to activities of daily life more quickly
after arthroscopic resections than after open surgery.
PMID- 24924507
TI - [Acromion reconstruction after arthroscopic subacromial decompression and
iatrogenic acromial destruction].
AB - OBJECTIVE: Reconstruction of the acromion, to achieve pain relief and better
function of the deltoid muscle. INDICATIONS: After partial or complete removal of
the acromion. CONTRAINDICATIONS: General surgical contraindications. Paresis of
the axillary nerve. SURGICAL TECHNIQUE: Strategy of the operation with X-ray and
CT scan. Patient in beach chair position. Disinfection of the shoulder and
lateral pelvic region. Exploration of the defect area and searching for the
lateral clavicula and spinascapulae end. Removal of a pelvic bone block. Fixation
of the pelvic bone block to the spina scapulae with K-wires and finally
osteosynthesis by a Reco plate (Synthes, Umkirch, Germany), wound closure.
POSTOPERATIVE MANAGEMENT: For the first 6 weeks, a cast with the arm in 90
degrees abduction. Passive motion without the cast should be performed once a
day. Starting in week 7, weekly reduction of abduction (90 degrees /60 degrees
/30 degrees /0 degrees ). Active mobilization starting in week 10. Start to
practice with weight bearing in week 13. RESULTS: Pain relief improved from VAS 7
9 to VAS 2-3. Improved ROM can be seen postoperatively and after 6 months for
abduction/adduction 50-0-50 degrees , anteversion/retroversion 80-0-10 degrees ,
rotation out/in 40-0-10 degrees .
PMID- 24924506
TI - [Distal clavicle fracture].
AB - OBJECTIVE: Reposition and fixation of unstable distal clavicle fractures with a
low profile locking plate (Acumed, Hempshire, UK) in conjunction with a
button/suture augmentation cerclage (DogBone/FibreTape, Arthrex, Naples, FL,
USA). INDICATIONS: Unstable fractures of the distal clavicle (Jager and Breitner
IIA) in adults. Unstable fractures of the distal clavicle (Jager and Breitner IV)
in children. Distal clavicle fractures (Jager and Breitner I, IIB or III) with
marked dislocation, injury of nerves and vessels, or high functional demand.
CONTRAINDICATIONS: Patients in poor general condition. Fractures of the distal
clavicle (Jager and Breitner I, IIB or III) without marked dislocation or
vertical instability. Local soft-tissue infection. SURGICAL TECHNIQUE:
Combination procedure: Initially the lateral part of the clavicle is exposed by a
4 cm skin incision. After reduction of the fracture, stabilization is performed
with a low profile locking distal clavicle plate. Using a special guiding device,
a transclavicular-transcoracoidal hole is drilled under arthroscopic view.
Additional vertical stabilization is arthroscopically achieved by shuttling the
DogBone/FibreTape cerclage from the lateral portal cranially through the
clavicular plate. The two ends of the FibreTape cerclage are brought cranially
via adjacent holes of the locking plate while the DogBone button is placed under
the coracoid process. Thus, plate bridging is achieved. Finally reduction is
performed and the cerclage is secured by surgical knotting. POSTOPERATIVE
MANAGEMENT: Use of an arm sling for 6 weeks. RESULTS: Due to the fact that the
described technique is a relatively new procedure, long-term results are lacking.
In the short term, patients postoperatively report high subjective satisfaction
without persistent pain.
PMID- 24924508
TI - [Arthroscopic nerve release and decompression of ganglion cysts around the
shoulder joint].
AB - OBJECTIVE: Arthroscopic visualisation and release of nerves around the shoulder,
decompression of ganglion cysts. INDICATIONS: Arthroscopic treatment of nerve
entrapment syndromes around the shoulder (suprascapular nerve, axillary nerve).
Arthroscopic visualisation and release of osseous or ligamentous structures
causing nerve entrapment. Arthroscopic decompression and resection of periglenoid
ganglion cysts. Arthroscopic release of concomitant lesions (labrum, rotator
cuff, biceps). CONTRAINDICATIONS: No clinical or neurological evidence for nerve
entrapment syndrome. Lack of conditions for a complex arthroscopic procedure
(technique of visualisation, instrumentation, knowledge of specific
neuroanatomy). SURGICAL TECHNIQUE: Diagnostic arthroscopy,
decompression/resection of ganglion cyst. Visualisation and decompression of
nerve. Detection and fixation of concomitant pathologies. POSTOPERATIVE
TREATMENT: Immobilisation in sling during the day after the operation. Actively
assisted and active mobilisation of shoulder controlled by discomfort level.
Manual lymph drainage starting on postoperative day 1. Sling and further
rehabilitation according to treatment of concomitant lesions.
PMID- 24924509
TI - [Use of a pediculed pectoralis major flap for the treatment of septic arthritis
of the sternoclavicular joint].
AB - OBJECTIVE: Closure of the wound defect with a pedicled pectoralis major muscular
flap after successful surgical treatment of septic arthritis of the
sternoclavicular joint (SCJ). INDICATIONS: Defect of the thoracic wall after
septic arthritis of the SCJ. CONTRAINDICATIONS: Persistent infection of bony or
soft tissue structures; persistent septicemia; persistent mediastinitis. SURGICAL
TECHNIQUE: After successful treatment of the local infection and radical
debridement of the wound, the incision is expanded parallel to the clavicle and
to the sternum. The neurovascular pedicled pectoralis flap is mobilized and a
resection of the muscular attachment at the humerus is performed. Finally, the
flap is rotated at the pedicle and attached to the defect zone. POSTOPERATIVE
MANAGEMENT: Anticoagulation with low molecular weight heparin and possibly
aspirin (100 mg/day); short-term immobilization of the involved upper extremity.
Avoidance of major weight bearing for a period of 6 weeks. RESULTS: Over a period
of 4 years, 18 patients suffering from septic arthritis of the SCJ underwent
surgical treatment. Of these, 9 patients were treated with pedicled muscular
flap. In all patients, uneventful wound healing was observed with no further
revision operations being required. The functional and optical results were
satisfactory.
PMID- 24924510
TI - [Transfer of the coracoid process in recurrent anterior instability of the
shoulder joint. The arthroscopic Latarjet procedure].
AB - OBJECTIVE: Full arthroscopic treatment of severe anterior shoulder instability
due to glenoid bone loss, Hill-Sachs lesion and irreparable ligament damage.
INDICATIONS: Recurrent anterior dislocations or subluxations, previously failed
Bankart repairs; patients with anterior glenoid bone loss, Hill-Sachs defect;
patients with irreparable damage to soft tissues of labrum, capsule and
ligaments; patients with chronic humeral avulsion of glenohumeral ligament (HAGL
lesion); combinations of lesions above; young contact sport athletes, where
Bankart repairs have high failure rates. CONTRAINDICATIONS: Presence of
(arthroscopically confirmed) good preconditions for Bankart repair: good quality
of labrum, capsule and ligament, labrum still present, no or minimal bone loss of
glenoid, no engaging Hill-Sachs. Lack of requirements for complex arthroscopic
procedure (e.g., special instruments and skills). SURGICAL TECHNIQUE: Diagnostic
arthroscopy. Removal of anterosuperior and superior capsule, middle glenohumeral
ligament, anterior labrum. Preparation of glenoid neck, debridement. Opening of
rotator interval. Preparation of coracoid process and conjoint tendons.
Subdeltoid preparation of anterior coracoid with arthroscope moved to
anterolateral portal. Tenotomy of pectoralis minor. Arthroscopic split of
subscapularis tendon via deep anteromedial portal. Predrilling of 2 holes through
coracoid, insertion of 2 special washers into predrilled holes ("top hats").
Arthroscopic osteotomy of coracoid at base. Mounting of coracoid to special
coracoid cannula. Manipulation of coracoid/conjoint tendon through subscapularis
to glenoid neck, prefixation with wires. Fixation of coracoid after drilling with
cannulated special screws. POSTOPERATIVE MANAGEMENT: Immobilization in a sling on
postoperative day 1; pain-controlled active range of motion without limit
starting postoperative day 2. Sling during the night for 4 weeks. RESULTS:
Between 2007 and June 2013, 210 operations were performed. No intraoperative
conversion to open operative technique. Revision required in 10 patients with
postoperative complications. No intraoperative or neurovascular complications.
PMID- 24924511
TI - [Arthodesis of the proximal and distal interphalangeal joint].
AB - OBJECTIVE: Elimination of the fixed lesser toe deformity by arthrodesis of the
proximal or distal interphalangeal joints (PIP and DIP, respectively).
INDICATIONS: Painful fixed deformity. PIP joint: fixed hammer toe or clawtoe. DIP
joint: fixed mallet toe. Relative indication: flexible hammer toe, clawtoe or
mallet toe. CONTRAINDICATIONS: General operative contraindications. Relative
contraindications also include severe deformities affecting the
metatarsophalangeal (MTP) joint, for which the arthrodesis should combine an
operative procedure of the MTP joint. SURGICAL TECHNIQUES: PIP arthrodesis:
Dorsal incision centered over the PIP joint, exposure of the PIP joint by
transsecting the extensor tendon and joint capsule, release of the collateral
ligaments, while carefully protecting the neurovascular bundles, resection of the
head of the proximal phalanx and the articular surface of the middle phalanx. The
arthrodesis should be stabilised in mild plantar flexion. The tip of the toe
should have contact with the surface when the push up test is done. The
arthrodesis technique depends on the implant used. The extensor tendon is sutured
and the wound is closed. DIP arthrodesis: dorsal incision centered over the DIP
joint, exposure of the DIP joint by transsecting the extensor tendon and joint
capsule, release of the collateral ligaments, while carefully protecting the
neurovascular bundles. Resection of the head of the middle phalanx and the
articular surface of the distal phalanx. The arthrodesis should be stabilised in
straight position. The arthrodesis technique depends on the implant used. The
extensor tendon is sutured and the wound is closed. POSTOPERATIVE MANAGEMENT:
Postoperative full weight bearing for 3-6 weeks, depending on the arthrodesis
technique used. RESULTS: Stabilisation of the toe with adequate alignment is
achieved by arthrodesis of the affected joint. In general, digital fusion of the
fixed lesser toe pathology shows a high subjective satisfaction rate among the
patients, although the rate of pseudarthrosis in attempted PIP or DIP arthrodesis
is quite high. Major reasons for postoperative dissatisfaction were swelling,
wound necrosis, pin infection, floating toe, shortening and angulation of the
toe.
PMID- 24924512
TI - Pilot study of a novel tool for input-free automated identification of transition
zone prostate tumors using T2- and diffusion-weighted signal and textural
features.
AB - PURPOSE: To present results of a pilot study to develop software that identifies
regions suspicious for prostate transition zone (TZ) tumor, free of user input.
MATERIALS AND METHODS: Eight patients with TZ tumors were used to develop the
model by training a Naive Bayes classifier to detect tumors based on selection of
most accurate predictors among various signal and textural features on T2
weighted imaging (T2WI) and apparent diffusion coefficient (ADC) maps. Features
tested as inputs were: average signal, signal standard deviation, energy,
contrast, correlation, homogeneity and entropy (all defined on T2WI); and average
ADC. A forward selection scheme was used on the remaining 20% of training set
supervoxels to identify important inputs. The trained model was tested on a
different set of ten patients, half with TZ tumors. RESULTS: In training cases,
the software tiled the TZ with 4 * 4-voxel "supervoxels," 80% of which were used
to train the classifier. Each of 100 iterations selected T2WI energy and average
ADC, which therefore were deemed the optimal model input. The two-feature model
was applied blindly to the separate set of test patients, again without operator
input of suspicious foci. The software correctly predicted presence or absence of
TZ tumor in all test patients. Furthermore, locations of predicted tumors
corresponded spatially with locations of biopsies that had confirmed their
presence. CONCLUSION: Preliminary findings suggest that this tool has potential
to accurately predict TZ tumor presence and location, without operator input.
PMID- 24924513
TI - Robotic intracorporeal urinary diversion: technical details to improve time
efficiency.
AB - OBJECTIVES: To present time-efficiency data during our initial experience with
intracorporeal urinary diversion and technical tips that may shorten operative
time early in the learning curve. PATIENTS AND METHODS: Data were analyzed in the
initial 37 consecutive patients undergoing robotic radical cystectomy and
intracorporeal urinary diversion in whom detailed stepwise operative time data
were available. Median age was 65 years and median body mass index was 27.
Neoadjuvant chemotherapy was administered in 6 patients and 11 patients had
clinical evidence of T3 or lymph node-positive disease. Each component of the
operation was subdivided into specific steps and operative time for each step was
prospectively recorded. Peri-operative and follow-up data up to 90 days and final
pathological data were recorded. RESULTS: All procedures were completed
intracorporeally and robotically without need for conversion to open surgery or
extracorporeal diversion. Median total operative time was 387 vs 386 minutes
(p=0.2) and median total console time was 361 vs 295 minutes (p<0.007) for
orthotopic neobladder and ileal conduit, respectively. Median time for radical
cystectomy was 77 minutes, extended pelvic lymph node dissection was 63 minutes,
and diversion was 111 minutes (ileal conduit 92 minutes and orthotopic neobladder
124 minutes). Median estimated blood loss was 250 mL, and median hospital stay
was 9 days. High grade (Clavien grade 3-5) complications at 30 and 90 days follow
up were recorded in 6 (16%) and 9 (24%) patients, respectively. Over a median
follow-up of 16 months, 12 (32%) patients experienced disease recurrence and 9
(24%) died from bladder cancer. These correspond to 1-year recurrence-free and
overall survival of 64% and 70%, respectively. CONCLUSIONS: Intracorporeal
urinary diversion following robotic radical cystectomy can be safely performed
and reproducible in a time-efficient manner even during the early learning curve.
PMID- 24924514
TI - Controlled hierarchical assembly of spider silk-DNA chimeras into ribbons and
raft-like morphologies.
AB - Spider silk-DNA conjugates comprising the recombinant spider silk protein
eADF4(C16) and short oligonucleotides were arranged in a linear antiparallel and
parallel as well as in a branched manner via designed complementarity of the DNA
moieties. After cross-beta fibril self-assembly, temperature-induced annealing of
the DNA moieties triggered fibril association into ribbons, composed of aligned
nanofibrils, and rafts composed of ribbons ordered into sharply bordered, squared
fibrous microstructures. The formation of the superstructures was clearly
dependent on the individual silk-DNA conjugate. A combination of 5'-conjugated
silk moieties via complementary nucleic acids enhanced fibril association,
whereas mixing complementary 5'- and 3'-silk conjugates inhibited the formation
of higher-order structures.
PMID- 24924515
TI - Mixed methods research - the best of both worlds?
AB - INTRODUCTION: There has been a bias towards quantitative research approaches
within manual therapy, which may have resulted in a narrow understanding of
manual therapy practice. The aim of this Masterclass is to make a contribution to
the expansion of methodologies used in manual therapy enquiry by discussing mixed
methods research (MMR), a methodology which utilises both qualitative and
quantitative methods within a single study in order to provide more comprehensive
insights. PURPOSE: To review rationales for MMR, as well as some of the common
design options and potential difficulties. The paper also discusses theoretical
frameworks that have been used to underpin qualitative and quantitative research,
and ongoing debates about the possibility of combining them. IMPLICATIONS:
Complexities associated with health and manual therapy cannot always be
investigated satisfactorily by using a single research method. Some issues
require a more comprehensive understanding, which may be provided by combining
the strengths of quantitative and qualitative methods in a mixed methods study.
PMID- 24924516
TI - A piggyBac transposon- and gateway-enhanced system for efficient BAC
transgenesis.
AB - BACKGROUND: Bacterial artificial chromosomes (BACs) have become increasingly
popular vectors for making transgenic mice, as they are able to carry large
genomic DNA fragments that in many cases are needed to reproduce the endogenous
gene expression pattern. However, the efficiency of BAC transgenesis is generally
low, and gene transfer to BAC vectors by recombination-mediated engineering
(recombineering) is time-consuming and technically demanding. RESULTS AND
CONCLUSIONS: We present an enhanced system, comprising a BAC vector retrofitted
with piggyBac DNA transposon elements and attL (Gateway) docking sites, that
obviates these problems. Using this system, a gene-of-interest (such as a
reporter gene) is transferred to the vector in a one-step in vitro reaction, and
piggyBac transposition mediates transgene integration at high efficiency when
microinjected into mouse zygotes with piggyBac transposase mRNA. We establish
proof-of-principle for this system using a Wilms tumour-1 (Wt1) BAC to drive
expression of an mCherry-2A-EGFP (RG) reporter gene, which yielded transgenic
mice at a frequency of 33%, and recapitulated endogenous WT1 expression in
developing gonads, kidneys and heart. The system we describe is applicable to any
BAC transgenesis strategy.
PMID- 24924517
TI - Electrospray ionization mechanisms for large polyethylene glycol chains studied
through tandem ion mobility spectrometry.
AB - Ion mobility mass spectrometry (IMS-MS) is used to investigate the abundance
pattern, n(z)(m) of poly-(ethyleneglycol) (PEG) electrosprayed from
water/methanol as a function of mass and charge state. We examine n(z)(m)
patterns from a diversity of solution cations, primarily dimethylammonium and
triethylammonium. The ability of PEG chains to initially attach to various
cations in the spraying chamber, and to retain them (or not) on entering the MS,
provide valuable clues on the ionization mechanism. Single chains form in highly
charged and extended shapes in most buffers. But the high initial charge they
hold under atmospheric pressure is lost on transit to the vacuum system for large
cations. In contrast, aggregates of two or more chains carry in all buffers at
most the Rayleigh charge of a water drop of the same volume. This shows either
that they form via Dole's charge residue mechanism, or that highly charged and
extended aggregates are ripped apart by Coulombic repulsion. IMS-IMS experiments
in He confirm these findings, and provide new mechanistic insights on the
stability of aggregates. When collisionally activated, initially globular dimers
are stable. However, slightly nonglobular dimers projecting out a linear appendix
are segregated into two monomeric chains. The breakup of a charged dimer is
therefore a multi-step process, similar to the Fenn-Consta polymer extrusion
mechanism. The highest activation barrier is associated to the first step, where
a short chain segment carrying a single charge escapes (ion-evaporates) from a
charged drop, leading then to gradual field extrusion of the whole chain out of
the drop.
PMID- 24924518
TI - Direct analysis of large living organism by megavolt electrostatic ionization
mass spectrometry.
AB - A new ambient ionization method allowing the direct chemical analysis of living
human body by mass spectrometry (MS) was developed. This MS method, namely
Megavolt Electrostatic Ionization Mass Spectrometry, is based on electrostatic
charging of a living individual to megavolt (MV) potential, illicit drugs, and
explosives on skin/glove, flammable solvent on cloth/tissue paper, and volatile
food substances in breath were readily ionized and detected by a mass
spectrometer.
PMID- 24924519
TI - Protein kinase a phosphorylates Dlx3 and regulates the function of Dlx3 during
osteoblast differentiation.
AB - Protein kinase A (PKA), a serine/threonine kinase, regulates bone formation, and
enhances Bone morphogenetic protein (BMP)-induced osteoblast differentiation.
However, the mechanisms of how PKA controls the cellular response to BMP are not
well known. We investigated the effects of modulating PKA activity during BMP2
induced osteoblast differentiation, and found that PKA regulates the function of
Dlx3. Dlx3 plays crucial roles in osteoblast differentiation and it is expressed
in most skeletal elements during development. We found that PKA activation
increases BMP2-induced expression of Dlx3 protein, and enhances the protein
stability, DNA binding, and transcriptional activity of Dlx3. In addition, PKA
activation induces the phosphorylation of Dlx3 at consensus PKA phosphorylation
target site(s). Lastly, substitution of serine 10 in Dlx3 to alanine
significantly reduces, if not completely abolishes, the phosphorylation of Dlx3
and the regulation of Dlx3 function by PKA. These results suggest that Dlx3 is a
novel target of PKA, and that PKA mediates BMP signaling during osteoblast
differentiation, at least in part, by phosphorylating Dlx3 and modulating the
protein stability and function of Dlx3.
PMID- 24924520
TI - "Overrepresentation of T17 cells in the peripheral blood of psoriatic patients is
not confined to the skin-homing T cell subset".
PMID- 24924521
TI - Anti-graying effect of the extract of Pueraria thunbergiana via upregulation of
cAMP/MITF-M signaling pathway.
PMID- 24924523
TI - Inherent costs and interdependent impacts of infrastructure network resilience.
AB - Recent studies in system resilience have proposed metrics to understand the
ability of systems to recover from a disruptive event, often offering a
qualitative treatment of resilience. This work provides a quantitative treatment
of resilience and focuses specifically on measuring resilience in infrastructure
networks. Inherent cost metrics are introduced: loss of service cost and total
network restoration cost. Further, "costs" of network resilience are often shared
across multiple infrastructures and industries that rely upon those networks,
particularly when such networks become inoperable in the face of disruptive
events. As such, this work integrates the quantitative resilience approach with a
model describing the regional, multi-industry impacts of a disruptive event to
measure the interdependent impacts of network resilience. The approaches
discussed in this article are deployed in a case study of an inland waterway
transportation network, the Mississippi River Navigation System.
PMID- 24924522
TI - Effects of soyabean meal- or whey-based diets on lipid metabolism in weaned
piglets.
AB - The present study aimed to test the hypothesis that dietary protein source
influences lipid metabolism-related parameters weaned piglets. The effects of
soyabean meal (SB) and whey proteins (WP) on gene expression of several genes
involved in the lipogenic process in liver, visceral (VAT) and subcutaneous (SAT)
adipose tissues, plasma insulin concentration and fatty acid (FA) profile were
investigated in 18 weaned piglets. Weaned piglets were fed one of two diets
containing either SB or WP as the main protein source. Following a 10-h fasting
period, plasma insulin concentration and FA profile were assessed at 56 and 72
days of age, whereas gene expression in liver, VAT and SAT was assessed at 72
days of age. Plasma insulin concentration was not affected by diet, although it
was 40% lower in SB fed pigs. The SB pigs had lower 14:0 (p < 0.01) and higher
18:3n-3 (p < 0.001) levels in plasma in comparison with WP pigs. However, these
changes were attributed to background differences in the dietary FA profile and
not to a direct protein source effect. Gene expression of sterol regulatory
element-binding protein 1 (SREBP-1) in liver and VAT were lower (p < 0.01 and p <
0.05, respectively) in SB compared to WP fed piglets, but no differences occurred
in SAT. No changes were observed in sterol regulatory element-binding protein 2,
liver X receptor, peroxisome proliferator-activated receptors alpha and gamma and
plasminogen activator inhibitor 1 mRNA levels, either in liver or in adipose
tissues. In conclusion, dietary protein source, accompanied likely by side
alterations in the dietary composition, affects lipid metabolism in pigs through
the downregulation of SREBP-1, which is a crucial determinant of lipogenic
process.
PMID- 24924524
TI - Vasorelaxant effects of Cerebralcare Granule(r) are mediated by NO/cGMP pathway,
potassium channel opening and calcium channel blockade in isolated rat thoracic
aorta.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Cerebralcare Granule (CG), one of the famous
classical recipes in traditional Chinese medicine, is developed from the
"Decoction of Four Drugs". It has been used for treatment of cerebrovascular
related diseases, such as hypertension. It is well known that vasodilatation
plays a very important role in hypertensive. Despite the popular medicinal use of
CG, little data was available to its activity and mechanism involved in
vasodilatation. Therefore, we aimed to investigate the vasorelaxant effects of CG
on isolated rat thoracic aorta so as to assess some of the possible mechanisms.
The present study was performed to examine the vasodilative activity of CG and
its mechanisms in isolated rat thoracic aorta. MATERIALS AND METHODS: CG was
studied on isolated rat thoracic aorta in vitro, including endothelium-intact and
endothelium-denuded aortic rings. In present study, specific inhibitors including
NO synthase inhibitor NG-nitro-L-arginine methyl ester (L-NAME), cyclooxygenase
(COX) inhibitor indomethacin (INDO), non-selective K+ channel inhibitor
tetraethylammonium chloride (TEA), Kir channel inhibitor BaCl2, KATP channel
inhibitor Glibenclamide (Gli) and cholinergic receptor antagonist atropine were
used, they were added 20 min before NE contraction and then added CG-induced
vasodilation. RESULTS: Removal of endothelium or pretreatment of aortic rings
(intact endothelium) with L-NAME (0.1 mM) or INDO (0.01 mM) significantly blocked
the CG induced relaxation. Pretreatment with the non-selective K+ channel
inhibitor TEA (1 mM), or the Kir channel inhibitor BaCl2 (0.1 mM), neither of
them had no influence on the CG-induced response (p>0.05). However, pretreatment
with the KATP channel inhibitor Gli (0.01 mM) produced significant inhibition on
the CG-induced response (p<0.01). Besides, CG also inhibited the contraction
triggered by NE in endothelium-denuded rings in Ca2+-free medium. CG (0.4, 0.8
and 3.2 mg/mL) produced rightward parallel displacement of CaCl2 curves and
reduced the maximum contraction induced by 30 mM CaCl2 to 31.1+/-9.3%, 18.8+/
6.9% and 9.4+/-4.5%, respectively. The relaxation, induced by CG on endothelium
intact rat aortic rings pre-contracted with NE, was significantly attenuated in
the presence of atropine (EC50=3.7 mg/mL, p<0.01). CONCLUSIONS: Our results
suggest that CG induces relaxation in rat aortic rings through an endothelium
dependent pathway mediated by NO/cGMP pathway and an endothelium-independent
pathway involving blockade of Ca2+ channels, inhibition of Ca2+ mobilization from
intracellular stores, opening of KATP channel. In addition, the muscarinic
receptor stimulation is also one of the vasorelaxant mechanisms.
PMID- 24924525
TI - What could Nintedanib (BIBF 1120), a triple inhibitor of VEGFR, PDGFR, and FGFR,
add to the current treatment options for patients with metastatic colorectal
cancer?
AB - Increasing knowledge of the pro-angiogenic processes involved in the progression
of metastatic colorectal cancer (mCRC) has resulted in the clinical development
of several anti-angiogenic agents, with bevacizumab currently being the only
approved agent for mCRC. Nintedanib (BIBF 1120) has been shown to block the
vascular endothelial growth factor receptor (VEGFR), the platelet-derived growth
factor receptor (PDGFR), and the fibroblast growth factor receptor (FGFR). By
targeting FGFR signaling, nintedanib may overcome resistance to previous anti
VEGF treatments, and may represent a better approach in patients with high basal
levels of circulating FGFs. In this article, the angiogenic mechanisms implicated
in mCRC are reviewed (focusing on the signaling pathways activated by VEGFR,
PDGFR, and FGFR), along with the clinical data for nintedanib in the context of
other anti-angiogenic tyrosine kinase inhibitors under clinical development for
mCRC. Biomarkers that could predict response to nintedanib are also discussed.
PMID- 24924526
TI - The prevalence, comorbidity and risks of prolonged grief disorder among bereaved
Chinese adults.
AB - Few epidemiological studies have investigated prolonged grief disorder (PGD) in
the general population of Asian countries, including China. The aim of this study
was to explore the rates and risks of PGD, and the association between PGD, post
traumatic stress disorder (PTSD), depression and anxiety in bereaved Chinese
adults. The PG-13, PTSD Checklist-Civilian Version (PCL-C), Zung Self-Rating
Depression Scale (SDS) and Zung Self-Rating Anxiety Scale (SAS) were administered
to 445 subjects. Prevalence within the general population of China was 1.8%
(i.e., 8/445). Among the eight subjects who met the PGD diagnosis, 75%, 87.5% and
75% scored above the cut-off point on the PCL-C, SDS and SAS, respectively,
although a portion remained free from comorbidity. ANOVA, correlation analysis
and stepwise multiple regression analysis demonstrated that kinship to deceased,
age of the deceased, religion belief and cause of death were predictive of
prolonged grief. A small proportion of bereaved persons may exhibit PGD. There is
a substantial but far from complete overlap between PGD and the other three
diagnoses. Bereaved parents and the widowed have high risk of PGD. These findings
highlight the need for prevention, diagnosis and treatment for PGD patients.
PMID- 24924527
TI - Distribution analyses of acquired melanocytic naevi on the trunk.
AB - Acquired melanocytic naevi (AMN) are a well-known risk factor for the development
of melanoma. Whereas previous studies have reviewed AMN distributions on
individual body sites, the clinical distribution of AMN on the adult trunk has
not been thoroughly investigated. We studied 40 participants with 1,282 naevi >5
mm, of which 781 were located on the trunk. Remarkably, 70% of these truncal
naevi were located on the back and we produced a continuous mathematical
description of decreasing naevus frequency moving dorsolaterally from the back
midline. Furthermore we found that for both sexes the mean naevus size was larger
on the front as well as on the lower trunk. This distinct pattern, whilst
probably being unwritten knowledge (in the dermatology domain), has not been
discussed before.
PMID- 24924528
TI - Note to the readers.
PMID- 24924531
TI - Red-green-blue fluorescent hollow carbon nanoparticles isolated from
chromatographic fractions for cellular imaging.
AB - An as-synthesised hollow carbon nanoparticle (HC-NP) sample has been proved to be
a relatively complex mixture, and its complexity can be reduced significantly by
high-performance liquid chromatography. An unprecedented reduction in such
complexity can reveal fractions of HC-NP with unique luminescence properties.
While the UV-vis absorption profile for the HC-NP mixture is featureless, the HC
NP fractions do possess unique absorption bands and specific emission
wavelengths. The HC-NP fractions are fully anatomised by matrix-assisted laser
desorption/ionisation time-of-flight mass spectrometry, displaying their
fragmentation mass ion features. The shell thickness and crystal lattices of the
selected HC-NP fractions are determined as 6.13, 8.31, 2.22, and 8.66 nm, and
0.37, 0.35, 0.33, and 0.32 nm by transmission electron microscopy, respectively.
The fractionated HC-NP show profound differences in emission quantum yield,
allowing for brighter HC-NP to be isolated from an apparent low quantum yield
mixture. Finally, red, green and blue emissive HC-NP are isolated from the as
synthesised HC-NP sample. They show good photostability and have been
demonstrated to be excellent probes for cellular imaging.
PMID- 24924530
TI - Body size across the life course and risk of premenopausal and postmenopausal
breast cancer in Black women, the Carolina Breast Cancer Study, 1993-2001.
AB - BACKGROUND: It is believed that greater adiposity is associated with reduced risk
of breast cancer in premenopausal but increased risk in postmenopausal women.
However, few studies have evaluated these relationships among Black women or
examined anthropometric measures other than near-diagnosis body mass index (BMI).
PURPOSE: This study investigated associations between measures of body size
across the life course and breast cancer risk among Black and White women living
in the US South. METHODS: We used data from the Carolina Breast Cancer Study, a
population-based case-control study of invasive breast cancer in North Carolina
women aged 20-74 years. We assessed nine body size variables, including age 10
relative weight; age 18 BMI; adult weight gain; "reference" BMI 1 year before
interview; and post-diagnosis measured BMI and abdominal obesity measures.
RESULTS: Among premenopausal Whites, heavier childhood relative weight was
associated with decreased cancer risk [odds ratio (OR) 0.48 95 % confidence
interval 0.33-0.70]. Among premenopausal Blacks, greater adult waist
circumference and waist-to-hip ratio (WHR) were associated with increased risk
[waist OR 1.40 (1.00-1.97) and high tertile WHR OR 2.03 (1.29-3.19)], with
associations for WHR in a similar direction in Whites. Among postmenopausal
women, recalled body size was not associated with risk, except for increased risk
associated with adult weight gain among White non-hormone therapy users. ER/PR
status and hormone therapy use also modified other associations. DISCUSSION: In
this population, greater adult BMI was not associated with increased breast
cancer risk, but some measures of early-life body size and abdominal obesity were
associated with risk.
PMID- 24924533
TI - Division of parental care and reproductive success in the zebra finch
(Taeniopygia guttata ).
AB - Variation in reproductive success among pairs of Zebra finches (Taeniopygia
guttata ), bred in captivity under time- rather than energy-limited conditions,
arose primarily because of differences in number of clutches per reproductive
bout. Division of parental care between mates during the time-constrained
incubation phase, rather than the fledging phase, affected their fitness and
future behavior. Pairs where the males assumed a greater fraction of the pooled
incubation time were more likely to breed together again than pairs where males
did not share in this activity as equally. In addition, males remated with
familiar mates increased their time investment in incubation.
PMID- 24924532
TI - A series of severe necrotising soft-tissue infections in a regional centre in
Sweden.
AB - BACKGROUND: Necrotising soft-tissue infections (NSTIs) are rare conditions with
high morbidity and mortality. Patients with NSTIs are often transferred to
tertiary hospitals, but the question of whether the potential benefits of highly
specialised care outweigh the risks associated with inter-hospital transfers has
been raised. METHODS: Prospective study including all patients with NSTIs treated
at the intensive care unit at Sahlgrenska University Hospital/East between
January 2008 and December 2011. RESULTS: Twenty-nine patients with NSTIs were
identified. Their median age was 54 years and 69% were men. Major co-morbidities
were present in 45%. Seventeen patients (59%) were referred from other hospitals.
Only 33% of the patients were correctly diagnosed or suspected of having NSTIs in
the emergency department. Group A Streptococcus was the most common
microbiological finding (41%), followed by Enterobacteriaceae (17%). The median
time from hospitalisation to the first dose of antibiotics was 6 h and the median
time to primary surgery was 16 h. Hyperbaric oxygen therapy was given to 86%, and
intravenous immunoglobulin was given in 52% of the cases. The 30-day mortality
was 14% (4/29). The times to the first dose of antibiotics, intensive care unit
admission and primary surgery did not differ between transferred and directly
admitted patients, and there was no difference in outcome between the groups.
CONCLUSIONS: Patients with NSTIs develop severe local and systemic symptoms and
require extremely resource-demanding hospitalisation. Inter-hospital transfer was
not associated with a delay in key interventions and could not be identified as a
risk factor for adverse outcome.
PMID- 24924534
TI - Position learning in chicks.
AB - Chicks were trained to discriminate between two similar boxes according to their
"relative" (i.e. in relation to each other) or "absolute" (i.e. in relation to
the cage or other features of the environment) position. Results showed: (a) when
the boxes were placed close together, learning on the basis of their relative
position was much more rapid than on the basis of their absolute position; (b)
when the boxes were placed further apart, learning required more trials but
differences between the two training methods were reduced.
PMID- 24924535
TI - New data on communication behaviour between the young child and his pet dog.
AB - A systematic investigation of communication behaviour between 2-to-5 year old
children and their pet dogs (N=18), from video films shot in the home, shows that
children take the initiative in communication more often, and that they seek more
body contact with their dog. Different characteristics of the children such as
age, family size and different characteristics of the dog such as size and sex
are significantly correlated (p<.01 or p<.05) with the quantity of communications
and with the different types of child behaviour in relation to the dog (stroking,
hitting, etcz.sfnc;). This preliminary report leads to suggestions for further
investigations of the data.
PMID- 24924536
TI - Does neophobia necessarily imply fear or anxiety?
AB - Mice which had the opportunity to move around freely in simultaneously presented
novel and familiar environments, did not display significant changes in plasma
corticosterone levels nor in autonomic responses. In contrast, the signs of
anxiety only appeared when mice were unable to regulate their own approach
towards novelty, by preventing them from returning to their familiar compartment
once they had freely entered the unfamiliar one, or by placing them physically
into the novel compartment. These results demonstrate that contrary to the view
generally encountered in the literature, anxiety, or fear, is not induced by
novelty per se but when animals are artificially confronted novel stimuli and
prevented from displaying normal neophobic responses.
PMID- 24924537
TI - Responses to novelty in staggerer mutant mice.
AB - Responses to novelty in normal C57BL/6 and staggerer mutant mice were recorded.
The normal mice confronted a novel object in their familiar environment showed
avoidance and burying responses while the staggerer mutant mice contacted it.
When given the opportunity to move around freely in simultaneously presented
novel and familiar environments, the mutant mice more quickly entered the novel
areas than normal animals. these data reveal a significant decrease in the
neophobic components of the neotic behaviour in the staggerer mice. However,
since the mutant mice did not show a locomotor deficit, the impairment of
neophobia seems not to be due to the gait abnormalities of these animals. The
results support the view that the cerebellum may contribute to the organization
of complex behaviours.
PMID- 24924538
TI - Adaptation to cold swim stress-induced hypothermia: Absence of Pavlovian
conditional tolerance.
AB - Mice subjected to cold swim stress developed pronounced hypothermia. Exposure to
warm water swim, however, had little or no effect on body temperature. After
repeated exposure to cold swim, the stress-induced hypothermia was attenuated.
The finding that cold swim resulted in hypothermia, whereas warm swim had no
effect in this respect, provided a useful experimental design by which to assess
the role of conditioning factors in the adaptation to the thermic effects of cold
swim. In two subsequent experiments, mice received cold swim either in a familiar
environment or in a novel environment. Adaptation to the thermic effects of cold
swim was observed when mice were tested in the distinctive environment,
regardless of the environmental cues previously paired with repeated exposure to
the cold swim stress. These findings suggest that contextual cues were not of
primary importance in the development of tolerance to the thermic effects of cold
swim stress.
PMID- 24924539
TI - Sex differences during initial social contact in the degu (Octodon degus ).
AB - The degu is a hystricomorph rodent endemic to South America. Initial social
interactions between like-sexed pairs in a neutral area were found to be heavily
influenced by sex-class membership. Twelve of fifteen behavioral categories were
differentiated on the basis of sex-class. Descriptive factor loadings indicated
that social contact was facilitated in females via olfactory investigation. In
males, social avoidance or spacing behaviors were characteristic. In both sexes,
scent marking and olfactory investigation was prevalent. These data serve to
expand our knowledge of the behavior of this social rodent, and to document the
sexual dimorphism evident in early social activities.
PMID- 24924540
TI - Temporal spacing of signals in communication, studied in weakly-electric mormyrid
fish (teleostei, pisces).
AB - Both the shape of the electric pulses and their rhythm of emission serve in
communication in weakly-electric mormyrid fish. Because the pulses emitted by a
given individual show very little variability, whereas the rhythm of emission is
highly variable, the mormyrids electric emission can be considered to be the
repetition according to various temporal spacings of the same signal. It allows
therefore to study the role of the temporal spacing of signals in communication.
The temporal spacing of the pulses could serve at a global level, in allowing the
effects of consecutive pulses to summate. It could also be itself part of higher
order signals. In order to discriminate between these two possibilities,
sequences of a conspecific's electric emission were played back to the mormyrid
fish Gnathonemus petersii . The importance of the temporal spacing of the pulses
at a global level was investigated by comparing the effects of (a)- 3 sequences
of similar overall rate of discharge, (b)- 2 sequences of same rate of discharge
plus same composition in interpulse intervals. Sequences of similar mean
frequency (14 +/- 1 Hz) elicited different responses, showing they had different
communicative values. Sequences having both the same interval composition and the
same mean frequency elicited reactions which presented similarities, showing that
the communicative value of the electric emission does nevertheless depend on the
spacing of the pulses at a global level. The presence of higher order signals was
investigated by testing the importance of the serial ordering of the intervals in
electric emission. Randomisation of the intervals did alter the effect of a
sequence, suggesting the existence of such signals. We showed that both the
global composition in intervals and their serial ordering are relevant to
electrocommunication in mormyrids. Thus, their communication system constitutes a
useful model for the study of the transformation of sequences of simple signals
into higher-order signals.
PMID- 24924542
TI - The incidence of genitourinary and gastrointestinal complications in open and
endoscopic gynecologic cancer surgery.
AB - OBJECTIVES: The purpose of this study was to examine the incidence of
genitourinary and intestinal tract injuries in an effort to identify which
factors might predispose a patient to developing one of these surgical
complications. METHODS: We retrospectively evaluated the charts of gynecologic
cancer patients who were treated at a single medical institution from January
2002 to February 2011. The following study variables were noted for evaluation:
age, BMI, cancer origin, disease recurrence, a history of pelvic surgery, surgery
type, operative approach and injury classification (genitourinary or
gastrointestinal). RESULTS: In our group of 1,618 patients, a total of 47 (2.9%)
gastrointestinal and 18 (1.1%) genitourinary tract injuries were encountered.
There were no intraoperative-related deaths but 2 patients expired 1 month after
surgery. Logistic regression indicated that surgery type, undergoing an open
procedure, cancerous involvement of the bowel or genitourinary tract and a
history of pelvic surgery were significant predictors of operative injury
occurrence [chi(2) (28) = 167.22; p < 0.001]. CONCLUSIONS: We ascertained a
relatively low incidence of gastrointestinal and genitourinary complications.
Nevertheless, undergoing an open procedure, a history of pelvic surgery and
surgical involvement of the bowel or genitourinary tract were predictive of an
increased risk for these aforementioned injuries.
PMID- 24924541
TI - Cell activation and HIV-1 replication in unstimulated CD4+ T lymphocytes
ingesting exosomes from cells expressing defective HIV-1.
AB - BACKGROUND: A relevant burden of defective HIV-1 genomes populates PBMCs from HIV
1 infected patients, especially during HAART treatment. These viral genomes,
although unable to codify for infectious viral particles, can express viral
proteins which may affect functions of host cells as well as bystander ones.
Cells expressing defective HIV-1 have a lifespan longer than that of cells
producing infectious particles. Hence, their interaction with other cell types,
including resting lymphocytes, is expected to occur frequently in tissues where
HIV actively replicates. We investigated the effects of the expression of a
prototype of functionally defective HIV-1 on bystander, unstimulated CD4+ T
lymphocytes. RESULTS: We observed that unstimulated human primary CD4+ T
lymphocytes were activated and became permissive for HIV-1 replication when co
cultivated with cells expressing a functionally defective HIV-1 (F12/Hut-78
cells). This effect depended on the presence in F12/Hut-78 supernatants of
nanovesicles we identified as exosomes. By inspecting the underlying mechanism,
we found that ADAM17, i.e., a disintegrin and metalloprotease converting pro-TNF
alpha in its mature form, associated with exosomes from F12/Hut-78 cells, and
played a key role in the HIV-1 replication in unstimulated CD4+ T lymphocytes. In
fact, the treatment with an inhibitor of ADAM17 abolished both activation and HIV
1 replication in unstimulated CD4+ T lymphocytes. TNF-alpha appeared to be the
downstream effector of ADAM17 since the treatment of unstimulated lymphocytes
with antibodies against TNF-alpha or its receptors blocked the HIV-1 replication.
Finally, we found that the expression of NefF12 in exosome-producing cells was
sufficient to induce the susceptibility to HIV-1 infection in unstimulated CD4+ T
lymphocytes. CONCLUSIONS: Exosomes from cells expressing a functionally defective
mutant can induce cell activation and HIV-1 susceptibility in unstimulated CD4+ T
lymphocytes. This evidence highlights the relevance for AIDS pathogenesis of the
expression of viral products from defective HIV-1 genomes.
PMID- 24924544
TI - On the search for the perfect mismatch!
PMID- 24924543
TI - Computer-aided diagnosis improves detection of small intracranial aneurysms on
MRA in a clinical setting.
AB - BACKGROUND AND PURPOSE: MRA is widely accepted as a noninvasive diagnostic tool
for the detection of intracranial aneurysms, but detection is still a challenging
task with rather low detection rates. Our aim was to examine the performance of a
computer-aided diagnosis algorithm for detecting intracranial aneurysms on MRA in
a clinical setting. MATERIALS AND METHODS: Aneurysm detectability was evaluated
retrospectively in 48 subjects with and without computer-aided diagnosis by 6
readers using a clinical 3D viewing system. Aneurysms ranged from 1.1 to 6.0 mm
(mean = 3.12 mm, median = 2.50 mm). We conducted a multireader, multicase, double
crossover design, free-response, observer-performance study on sets of images
from different MRA scanners by using DSA as the reference standard. Jackknife
alternative free-response operating characteristic curve analysis with the figure
of merit was used. RESULTS: For all readers combined, the mean figure of merit
improved from 0.655 to 0.759, indicating a change in the figure of merit
attributable to computer-aided diagnosis of 0.10 (95% CI, 0.03-0.18), which was
statistically significant (F(1,47) = 7.00, P = .011). Five of the 6 radiologists
had improved performance with computer-aided diagnosis, primarily due to
increased sensitivity. CONCLUSIONS: In conditions similar to clinical practice,
using computer-aided diagnosis significantly improved radiologists' detection of
intracranial DSA-confirmed aneurysms of <=6 mm.
PMID- 24924545
TI - Qualitative and quantitative performance of 18F-FDG-PET/MRI versus 18F-FDG-PET/CT
in patients with head and neck cancer.
AB - BACKGROUND AND PURPOSE: MR imaging and PET/CT are integrated in the work-up of
head and neck cancer patients. The hybrid imaging technology (18)F-FDG-PET/MR
imaging combining morphological and functional information might be attractive in
this patient population. The aim of the study was to compare whole-body (18)F-FDG
PET/MR imaging and (18)F-FDG-PET/CT in patients with head and neck cancer, both
qualitatively in terms of lymph node and distant metastases detection and
quantitatively in terms of standardized uptake values measured in (18)F-FDG-avid
lesions. MATERIALS AND METHODS: Fourteen patients with head and neck cancer
underwent both whole-body PET/CT and PET/MR imaging after a single injection of
(18)F-FDG. Two groups of readers counted the number of lesions on PET/CT and
PET/MR imaging scans. A consensus reading was performed in those cases in which
the groups disagreed. Quantitative standardized uptake value measurements were
performed by placing spheric ROIs over the lesions in 3 different planes.
Weighted and unweighted kappa statistics, correlation analysis, and the Wilcoxon
signed rank test were used for statistical analysis. RESULTS: kappa statistics
for the number of head and neck lesion lesions counted (pooled across regions)
revealed interreader agreement between groups 1 and 2 of 0.47 and 0.56,
respectively. Intrareader agreement was 0.67 and 0.63. The consensus reading
provided an intrareader agreement of 0.63. For the presence or absence of
metastasis, interreader agreement was 0.85 and 0.70. The consensus reading
provided an intrareader agreement of 0.72. The correlations between the maximum
standardized uptake value in (18)F-FDG-PET/MR imaging and (18)F-FDG-PET/CT for
primary tumors and lymph node and metastatic lesions were very high (Spearman r =
1.00, 0.93, and 0.92, respectively). CONCLUSIONS: In patients with head and neck
cancer, (18)F-FDG-PET/MR imaging and (18)F-FDG-PET/CT provide comparable results
in the detection of lymph node and distant metastases. Standardized uptake values
derived from (18)F-FDG-PET/MR imaging can be used reliably in this patient
population.
PMID- 24924546
TI - Brain metabolic mapping with MRS: A potent noninvasive tool for clinical
diagnosis of brain disorders.
PMID- 24924547
TI - Prediction of glioma recurrence using dynamic 18F-fluoroethyltyrosine PET.
AB - BACKGROUND AND PURPOSE: Inter- and intratumor heterogeneity and the variable
course of disease in patients with glioma motivate the investigation of new
prognostic factors to optimize individual treatment. Here we explore the
usefulness of standard static and more sophisticated dynamic (18)F
fluoroethyltyrosine-PET imaging for the assessment of patient prognosis.
MATERIALS AND METHODS: Thirty-four consecutive patients with untreated, first
diagnosed, histologically proved glioma were included in this retrospective
study. All patients underwent dynamic PET scans before surgery (+/- standard
treatment) and were followed up clinically and by MR imaging. Static and dynamic
tumor-to-background ratio, TTP, and slope-to-peak were obtained and correlated
with progression-free survival. RESULTS: Twenty of 34 patients experienced
progression, with a median progression-free survival of 28.0 +/- 11.1 months.
Dynamic TTP was highly prognostic for recurrent disease, showing a strong
correlation with progression-free survival (hazard ratio, 6.050; 95% CI, 2.11
17.37; P < .001). Most interesting, this correlation also proved significant in
the subgroup of low-grade glioma (hazard ratio, 5.347; 95% CI, 1.05-27.20; P =
.044), but not when using established static imaging parameters, such as maximum
tumor-to-background ratio and mean tumor-to-background ratio. In the high-grade
glioma subgroup, both dynamic and static parameters correlated with progression
free survival. The best results were achieved by defining ROIs around "hot spots"
in earlier timeframes, underlining the concept of intratumor heterogeneity.
CONCLUSIONS: (18)F-fluoroethyltyrosine-PET can predict recurrence in patients
with glioma, with dynamic analysis showing advantages over static imaging,
especially in the low-grade subgroup.
PMID- 24924548
TI - Neurointerventional research between 2003 and 2012: slow growth, high
interdisciplinary collaboration, and a low level of funding.
AB - BACKGROUND AND PURPOSE: Neurointerventional therapy of cerebrovascular disease is
a greatly expanding field across many specialty disciplines. The goal of this
study was to analyze the characteristics and trends of scientific publications
that focused on neurointervention during the past decade. MATERIALS AND METHODS:
A bibliometric evaluation of neurointerventional research published between 2003
and 2012 was conducted by using the PubMed data base. Analyzed parameters
included the year of publication, type of document, language of the article,
topic, declared funding, country of origin, type of collaboration between
disciplines, the first author's specialty, and subject category and the Impact
Factor of the publishing journal. RESULTS: Between 2003 and 2012, a total of 2123
articles were published, of which 1107 (52.1%) were original articles, 1948
(91.8%) were written in English, 192 (9.0%) received funding, 661 (31.1%) were
published by the United States, and 1060 (49.9%) resulted from interdisciplinary
collaboration. Neurosurgery departments produced the most articles (n = 910,
42.9%), followed by radiology (n = 747, 35.2%) and neurology (n = 270, 12.7%).
The time-trend analysis in the number of publications demonstrated slow growth
from 2003 to 2012, with an average annual growth rate of +6.0%. CONCLUSIONS: The
fields of neurosurgery, radiology, and neurology have contributed substantially
to neurointervention research. Slow growth, high interdisciplinary collaboration,
and a low level of funding are peculiar characteristics of research in this
field.
PMID- 24924549
TI - The role of functional dopamine-transporter SPECT imaging in parkinsonian
syndromes, part 2.
AB - SUMMARY: The functional imaging technique most widely used in European clinics to
differentiate a true parkinsonian syndrome from vascular parkinsonism, drug
induced changes, or essential tremor is dopamine-transporter SPECT. This
technique commonly reports dopamine-transporter function, with decreasing
striatal uptake demonstrating increasingly severe disease. The strength of
dopamine-transporter SPECT is that nigrostriatal degeneration is observed in both
clinically inconclusive parkinsonism and early, even premotor, disease. In this
clinical review (Part 2), we present the dopamine-transporter SPECT findings in a
variety of neurodegenerative diseases, including multiple system atrophy,
progressive supranuclear palsy, corticobasal degeneration, and dementia with Lewy
bodies. The findings in vascular parkinsonism, drug-induced parkinsonism, and
essential tremor are also described. It is hoped that this technique will be the
forerunner of a range of routinely used, process-specific ligands that can
identify early degenerative disease and subsequently guide disease-modifying
interventions.
PMID- 24924550
TI - Neuroimaging of diving-related decompression illness: current knowledge and
perspectives.
AB - Diving-related decompression illness is classified into 2 main categories:
arterial gas embolism and decompression sickness. The latter is further divided
into types 1 and 2, depending on the clinical presentation. MR imaging is
currently the most accurate neuroimaging technique available for the detection of
brain and spinal cord lesions in neurologic type 2 decompression sickness. Rapid
bubble formation in tissues and the bloodstream during ascent is the basic
pathophysiologic mechanism in decompression illness. These bubbles can damage the
central nervous system through different mechanisms, namely arterial occlusion,
venous obstruction, or in situ toxicity. Neuroimaging studies of decompression
sickness have reported findings associated with each of these mechanisms: some
typical results are summarized and illustrated in this article. We also review
the limitations of previous work and make practical methodologic suggestions for
future neuroimaging studies.
PMID- 24924551
TI - The 3 pillars of health.
PMID- 24924552
TI - Advancement of technology and its impact on urologists: release of the daVinci
Xi, a new surgical robot.
AB - The technology for robotic surgery continues to evolve. Robotic surgery has
allowed us the opportunity to critically analyze outcomes and improve surgical
technique both open and robotic. The new da Vinci Xi may allow us to do even more
complex surgeries with minimally invasive techniques, but the true advantages
remain to be seen.
PMID- 24924553
TI - Predictors of cancer-specific mortality after disease recurrence in patients with
squamous cell carcinoma of the penis.
AB - Disease recurrence occurs frequently after surgical treatment for squamous cell
carcinoma of the penis (SCCp). We sought to determine prognostic factors that
influence cancer-specific mortality (CSM) after disease recurrence in patients
with SCCp. We performed a retrospective analysis of 314 patients who experienced
disease recurrence after surgical treatment for SCCp between 1949 and 2012.
Competing risk regression analysis addressed factors associated with CSM after
SCCp recurrence. Median time from surgery to disease recurrence was 10.5 mo
(interquartile range [IQR]: 5.9-21.3). Of the recurrences, 165 (53%), 118 (38%),
and 31 (9.9%) were local, regional, or distant, respectively. Within a median
follow-up of 4.5 yr (IQR: 2.0-6.5), 108 patients died of SCCp and 41 patients
died of causes other than SCCp. Shorter time to disease recurrence was found to
be significantly associated with a higher risk of CSM (p=0.0006). Lymph node
metastasis at the time of initial treatment (subdistribution hazard ratio [SHR]:
1.96; 95% confidence interval [CI] 1.23- 3.11; p=0.005) and regional recurrence
(SHR: 4.14; 95% CI, 2.16-7.93; p<0.0001) or distant recurrence (SHR: 5.75; 95%
CI, 2.59-12.73; p<0.0001) were associated with increased risk of CSM after
disease recurrence. Inclusion of time to recurrence into risk stratification may
help patient counseling and treatment planning.
PMID- 24924554
TI - Weighted versus unweighted Charlson score to predict long-term other-cause
mortality in men with early-stage prostate cancer.
AB - BACKGROUND: Clinicians need a simple yet accurate method to predict other-cause
mortality to inform medical decision making for men with prostate cancer (PCa).
OBJECTIVE: To compare weighted and unweighted Charlson Comorbidity Index scores
in predicting long-term, other-cause mortality in men with early-stage PCa.
DESIGN, SETTING, AND PARTICIPANTS: A retrospective cohort study of 1482 men with
early-stage PCa diagnosed in 1998-2004 at two Southern California Veterans
Affairs medical centers. OUTCOME MEASUREMENTS AND STATISTICAL ANALYSIS: Subhazard
ratios and cumulative incidence of other-cause mortality associated with weighted
and unweighted Charlson scores, calculated by competing-risks regression
accounting for cancer mortality, along with Harrell concordance index (C-index)
values. RESULTS AND LIMITATIONS: Weighted and unweighted Charlson scores were
identical in 88.6% of subjects (1313 of 1482 men) across all scores and in 91.7%
of subjects (1359 of 1482 men) across scores of 0, 1, 2, and >=3. In competing
risks analysis, hazards of other-cause mortality were similar when comparing
weighted and unweighted scores. Men with weighted scores of 1, 2, and >=3 (vs. 0)
had subhazard ratios of 2.3 (95% confidence interval [CI], 1.6-3.2), 4.1 (95% CI,
2.9-5.8), and 8.3 (95% CI, 5.9-11.5), respectively. Men with unweighted scores of
1, 2, and >=3 (vs. 0) had subhazard ratios of 2.5 (95% CI, 1.8-3.5), 4.5 (95% CI,
3.2-6.3), and 10.3 (95% CI, 7.2-14.7), respectively. The C-indexes for prediction
of other-cause mortality were nearly identical for weighted scores (0.759 [95%
CI, 0.715-0.780]) and unweighted scores (0.756 [95% CI, 0.717-0.780]). The
difference in C-index between the two methods was -0.003 (95% CI, -0.01 to
0.004). CONCLUSIONS: An unweighted Charlson score yields similar strength of
association and variance in predicting long-term, other-cause mortality compared
with a weighted Charlson score. PATIENT SUMMARY: A simple count of major
comorbidities provides similar accuracy to a weighted index in predicting death
from other causes in men with early-stage prostate cancer.
PMID- 24924555
TI - To flee, or not to flee, that is the question for older asylum seekers.
PMID- 24924556
TI - Candida albicans promotes invasion and colonisation of Candida glabrata in a
reconstituted human vaginal epithelium.
AB - OBJECTIVE: The principal aim of this study was to investigate the in vitro co
infection of a reconstituted human vaginal epithelium (RHVE) by Candida albicans
and Candida glabrata. METHODS: The ability of both species to invade and colonise
the RHVE was examined using species-specific peptide nucleic acid (PNA) probe
hybridisation, confocal laser scanning microscopy (CLSM) and a novel qRT-PCR
protocol for Candida quantification in the tissues. RHVE damage was evaluated by
measuring lactate dehydrogenase (LDH) activity. Candida virulence gene expression
(HWP1, ALS, EPA, PLB, PLD and SAP) was evaluated by quantitative RT-PCR. RESULTS:
The results showed that whilst both species induced damage to the RHVE, this was
notably less with C. glabrata. Interestingly, there was a significant increase in
C. glabrata RHVE colonisation and invasiveness when it was added to the tissue
with C. albicans. The extent of RHVE damage caused by the two species appeared to
be primarily dependent on the process of invasion. Of the virulence genes
assayed, HWP1, PLD1 and ALS3 were deemed to be most associated with pathogenicity
in the model. CONCLUSIONS: For the first time, we have demonstrated that the RHVE
model coupled with specific tools of analysis, allows assessment of Candida
colonisation and invasion in single and co-infection. Using this model we have
demonstrated that C. albicans enhanced C. glabrata colonisation, invasion and
tissue damage, which was also evidenced by the expression of virulence genes.
PMID- 24924557
TI - Aspergillus in the lower respiratory tract of immunocompetent critically ill
patients.
AB - OBJECTIVES: To shed light on the meaning of Aspergillus-positive lower
respiratory-tract samples in non immunocompromized critically ill patients.
METHODS: Multicentre matched case-control (1:5) study. We used prospectively
collected data to identify risk factors for Aspergillus-positive specimens, as
well as outcomes in Aspergillus-positive patients. RESULTS: 66 cases (5 with
definite invasive pulmonary aspergillosis (IPA), 18 with probable IPA, and 43
colonisations) were matched to 330 controls. In the multivariate conditional
logistic model, independent risk factors for at least one Aspergillus-positive
respiratory-tract specimen were worse SAPSII at admission [OR, 1.10; 95%CI, 1.00
1.21], ARDS [OR, 2.64; 95%CI, 1.29-5.40]; long-term steroid therapy [OR, 4.77;
95%CI, 1.49-15.23]; steroid therapy started in the ICU [OR, 11.03; 95%CI, 4.40
27.67]; and bacterial infection [OR, 2.73; 95%CI, 1.37-5.42]. The risk of death,
compared to the controls, was not higher in the cases overall [HR, 0.66; 95%CI,
0.41-1.08; p = 0.1] or in the subgroups with definite IPA [HR, 1.60; 95%CI, 0.43
5.94; p = 0.48], probable IPA [HR, 0.84; 95%CI, 0.28-2.50; p = 0.76], or
colonisation [HR, 0.58; 95%CI, 0.33-1.02; p = 0.06]. In cases who received
antifungal therapy, mortality was not lower than in untreated cases [HR, 0.67;
95%CI, 0.36-1.24; p = 0.20]. CONCLUSIONS: In critically ill immunocompetent
patients, risk factors for presence of Aspergillus in lower respiratory tract
specimens are steroid therapy (either chronic or initiated in the ICU), ARDS, and
high severity of the acute illness. Prospective studies are warranted to further
examine these risk factors and to investigate immune functions as well as the
impact of antifungal therapy on patient outcomes.
PMID- 24924558
TI - Lost in translation, or overestimating administrative data for outcome control in
colorectal surgery.
PMID- 24924559
TI - Letter from the president.
PMID- 24924565
TI - The impact of a locally applied vibrating device on outpatient venipuncture in
children.
AB - OBJECTIVE: To assess the impact of a locally applied vibrating device on
outpatient venipuncture in children. METHOD: A retrospective review of survey
data collected prospectively as part of a quality improvement project. Both
patients and phlebotomists were surveyed. The sample consisted of 64 children
aged 4 to 18 years (29 prior to the implementation of the vibrating device and 35
afterward) and 7 phlebotomists. RESULTS: Prior to the use of the vibrating
device, 17 children (59%) indicated that they wished something had been done to
decrease venipuncture pain. Eighty percent of the cohort that used the vibrating
device indicated that they would like it used for future procedures. Children
with previous venipuncture experiences appeared to benefit most from use of the
vibrating technique. The phlebotomists reported that vibration made the procedure
easier in 81% of the cases; none reported that it complicated the procedure.
CONCLUSIONS: Locally applied vibration appears to be a well-accepted technique to
minimize pediatric venipuncture discomfort that may facilitate completion of the
procedure.
PMID- 24924564
TI - Towards a clinically useful diagnosis for mild-to-moderate conditions of
medically unexplained symptoms in general practice: a mixed methods study.
AB - BACKGROUND: Symptoms that cannot be attributed to any known conventionally
defined disease are highly prevalent in general practice. Yet, only severe cases
are captured by the current diagnostic classifications of medically unexplained
symptoms (MUS). This study explores the clinical usefulness of a proposed new
diagnostic category for mild-to-moderate conditions of MUS labelled 'multiple
symptoms'. METHODS: A mixed methods approach was used. For two weeks, 20 general
practitioners (GPs) classified symptoms presented in consecutive consultations
according to the International Classification of Primary Care (ICPC) supplemented
with the new diagnostic category 'multiple symptoms'. The GPs' experiences were
subsequently explored by focus group interviews. Interview data were analysed
according to ethnographic principles. RESULTS: In 33% of patients, GPs classified
symptoms as medically unexplained, but applied the category of 'multiple
symptoms' only in 2.8%. The category was described as a useful tool for promoting
communication and creating better awareness of patients with MUS; as such, the
category was perceived to reduce the risk of unnecessary tests and referrals of
these patients. Three main themes were found to affect the clinical usefulness of
the diagnostic category of 'multiple symptoms': 1) lack of consensus on
categorisation practices, 2) high complexity of patient cases and 3) relational
continuity (i.e. continuity in the doctor-patient relationship over time). The
first two were seen as barriers to usefulness, the latter as a prerequisite for
application. The GPs' diagnostic classifications were found to be informed by the
GPs' subjective pre-formed concepts of patients with MUS, which reflected more
severe conditions than actually intended by the new category of 'multiple
symptoms'. CONCLUSIONS: The study demonstrated possible clinical benefits of the
category of 'multiple symptoms', such as GPs' increased awareness and
informational continuity in partnership practices. The use of the category was
challenged by the GPs' conceptual understanding of MUS and was applied only to a
minority of patients. The study demonstrates a need for addressing these issues
if sub-threshold categories for MUS are to be applied in routine care. The
category of 'multiple symptoms' may profitably be used in the future as a risk
indicator rather than a diagnostic category.
PMID- 24924566
TI - [A retrospective cohort study regarding the effect of sirolimus-based
immunosuppression protocol on the long-term survival of hepatocellular carcinoma
patients after liver transplantation].
AB - OBJECTIVE: To evaluate the influence of sirolimus on the long-term survival of
patients after orthotopic liver transplantation (OLT) for hepatocellular
carcinoma (HCC). METHODS: Clinic data of 165 consecutive patients who underwent
OLT for HCC from February 2005 to March 2012 was analyzed retrospectively. Among
them, 94 patients were treated with a sirolimus-based immunosuppressive protocol
after OLT, while the other 71 patients with a FK506-based protocol. Postoperative
survival time, survival, disease-free survival (DFS) and tumor recurrence rates
between the two groups were compared. RESULTS: The 2 groups were comparable in
all clinicopathologic parameters. The sirolimus-based group had higher patient
survival rates than the control group at 1-year (87% vs. 97%, P = 0.03), 2-year
(80% vs. 88%), 3-year (76% vs. 85%) and 5-year (63% vs. 75%). The 1-year, 2-year,
3-year and 5-year recurrence rates were 12% vs. 3%, 17% vs. 9%, 21% vs. 9% (P =
0.04) and 31% vs. 16% (P = 0.03). Early and mid-HCC (I - II stage) of 131 cases
(control group 61 cases, sirolimus-based group of 70 patients). The 1-year, 2
year, 3-year and 5-year survival rates were 90% vs. 97% , 80% vs. 90%, 78% vs.
86% and 65% vs. 82% (P = 0.04) and recurrence rates were 10% vs. 3%, 16% vs. 8%,
18% vs. 8% and 29% vs. 11% (P = 0.01). CONCLUSION: The sirolimus-based
immunosuppressive protocol reduce long-term postoperative recurrence rate and
improve the survival rate of patients after OLT for HCC significantly (especially
early-mid HCC).
PMID- 24924567
TI - [Outcome of laparoscopic radical right hemicolectomy with complete mesocolic
resection and D3 lymphadenectomy].
AB - OBJECTIVE: To investigate the feasibility of laparoscopic approach for totally
mesocolic resection and D3 lymphadenectomy in right colectomy. METHODS: A
retrospective study was conducted to analyze the operating time, blood loss,
lymph node retrieval, postoperative complications and converting rate. The
relationships of 3-year disease-free survival (DFS), 3-year overall survival (OS)
to gender, age, American Society of Anesthesiologists (ASA) score, body mass
index (BMI), T-staging, N-staging and TNM classification were also analyzed by
Kaplan-Meier surviving curve and Log-rank test. RESULTS: A total of 111 patients
were enrolled in present study. There were 50 male and 61 female patients. The
average operating time was (168 +/- 42) minutes, blood loss was (81 +/- 63) ml,
lymph node retrieval was (30 +/- 12). The converting rate to open surgery was
1.8%. There was no death within 30 days after operation. The 3-year DFS and 3
year OS was 86.5% and 93.7% respectively. The short-term complications occurred
in 17.1% of the patients, including diarrhea (7 cases), ileus (3 cases), urinary
infection (3 cases), wound dehiscence (2 cases) and so on. With the T staging
progress, DFS and OS in patients showed a gradual decline, but the difference did
not reach statistical significance (P > 0.05). TNM classification had relation to
DFS (chi(2) = 6.985, P = 0.030), while N-staging showed significant relations
both to DFS and OS (chi(2) = 14.397, P = 0.001; chi(2) = 16.699, P = 0.000).
CONCLUSION: Laparascopic approach to right hemicolectomy with complete mesocolic
resection and D3 lymphadenectomy is safe and has satisfied oncological outcome.
PMID- 24924568
TI - [A single-center retrospective study on the intraluminal implantation of inferior
vena cava filter for the lower extremity trauma patients with deep venous
thrombosis].
AB - OBJECTIVE: To evaluate the application of inferior vena cava filter (IVCF) in
prevention of peri-operative pulmonary embolism (PE) in lower limb bone fracture
patients with deep venous thrombosis (DVT). METHODS: From January 2003 to
December 2012, 2 248 cases of lower limb and pelvic fractures with DVT were
retrospectively analyzed. Before the procedure of IVCF implantation began,
January 2003 to December 2007, there were 1 052 cases of acute trauma patients
with DVT were classified as the group of early none-IVCF. The IVCF implantation
was began since January 2008. From that time to December 2012, 712 cases of bone
fractures with DVT received filter implantation, which were classified as IVCF
group. The other 484 patients who had not undergone filter deployment were
divided as group of late none-IVCF. The baseline conditions of the three groups
were significantly different in addition to the ages between group of early none
IVCF and IVCF group. The incidences of PE and mortality of PE in each group were
recorded and analyzed by chi(2) test. RESULTS: There were totally 31 cases of
symptomatic PE, among which 12 cases died. Totally 712 filters were deployed
successfully without any major complications. The incidences of symptomatic PE
were 0.14% (1/712), 2.19% (23/1 052) and 1.45% (7/484) in IVCF group, group of
early none-IVCF and group of late none-IVCF, respectively. The mortality of PE
were 0 (0/712), 0.86% (9/1 052) and 0.62% (3/484) in these groups. The incidence
of symptomatic PE in IVCF group was significantly different from that in the
group of early and late none-IVCF (chi(2) = 11.762, P = 0.001; chi(2) = 7.395, P
= 0.007, respectively). The mortality of IVCF group was also significantly lower
compared with the other two groups (chi(2) = 6.122, P = 0.013; chi(2) = 4.424, P
= 0.035, respectively). CONCLUSION: IVCF implantation effectively prevents
symptomatic and fatal PE of patients of lower limb and pelvic fractures with DVT
in the peri-operative period.
PMID- 24924569
TI - [The significance of lumbar MRI in the diagnosis of recurrented lumbar disc
herniation after surgery].
AB - OBJECTIVE: To retrospectively evaluate the clinical evaluation of preoperative
lumbar T2 sagittal MRI image in predicting the recurrent lumbar disc herniation
(RLDH). METHODS: Between January 2009 and April 2011, 28 patients were diagnosed
as recurrent L4-5 disc herniation within 2.5 years after surgery, and 25 of them
were included in the study as relapse group. At the same time, selected 25
patients implemented the same surgical methods in the same level as a control
group randomly, they were all with good to excellent result and the follow-up
time was at least 2.5 years. There was no statistical significance between the
two groups in gender, age and body mass index(BMI) (P > 0.05). The lumbar MRI
image of two groups of patients before surgery were collected and analyzed, with
the disc degeneration grade classified. The chi(2) test was used to analyzed the
difference of degeneration between the two groups of patients before surgery.
Rank correlation analysis evaluated the correlation between disc degeneration and
the period of time from the first operation to the recurrence. RESULTS: In terms
of preoperative lumbar disc degeneration, there were 22 cases of low-grade disc
degeneration and 3 cases of advanced disc degeneration in the relapse group and 5
cases and 20 cases respectively in the control group. there was significant
difference between two groups (chi(2) = 23.27, P < 0.05), low-grade disc
degeneration (gradesIand III) was significantly more frequent in the relapse
group than in the control group. The patients with low-grade disc degeneration
had a higher risk of recurrence, that was the risk of recurrent disc herniation
increased by a factor of 4.4 from advanced disc degeneration to low-grade disc
degeneration(OR = 4.4, 95%CI:1.983-9.765, P < 0.05). In cases of recurrence, the
time interval between primary surgery and the recurrence of the patient with
advanced disc degeneration was longer compared with low-grade disc degeneration
(r = 0.733, P < 0.05). CONCLUSIONS: Preoperative lumbar MRI image may suggest the
possibility of the recurrence lumbar disc herniation.Light disc degeneration is
an important risk factor for recurrent disc herniation, and the time interval
between primary surgery and the recurrence is positively correlated with severity
of disc degeneration.
PMID- 24924570
TI - [Surgical treatment of aortic paravalvular abscess by infective endocarditis].
AB - OBJECTIVE: To summarize the clinical features, pathology and surgical treatment
experiences in the patients with aortic paravalvular abscess by infective
endocarditis. METHODS: The study consisted of a retrospective analysis of 29
cases with aortic paravalvular abscess by infective endocarditis underwent
surgical treatment between January 2001 and June 2013. Among the 29 patients, 22
were male and 7 were female, and the mean age was (37 +/- 16) years (range from
11 to 63 years). The primary cardiac disease was congenital aortic valve
malformation in 16 patients. There were 15 patients with a history of severe
heart failure. Of 29 cases, 8 abscess cavities, 13 pseudoaneurysms and 6 fistulas
were found, and complete aortoventricular discontinuity was present in 5 patients
with serious infections. Of them, the abscess was above the annulus in 14
patients and below the annulus in 10 patients, and simultaneously involved the
annulus above and below in 5 patients. 19 patients were culture positive either
positive preoperative blood cultures or positive cultures of surgical specimens,
including 9 patients with Staphylococcus infection. The paravalvular defect was
repaired by patch in 19 cases, and by local closure in 10 cases. The valvular
annulus was reconstructed simultaneously in 16 patients. Aortic valve replacement
was performed in 26 patients, and Bentall procedure in 2 patients, including 23
with prosthetic mechanical valve and 5 with biological valve. RESULTS: Of the
total 29 patients, 28 patients were recovered, and 1 patient was died of sepsis.
During 3 months to 13 years postoperative follow-up (average 4.5 years), one was
died of non-cardiac cause, and no patient had recurrent endocarditis and
paravalvular leakage. CONCLUSIONS: Aortic paravalvular abscess by infective
endocarditis is not uncommon, prone to heart failure. According to the different
pathological manifestations, the appropriate surgical approach and strategy can
achieve satisfactory outcomes.
PMID- 24924571
TI - [The effects of warm ischaemia time on renal function after laparoscopic partial
nephrectomy in patients].
AB - OBJECTIVE: To assess the effects of warm ischaemia time (WIT) on renalfunction
after laparoscopic partial nephrectomy (LPN) for renal masses in patients.
METHODS: From January 2010 to December 2012, 39 patients treated with LPN for a
single T1 renal tumor were enrolled in this prospective study. There were 24 male
and 15 female patients. Their age was (58 +/- 10) years old, and their body mass
index was (27 +/- 3) kg/m(2). The mean operation time was (132 +/- 12) minutes,
and the mean WIT was (29 +/- 8) minutes. Clinical parameters, the single
glomerular filtration rates (sGFR) were compared before the operation and after 3
and 12 months in order to observer the effects on renal function and find the
factors predicting the renal function impairment. RESULTS: There were significant
differences between 3, 12 months after the operation ((26.8 +/- 5.6) ml/min and
(28.6 +/- 5.6) ml/min, respectively) and preoperation ((31.9 +/- 6.3) ml/min) in
sGFR (F = 4.882 and 5.511, both P < 0.05). And there were significant negative
correlations between the sGFR in 3 and 12 months after the operation and WIT (r =
-0.569, P = 0.000 and r = -0.448, P = 0.004) . The preoperative sGFR (beta =
0.260, 95%CI:0.089-0.431) and WIT (beta = 0.369, 95%CI:0.189-0.555) were
independent predictors for function decline of the operated kidney (both P <
0.05). The analysis showed that the effects of WIT within 30 minutes on renal
function is relatively small. Longer WIT was associated with lower postoperative
sGFR values (F = 22.128 and 20.552, both P = 0.000) . CONCLUSIONS: For the LPN
operation, the longer of the WIT, the more serious of renal function damage. sGFR
is an accurate measurement to assess the renal damage. Every effort should be
made to minimise WIT during LPN, and the limit of 30 minutes should be not
exceeded.
PMID- 24924572
TI - [Microsurgical resection of anterior clinoid meningiomas-- 46 cases report].
AB - OBJECTIVE: To investigate the microsurgical tchniques and effects for the
resection of anterior clinoid meningioma (ACM). METHODS: Between January 2003 and
March 2013, a total of 46 ACM patients were operated on via the pterion approach
or lateral subfrontal approach. There were 16 male patients and 30 female
patients, their mean age was 48.5 (16-69) years. Symptoms lasted from 1 month to
9 years(average 24.7 months). Preoperative CT and MRI examination showed that the
tumors were located in the anterior clinoid process (25 cases on the right side,
21 cases on the left side). The average maximal tumor diameter was 4.4 cm (1.9
7.4 cm). The patients were peroidly followed-up on outpatient on 3 months to 6
months, then every year postoperativelly. The clinical data, radiological
findings, surgical records and outcome of patients were retrospectively analyzed,
and the prognostic factors were ananlyzed by using of the unpaired data chi(2)
test with continuity correction. RESULTS: Of fourty-six patients operated on,
apparently complete removal was achieved in 36 patients (78.3%), 10 patients
(21.7%) had minimal residual tumors, 5 of which had gamma knife radiosurgery.
Preexisting visual deficit improved in 21 of 41 patients (51.2%), unchanged in
16(35.6%), and worsened in 9(20.0%). At 3-6 months after discharge, 37(80.4%)
patients had a good recovery, 7(15.6%) patients were moderately disabled, 1(2.2%)
presented with severe disability, and 1 (2.2%) patient died of surgery-related
causes. The tumor wrapping blood vessels (chi(2) = 4.676, P = 0.031) and
violating cavernous sinus(chi(2) = 4.973, P = 0.026) were causes of unfavorable
prognosis. During the mean follow-up of 35.1 months (range, 4-107 months) for 40
patients, the average Karnofsky score was 83.2. Tumor recurred in 4 cases: 2 of
which required reoperation, and 2 had gamma knife radiosurgery. CONCLUSIONS:
Tumor wrapped vessels and invasion of the cavernous sinus are important
unfavorable prognostic factors for ACM resection. By using appropriate surgical
strategies and techniques, ACM can be removed safely via the pterion approach or
lateral subfrontal approach with relatively low morbidity and mortality.
PMID- 24924573
TI - [The surgery of giant symptomatic cavernous sinus aneurysms].
AB - OBJECTIVE: To evaluate the management and outcomes in patients with giant
symptomatic cavernous sinus aneurysms who underwent aneurysms trapping with
bypass, proximal carotid occlusion and aneurysms trapping. METHODS: Twenty-three
patients with giant symptomatic cavernous sinus aneurysms underwent surgery
between February 2007 and March 2013, 3 cases were male and 20 cases were female
patients, the age of the patients ranged between 24 and 68 years, mean age was
54.7 years. The pre-operative digital subtraction angiography (DSA) and ballon
occlusion test (BOT) were performed to confirm the diagnosis and identify
hemodynamic reserve with carotid occlusion, and the aneurysms trapping with
bypass, aneurysms trapping and proximal occlusion of the internal carotid artery
were performed according to BOT results. During the surgery, the
neurophysiological monitoring and the intraoperative CT perfusion were used. The
follow-up by DSA or CT angiography were made. RESULTS: Seventeen patients
underwent aneurysms trapping with bypass, 1 underwent aneurysms trapping and 5
underwent proximal occlusion of the internal carotid artery. After surgery,
symptom improved in 4 cases, did not change in 10 cases, and new neural function
deficit developed in 9 cases. The follow-up period were 3 months to 75 months.
Two patients were lost. The Glasgow Outcome Scale of last follow-up were 5 in 19
patients, 3 in 1 patient and 1 in 1 patient. CONCLUSIONS: The aneurysms trapping
with bypass and proximal occlusion of the internal carotid artery are effective
and reliable procedure for treatment of giant symptomatic cavernous sinus
aneurysms in selected patients after evaluation of the pre-operative BOT, intra
operative neurophysiological monitoring and the intraoperative CT perfusion.
PMID- 24924574
TI - [Automated proton magnetic resonance spectroscopy imaging guided frameless
stereotactic biopsy of intracranial lesions].
AB - OBJECTIVE: To evaluate the feasibility, reliability and accuracy of the automated
magnetic resonance spectroscopy ((1)H-MRS) guided frameless brain biopsy with
intraoperative magnetic resonance imaging (iMRI). METHODS: Between July 2011 and
July 2013, a consecutive series of 93 patients were prospectively enrolled. All
the patients had intracranial lesions which need biopsy to confirm the diagnosis.
Among them, 48 patients were male, 45 patients were female. Their age range from
7 years to 76 years, the median age was 47 years. All patients underwent MRS
examination. With MRS automatic fusion technique, the metabolic images were
integrated into a standard navigation system (Vario Guide) to guide frameless
biopsy. High-field iMRI (1.5 T) was used for target inspection, brain shift
correction, and intra-operative exclusion of intra-cerebral hemorrhage and other
complications. RESULTS: For all the 93 patients, (1)H-MRS based metabolic images
could be automatically integrated into a standard navigation system and average
fusion procedure could be taken 5 minutes 6 seconds. For (1)H-MRS guided
stereotactic biopsy of intracranial lesions, the diagnosis yield rate was 94.6%
(88/93). Four cases did not get a clear pathological diagnosis, while 1 case did
not match the pathological diagnosis result which obtained by following
craniotomy. Technical related complication rate was 2.2% (2 cases, intra-cerebral
hemorrhage), which were intra-operatively depicted with iMRI, and managed
properly. Among them, 1 case with small volume (5 ml) intracerebral hematoma
fully recovered 10 days after surgery without second surgical intervention. One
case with large volume intracerebral hematoma (32 ml) was depicted with iMRI,
followed by craniotomy and hematoma evacuation in the same session. This case had
no new or worsened neurologic deficit post-operatively. CONCLUSIONS: (1)H-MRS
based metabolic imaging can be automatically integrated into a standard
navigation system and used for frameless brain biopsy. The target can be selected
according to the metabolic status of the lesion. Hence, the target can be more
accurate. And the pathological diagnosis yield rate is higher. With iMRI, the
method is safe, and has high clinical efficacy.
PMID- 24924575
TI - [A retrospective analysis of 5 cases of major burns treated by goat acellular
dermal matrix combined with hyaluronic acid].
AB - OBJECTIVE: To evaluate the clinical efficacy of the goat acellular dermal matrix
combined with hyaluronic acid (h-GADM) in the treatment of major burns. METHODS:
A retrospective analysis of 5 patients with major burns undergoing combines
microskin and h-GADM grafting. All patients were male, aged 33 to 50 years, and
mean burn area were 83% +/- 11%, which III degree wounds 60% to 90%. After
extensive burns patients admitted to hospital in accordance with conventional
treatment processes included fluid resuscitation, airway management, wound
management, infection control and other treatment. The patients received the
surgery after the shock of spending and hemodynamically stable. Summarized the
feasibility and operative techniques of the new method, and elaborated on details
by a typical case as an example. RESULTS: All the 5 patients were survival, go
through the shock period smoothly, not haven any serious complications as lung
infection, wound sepsis, septicemia and kidney failure occurred. A total of nine
mircoskin graft operations were undergoing and covered 17 limbs. The average time
of the h-GADM desquamtion was (17.7 +/- 2.7) days after operation, the
hospitalization time was (115 +/- 27) days. After (72 +/- 6) days, the residual
wound was less than 5% total body surface area. CONCLUSION: The h-GAMD can be a
good alternative to cadaver skin in the treatment of major burns.
PMID- 24924576
TI - [Detection of bladder cancer by narrow band imaging cystoscopy: a meta-analysis].
AB - OBJECTIVES: To evaluate the value of narrow band imaging (NBI) cystoscopy in
detection of bladder cancer. METHODS: Literatures on narrow-band imaging
cystoscopy in diagnosis of bladder cancer, controlled clinical research was
searched in PubMed, Cochrane Library,EMbase, and the Chinese Biomedical
Literature Database. The literatures were selected according to the inclusion and
exclusion criteria. The Meta-DiSc 1.4 software was used to review management and
analysis. RESULTS: The 8 studies met the inclusion criteria. On a per-people
analysis, the pooled sensitivity, specificity, positive likelihood ratio,
negative likelihood ratio, diagnostic odds ratio (DOR) of NBI cystoscopy and
white light imaging (WLI) cystoscopy were respectively 0.943 (95%CI: 0.914-0.964)
and 0.848 (95%CI: 0.803- 0.885), 0.847 (95%CI: 0.812-0.878) and 0.870 (95%CI:
0.831-0.903), 7.038 (95%CI: 3.357-14.754) and 6.938 (95%CI: 2.052-23.465), 0.054
(95%CI: 0.012-0.237) and 0.181 (95%CI: 0.091-0.361), 185.32 (95%CI: 45.714
751.260) and 42.931 (95%CI: 8.088-227.880). The areas under the curve (AUC) and
Q* of NBI cystoscopy and WLI cystoscopy were 0.978 and 0.894, 0.934 and 0.825
respectively. CONCLUSION: NBI cystoscopy is accurate with high diagnostic
precision for diagnosis of bladder cancer. NBI cystoscopy is prior to WLI
cystoscopy, but it needs more clinical evidence for further affirmance.
PMID- 24924577
TI - [Comparing different treatments for femoral neck fracture of displacement type in
the elderly:a meta analysis].
AB - OBJECTIVE: To compare the effects and security between internal fixation and
total hip arthroplasty for the patients in elderly with femoral neck fracture of
displacement type through a meta analysis. METHODS: Studies on comparison between
internal fixation and total hip arthroplasty for the patients in the elderly with
femoral neck fracture of displacement type were identified from PubMed
database,EMBase database, COCHRANE library, CMB database, CNKI database and
MEDLINE database. Data analysis were performed using Revman 5.2.6(the Cochrane
Collaboration). RESULTS: Six published randomized controlled trials including 627
patients were suitable for the review, 286 cases in internal fixation group and
341 cases in total hip arthroplasty group. The results of meta analysis indicated
that statistically significant difference were observed between the two groups in
the quality of life which was reflected by the Harris scale (RR = 0.82,
95%CI:0.72-0.93, P < 0.05) , the reoperation rate (RR = 5.81, 95%CI:3.09-10.95, P
< 0.05) and the major complications rate (RR = 3.60, 95%CI:2.29-5.67, P < 0.05)
postoperatively. There were no difference in the mortality at 1 year and 5 years
postoperatively(P > 0.05). CONCLUSIONS: For the patients with femoral neck
fracture of displacement type in the elderly, there is no statistical difference
between two groups in the mortality postoperatively. The quality of life and the
security of operation in internal fixation group is worse than the total hip
arthroplasty group.
PMID- 24924578
TI - Diet-induced and age-related changes in the quadriceps muscle: MRI and MRS in a
rat model of sarcopenia.
AB - BACKGROUND: Knowledge about the molecular pathomechanisms of sarcopenia is still
sparse, especially with regard to nutritional risk factors and the subtype of
sarcopenic obesity. OBJECTIVE: The aim of this study was to characterize diet
induced and age-related changes on the quality and quantity of the quadriceps
muscle in a rat model of sarcopenia by different magnetic resonance (MR)
techniques. METHODS: A total of 36 6-month-old male Sprague-Dawley rats were
randomly subdivided into 2 groups and received either a high-fat diet (HFD) or a
control diet (CD). At the age of 16 months, 15 HFD and 18 CD rats underwent MR at
1.5 T. T1-weighted images as well as T2 relaxation time maps were acquired
perpendicular to the long axis of the quadriceps muscles. Maximum cross-sectional
area (CSA) of the quadriceps muscle was measured on T1-weighted images, and T2
relaxation times of muscle were assessed in a region without visible
intramuscular fat (T2lean muscle) and across the complete CSA (T2muscle).
Furthermore, (1)H-MR spectroscopy was performed to evaluate the relative lipid
content of the quadriceps muscles. These measurements were repeated 5 months
later in the surviving 8 HFD and 14 CD rats. RESULTS: HFD rats revealed
significantly decreased CSA and CSA per body weight (BW) as well as prolonged T2
relaxation times of muscle. A higher weight gain (upper tertile during the first
6 months of diet in CD rats) resulted in a significant change of T2muscle, but
had no relevant impact on CSA. Advancing age up to 21 months led to significantly
decreased BW, CSA and CSA/BW, significantly prolonged T2muscle and T2lean muscle
and enlarged lipid content in the quadriceps muscle. CONCLUSIONS: In an
experimental setting a chronically fat-enriched diet was shown to have a relevant
and age-associated influence on both muscle quantity and quality. By
translational means the employed MR techniques give rise to the possibility of an
early detection and noninvasive quantification of sarcopenia in humans, which is
highly relevant for the field of geriatrics.
PMID- 24924579
TI - Apps for management of sick newborn: evaluation of impact on health care
professionals.
AB - The objective of the study was to evaluate the efficacy of interactive mobile
device application 'Apps on sick newborn care' as a training tool, in improving
the knowledge and skill scores of postgraduate nursing students (N = 27). A
training workshop was conducted in small workstations by the facilitators using
the modules on android device and preloaded videos in which the procedure was
systematically demonstrated. A mixed-methods approach consisting of pre-post
tests, Likert's scale and focus group discussion were used to assess the
knowledge, skills and perception of the participants. The scores in multiple
choice questions (pre and post, 12.4 +/- 2.2 and 19.7 +/- 3.6; P < 0.001) and
composite Objective Structured Clinical Examination scores (32.8 +/- 7.3 vs. 63.7
+/- 7.1; P < 0.001) significantly improved after training. The students derived
overall satisfaction from the training using the device. Such applications have
potential to train health-care professionals.
PMID- 24924581
TI - Reply to the correspondence on "Possible spontaneous PFO closure after thrombus
trapped in PFO", Antonia Schulz et al., Clin Res Cardiol 2014; 103:333-335.
PMID- 24924580
TI - Impact of the learning curve on outcome after transcatheter mitral valve repair:
results from the German Mitral Valve Registry.
AB - AIMS: This analysis from the German Mitral Valve Registry investigates the impact
of the learning curve with the MitraClip((r)) technique on procedural success and
complications. METHODS AND RESULTS: Consecutive patients treated since 2009 in
centers that performed more than 50 transcatheter mitral repairs were included.
Results of the first half of the patients were compared to those of the second.
Altogether 496 patients from 10 centers were included. Patients treated later had
less common severe heart failure symptoms (patients with NYHA IV: 22.1 vs. 14.5
%, p < 0.05). The EuroSCORE I (22.0 vs. 23.0 %, p = ns) and Society of Thoracic
Surgeons (STS) score (7.5 vs. 9.5 %, p = ns) did not differ between both groups.
Procedural success was 95.6 % (238/249) in the first cases and 94.7 % (232/245, p
= ns) subsequently. Also procedural time (104.3 vs. 119 min, p = ns) and
complications did not decrease over time. CONCLUSION: A learning curve using the
MitraClip((r)) device does not appear to significantly affect acute MR reduction,
hospital and 30-day mortality. Most likely, the proctor system leads to already
high initial procedure success and relatively short procedure time.
PMID- 24924582
TI - Detection of common and less frequent EGFR mutations in cytological samples of
lung cancer.
AB - OBJECTIVE: Lung cancer represents the leading cause of cancer death. EGFR
mutations, detected in 10-40% of lung adenocarcinomas, are an essential key to
therapeutic management. EGFR-activated mutations comprise mainly deletions in
exon 19 and point mutations in exon 21. Although histology is the traditional
method of detection, we investigated the role of cytology in EGFR mutations.
STUDY DESIGN: A total of 774 lung cancers were studied for EGFR mutations (676
histological and 98 cytological samples), including 424 adenocarcinomas, 326 non
small cell lung carcinomas not otherwise specified, and 24 squamous cell
carcinomas. RESULTS: We had a total of 164 (21.2%) cases of mutations. Common
mutations were short in-frame deletions in exon 19 (53.7%) and single-nucleotide
substitutions in exon 21 (34.1%); less frequent mutations included single
nucleotide substitutions in exon 18 (3.7%) and in-frame insertions/deletions in
exon 20 (8.5%). Histologically, EGFR mutations in exons 19 and 21 occurred in
19.4% and in exons 18 and 20 in 2.2%, while the rates cytologically were 13.3%
for exons 19 and 21 and 5.1% for exons 18 and 20. CONCLUSIONS: The sensitivity
for the detection of EGFR mutations in cytological samples overlaps histology, so
the use of cytological material constitutes an adequate approach for treatment
selection in patients with locally advanced or metastatic lung cancer.
PMID- 24924583
TI - Defining the autonomy gap: when expectations do not meet reality in the operating
room.
AB - OBJECTIVE: To develop operative independence with essential procedures by the end
of their training, residents need graded autonomy as they progress through
training. This study compares autonomy expectations, as defined by faculty and
residents, with autonomy measured in the operating room. METHODS: Operative
procedures performed by general surgery residents between November 2012 and June
2013 were each assigned an autonomy score by the operating attending physician
using a previously described rating scale (Zwisch). Scores range from minimum
autonomy, "show and tell," to maximum autonomy, "supervision only." Autonomy
expectations were defined by a survey asking faculty and residents what autonomy
level residents should achieve during each year of training for each of the 10
most commonly performed procedures. Faculty expectations, resident expectations,
and actual operating room autonomy data were compared using analysis of variance
with post hoc analysis by Tukey honestly significant difference test. RESULTS: A
total of 1467 operative cases were scored using the Zwisch scale over the period
of the study. The 10 most common procedures accounted for 56.3% (827) of the
cases. Resident and faculty expectations of resident operative autonomy were
similar. For only laparoscopic cholecystectomy, residents expected significantly
more autonomy than the faculty did during the junior years but they agreed with
the faculty for the chief year. When expectations were compared with actual
performance, the resident autonomy level achieved was significantly less than
that expected by residents or faculty or both for all 10 procedures in at least
one postgraduate level. For every procedure performed more than 5 times during
the study period by postgraduate years 3 to 5 residents, autonomy was
significantly less than expected. CONCLUSIONS: Surgical faculty and residents had
similar expectations for resident operative autonomy, yet actual resident
performance failed to achieve those shared expectations for even the most common
procedures. This autonomy gap provides more evidence for concerns about the
preparedness of graduating residents for independent practice.
PMID- 24924584
TI - External validation and evaluation of an intermediate proficiency-based knot
tying and suturing curriculum.
AB - PURPOSE: The purpose of this study was to perform external validation, examine
educational effectiveness, and confirm construct validity of a previously
developed "intermediate-level, proficiency-based knot-tying and suturing
curriculum" in preparing residents to achieve proficiency in more advanced open
surgical techniques. METHODS: A total of 47 postgraduate year-1 (PGY-1) surgery
residents completed 6 intermediate-level knot-tying and suturing exercises.
Baseline trainee performance was compared with intermediate and senior (PGY-3 and
PGY-4) residents (n = 12) and expert faculty (n = 4). RESULTS: PGY-1 overall
proficiency increased from 21.1% at baseline to 92.1% during posttest for all 6
exercises combined (p < 0.001). When compared with the PGY-3 and PGY-4 residents,
at baseline intermediate and senior residents scored higher on half of the
exercises. However, during posttesting PGY-1 residents not only matched, but also
surpassed PGY-3 and PGY-4 residents' performance in 3 of 6 exercises. Significant
differences on all 6 exercises were also found during pretesting when comparing
interns against faculty, demonstrating construct validity. However, upon
completion of the curriculum, PGY-1 residents' posttest scores were equivalent,
if not significantly better than expert faculty performance. CONCLUSION: We
obtained similar results as those previously reported, showing external
validation. Additionally, we demonstrated that first-year surgical residents
could achieve performance levels that match or exceed those of senior residents
and experienced surgeons on these exercises with 4 weeks of training.
PMID- 24924585
TI - Truncating mutations in LRP4 lead to a prenatal lethal form of Cenani-Lenz
syndrome.
AB - Cenani-Lenz syndrome (CLS) is an autosomal recessive skeletal dysplasia that
results in malformations of the distal limb, renal anomalies, and characteristic
facies. In 2010, this condition was found to be caused by mutations in LRP4, a
member of the low-density lipoprotein family of receptors. LRP4 has been shown to
antagonize LRP5/LRP6 activation of WNT and beta-catenin signaling. Loss of LRP4
function leads to excessive Wnt and beta-catenin signaling in the limb bud, which
causes abnormal limb development. The large majority of patients with CLS
reported in the literature have splicing and missense mutations, which result in
syndactyly, oligodactyly, and minor renal malformations. More recently, a patient
with CLS has been identified with a homozygous nonsense mutation and a more
severe presentation of findings typically associated with this condition. Here we
present two sibling fetuses with a prenatal lethal presentation of mesomelic limb
reductions, oligosyndactyly, genitourinary malformation and compound
heterozygosity for two novel truncating mutations in LRP4. These findings lend
further support to the CLS genotype-phenotype correlation presented in recent
publications.
PMID- 24924586
TI - Efficacy of mechanical debridement with adjunct antimicrobial photodynamic
therapy for the management of peri-implant diseases: a systematic review.
AB - The aim was to assess whether or not mechanical debridement with adjunct
antimicrobial photodynamic therapy (aPDT) is effective for the management of peri
implant diseases. The addressed focused question was "Is mechanical debridement
with adjunct aPDT more effective in treating peri-implant diseases as compared to
when mechanical debridement is used alone?" PubMed/MEDLINE and Google-Scholar
databases were searched from 1994 till April 2014 using different combinations of
the following keywords: antimicrobial photodynamic therapy; bone loss; light
activated disinfection; mechanical debridement; and peri-implant diseases. Review
articles, case-reports, commentaries, letters to the editor, unpublished articles
and articles published in languages other than English were excluded. Twelve
studies (six clinical and six experimental) were included. In the clinical and
experimental studies, 15-80 implants and 18-150 implants respectively were used.
Laser wavelengths, duration of irradiation and power output ranged between 625 nm
830 nm, 10 s-300 s, and 30 mW-200 mW, respectively. Four studies reported
mechanical debridement with adjunct aPDT to be effective in the treatment of peri
implant diseases; however, the benefits of aPDT were comparable with conventional
treatments. Two studies reported aPDT to reduce bacteria more than when the laser
and the photosensitizer were applied alone. In two studies, chemical disinfection
and aPDT showed comparable outcomes in terms of bacterial disinfection. In two
experimental studies, aPDT was shown to improve bone to implant contact and re
osseointegration. Efficacy of mechanical debridement with adjunct aPDT for the
management of peri-implant diseases remains debatable.
PMID- 24924587
TI - Digoxin in patients with permanent atrial fibrillation: data from the RACE II
study.
AB - BACKGROUND: The Atrial Fibrillation Follow-up Investigation of Rhythm Management
trial showed that digoxin was associated with increased mortality in patients
with atrial fibrillation. OBJECTIVES: To assess the association of digoxin with
cardiovascular (CV) morbidity and mortality in patients with permanent atrial
fibrillation enrolled in the Dutch Rate Control Efficacy in Permanent AF: A
Comparison Between Lenient Versus Strict Rate Control II trial as well as to
assess the role of digoxin to achieve heart rate targets. METHODS: The primary
outcome was a composite of CV morbidity and mortality. Secondary outcomes
included CV hospitalization and all-cause mortality or heart failure (HF)
hospitalization. Of the 614 patients, 608 (99%) completed the dose-adjustment
phase. Outcome events were analyzed from the end of the dose-adjustment phase
until the end of follow-up. The median follow-up period was 2.9 years
(interquartile range 2.7-3.0 years). RESULTS: In total, 284 patients (46.7%) used
digoxin after the dose-adjustment phase (median dosage 0.250 mg; interquartile
range 0.0625-0.750 mg). These patients were more often women, previously admitted
for HF, had an increased left ventricular end-systolic diameter, and more often
randomized to strict rate control. By using Cox proportional hazards regression
analysis, the use of digoxin was not associated with an increased risk for the
primary and secondary outcomes. For the primary outcome, the 3-year estimated
cumulative incidence was 12.9% vs 13.4% in the digoxin group vs the no-digoxin
group (unadjusted hazard ratio [HR] 0.97; 95% confidence interval [CI] 0.62
1.52). Incidence was 19.4% vs. 19.5% for CV hospitalization (unadjusted HR 1.00;
95% CI 0.69-1.45) and 6.6% vs. 9.9% for all-cause mortality or HF hospitalization
(unadjusted HR 0.62; 95% CI 0.34-1.13) in the digoxin group vs the no-digoxin
group. CONCLUSION: The use of digoxin was not associated with increased morbidity
and mortality.
PMID- 24924588
TI - Live pups from evaporatively dried mouse sperm stored at ambient temperature for
up to 2 years.
AB - The purpose of this study is to develop a mouse sperm preservation method based
on evaporative drying. Mouse sperm were evaporatively dried and stored at 4
degrees C and ambient temperature for 3 months to 2 years. Upon rehydration, a
single sperm was injected into a mature oocyte to develop into a blastocyst after
culture or a live birth after embryo transfer to a recipient female. For the
samples stored at 4 degrees C for 3, 6, 12, 18, and 24 months, the blastocyst
formation rate was 61.5%, 49.1%, 31.5%, 32.2%, and 41.4%, respectively. The
blastocyst rate for those stored at ambient temperature (~22 degrees C) for 3, 6,
12, and 18 months was 57.8%, 36.2%, 33.6%, and 34.4%, respectively. Fifteen,
eight and three live pups were produced from sperm stored at room temperature for
12, 18, and 24 months, respectively. This is the first report of live offspring
produced from dried mouse sperm stored at ambient temperature for up to 2 years.
Based on these results, we suggest that evaporative drying is a potentially
useful method for the routine preservation of mouse sperm.
PMID- 24924589
TI - Control of NF-kB activity in human melanoma by bromodomain and extra-terminal
protein inhibitor I-BET151.
AB - The transcription factor NF-kappaB (NF-kB) is a key regulator of cytokine and
chemokine production in melanoma and is responsible for symptoms such as
anorexia, fatigue, and weight loss. In addition, NF-kB is believed to contribute
to progression of the disease by upregulation of cell cycle and anti-apoptotic
genes and to contribute to resistance against targeted therapies and
immunotherapy. In this study, we have examined the ability of the bromodomain and
extra-terminal (BET) protein inhibitor I-BET151 to inhibit NF-kB in melanoma
cells. We show that I-BET151 is a potent, selective inhibitor of a number of NF
kB target genes involved in induction of inflammation and cell cycle regulation
and downregulates production of cytokines such as IL-6 and IL-8. SiRNA studies
indicate that BRD2 is the main BET protein involved in regulation of NF-kB and
that I-BET151 caused transcriptional downregulation of the NF-kB subunit
p105/p50. These results suggest that BET inhibitors may have an important role in
treatment of melanoma where activation of NF-kB may have a key pathogenic role.
PMID- 24924590
TI - Quantifying the response of free-ranging mammalian herbivores to the interplay
between plant defense and nutrient concentrations.
AB - While trying to achieve their nutritional requirements, foraging herbivores face
the costs of plant defenses, such as toxins. Teasing apart the costs and benefits
of various chemical constituents in plants is difficult because their chemical
defenses and nutrient concentrations often co-vary. We used an approach derived
from predator-prey studies to quantitatively compare the foraging response of a
free-ranging mammalian herbivore, the swamp wallaby (Wallabia bicolor), through
three feeding trials with artificial diets that differed in their concentrations
of (1) the terpene 1,8-cineole, (2) primary constituents (including nitrogen and
fiber), and (3) both the terpene and the primary constituents. Applying the
giving-up density (GUD) framework, we demonstrated that the foraging cost of food
patches increases with higher dietary cineole concentration and decreases with
higher dietary nutrient concentration. The effect of combined differences in
nutrients and cineole concentrations on GUD was interactive, and high nutrient
food required more cineole to achieve the same patch value as low nutrient food.
Our results indicate that swamp wallabies equate low nutrient, poorly defended
food with high nutrient, highly defended food, providing two contrasting diets
with similar cost-benefit outcomes. This behavior suggests that equal
concentrations of chemical defenses provide nutrient-poor plants with relatively
greater protection as nutrient-rich plants. Nutrient-rich plants may therefore
face the exacerbated problem of being preferred by herbivores and therefore need
to produce more defense compounds to achieve the same level of defense as
nutrient-poor plants. Our findings help explain the difference in anti-herbivore
strategy of nutrient-poor and rich plants, i.e., tolerance versus defense.
PMID- 24924591
TI - Evolutionary responses of tree phenology to the combined effects of assortative
mating, gene flow and divergent selection.
AB - The timing of bud burst (TBB) in temperate trees is a key adaptive trait, the
expression of which is triggered by temperature gradients across the landscape.
TBB is strongly correlated with flowering time and is therefore probably mediated
by assortative mating. We derived theoretical predictions and realized numerical
simulations of evolutionary changes in TBB in response to divergent selection and
gene flow in a metapopulation. We showed that the combination of the
environmental gradient of TBB and assortative mating creates contrasting genetic
clines, depending on the direction of divergent selection. If divergent selection
acts in the same direction as the environmental gradient (cogradient settings),
genetic clines are established and inflated by assortative mating. Conversely,
under divergent selection of the same strength but acting in the opposite
direction (countergradient selection), genetic clines are slightly constrained.
We explored the consequences of these dynamics for population maladaptation, by
monitoring pollen swamping. Depending on the direction of divergent selection
with respect to the environmental gradient, pollen filtering owing to assortative
mating either facilitates or impedes adaptation in peripheral populations.
PMID- 24924592
TI - Cascade nitration/cyclization of 1,7-enynes with tBuONO and H2O: one-pot self
assembly of pyrrolo[4,3,2-de]quinolinones.
AB - Here we describe the one-pot construction of the pyrrolo[4,3,2-de]quinolinone
scaffold by a cascade nitration/cyclization sequence of 1,7-enynes with tBuONO
and H2O. The cascade proceeds through alkene nitration, 1,7-enyne 6-exo-trig
cyclization, C-H nitrations, and redox cyclization, and exhibits excellent
functional group tolerance. The mechanism was investigated using in situ high
resolution mass spectrometry (HR-MS).
PMID- 24924593
TI - Liver sinusoidal endothelial cell-mediated CD8 T cell priming depends on co
inhibitory signal integration over time.
AB - The initiation of adaptive immunity requires cell-to-cell contact between T cells
and antigen-presenting cells. Together with immediate TCR signal transduction,
the formation of an immune synapse (IS) is one of the earliest events detected
during T cell activation. Here, we show that interaction of liver sinusoidal
endothelial cells (LSEC) with naive CD8 T cells, which induces CD8 T cells
without immediate effector function, is characterized by a multi-focal type IS.
The co-inhibitory molecule B7H1, which is pivotal for the development of non
responsive LSEC-primed T cells, did not alter IS structure or TCRbeta/CD11a
cluster size or density, indicating that IS form does not determine the outcome
of LSEC-mediated T cell activation. Instead, PD-1 signaling during CD8 T cell
priming by LSEC repressed IL-2 production as well as sustained CD25 expression.
When acting during the first 24 h of LSEC/CD8 T cell interaction, CD28 co
stimulation inhibited the induction of non-responsive LSEC-primed T cells.
However, after more than 36 h of PD-1 signaling, CD28 co-stimulation failed to
rescue effector function in LSEC-primed T cells. Together, these data show that
during LSEC-mediated T cell priming, integration of co-inhibitory PD-1 signaling
over time turns on a program for CD8 T cell development, that cannot be
overturned by co-stimulatory signals.
PMID- 24924594
TI - Longitudinal anatomical and metabolic MRI characterization of orthotopic
xenograft prostate tumors in nude mice.
AB - PURPOSE: To assess anatomic and functional magnetic resonance imaging (MRI) for
monitoring of tumor volume and metabolism of orthotopic xenograft prostate cancer
tumors. MATERIALS AND METHODS: Human-derived PC-3M cells were implanted into the
prostate in 22 nude mice. Tumor volume and MRI appearance were monitored for up
to 29 days. Histology was performed to detect metastases. Hyperpolarized [1-(13)
C]pyruvate MRI was used to measure tumor metabolism on day 22. RESULTS: Tumors
were visible by MRI 9 days after tumor cell implantation. Tumor volume increased
to 720 +/- 190 mm(3) on day 29 of imaging. Metastasis was seen in the iliac lymph
nodes at all timepoints, and in more distant lymph nodes at later timepoints, but
was not detectable by MRI. Regions with low pyruvate uptake corresponded to
regions with necrosis and had a higher lactate/pyruvate ratio (0.98 +/- 0.4 vs.
1.6 +/- 1.1). CONCLUSION: MRI using the balanced steady-state free precession
(bSSFP) sequence can be used to monitor tumor growth in orthotopic PC-3M tumors
as early as 9 days post-injection. Hyperpolarized pyruvate MRI has potential to
assess tumor metabolism and necrosis.
PMID- 24924595
TI - Crossed SMPS MOSFET-based protection circuit for high frequency ultrasound
transceivers and transducers.
AB - BACKGROUND: The ultrasonic transducer is one of the core components of ultrasound
systems, and the transducer's sensitivity is significantly related the loss of
electronic components such as the transmitter, receiver, and protection circuit.
In an ultrasonic device, protection circuits are commonly used to isolate the
electrical noise between an ultrasound transmitter and transducer and to minimize
unwanted discharged pulses in order to protect the ultrasound receiver. However,
the performance of the protection circuit and transceiver obviously degrade as
the operating frequency or voltage increases. We therefore developed a crossed
SMPS (Switching Mode Power Supply) MOSFET-based protection circuit in order to
maximize the sensitivity of high frequency transducers in ultrasound systems.The
high frequency pulse signals need to trigger the transducer, and high frequency
pulse signals must be received by the transducer. We therefore selected the SMPS
MOSFET, which is the main component of the protection circuit, to minimize the
loss in high frequency operation. The crossed configuration of the protection
circuit can drive balanced bipolar high voltage signals from the pulser and
transfer the balanced low voltage echo signals from the transducer. METHODS: The
equivalent circuit models of the SMPS MOSFET-based protection circuit are shown
in order to select the proper device components. The schematic diagram and
operation mechanism of the protection circuit is provided to show how the
protection circuit is constructed. The P-Spice circuit simulation was also
performed in order to estimate the performance of the crossed MOSFET-based
protection circuit. RESULTS: We compared the performance of our crossed SMPS
MOSFET-based protection circuit with a commercial diode-based protection circuit.
At 60 MHz, our expander and limiter circuits have lower insertion loss than the
commercial diode-based circuits. The pulse-echo test is typical method to
evaluate the sensitivity of ultrasonic transducers. Therefore, we performed a
pulse-echo test using a single element transducer in order to utilize the crossed
SMPS MOSFET-based protection circuit in an ultrasound system. CONCLUSIONS: The
SMPS-based protection circuit could be a viable alternative that provides better
sensitivity, especially for high frequency ultrasound applications.
PMID- 24924596
TI - Managing haemophilia for life: 4th Haemophilia Global Summit.
AB - The 4th Haemophilia Global Summit was held in Potsdam, Germany, in September 2013
and brought together an international faculty of haemophilia experts and
delegates from multidisciplinary backgrounds. The programme was designed by an
independent Scientific Steering Committee of haemophilia experts and explored
global perspectives in haemophilia care, discussing practical approaches to the
optimal management of haemophilia now and in the future. The topics outlined in
this supplement were selected by the Scientific Steering Committee for their
relevance and potential to influence haemophilia care globally. In this
supplement from the meeting, Jan Astermark reviews current understanding of risk
factors for the development of inhibitory antibodies and discusses whether this
risk can be modulated and minimized. Factors key to the improvement of joint
health in people with haemophilia are explored, with Carlo Martinoli and Victor
Jimenez-Yuste discussing the utility of ultrasound for the early detection of
haemophilic arthropathy. Other aspects of care necessary for the prevention and
management of joint disease in people with haemophilia are outlined by Thomas
Hilberg and Sebastian Lobet, who highlight the therapeutic benefits of
physiotherapy and sports therapy. Riitta Lassila and Carlo-Federico Perno
describe current knowledge surrounding the risk of transmission of infectious
agents via clotting factor concentrates. Finally, different types of extended
half-life technology are evaluated by Mike Laffan, with a focus on the
practicalities and challenges associated with these products.
PMID- 24924598
TI - Social determinants and lifestyle risk factors only partially explain the higher
prevalence of food insecurity among Aboriginal and Torres Strait Islanders in the
Australian state of Victoria: a cross-sectional study.
AB - BACKGROUND: The prevalence of food insecurity is substantially higher among
Australians of Aboriginal or Torres Strait Islander descent. The purpose of this
study is to explain the relationship between food insecurity and Aboriginal and
Torres Islander status in the state of Victoria. METHODS: Data were obtained from
the 2008 Victorian Population Health Survey; a cross-sectional landline computer
assisted telephone interview survey of 34,168 randomly selected Victorians aged
18 years and older; including 339 Aboriginal and Torres Strait Islanders. We
categorised a respondent as food insecure, if in the previous 12 months, they
reported having run out of food and not being able to afford to buy more. We used
multivariable logistic regression to adjust for age, sex, socioeconomic status
(household income), lifestyle risk factors (smoking, alcohol consumption and
obesity), social support (ability to get help from family, friends or
neighbours), household composition (lone parent status, household with a child,
and household size), and geographic location (rurality). RESULTS: Aboriginal and
Torres Strait Islanders (20.3%) were more likely than their non-Aboriginal and
Torres Strait Islander counterparts (5.4%) to have experienced food insecurity;
odds ratio (OR) = 4.5 (95% CI; 2.7-7.4). Controlling for age, SES, smoking,
obesity and inability to get help from family or friends reduced the odds ratio
by 38%; OR(adjusted) = 2.8 (1.6-5.0). CONCLUSIONS: Social determinants and
lifestyle risk factors only partially explained the higher prevalence of food
insecurity among Aboriginal and Torres Strait Islanders in Victoria. Further
research is needed to explain the disparity in food insecurity between the two
populations in order to inform and guide corrective action.
PMID- 24924600
TI - Reconstruction of the South Atlantic Subtropical Dipole index for the past 12,000
years from surface temperature proxy.
AB - Climate indices based on sea surface temperature (SST) can synthesize information
related to physical processes that describe change and variability in continental
precipitation from floods to droughts. The South Atlantic Subtropical Dipole
index (SASD) is based on the distribution of SST in the South Atlantic and fits
these criteria. It represents the dominant mode of variability of SST in the
South Atlantic, which is modulated by changes in the position and intensity of
the South Atlantic Subtropical High. Here we reconstructed an index of the South
Atlantic Ocean SST (SASD-like) for the past twelve thousand years (the Holocene
period) based on proxy-data. This has great scientific implications and important
socio-economic ramifications because of its ability to infer variability of
precipitation and moisture over South America where past climate data is limited.
For the first time a reconstructed index based on proxy data on opposite sides of
the SASD-like mode is able to capture, in the South Atlantic, the significant
cold events in the Northern Hemisphere at 12.9-11.6 kyr BP and 8.6-8.0 ky BP.
These events are related, using a transient model simulation, to precipitation
changes over South America.
PMID- 24924599
TI - Cytokinin levels and signaling respond to wounding and the perception of
herbivore elicitors in Nicotiana attenuata.
AB - Nearly half a century ago insect herbivores were found to induce the formation of
green islands by manipulating cytokinin (CK) levels. However, the response of the
CK pathway to attack by chewing insect herbivores remains unclear. Here, we
characterize the CK pathway of Nicotiana attenuata (Torr. ex S. Wats.) and its
response to wounding and perception of herbivore-associated molecular patterns
(HAMPs). We identified 44 genes involved in CK biosynthesis, inactivation,
degradation, and signaling. Leaf wounding rapidly induced transcriptional changes
in multiple genes throughout the pathway, as well as in the levels of CKs,
including isopentenyladenosine and cis-zeatin riboside; perception of HAMPs
present in the oral secretions (OS) of the specialist herbivore Manduca sexta
amplified these responses. The jasmonate pathway, which triggers many herbivore
induced processes, was not required for these HAMP-triggered changes, but rather
suppressed the CK responses. Interestingly CK pathway changes were observed also
in systemic leaves in response to wounding and OS application indicating a role
of CKs in mediating long distance systemic processes in response to herbivory.
Since wounding and grasshopper OS elicited similar accumulations of CKs in
Arabidopsis thaliana L., we propose that CKs are integral components of wounding
and HAMP-triggered responses in many plant species.
PMID- 24924601
TI - Small proteins, big roles: the signaling protein Apela extends the complexity of
developmental pathways in the early zebrafish embryo.
AB - The identification of molecules controlling embryonic patterning and their
functional analysis has revolutionized the fields of Developmental and Cell
Biology. The use of new sequence information and modern bioinformatics tools has
enriched the list of proteins that could potentially play a role in regulating
cell behavior and function during early development. The recent application of
efficient methods for gene knockout in zebrafish has accelerated the functional
analysis of many proteins, some of which have been overlooked due to their small
size. Two recent publications report on the identification of one such protein
and its role in zebrafish embryogenesis. The protein, currently designated Apela,
was shown to act as a secreted protein whose absence adversely affected various
early developmental processes. Additional signaling proteins that have been
identified in one of the studies are likely to open the way to unraveling
hitherto unknown developmental pathways and have the potential to provide a more
comprehensive understanding of known developmental processes.
PMID- 24924602
TI - Prevalence of musculoskeletal disorders and rheumatic diseases in an urban
community in Monagas State, Venezuela: a COPCORD study.
AB - The aim of the study was to determine the prevalence of musculoskeletal disorders
and rheumatic diseases in an urban community in Venezuela. We conducted a cross
sectional, community-based study using the COPCORD (Community Oriented Program
for Control of Rheumatic Diseases) methodology in subjects older than 18 years.
Positive cases were evaluated by rheumatologists. We surveyed 3,973 individuals
(1,606 males and 2,367 females), with a mean age of 43.7 years (standard
deviation (SD) 17.6). Mean duration of education was 8.9 years (SD 3.7), 79.2 %
had a monthly income of < US$569, and 46.4 % were working. Excluding trauma, the
prevalence of pain in the 7 days prior to interview was 19.9 % (95 % confidence
interval (CI) 18.7-21.2 %). Mean pain intensity on a visual analog scale was 6.3
(SD 2.2), and 30.1 % (95 % CI 28.7-31.6 %) had a history of pain. Respondents
reported pain in the knees, back, hands, shoulders, and ankles in the last 7
days; 4.7 % described current functional limitation, with 16.5 % reporting
limitations in the past. Regarding treatment, 23.9 % received medication, 6.4 %
received physical therapy, and 2.6 % received alternative treatment. The main
diagnoses were osteoarthritis in 15.0 % (95 % CI 13.9-16.1 %), rheumatic regional
pain syndromes in 6.3 % (95 % CI 5.5-7.1 %), back pain in 2.8 % (95 % CI 2.3-3.4
%), rheumatoid arthritis in 0.4 % (95 % CI 0.2-0.6 %), crystal arthropathy in 0.3
% (95 % CI 0.1-0.5 %), fibromyalgia in 0.2 % (95 % CI 0.1-0.4 %), and systemic
lupus erythematosus in 0.07 % (95 % CI 0.01-0.2 %). The prevalence of
musculoskeletal disorders was 22.4 %, and the most prevalent disease was
osteoarthritis. Pain, in which a patient is receiving treatment for
musculoskeletal disorders, and physical disability were associated with the
presence of a rheumatic disease.
PMID- 24924604
TI - Characteristics of seroconversion and implications for diagnosis of post
treatment Lyme disease syndrome: acute and convalescent serology among a
prospective cohort of early Lyme disease patients.
AB - Two-tier serology is often used to confirm a diagnosis of Lyme disease. One
hundred and four patients with physician diagnosed erythema migrans rashes had
blood samples taken before and after 3 weeks of doxycycline treatment for early
Lyme disease. Acute and convalescent serologies for Borrelia burgdorferi were
interpreted according to the 2-tier antibody testing criteria proposed by the
Centers for Disease Control and Prevention. Serostatus was compared across
several clinical and demographic variables both pre- and post-treatment. Forty
one patients (39.4%) were seronegative both before and after treatment. The
majority of seropositive individuals on both acute and convalescent serology had
a positive IgM western blot and a negative IgG western blot. IgG seroconversion
on western blot was infrequent. Among the baseline variables included in the
analysis, disseminated lesions (p < 0.0001), a longer duration of illness (p <
0.0001), and a higher number of reported symptoms (p = 0.004) were highly
significantly associated with positive final serostatus, while male sex (p =
0.05) was borderline significant. This variability, and the lack of
seroconversion in a subset of patients, highlights the limitations of using
serology alone in identifying early Lyme disease. Furthermore, these findings
underline the difficulty for rheumatologists in identifying a prior exposure to
Lyme disease in caring for patients with medically unexplained symptoms or
fibromyalgia-like syndromes.
PMID- 24924603
TI - Efficacy and safety of loxoprofen hydrogel patch versus loxoprofen tablet in
patients with knee osteoarthritis: a randomized controlled non-inferiority trial.
AB - This study is aimed at comparing the efficacy and safety of loxoprofen sodium
hydrogel patch (LX-P) with loxoprofen sodium tablet (LX-T) in patients with knee
osteoarthritis (OA). One hundred sixty-nine patients were enrolled in a
randomized, controlled, double-blind, double-dummy, multicenter, non-inferiority
trial of LX-P. Patients were randomly assigned to either LX-P or LX-T groups for
a 4-week treatment. The primary efficacy endpoint was the proportion of patients
with an overall improvement of >=50%, and the secondary efficacy endpoint was the
proportion of patients with an improvement of >=25% from baseline in each of the
seven main symptoms. The non-inferiority trial was based on a power of 80% and
significance level of 2.5% with a non-inferiority margin of -10%. In both
intention-to-treat (ITT) and per-protocol (PP) analyses, LX-P was as effective as
LX-T in regard to the primary endpoint. In the ITT analysis, the difference
between the two groups was 12.6% [95% confidence interval, -1.7 to 26.9%]. No
significant differences were found between the two groups in any of the secondary
efficacy outcomes. A lower incidence of adverse events was observed in LX-P
group; however, the difference was not statistically significant. No serious
adverse events were reported in the LX-P group, whereas one case was reported in
LX-T group. Based on the present study, topical loxoprofen patch was non-inferior
to oral loxoprofen in patients with knee osteoarthritis.
PMID- 24924605
TI - The impact of familial Mediterranean fever on reproductive system.
AB - Familial Mediterranean fever (FMF) is an autosomal recessive disease
characterized by recurrent attacks of fever, peritonitis, pleuritis, arthritis,
or erysipelas-like skin lesion. FMF is the most common periodic febrile syndrome
affecting more than 150,000 people worldwide. The majority of patients develop
FMF before the age of 20. FMF may cause amyloidosis, which mainly affects the
kidneys but may also be accumulated in other organs such as the heart,
gastrointestinal tract, and reproductive organs. FMF being a systemic disorder
with a risk for amyloidosis, affecting patients in their childbearing years, and
with its lifelong colchicine therapy raises concern about its effect on the
reproductive system. In this article, we review the impact of FMF and its
treatment to the reproductive system of male and female patients, pregnancy, and
lactation.
PMID- 24924606
TI - Cytochrome P450 interactions and clinical implication in rheumatology.
AB - There are many potential drug interactions that involve the complex cytochromes
P450 (CYP) enzyme system when treatments for chronic inflammatory rheumatic
diseases are used. This iatrogenic risk is increased in patients taking multiple
drugs such as those with rheumatoid arthritis or gout, whatever the type of CYP
interaction (substrate, inducer, or inhibitor of one of the CYP isoenzymes). Some
of these CYP interactions may have clinical consequences, sometimes serious
(overdose or therapeutic failure) and are often unrecognized by clinicians. The
aim of this article is first of all to act as a reminder of the metabolic role of
membrane-bound CYP enzymes in the liver in the oxidation of drugs and the
potential types of interaction (drug substrate, inducer, or inhibitor or
indirectly by the modulation of CYP activity through its powerful
antiinflammatory activity). Secondly, the different factors that modulate the
enzymatic activity of CYP will be described that may contribute to variations in
drug metabolism and therefore modify the benefit-risk ratio of the drug. Thirdly,
an analysis based on a review of the literature will present the different known
interactions via CYP for drugs used in clinical practice in rheumatic diseases:
analgesics, antiinflammatory drugs, conventional disease-modifying antirheumatic
drugs and biologic agents. To limit the clinical consequences of these CYP
interactions, it is recommended to focus on drugs that are really essential, to
systematically identify the rheumatic patients most at risk before prescribing,
and thus to adopt therapeutic strategies that reduce iatrogenic risk.
PMID- 24924608
TI - Modifications of open field and novelty behaviours by hippocampal and amygdaloid
lesions in two inbred strains of mice: Lack of strain * lesion interactions.
AB - C57BL/6 (C57) and DBA/2 (DBA) mice with dorsal hippocampus, central amygdala and
sham lesions were observed for an eight-minute period, repeated on three
consecutive days, in an open field situation with a novel object. Strain
dependent differences emerged when comparing sham lesioned mice; higher rearing
and grooming scores as well as more defecation boli were found in DBA. In both
strains, hippocampal lesions enhanced locomotor activity in the open field while
amygdaloid lesions increased the number of contacts with the novel object. No
significant lesion * strain interaction was recorded for any of the behaviours
analyzed in the open field of the novelty situation. Significant strain * day,
lesion * day and strain * lesion * day interactions were found thus indicating
that previously described strain or lesion main effects were more pronounced on a
particular day of testing. Despite the behavioural differences shown by sham
lesioned C57 and DBA mice, the effects of the lesions differ in intensity but
generally go in the same direction whatever the strain considered. Thus, while a
strain-dependent selective involvement of subcortical areas in associative
spatial learning has been previously reported, this does not seem to be the case
for non-associative forms of learning.
PMID- 24924607
TI - 25-Hydroxy vitamin D and its relationship with clinical and laboratory parameters
in patients with rheumatoid arthritis.
AB - The objective of this study is to evaluate the prevalence of vitamin D
insufficiency in patients with rheumatoid arthritis (RA) and its association with
disease activity, severity and physical disability. We included patients with
rheumatoid arthritis followed in Rheumatology Department of Hassan II University
Hospital, Fez, Morocco. Patients suffering from liver and kidney insufficiency
and those who had received vitamin D in the previous 12 months have been
excluded. Statistical analysis was done using SPSS v 18. A bivariate analysis and
logistic regression were used to identify factors associated with vitamin D
deficiency. One hundred seventy patients were included with a mean age of 50 +/-
12.1 [17-83] years, and a female predominance (88.1%). All of our patients had
hypovitaminosis D. The prevalence of 25(OH)-D insufficiency and deficiency was
64.5 and 35.5% successively. In unadjusted analysis, vitamin D concentration was
inversely associated with pain visual analog scale VAS score (p < 0.001),
asthenia VAS (p < 0.001), morning stiffness (p = 0.03), number of tender joints
(p = 0.004), number of swollen joints (p < 0.001), inflammatory markers (p =
0,012), Disease Activity Score (p = 0.009), physical disability using Health
Assessment Questionnaire (HAQ) (p = 0.001), and severity of the disease (p <
0.001). After logistic regression persisted association with female sex (OR =
4.3, CI = [0.94 to 20.976], p = 0.05), asthenia VAS (OR = 1.029, CI = [1.011 to
1.046], p = 0.001), and with the severity of the disease (OR = 2.910, CI = [1.314
6.441], p = 0.008). The vitamin D deficiency is common in our patients with RA.
This deficiency is associated with female sex, severe asthenia, and the severity
of the disease.
PMID- 24924609
TI - Reactions of staggerer and non-mutant male mice to female urine and vaginal
secretion odors.
AB - Previous studies have shown that staggerer male mice do not copulate
spontaneously. When meeting unfamiliar non-mutant females either in estrus or in
anestrus condition, these neurological mutants behave similarly. One possible
explanation is that staggerer males are unable to detect female odors. To test
this hypothesis, male reactions to urine and vaginal secretions of females,
either in estrous or in anestrous, were studied in a circular device allowing
mutant and non-mutant males to move and to explore sources of odors during 20
minutes. Concerning vaginal secretions odors, the duration of time spent in
different sectors by mutants was identical for both conditions of female sexual
receptivity whereas non-mutant males spent more time on location with vaginal
secretions of estrus females. For non-mutant and mutant males as well, duration
of time spent in sectors with urine odors was similar for both conditions of
female sexual receptivity. We hypothetised a possible deficiency for social odor
detection and/or integration due to the staggerer mutation.
PMID- 24924610
TI - Effect of chronic exposure to a magnetic field on two forms of murine aggression.
AB - The effect of continuous exposure to a relatively strong magnetic field for about
3-4 weeks were evaluated on social (inter-male) aggression in isolated males and
on maternal attack by lactating female mice. This study revealed that exposure to
intense fields produced a significant reduction in social and maternal aggression
towards anosmic (passive) standard opponent intruders. The detailed ethologically
assessed results indicate that such exposure produces a significant decline in
most behavioural categories recorded throughout this study in isolated male and
lactating female mice. Defensive behaviour, however, seemed enhanced in lactating
mice. These results may be explained in terms of the magnetic fields interfering
with the function of the central nervous system or the physiological substrates
which control such types of behaviour.
PMID- 24924611
TI - Queen attractivity in the Argentine ant Iridomyrmex humilis (Mayr).
AB - The origin of queen attractivity in the Argentine ant was estimated by presenting
body parts (head, thorax, abdomen) of dead queens to workers. Whatever the
preparation, crushed or intact, the thorax was always significantly more
attractive than other parts of the body. The legs seemed to be highly attractive
to the workers, mainly through lactile stimuli. The results are discussed with
regards to the occurrence of thoracic glands.
PMID- 24924612
TI - Odor discrimination and dominance in male domestic guinea pigs.
AB - Do male guinea pigs (Cavia porcellus), with established positions in social
dominance hierarchies, discriminate subordinate and dominant animals based upon
odor cues from the perineal sac glandular complex? Six groups of three or four
males were tested first to establish within-group dominance status and second for
responses to cotton swabs that had been wiped with the perineal sac glandular
complex of other males in the group. There was a significant (P < 0.05) linear
relationship between aggressive responses to the cotton swabs and dominance ranks
of the males (y = -0.16x + 0.86). Dominance may influence sebum production in the
perineal sac glandular complex of guinea pigs via a mechanism involving
androgens. Discrimination of dominance status could function in terms of male
spatial relations, avoidance of areas inhabited by dominant individuals, and
possibly in terms of female mate selection.
PMID- 24924613
TI - Theoretical possibility of a genetic assimilation of the feeeing direction in
Talitrus saltator.
AB - Reports on the genetic assimilation of features involving behaviour are very
scarce, while they are more common in the fields of morphology and physiology.
This paper investigates the theoretical possibility of a genetic assimilation of
the 'fleeing direction' in the sandhopper (Talitrus saltator), consistent with
Bateson's model of genetic assimilation. This is done from the two simple genetic
determinations suggested by L. Pardi and F. Scapini to explain the variability of
the feature between populations. The conditions for this genetic assimilation to
occur are examined and particular attention is paid to the differences between
the properties of the two determinations. Finally, the possible importance of
genetic assimilation in the field of animal orientation is discussed.
PMID- 24924614
TI - Removal of vibrissae in male mice does not influence social dominance.
AB - The hypothesis was tested that vibrissae in male mice are essential in the
development of social dominance. Male mice were either sham-treated or de
whiskered and then housed in groups of either three or two animals. To determine
dominance, three different methods were used: behavioural observations, food
deprivation tests and tube tests. It was found that the intact mice did not
become dominant more often than the de-whiskered mice, indicating that removal of
vibrissae does not influence the social status of mice. The outcomes of the three
tests did not correlate, probably because they measure different aspects of
dominance.
PMID- 24924616
TI - Synthesis of heterocycles through transition-metal-catalyzed isomerization
reactions.
AB - Metal-catalyzed isomerization of N- and O-allylic systems is emerging as an
effective method to form synthetically useful iminium and oxocarbenium
intermediates. In the presence of tethered nucleophiles, several recent examples
illuminate this approach as a powerful strategy for the synthesis of structurally
complex and diverse heterocycles. In this Concept article, we attempt to cover
this area of research through a selection of recent versatile examples.
PMID- 24924617
TI - Nano carriers that enable co-delivery of chemotherapy and RNAi agents for
treatment of drug-resistant cancers.
AB - Tumor cells exhibit drug resistant phenotypes that decrease the efficacy of
chemotherapeutic treatments. The drug resistance has a genetic basis that is
caused by an abnormal gene expression. There are several types of drug
resistance: efflux pumps reducing the cellular concentration of the drug,
alterations in membrane lipids that reduce cellular uptake, increased or altered
drug targets, metabolic alteration of the drug, inhibition of apoptosis, repair
of the damaged DNA, and alteration of the cell cycle checkpoints (Gottesman et
al., 2002; Holohan et al., 2013). siRNA is used to silence the drug resistant
phenotype and prevent this drug resistance response. Of the listed types of drug
resistance, pump-type resistance (e.g., high expression of ATP-binding cassette
transporter proteins such as P-glycoproteins (Pgp; also known as multi-drug
resistance protein 1 or MDR1, encoded by the ATP-Binding Cassette Sub-Family B
Member 1 (ABCB1) gene)) and apoptosis inhibition (e.g., expression of anti
apoptotic proteins such as Bcl-2) are the most frequently targeted for gene
silencing. The co-delivery of siRNA and chemotherapeutic drugs has a synergistic
effect, but many of the current projects do not control the drug release from the
nanocarrier. This means that the drug payload is released before the drug
resistance proteins have degraded and the drug resistance phenotype has been
silenced. Current research focuses on cross-linking the carrier's polymers to
prevent premature drug release, but these carriers still rely on environmental
cues to release the drug payload, and the drug may be released too early. In this
review, we studied the release kinetics of siRNA and chemotherapeutic drugs from
a broad range of carriers. We also give examples of carriers used to co-deliver
siRNA and drugs to drug-resistant tumor cells, and we examine how modifications
to the carrier affect the delivery. Lastly, we give our recommendations for the
future directions of the co-delivery of siRNA and chemotherapeutic drug
treatments.
PMID- 24924618
TI - Aldehyde tag coupled with HIPS chemistry enables the production of ADCs
conjugated site-specifically to different antibody regions with distinct in vivo
efficacy and PK outcomes.
AB - It is becoming increasingly clear that site-specific conjugation offers
significant advantages over conventional conjugation chemistries used to make
antibody-drug conjugates (ADCs). Site-specific payload placement allows for
control over both the drug-to-antibody ratio (DAR) and the conjugation site, both
of which play an important role in governing the pharmacokinetics (PK),
disposition, and efficacy of the ADC. In addition to the DAR and site of
conjugation, linker composition also plays an important role in the properties of
an ADC. We have previously reported a novel site-specific conjugation platform
comprising linker payloads designed to selectively react with site-specifically
engineered aldehyde tags on an antibody backbone. This chemistry results in a
stable C-C bond between the antibody and the cytotoxin payload, providing a
uniquely stable connection with respect to the other linker chemistries used to
generate ADCs. The flexibility and versatility of the aldehyde tag conjugation
platform has enabled us to undertake a systematic evaluation of the impact of
conjugation site and linker composition on ADC properties. Here, we describe the
production and characterization of a panel of ADCs bearing the aldehyde tag at
different locations on an IgG1 backbone conjugated using Hydrazino-iso-Pictet
Spengler (HIPS) chemistry. We demonstrate that in a panel of ADCs with aldehyde
tags at different locations, the site of conjugation has a dramatic impact on in
vivo efficacy and pharmacokinetic behavior in rodents; this advantage translates
to an improved safety profile in rats as compared to a conventional lysine
conjugate.
PMID- 24924620
TI - Potential role of fibrosis imaging in severe valvular heart disease.
PMID- 24924619
TI - Circulating levels of liver enzymes and incidence of atrial fibrillation: the
Atherosclerosis Risk in Communities cohort.
AB - BACKGROUND: Elevated levels of circulating liver enzymes have been associated
with increased risk of cardiovascular disease. Their possible association with
atrial fibrillation (AF) has received little attention. METHODS: We studied 9333
men and women, aged 53-75 years, free of AF, participating in the Atherosclerosis
Risk in Communities Study followed-up from 1996 to 2010. Aspartate
aminotransferase (AST), alanine aminotransferase (ALT), and gamma glutamyl
transpeptidase (GGT) were measured in stored plasma samples. Incident AF was
ascertained from hospitalisations and death certificates. Associations between
liver enzymes and AF incidence were assessed using multivariable Cox proportional
hazards models. RESULTS: During a mean follow-up of 12 years, 1021 incident AF
events were identified. Levels of AST, and to a lesser extent ALT, showed a U
shaped association with AF risk, with higher AF risk among individuals in the two
extremes of the distribution in minimally adjusted models. The associations were
weakened after adjustment for potential confounders. By contrast, GGT, modelled
as log base 2, was linearly associated with AF risk after multivariable
adjustment: a doubling of GGT levels was associated with a 20% increased risk of
AF (95% CI 10% to 30%). Additional adjustment for inflammatory markers did not
appreciably affect the results. Associations were not different in men and women,
in whites and blacks, among never drinkers of alcohol, and among those without
prevalent heart failure. CONCLUSIONS: In this community-based prospective study,
higher levels of liver enzymes, mainly GGT, were associated with an increased
risk of AF. The mechanisms underlying this association deserve further scrutiny.
PMID- 24924621
TI - Heart failure treatment in adults with congenital heart disease: where do we
stand in 2014?
AB - Heart failure (HF) is the leading cause of death in adults with repaired
congenital heart disease (CHD). However there is currently little evidence to
guide treatment strategies in this growing group of patients. Unlike the majority
of HF, which is usually caused by LV systolic or diastolic dysfunction, CHD-HF is
more often a consequence of RV disease, valve dysfunction, shunting or pulmonary
hypertension. It is therefore not appropriate to extrapolate from the acquired HF
literature and apply it to this heterogeneous population of CHD patients.
Additionally, patients with CHD have been excluded from most large trials of
medical or device therapy of HF, which has resulted in small retrospective and
underpowered studies in the CHD population. This article critically reviews the
current knowledge about CHD-HF, paying particular attention to medical therapy in
different CHD populations, cardiac resynchronisation therapy and implantable
cardiac defibrillators, and the challenges of heart transplantation and
mechanical circulatory support in CHD patients.
PMID- 24924624
TI - Erratum to: Perinatal Distress in Women in Low- and Middle-Income Countries:
Allostatic Load as a Framework to Examine the Effect of Perinatal Distress on
Preterm Birth and Infant Health.
PMID- 24924622
TI - Early warning of West Nile virus mosquito vector: climate and land use models
successfully explain phenology and abundance of Culex pipiens mosquitoes in north
western Italy.
AB - BACKGROUND: West Nile Virus (WNV) is an emerging global health threat.
Transmission risk is strongly related to the abundance of mosquito vectors,
typically Culex pipiens in Europe. Early-warning predictors of mosquito
population dynamics would therefore help guide entomological surveillance and
thereby facilitate early warnings of transmission risk. METHODS: We analysed an
11-year time series (2001 to 2011) of Cx. pipiens mosquito captures from the
Piedmont region of north-western Italy to determine the principal drivers of
mosquito population dynamics. Linear mixed models were implemented to examine the
relationship between Cx. pipiens population dynamics and environmental predictors
including temperature, precipitation, Normalized Difference Water Index (NDWI)
and the proximity of mosquito traps to urban areas and rice fields. RESULTS: Warm
temperatures early in the year were associated with an earlier start to the
mosquito season and increased season length, and later in the year, with
decreased abundance. Early precipitation delayed the start and shortened the
length of the mosquito season, but increased total abundance. Conversely,
precipitation later in the year was associated with a longer season. Finally,
higher NDWI early in the year was associated with an earlier start to the season
and increased season length, but was not associated with abundance. Proximity to
rice fields predicted higher total abundance when included in some models, but
was not a significant predictor of phenology. Proximity to urban areas was not a
significant predictor in any of our models. Predicted variations in start of the
season and season length ranged from one to three weeks, across the measured
range of variables. Predicted mosquito abundance was highly variable, with
numbers in excess of 1000 per trap per year when late season temperatures were
low (average 21 degrees C) to only 150 when late season temperatures were high
(average 30 degrees C). CONCLUSIONS: Climate data collected early in the year, in
conjunction with local land use, can be used to provide early warning of both the
timing and magnitude of mosquito outbreaks. This potentially allows targeted
mosquito control measures to be implemented, with implications for prevention and
control of West Nile Virus and other mosquito borne diseases.
PMID- 24924625
TI - Social value of a quality-adjusted life year (QALY) in Spain: the point of view
of oncologists.
AB - PURPOSE: The economic situation showed that the resources devoted to health
spending are limited, making rationalisation of their consumption necessary. The
relevance of pharmacoeconomic analyses is becoming crucial. The ECO Foundation,
promoting the quality of oncology care, set out to analyse the consensus on the
new therapeutic targets inclusion and the integration of pharmacoeconomics when
evaluating their effectiveness. METHODS: Study about pharmacoeconomic estimations
was performed during the first ECO-Seminar (2010). It was developed using a
modified Delphi method, in four stages: (1) committee coordinator establishment,
(2) expert-panel selection, (3) preparation and submission of survey (1 question)
by email, and (4) analysis of the degree of consensus reached. RESULTS: Results
were obtained from surveys completed by 35 experts. Regarding the tolerable
annual cost for the approval of new drugs, 68.8 % of the respondents considered a
cost per quality-adjusted life year (QALY) gained between ?30,000 and 100,000
acceptable (34.4 % ?30,000-60,000; 34.4 % ?60,000-100,000), 21.9 % of the
respondents found costs between ?100,000-150,000/QALY and 9.3 % of the
respondents found costs above ?150,000/QALY acceptable. CONCLUSIONS: The costs of
new drugs are higher than traditional treatments, making it a priority to
identify subgroups of patients with specific molecular profiles as candidates for
higher-efficiency-targeted therapies. The allocation of the available resources
to the most effective interventions, to achieve the best clinical outcomes with
lower costs and best subjective profile possible, allows expenditure to be
rationalised. Pharmacoeconomic studies are a basic tool for obtaining better
health outcomes according to the available resources, while also considering the
other needs of the population.
PMID- 24924626
TI - Risk-cost-benefit analysis for transportation corridors with interval
uncertainties of heterogeneous data.
AB - Access management, which systematically limits opportunities for egress and
ingress of vehicles to highway lanes, is critical to protect trillions of dollars
of current investment in transportation. This article addresses allocating
resources for access management with incomplete and partially relevant data on
crash rates, travel speeds, and other factors. While access management can be
effective to avoid crashes, reduce travel times, and increase route capacities,
the literature suggests a need for performance metrics to guide investments in
resource allocation across large corridor networks and several time horizons. In
this article, we describe a quantitative decision model to support an access
management program via risk-cost-benefit analysis under data uncertainties from
diverse sources of data and expertise. The approach quantifies potential
benefits, including safety improvement and travel time savings, and costs of
access management through functional relationships of input parameters including
crash rates, corridor access point densities, and traffic volumes. Parameter
uncertainties, which vary across locales and experts, are addressed via numerical
interval analyses. This approach is demonstrated at several geographic scales
across 7,000 kilometers of highways in a geographic region and several
subregions. The demonstration prioritizes route segments that would benefit from
risk management, including (i) additional data or elicitation, (ii) right-of-way
purchases, (iii) restriction or closing of access points, (iv) new alignments,
(v) developer proffers, and (vi) etc. The approach ought to be of wide interest
to analysts, planners, policymakers, and stakeholders who rely on heterogeneous
data and expertise for risk management.
PMID- 24924628
TI - Active vitamin D possesses beneficial effects on the interaction between muscle
and bone.
AB - Vitamin D deficiency and advanced glycation end products (AGEs) are suggested to
be involved in the pathogenesis of osteoporosis and sarcopenia. However, the
effects of vitamin D and AGEs on myogenesis and the interaction between muscle
and bone remains still unclear. We previously showed that osteoglycin (OGN) is
secreted from myoblasts and stimulates osteoblastic differentiation, suggesting
that it plays important roles in the interaction between muscle and bone. The aim
of this study is thus to examine the effects of vitamin D and AGEs on myoblastic
differentiation of C2C12 cells and osteoblastic differentiation of osteoblastic
MC3T3-E1 cells through OGN expression. 1alpha,25-dihydroxyvitamin D3 (1,25D) and
eldecalcitol, an active vitamin D analog, induced the expression of MyoD,
myogenin and OGN, and these effects were abolished by vitamin D receptor (VDR)
suppression by siRNA in C2C12 cells. Moreover, conditioned medium from 1,25D
pretreated C2C12 cells stimulated the expression of type 1 collagen and alkaline
phosphatase in MC3T3-E1 cells, compared to control medium from 1,25D-untreated
C2C12 cells. In contrast, conditioned medium from VDR-suppressed and 1,25D
pretreated C2C12 cells showed no effects. AGE2 and AGE3 suppressed the expression
of MyoD, myogenin and OGN in C2C12 cells. Moreover, 1,25D blunted the AGEs'
effects. In conclusion, these findings showed for the first time that active
vitamin D plays important roles in myogenesis and muscle-induced
osteoblastogenesis through OGN expression. Active vitamin D treatment may rescue
the AGEs-induced sarcopenia as well as - suppressed osteoblastic differentiation
via OGN expression in myoblasts.
PMID- 24924627
TI - TCR-induced, PKC-theta-mediated NF-kappaB activation is regulated by a caspase-8
caspase-9-caspase-3 cascade.
AB - It has been documented that caspase-8, a central player in apoptosis, is also
crucial for TCR-mediated NF-kappaB activation. However, whether other caspases
are also involved this process is unknown. In this report, we showed that in
addition to caspase-8, caspase-9 is required for TCR-mediated NF-kappaB
activation. Caspase-9 induces activation of PKC-theta, phosphorylation of Bcl10
and NF-kappaB activation in a caspase-3-dependent manner, but it appears that
Bcl10 phosphorylation is uncoupled from NF-kappaB activation. Furthermore,
caspase-8 lies upstream of caspase-9 during T cell activation. Therefore, TCR
ligation elicits a caspase cascade involving caspase-8, caspase-9 and caspase-3
which initiates PKC-theta-dependent pathway leading to NF-kappaB activation and
PKC-theta-independent Bcl10 phosphorylation which limits NF-kB activity.
PMID- 24924629
TI - Eph receptor A10 has a potential as a target for a prostate cancer therapy.
AB - We recently identified Eph receptor A10 (EphA10) as a novel breast cancer
specific protein. Moreover, we also showed that an in-house developed anti-EphA10
monoclonal antibody (mAb) significantly inhibited proliferation of breast cancer
cells, suggesting EphA10 as a promising target for breast cancer therapy.
However, the only other known report for EphA10 was its expression in the testis
at the mRNA level. Therefore, the potency of EphA10 as a drug target against
cancers other than the breast is not known. The expression of EphA10 in a wide
variety of cancer cells was studied and the potential of EphA10 as a drug target
was evaluated. Screening of EphA10 mRNA expression showed that EphA10 was
overexpressed in breast cancer cell lines as well as in prostate and colon cancer
cell lines. Thus, we focused on prostate cancers in which EphA10 expression was
equivalent to that in breast cancers. As a result, EphA10 expression was clearly
shown in clinical prostate tumor tissues as well as in cell lines at the mRNA and
protein levels. In order to evaluate the potential of EphA10 as a drug target, we
analyzed complement-dependent cytotoxicity effects of anti-EphA10 mAb and found
that significant cytotoxicity was mediated by the expression of EphA10.
Therefore, the idea was conceived that the overexpression of EphA10 in prostate
cancers might have a potential as a target for prostate cancer therapy, and
formed the basis for the studies reported here.
PMID- 24924630
TI - Cholesterol depletion induces ANTXR2-dependent activation of MMP-2 via ERK1/2
phosphorylation in neuroglioma U251 cell.
AB - Cholesterol is a critical component of lipid rafts implicated in regulating
multiple signal transduction. The anthrax toxin receptor 2 (ANTXR2) is a type I
membrane protein acting as the second receptor for the anthrax toxin. In this
study, we first investigated the association between cholesterol and ANTXR2. We
provided the evidence that cholesterol depletion by methyl-beta-cyclodextrin
(MbetaCD) promoted ANTXR2 expression in U251 neuroglioma cell, which was reversed
by cholesterol supplement. MbetaCD-induced ANTXR2 up-regulation contributed to
ERK1/2 phosphorylation, which was responsible for MT1-MMP and MMP-2 activation.
Our data suggested that cellular cholesterol regulated ANTXR2-dependent
activation of MMP-2 via ERK1/2 phosphorylation in neuroglioma U251 cell.
PMID- 24924631
TI - Palmitate induces SHIP2 expression via the ceramide-mediated activation of NF
kappaB, and JNK in skeletal muscle cells.
AB - AIMS: Elevated plasma free fatty acids impair the insulin signaling by induction
of the expression of protein phosphatases. However, the effect of palmitate on
SH2-containing inositol 5'-phosphatase 2 (SHIP2) expression has not been
investigated. Here we investigated the effects of palmitate on SHIP2 expression
and elucidated the underlying mechanisms in skeletal muscle cells. MAIN METHODS:
SHIP2 mRNA and protein levels were measured in C2C12 myotubes exposed to
palmitate. Specific inhibitors were used to identify the signaling pathways
involved in SHIP2 expression. KEY FINDINGS: The results showed that 0.5mM
palmitate significantly upregulates the mRNA and protein levels of SHIP2 in C2C12
cells. To address the role of palmitate intracellular metabolites in SHIP2
expression, the myotubes were treated with palmitate in the presence of ceramide
and diacylglycerol synthesis inhibitors. The results demonstrated that only
ceramide synthesis inhibition could prevent palmitate-induced SHIP2 expression in
these cells. In addition, the incubation of muscle cells with different
concentrations of C2-ceramide dose-dependently enhanced SHIP2 expression.
Furthermore, the inhibition of both JNK and NF-kappaB pathways could prevent
ceramide-induced SHIP2 expression in myotubes. SIGNIFICANCE: These findings
suggest that palmitate contributes to SHIP2 overexpression in skeletal muscle via
the mechanisms involving the activation of ceramide-JNK and NF-kappaB pathways.
PMID- 24924632
TI - Fatty acid-bearing albumin but not fatty acid-depleted albumin induces HIF-1
activation in human renal proximal tubular epithelial cell line HK-2.
AB - Recently, we found that albumin overload induces expression of the transcription
factor hypoxia-inducible factor-1alpha (HIF-1alpha) protein and several HIF-1
target genes in human renal proximal tubular epithelial cell line HK-2. In this
study, the role of albumin-bound fatty acids in the albumin-induced HIF-1
activation was studied. The enhancing effect of fatty acid-bearing human serum
albumin [FA(+)HSA] treatment on HIF-1alpha protein expression was much greater
than that of fatty acid-depleted human serum albumin [FA(-)HSA] treatment. The
FA(+)HSA treatment induced HIF-1 target gene mRNAs such as those of glucose
transporter 1 (GLUT1), glyceraldehyde 3-phosphate dehydrogenase (GAPDH), and
breast cancer resistance protein (BCRP) in concentration-dependent manners, while
FA(-)HSA caused no significant increases in these mRNAs. Consistent with
increased GLUT1 mRNA, GLUT1 protein expression and GLUT inhibitor cytochalasin B
sensitive d-[(3)H]glucose uptake activity were significantly enhanced by
treatment with FA(+)HSA, but not with FA(-)HSA. These findings indicate that
fatty acids bound to albumin play a crucial role in albumin-induced HIF-1
activation followed by changes in HIF-1 target gene expression and protein
product activity.
PMID- 24924633
TI - Tetrameric ZBRK1 DNA binding domain has affinity towards cognate DNA in absence
of zinc ions.
AB - Zinc finger transcription regulatory proteins play crucial roles in cell-cycle
regulation, DNA damage response and tumor genesis. Human ZBRK1 is a zinc-finger
transcription repressor protein, which recognizes double helical DNA containing
consensus sequences of 5'GGGXXXCAGXXXTTT3'. In the present study, we have
purified recombinant DNA binding domain of ZBRK1, and studied binding with zinc
ions and DNA, using biophysical techniques. The elution profile of the purified
protein suggests that this ZBRK1 forms a homotetramer in solution. Dissociation
and pull down assays also suggest that this domain forms a higher order oligomer.
The ZBRK1-DNA binding domain acquires higher stability in the presence of zinc
ions and DNA. The secondary structure of the ZBRK1-DNA complex is found to be
significantly altered from the standard B-DNA conformation.
PMID- 24924634
TI - Novel elongase of Pythium sp. with high specificity on Delta(6)-18C desaturated
fatty acids.
AB - We identified a novel elongase gene from a selected strain of the Oomycete,
Pythium sp. BCC53698. Using a PCR approach, the cloned gene (PyElo) possessed an
open reading frame (ORF) of 834 bp encoding 277 amino acid residues. A similarity
search showed that it had homology with the PUFA elongases of several organisms.
In addition, the signature characteristics, including four conserved motifs, a
histidine-rich catalytic motif and membrane-associated feature were present in
the Pythium gene. Heterologous expression in Saccharomyces cerevisiae showed that
it was specific for fatty acid substrates, having a double bond at Delta(6)
position, which included gamma-linolenic acid (GLA) and stearidonic acid (STA),
and preferentially elongated the n3-18C PUFA. This is an elongase in Oomycete
fungi, which displays very high specificity on Delta(6)-18C desaturated fatty
acids. This will be a powerful tool to engineer PUFA biosynthesis in organisms of
interest through the n-6 series pathway for producing value-added fatty acids.
PMID- 24924635
TI - A soluble form of Siglec-9 provides an antitumor benefit against mammary tumor
cells expressing MUC1 in transgenic mice.
AB - Tumor-associated MUC1 binds to Siglec-9, which is expected to mediate tumor cell
growth and negative immunomodulation. We hypothesized that a soluble form of
Siglec-9 (sSiglec-9) competitively inhibits a binding of MUC1 to its receptor
molecules like human Siglec-9, leading to provide antitumor benefit against MUC1
expressing tumor, and generated transgenic mouse lines expressing sSiglec-9
(sSiglec-9 Tg). When mammary tumor cells expressing MUC1 were intraperitoneally
transplanted into sSiglec-9 Tg, tumor proliferation was slower with the lower
histological malignancy as compared with non-transgenic mice. The sSiglec-9 was
detected in the ascites caused by the tumor in the sSiglec-9 Tg, and sSiglec-9
and MUC1 were often colocalized on surfaces of the tumor cells. PCNA
immunohistochemistry also revealed the reduced proliferation of the tumor cells
in sSiglec-9 Tg. In sSiglec-9 Tg with remarkable suppression of tumor
proliferation, MUC1 expressions were tend to be reduced. In the ascites of
sSiglec-9 Tg bearing the tumor, T cells were uniformly infiltrated, whereas
aggregations of degenerative T cells were often observed in the non-transgenic
mice. These results suggest that sSiglec-9 has an antitumor benefit against MUC1
expressing tumor in the transgenic mice, which may avoid the negative
immunomodulation and/or suppress tumor-associated MUC1 downstream signal
transduction, and subsequent tumor proliferation.
PMID- 24924636
TI - Analysis of subcellular localization and function of the yeast Rab6 homologue,
Ypt6p, using a novel amino-terminal tagging strategy.
AB - Ypt6p, the yeast homologue of mammalian Rab6, is involved in the multiple
processes regulated by membrane trafficking such as vacuole maturation and
membrane protein recycling. Although several lines of evidence suggest that Ypt6p
is possibly localized to multiple membrane compartments, the precise localization
of endogenous Ypt6p remains to be elucidated. In this study, we developed a novel
method for N-terminal tagging of endogenous protein based on homologous
recombination and investigated the subcellular localization and function of
Ypt6p. Ypt6p and its GTP-bound form were predominantly localized to the cis- to
medial-Golgi compartments whereas the GDP-bound form of Ypt6p was localized to
the cytosol. Ric1p, a component of the specific GEF complex for Ypt6p, largely
colocalized with Ypt6p in the early Golgi, and localization of Ypt6p changed to
the cytosol in ric1Delta cells. On the other hand, Gyp6p, a putative GAP for
Ypt6p, was localized to the trans-Golgi compartment and deletion of GYP6
increased the localization of Ypt6p at the trans-Golgi, suggesting that Gyp6p
promotes the dissociation of Ypt6p from the Golgi when arriving at the trans
Golgi compartment. Additionally, we demonstrated that overexpression of the GDP
bound form of Ypt6p caused defective vacuole formation and recycling of Snc1p to
the plasma membrane. These results suggest that the GTP-binding activity of Ypt6p
is necessary for intra-Golgi trafficking and protein recycling in the early Golgi
compartment.
PMID- 24924637
TI - Tunable and selective conversion of 5-HMF to 2,5-furandimethanol and 2,5
dimethylfuran over copper-doped porous metal oxides.
AB - Tunable and selective hydrogenation of the platform chemical 5
hydroxymethylfurfural into valuable C6 building blocks and liquid fuel additives
is achieved with copper-doped porous metal oxides in ethanol. A new catalyst
composition with improved hydrogenation/hydrogenolysis activity is obtained by
introducing small amounts of ruthenium dopant into the previously reported
Cu(0.59) Mg2.34 Al1.00 structure. At a mild reaction temperature (100 degrees
C), 2,5-furandimethanol is obtained with excellent selectivity up to >99%. Higher
reaction temperatures (220 degrees C) favor selective deoxygenation to 2,5
dimethylfuran and minor product 2,5-dimethyltetrahydrofuran with a combined yield
as high as 81%. Notably, these high product yields are maintained at a substrate
concentration up to 10 wt% and a low catalyst loading. The influence of different
alcohol solvents on product selectivity is explored. Furthermore, reaction
intermediates formed at different reaction temperatures are identified. The
composition of these product mixtures provides mechanistic insight into the
nature of the reduction pathways that influence product selectivity. The
catalysts are characterized by elemental analysis, TEM, and BET techniques before
and after the reaction. Catalyst recycling experiments are conducted in batch and
in a continuous-flow setup.
PMID- 24924638
TI - [Scientific evidence for laparoscopic rectal cancer surgery].
AB - BACKGROUND: Innovative surgical techniques in colorectal surgery aim to provide
diminished surgical injury and at least equivalent or even improved quality of
treatment and oncological results. High level clinical studies are mandatory to
examine the feasibility and advantages (or disadvantages) of new operative
techniques. OBJECTIVES: Laparoscopic colonic resection for cancer has been
investigated with respect to safety and oncological quality in various
prospective randomized studies (COST study, COLOR-I and CLASICC). The minimally
invasive procedure is feasible and safe which was demonstrated in many studies
but can these results be extrapolated to laparoscopic rectal cancer surgery?
RESULTS: The short term outcomes of the COLOR-II trial were published recently
and laparoscopic resection for rectal cancer was not found to be inferior
compared to open resection. Recovery after laparoscopic surgery was better than
after open surgery. Laparoscopic surgery was found to have significant advantages
with respect to blood loss, operating time, use of pain medication, early
restoration of bowel function and reduction of hospital stay as well as the
lateral safety margins in the distal third of the rectum. The long-term results
focussing on local recurrence showed a positive trend in favor of laparoscopic
rectal surgery and will be published shortly. CONCLUSION: Laparoscopic total
mesorectal excision (TME) appears to have clinically measurable short-term
advantages in patients with primary rectal cancer based on the evidence of
randomized studies. Laparoscopic rectal cancer resection may become the gold
standard in the future.
PMID- 24924639
TI - [Treatment reality with respect to laparoscopic surgery of colonic cancer in
Germany].
AB - BACKGROUND: Prospective randomized studies and meta-analyses have shown that
laparoscopic resection for colonic cancer is equivalent to open resection with
respect to the oncological results and has short-term advantages in the early
postoperative outcome. The aim of this study was to investigate whether
laparoscopic colonic resection has become established as the standard in routine
treatment. METHODS: Data from the multicenter observational study "Quality
assurance colonic cancer (primary tumor)" from the time period from 1 January
2009 to 21 December 2011 were evaluated with respect to the total proportion of
laparoscopic colonic cancer resections and tumor localization and specifically
for laparoscopic sigmoid colon cancer resections. A comparison between low and
high volume clinics (< 30 versus >= 30 colonic cancer resections/year) was
carried out. RESULTS: Laparoscopic colonic cancer resections were carried out in
12 % versus 21.4 % of low and high volume clinics, respectively (p < 0.001) with
a significant increase for low volume clinics (from 8.0 % to 15.6 %, p < 0.001)
and a constant proportion in high volume clinics (from 21.7 % to 21.1 %, p =
0.905). For sigmoid colon cancer laparoscopic resection was carried out in 49.7 %
versus 47.6 % (p = 0.584). Differences were found between low volume and high
volume clinics in the conversion rates (17.3 % versus 6.6 %, p < 0.001), the
length of the resected portion (O 23.6 cm versus 36.0 cm, p < 0.001) and the
lymph node yield (O n = 15.7 versus 18.2, p = 0.008). There were no differences
between the two groups of clinics regarding postoperative morbidity and
mortality. The postoperative morbidity and length of stay were significantly
lower for laparoscopic sigmoid resection than for conventional sigmoid resection.
CONCLUSION: The laparoscopic access route for colonic cancer resection is not the
standard approach in the participating clinics. The laparoscopic access route has
the highest proportion for sigmoid colon resection. The differences in the
conversion rates, length of the resected portion and the number of lymph nodes
investigated between the low volume and high volume clinics must be viewed
critically and must be interpreted in connection with the long-term oncological
results.
PMID- 24924641
TI - High resolution NEXAFS of perylene and PTCDI: a surface science approach to
molecular orbital analysis.
AB - We made use of synchrotron radiation to perform near edge X-ray absorption fine
structure spectroscopy, NEXAFS, at the carbon K-edge of perylene and perylene
tetracarboxylic-diimide, PTCDI. Reference spectra measured for isolated molecules
in the gas phase are compared with polarization dependent NEXAFS spectra measured
on highly oriented thin films in order to study the symmetry of the molecular
orbitals. The molecular overlayers are grown onto the rutile TiO2(110) surface
for which the large anisotropic corrugation effectively drives the molecular
orientation, while its dielectric nature prevents the rehybridization of the
molecular orbitals. We employed density functional theory, DFT, calculations to
disentangle the contribution of specific carbon atoms to the molecular density of
states. Numerical simulations correctly predict the observed NEXAFS azimuthal
dichroism of the sigma* resonances above the ionization threshold, from which we
determine the full geometric orientation of the overlayer molecules. A
discrepancy observed for the spectral contribution of the imide carbon atom to
the calculated unoccupied molecular orbitals has been explained in terms of
initial state effects, as determined by Hartree-Fock corrections and in full
agreement with the corresponding shift of the C 1s core level measured by X-ray
photoelectron spectroscopy, XPS.
PMID- 24924640
TI - Deletions in the 3' part of the NFIX gene including a recurrent Alu-mediated
deletion of exon 6 and 7 account for previously unexplained cases of Marshall
Smith syndrome.
AB - Marshall-Smith syndrome (MSS) is a very rare malformation syndrome characterized
by typical craniofacial anomalies, abnormal osseous maturation, developmental
delay, failure to thrive, and respiratory difficulties. Mutations in the nuclear
factor 1/X gene (NFIX) were recently identified as the cause of MSS. In our study
cohort of 17 patients with a clinical diagnosis of MSS, conventional sequencing
of NFIX revealed frameshift and splice-site mutations in 10 individuals. Using
multiplex ligation-dependent probe amplification analysis, we identified a
recurrent deletion of NFIX exon 6 and 7 in five individuals. We demonstrate this
recurrent deletion is the product of a recombination between AluY elements
located in intron 5 and 7. Two other patients had smaller deletions affecting
exon 6. These findings show that MSS is a genetically homogeneous Mendelian
disorder. RT-PCR experiments with newly identified NFIX mutations including the
recurrent exon 6 and 7 deletion confirmed previous findings indicating that MSS
associated mutant mRNAs are not cleared by nonsense-mediated mRNA decay.
Predicted MSS-associated mutant NFIX proteins consistently have a preserved DNA
binding and dimerization domain, whereas they grossly vary in their C-terminal
portion. This is in line with the hypothesis that MSS-associated mutations encode
dysfunctional proteins that act in a dominant negative manner.
PMID- 24924642
TI - In response to comments on "screening of major depression in epilepsy: the
Neurologic Depression Disorders Inventory in Epilepsy-Spanish version
(Argentina).
PMID- 24924643
TI - Estimating the diagnostic value of the Neurological Disorders Depression
Inventory for Epilepsy in different languages.
PMID- 24924644
TI - Terminology and prognosis of Dravet syndrome.
PMID- 24924645
TI - In response to terminology and prognosis of Dravet syndrome.
PMID- 24924646
TI - Thalamotemporal impairment in benign temporal lobe epilepsy: same hypotheses?
PMID- 24924647
TI - Energized by love: thinking about romantic relationships increases positive
affect and blood glucose levels.
AB - We assessed the impact of thinking of a current romantic partner on acute blood
glucose responses and positive affect over a short period of time. Participants
in romantic relationships were randomly assigned to reflect on their partner, an
opposite-sex friend, or their morning routine. Blood glucose levels were assessed
prior to reflection, as well as at 10 and 25 min postreflection. Results revealed
that individuals in the routine and friend conditions exhibited a decline in
glucose over time, whereas individuals in the partner condition did not exhibit
this decline (rather, a slight increase) in glucose over time. Reported positive
affect following reflection was positively associated with increases in glucose,
but only for individuals who reflected on their partner, suggesting this
physiological response reflects eustress. These findings add to the literature on
eustress in relationships and have implications for relationship processes.
PMID- 24924648
TI - Effects of dietary substitution of zinc-methionine for inorganic zinc sources on
growth performance, tissue zinc accumulation and some blood parameters in broiler
chicks.
AB - This study was designed to evaluate the effects of dietary inclusion of zinc
methionine (ZnMet) as a replacement for conventional inorganic zinc sources on
performance, tissue zinc accumulation and some plasma indices in broiler chicks.
A total of 450-day-old Ross male broiler chicks were randomly assigned to five
pen replicates of nine experimental diets. Dietary treatments consisted of two
basal diets supplemented with 40 mg/kg added Zn as feed-grade Zn sulphate or Zn
oxide in which, Zn was replaced with that supplied from ZnMet complex by 25, 50,
75 or 100%. At 42 days of age, three randomly selected birds from each pen were
bled to measure plasma metabolites; then, the chicks were slaughtered to evaluate
carcass characteristics. Results showed that dietary treatments affected (p <
0.05) feed intake during the starter period, and chicks on Zn oxide diets
consumed more feed than sulphate counterparts. Furthermore, dietary substitution
of inorganic Zn sources by ZnMet caused improvements (p < 0.01) in body weight
gain during all experimental periods. Dietary supplementation of ZnMet improved
feed conversion efficiency during 1-21 and 1-42, but not in 21-42 days of age.
Complete replacement of inorganic Zn by that supplied from ZnMet caused an
increase (p < 0.05) in relative liver weight. Similarly, dietary inclusion of
ZnMet increased breast meat and carcass yields and reduced abdominal fat
percentage (p < 0.05). Incremental levels of ZnMet increased (p < 0.05) zinc
concentrations in liver and thymus, and the highest zinc accumulations were seen
in 100% ZnMet-supplemented birds. Interestingly, introduction of ZnMet into the
diets partially in place of inorganic sources resulted in decreases (p < 0.01) in
plasma uric acid and triglycerides concentrations. The present findings indicated
that dietary ZnMet inclusion in replacement of inorganic sources in addition to
improving growth performance, reduced plasma uric acid and triglycerides
concentrations, consequently decreased abdominal fat pad and increased carcass
meat yield.
PMID- 24924649
TI - Description of the behavior of praying mantis with particular reference to
grooming.
AB - Eighteen behavior patterns, encompassing most of the observable behavior of
individually housed praying mantids, Sphodromantis lineola, were recorded using a
point sampling technique. The data were sorted into four major behavioral
categories: (1) food acquisition, (2) grooming, (3) inactivity, and (4)
locomotion and miscellaneous. The mantids spent most of the time in an inactive
state, which is consistent with their way of life as ambushing predators. Most of
the animals' active time was spent in food acquisition (60%). Grooming behaviors
comprised 16.7% of the active time; foreleg grooming represented 82% of grooming,
while head grooming represented 14.3%. Analysis of the behavioral states in close
temporal proximity to head grooming indicated that head grooming often follows
foreleg grooming, occuring in bouts of about 1/2 to 1 min duration. Foreleg
grooming is also closely associated with eating and seems to represent a pivotal
behavior pattern between food acquisition and grooming, possibly sharing causal
factors with both.
PMID- 24924650
TI - Defensive behaviour and its inheritance in the anabantoid fish, Macropodus
opercularis and Macropodus opercularis concolor.
AB - In this preliminary study defense behaviour patterns (fear responses) are
described in two closely related, behaviourally different inbred labyrinth fish
subspecies and in their F1 generation. The subspecies M. opercularis
(characterized briefly by "active escape") and M. opercularis concolor
(characterized by "passive escape") showed specific differences in the
manifestation of certain defense behaviour patterns. In the F1 hybrid generation
dominance and overdominance of M. opercularis was found in most defense behaviour
patterns. Analysing the frequencies and sequences of movement patterns it could
be shown that defensive behaviour is not a random or entirely "plastic" process
but that there is sequential linkage between the patterns and they form
characteristic clusters. Our results suggest that manifestations of different
patterns are under genetic control and presumably, genetic determination of
certain patterns is not very complex. Attempts were made to determine whole brain
noradrenaline, serotonine and dopamine levels of the two subspecies and a
significant difference was found in the noradrenaline content.
PMID- 24924651
TI - Modification of prey-catching behavior by learning in the common toad (Bufo b.
bufo [L], Anura, Amphibia): Changes in responses to visual objects and effects of
auditory stimuli.
AB - An attempt to train common toads (Bufo b. bufo) to make the turning movements
associated with prey-catching in response to a tone (1000 Hz, 90 dB) was
unsuccessful. However, some toads learned to discriminate food that had been made
unpalatable from palatable food of identical appearance, when the former was
accompanied by the auditory stimulus. By making the prey unpalatable flight
behavior could be induced in toads presented with a housefly (Musca domestica).
On the other hand, toads could be trained to exhibit prey-catching behavior when
shown predator objects 30 cm wide and 60 cm high (at a distance of ca. 50 cm).
The toads also learned to snap at motionless, unscented food in certain
surroundings.
PMID- 24924652
TI - Behavioural effects of hyperstriatal ablation in Gallus domesticus.
AB - Hens with bilateral ablations in the hyperstriatal complex were compared with
birds with bilateral ablations in the posterior telencephalon and sham-operated
controls with regard to their behaviour in their home pens, exploratory behavior
and their responses to (1) a simulated aerial predator, (2) a startling stimulus
and (3) an auditory stimulus. Ablations involving the ventral hyperstriatum made
the birds less reactive to frightening stimuli while ablations in the posterior
telencephalon resulted in the bird "freezing" in the experimental situations.
PMID- 24924653
TI - Coyote senses in predation: Environmental influences on their relative use.
AB - The purpose of this study was to determine the relative importance of vision,
audition, and olfaction to coyotes (Canis latrans) hunting under various
environmental conditions. The time durations for four coyotes to locate rabbits
within a large outdoor enclosure were determined, with the visual, auditory, and
olfactory stimuli emitted by the rabbits blocked individually, in pairs, and
altogether. Visual stimuli were eliminated by conducting the tests only during
sub-threshold nocturnal conditions. Auditory stimuli were eliminated by killing
the rabbits immediately prior to a test. Olfactory stimuli were eliminated by
inducing anosmia in each coyote through irrigation of the nasal mucosa with a 10%
zinc sulphate solution. The relative importance of these three senses in
decreasing order was concluded to be: vision, olfaction, and audition.
PMID- 24924654
TI - Adults' responses to infants varying in perceived cuteness.
AB - The effects of perceived cuteness of infant photographs on the behaviour of young
adults was investigated. Looking, facial muscle activity, and skin conductance
were measured during individual presentations of the photographs, whereas only
looking was measured during paired presentations. Both measures of looking time
were significantly affected by the perceived cuteness of infant photographs, with
infants ranked as most cute looked at longest and those ranked least cute looked
at for the shortest length of time. Increased facial muscle activity previously
associated with pleasant or happy facial expressions was associated with
presentations of infant photographs but was not related to perceived cuteness. A
second experiment indicated that increased facial muscle activity was specific to
infant photographs. Adult responses to infant physical appearance appear to be
regulated by two mechanisms: an initial positive response to "babyishness",
reflected by increased facial zygomaticus muscle activity, and an individual
cognitive preference response to "cuteness", reflected by differential looking
times.
PMID- 24924655
TI - Comparative ethometrics: Congruence of different multivariate analyses applied to
the same ethological data.
AB - Five statistically appropriate multivariate analyses were applied to the same
data on burrowing in the sea hare Aplysia brasiliana to: (1) identify homogeneous
subject-related subgroups within a heterogeneous sample, and (2) compare the
extent of congruency among the analyses in terms of the number of extracted
subgroups and each subject's placement within the subgroups. Raw scores from 32
subjects on ten burrowing parameters were origin-corrected, standardized to z
scores, and normalized in order to facilitate comparisons among the analyses. One
to five identified subgroups were extracted which indicated sensitivity
differences to sampling variability among the methods. These results suggested
that selecting a biologically interpretable analysis represents the subjective
aspect of quantitative data treatment. Q-factor analysis (three subgroups) and
linear typal analysis (four subgroups) yielded the most biologically
interpretable subgroups for these data. Multidimensional scaling (one group) and
principal-components analysis (two subgroups) tended to "lump" subjects, while
simple distance-function cluster analysis (five subgroups) tended to "split"
subjects into additional groups. As a diagonistic tool, multivariate analyses
provide insight into underlying dimensions of individual variation and help
generate testable hypotheses for guiding future research.
PMID- 24924657
TI - The association between sleep characteristics and prothrombotic markers in a
population-based sample: Chicago Area Sleep Study.
AB - BACKGROUND AND AIM: Short sleep duration and poor quality sleep are associated
with coronary heart disease (CHD) mortality; however, the underlying
pathophysiologic process remains unclear. Sleep apnea may confound the
association because of its relationship with formation of thrombi, the vascular
occlusive process in CHD. We tested whether sleep duration and quality were
associated with prothrombotic biomarkers in adults with a low probability of
apnea. METHODS: We included adults aged 35-64 years recruited from the community
and who had an apnea hypopnea index <15 after one night of screening (n=506).
Sleep duration and maintenance were determined from 7 days of wrist actigraphy;
daytime sleepiness was estimated using the Epworth Sleepiness Scale. Factor VIII
(FVIII), von Willebrand factor (vWF), thrombin antithrombin (TAT) complexes, and
plasminogen activator inhibitor-1 (PAI-1) were measured in fasting blood.
RESULTS: Sleep duration, maintenance, and daytime sleepiness were not associated
with FVIII, vWf, or TAT. Sleep maintenance was modestly inversely associated with
higher levels of log-transformed PAI-1 (beta = -0.07, standard error (SE)=0.03
per 4.8%, p=0.04) following adjustment for demographic characteristics,
cardiovascular risk factors, and body mass index (BMI). CONCLUSIONS: Mild
impairment in sleep was modestly associated with activation of coagulation;
further study is needed to evaluate the role of fibrinolytic factors in sleep
mediated coronary thrombosis.
PMID- 24924658
TI - Management of advanced NK/T-cell lymphoma.
AB - NK/T-cell lymphomas are aggressive malignancies, and the outlook is poor when
conventional anthracycline-containing regimens designed for B-cell lymphomas are
used. With the advent of L-asparaginase-containing regimens, treatment outcome
has significantly improved. L-asparaginase-containing regimens are now considered
the standard in the management of NK/T-cell lymphomas. In advanced diseases,
however, outcome remains unsatisfactory, with durable remission achieved in only
about 50% of cases. Stratification of patients with advanced NK/T-cell lymphomas
is needed, so that poor-risk patients can be given additional therapy to improve
outcome. Conventional presentation parameters are untested and appear inadequate
for prognostication when L-asparaginase-containing regimens are used. Recent
evidence suggests that dynamic factors during treatment and interim assessment,
including Epstein-Barr virus (EBV) DNA quantification and positron emission
tomography computed tomography findings, are more useful in patient
stratification. The role of high-dose chemotherapy and haematopoietic stem cell
transplantation requires evaluation in an overall risk-adapted treatment
algorithm.
PMID- 24924659
TI - Insights from a pilot program to integrate medical and social services.
AB - This study examines lessons learned from the design, implementation, and early
results of an integrated managed care pilot program linking member benefits of a
Medicare-Medicaid health care plan with community services and supports. The
health plan's average monthly costs for members receiving an assessment and
services declined by an economically meaningful, statistically significant amount
in the postintervention period relative to the preintervention period compared
with those who did not accept an assessment or services. The results along with
the lesson learned from the pilot are viewed by the parties as supportive of
further program development.
PMID- 24924660
TI - Fate and effects of poly- and perfluoroalkyl substances in the aquatic
environment: a review.
AB - Polyfluoroalkyl and perfluoroalkyl substances (PFASs) are distributed
ubiquitously in the aquatic environment, which raises concern for the flora and
fauna in hydrosystems. The present critical review focuses on the fate and
adverse effects of PFASs in the aquatic environment. The PFASs are continuously
emitted into the environment from point and nonpoint sources such as sewage
treatment plants and atmospheric deposition, respectively. Although
concentrations of single substances may be too low to cause adverse effects,
their mixtures can be of significant environmental concern. The production of C8
based PFASs (i.e., perfluorooctane sulfonate [PFOS] and perfluorooctanoate
[PFOA]) is largely phased out; however, the emissions of other PFASs, in
particular short-chain PFASs and PFAS precursors, are increasing. The PFAS
precursors can finally degrade to persistent degradation products, which are, in
particular, perfluoroalkane sulfonates (PFSAs) and perfluoroalkyl carboxylates
(PFCAs). In the environment, PFSAs and PFCAs are subject to partitioning
processes, whereby short-chain PFSAs and PFCAs are mainly distributed in the
water phase, whereas long-chain PFSAs and PFCAs tend to bind to particles and
have a substantial bioaccumulation potential. However, there are fundamental
knowledge gaps about the interactive toxicity of PFAS precursors and their
persistent degradation products but also interactions with other natural and
anthropogenic stressors. Moreover, because of the continuous emission of PFASs,
further information about their ecotoxicological potential among multiple
generations, species interactions, and mixture toxicity seems fundamental to
reliably assess the risks for PFASs to affect ecosystem structure and function in
the aquatic environment.
PMID- 24924661
TI - Association between leaflet fusion pattern and thoracic aorta morphology in
patients with bicuspid aortic valve.
AB - PURPOSE: To determine if patients with certain bicuspid aortic valve (BAV)
phenotypes are predisposed to particular morphological abnormalities of the
thoracic aorta. MATERIALS AND METHODS: One hundred ninety-two patients with BAV
who underwent magnetic resonance angiography between January 2007 and July 2010
were retrospectively identified. Aortic morphology was examined through
measurements of aortic size index at nine levels along the thoracic aorta, three
dimensional volume of the ascending aorta, vessel asymmetry, and assessment of
aortic root morphology. RESULTS: We found 140 patients (73%) with right and left
coronary cusps (R-L) fusion, 46 patients (24%) with R-N fusion, and 6 patients
(3%) with left and noncoronary cusps (L-N) fusion. Mean aortic volume in the
proximal ascending aorta was significantly greater in R-L patients (0.93 versus
0.60 cm(3)/m(2); P < 0.01). R-N patients possessed greater aortic size index at
the distal ascending aorta and proximal aortic arch, and were also significantly
more likely to have Type 2 patterns of aortic dilatation. CONCLUSION: Our results
suggest that BAV with R-L fusion is associated with increased dimensions of the
aortic root, while BAV with R-N fusion is associated with increased dimensions of
the distal ascending aorta and proximal arch. Our findings illustrate the
morphological heterogeneity that exists among BAV phenotypes.
PMID- 24924662
TI - Current antimicrobial susceptibility of first-episode melioidosis Burkholderia
pseudomallei isolates from the Northern Territory, Australia.
AB - Burkholderia pseudomallei is a saprophytic Gram-negative bacterium responsible
for the tropical infectious disease melioidosis. Melioidosis is endemic to
northern Australia and Southeast Asia. In this study, 234 isolates of B.
pseudomallei obtained from the first positive clinical specimen from 234
consecutive patients diagnosed with melioidosis between October 2009 and
September 2012 were reviewed. All isolates were susceptible to meropenem and
ceftazidime. In total, 226 isolates (96.6%) were susceptible to doxycycline and
232 (99.1%) were susceptible to trimethoprim/sulfamethoxazole (TMP/SMX; co
trimoxazole). Primary resistance of B. pseudomallei to ceftazidime and/or
meropenem is exceedingly rare and clinicians can be confident in the current
treatment guidelines for melioidosis. Whether the very low rates of TMP/SMX
resistance seen in Australia reflect the global situation requires further
studies using Etest, especially to clarify the rate of resistance in Thailand.
PMID- 24924663
TI - Alzheimer's Disease prevalence, costs, and prevention for military personnel and
veterans.
AB - By 2050, more than 13 million Americans of all ages are estimated to be living
with Alzheimer's disease (AD), and the aggregate costs of care will swell to
approximately $1.2 trillion. The rapidly climbing number of those affected with
AD includes a growing population of aging military veterans affected who may have
an added risk for the disease as a consequence of traumatic brain injury,
posttraumatic stress disorder, and/or service-related injuries. The increasing
number of individuals, the long duration of disability, and the rising cost of
care for AD and other dementia to our society are important public health
challenges facing many older adults. These challenges are further compounded by a
burgeoning military veteran population that is much younger, with an increased
risk of AD and other dementia, and who may experience decades-long periods of
disability and care. This outlook underscores the critical need for investments
in research at the federal and international levels to accelerate the pace of
progress in developing breakthrough discoveries that will change the trajectory
of AD and related dementia.
PMID- 24924664
TI - Lifestyle and health-related risk factors and risk of cognitive aging among older
veterans.
AB - Lifestyle and health-related factors are critical components of the risk for
cognitive aging among veterans. Because dementia has a prolonged prodromal phase,
understanding effects across the life course could help focus the timing and
duration of prevention targets. This perspective may be especially relevant for
veterans and health behaviors. Military service may promote development and
maintenance of healthy lifestyle behaviors, but the period directly after active
duty has ended could be an important transition stage and opportunity to address
some important risk factors. Targeting multiple pathways in one intervention may
maximize efficiency and benefits for veterans. A recent review of modifiable risk
factors for Alzheimer's disease estimated that a 25% reduction of a combination
of seven modifiable risk factors including diabetes, hypertension, obesity,
depression, physical inactivity, smoking, and education/cognitive inactivity
could prevent up to 3 million cases worldwide and 492,000 cases in the United
States. Lifestyle interventions to address cardiovascular health in veterans may
serve as useful models with both physical and cognitive activity components,
dietary intervention, and vascular risk factor management. Although the evidence
is accumulating for lifestyle and health-related risk factors as well as military
risk factors, more studies are needed to characterize these factors in veterans
and to examine the potential interactions between them.
PMID- 24924667
TI - Stress, PTSD, and dementia.
AB - The physiological consequences of acute and chronic stress on a range of organ
systems have been well documented after the pioneering work of Hans Selye more
than 70 years ago. More recently, an association between exposure to stressful
life events and the development of later-life cognitive dysfunction has been
proposed. Several plausible neurohormonal pathways and genetic mechanisms exist
to support such an association. However, many logistical and methodological
barriers must be overcome before a defined causal linkage can be firmly
established. Here the authors review recent studies of the long-term cognitive
consequences of exposures to cumulative ordinary life stressors as well as
extraordinary traumatic events leading to posttraumatic stress disorder.
Suggestive effects have been demonstrated for the role of life stress in general,
and posttraumatic stress disorder in particular, on a range of negative cognitive
outcomes, including worse than normal changes with aging, Alzheimer's disease,
and vascular dementia. However, given the magnitude of the issue, well-controlled
studies are relatively few in number, and the effects they have revealed are
modest in size. Moreover, the effects have typically only been demonstrated on a
selective subset of measures and outcomes. Potentially confounding factors abound
and complicate causal relationships despite efforts to contain them. More well
controlled, carefully executed longitudinal studies are needed to confirm the
apparent association between stress and dementia, clarify causal relationships,
develop reliable antemortem markers, and delineate distinct patterns of risk in
subsets of individuals.
PMID- 24924666
TI - Are hippocampal size differences in posttraumatic stress disorder mediated by
sleep pathology?
AB - Posttraumatic stress disorder (PTSD) is associated with smaller volumes of the
hippocampus, as has been demonstrated by meta-analyses. Proposed mechanistic
relationships are reviewed briefly, including the hypothesis that sleep
disturbances mediate the effects of PTSD on hippocampal volume. Evidence for this
includes findings that insomnia and restricted sleep are associated with changes
in hippocampal cell regulation and impairments in cognition. We present results
of a new study of 187 subjects in whom neither PTSD nor poor sleep was associated
with lower hippocampal volume. We outline a broad research agenda centered on the
hypothesis that sleep changes mediate the relationship between PTSD and
hippocampal volume.
PMID- 24924668
TI - Depression and dementias among military veterans.
AB - Depression is very common throughout the course of veterans' lives, and dementia
is common in late life. Previous studies suggest an association between
depression and dementia in military veterans. The most likely biologic mechanisms
that may link depression and dementia among military veterans include vascular
disease, changes in glucocorticoid steroids and hippocampal atrophy, deposition
of beta-amyloid plaques, inflammatory changes, and alterations of nerve growth
factors. In addition, military veterans often have depression comorbid with
posttraumatic stress disorder or traumatic brain injury. Therefore, in military
veterans, these hypothesized biologic pathways going from depression to dementia
are more than likely influenced by trauma-related processes. Treatment strategies
for depression, posttraumatic stress disorder, or traumatic brain injury could
alter these pathways and as a result decrease the risk for dementia. Given the
projected increase of dementia, as well as the projected increase in the older
segment of the veteran population, in the future, it is critically important that
we understand whether treatment for depression alone or combined with other
regimens improves cognition. In this review, we summarize the principal
mechanisms of this relationship and discuss treatment implications in military
veterans.
PMID- 24924669
TI - Cognitive changes and dementia risk after traumatic brain injury: implications
for aging military personnel.
AB - Traumatic brain injury (TBI) is recognized as an important risk factor for the
long-term cognitive health of military personnel, particularly in light of
growing evidence that TBI increases risk for Alzheimer's disease and other
dementias. In this article, we review the neurocognitive and neuropathologic
changes after TBI with particular focus on the potential risk for cognitive
decline across the life span in military service members. Implications for
monitoring and surveillance of cognition in the aging military population are
discussed. Additional studies are needed to clarify the factors that increase
risk for later life cognitive decline, define the mechanistic link between these
factors and dementia, and provide empirically supported interventions to mitigate
the impact of TBI on cognition across the life span.
PMID- 24924665
TI - Smoking and increased Alzheimer's disease risk: a review of potential mechanisms.
AB - BACKGROUND: Cigarette smoking has been linked with both increased and decreased
risk for Alzheimer's disease (AD). This is relevant for the US military because
the prevalence of smoking in the military is approximately 11% higher than in
civilians. METHODS: A systematic review of published studies on the association
between smoking and increased risk for AD and preclinical and human literature on
the relationships between smoking, nicotine exposure, and AD-related
neuropathology was conducted. Original data from comparisons of smoking and never
smoking cognitively normal elders on in vivo amyloid imaging are also presented.
RESULTS: Overall, literature indicates that former/active smoking is related to a
significantly increased risk for AD. Cigarette smoke/smoking is associated with
AD neuropathology in preclinical models and humans. Smoking-related cerebral
oxidative stress is a potential mechanism promoting AD pathology and increased
risk for AD. CONCLUSIONS: A reduction in the incidence of smoking will likely
reduce the future prevalence of AD.
PMID- 24924671
TI - The critical need for defining preclinical biomarkers in Alzheimer's disease.
AB - The increasing number of afflicted individuals with late-onset Alzheimer's
disease (AD) poses significant emotional and financial burden on the world's
population. Therapeutics designed to treat symptoms or alter the disease course
have failed to make an impact, despite substantial investments by governments,
pharmaceutical industry, and private donors. These failures in treatment efficacy
have led many to believe that symptomatic disease, including both mild cognitive
impairment (MCI) and AD, may be refractory to therapeutic intervention. The
recent focus on biomarkers for defining the preclinical state of MCI/AD is in the
hope of defining a therapeutic window in which the neural substrate remains
responsive to treatment. The ability of biomarkers to adequately define the at
risk state may ultimately allow novel or repurposed therapeutic agents to finally
achieve the disease-modifying status for AD. In this review, we examine current
preclinical AD biomarkers and suggest how to generalize their use going forward.
PMID- 24924670
TI - Imaging chronic traumatic brain injury as a risk factor for neurodegeneration.
AB - Population-based studies have supported the hypothesis that a positive history of
traumatic brain injury (TBI) is associated with an increased incidence of
neurological disease and psychiatric comorbidities, including chronic traumatic
encephalopathy, Alzheimer's disease, Parkinson's disease, and amyotrophic lateral
sclerosis. These epidemiologic studies, however, do not offer a clear definition
of that risk, and leave unanswered the bounding criteria for greater lifetime
risk of neurodegeneration. Key factors that likely mediate the degree of risk of
neurodegeneration include genetic factors, significant premorbid and comorbid
medical history (e.g. depression, multiple head injuries and repetitive
subconcussive impact to the brain, occupational risk, age at injury, and severity
of brain injury). However, given the often-described concerns in self-report
accuracy as it relates to history of multiple TBIs, low frequency of patient
presentation to a physician in the case of mild brain injuries, and challenges
with creating clear distinctions between injury severities, disentangling the
true risk for neurodegeneration based solely on population-based studies will
likely remain elusive. Given this reality, multiple modalities and approaches
must be combined to characterize who are at risk so that appropriate
interventions to alter progression of neurodegeneration can be evaluated. This
article presents data from a study that highlights uses of neuroimaging and areas
of needed research in the link between TBI and neurodegenerative disease.
PMID- 24924672
TI - The disease intersection of susceptibility and exposure: chemical exposures and
neurodegenerative disease risk.
AB - Alzheimer's disease, Parkinson's disease, and motor neuron disease, the most
common of the late-life neurodegenerative disorders, are in most cases thought to
have complex etiologies. Common features among these disorders include insidious
onset, pathological findings of protein aggregates and selected neuronal
degeneration, and resulting characteristic clinical syndromes. The number of
elders in the United States, including aging veterans, is increasing.
Investigation of causes and preventive interventions for neurodegenerative
disorders is increasingly relevant. Recent epidemiological and laboratory studies
suggest that exposures years or decades before diagnosis can trigger the
processes that ultimately result in a neurodegenerative disease. If this is
correct, preventive measures may be needed in midlife or earlier. This article
will focus on putative risk factors relevant to military service.
PMID- 24924674
TI - Prisoner of war status, posttraumatic stress disorder, and dementia in older
veterans.
AB - BACKGROUND: It is not known whether prisoners of war (POWs) are more likely to
develop dementia independently of the effects of posttraumatic stress disorder
(PTSD). METHODS: We performed a retrospective cohort study in 182,879 U.S.
veterans age 55 years and older, and examined associations between POW status and
PTSD at baseline (October 1, 2000-September 30, 2003), and incident dementia
during follow-up (October 1, 2003-September 30, 2012). RESULTS: A total of 484
veterans (0.3%) reported being POWs, of whom 150 (31.0%) also had PTSD. After
adjusting for demographics, medical and psychiatric comorbidities, period of
service, and the competing risk of death, the risk of dementia was increased in
veterans who were POWs only (hazard ratio [HR], 1.61; 95% confidence interval
[CI], 1.30-1.98) or had PTSD only (HR, 1.52; 95% CI, 1.41-1.64) and was greatest
in veterans who were POWs and also had PTSD (HR, 2.24; 95% CI, 1.72-2.92).
CONCLUSIONS: POW status and PTSD increase risk of dementia in an independent,
additive manner in older veterans.
PMID- 24924673
TI - Effects of traumatic brain injury and posttraumatic stress disorder on
Alzheimer's disease in veterans, using the Alzheimer's Disease Neuroimaging
Initiative.
AB - Both traumatic brain injury (TBI) and posttraumatic stress disorder (PTSD) are
common problems resulting from military service, and both have been associated
with increased risk of cognitive decline and dementia resulting from Alzheimer's
disease (AD) or other causes. This study aims to use imaging techniques and
biomarker analysis to determine whether traumatic brain injury (TBI) and/or PTSD
resulting from combat or other traumas increase the risk for AD and decrease
cognitive reserve in Veteran subjects, after accounting for age. Using military
and Department of Veterans Affairs records, 65 Vietnam War veterans with a
history of moderate or severe TBI with or without PTSD, 65 with ongoing PTSD
without TBI, and 65 control subjects are being enrolled in this study at 19
sites. The study aims to select subject groups that are comparable in age,
gender, ethnicity, and education. Subjects with mild cognitive impairment (MCI)
or dementia are being excluded. However, a new study just beginning, and similar
in size, will study subjects with TBI, subjects with PTSD, and control subjects
with MCI. Baseline measurements of cognition, function, blood, and cerebrospinal
fluid biomarkers; magnetic resonance images (structural, diffusion tensor, and
resting state blood-level oxygen dependent (BOLD) functional magnetic resonance
imaging); and amyloid positron emission tomographic (PET) images with florbetapir
are being obtained. One-year follow-up measurements will be collected for most of
the baseline procedures, with the exception of the lumbar puncture, the PET
imaging, and apolipoprotein E genotyping. To date, 19 subjects with TBI only, 46
with PTSD only, and 15 with TBI and PTSD have been recruited and referred to 13
clinics to undergo the study protocol. It is expected that cohorts will be fully
recruited by October 2014. This study is a first step toward the design and
statistical powering of an AD prevention trial using at-risk veterans as
subjects, and provides the basis for a larger, more comprehensive study of
dementia risk factors in veterans.
PMID- 24924676
TI - In vivo tau imaging: obstacles and progress.
AB - The military conflicts of the last decade have highlighted the growing problem of
traumatic brain injury in combatants returning from the battlefield. The
considerable evidence pointing at the accumulation of tau aggregates and its
recognition as a risk factor in neurodegenerative conditions such as Alzheimer's
disease have led to a major effort to develop selective tau ligands that would
allow research into the physiopathologic underpinnings of traumatic brain injury
and chronic traumatic encephalopathy in military personnel and the civilian
population. These tracers will allow new insights into tau pathology in the human
brain, facilitating research into causes, diagnosis, and treatment of traumatic
encephalopathy and major neurodegenerative dementias, such as Alzheimer's disease
and some variants of frontotemporal lobar degeneration, in which tau plays a
role. The field of selective tau imaging has to overcome several obstacles, some
of them associated with the idiosyncrasies of tau aggregation and others related
to radiotracer design. A worldwide effort has focused on the development of
imaging agents that will allow selective tau imaging in vivo. Recent progress in
the development of these tracers is enabling the noninvasive assessment of the
extent of tau pathology in the brain, eventually allowing the quantification of
changes in tau pathology over time and its relation to cognitive performance,
brain volumetrics, and other biomarkers, as well as assessment of efficacy and
patient recruitment for antitau therapeutic trials.
PMID- 24924675
TI - Military-related traumatic brain injury and neurodegeneration.
AB - Mild traumatic brain injury (mTBI) includes concussion, subconcussion, and most
exposures to explosive blast from improvised explosive devices. mTBI is the most
common traumatic brain injury affecting military personnel; however, it is the
most difficult to diagnose and the least well understood. It is also recognized
that some mTBIs have persistent, and sometimes progressive, long-term
debilitating effects. Increasing evidence suggests that a single traumatic brain
injury can produce long-term gray and white matter atrophy, precipitate or
accelerate age-related neurodegeneration, and increase the risk of developing
Alzheimer's disease, Parkinson's disease, and motor neuron disease. In addition,
repetitive mTBIs can provoke the development of a tauopathy, chronic traumatic
encephalopathy. We found early changes of chronic traumatic encephalopathy in
four young veterans of the Iraq and Afghanistan conflict who were exposed to
explosive blast and in another young veteran who was repetitively concussed. Four
of the five veterans with early-stage chronic traumatic encephalopathy were also
diagnosed with posttraumatic stress disorder. Advanced chronic traumatic
encephalopathy has been found in veterans who experienced repetitive neurotrauma
while in service and in others who were accomplished athletes. Clinically,
chronic traumatic encephalopathy is associated with behavioral changes, executive
dysfunction, memory loss, and cognitive impairments that begin insidiously and
progress slowly over decades. Pathologically, chronic traumatic encephalopathy
produces atrophy of the frontal and temporal lobes, thalamus, and hypothalamus;
septal abnormalities; and abnormal deposits of hyperphosphorylated tau as
neurofibrillary tangles and disordered neurites throughout the brain. The
incidence and prevalence of chronic traumatic encephalopathy and the genetic risk
factors critical to its development are currently unknown. Chronic traumatic
encephalopathy has clinical and pathological features that overlap with
postconcussion syndrome and posttraumatic stress disorder, suggesting that the
three disorders might share some biological underpinnings.
PMID- 24924677
TI - Military risk factors for Alzheimer's dementia and neurodegenerative disease.
PMID- 24924678
TI - Military Risk Supplement. Preface.
PMID- 24924679
TI - Introduction: Evolution of military and veterans brain health research.
PMID- 24924680
TI - Military traumatic brain injury: a review.
AB - Military mild traumatic brain injury (mTBI) differs from civilian injury in
important ways. Although mTBI sustained in both military and civilian settings
are likely to be underreported, the combat theater presents additional obstacles
to reporting and accessing care. The impact of blast forces on the nervous system
may differ from nonblast mechanisms, mTBI although studies comparing the
neurologic and cognitive sequelae in mTBI survivors have not provided such
evidence. However, emotional distress appears to figure prominently in symptoms
following military mTBI. This review evaluates the extant literature with an eye
towards future research directions.
PMID- 24924681
TI - Common use of prescription off-label acne therapy in children younger than 12
years old.
AB - Acne is occurring more frequently in younger age groups, but most available
treatments are considered off-label in young children. As the epidemiology of
acne has changed to include younger children over the past 20 years, neither
regulators, pharmaceutical companies, nor clinicians have understood the need or
value of obtaining regulatory sanctions for problems physicians have managed
using clinical judgment. The objective of this study was to analyze the frequency
of off-label acne treatment according to age and other demographic factors. We
searched the National Ambulatory Medical Care Survey from 1993 to 2010 for visits
in children younger than 12 years of age for the diagnosis of International
Classification of Diseases, Ninth Revision, code 706.1. We tabulated leading acne
treatments and assessed factors associated with off-label prescribing. Off-label
but appropriate acne treatments were used in 29% of acne visits for children
younger than 12 years of age. Dermatologists were more likely than pediatricians
to prescribe off-label treatment (p < 0.001). The most frequently used off-label
treatments were topical retinoids, followed by oral antibiotics. There was no
significant trend in the rate of off-label prescribing over time (p = 0.40). Off
label treatment is well within the standard of care for young children with acne.
More data on the use of topical retinoids in young children will improve our
understanding of their use, which may help optimize treatment outcomes for
children with acne.
PMID- 24924682
TI - Implementing drug safety updates.
PMID- 24924683
TI - Prescribing drugs for Alzheimer's disease in primary care: managing cognitive
symptoms.
AB - There are currently no interventions that cure or even alter the progressive
course of dementia. In the UK, donepezil, galantamine and rivastigmine are
licensed for symptomatic treatment of mild to moderate Alzheimer's disease, and
memantine is licensed for use in moderate to severe Alzheimer's disease.1-4 These
drugs improve cognitive function by a modest amount compared with placebo.5
Although the National Institute for Health and Care Excellence (NICE) stipulates
that such treatment should be initiated by a specialist, in many parts of the UK
responsibility for continued prescription of these drugs is being transferred to
primary care. Here we review the evidence for drugs prescribed for cognitive
symptoms in Alzheimer's disease and highlight key issues for those who are
prescribing them.
PMID- 24924684
TI - Ten Important Elements for Observational Studies in Primary Care and Community
Health.
PMID- 24924685
TI - Histogram and vertical bar diagram: often misapprehended concept.
PMID- 24924686
TI - Answer to: "Histogram and vertical bar diagram: often misapprehended concept" by
M. Lakshmanan.
PMID- 24924687
TI - MiR-132 inhibits expression of SIRT1 and induces pro-inflammatory processes of
vascular endothelial inflammation through blockade of the SREBP-1c metabolic
pathway.
AB - PURPOSE: Inflammation participates centrally in all stages of atherosclerosis
(AS), which begins with pro-inflammatory processes and inflammatory changes in
the endothelium, related to lipid metabolism. MicroRNA (miRNA) inhibition of
inflammation related to SIRT1 has been shown to be a promising therapeutic
approach for AS. However, the mechanism of action is unknown. METHODS: We
investigated whether miRNAs regulate the SIRT1 and its downstream SREBP
lipogenesis-cholesterogenesis metabolic pathway in human umbilical vein
endothelial cells (HUVECs). HUVECs were transfected with miR-132 mimics and
inhibitors, and then treated with or without tumor necrosis factor alpha
(TNFalpha). The effects of miR-132 on pro-inflammatory processes, proliferation
and apoptosis were assessed. RESULTS: We identified that the relative 3' UTR
luciferase activities of SIRT1 were significantly decreased in miR-132
transfected HUVECs (0.338 +/- 0.036) compared to control (P = 0.000). miR-132
inhibited SIRT1 expression of mRNA level in HUVECs (0.53 +/- 0.06) (P < 0.01) as
well as proteins of SIRT1. mRNA expression and protein levels of SREBP (0.45 +/-
0.07), fatty acid synthase (FASN) (0.55 +/- 0.09) and 3-hydroxy-3-methylglutaryl
CoA reductase (HMGCR) (0.62 +/- 0.08) (P < 0.01), which are downstream regulated
genes, were reduced in HUVECs by miR-132. MiR-132 promoted pro-inflammatory
processes and apoptosis of HUVECs induced by TNF-alpha, and inhibited its
proliferation, viability and migration. CONCLUSIONS: SIRT1 mRNAs are direct
targets of miR-132. miR-132 controls lipogenesis and cholesterogenesis in HUVECs
by inhibiting SIRT1 and SREBP-1c expression and their downstream regulated genes,
including FASN and HMGCR. Inhibition of SIRT1 by miR-132 was associated with
lipid metabolism-dependent pro-inflammatory processes in HUVECs. The newly
identified miRNA, miR-132 represents a novel targeting mechanism for AS therapy.
PMID- 24924688
TI - Ultrasound-guided lateral infraclavicular block evaluated by infrared
thermography and distal skin temperature.
AB - BACKGROUND: Brachial plexus blocks cause changes in hand and digit skin
temperature. We investigated thermographic patterns after the lateral
infraclavicular brachial plexus block. We hypothesised that a successful lateral
infraclavicular block could be predicted by increased skin temperature of the 2nd
and 5th digits. METHODS: We performed an ultrasound-guided lateral
infraclavicular block in 45 patients undergoing upper limb surgery. The
contralateral hand served as control and we obtained infrared thermographic
images of both hands before the block and during the following 30 min. We defined
areas of interest on the hands and analysed mean skin temperature of each area.
RESULTS: Forty patients completed the study. Thirty blocks were successful, six
were failures and four were partial failures. Four distinct patterns of skin
temperature changes were revealed with highly significant changes in temperature,
depending on block success. A simultaneous 1 degrees C ipsilateral increase in
skin temperature of the 2nd and 5th digits predicted a successful block with a
positive predictive value of 100%. A 5 degrees C difference in digit skin
temperature compared with the contralateral hand had a positive predictive value
of 96%, and a digit skin temperature <= 30 degrees C 30 min after performing
the block had a predictive value of 100% for a failed block. CONCLUSIONS: Four
different thermographic patterns were found. Simultaneous increases in skin
temperature of both the 2nd and 5th digits predicted lateral infraclavicular
block success with a positive predictive value of 100%. Digit skin temperature <=
30 degrees C 30 min after performing the block indicated block failure.
PMID- 24924689
TI - Change in texture improvement of low-fat tofu by means of low-fat soymilk protein
denaturation.
AB - BACKGROUND: Tofu made from low-fat soy flour is a nutritional food for consumers
and economically benefits the food processor. However, low-fat tofu has poor
textural quality, especially insufficient firmness. Stepwise heating (heating at
75 degrees C, followed by holding at 95 degrees C) of full-fat soymilk
increases gel properties. Therefore we evaluated the two-step heating of low-fat
soymilk to improve tofu texture. RESULTS: The denaturation enthalpy and
temperature of beta-conglycinin and glycinin were higher in low-fat tofu compared
to high-fat tofu. The viscosity of low-fat soymilk and texture of tofu by one
step heating were weaker than full-fat soymilk and tofu. However, the two-step
heating increased free sulfhydryl groups and viscosity of low-fat soymilk to a
value higher or similar to conventional soymilk. The syneresis of low-fat tofu
was reduced about 30% and hardness was higher (131.0 N) by the two-step process
compared to one-step heating of full-fat tofu (101.4 N) by the one-step process.
The microstructure of low-fat tofu became finer, denser and more homogeneous by
the two-step heat process. CONCLUSION: Low-fat tofu produced by denaturing the
two major soy proteins separately had improved textural qualities similar to full
fat tofu as a result of increased hydrophobic interactions between denatured
protein molecules.
PMID- 24924690
TI - Social rank and responses to feeding competition in rhesus monkeys.
AB - A group of rhesus monkeys (Macaca mulatta) living in a large enclosure received
food which varied in desirability and spatial distribution. Feeding and agonistic
behaviour of four hierarchical subgroups were analyzed. In general, there was
less aggression and improved feeding rates with dispersed food than with piled
food. The behaviour of high-ranking subjects was the most stable: they usually
ate first, ate most, and showed little agonistic behaviour. The most subordinate
subjects were also involved in few agonistic episodes, since they tended to
remain on the periphery and eat little. However, subordinates obtained access
earlier to a pile of the most highly prized food (banana pieces) than to piles of
less attractive foods. Subordinates also obtained their highest feeding score
when banana pieces were dispersed. This latter condition was associated with
increased aggression. The feeding behaviour of intermediate-ranking subjects lay
between that of dominants and subordinates, and the former were involved in more
aggression. Behaviour in situations involving restricted food reflects cognitive
processes in which several factors including attractiveness of the food, social
status, and risks involved are evaluated.
PMID- 24924691
TI - Operant conditioning in the newly hatched quail : Fixed interval performance.
AB - Newly hatched quails and adult controls of the species Coturnix coturnix japonica
were trained to emit a treadle-pressing response reinforced with food on a Fixed
Interval 60 seconds schedule, at a pace of 4 or 5 sessions a day. The young
subjects were successfully shaped at the fourth day of life. Although response
rates were equivalent in young and adults, Curvature Index values and post
reinforcement pause durations were higher for the adult subjects over a 10-day
conditioning period. These differences in the temporal regulation of behavior are
discussed in relationship with factors involved in developmental studies.
PMID- 24924692
TI - [Not Available].
AB - We have studied feeding and social behaviours in 7 captive wild boars before and
after farrowing and their early development. The individual feeding strategies
are varying between two types : "Feeding at the feeders" and "Rooting". Most of
the individuals (5) are changing their feeding strategy in the second period,
after farrowing. The social interactions in the group are also changed after
farrowing ; thus in the second period, females with youngs reduced their
agonistic interactions, established affiliated relationships and keep away from
the rest of the group. The frequency of approach of the feeders is positively
correlated to the degree of affinity and not to the dominance hierarchy.
Furthermore, the correlation between the feeding strategy and the individual
social status is positive only before farrowing : the social capacity of
affiliated behaviour units and the polarity of agonistic interactions is linked
to the strategy "Feeding at the feeders". From the third week of age, different
individual feeding and social behaviours are appearing : the youngs develop the
same types of strategy as their genetic or adoptive mother. Then it appears a
relation between the social status of the mothers and the feeding strategies of
the youngs.
PMID- 24924693
TI - Changes in male odours and urinary marking patterns due to inhibition of
aggression in male mice.
AB - The effects of active inhibition of aggression on male odours and urinary marking
patterns were studied in mice belonging to a highly aggressive strain the TA
(Turku Aggressive), which has been developed by selective breeding through 37
generations. These males were defeated by trained fighters until they showed no
aggression. Individually housed TA males served as controls. Mice from the
parental or Normal Strain, which is intermediate in aggression, were exposed to
the odours. The males from the Normal Strain were tested for aggression against
male castrates to which urine from the two types of TA males or water had been
applied. The urine from the highly aggressive control TA males evoked most
aggression. The Normal males were later tested against castrates on soiled
sawdust. Fewer attacks occured on sawdust soiled by the urine from the control TA
males. The preferences for areas covered with soiled sawdust were also assessed.
The males from the Normal Strain preferred areas soiled by the TA males trained
to nonaggressiveness while the females preferred areas soiled by the highly
aggressive control TA males. Subsequently the size and number of urinary marks
deposited were examined. The TA males trained to nonaggressiveness voided urine
in fewer but larger pools. The differences showed the same direction as those
previously found between the TA and TNA strains, selectively bred for aggression
and non-aggression, respectively. In mice the odour signals and urinary marking
patterns seem to be correlated with the level of aggressiveness, either
hereditarily determined or acquired through learning.
PMID- 24924694
TI - Imprinting on prey odours in ferrets (Mustela Putorius F. Furo L. ) and its
neural correlates.
AB - The effect of different feeding conditions during ontogeny was investigated in
the carnivorous ferret. Behavioral tests and cardiac responses towards known and
unknown odours as well as food choice tests showed that in this species olfaction
plays an important role for prey recognition. Yet, the knowledge of the prey
odours has to be learned during a sensitive phase which was found to exist
between postnatal days 60 and 90. In adult ferrets, searching for prey can be
elicited reliably by familiar odours, unknown odours are not reacted to. The
maturation of the granule cells of the ferret olfactory bulb around the time of
prey odour imprinting has been analysed. Rapid Golgi impregnation studies reveal
a temporal overshoot in the development of the spines on the external dendrites
of the granule cells. Electron microscopical examinations of the synaptic
contacts in the external plexiform layer indicate that the time course of synapse
and reciprocal synapse formation is similar to that of the formation of the
spines on the external dendrites. The results show that the maturation of the
external plexiform layer with respect to the number of dentritic spines and
synapses, occurs at a time when the animal is most sensitive to olfactory
imprinting stimuli.
PMID- 24924695
TI - Is there "pain" in Invertebrates?
AB - In contrast to nociception, the perception of pain, or pain experience, remains a
subjective notion applicable to humans, but untestable with animals. Yet, when
defined operationally as a physiological response induced in an animal by stimuli
painful to humans, and resulting in a protective stimulus avoidance response,
pain is amenable to testing with non-human subjects. This paper considers a
series of examples showing responses to stimuli that are both painful
(nociceptive) and responsible for eliciting natural self-preserving behavior in
Invertebrates. Consideration is also given to the evolution and possible
mechanism underlying the "pain-system" in Invertebrates.
PMID- 24924696
TI - Development and psychometric evaluation of the Premarital Sexual Behavior
Assessment Scale for Young Women (PSAS-YW): an exploratory mixed method study.
AB - BACKGROUND: Premarital sexual behaviors are important issue for women's health.
The present study was designed to develop and examine the psychometric properties
of a scale in order to identify young women who are at greater risk of premarital
sexual behavior. METHOD: This was an exploratory mixed method investigation.
Indeed, the study was conducted in two phases. In the first phase, qualitative
methods (focus group discussion and individual interview) were applied to
generate items and develop the questionnaire. In the second phase, psychometric
properties (validity and reliability) of the questionnaire were assessed.
RESULTS: In the first phase an item pool containing 53 statements related to
premarital sexual behavior was generated. In the second phase item reduction was
applied and the final version of the questionnaire containing 26 items was
developed. The psychometric properties of this final version were assessed and
the results showed that the instrument has a good structure, and reliability. The
results from exploratory factory analysis indicated a 5-factor solution for the
instrument that jointly accounted for the 57.4% of variance observed. The
Cronbach's alpha coefficient for the instrument was found to be 0.87. CONCLUSION:
This study provided a valid and reliable scale to identify premarital sexual
behavior in young women. Assessment of premarital sexual behavior might help to
improve women's sexual abstinence.
PMID- 24924698
TI - Parasite control practices on pasture-based dairy farms in the Republic of
Ireland.
AB - Dictyocaulus viviparus, Ostertagia ostertagi (nematode parasites), and Fasciola
hepatica (trematode parasite) result in productivity losses on dairy farms and
impact on animal health through clinical and sub-clinical disease. Parasite
control in livestock systems is largely based on the use of chemoprophylactic
agents (anthelmintics), grazing management, or a combination of both. The
objective of this study was to document current parasite control measures
employed by Irish dairy farmers in a predominantly pasture-based livestock
system. A questionnaire survey of 312 geographically representative farmers was
completed in 2009 with a follow up survey completed in 2011. Statistical analysis
highlighted significant differences in chemoprophylactic usage between 2009 and
2011. In particular, an increase in the use of albendazole for both trematode
(19% in 2009 to 36% in 2011) and nematode (30% in 2009 to 58% in 2011) control
was observed. This was most likely due to flukicide restrictions introduced in
the Republic of Ireland in 2010 for dairy animals. Logistic regression
highlighted regional differences in chemoprophylactic use. Farmers in southern
parts of Ireland, an area with good quality soil, less rainfall, and a higher
density of dairy farms than other regions, were approximately half as likely to
dose for F. hepatica and were more likely (OR>2.0) to use albendazole for both
nematode and fluke control. Approximately 30% of respondents who used a
chemoprophylactic treatment for nematodes, used a product which was 'unsuitable
for purpose' (e.g. ivermectin for the treatment of F. hepatica), highlighting the
need for increased awareness, continuing research, and regionally targeted
education tools regarding optimal parasite control.
PMID- 24924697
TI - The addition of C-reactive protein to validated staging systems improves their
prognostic ability in patients with hepatocellular carcinoma.
AB - OBJECTIVES: C-reactive protein (CRP) is a practical prognostic marker in patients
with hepatocellular carcinoma (HCC). We investigated the prognostic value of
adding the CRP level to other validated staging systems (Cancer Liver Italian
Program, Japan Integrated Staging, Barcelona Clinic Liver Cancer classification
system, Tokyo score and tumor node metastasis classification) in HCC patients.
METHODS: One hundred and eighty-six newly diagnosed HCC patients were
retrospectively evaluated. A multivariate analysis identified the
clinicopathological variables associated with overall survival; the variables
identified were then added to each staging system and compared to those without
the additional variable. RESULTS: In multivariate analysis, an elevated serum CRP
level was independently associated with a poor prognosis (hazard ratio 3.792, p <
0.0001). The addition of the CRP level to each of the established staging systems
provided a higher linear chi(2) value and a lower -2 log likelihood than those
without the addition of the term. Moreover, the area under the receiver-operating
characteristic curve (AUC) analysis showed that the addition of CRP improved the
AUC of each staging system. CONCLUSIONS: This study demonstrates that an elevated
serum CRP level is independently associated with a poor prognosis in HCC
patients, and the addition of the CRP level to the validated staging systems
could improve the prognostic ability of each staging system.
PMID- 24924699
TI - Emergency surgery for perforated diverticulitis in the immunosuppressed patient.
AB - AIM: Immunosuppression is believed to worsen outcomes for patients who require
surgery for perforated diverticulitis. The aim of this study was to compare
surgical outcomes between immunocompromised and immunocompetent patients
undergoing surgery for complicated diverticulitis. METHOD: All patients who
underwent emergency surgery for complicated diverticulitis between 2004 and 2012
in a single unit were studied. Patients were classified as immunosuppressed
(group I) or immunocompetent (group II). Operation type and postoperative
morbidity and mortality were compared between groups. The impact of operating
surgeons' specialization and the Peritonitis Severity Score (PSS) were also
evaluated to determine their impact on the restoration of gastrointestinal (GI)
continuity. RESULTS: One-hundred and sixteen patients (mean age: 63.7 years),
41.4% women, were included. Fifty-three (45.7%) patients were immunosuppressed
(group I): 42 underwent Hartmann's procedure (HP) (79.2%), nine (17.0%) underwent
resection and primary anastomosis (RPA) with ileostomy (IL) and two (3.8%)
underwent RPA without IL. In group II, 15 HP (23.8%), nine RPA with IL (14.3%)
and 39 RPA without IL (61.9%) were performed. Postoperative morbidity and
mortality were 79.2% and 26.4%, respectively, in group I and 63.5% and 6.3%,
respectively, in group II. The overall mean PSS was 9.5, with a mean PSS of 11.1
in group I and of 8.1 in group II. The decision to perform a primary anastomosis
differed significantly between colorectal surgeons and general surgeons in the
patients with a PSS of 9-10-11. CONCLUSION: In immunocompromised patients, RPA
with IL can be a safe surgical option, whereas HP should be reserved for patients
with a PSS of > 11. Colorectal surgical specialization is associated with higher
rates of restoration of GI continuity in patients with perforated diverticulitis,
especially in patients with an intermediate PSS score. Evaluation of each
patient's PSS facilitates decision making in surgery for perforated
diverticulitis.
PMID- 24924701
TI - Epilepsy, energy deficiency and new therapeutic approaches including diet.
AB - Metabolic dysfunction leading to epilepsy is well recognised. Dietary therapy, in
particular the ketogenic diet, is now considered an effective option. Recent
genetic studies have highlighted the central role that metabolism can play in
setting seizure susceptibility. Here we discuss various metabolic disorders
implicated in epilepsy focusing on energy deficiency due to genetic and
environmental causes. We argue that low, uncompensated brain glucose levels can
precipitate seizures. We will also explore mechanisms of disease and therapy in
an attempt to identify common metabolic pathways involved in modulating seizure
susceptibility. Finally, newer therapeutic approaches based on diet manipulation
in the context of energy deficiency are discussed.
PMID- 24924702
TI - The aesthetic approach to people with dementia.
PMID- 24924704
TI - Association between compound heterozygous mutations of SLC34A3 and
hypercalciuria.
AB - BACKGROUND: Mutations in SLC34A3 have been shown to cause hereditary
hypophosphatemic rickets with hypercalciuria (HHRH). Patients with compound
heterozygous or homozygous mutations develop skeletal lesions in addition to
hypercalciuria, hypophosphatemia and/or elevated 1,25-dihydroxy vitamin D [1,25
(OH)2D] levels. Here, we report a case of hypercalciuria without skeletal lesions
in a patient with compound heterozygous mutations of SLC34A3. CASE PRESENTATION:
A 3-year-old girl presented with microscopic hematuria. Laboratory data revealed
elevated 1,25-(OH)2D levels and serum calcium, reduced serum inorganic phosphorus
and hypercalciuria. In addition, the ratio of maximal rate of renal tubular
reabsorption of phosphate to glomerular filtration rate was reduced. Abdominal
ultrasound revealed bilateral nephrocalcinosis. These data were consistent with
HHRH, but the patient had no clinical features of rickets or any family history
of skeletal disease. Genetic analysis revealed compound heterozygous mutations of
c.175+1 G>A and c.1234 C>T in SLC34A3. CONCLUSIONS: This is the report of a
patient with compound heterozygous mutations of SLC34A3 and normal skeletal
features. Biallelic mutations in SLC34A3 can thus be associated with
hypercalciuria not accompanied by rickets. Orally administered inorganic
phosphate is predicted to improve symptoms in these patients, hence screening for
SLC34A3 mutations should be considered in patients with hypercalciuria of unknown
etiology.
PMID- 24924705
TI - [The role of critical care medicine in rescue of Lushan earthquake].
PMID- 24924703
TI - Improvements in gait characteristics after intensive resistance and functional
training in people with dementia: a randomised controlled trial.
AB - BACKGROUND: Preventing and rehabilitating gait disorders in people with dementia
during early disease stage is of high importance for staying independent and
ambulating safely. However, the evidence gathered in randomized controlled trials
(RCTs) on the effectiveness of exercise training for improving spatio-temporal
gait parameters in people with dementia is scarce. The aim of the present study
was to determine whether a specific, standardized training regimen can improve
gait characteristics in people with dementia. METHODS: Sixty-one individuals
(mean age: 81.9 years) with confirmed mild to moderate stage dementia took part
in a 3-month double-blinded outpatient RCT. Subjects in the intervention group
(IG) received supervised, progressive resistance and functional group training
for 3 months (2 times per week for two hours) specifically developed for people
with dementia. Subjects in the control group (CG) conducted a low-intensity motor
placebo activity program. Gait characteristics were measured before and after the
intervention period using a computerized gait analysis system (GAITRite(r)).
RESULTS: Adherence to the intervention was excellent, averaging 91.9% in the IG
and 94.4% in the CG. The exercise training significantly improved gait speed (P <
0.001), cadence (P = 0.002), stride length (P = 0.008), stride time (P = 0.001),
and double support (P = 0.001) in the IG compared to the CG. Effect sizes were
large for all gait parameters that improved significantly (Cohen's d: 0.80-1.27).
No improvements were found for step width (P = 0.999), step time variability (P =
0.425) and Walk-Ratio (P = 0.554). Interestingly, low baseline motor status, but
not cognitive status, predicted positive training response (relative change in
gait speed from baseline). CONCLUSION: The intensive, dementia-adjusted training
was feasible and improved clinically meaningful gait variables in people with
dementia. The exercise program may represent a model for preventing and
rehabilitating gait deficits in the target group. Further research is required
for improving specific gait characteristics such as gait variability in people
with dementia. TRIAL REGISTRATION: ISRCTN49243245.
PMID- 24924706
TI - [Critical care medicine and earthquake relief].
PMID- 24924700
TI - Crosstalk between mitogen-activated protein kinases and mitochondria in cardiac
diseases: therapeutic perspectives.
AB - Cardiovascular diseases cause more mortality and morbidity worldwide than any
other diseases. Although many intracellular signaling pathways influence cardiac
physiology and pathology, the mitogen-activated protein kinase (MAPK) family has
garnered significant attention because of its vast implications in signaling and
crosstalk with other signaling networks. The extensively studied MAPKs ERK1/2,
p38, JNK, and ERK5, demonstrate unique intracellular signaling mechanisms,
responding to a myriad of mitogens and stressors and influencing the signaling of
cardiac development, metabolism, performance, and pathogenesis. Definitive
relationships between MAPK signaling and cardiac dysfunction remain elusive,
despite 30 years of extensive clinical studies and basic research of various
animal/cell models, severities of stress, and types of stimuli. Still, several
studies have proven the importance of MAPK crosstalk with mitochondria,
powerhouses of the cell that provide over 80% of ATP for normal cardiomyocyte
function and play a crucial role in cell death. Although many questions remain
unanswered, there exists enough evidence to consider the possibility of targeting
MAPK-mitochondria interactions in the prevention and treatment of heart disease.
The goal of this review is to integrate previous studies into a discussion of
MAPKs and MAPK-mitochondria signaling in cardiac diseases, such as myocardial
infarction (ischemia), hypertrophy and heart failure. A comprehensive
understanding of relevant molecular mechanisms, as well as challenges for studies
in this area, will facilitate the development of new pharmacological agents and
genetic manipulations for therapy of cardiovascular diseases.
PMID- 24924707
TI - [The changes of concept and practice in treatment of severe earthquake victims.
From Wenchuan to Lushan].
PMID- 24924708
TI - [Intensive care unit treatment strategy and algorithm for critical patients from
Lushan earthquake].
AB - OBJECTIVE: To explore the intensive care unit (ICU) strategy and algorithm of
treatment for critical patients from the Lushan Earthquake. METHODS:
Retrospective analyses were performed on the clinical characteristics and
treatments for critical patients of Lushan Earthquake occurring on April 20,
2013. A total of 18 critical patients were admitted into 3 ICUs of Sichuan
Provincial People's Hospital. There were 8 females and 10 males with an average
age of 46 +/- 23 years and a mean score of APACHE II 11 +/- 6. There were
extremity fractures (n = 16, 88.9%), chest traumas (n = 11, 61.1%), head injuries
(n = 8, 44.4%) and pelvic fractures (n = 9, 50%) . All of them received VIPC plan
for early stage resuscitation and CRASHPLAN for further evaluations. And
individual treatment was planned and implemented by multidisciplinary
specialists. RESULTS: During ICU stay, 7 patients (38.9%) suffered acute
respiratory distress syndrome (ARDS). Among them, noninvasive ventilation (n = 2)
and intubation and mechanical ventilation (n = 5) were implemented. Ten septic
patients (55.6%) received antibiotic therapy, 6 with severe head injury accepted
Lund's concept for brain protection and 13 (72.2%) underwent 20 operations.
Sixteen patients (88.9%) were discharged and another 2 (11.1%) remained comatose
in hospital. CONCLUSION: Earthquake-related critical patients have complicated
disease situations. And centralized salvage, optimal strategy and algorithm may
improve their outcomes.
PMID- 24924709
TI - [Value of focused critical ultrasound in the treatment of critical patients in
Lushan earthquake].
AB - OBJECTIVE: To explore the value of focused critical ultrasound in the treatment
of critical patients in Lushan earthquake. METHODS: The utilization of bedside
ultrasound and its effects on the treatment of critical patients in Lushan
earthquake were retrospectively analyzed. RESULTS: After brief training of
resident doctors, bedside ultrasound was performed for 107 sessions. And 97
sessions (90.7%) of ultrasound exams were valuable for diagnosis and treatment.
The exams included hemodynamic monitoring for 44 sessions and bedside lung
ultrasound for 58 sessions. In hemodynamic monitoring, after heart function
estimation, fluid resuscitation was restarted in 8 cases (8/44, 18.2%) and
terminated in 2 cases to control risks. False estimate of volume by clinical
experience were 8 sessions (8/44, 18.2%). And corrections were made after
ultrasound exams. A total of 18 cases (18/44, 40.9%) of hemodynamic treatments
improved with the help of focused critical ultrasound. Bedside lung ultrasound
was performed to monitor the change of lung water in fluid resuscitation 6
sessions and guide the pleural fluid drainage and lung recruitment before weaning
17 sessions; the BLUE-plus lung ultrasound protocol was performed for 32
sessions. And 24 cases of lung consolidation and atelectasis were found. Chest
physiotherapy was then performed. The focused critical ultrasound exam was also
performed to locate thrombus, biliary infection and intracranial infection, etc.
CONCLUSION: Focused critical ultrasound can provide reliable clinical information
to reduce unnecessary examination and optimize therapies for Lushan earthquake
victims.
PMID- 24924710
TI - [The changes of inflammatory cytokines and their clinical significance in
patients of inferior ST-segment elevation acute myocardial infarction with
anterior ST-segment depression].
AB - OBJECTIVE: To investigate the level of Hs-CRP, Fib,IL-6, TNF-alpha,MDA, SOD, and
analyse the correlation between the level of plasma inflammatory cytokines and
clinical significance in patients with anterior ST-segment depression. METHODS:
We choose 360 patients with inferior ST Segment elevation acute myocardial
infarction from May 2007 to Sep 2012 in rescue Center of Anzhen Hospital, in
Anzhen Hospital, and all the patients received percutaneous coronary intervention
treatment and the vascular lesions besides culprit vessel were observed. All the
patients were divided into two groups: control group (n = 180) and anterior ST
segment depression group (n = 180). 8ml venous blood was obtained from all the
patients, and then plasma were separated. The level of plasma Hs-CRP, Fib, IL-6,
TNF-alpha, MDA, SOD were investigated by Biochemistry and ELISA. And the
relativity between the level of plasma inflammatory cytokines and anterior ST
segment depression in patients with inferior acute myocardial infarction was
analysed. RESULTS: The proportion of double vessel coronary disease, three
coronary artery lesion is higher in anterior ST-segment depression group compared
with control group (P < 0.01) . Compared with control group, the level of Hs-CRP,
Fib, IL-6, TNF-alpha,MDA, SOD of anterior ST-segment depression group are
inclined significantly (P < 0.01). CONCLUSION: The level of plasma inflammatory
cytokines and the degree of lipid peroxidation can reflect the severity of
coronary artery disease and may have certain value in predicting the severity of
disease.
PMID- 24924711
TI - [Cancer clearance for "unprovoked" venous thromboembolism cases].
AB - OBJECTIVE: To verify the significance of prospective serum cancer markers
clearance program for primary Venous thromboembolism(VTE) cases. METHODS: During
1 July 2010 within 31 Dec 2012, data from two Chinese vascular centers was
prospective registry. All the cases diagnosed as primary VTE by first vascular
physicians were underwent serum cancer markers clearance. Long term follow up was
required to confirm real cancer occurrence and long term survival rate. A total
of 167 cases (106 male and 61 female) were enrolled in this study. Mean age was
52 +/- 15 years old. RESULTS: Eighteen cases (10.78%) were finally scanned as
malignant. The sensitive of markers: Carcino-embryonic antigen (CEA) 0.67,
carbohydrate antigen (CA)199 0.44, CA242 0.33, CA153 0.44, CA125 0.78, CA724
0.17, combined markers 0.94. The specificity of above markers was 0.97,0.98,
0.98, 0.97, 0.93, 0.97 and 0.86. CA 125 showed best sensitive and specificity as
a sole marker. The positive likelihood ratio for markers: CEA 24.83 ((95%CI 8.95
68.90), CA199 22.07 (95%CI 6.43-75.78), CA242 16.56 (95%CI 4.53-60.55), CA153
13.24 (95%CI 4.85-36.17), CA125 10.54 (95%CI 5.67-19.58), CA 724 4.97(95%CI 1.29
19.07), combined of markers 6.70 (95%CI 4.44-10.12). The negative likelihood
ratio for markers: CEA 0.34 ((95%CI 0.18-0.66), CA199 0.57 (95%CI 0.37-0.86),
CA242 0.68 (95%CI 0.49-0.94), CA153 0.57 (95%CI 0.38-0.87), CA125 0.24 (95%CI
0.10-0.57), CA 724 0.86 (95%CI 0.76-1.06), combined of markers 0.66 (95%CI 0.01
0.44). CEA+CA125 showed better positive and negative likelihood ratio. 6 months,
12 months and 24 months survival rate for malignant (18cases) and non
malignant(149cases) were 61.1%, 50.0%, 31.3% and 99.3%, 98.6%, 98.6%
respectively. CONCLUSION: It is reasonable to screening malignant for
"unprovoked" VTE cases. Combined multiple serum cancer markers showed best
result. CA125 plus CEA showed acceptable result for some limited condition. CA50
was useless for cancer screening.
PMID- 24924712
TI - [Study on gene-gene, gene-environmental interactions of DNA repair genes related
with age-related cataract].
AB - OBJECTIVE: To investigate the interaction between polymorphisms and environment
factors in age related cataract (ARC). METHODS: Population-based case-control
study. All of 448 cataract cases were selected from cataract eye database and 248
controls were recruited from Funing county. All 18 single nucleotide polymorphism
(SNPs) of WRN, OGG1, BLM and ERCC6 genes were genotyped using real-time
fluorescent quantitative PCR. The possible risk of ARC was estimated by
unconditional Logistic regression. The interaction effects between gene-gene and
gene-environment were evaluated by use of multifactor dimensionality reduction
(MDR). RESULTS: Risk of ARC in illiterate group was significantly increased (OR =
1.53, P < 0.05), compared to no illiterate group. The risk of cataract in farmers
was significantly high with ORs of 3.75. Long exposure to sunlight may increase
cataract risk. Factors such as smoking, drinking, hypertension had no
relationship with ARC (P > 0.05). WRN-rs11574311 was still associated with ARC
with statistical significance after Bonferroni correction (OR = 1.98, Pa<0.018).
There was no evidence for any association at a significance level of P < 0.05 for
the remaining alleles. MDR analysis showed a significant interaction model among
the six factors: education, solar radiation, WRN-rs11574311, WRN-rs1801195, WRN
rs4733220 and BLM-rs17273206 (consistency inspection accuracy of 0.683 on
average, cross validation at 10/10, P = 0.005). Logistic regression model showed
that the "high-risk" individuals had a significantly increased risk of ARC,
compared to those "low- risk" individual classified by the MDR mode mentioned
above(OR = 5.51, P < 0.05). CONCLUSION: WRN-rs11574311 allele was the risk factor
for ARC, and individuals who carried WRN-rs1801195, WRN-rs4733220 and BLM
rs17273206 allele and low level of education, long sunlight time significantly
increased the risk of the ARC.
PMID- 24924713
TI - [Survival analysis and recommendation of subdividing M1 stage according to
metastatic status of colorectal cancer].
AB - OBJECTIVE: To subdivide the M1 stage of patients to predict better survival
groups and assess the predictive factors of prognosis to select personalized
cancer treatments. METHODS: From the records of 666 colorectal cancer cases
treated at our hospital during January 1995 to December 2010, we analyzed the
site of metastases, number of metastases, size of hepatic metastatic tumor and
corresponding treatments. According to the results, we made recommendations for
subdividing the M1 stage to guide individualized treatments. RESULTS: The
metastatic median survival of liver metastasis and non-liver metastasis were 18.7
vs 22.9 months (P = 0.082). And the median survival of single organ metastasis,
double organ metastasis and multiple organ metastasis were 24.8 vs 15.6 vs 10.9
months, (P < 0.01).With no more than 3 liver lesions, the patients received
operation or ablation therapy plus chemotherapy and their median survival was
longer than those on chemotherapy only (49.6 vs 22.3 months, P < 0.01).
CONCLUSION: According to metastatic characteristics, different clinical features
and treatments result in significantly different survivals and prognoses in
metastatic colorectal cancer patients.
PMID- 24924714
TI - [Comparative study of X-ray digital tomosynthesis imaging based on intravenous
urography and unenhanced multidetector-row computerized tomography in urinary
calculi].
AB - OBJECTIVE: To evaluate the clinical application value of X-ray digital
DTSynthesis (DTS) based on IVU (Intravenous Urography)in urinary calculi
contrasted with unenhanced multidetector-row computerized tomography. METHODS: 75
patients suspected of urinary calculi underwent IVU, DTS based on IVU and UMDCT.
The diagnostic sensitivity, specificity, accuracy, positive predictive value and
negative predictive of the three imaging ways were acquired according to
operations, respectively. Chi-square test was used to evaluate the difference
among the three ways. RESULTS: In 75 cases, 60 cases were proved to be urinary
calculi. The diagnostic sensitivity, specificity, accuracy, positive predictive
value and negative predictive of IVU, DTS based on IVU,UMDCT is 70.0%, 73.3%,
70.7%, 91.3%, 37.9%; 91.7%, 86.7%, 90.7%, 96.5%, 72.2%; 96.7%, 86.7%, 94.7%,
96.7%, 86.7%, respectively.No significant differences between DTS based on IVU
and UMDCT were found in sensitivity, specificity, accuracy, positive predictive
value and negative predictive. Both cases are better than IVU in diagnostic
ability. CONCLUSION: There is no significant difference in diagnostic ability of
urinary calculi between DTS and UMDCT. DTS can be used as a routine imaging
technique in diagnosis and follow up of urinary calculi.
PMID- 24924715
TI - [Utility value of a T-cell interferon-gamma release assay based on recombinant
Mycobacterium tuberculosis 11kD protein in the diagnosis of tuberculosis].
AB - OBJECTIVE: To evaluate the diagnostic efficiency of a T-cell interferon-gamma
release assay based on recombinant Mycobacterium tuberculosis (MTB) 11kD protein
for diagnosing tuberculosis. METHODS: This prospective study enrolled inpatients
with suspected tuberculosis at PUMCH to examine the diagnostic sensitivity,
specificity, predictive value (PV) and likelihood ratio (LR) of T-cell interferon
gamma release assays based on recombinant MTB-11kD protein, early antigenic
target-6 (ESAT-6) and culture filtrate protein-10 (CFP-10) peptides (T-SPOT. TB).
Test results were compared with final clinical and microbiological diagnoses.
RESULTS: Among 151 inpatients with suspected tuberculosis, 33 (21.9%) were
microbiologically or clinically diagnosed as tuberculosis and 107 (70.9%) ruled
out. And the remaining 11 (7.3%) patients were clinically indeterminate. The
sensitivities of recombinant MTB-11kD-ELISPOT assay and T-SPOT. TB were 60.6%and
81.8%respectively (P = 0.057).Whereas, the specificity of recombinant MTB-11kD
ELISPOT assay was significantly higher than that of T-SPOT. TB (84.1%vs 72.9%, P
= 0.046). The parallel testing increased the sensitivity to 84.9% and serial
testing increased the specificity to 86.9%. CONCLUSION: The recombinant MTB-11kD
ELISPOT assay is more specific than T-SPOT. TB. And its combination with T-SPOT.
TB may improve the diagnostic efficiency for tuberculosis.
PMID- 24924717
TI - [Clinical analysis of 56 patients with cervical cancer after cold knife
conization].
AB - OBJECTIVE: To evaluate the clinical value of conization in the diagnosis of
cervical cancer to optimize its management. METHODS: Fifty-six patients diagnosed
with cervical cancer after conization at our hospital between 2008 and 2012 were
reviewed. The pathological profiles of colposcopic multiple biopsies and
treatment options were analyzed. RESULTS: Among them, there were stage Ia1 (n =
39), stage Ia2 (n = 2) and stage Ib1 (n = 15). And there was not much
correspondence between cervical conization and colposcopic multiple biopsies in
46 cases. Among 23 patients with stage Ia1 undergoing further surgery, 12
(52.17%) had residual diseases, including CINI (n = 5), CINII(n = 3), CINIII (n =
3). No recurrence was found in 16 cases without further surgical intervention.
All cases of stages Ia2 and Ib1 underwent repeat surgery while 8 cases received
preoperative chemotherapy, including stage Ia2 (n = 1) and stage Ib2 (n = 7).
CONCLUSION: Cold knife conization plays a very important role in the diagnosis
and treatment of cervical diseases. Further treatment options should be selected
individually and comprehensively based on such factors as patient age, degrees of
dysplasia, surgical margin status, fertility requirements and so on.
PMID- 24924716
TI - [Preliminary clinical evaluations of bevacizumab for recurrent malignant glioma
in Chinese patients].
AB - OBJECTIVE: To explore the clinical efficacies of bevacizumab (BEV) for high-grade
glioma (HGG) in Chinese patients and evaluate its profiles of adverse events and
usage safety. METHODS: A total of 15 HGG cases, including glioblastoma multiform
(GBM) (n = 12) and anaplastic astrocytoma (AA) (n = 3), were selected to receive
the treatments of BEV (10 mg/kg, q2w) and temozolomide (TMZ, 150 mg/m(2), 5 d/28
d). Their radiological responses, clinical status, progression-free survival
(PFS) and overall survival (OS) were evaluated. Also their adverse events and
severity were recorded and analyzed. RESULTS: According to the RANO criteria,
during the short period (<3 months), 11 cases had significant radiological and
clinical responses. However, only 4 cases responded during a follow-up period of
beyond 3 months. Three cases had retrogression after BEV chemotherapy. At 6
months post-treatment, 8 cases (53.3%) survived without lesion progression and
the median PFS was 8 +/- 5 months. During a longer follow-up (>6 months), 8
patients had tumor retrogression, including 5 deaths. The median overall survival
period was 24 +/- 10 months. The adverse events included hypertension (n = 2),
wound-healing complication (n = 1), vomiting (n = 2) and cerebro-spinal fluid
leakage (n = 1). All complications were cured by activate supportive measures.
CONCLUSION: After BEV treatment, most patients obtain more significant short-term
responses with good toleration. For re-operative cases, the usage of BEV should
be deferred to one month post-operation so as to avoid the occurrence of wound
healing complications.
PMID- 24924718
TI - [Modified approach to difficult vaginal hysterectomy].
AB - OBJECTIVE: To explore the advantage, feasibility and safety of modified approach
to difficult vaginal hysterectomy and provide scientific rationales for expanding
its indications. METHODS: A retrospective study was conducted for 237 patients
undergoing hysterectomy for benign disease of uterus (without prolapse) from
January 2009 to July 2012. Both modified approach to difficult vaginal
hysterectomy (TVH, n = 167) and abdominal hysterectomy (TAH, n = 70) were
performed. Two groups were compared for epidemiological, clinical
characteristics, operative duration, intraoperative blood loss volume,
perioperative and postoperative complications, hospitalization duration,
inflammatory response and follow-up outcomes. TVH was divided into 3 subgroups of
enlarged uterus (n = 100), prior pelvic surgeries/endometriosis history (n = 25)
and complicated cases with multiple factors (n = 42). Then the surgical outcome
parameters were compared for each group. RESULTS: The operative duration,
intraoperative blood loss volume and blood transfusion amount were significantly
less in the TVH group than those in the TAH group (P < 0.05). No major
perioperative complications occurred in either group. There was no conversion
from TVH into TAH. Inflammatory response, gastrointestinal recovery and
hospitalization duration for the TVH group were significantly shorter than those
of the TAH group (P < 0.05). The hospitalization expense was almost the same in
two groups (P > 0.05). Postoperative complications and the quality of sexual
health were not statistically different between two groups at 1 month and 6
months post-operation (P > 0.05). The data of three TVH subgroups were collected.
The complicated cases with multiple factors group had longer hospitalization
duration than the enlarged uterus and the prior pelvic surgeries/endometriosis
history groups (P < 0.05). Similarly the volume of blood loss in the complicated
cases with multiple factors group was significantly more than those of other two
groups (P < 0.05). CONCLUSION: As one kind of mini-invasive surgery with less
complications, higher safety and feasibility, modified approach to difficult
vaginal hysterectomy offers shorter operative duration, less volume of blood loss
and faster recovery.
PMID- 24924719
TI - [Effects of varus of knee osteoarthritis on patellar position].
AB - OBJECTIVE: To explore the effects of varus of knee osteoarthritis (OA) on
patellar position. METHODS: A total of 19 patients (27 knees) underwent the
examinations of anterior-posterior, lateral knee and axis of patellar X-ray at 30
degrees , 45 degrees , 60 degrees and each X-ray was measured. RESULTS: The
ratio between length of patellar tendon and length of patellar, vertical distance
of lower edge of patellar to tibial plateau and height of patellar, distance of
lower edge of medial facet of patellar to anterior angle of tibia and height of
patellar were different from normal values. Patellar moved medially during the
process of bending from 30 degrees to 60 degrees . CONCLUSION: The patellar of
knee OA patients with varus moves down and medially.
PMID- 24924720
TI - [Effects of 12/15-lipoxygenase antisense oligonucleotide on peroxisome
proliferator-activated receptor gamma translocation in primarily cultured
cortical neurons after oxygen-glucose deprivation].
AB - OBJECTIVE: To explore the effects of 12/15-lipoxygenase antisense oligonucleotide
(asON-12/15-LOX) on OGD (oxygen-glucose deprivation)-induced PPARgamma
(peroxisome proliferator-activated receptor gamma) expression and nuclear
translocation in primarily cultured cortical neurons. METHODS: After a 48-h pre
treatment of 12/15-LOX antisense oligonucleotide (asON), primarily cultured
cortical neurons underwent 3-hour OGD followed by a 24-hour
reperfusion.Immunofluorescent staining and Western blot were used to evaluate the
expressions of 12/15-LOX and PPARgamma as well as the nuclear translocation of
PPARgamma. RESULTS: Compared with the control group, the expressions of 12/15-LOX
and PPARgamma whole protein were enhanced in OGD group (t = -3.72 and -6.79, P =
0.03 and 0.04). And an increase of PPARgamma in nucleus (t = -4.67, P = 0.02)
could be noted with a simultaneous reduction in cytosol (t = 3.40, P = 0.04)
after OGD, indicating an induction of nuclear translocation by OGD. Compared with
OGD group, a pre-treatment of asON-12/15-LOX dramatically attenuated OGD-induced
increase in 12/15-LOX whole protein expression (t = 5.03, P = 0.02). Compared
with OGD group, a pre-treatment of asON-12/15-LOX greatly reduced OGD-induced
increase in PPARgamma total protein expression (t = 2.83, P = 0.04) and nuclear
translocation (t = 7.05, P = 0.01 for nuclear protein; t = -5.47, P = 0.01 for
cytosol protein). It indicated a possible link between 12/15-LOX and PPARgamma.
CONCLUSION: 12/15-LOX antisense oligonucleotide suppresses the expression and
nuclear translocation of PPARgamma in primarily cultured cortical neurons after
OGD.
PMID- 24924721
TI - [LINGO-1 expression of brain tissue in experimental autoimmune encephalomyelitis
mouse].
AB - OBJECTIVE: To observe the changes of LINGO-1 expression with time after onset in
EAE mouse. METHODS: C57/BL6 mice were completely randomly divided into EAE model
group (n = 15) , adjuvant group (n = 15) and control group (n = 15) .LINGO-1
expression of brain tissue was detected on day 1, 7, 14, 21 and 30 after onset by
RT-PCR and Western blot.RhoA and p-RhoA expression of brain tissue was analysed
by Western blot. RESULTS: The LINGO-1mRNA levels in EAE model group were markedly
higher than control group on day 1, 7and 14 after onset (4.63 +/- 0.25, 2.72 +/-
0.12, 1.98 +/- 0.16, P < 0.01, P < 0.01, P < 0.05).On day 30, Lingo-1 mRNA was
close to control group.Expression levels of Lingo-1 protein on day 1, 7, 14, 21,
30 were higher than control group (2.11 +/- 0.15, 3.15 +/- 0.09, 2.45 +/- 0.12,
1.89 +/- 0.17, 1.21 +/- 0.05, P < 0.05, P < 0.01, P < 0.05, P < 0.05, P < 0.05.
The levels of p-RhoA protein increased in EAE and the peak appeared on day 1 and
day 7 (P < 0.01) . And there was no difference on RhoA expression among different
groups. CONCLUSIONS: LINGO-1 expression of brain tissue of EAE mouse upregulates
and changes with time after onset, which may inhibit myelination by RhoA
activation.In clinic, the antagonist of LINGO-1 for MS should be applied as soon
as possible.
PMID- 24924722
TI - [White matter injury of spinal cord in rats with chronic fluorosis and recovery
after defluoridation].
AB - OBJECTIVE: To explore the injury mechanism for white matter of spinal cord and
the improvement of function after defluoridation. METHODS: A total of 120 Wistar
rats were separated randomly into 4 groups (n = 30 each). High flouriod group
received high concentration NaF water (200 mg/L) to establish fluorosis model;
control group distilled water; defluoridation group high concentration NaF water
(200 mg/L) for 12 weeks and then distilled water for 12 weeks; defluoridation
control group. The urinary contents of fluoride were detected at Weeks 4, 8 and
12. The first two groups were sacrificed at Week 12 while the other two groups at
Week 24. The spinal cord functions were detected by BBB scale and incline plate
test. Their cervical spinal cord tissues were collected and observed under
electron microscope. The expression of myelin basic protein (MBP) in thoracic
cord was detected by immunohistochemistry and Western blot. The comparison of
measurement data was performed with F test and correlation analysis. Cytological
changes of white matter in spinal cord were detected after chronic fluorosis.
RESULTS: The spinal functions of high flouriod and defluoridation groups were
inferior to those of the control groups. But no difference existed among the
groups. Pathological manifestations of chronic white matter injury of spinal cord
could be found in high flouriod and defluoridation groups. The MBP expression in
spinal cord of fluorosis and defluoridation groups decreased in comparison with
those in control groups. But no difference existed among them. CONCLUSION: White
matter injury of spinal cord is present in chronic fluorosis rats. Defluoridation
for a short time offers no recovery.
PMID- 24924723
TI - [Immunosuppressive action of dendritic cells sensitized by oligodeoxynucleotides
and CA125 on human OVCAR3 ovarian carcinoma xenografts in nude mice].
AB - OBJECTIVE: To explore the immunosuppressive action of dendritic cells (DC)
sensitized by oligodeoxynucleotides containing "un-methylated cytosine
phosphodiester bond-guanylic acid" motif (CpG ODN) and CA125 on human ovarian
carcinoma xenografts in nude mice. METHODS: Human peripheral blood-derived
dendritic cells were isolated and identified by flow cytometry. The DC sensitized
by CpG ODN and CA125 were then co-cultured with T cells and finally cytotoxic T
lymphocytes (CTL) were induced. Nude mice bearing OVCAR3 transplanted tumor were
immunized with induced CTL by subcutaneous injection and tumor growth and cell
apoptosis observed. RESULTS: Premature DC had a low expression of CD83 and CD86.
In vivo, delayed growth of OVCAR3 xenografts was observed after immunotherapy
with CTL induced by DC pulsed by CpG ODN and CA125. The inhibition rate of tumor
was 50.71% and it was better than CpG ODN-pulsed and CA125-pulsed groups (P <
0.05). Cell apoptotic rate was (29.6 +/- 3.0)% in CpG ODN+CA125-pulsed group
versus (21.8 +/- 2.7)% in CpG ODN-pulsed group. And both were more than those of
unpulsed and CA125-pulsed groups (P < 0.05). CONCLUSION: CTL induced by DC
sensitized by CpG ODN and CA125 can inhibit the growth of ovarian carcinoma
xenografts and promote tumor cell apoptosis.
PMID- 24924724
TI - [Orthopedic rheumatology: conservative therapy and arthrology].
PMID- 24924725
TI - [Technological orthopedic treatment of feet destroyed by rheumatism. Update].
AB - BACKGROUND: The course of rheumatoid arthritis often leads to afflictions of the
feet with typical deformities and complaints. In addition to the basis
medication, physical therapy, physiotherapy and local infiltration techniques,
technological orthopedic shoe treatment is an important component of conservative
therapy. MATERIAL AND METHODS: This review article presents the foundations of
the pathomechanics of rheumatic feet and the principles of treatment. RESULTS AND
CONCLUSION: Through a sensible implementation of a foot disorder orthosis it is
possible to mitigate deformities due to rheumatoid arthritis and also positively
influence the further course. The aim of a foot disorder orthosis is ultimately
to delay or even avoid surgical measures for rheumatic feet and also to stabilize
the results after surgical interventions.
PMID- 24924726
TI - [Polymyalgia rheumatica in daily routine practice].
AB - DEFINITION AND EPIDEMIOLOGY: Polymyalgia rheumatica (PMR) is a very painful
inflammatory disease which regularly affects the shoulder region but in 70% of
cases the pelvic girdle region is also affected. The disease occurs in people
over the age of 50 years and reaches a peak at 72 years old. Women are affected
twice as often as men. The prevalence is estimated to be 0.3-0.7% in the
Caucasian population over 50 years old. DIAGNOSTICS AND CLASSIFICATION:
Misdiagnosis of PMR is common. The differential diagnosis primarily includes
impingement syndrome, osteoarthritis of the shoulders, calcifying tendinitis of
the rotator cuff, bursitis, omarthritis or inflammatory rheumatic diseases, such
as rheumatoid arthritis. Taking a structured medical history and performing a
thorough clinical examination are crucial. The erythrocyte sedimentation rate
(ESR) and C-reactive protein (CRP) levels are usually highly elevated and should
be investigated particularly in patients who present with new onset bilateral
shoulder pain and pronounced general impairment of movement. Imaging shows
characteristic inflammatory changes around the shoulders and hip joints. The new
European League Against Rheumatism and American College of Rheumatology
(EULAR/ACR) classification criteria of PMR including ultrasound imaging are
superior to previous classification and diagnostic criteria in terms of positive
and negative predictive values. THERAPY: Glucocorticoids are still the mainstay
of treatment. Recommended daily prednisolone starting doses are between 15 mg and
25 mg with a weekly dose reduction until 10 mg/day and then further dose
reductions of 1 mg per month. Methotrexate can aid reducing prednisolone doses in
patients who fail to reach doses below the Cushing threshold quickly enough,
which can have major side effects.
PMID- 24924727
TI - [Chondrocalcinosis. Clinical impact of intra-articular calcium phosphate
crystals].
AB - Calcium pyrophosphate dihydrate (CPPD) crystals are known to cause acute attacks
of pseudogout in joints but crystal deposition has also been reported to be
associated with osteoarthritis (OA). Aside from CPPD crystals, basic calcium
phosphates (BCPs), consisting of carbonate-substituted hydroxyapatite (HA),
tricalcium phosphate and octacalcium phosphate, have been found in synovial
fluid, synovium and cartilage of patients with OA. Although CPPD crystals have
been found to be associated with OA and are an important factor in joint disease,
this has also recently been associated with a genetic defect. However, according
to the most recent findings, the association of BCP crystals, such as apatite
with OA is much stronger, as their presence significantly correlates with the
severity of cartilage degeneration. Identification of BCP crystals in OA joints
remains problematic due to a lack of simple and reliable methods of detection.
The clinical and pathological relevance of cartilage mineralization in patients
with OA is not completely understood. It is well established that mineralization
of articular cartilage is often found close to hypertrophic chondrocytes. A
significant correlation between the expression of type X collagen, a marker for
chondrocyte hypertrophy and cartilage mineralization was observed. In the process
of endochondral ossification, the link between hypertrophy and matrix
mineralization is particularly well described. Hypertrophic chondrocytes in OA
cartilage and at the growth line share certain features, not only hypertrophy but
also a capability to mineralize the matrix. Recent data indicate that chondrocyte
hypertrophy is a key factor in articular cartilage mineralization strongly linked
to OA and does not characterize a specific subset of OA patients, which has
important consequences for therapeutic strategies for OA.
PMID- 24924728
TI - [Severe osteoarthritic manifestations of ochronosis].
AB - The congenital form of ochronosis is a result of the rare autosomal recessive
inherited metabolic disease alkaptonuria. The disruption of tyrosine metabolism
based on a genetic defect in the enzyme homogentisate dioxygenase results in
accumulation of homogentisic acid (HA) which is excreted in the urine and leads
to a dark discoloration after a certain incubation time at room temperature.
Furthermore, HA polymerizes forming a pigment that is deposited in connective
tissues such as tendons, cartilage, bones, intervertebral discs, sclerae,
ossicles, cardiac valves and coronary arteries and leads to dark brown
discoloration and degeneration. The case of a 74-year-old female patient with
ochronosis and classical manifestations is described and in addition a current
overview of this rare disease is provided.
PMID- 24924729
TI - [Hand ergotherapy for rheumatic diseases and the special importance of hand
surgery].
AB - BACKGROUND: Human hands play an important role in overcoming routine daily life.
As a consequence of limitations in the function of the hand due to rheumatic
diseases, various manual activities can become an enormous challenge or even
become absolutely impossible. MATERIAL AND METHODS: This review article discusses
the possibilities of hand ergotherapy and explains the most important assist
devices. RESULTS AND CONCLUSION: The main area of occupational and hand therapy
interventions in patients with rheumatoid arthritis is the postoperative or
conservative treatment of wrist and finger joints. The main areas of concern are
to maintain the mobility of the joints, when necessary and possible mobilization
of the joints, strengthen the muscles, the prophylaxis or correction of
deformities with thermoplastic splints, conservative pain relief and anti
inflammatory treatment, joint protection precautions and teaching of home
exercises for prophylaxis of contracture. Further areas of therapy are
instruction and provision of adaptive devices for limitations of the upper and/or
lower extremities, especially training of activities of daily living (ADL) and
patient education.
PMID- 24924730
TI - [Sport and rheumatoid arthritis].
AB - BACKGROUND: Sport is becoming increasingly more important in our society. Due to
the changing age spectrum with a greater number of elderly and substantially more
active people, an increasing number of people with underlying orthopedic diseases
are becoming interested in participating in sport. MATERIAL AND METHODS: This
article deals with the possibilities and effects of sporting activities for
people with rheumatoid arthritis within the framework of a conservative therapy.
A literature search was carried out using medical search engines, in particular
PubMed, and also via the recommendations of specialist societies and patient help
groups. RESULTS: The quality of life of patients with rheumatoid arthritis
consists of physical, mental and social components. Sport as a means of
rehabilitation influences all of these components. Sport should be comprehended
as a form of therapy and be adapted to the needs of the individual patient. The
willingness to actively participate in sport should always be highly rated and
encouraged. Sport is therefore an important pillar of therapy in a conservative
total concept. The main aspects of sport therapeutic activities are functional,
pedagogical and experience-oriented aspects. The clinical symptoms, extent of
damage and physical impairment must, however, be evaluated and taken into
consideration for the therapeutic concept. CONCLUSION: The amount of data on the
complex topic of sport and rheumatoid arthritis is low and is mainly dealt with
as retrospective reviews. A prospective randomized study basis is lacking. The
aim must therefore be to confirm the currently available recommendations for
various types of sport in controlled studies.
PMID- 24924731
TI - [Large vessel vasculitis].
AB - Giant cell arteritis (GCA) and Takayasu arteritis (TA) are the two diseases
characterized as large vessel vasculitis (LVV) and are autoimmune diseases with
granulomatous inflammation that affect medium and large sized arteries. These
diseases are accompanied by symptoms of systemic inflammatory reactions typically
including fatigue, weight loss and low grade fever as well as elevation of the
erythrocyte sedimentation rate (ESR) and C-reactive protein (CRP) levels. More
specific symptoms include headache and visual symptoms for GCA and arm or leg
claudication, renal hypertension and angina pectoris for TA. Imaging studies to
demonstrate inflammatory vascular wall lesions and biopsy of the temporal artery
for GCA are the most relevant diagnostic procedures. Treatment relies mainly on
glucocorticoids. Methotrexate seems to have a moderate glucocorticoid-sparing
effect but evidence for other immunosuppressants, including azathioprine,
tocilizumab and cyclophosphamide is limited. Revascularization methods might also
be required in TA.
PMID- 24924732
TI - [Mechanisms of fibrosis and their translation into clinical aspects].
PMID- 24924733
TI - [Diagnosis and treatment of Lyme arthritis. Recommendations of the
Pharmacotherapy Commission of the Deutsche Gesellschaft fur Rheumatologie (German
Society for Rheumatology)].
AB - These guidelines summarize the current evidence for diagnosis and treatment of
Lyme arthritis and the most frequent skin manifestations of Borrelia burgdorferi
infections. Lyme arthritis is a monoarticular or oligoarticular form of arthritis
that typically involves the knee. A positive enzyme-linked immunosorbent assay
(ELISA) for IgG antibodies should be followed by an IgG immunoblot. A positive
PCR test from synovial fluid adds increased diagnostic certainty. Serum
positivity for antibodies to Borrelia burgdorferi without typical symptoms does
not justify antibiotic treatment. Oral antibiotic treatment for erythema migrans
is recommended using doxycycline, 200 mg once per day for 10-21 days, alternative
choices are amoxicillin, cefuroxime and azithromycin. For children below 8 years
of age, amoxicillin is recommended.Lyme arthritis can usually be successfully
treated with orally administered antimicrobial agents. Doxycycline, 1 * 200 or 2
* 100 mg for 30 days is the antibiotic agent of choice. Amoxicillin (3 * 500-1000
mg) can be alternatively chosen. Patients who have persistent or recurrent joint
swelling after a recommended course of oral antibiotic therapy should be treated
intravenously. In this situation, ceftriaxone at 2 g per day for 14-21 days is
recommended. There is no evidence to recommend long-term and combined treatments.
PMID- 24924734
TI - Cerebellar transcranial magnetic stimulation: the role of coil geometry and
tissue depth.
AB - BACKGROUND: While transcranial magnetic stimulation (TMS) coil geometry has
important effects on the evoked magnetic field, no study has systematically
examined how different coil designs affect the effectiveness of cerebellar
stimulation. HYPOTHESIS: The depth of the cerebellar targets will limit
efficiency. Angled coils designed to stimulate deeper tissue are more effective
in eliciting cerebellar stimulation. METHODS: Experiment 1 examined basic input
output properties of the figure-of-eight, batwing and double-cone coils, assessed
with stimulation of motor cortex. Experiment 2 assessed the ability of each coil
to activate cerebellum, using cerebellar-brain inhibition (CBI). Experiment 3
mapped distances from the scalp to cerebellar and motor cortical targets in a
sample of 100 subjects' structural magnetic resonance images. RESULTS: Experiment
1 showed batwing and double-cone coils have significantly lower resting motor
thresholds, and recruitment curves with steeper slopes than the figure-of-eight
coil. Experiment 2 showed the double-cone coil was the most efficient for
eliciting CBI. The batwing coil induced CBI only at higher stimulus intensities.
The figure-of-eight coil did not elicit reliable CBI. Experiment 3 confirmed that
cerebellar tissue is significantly deeper than primary motor cortex tissue, and
we provide a map of scalp-to-target distances. CONCLUSIONS: The double-cone and
batwing coils designed to stimulate deeper tissue can effectively stimulate
cerebellar targets. The double-cone coil was found to be most effective. The
depth map provides a guide to the accessible regions of the cerebellar volume.
These results can guide coil selection and stimulation parameters when designing
cerebellar TMS studies.
PMID- 24924735
TI - Prevalence and characteristics of coronary-cameral communications in adult
patients: coronary angiographic analysis of 16,573 patients.
AB - OBJECTIVE: To analyze the coronary angiograms of patients with symptomatic heart
disease in order to determine the frequency and characteristics of coronary
cameral communications (CCCs) in a single center. SUBJECTS AND METHODS: The
coronary angiograms of 16,573 patients with symptomatic heart disease performed
from November 2001 to January 2011 were analyzed. The diagnosis of coronary
fistula and coronary-cameral microcommunications (CCMCs) was made according to
previously defined criteria. RESULTS: Of the 16,573 patients, 15 (0.09%; 8 males
and 7 females, mean age 63 +/- 12 years) had CCCs, while coronary fistulas were
identified in 2 (0.01%). In the first patient, the coronary fistula arose from
the branches of the left anterior descending (LAD) artery and the right coronary
artery (RCA) and drained into the right ventricle. In the second patient, the
fistula originated from branches of the LAD artery, the circumflex (Cx) artery
and the RCA and drained into the left ventricle. In 7 patients, the CCMCs
originated from the LAD artery. In 3 patients, the Cx artery was the origin. The
CCMCs originated from the RCA in 2 patients. In 1 patient the CCMC took its
origin from the RCA and the Cx artery, while in 2 patients the CCMCs were
associated with intracardiac masses in the left atrium and the right atrium,
respectively. CONCLUSION: The prevalence of CCCs in adult patients was low and
that of large coronary fistulas was even lower; coronary fistulas are probably
very rare in adult patients because the majority of them are detected and treated
during childhood.
PMID- 24924736
TI - Monte-Carlo simulations of PAMAM dendrimer-DNA interactions.
AB - We use Monte Carlo simulations to determine the influence of poly(amido amine)
(PAMAM) dendrimer size and charge on its interactions with double-stranded DNA
conformation and interaction strength. To achieve a compromise between simulation
speed and molecular detail, we combine the coarse-grained DNA model of de Pablo
et al. which resolves each DNA base using three beads - and thereby retains the
double-helix structure - with a dendrimer model with resolution similar to that
of the DNA. The resulting predictions of the effects of dendrimer generation,
dendrimer surface charge density, and salt concentration on dendrimer-DNA
complexes are in agreement with both experiments and all-atom MD simulations. The
model predicts that DNA wraps a fully charged G5 or G6 dendrimer at low salt
concentration (10 mM) similarly to a histone octamer, and for the G5 dendrimer,
DNA super helices with both handednesses occur. At salt concentrations above 50
mM, or when a high fraction of dendrimer surface charges are neutralized by
acetylation, DNA adheres but does not compactly wrap the dendrimer, in agreement
with experimental findings. We are also able to simulate pairs of dendrimers
binding to the same DNA strand. Thus, our mesoscale simulation not only
elucidates dendrimer-DNA interactions, but also provides a methodology for
efficiently simulating chromatin formation and other cationic macroion-DNA
complexes.
PMID- 24924737
TI - Post-traumatic pelvic splenosis diagnosed with a combination of laparoscopy and
technetium 99m red blood cell scintigraphy.
PMID- 24924738
TI - Pontocerebellar hypoplasia.
AB - Pontocerebellar hypoplasia (PCH) is a clinically and genetically heterogeneous
group of autosomal recessively inherited neurodevelopmental disorders. Following
the rapidly increasing number of genes identified in different subtypes, the
clinical spectrum has been broadened to completely different neurological
phenotypes. In this review we will address the clinical picture,
neuroradiological, pathoanatomic, and genetic findings in the currently known PCH
subtypes.
PMID- 24924739
TI - The response of the neuronal adaptive system to background illumination and
readaptation to dark in the immature retina.
AB - PURPOSE: Developmental characteristics of the neuronal adaptive system of the
retina, focusing on background light (BGL) adaptation and readaptation functions,
were studied by measuring the oscillatory response (SOP) of the electroretinogram
(ERG). METHODS: Digitally filtered and conventional ERGs were simultaneously
recorded. Rats aged 15 and 17 days were studied during exposure to BGLs of two
mesopic intensities and during readaptation to dark. RESULTS: Results were
compared to adult rats. In 'low mesopic' BGL SOP instantly dropped significantly
to about half of its dark-adapted (DA) value contrary to mature rats, in which
the SOP significantly increased. In 'high mesopic' BGL SOP decreased to about 20%
and 30% of DA values in immature and adult rats, respectively. The process of
recovery of SOP in darkness lacked the transient enhancement immediately as BGL
was turned off, characteristic of adult rats. There were no major age differences
in adaptive behaviour of a-wave. In young rats, recovery of b-wave was relatively
slower. CONCLUSIONS: Properties of BGL adaptation and readaptation functions of
the neuronal adaptive system in baby retina differed compared to the adult one by
being less forceful and more restrained. Handling of mesopic illumination and
recovery in the dark was immature. Development of these functions of the neuronal
adaptive system progresses postnatally and lags behind that of the photoreceptor
response and seems to be delayed also compared to that of the bipolar response.
PMID- 24924740
TI - Kjellin syndrome: hereditary spastic paraplegia with pathognomonic macular
appearance.
PMID- 24924741
TI - Assessing the relative importance of local and regional processes on the survival
of a threatened salmon population.
AB - Research on regulatory mechanisms in biological populations often focuses on
environmental covariates. An integrated approach that combines environmental
indices with organismal-level information can provide additional insight on
regulatory mechanisms. Survival of spring/summer Snake River Chinook salmon
(Oncorhynchus tshawytscha) is consistently low whereas some adjacent populations
with similar life histories experience greater survival. It is not known if
populations with differential survival respond similarly during early marine
residence, a critical period in the life history. Ocean collections, genetic
stock identification, and otolith analyses were combined to evaluate the growth
mortality and match-mismatch hypotheses during early marine residence of
spring/summer Snake River Chinook salmon. Interannual variation in juvenile
attributes, including size at marine entry and marine growth rate, was compared
with estimates of survival and physical and biological metrics. Multiple linear
regression and multi-model inference were used to evaluate the relative
importance of biological and physical metrics in explaining interannual variation
in survival. There was relatively weak support for the match-mismatch hypothesis
and stronger evidence for the growth-mortality hypothesis. Marine growth and size
at capture were strongly, positively related to survival, a finding similar to
spring Chinook salmon from the Mid-Upper Columbia River. In hindcast models,
basin-scale indices (Pacific Decadal Oscillation (PDO) and the North Pacific Gyre
Oscillation (NPGO)) and biological indices (juvenile salmon catch-per-unit-effort
(CPUE) and a copepod community index (CCI)) accounted for substantial and similar
portions of variation in survival for juvenile emigration years 1998-2008
(R2>0.70). However, in forecast models for emigration years 2009-2011, there was
an increasing discrepancy between predictions based on the PDO (50-448% of
observed value) compared with those based on the NPGO (68-212%) or biological
indices (CPUE and CCI: 83-172%). Overall, the PDO index was remarkably
informative in earlier years but other basin-scale and biological indices
provided more accurate indications of survival in recent years.
PMID- 24924742
TI - Health-related quality of life in atrial fibrillation patients over 65 years: A
review.
AB - Atrial fibrillation is the most common sustained cardiac arrhythmia affecting 1
2% of the population; the prevalence of atrial fibrillation increases with
ageing. The condition is associated with high morbidity and mortality, as well as
reduced health-related quality of life, particularly in older people. A PubMed,
CINAHL, EMBASE and CENTRAL search (January 2003 to April 2013) was conducted
using the search terms atrial fibrillation, quality of life, health-related
quality of life, older, aged, and over 65 years. In total, 572 papers were
identified of which 15 were eligible, including three observational studies, five
descriptive comparative studies and seven randomized control trials. Older atrial
fibrillation patients (>=65 years) were significantly impaired in their health
related quality of life in both physical and mental domains compared to the
general population or patients with sinus rhythm. Increasing age, being female or
having severe symptoms resulted in poorer health-related quality of life
particularly in the physical domain. The review also found that the current
treatment of AF including rate and rhythm control strategies improved some
aspects of health-related quality of life in atrial fibrillation patients but no
specific strategy had a superior effect.
PMID- 24924743
TI - Winning or losing does matter: Acute cardiac admissions in New Zealand during
Rugby World Cup tournaments.
AB - AIM: The relationship between watching major sporting events and cardiac hospital
admissions is contentious. This study is the first to investigate cardiovascular
admissions during Rugby World Cup (RWC) tournaments. METHODS AND RESULTS: New
Zealand (NZ) public hospital admissions data were analysed for cardiovascular
events during the 1999, 2003, 2007 and 2011 RWC tournaments. The exposure period
was the day of the NZ All Blacks last match and 2 days post-match. The control
period was equivalent days in October or November for 3 years prior to or after
each RWC. The NZ 2011 semi-final win and 2000 Olympics opening ceremony were also
analysed. There were 281 +/- 14 and 3313 +/- 379 cardiac admissions in the
exposure and control periods. The semi-final loss in 2003 was associated with a
50% (p < 0.01) increase in pooled heart failure admissions and a 20% (p < 0.05)
increase in pooled acute coronary syndromes admissions. Increases in heart
failure were specific to women with a two-fold increase on match day and 2-days
post (p < 0.01). There was no increase in male heart failure admissions but
arrhythmias increased 2.6 times (p < 0.01) 1-day after losing the 2003 semi
final. In contrast, admissions were typically lower after the 2011 semi-final win
and Olympics opening ceremony. CONCLUSION: This is the first study to find a
relationship between hospital admissions for heart failure in women and a major
sporting event. Preventive health measures should be considered in fans with
cardiovascular disease or at high risk of cardiovascular events during sporting
events. Winning or losing does matter.
PMID- 24924744
TI - Carbamoyl phosphate synthetase-1 is a rapid turnover biomarker in mouse and human
acute liver injury.
AB - Several serum markers are used to assess hepatocyte damage, but they have
limitations related to etiology specificity and prognostication. Identification
of novel hepatocyte-specific biomarkers could provide important prognostic
information and better pathogenesis classification. We tested the hypothesis that
hepatocyte-selective biomarkers are released after subjecting isolated mouse
hepatocytes to Fas-ligand-mediated apoptosis. Proteomic analysis of hepatocyte
culture medium identified the mitochondrial matrix protein carbamoyl phosphate
synthetase-1 (CPS1) among the most readily detected proteins that are released by
apoptotic hepatocytes. CPS1 was also detected in mouse serum upon acute challenge
with Fas-ligand or acetaminophen and in hepatocytes upon hypoosmotic stress,
independent of hepatocyte caspase activation. Furthermore, CPS1 was observed in
sera of mice chronically fed the hepatotoxin 3,5-diethoxycarbonyl-1,4
dihydrocollidine. Mouse CPS1 detectability was similar in serum and plasma, and
its half-life was 126 +/- 9 min. Immune staining showed that CPS1 localized to
mouse hepatocytes but not ductal cells. Analysis of a few serum samples from
patients with acute liver failure (ALF) due to acetaminophen, Wilson disease, or
ischemia showed readily detectable CPS1 that was not observed in several patients
with chronic viral hepatitis or in control donors. Notably, CPS1 rapidly
decreased to undetectable levels in sera of patients with acetaminophen-related
ALF who ultimately recovered, while alanine aminotransferase levels remained
elevated. Therefore, CPS1 becomes readily detectable upon hepatocyte apoptotic
and necrotic death in culture or in vivo. Its abundance and short serum half
life, compared with alanine aminotransferase, suggest that it may be a useful
prognostic biomarker in human and mouse liver injury.
PMID- 24924745
TI - Experimental nonalcoholic steatohepatitis compromises ureagenesis, an essential
hepatic metabolic function.
AB - Nonalcoholic steatohepatitis (NASH) is increasing in prevalence, yet its
consequences for liver function are unknown. We studied ureagenesis, an essential
metabolic liver function of importance for whole body nitrogen homeostasis, in a
rodent model of diet-induced NASH. Rats were fed a high-fat, high-cholesterol
diet for 4 and 16 wk, resulting in early and advanced experimental NASH,
respectively. We examined the urea cycle enzyme mRNAs in liver tissue, the
hepatocyte urea cycle enzyme proteins, and the in vivo capacity of urea-nitrogen
synthesis (CUNS). Early NASH decreased all of the urea cycle mRNAs to an average
of 60% and the ornithine transcarbamylase protein to 10%, whereas the CUNS
remained unchanged. Advanced NASH further decreased the carbamoyl phosphate
synthetase protein to 63% and, in addition, decreased the CUNS by 20% [from 5.65
+/- 0.23 to 4.58 +/- 0.30 MUmol * (min * 100 g)(-1); P = 0.01]. Early NASH
compromised the genes and enzyme proteins involved in ureagenesis, whereas
advanced NASH resulted in a functional reduction in the capacity for ureagenesis.
The pattern of urea cycle perturbations suggests a prevailing mitochondrial
impairment by NASH. The decrease in CUNS has consequences for the ability of the
body to adjust to changes in the requirements for nitrogen homeostasis e.g., at
stressful events. NASH, thus, in terms of metabolic consequences, is not an
innocuous lesion, and the manifestations of the damage seem to be a continuum
with increasing disease severity.
PMID- 24924746
TI - Defining hierarchies of stemness in the intestine: evidence from biomarkers and
regulatory pathways.
AB - For decades, the rapid proliferation and well-defined cellular lineages of the
small intestinal epithelium have driven an interest in the biology of the
intestinal stem cells (ISCs) and progenitors that produce the functional cells of
the epithelium. Recent and significant advances in ISC biomarker discovery have
established the small intestinal epithelium as a powerful model system for
studying general paradigms in somatic stem cell biology and facilitated elegant
genetic and functional studies of stemness in the intestine. However, this
newfound wealth of ISC biomarkers raises important questions of marker
specificity. Furthermore, the ISC field must now begin to reconcile biomarker
status with functional stemness, a challenge that is made more complex by
emerging evidence that cellular hierarchies in the intestinal epithelium are more
plastic than previously imagined, with some progenitor populations capable of
dedifferentiating and functioning as ISCs following damage. In this review, we
discuss the state of the ISC field in terms of biomarkers, tissue dynamics, and
cellular hierarchies, and how these processes might be informed by earlier
studies into signaling networks in the small intestine.
PMID- 24924747
TI - Gliadin intake alters the small intestinal mucosa in indomethacin-treated HLA-DQ8
transgenic mice.
AB - Celiac disease (CD) is an enteropathy caused by the ingestion of wheat gluten in
genetically susceptible individuals. A complete understanding of the pathogenic
mechanisms in CD has been hindered because of the lack of adequate in vivo
models. In the present study, we explored the events after the intragastric
administration of gliadin and of the albumin/globulin fraction from wheat in
human leukocyte antigen-DQ8 transgenic mice (DQ8 mice) treated with indomethacin,
an inhibitor of cyclooxygenases (COXs). After 10 days of treatment, mice showed a
significant reduction of villus height, increased crypt depth, increased number
of lamina propria-activated macrophages, and high basal interferon-gamma
secretion in mesenteric lymph nodes, all of which were specifically related to
gliadin intake, whereas the albumin/globulin fraction of wheat was unable to
induce similar changes. Cotreatment with NS-398, a specific inhibitor of COX-2,
also induced the intestinal lesion. Enteropathy onset was further characterized
by high levels of oxidative stress markers, similar to CD. Biochemical assessment
of the small intestine revealed the specific activation of matrix
metalloproteinases 2 and 9, high caspase-3 activity, and a significant increase
of tissue transglutaminase protein levels associated with the intestinal lesion.
Notably, after 30 days of treatment, enteropathic mice developed serum antibodies
toward gliadin (IgA) and tissue transglutaminase (IgG). We concluded that gliadin
intake in combination with COX inhibition caused a basal inflammatory status and
an oxidative stress condition in the small intestine of DQ8 mice, thus triggering
the mucosal lesion and, subsequently, an antigen-specific immunity.
PMID- 24924748
TI - Hypersensitivity to acid is associated with impaired esophageal mucosal integrity
in patients with gastroesophageal reflux disease with and without esophagitis.
AB - Increased esophageal sensitivity and impaired mucosal integrity have both been
described in patients with gastroesophageal reflux disease, but the relationship
between hypersensitivity and mucosal integrity is unclear. The aim of the present
study was to investigate acid sensitivity in patients with erosive and nonerosive
reflux disease and control subjects to determine the relation with functional
esophageal mucosal integrity changes as well as to investigate cellular
mechanisms of impaired mucosal integrity in these patients. In this prospective
experimental study, 12 patients with nonerosive reflux disease, 12 patients with
esophagitis grade A or B, and 11 healthy control subjects underwent an acid
perfusion test and upper endoscopy. Mucosal integrity was measured during
endoscopy by electrical tissue impedance spectroscopy and biopsy specimens were
analyzed in Ussing chambers for transepithelial electrical resistance,
transepithelial permeability and gene expression of tight junction proteins and
filaggrin. Patients with nonerosive reflux disease and esophagitis were more
sensitive to acid perfusion compared with control subjects, having a shorter time
to perception of heartburn and higher perceived intensity of heartburn. In reflux
patients, enhanced acid sensitivity was associated with impairment of in vivo and
vitro esophageal mucosal integrity. Mucosal integrity was significantly impaired
in patients with esophagitis, displaying higher transepithelial permeability and
lower extracellular impedance. Although no significant differences in the
expression of tight junction proteins were found in biopsies among patient
groups, mucosal integrity parameters in reflux patients correlated negatively
with the expression of filaggrin. In conclusion, sensitivity to acid is enhanced
in patients with gastroesophageal reflux disease, irrespective of the presence of
erosions, and is associated with impaired esophageal mucosal integrity. Mucosal
integrity of the esophagus is associated with the expression of filaggrin.
PMID- 24924749
TI - Dysregulation of hepatic zinc transporters in a mouse model of alcoholic liver
disease.
AB - Zinc deficiency is a consistent phenomenon observed in patients with alcoholic
liver disease, but the mechanisms have not been well defined. The objective of
this study was to determine if alcohol alters hepatic zinc transporters in
association with reduction of hepatic zinc levels and if oxidative stress
mediates the alterations of zinc transporters. C57BL/6 mice were pair-fed with
the Lieber-DeCarli control or ethanol diets for 2, 4, or 8 wk. Chronic alcohol
exposure reduced hepatic zinc levels, but increased plasma and urine zinc levels,
at all time points. Hepatic zinc finger proteins, peroxisome proliferator
activated receptor-alpha (PPAR-alpha) and hepatocyte nuclear factor 4alpha (HNF
4alpha), were downregulated in ethanol-fed mice. Four hepatic zinc transporter
proteins showed significant alterations in ethanol-fed mice compared with the
controls. ZIP5 and ZIP14 proteins were downregulated, while ZIP7 and ZnT7
proteins were upregulated, by ethanol exposure at all time points.
Immunohistochemical staining demonstrated that chronic ethanol exposure
upregulated cytochrome P-450 2E1 and caused 4-hydroxynonenal accumulation in the
liver. For the in vitro study, murine FL-83B hepatocytes were treated with 5 MUM
4-hydroxynonenal or 100 MUM hydrogen peroxide for 72 h. The results from in vitro
studies demonstrated that 4-hydroxynonenal treatment altered ZIP5 and ZIP7
protein abundance, and hydrogen peroxide treatment changed ZIP7, ZIP14, and ZnT7
protein abundance. These results suggest that chronic ethanol exposure alters
hepatic zinc transporters via oxidative stress, which might account for ethanol
induced hepatic zinc deficiency.
PMID- 24924750
TI - Different oral sensitivities to and sensations of short-, medium-, and long-chain
fatty acids in humans.
AB - Fatty acids that vary in chain length and degree of unsaturation have different
effects on metabolism and human health. As evidence for a "taste" of
nonesterified fatty acids (NEFA) accumulates, it may be hypothesized that fatty
acid structures will also influence oral sensations. The present study examined
oral sensitivity to caproic (C6), lauric (C12), and oleic (C18:1) acids over
repeated visits. Analyses were also conducted on textural properties of NEFA
emulsions and blank solutions. Oral thresholds for caproic acid were lower
compared with oleic acid. Lauric acid thresholds were intermediate but not
significantly different from either, likely due to lingering irritating
sensations that prevented accurate discrimination. From particle size analysis,
larger droplets were observed in blank solutions when mineral oil was used,
leading to instability of the emulsion, which was not observed when emulsions
contained NEFA or when mineral oil was removed from the blank. Rheological data
showed no differences in viscosity among samples except for a slightly higher
viscosity with oleic acid concentrations above 58 mM. Thus, texture was unlikely
to be the property used to distinguish between the samples. Differences in oral
detection and sensation of caproic, lauric, and oleic acids may be due to
different properties of the fatty acid alkyl chains.
PMID- 24924751
TI - Predictive value of urinary and serum biomarkers in young children with febrile
urinary tract infections.
AB - BACKGROUND: Early predictive biomarkers for the diagnosis and management of
febrile urinary tract infections (UTIs) can be valuable diagnostic tools in
children. METHODS: The study cohort comprised 73 pediatric patients with febrile
UTIs [46 with acute pyelonephritis (APN) and 27 with lower UTIs] and 56 healthy
children. Urine neutrophil gelatinase-associated lipocalin (uNGAL) and kidney
injury molecule-1 (uKIM-1) levels and serum cystatin C (sCysC) levels were
measured. RESULTS: The uNGAL/creatinine (Cr) and uKIM-1/Cr levels were higher in
the UTI group than in the controls (P < 0.05). uNGAL/Cr and sCysC levels were
higher in patients with APN than in those with lower UTIs (P < 0.05). uNGAL/Cr
levels in both the APN and UTI groups decreased following the administration of
antibiotics compared to those before treatment (P < 0.05). The uNGAL/Cr level was
correlated with serum levels of white blood cells, C-reactive protein, CysC and
with uKIM-1/Cr (P < 0.05). uKIM-1/Cr was also correlated with sCysC (P < 0.05).
Receiver operating curve analyses showed good diagnostic profiles of uNGAL/Cr and
uKIM-1/Cr for identifying UTIs [area under the curve (AUC) 0.9 and 0.66,
respectively) and of uNGAL/Cr and sCysC for predicting APN (AUC 0.78 and 0.72,
respectively). CONCLUSIONS: Our results suggest that uNGAL, uKIM-1 and sCysC
levels may be useful for predicting and managing febrile UTIs in children.
PMID- 24924756
TI - The 7th World Congress on Itch.
AB - All aspects of the field of itch, from basic science to quality of life to
therapeutics, are moving rapidly. Attendees are already looking forward to the
next congress in Nara, Japan, in the fall of 2015.
PMID- 24924752
TI - Eculizumab treatment for rescue of renal function in IgA nephropathy.
AB - BACKGROUND: Immunoglobulin A (IgA) nephropathy is a chronic glomerulonephritis
with excessive glomerular deposition of IgA1, C3 and C5b-9, which may lead to
renal failure. CASE DIAGNOSIS/TREATMENT: We describe the clinical course of an
adolescent with rapidly progressive disease leading to renal failure in spite of
immunosuppressive treatment. Due to refractory disease the patient was treated
with eculizumab (anti-C5) for 3 months in an attempt to rescue renal function.
Treatment led to clinical improvement with stabilization of the glomerular
filtration rate and reduced proteinuria. Discontinuation of treatment led to a
rapid deterioration of renal function. This was followed by a single dose of
eculizumab, which again reduced creatinine levels temporarily. CONCLUSIONS: Early
initiation of eculizumab therapy in patients with progressive IgA nephropathy may
have a beneficial effect by blocking complement-mediated renal inflammation.
PMID- 24924757
TI - RNA-seq permits a closer look at normal skin and psoriasis gene networks.
AB - Psoriasis is a chronic inflammatory skin disease driven by aberrant signals from
the immune system. In this issue, Li et al. present the first large RNA-seq
analysis of gene expression in normal skin and psoriasis lesions, providing a
more comprehensive view of mRNA expression than earlier microarray studies. This
study's size enables gene co-expression analysis, a method illustrating which
pathways are altered by the presence of disease.
PMID- 24924758
TI - An unexpected role: UVA-induced release of nitric oxide from skin may have
unexpected health benefits.
AB - UVR has deleterious and beneficial effects on human health. In this issue, Liu et
al. (2014) show that UVA decreases blood pressure and increases blood flow and
heart rate in humans, which is beneficial to the cardiovascular system. This is
likely mediated by UVA causing release of nitric oxide (NO) from skin stores.
This mediator may have additional effects on human health.
PMID- 24924759
TI - Opening a niche for therapy: local lymphodepletion helps the immune system to
fight melanoma.
AB - In this issue, Fujiwara et al. report that local ablation of CD4+ T cells in a
murine B16 melanoma model, together with concomitant activation of the immune
system by OX40L, leads to complete rejection of the melanomas. Rejection was
driven mainly by CD8+ T cells, which infiltrated the melanomas and secreted
sizeable amounts of IFN-gamma. However, CD8+ T-cell infiltration also caused the
recruitment of immunosuppressive myeloid-derived suppressor cells (MDSCs).
Although these cells did not prevent the rejection of the melanomas, in clinical
settings the long-term repopulation of tumors by MDSCs may counteract successful
treatment. Thus, local ablation of CD4+ leukocytes may improve anti-melanoma
therapies in humans, but at the same time MDSC levels in the tumor cells have to
be kept in check to ensure treatment success.
PMID- 24924760
TI - Plasmacytoid dendritic cells in melanoma: can we revert bad into good?
AB - Tumor-infiltrating plasmacytoid dendritic cells (pDCs) promote an
immunosuppressive milieu that drives tumor growth in melanoma. This phenomenon
typically results from the lack of appropriate pDC activation signals in the
tumor microenvironment, but it is also actively controlled by tumor cells, which
have evolved strategies to inhibit type I IFN production by pDCs. In this issue,
Camisaschi et al. identify a new mechanism in which tumors avoid type I IFN
production by triggering LAG-3-dependent activation of pDCs. Combination
therapies that restore pDC functionality and trigger innate activation to produce
type I IFN should be envisaged to induce effective antitumor immunity.
PMID- 24924761
TI - Endoplasmic reticulum calcium, stress, and cell-to-cell adhesion.
AB - Darier's disease (DD) is caused by mutations in the endoplasmic reticulum (ER)
Ca2+ ATPase ATP2A2 (protein SERCA2). Current treatment modalities are ineffective
for many patients. This report shows that impaired SERCA2 function, both in DD
keratinocytes and in normal keratinocytes treated with the SERCA2-inhibitor
thapsigargin, depletes ER Ca2+ stores, leading to constitutive ER stress and
increased sensitivity to ER stressors. ER stress, in turn, leads to abnormal cell
to-cell adhesion via impaired redistribution of desmoplakin, desmoglein 3,
desmocollin 3, and E-cadherin to the plasma membrane. This report illustrates how
ER Ca2+ depletion and the resulting ER stress are central to the pathogenesis of
the disease. Additionally, the authors introduce a possible new therapeutic
agent, miglustat.
PMID- 24924762
TI - Rethinking the potential roles of mast cells in skin wound healing and bleomycin
induced skin fibrosis.
AB - Skin wound healing and bleomycin-induced skin fibrosis are thought to reflect
complex interactions among diverse cell types. Several lines of evidence have
implicated mast cells in these tissue responses. However, data from Willenborg et
al. (this issue) and from three other groups suggest that, in at least these
examples of cutaneous tissue remodeling, mast cells may not have nonredundant
roles.
PMID- 24924764
TI - JID VisualDx quiz: July 2014. Graft-versus-host disease.
PMID- 24924765
TI - Transgenic mouse technology in skin biology: inducible gene knockout in mice.
PMID- 24924766
TI - Cells to surgery quiz: July 2014. Hypertrophic scar.
PMID- 24924767
TI - Maternal Eomesodermin regulates zygotic nodal gene expression for mesendoderm
induction in zebrafish embryos.
AB - Development of animal embryos before zygotic genome activation at the midblastula
transition (MBT) is essentially supported by egg-derived maternal products. Nodal
proteins are crucial signals for mesoderm and endoderm induction after the MBT.
It remains unclear which maternal factors activate zygotic expression of nodal
genes in the ventrolateral blastodermal margin of the zebrafish blastulas. In
this study, we show that loss of maternal Eomesodermin a (Eomesa), a T-box
transcription factor, impairs zygotic expression of the nodal genes ndr1 and ndr2
as well as mesodermal and endodermal markers, indicating an involvement in
mesendoderm induction. Maternal Eomesa is also required for timely zygotic
expression of the transcription factor gene mxtx2, a regulator of nodal gene
expression. Eomesa directly binds to the Eomes-binding sites in the promoter or
enhancer of ndr1, ndr2, and mxtx2 to activate their transcription. Furthermore,
human and mouse Nodal genes are also regulated by Eomes. Transfection of
zebrafish eomesa into murine embryonic stem cells promotes mesendodermal
differentiation with constant higher levels of endogenous Nodal expression,
suggesting a conserved function of Eomes. Taken together, our findings reveal a
conserved role of maternal T-box transcription factors in regulating nodal gene
expression and mesendoderm induction in vertebrate embryos.
PMID- 24924768
TI - Group II metal complexes of the germylidendiide dianion radical and germylidenide
anion.
AB - The two-electron reduction of a Group 14-element(I) complex [RE?] (E=Ge,
R=supporting ligand) to form a novel low-valent dianion radical with the
composition [RE:](2-) is reported. The reaction of [LGeCl] (1, L=2,6
(CH=NAr)2C6H3, Ar=2,6-iPr2C6H3) with excess calcium in THF at room temperature
afforded the germylidenediide dianion radical complex [LGe](2-)?Ca(THF)3(2+) (2).
The reaction proceeds through the formation of the germanium(I) radical [LGe?],
which then undergoes a two-electron reduction with calcium to form 2. EPR
spectroscopy, X-ray crystallography, and theoretical studies show that the
germanium center in 2 has two lone pairs of electrons and the radical is
delocalized over the germanium-containing heterocycle. In contrast, the magnesium
derivative of the germylidendiide dianion radical is unstable and undergoes
dimerization with concurrent dearomatization to form the germylidenide anion
complex [C6H3-2-{C(H)=NAr}Ge-Mg-6-{C(H)-NAr}]2 (3).
PMID- 24924769
TI - Osmium density challenge.
PMID- 24924770
TI - Solution to the absinthe challenge.
PMID- 24924771
TI - Conventional hypoglycaemic agents and the risk of lung cancer in patients with
diabetes: a meta-analysis.
AB - BACKGROUND: Accumulating evidence suggests that hypoglycaemic agents influence
lung cancer risk in patients with diabetes. It remains to be fully elucidated
whether conventional hypoglycaemic agents (metformin, sulfonylureas,
thiazolidinediones [TZDs] or insulin) affect lung cancer incidence in patients
with diabetes. METHODS: We performed a meta-analysis using EMBASE, MEDLINE and
Web of Science to search randomised controlled trials (RCTs), cohort studies, and
case-control studies published up to October 2013 that assessed the effects of
metformin, sulfonylurea, TZDs or insulin on lung cancer risk in subjects with
diabetes. Fixed and random effects meta-analysis models were used, and the effect
size was expressed as a summary odds ratio (OR) with 95% confidence intervals
(CI). The Grades of Research, Assessment, Development and Evaluation (GRADE)
approach was applied to define the quality of the evidence. RESULTS: Analysis of
15 studies (11 cohort studies, 2 case-control studies, and 2 RCTs) showed that
metformin use was associated with a 15% reduction in risk of lung cancer (OR
0.85, 95% CI 0.77 to 0.92), but this finding was not supported by sub-analysis of
smoking-adjusted studies (OR 0.84, 95% CI 0.61 to 1.06). Moreover, sulfonylurea
or TZDs use was not associated with increased or decreased lung cancer risk,
respectively (OR 1.10, 95% CI 0.93 to 1.26), (OR 0.86, 95% CI 0.70 to 1.02).
Higher lung cancer risk was related to insulin (OR 1.23, 95% CI 1.10 to 1.35).
However, all data from RCTs failed to demonstrate a statistically significant
effect. CONCLUSIONS: This analysis demonstrated that metformin use may reduce
lung cancer risk in patients with diabetes but not in a smoking-adjusted subgroup
and that insulin use may be associated with an increased lung cancer risk in
subjects with diabetes.
PMID- 24924772
TI - Quality of 186 child brain spectra using motion and B0 shim navigated single
voxel spectroscopy.
AB - PURPOSE: To evaluate B0 shim and motion navigated single voxel spectroscopy in
children. Assess the repeatability of metabolite concentrations in three regions:
medial frontal grey matter, peritrigonal white matter, and basal ganglia.
Determine the extent of intra- and interacquisition movement in this population.
METHODS: Linewidth and signal to noise ratio were calculated to assess spectral
quality of 186 spectra at 3 Tesla. Repeatability was assessed on 31 repeat scans.
Navigator images were used to assess localization errors, while navigator motion
and shim logs were used to demonstrate the efficacy of correction needed during
the scans. RESULTS: Average linewidths +/- standard deviations of N-acetyl
aspartate are 3.8 +/- 0.6 Hz, 4.4 +/- 0.5 Hz, and 4.7 +/- 0.8 Hz in each region,
respectively. Scan-to-scan measurement variance in metabolite concentrations
closely resembled the expected variance. A total of 73% and 32% of children moved
before and during the acquisition, causing a voxel shift of more than 10% of the
voxel volume, 1.5 mm. The predominant movement directions were sliding out of the
coil and nodding (up-down rotation). First-order B0 corrections were significant
(>10 MUT/m) in 18 % of acquisitions. CONCLUSION: Prospective motion and B0
correction provides high quality repeatable spectra. The study found that most
children moved between acquisitions and a substantial number moved during
acquisitions.
PMID- 24924773
TI - Association of traffic-related hazardous air pollutants and cervical dysplasia in
an urban multiethnic population: a cross-sectional study.
AB - BACKGROUND: Human papillomavirus (HPV) infection is a necessary cause in the
development of cervical cancer; however, not all women infected with HPV develop
cervical cancer indicating that other risk factors are involved. Our objective
was to determine the association between exposure to ambient levels of common
traffic-related air toxics and cervical dysplasia, a precursor lesion for
cervical cancer. METHODS: The study sample consisted of women enrolled in a Phase
II clinical trial to evaluate diagnostic techniques for cervical disease in
Houston, Texas. The current assessment is a secondary data analysis in which
cases were defined as women diagnosed with cervical dysplasia, while those
without cervical dysplasia served as controls. Residential census tract-level
estimates of ambient benzene, diesel particulate matter (DPM), and polycyclic
aromatic hydrocarbons (PAHs) were used to assess exposure. Census tract-level
pollutant estimates were obtained from the United States Environmental Protection
Agency. Multivariable logistic regression was used to estimate prevalence odds
ratios (aOR) and 95% confidence intervals (CI) adjusted for age, race/ethnicity,
education, smoking status, and HPV status. RESULTS: Women in the highest
residential exposure categories for benzene and DPM had an increased prevalence
of cervical dysplasia compared to the lowest exposure category (Benzene: aOR [95%
CI] for high exposure = 1.97[1.07-3.62], very high exposure = 2.30[1.19-4.46].
DPM: aOR [95% CI] for high exposure = 2.83[1.55-5.16], very high exposure =
2.10[1.07-4.11]). Similarly, women with high residential exposure to PAHs had an
increased prevalence of cervical dysplasia (aOR [95% CI] = 2.46[1.35-4.48]). The
highest PAH exposure category was also positively associated with cervical
dysplasia prevalence but was not statistically significant. Assessment of the
combined effect of HAP exposure indicates that exposure to high levels of more
than one HAP is positively associated with cervical dysplasia prevalence (p for
trend = 0.004). CONCLUSIONS: Traffic-related HAPs, such as benzene, DPM, and
PAHs, are not as well-regulated and monitored as criteria air pollutants (e.g.,
ozone), underscoring the need for studies evaluating the role of these toxicants
on disease risk. Our results suggest that exposure to traffic-related air toxics
may increase cervical dysplasia prevalence.
PMID- 24924774
TI - Favorable prognostic impact in loss of TP53 and PIK3CA mutations after
neoadjuvant chemotherapy in breast cancer.
AB - We investigated the loss of somatic mutations in TP53 and PIK3CA in breast cancer
tissue after neoadjuvant chemotherapy (NCT) and the clinical relevance of the
observed mutation profiles. Samples were derived from three cohorts: Cohort 1
consisting of 206 patients undergoing NCT with matched pre- and postchemotherapy
tumor tissues; Cohort 2 consisting of 158 additional patients undergoing NCT; and
Cohort 3, consisting of 81 patients undergoing chemotherapy with prechemotherapy
tumor tissues. In the first cohort, somatic mutations in TP53 or PIK3CA were
identified in 24.8% of the pre-NCT tumor samples but in only 12.1% of the post
NCT tumor samples (P < 0.001). Patients with initial TP53 and PIK3CA mutations
who became negative for the mutations after NCT had a higher Miller-Payne score
(P = 0.008), improved disease-free survival, and improved overall survival than
those with no change or the opposite change. The association of loss of mutations
in TP53 and PIK3CA and improved survival was successfully validated in the second
cohort. In addition, 28.4% of the tumors showed intratumoral heterogeneity of
somatic mutations in TP53 or PIK3CA, whereas 71.6% were homogeneous, either with
or without the mutations. Our data reveal the novel concept that chemotherapy may
reduce mutation frequency in patients with breast cancer. Furthermore, the loss
of somatic mutations in TP53 and PIK3CA may be translated to biomarkers for
prognosis via further verification, which may optimize the choice of sequential
therapy and improve patient survival.
PMID- 24924778
TI - ASC-J9 suppresses renal cell carcinoma progression by targeting an androgen
receptor-dependent HIF2alpha/VEGF signaling pathway.
AB - Males have a higher incidence of renal cell carcinoma (RCC) than females, but the
reason for this gender difference is unknown. Addressing this question, we report
the discovery of an androgen receptor (AR)-induced HIF2alpha/VEGF signal that
drives RCC progression. AR attenuation or augmentation in RCC cells altered their
proliferation, migration, and invasion in multiple models in vitro and in vivo.
Mechanistic investigations revealed that AR targeting inhibited RCC cell
migration and invasion by modulating HIF2alpha/VEGF signals at the level of mRNA
and protein expression. Interrupting HIF2alpha/VEGF signals with inhibitors of
either HIF2alpha or VEGF was sufficient to suppress RCC progression. Similarly,
the specific AR degradation enhancer ASC-J9 was sufficient to suppress AR-induced
HIF2alpha/VEGF signaling and RCC progression in multiple models in vitro and in
vivo. Taken together, our results revealed a novel role for AR in RCC initiation
and progression with implications for novel therapeutic strategies.
PMID- 24924775
TI - The early detection of pancreatic cancer: what will it take to diagnose and treat
curable pancreatic neoplasia?
AB - Pancreatic cancer is the deadliest of all solid malignancies. Early detection
offers the best hope for a cure, but characteristics of this disease, such as the
lack of early clinical symptoms, make the early detection difficult. Recent
genetic mapping of the molecular evolution of pancreatic cancer suggests that a
large window of opportunity exists for the early detection of pancreatic
neoplasia, and developments in cancer genetics offer new, potentially highly
specific approaches for screening of curable pancreatic neoplasia. We review the
challenges of screening for early pancreatic neoplasia, as well as opportunities
presented by incorporating molecular genetics into these efforts.
PMID- 24924779
TI - Eckols reduce dental pulp inflammation through the ERK1/2 pathway independent of
COX-2 inhibition.
AB - OBJECTIVES: The aim of this study was to elucidate the role of 6-6 bieckol (EB1)
and pholorofucofuroeckol-A (EB5) from brown seaweed marine algae (Eisenia
bicyclis) on lipopolysaccharide (LPS)-induced inflammation in human dental pulp
cells (HDPCs). METHODS: The cytotoxicity of EB1 and EB5 was examined by MTT assay
on LPS-induced human dental pulp cells. Their role on expression of inflammatory,
odontogenic, and osteogenic molecules was determined by Western blot analysis.
The dentin mineralization was checked by alkaline phosphatase activity. RESULTS:
The five compounds from E. bicyclis have different structure with non-cytotoxic
in HDPCs. EB1 and EB5 showed anti-inflammatory properties and inhibited
phosphorylated-extracellular signal-regulated kinase (p-ERK1/2) and
phosphorylated-c-jun N-terminal kinases (p-JNK) without any cytotoxicity. In
particular, EB1 inhibited cyclooxygenase-2 (COX-2) and p-ERK1/2 signaling, and
EB5 inhibited only p-ERK1/2 signaling but not COX-2. Both compounds inhibited
nuclear factor kappa-B (NF-kappaB) translocation. Furthermore, EB1 and EB5
increased dentinogenic and osteogenic molecules, and dentin mineralized via
alkaline phosphatase activity (ALP) in LPS-induced HDPCs. CONCLUSIONS: This study
elucidates that EB1 and EB5 have different types of anti-inflammatory property
and help in dentin formation. Therefore, these compounds derived from marine
algae of E. bicyclis may be used as selective therapeutic strategies for pulpitis
and oral diseases.
PMID- 24924780
TI - The WERO group stop smoking competition: main outcomes of a pre- and post- study.
AB - BACKGROUND: One potential promising strategy for increasing smoking cessation for
Maori (Indigenous New Zealanders) and New Zealand resident Pacific Island people
is Quit and Win competitions. The current uncontrolled pre and post study, WERO
(WERO in Maori language means challenge), differs from previous studies in that
it aims to investigate if a stop smoking contest, using both within team support,
external support from a team coach and cessation experts, and technology, would
be effective in prompting and sustaining quitting. METHOD: Fifteen teams,
recruited from urban Maori, rural Maori and urban Pacific communities, competed
to win a NZ$5000 (about ? 3,000, L 2600) prize for a charity or community group
of their choice. People were eligible if they were aged 18 years and over and
identified as smokers. Smoking status was biochemically validated at the start
and end of the 3 month competition. At 3-months post competition self-reported
smoking status was collected. RESULTS: Fourteen teams with 10 contestants and one
team with eight contestants were recruited. At the end of the competition the
biochemically verified quit rate was 36%. The 6 months self-reported quit rate
was 26%. The Pacific and rural Maori teams had high end of competition and 6
months follow-up quit rates (46% and 44%, and 36% and 29%). CONCLUSION: WERO
appeared to be successful in prompting quitting among high smoking prevalence
groups. WERO combined several promising strategies for supporting cessation: peer
support, cessation provider support, incentives, competition and interactive
internet and mobile tools. Though designed for Maori and Pacific people, WERO
could potentially be effective for other family- and community-centred cultures.
PMID- 24924781
TI - The yeast protein interaction network has a capacity for self-organization.
AB - The organization of the cellular interior gives rise to properties including
metabolic channeling and micro-compartmentalization of signaling. Here, we use a
lattice model of molecular crowding, together with literature-derived protein
interactions and abundances, to describe the molecular organization and
stoichiometry of local cellular regions, showing that physical protein-protein
interactions induce emergent structures not seen when random interaction networks
are modeled. Specifically, we find that the lattices give rise to micro-groups of
enzymes on the surfaces of protein clusters. These arrangements of proteins are
also robust to protein overexpression, while still showing evidence for
expression tuning. Our results indicate that some of the complex organization of
the cell may derive from simple rules of molecular aggregation and interaction.
PMID- 24924782
TI - High altitude cachexia: adaptation instead of deterioration? (Comment on DOI
10.1002/bies.201400042).
PMID- 24924783
TI - Temporal course of streptozotocin-induced diabetic polyneuropathy in rats.
AB - The temporal course of diabetic polyneuropathy in a rat model plays a critical
role in studies on diabetic polyneuropathy treatment. In this study, the temporal
course of neuropathic symptoms was investigated in diabetic rats induced by
streptozotocin and evaluated by nerve conduction velocity and behavioral assays,
including the von Frey test for mechanical allodynia and the hot plate test for
hyperalgesia. The results revealed that both mechanical allodynia and heat
hyperalgesia started on the 2nd week, while nerve conduction velocity
significantly decreased from the 1st week. In addition, the severity of allodynia
did not change after the 3rd week. Hyperalgesia and nerve conduction velocity
progressively aggravated even to the 8th week. Transmission electron microscopy
showed that loss of unmyelinated axons, loosening of the myelin structure, and
thickening of the perineurium layer were visible from the 4th week and worsened
on the 8th week. Differences in the temporal course of neuropathic symptoms are
discussed.
PMID- 24924784
TI - Volcanic conduit migration over a basement landslide at Mount Etna (Italy).
AB - The flanks of volcanoes may slide in response to the loading of the edifice on a
weak basement, magma push, and/or to tectonic stress. However, examples of
stratovolcanoes emplaced on active landslides are lacking and the possible
effects on the volcano dynamics unknown. Here, we use aeromagnetic data to
construct a three-dimensional model of the clay-rich basement of Etna volcano
(Italy). We provide evidence for a large stratovolcano growing on a pre-existing
basement landslide and show that the eastern Etna flank, which slides toward the
sea irrespective of volcanic activity, moves coherently with the underlying
landslide. The filling of the landslide depression by lava flows through time
allows the formation of a stiffness barrier, which is responsible for the long
term migration of the magma pathways from the coast to the present-day Etna
summit. These unexpected results provide a new interpretation clue on the causes
of the volcanic instability processes and of the mechanisms of deflection and
migration of volcanic conduits.
PMID- 24924785
TI - Propagation of thickness-twist waves in elastic plates with periodically varying
thickness and phononic crystals.
AB - We study the propagation of thickness-twist (TT) waves in a crystal plate of AT
cut quartz with periodically varying, piecewise constant thickness. The scalar
differential equation by Tiersten and Smythe is employed. The problem is found to
be mathematically equivalent to the motion of an electron in a periodic potential
field governed by Schrodinger's equation. An analytical solution is obtained.
Numerical results show that the eigenvalue (frequency) spectrum of the waves has
a band structure with allowed and forbidden bands. Therefore, for TT waves,
plates with periodically varying thickness can be considered as phononic
crystals. The effects of various parameters on the frequency spectrum are
examined.
PMID- 24924786
TI - Sputtered ZnO film on aluminium foils for flexible ultrasonic transducers.
AB - Nanocrystalline ZnO films with both C-axis vertical grown and inclined angled
grown were sputter-deposited onto aluminium foils (50 MUm thick) and
characterised for using as flexible ultrasonic transducers. As-deposited C-axis
grown ZnO films were annealed at different temperatures up to 600 degrees C to
enhance film crystallinity and reduce film stress. The C-axis grown ZnO film on
the Al foil were bonded onto steel plates, and the pulse-echo tests verified a
good performance (with dominant longitudinal waves) of the ultrasonic transducers
made from both as-deposited and post-annealed films. Inclined angled ZnO films on
the Al foil glued onto steel plates generated mixed shear and longitudinal waves
in the pulse-echo test.
PMID- 24924787
TI - Timeout induced by differential-reinforcement-of-low-rate schedules.
AB - Three rats pressed a lever for food on differential-reinforcement-of-low-rate
(DRL) schedules ranging from 16 s to 96 s. During DRL performance, a response to
a second lever turned off chamber illumination and produced a timeout period
during which food could not be obtained. During timeout periods, a response to
the second lever reinstated the DRL schedule and associated chamber illumination.
The percentage of session time spent in timeout periods increased as the DRL
schedule was lengthened and decreased when the schedule was shortened. Changes in
timeout percentage were primarily due to changes in the mean time per timeout
rather than to changes in the rate of initiation of timeout periods. Extinction
increased the timeout percentage. Elimination of the timeout contingency
decreased the response rate to the timeout lever, but did not systematically
affect DRL lever pressing. The rate of reinforcement appeared to be a primary
determinant of the percent of session time spent in timeout periods.
PMID- 24924788
TI - Social isolation increases social display after priming in Betta splendens but
decreases aggressive readiness.
AB - We socially isolated adult, male Siamese fighting fish for 0 to 7 weeks but
avoided sensory deprivation, and then measured aggressive display as the fish
responded to a series of novel models. Isolates displayed much more strongly than
non-isolates to the last model of the series, and display intensity became
monotonically stronger with longer social isolation. By contrast, display to the
first model seen after isolation was weaker the longer the social isolation. A
second experiment compared responses of fish after three weeks during which
controls displayed to a conspecific for two minutes every two days, while
isolates saw a complex visual stimulus which did not release display. Again,
isolates had depressed display readiness, but higher display rates once they were
primed. This result supports the hypothesis that social isolation has two effects
on aggressive display in Betta, causing decreasing readiness to display, but
leading to greater display rates once fish are 'primed'. We discuss two models
which predict these phenomena, and show that one of them suggests a new
understanding of the function of motivational increases when aggression is
'dammed up'. Methodological issues relevant for examining the rodent behavior
literature in the light of the new model are discussed.
PMID- 24924789
TI - Predation in caves: the effects of prey immobility and darkness on the foraging
behaviour of two salamanders, Euproctus asper and Proteus anguinus.
AB - The behavioural responses of the blind cave salamander Proteus anguinus and the
Pyrenean salamander Euproctus asper (a facultative cave dweller) to living and
dead chironomids offered in light or in darkness were studied experimentally.
Both species were able to detect and locate single prey items positioned at
distances of 30 cm. Proteus responded to dead prey in light faster and captured
live prey in darkness earlier than E. asper. E. asper captured live prey in light
earlier than in darkness. Proteus is well equipped to search for non-visual
information and used an active, mechanically and chemically guided approach in
all experiments. E. asper showed a more directed, visually dominated approach
behaviour with live chironomids in light, but used an active, widely foraging
mode with live prey in darkness and dead prey in light. E. asper may forage
successfully both in epigean and hypogean habitats.
PMID- 24924790
TI - Abdomen stroking behaviour and its possible functions in Polistes dominulus
(christ) (hymenoptera, vespidae).
AB - The behaviour of stroking the abdomen over the nest surface has previously been
described in females of only a few Polistes wasp species, and was considered to
be associated with pheromonal release. This study examines abdomen stroking in
solitary and multiple female laboratory colonies of Polistes dominulus during the
pre-emergence period. In multiple female colonies stroking behaviour is related
to hierarchy: alpha females stroking more than subordinates. However, after
removal of the dominant female the subordinate increases her stroking rate.
Solitary females also stroke but, unlike alpha females, they do so less during
the egg-stage than in subsequent stages of the colonial cycle. It is hypothesized
that abdomen stroking cues the immature brood as to which adult female is the
dominant individual; this could lead to competition between females on the same
nest. This function is also discussed in the context of intra- and inter-specific
Polistes parasites, where the behaviour is particularly evident.
PMID- 24924791
TI - Conspecific intruders influence pair formation in a monogamous fish.
AB - In aquaria, monogamous Texas cichlid fish (Cichlasoma cyanoguttatum) formed
reproductive pairs significantly more often when another conspecific male (termed
'intruder') was visible behind a plexiglass partition. Without an intruder
present, males often violently attacked and injured the female. Larger females,
although attacked more frequently, were less prone to severe injuries. Pairs did
not form when females were larger than males, irrespective of the presence of an
intruder.
PMID- 24924792
TI - Reorganization of cues and path organisation in dogs.
AB - Dogs were submitted to a free search for food which was distributed in six
feeding points situated on a circle. In the first part of the experiment they
were able to reorganize information collected on radial paths leading from the
center to the feeding points in order to invent new routes between these points.
There were considerable differences in the degree of stereotypy and variability
of visit sequences between the six dogs used in this experiment, with
overtraining tending to induce locomotory habits in dogs using varied sequences.
These habits were not however disconnected from the representational processes,
since dogs used a variety of sequences without making any error when the starting
conditions were made to vary. In the second part of the experiment, our aim was
to analyse whether, without taking environmental cues into account, the dogs were
able to learn that stable geometrical relationships existed among three food
points and between these points and the starting place. The dogs' behavior showed
that they were able to learn something about the experimental rule. However it is
not clear if they have detected the geometrical relationships among baited food
points, or the simultaneous presence of food at specific points, or both.
PMID- 24924793
TI - Stimuli inducing the regurgitation of the workers of Lasius flavus (formicidae)
upon the myrmecophilous beetle Claviger testaceus (pselaphidae).
AB - Experiments have shown that, contrary to what has been stated in the literature,
the limited antennal movements of the myrmecophilous beetle, Claviger testaceus,
are not required for inducing the workers of its host, Lasius flavus, to
regurgitate food. Experiments have also shown that the regurgitations are
released by the secretions of the Claviger's labral (cephalic) and Wasmann
(abdominal) glands. These secretions contain a regurgitation allomone and are
taken up by the ants when they lick the beetle's mouthparts or trichomes. The
allomone is not present in the myrmecophile's hemolymph.
PMID- 24924794
TI - Behavioural responses to single and repeated restraint in male and female rats.
AB - The effects of single and repeated restraint (RT) on non-social behaviour were
investigated in male and female rats. The animals underwent either 15-min or 30
min single RT (Experiment 1), or daily 30-min RT for 7 days (Experiment 2).
Behavioural parameters recorded included locomotion and exploration in the hole
board and anxiety in the elevated plus-maze. Effects of RT depended on sex,
parameter and schedule of RT administration. After 15-min single RT, anxiety
increased and exploration decreased in males; after 30-min single RT, motor
activity decreased in both sexes, and anxiety increased in females. After
repeated RT, exploration and anxiety were unmodified in males, indicating
habituation. Residual emotionality was suggested by increased time spent self
grooming and preferential locomotion in the peripheral squares of the open field.
In females, habituation was observed in locomotion and anxiety. Interestingly,
parameters which did not habituate suggested decreased emotionality: exploration
increased, and ambulation in central squares was preferred to peripheral
locomotion. Caution is needed in taking these marginal modifications of female
behaviour as facilitatory effects, possibly concurring to increase individual
fitness. In summary, both single and repeated RT caused less behavioural deficits
in females than in males. Effects on exploration were sex-dependent, and
different after single and repeated stress. Locomotion in peripheral vs. central
squares, and self-grooming, were also affected by RT sex-dependently, but with
similar effects after single and repeated stress. In contrast with the above
mentioned items, modifications of anxiety appeared uninfluenced by sex.
PMID- 24924795
TI - Social affinities as the basis of the social organization of a Pyrenean chamois
(Rupicapra pyrenaica) population in an open mountain range.
AB - The tendencies of different age and sex classes to flock with each other were
analysed in a Pyrenean chamois (Rupicapra pyrenaica) population of southern
France, on the basis of the distribution of groups into various types and sizes.
The strongest inter-attraction is undoubtedly that existing between adult females
and kids, even if each age and sex class also associates easily with itself.
Yearlings globally seem to have lower affinities with adults of both sexes than
with their own class, but, in any case, the lowest inter-attraction is between
adult females and males. These social affinities, varying in detail, actually
remain roughly the same through the seasons. However, the most important point is
that they seem sufficient to explain the main characteristics of the social
structure of the studied Pyrenean chamois population in open habitat; it does not
seem necessary to hypothesize complicated individual 'choices' or 'preferences'
to explain it. This allows for a systemic approach of such a population,
considering individuals as simple elements, which permits us to understand the
basis of sociality and to explain inter-populational differences.
PMID- 24924796
TI - Polydopamine--an organocatalyst rather than an innocent polymer.
AB - Polydopamine (PDA) is easily available by oxidation of dopamine and is widely
used for persistent coatings of various materials. It is hitherto considered to
be inert in many interesting biomedical and other applications. Results presented
here, reveal an unexpected behavior of polydopamine as an organocatalyst in
direct aldol reactions under mild conditions. Evidence was found for dual
catalysis making use of amino and phenolic hydroxy groups found in PDA. Thus
scientists must be aware that PDA is not an innocent polymer and can cause
unwanted side effects in important applications, such as in biomedicine or as
supports in catalysis.
PMID- 24924797
TI - Multiplex real-time PCR assay for detection of pathogenic Vibrio parahaemolyticus
strains.
AB - Foodborne disease caused by pathogenic Vibrio parahaemolyticus has become a
serious public health problem in many countries. Rapid diagnosis and the
identification of pathogenic V. parahaemolyticus are very important in the
context of public health. In this study, an EvaGreen-based multiplex real-time
PCR assay was established for the detection of pathogenic V. parahaemolyticus.
This assay targeted three genetic markers of V. parahaemolyticus (species
specific gene toxR and virulence genes tdh and trh). The assay could
unambiguously identify pathogenic V. parahaemolyticus with a minimum detection
limit of 1.4 pg genomic DNA per reaction (concentration giving a positive
multiplex real-time PCR result in 95% of samples). The specificity of the assay
was evaluated using 72 strains of V. parahaemolyticus and other bacteria. A
validation of the assay with clinical samples confirmed its sensitivity and
specificity. Our data suggest the newly established multiplex real-time PCR assay
is practical, cost-effective, specific, sensitive and capable of high-throughput
detection of pathogenic V. parahaemolyticus.
PMID- 24924798
TI - Patient-reported genitourinary dysfunction after laparoscopic and open rectal
cancer surgery in a randomized trial (COLOR II).
AB - BACKGROUND: This article reports on patient-reported sexual dysfunction and
micturition symptoms following a randomized trial of laparoscopic and open
surgery for rectal cancer. METHODS: Patients in the COLOR II randomized trial,
comparing laparoscopic and open surgery for rectal cancer, completed the European
Organization for Research and Treatment of Cancer (EORTC) QLQ-CR38 questionnaire
before surgery, and after 4 weeks, 6, 12 and 24 months. Adjusted mean differences
on a 100-point scale were calculated using changes from baseline value at the
various time points in the domains of sexual functioning, sexual enjoyment, male
and female sexual problems, and micturition symptoms. RESULTS: Of 617 randomized
patients, 385 completed this phase of the trial. Their mean age was 67.1 years.
Surgery caused an anticipated reduction in genitourinary function after 4 weeks,
with no significant differences between laparoscopic and open approaches. An
improvement in sexual dysfunction was seen in the first year, but some male
sexual problems persisted. Before operation 64.5 per cent of men in the
laparoscopic group and 55.6 per cent in the open group reported some degree of
erectile dysfunction. This increased to 81.1 and 80.5 per cent respectively 4
weeks after surgery, and 76.3 versus 75.5 per cent at 12 months, with no
significant differences between groups. Micturition symptoms were less affected
than sexual function and gradually improved to preoperative levels by 6 months.
Adjusting for confounders, including radiotherapy, did not change these results.
CONCLUSION: Sexual dysfunction is common in patients with rectal cancer, and
treatment (including surgery) increases the proportion of patients affected. A
laparoscopic approach does not change this. REGISTRATION NUMBER: NCT00297791
(http://www.clinicaltrials.gov).
PMID- 24924799
TI - Hippocampal atrophy in major depression: a function of childhood maltreatment
rather than diagnosis?
AB - Reduced hippocampal volumes are probably the most frequently reported structural
neuroimaging finding associated with major depressive disorder (MDD). However, it
remains unclear whether altered hippocampal structure represents a risk factor
for or a consequence of MDD. Reduced hippocampal volumes were consistently
reported in subjects affected by childhood maltreatment. As the prevalence of
childhood maltreatment is highly elevated in MDD populations, previous
morphometric findings regarding hippocampal atrophy in MDD therefore might have
been confounded by maltreatment experiences. The aim of this study was to
differentiate the impact of childhood maltreatment from the influence of MDD
diagnosis on hippocampal morphometry. Depressed patients (85) as well as 85 age-
and sex-matched healthy controls underwent structural MRI. The Childhood Trauma
Questionnaire was administered to estimate experiences of childhood maltreatment.
Hippocampal volume and surface structure was examined by the use of two
independent methods, automated segmentation (FSL-FIRST) and voxel-based
morphometry (VBM8). In line with existing studies, MDD patients showed reduced
hippocampal volumes, and childhood maltreatment was consistently associated with
hippocampal volume loss in both, patients and healthy controls. However, no
analysis revealed significant morphological differences between patients and
controls if maltreatment experience was regressed out. Our results suggest that
hippocampal alterations in MDD patients may at least partly be traced back to
higher occurrence of early-life adverse experiences. Regarding the strong
morphometric impact of childhood maltreatment and its distinctly elevated
prevalence in MDD populations, this study provides an alternative explanation for
frequently observed limbic structural abnormalities in depressed patients.
PMID- 24924801
TI - A tandem synthesis of 5-sulfonylimino-2-imidazolones from sulfonoketenimides and
dialkyl azodicarboxylates.
AB - Functionalized 5-sulfonylimino-2-imidazolones are prepared by a copper-catalyzed
reaction of dialkyl azodicarboxylates with sulfonoketenimides, generated from
terminal alkynes and sulfonyl azides, in good to excellent yields.
PMID- 24924802
TI - Rethinking vulnerability analysis and governance with emphasis on a participatory
approach.
AB - This article draws on vulnerability analysis as it emerged as a complement to
classical risk analysis, and it aims at exploring its ability for nurturing risk
and vulnerability governance actions. An analysis of the literature on
vulnerability analysis allows us to formulate a three-fold critique: first,
vulnerability analysis has been treated separately in the natural and the
technological hazards fields. This separation prevents vulnerability from
unleashing the full range of its potential, as it constrains appraisals into
artificial categories and thus already closes down the outcomes of the analysis.
Second, vulnerability analysis focused on assessment tools that are mainly
quantitative, whereas qualitative appraisal is a key to assessing vulnerability
in a comprehensive way and to informing policy making. Third, a systematic
literature review of case studies reporting on participatory approaches to
vulnerability analysis allows us to argue that participation has been important
to address the above, but it remains too closed down in its approach and would
benefit from embracing a more open, encompassing perspective. Therefore, we
suggest rethinking vulnerability analysis as one part of a dynamic process
between opening-up and closing-down strategies, in order to support a
vulnerability governance framework.
PMID- 24924800
TI - A role beyond learning for NMDA receptors in reward-based decision-making-a
pharmacological study using d-cycloserine.
AB - N-methyl-D-aspartate (NMDA) receptors are known to fulfill crucial functions in
many forms of learning and plasticity. More recently, biophysical models,
however, have suggested an additional role of NMDA receptors in evidence
integration for decision-making, going beyond their role in learning. We designed
a task to study the role of NMDA receptors in human reward-guided learning and
decision-making. Human participants were assigned to receive either 250 mg of the
partial NMDA agonist d-cycloserine (n=20) or matching placebo capsules (n=27).
Reward-guided learning and decision-making were assessed using a task in which
participants had to integrate learnt and explicitly shown value information to
maximize their monetary wins and minimize their losses. To tease apart the
effects of NMDA on learning and decision-making we used simple learning models. D
cycloserine shifted decision-making towards a more optimal integration of the
learnt and the explicitly shown information, in the absence of a direct learning
effect. In conclusion, our results reveal a distinct role for NMDA receptors in
reward-guided decision-making. We discuss these findings in the context of NMDA's
roles in neuronal super-additivity and as crucial for evidence integration for
decisions.
PMID- 24924803
TI - The PXR rs7643645 polymorphism is associated with the risk of higher prostate
specific antigen levels in prostate cancer patients.
AB - Levels of enzymes that determine testosterone catabolism such as CYP3A4 have been
associated with prostate cancer (PCa) risk. Although some studies have related
CYP3A4*1B allele, a gene polymorphism that modifies CYP3A4 expression level, with
PCa risk, others have failed, suggesting that additional genetic variants may be
involved. Expression of CYP3A4 is largely due to the activation of Pregnane X
Receptor (PXR). Particularly, rs2472677 and rs7643645 PXR polymorphisms modify
CYP3A4 expression levels. To evaluate whether PXR-HNF3beta/T (rs2472677), PXR
HNF4/G (rs7643645), and CYP3A4*1B (rs2740574) polymorphisms are associated with
PCa a case control-study was performed. The multiple testing analysis showed that
the PXR-HNF4/G polymorphism was associated with higher levels of prostate
specific antigen (PSA) in patients with PCa (OR = 3.99, p = 0.03). This
association was stronger in patients diagnosed at the age of 65 years or older
(OR = 10.8, p = 0.006). Although the CYP3A4*1B/*1B genotype was overrepresented
in PCa patients, no differences were observed in the frequency of this and PXR
HNF3beta/T alleles between controls and cases. Moreover, no significant
association was found between these polymorphisms and PSA, Gleason grade, or
tumor lymph node metastasis.
PMID- 24924804
TI - Differential effects of intrastriatal 6-hydroxydopamine on cell number and
morphology in midbrain dopaminergic subregions of the rat.
AB - The midbrain dopaminergic perikarya are differentially affected in Parkinson's
disease (PD). This study compared the effects of a partial unilateral
intrastriatal 6-hydroxydopamine (6-OHDA) lesion model of PD on the number,
morphology, and nucleolar volume of dopaminergic cells in the substantia nigra
pars compacta (SNpc), ventral tegmental area (VTA), and retrorubral field (RRF).
Adult, male rats (n=10) underwent unilateral intrastriatal infusion of 6-OHDA
(12.5MUg). Lesions were verified by amphetamine-stimulated rotation 7 days post
infusion. Rats were euthanized 14 days after treatment with 6-OHDA and brains
were stained with a tyrosine hydroxylase-silver nucleolar (TH-AgNOR) stain.
Dopaminergic cell number and morphology in the lesioned and intact hemispheres
were quantified using stereological methods. The magnitude of decrease in
planimetric volume, neuronal number, cell density, and neuronal volume resulting
from 6-OHDA lesion differed between regions, with the SNpc exhibiting the
greatest loss of neurons (46%), but the smallest decrease in neuronal volume
(13%). The lesion also resulted in a decrease in nucleolar volume that was
similar in all three regions (22-26%). These findings indicate that intrastriatal
6-OHDA lesion differentially affects dopaminergic neurons in the SNpc, VTA, and
RRF; however, the resulting changes in nucleolar morphology suggest a similar
cellular response to the toxin in all three cell populations.
PMID- 24924805
TI - Fish oil modulates glycogen synthase kinase-3 signaling pathway in diabetes
induced hippocampal neurons apoptosis.
AB - Previous research has demonstrated that diabetes induces learning and memory
deficits. However, the mechanism of memory impairment induced by diabetes is
poorly understood. Dietary fatty acids, especially polyunsaturated fatty acids,
have been shown to enhance learning and memory and prevent memory deficits in
various experimental conditions. The present study investigated the effects of
fish oil supplementation on the neuron apoptosis in the hippocampus of
streptozotocin (STZ)-induced diabetes rats, further explored the effect of fish
oil on the phosphorylation of protein kinase B and glycogen synthase kinase-3
beta. The effects of diabetes and fish oil treatment on the spatial learning and
memory were also evaluated using the Morris Water Maze. STZ-induced diabetes
impaired spatial learning and memory of rats, which was associated with the
apoptosis of hippocampal neurons and oxidative stress. Fish oil administration
ameliorated cognitive deficit, reduced oxidative stress, increased AKT
phosphorylation, decreased GSK-3beta phosphorylation, and decreased pro-apoptotic
molecules expression, which protected the hippocampal neurons from apoptosis in
diabetic rats. These results suggested a potential role for fish oil as an
adjuvant therapy for the prevention and treatment of diabetic complications.
PMID- 24924806
TI - CXCR-7 receptor promotes SDF-1alpha-induced migration of bone marrow mesenchymal
stem cells in the transient cerebral ischemia/reperfusion rat hippocampus.
AB - The stromal cell-derived factor 1/C-X-C chemokine receptor type 4 (SDF-1/CXCR-4)
axis plays an important role during stem cell recruitment. SDF-1 can also bind
the more recently described CXCR-7 receptor, but effects of SDF-1/CXCR-7
signaling on stem cell migrating to ischemic brain injury area are little known.
In the present study, we investigated the effect of CXCR-7 on bone marrow
mesenchymal stem cell (BMSC) migration toward SDF-1alpha in the cerebral
ischemia/reperfusion (I/R) rat hippocampus. We cultured BMSCs from rats and
characterized them using flow cytometry, immunocytochemistry, western blotting,
and immunofluorescence to detect SDF-1alpha, CXCR-4, and CXCR-7 expression in
third passage BMSCs (P3-BMSCs). We also prepared the model of transient cerebral
I/R by four-vessel occlusion (4-VO), and BMSCs were transplanted into I/R rat
brain via lateral ventricle (LV) injection (20MUl, 1*10(6)/ml). After that, we
examined the effect of BMSCs migration in the cerebral I/R rat hippocampus
through Transwell chamber assay. Our results show that SDF-1alpha, CXCR-4, and
CXCR-7 were expressed in P3-BMSCs. Moreover, SDF-1alpha expression was increased
in I/R hippocampus. At 48h after transplant, green fluorescent BrdU-BMSCs were
observed in transplant groups, but no green fluorescent BrdU-BMSCs were seen in
medium group. Among BMSCs transplant groups, the number of BrdU-BMSCs positive
cell was the highest in BMSC group. Treatment with AMD3100 and/or CXCR-7
neutralizing antibody decreased the number of BMSC migration. Collectively, these
findings indicate that CXCR-4 and -7 receptors were co-expressed in BMSCs and
synergistically promoted BMSC migration. The effect of CXCR-7 was stronger than
that of CXCR-4. Moreover, BMSCs that migrated to hippocampus promoted the
autocrine and paracrine signaling of SDF-1alpha.
PMID- 24924807
TI - Lithium-ion transport through a tailored disordered phase on the LiNi0.5 Mn1.5 O4
surface for high-power cathode materials.
AB - The phase control of spinel LiNi0.5 Mn1.5 O4 was achieved through surface
treatment that led to an enhancement of its electrochemical properties. Li(+)
diffusion inside spinel LiNi0.5 Mn1.5 O4 could be promoted by modifying the
surface structure of LiNi0.5 Mn1.5 O4 through phosphidation into a disordered
phase (Fd3m) that allows facile Li(+) transport. Phosphidated LiNi0.5 Mn1.5 O4
showed a significantly enhanced electrochemical performance, even at high rates
exceeding 10 C, demonstrating that the improved kinetics (related to the amount
of Mn(3+) ) can render LiNi0.5 Mn1.5 O4 competitive as a high-power cathode
material for electric vehicles and hybrid electric vehicles.
PMID- 24924809
TI - Using in situ voltammetry as a tool to identify and characterize habitats of iron
oxidizing bacteria: from fresh water wetlands to hydrothermal vent sites.
AB - Iron-oxidizing bacteria (FeOB) likely play a large role in the biogeochemistry of
iron, making the detection and understanding of the biogeochemical processes FeOB
are involved in of critical importance. By deploying our in situ voltammetry
system, we are able to measure a variety of redox species, specifically Fe(ii)
and O2, simultaneously. This technique provides significant advantages in both
characterizing the environments in which microaerophilic FeOB are found, and
finding diverse conditions in which FeOB could potentially thrive. Described here
are four environments with different salinities [one fresh groundwater seep site,
one beach-groundwater mixing site, one hydrothermal vent site (Mid-Atlantic
Ridge), and one estuary (Chesapeake Bay)] where in situ voltammetry was deployed,
and where the presence of FeOB were confirmed by either culturing methods or
molecular data. The sites varied in both O2 and Fe(ii) content with O2 ranging
from below the 3 MUM detection limit of the electrodes at the Chesapeake Bay
suboxic zone, to as high 150 MUM O2 at the vent site. In addition, a range of
Fe(ii) concentrations supported FeOB communities, from 3 MUM Fe(ii) in the
Chesapeake Bay to 300 MUM in the beach aquifer. In situ electrochemistry provides
the means to quickly measure these redox gradients at appropriate resolution,
making it possible in real time to detect niches likely inhabited by
microaerophilic FeOB, then accurately sample for proof of FeOB presence and
activity. This study demonstrates the utility of this approach while also greatly
expanding our knowledge of FeOB habitats.
PMID- 24924810
TI - Assessing how reduced expression levels of the mismatch repair genes MLH1, MSH2,
and MSH6 affect repair efficiency.
AB - Lynch syndrome (LS), the most common familial colon cancer, is associated with
mismatch repair (MMR) malfunction. As mutation carriers inherit one normal and
one defected MMR gene allele, cancer risk can be considered as limited amount of
normal MMR gene product. How reductions in different MMR gene expressions affect
MMR capability is, however, not known. The in vitro MMR assay is a method for the
pathogenicity assessment of MMR gene variants causing functional or expressional
defects and thus also suitable to evaluate the effects of reduced expression of
normal mRNA. Here, the assay was applied to quantify repair efficiencies of human
cells retaining varying expression levels (25%/50%/75%) of the main LS
susceptibility genes MLH1, MSH2, or MSH6. Compared with the shRNA knockdown
control, already a 50% reduction in mRNA levels could be detected as decreased
MMR function although without statistical significance in MLH1. In MSH2 and MLH1,
total loss of MMR was achieved with 25% expression, whereas in MSH6 and MSH2, the
repair capability decreased significantly already with 75% expression. Our
results provide a preliminary indication of relative expressions required for
wild-type function and suggest that the in vitro MMR assay could be used to
recognize expression levels indicative of LS.
PMID- 24924811
TI - Allergic contact dermatitis caused by benzanthrone in a pair of trousers.
PMID- 24924812
TI - Allergic contact dermatitis due to a surgical marker.
PMID- 24924813
TI - Occupational allergic contact dermatitis caused by Eustoma exaltatum russellianum
(lisianthus).
PMID- 24924814
TI - Contact dermatitis caused by ascorbyl tetraisopalmitate in a cream used for the
management of atopic dermatitis.
PMID- 24924815
TI - Erythematous reactions on removal of Scanpor(r) tape in patch testing are not
necessarily caused by dermographism.
PMID- 24924816
TI - Response to and transport of brood by workers of Tapinoma erraticum (Formicidae;
Dolichoderinae) during nest disturbance.
AB - An attempt was made to describe the principle signals involved in the recognition
of nymphs by Tapinoma workers. A behavioural measure, the way and extent to which
workers transported different objects presented to them, was used to quantify
this relationship. These objects were homo- or heterospecific nymphs, or
different baits. Two types of test were generally used in such studies: retrieval
- bringing back objects to the nest, and removal - transport of objects during
displacement of the nest. The second type of test was used in this study. The
results, in decreasing order of recognition were as follows. Tapinoma workers
transport homospecific and Tetramorium nymphs at 100%. The smaller nymphs of
Solenopsis impregnated with Tapinoma extract were transported at 77%. The nymphs
of Solenopsis with their own odour were transported at 21%, paper impregnated.
with Tapinoma extract at 12%, while 'odourless' Tapinoma nymphs and neutral paper
were not transported at all. These results imply an intervention of both physical
and chemical factors, the threshold significance of signals and their global
implication depending on the test situation and its disturbing effect. They also
depend on the nature of the different objects presented in combination; Tapinoma
workers transport heterospecific brood much less readily in the presence of their
own brood. The attractiveness of nymphs is not an all or none phenomenon. In a
study of the adoption of homospecific nymphs from another nest, this gradation
was apparent in the distribution of nymphs in the nest and their order of
transport during removal tests; certain nymphs were left aside in the first 3
days of presentation, were amongst the last to be transported during removal, and
then by the most active workers. The perception of the brood by the workers may
thus occur at different levels: (1) Perception of a factor that may be vaguely
defined as 'transportable object' in a removal test - with objects of type
'nymph' for example; (2) perception of the species; (3) perception of the colony.
The worker ant during its life acquires a certain 'knowledge' of its own brood
through familiarization, which expresses itself in terms of specific patterns of
care for the brood, but this attention, although given preferentially to the
brood, is not exclusive under stress.
PMID- 24924817
TI - Role of corticosterone in the control of post-shock fighting behaviour in male
laboratory mice.
AB - Two experiments were conducted to examine the role of corticosterone in
controlling fighting subsequent to electric foot-shock in male mice. It was found
that (1) treatment with corticosterone restores post-shock fighting in
adrenalectomized males but not in castrated-adrenalectomized males; (2) raising
cortieosterone levels induces post-shock fighting in castrated-adrenalectomized
males treated with testosterone but not in castrated-adrenalectomized controls
treated with a placebo; and (3) preventing corticosterone responses to shock
occludes the increasing in fighting which normally follow shock in intact males.
These findings suggest that (1) corticosterone plays an important role in the
control of post-shock fighting in mice; (2) this importance of corticosterone is
dependent on the presence of circulating testosterone, or other androgens; and
(3) corticosterone responses to the experience of shock may be involved in the
mediation of the facilitatory effects of this experience on subsequent fighting
behaviour.
PMID- 24924818
TI - Different direct effects of light intensity on the entrained activity rhythm in
neotropical bats (Chiroptera, Phyllostomidae).
AB - In the two neotropical Chiroptera species, Artibeus lituratus and Phyllostomus
discolor, the influence of illumination intensity during the D-time of an LD
12:12 h on the locomotory activity rhythm was investigated by offering specific
rectangular illumination schedules. In LD 12:12 (10(1):10(-4)lux), A. lituratus
and P. discolor exhibit a stable unimodal basic activity pattern. By increasing
the light intensity during D-time to 10(-1) lux the activity pattern, especially
in A. lituratus, can be modified in almost every way. This indicates a strong
direct inhibitory effect of higher light intensities (> 10(-4) lux). Hereby light
effects via the circadian system have practically no importance. The response of
A. lituratus to changes of the D-light intensity is more pronounced than that of
P. discolor. It is suggested that the different direct effects of light intensity
on the activity pattern of the two bat species reflect specific ecological
adaptations.
PMID- 24924819
TI - Responsiveness to "social" releasers in cattle. II. Relation between social
status and responsiveness, and possible effect of previous familiarization with
the test conditions.
AB - The data of two previous experiments, investigating the effects of social
releasers in Friesian-Dutch heifers were analysed in order to verify whether the
frequency of approach responses to models and calls of conspecifics was modified
by pre-experimental factors. First, responsiveness was correlated to the social
status of individuals; a social hierarchy was assessed on the basis of agonistic
interactions recorded for a 2-month period prior to the experiments with models.
A significant positive correlation was found between the total number of
responses and the total number of escape-avoidance reactions to aggressive acts.
The same result was found when individual ranks were assessed on a hierarchy re
presenting a dominance order established on the basis of the number of aggressive
acts causing escape-avoidance reactions. In this case, also, animals with highest
ranks presented a significantly higher number of responses to models than animals
with lowest ranks. In our second experiment, a significant positive correlation
was found between all criteria used to assess individual ranks in a hierarchy,
while this result was not found necessarily in the first experiment. The other
pre-experimental factor under consideration was a possible familiarization with
the testing conditions (models/calls of conspecifics, handling, and other
procedures); some of the group-members tested during the second experiment had
already been tested in the first one, carried out a year before. Many results
demonstrated that the frequency of responses to social releasers was
significantly lower for the previously tested subjects than for the animals
tested for the first time.
PMID- 24924820
TI - Temperature dependence of visual fusion frequency in Rana lessonae cam., Bufo
bufo L. and Bombina bombina (L.) (Amphibia).
AB - The electroretinogram (ERG) was used to measure the flicker-fusion frequencies of
Rana lessonae, Bufo bufo and Bombina bombina over the temperature range 5-25
degrees C. In all three species the fusion frequency increased with increasing
temperature. In the intermediate range of temperatures, the fusion frequencies of
Rana lessonae and Bombina bombina doubled when the temperature was raised by 10
degrees C.
PMID- 24924821
TI - Effects of social influence on neonatal approach responses of Gallus chicks.
AB - Although most laboratory studies of imprinting rear and test subjects
individually, in the natural setting birds are imprinted in groups. In order to
approximate and evaluate naturally occuring conditions, the present study
compared the approach responses of chicks reared and trained alone to those
reared and trained in pairs to an audio-visual imprinting stimulus. The responses
of both groups were virtually identical during the presence of the imprinting
stimulus. However, under conditions of experimental extinction, the paired
trained birds (now tested alone) were more resistant to extinction than their
isolated counterparts, thus suggesting that birds with prior social experience
are more strongly imprinted than has been previously reported.
PMID- 24924822
TI - Cortical arousal and social intimacy in the human female under different
conditions of eye contact.
AB - The EEG was monitored from pairs of female subjects while they engaged in varied
eye contact under experimenter instruction (direct gaze, smile, averted gaze).
The nine conditions of gaze were related monotonically to EEG abundance (9.5-20.0
Hz). It is suggested that the gradient of arousal or activation so obtained is
evidence of a physiological substrate of social intimacy.
PMID- 24924827
TI - Impact of urinary cadmium on mortality in the Japanese general population in
cadmium non-polluted areas.
AB - PURPOSE: The aim of the present study was to evaluate the dose-effect
relationship between urinary cadmium (U-Cd as an index of internal Cd exposure)
and mortality in a cohort of the Japanese general population. METHODS: A 19-year
cohort study was conducted in 897 men and 1307 women who lived in two non
polluted areas in Japan. The subjects were categorized into four quartiles based
on creatinine adjusted U-Cd (MUg/g cre). Hazard ratio (HR) and 95% confidence
interval (95%CI) of the quartiles of U-Cd for mortality was calculated using a
proportional hazards regression. Forward stepwise model selection was applied to
the potential covariates such as age, body mass index, mean arterial pressure,
various lifestyle factors and present illness. RESULTS: The mortality rates per
1000 person years were 27.8 and 12.5 in men and women, respectively. In men, the
fourth quartile of U-Cd (>= 2.919 MUg/g cre) showed a significant, positive HR
(1.50, 95%CI: 1.11-2.02) for mortality compared to the first quartile (< 1.014).
In women, the fourth quartile of U-Cd (>= 3.943 MUg/g cre) also showed a
significant HR (1.50, 95%CI: 1.08-2.09) for mortality compared to the first
quartile (< 1.140). CONCLUSION: The present study clarified that U-Cd was
significantly associated with increased mortality, indicating the worsened life
prognosis of the general population in Cd non-polluted areas in Japan. These
results highlight the importance of further discussion of the health risk
assessment of Cd exposure in the general population.
PMID- 24924828
TI - New outcomes for sexual health promotion.
PMID- 24924829
TI - Characteristics of home care workers who leave their jobs: a cross-sectional
study of job satisfaction and turnover in Washington State.
AB - Attracting and retaining a stable and motivated home care workforce has become a
top policy priority. We surveyed 402 former home care workers in Washington
State. We compared these "leavers" to current home care workers recently
surveyed. Those who left the profession were more highly educated, had higher
household income, and were more likely to be White. Those newly employed have
better benefits, wages, hours, and career mobility than in their home care jobs.
The low status and poor pay of home care workers may result in the inability of
the profession to retain those who face better prospects.
PMID- 24924831
TI - Nitazoxanide for the treatment of infectious diarrhoea in the Northern Territory,
Australia 2007-2012.
AB - INTRODUCTION: Australian Indigenous children suffer a high burden of diarrhoeal
disease. Nitazoxanide is an antimicrobial that has been shown to be effective
against a broad range of enteropathogens. To date, its use has not been reported
in the tropical Top End (northernmost part) of the Northern Territory, Australia.
The objective was to describe the use of nitazoxanide at the Royal Darwin
Hospital, Northern Territory, and to assess any association with the time to
resolution of diarrhoea. METHODS: Eligible children (<=13 years) were identified
from dispensary records as having been prescribed nitazoxanide during the audit
period, 1 July 2007 to 31 March 2012. Patient demographics, symptoms, diarrheal
aetiology, treatment details and clinical outcomes were obtained by chart review.
RESULTS: Twenty-eight children were treated with nitazoxanide, mostly for
Cryptosporidium infection associated with prolonged diarrhoea. Dehydration was
evident in 27 (96%) children on admission, and 11 (41%) were underweight.
Diarrhoeal duration prior to treatment was 11.5 days (6.5 days pre- and 5 days
post-admission). For children >=12 months, nitazoxanide was prescribed according
to guidelines stipulated by the Centers for Disease Control and Prevention (CDC).
Resolution of diarrhoea occurred a median of 2.4 days (IQR: 1.4-7.3) after
starting treatment. An increase in weight for length at discharge was found for
all children. CONCLUSIONS: Prompt resolution of diarrhoea without adverse
outcomes suggests nitazoxanide may be an effective treatment for Cryptosporidium
infection in this setting. Its role in the treatment of other causes of
infectious diarrhoea needs further investigation. Randomised trials will further
direct its use and determine optimal dosing regimens.
PMID- 24924830
TI - Differentiating HIV-associated nephropathy from antiretroviral drug-induced
nephropathy: a clinical challenge.
AB - With the introduction of potent combination antiretroviral therapy (cART) into
clinical practice, HIV-infected patients have garnered much benefit. However,
kidney disease continues to be a potential complication in this group. Whereas
HIV-associated nephropathy (HIVAN) was the major renal complication prior to
cART, co-morbid diseases and adverse renal effects of various drugs, in
particular cART, now complicate the landscape. Clinicians now must differentiate
HIVAN from cART nephrotoxicity. While sometimes this is easy and relatively
straightforward, often the clinician faces a difficult challenge distinguishing
these two etiologies of kidney disease. This review will discuss HIVAN and cART
related kidney disease and review the clinical and laboratory data that may be
useful in differentiating these processes. Often, however, kidney biopsy may be
required to differentiate HIVAN from cART nephrotoxicity as well as other kidney
lesions associated with concurrent co-morbidities, both infectious and non
infectious.
PMID- 24924832
TI - Effect of cropping systems on heavy metal distribution and mercury fractionation
in the Wanshan mining district, China: implications for environmental management.
AB - The authors studied the concentration of heavy metals and mercury fractionation
in contaminated soil in 2 agricultural land use systems (paddy rice and dry land)
at the Wanshan mercury mine in China. The average concentrations of chromium,
lead, copper, nickel, and zinc were generally lower in paddy rice soil relative
to corn field soil. Soil under corn field production was slightly contaminated
with lead (22-100 mg/kg), copper (31-64 mg/kg), and nickel (22-76 mg/kg) and
moderately contaminated with zinc (112-635 mg/kg). In both soils, correlation of
these metals with the titanium concentration in the soil indicates a geogenic
origin for each metal (lead, r = 0.48; copper, r = 0.63; nickel, r = 0.47; zinc,
r = 0.48). The mercury and antimony concentration in soil was high under both
cropping systems, and future remediation efforts should consider the potential
environmental risk presented by these metals. The concentration of bioavailable
mercury in soil ranged from 0.3 ng/g to 11 ng/g across the 2 cropping systems.
The majority of mercury (>80%) was associated with organic matter and the
residual fraction. However, soil under paddy rice production exhibited a
significantly lower concentration of Fe/Mn oxide-bound mercury than that under
corn field production. This may be a function of the reduction of Fe/Mn oxides in
the paddy rice soil, with the subsequent release of adsorbed metals to the soil
solution. Sequential change from corn field to paddy rice production, as
practiced in Wanshan, should therefore be avoided. Mercury adsorbed to Fe/Mn
oxides in corn field soil potentially could be released into the soil solution
and be made available for biomethylation under the flooded water management
conditions of a rice paddy.
PMID- 24924833
TI - Deposition of superparamagnetic nanohydroxyapatite on iron-fibrin substrates:
preparation, characterization, cytocompatibility and bioactivity studies.
AB - In the present study, nanosized hydroxyapatite (nHAp) was formed on iron-fibrin
substrates and its physico-chemical properties were characterized. The prepared
iron-fibrin-nanohydroxyapatite (IF-nHAp) composite was needle shaped with an
average width of about 30nm and length of 80nm. The vibrating sample magnetometer
(VSM) was used to evaluate the superparamagnetic behavior of the nanocomposite,
IF-nHAp. Hemolysis and ELISA (enzyme linked immunosorbent assay) were performed
to evaluate the its bio/immunocompatibility and MTT (3-(4,5-dimethylazol-2-yl)
2,5-diphenyl-tetrazolium bromide) assay using osteoblast cells was performed to
scrutinize its proliferative potential. Alkaline phosphatase activity (ALP) and
calcium deposition were studied to investigate the osteogenic property of the
nanocomposite. RT-PCR (real time-polymerase chain reaction) was used to quantify
the mRNA levels of ALP, OC (osteocalcin), and OPN (osteopontin) genes involved in
the osteogenic differentiation and matrix mineralization. Further, the bone
bonding ability of IF-nHAp was observed by the deposition of apatite layers on
the composite incubated in simulated body fluid (SBF).
PMID- 24924834
TI - Fusion protein bilayer fabrication composed of recombinant azurin/cytochrome P450
by the sortase-mediated ligation method.
AB - Recently, the fabrication of protein bilayer has been required for the
development of protein or enzyme complex formation. In the present study, we
fabricated a fusion protein bilayer composed of recombinant azurin-cytochrome
P450, which was synthesized by a site-specific sortase-mediated ligation method.
The Pseudomonas aeruginosa azurin was modified by DNA recombinant technique, for
enzymatic ligation and immobilization. The Pseudomonas putida cytochrome P450 was
also modified for enzymatic ligation. The recombinant metalloproteins were
conjugated via the sortase A. The conjugation was confirmed by SDS-PAGE and UV
vis. Then, the prepared fusion protein was immobilized on Au substrate, by the
self-assembly method. The Azu-P450 (recombinant azurin-cytochrome P450) fusion
protein layer was confirmed by AFM (Atomic Force Microscopy) and SERS (Surface
enhanced Raman Spectroscopy), to confirm the fusion protein bilayer orientation.
Moreover, the electrochemical property of Azu-P450 was observed by cyclic
voltammetry (CV). As a result, the Azu-P450 fusion protein bilayer shows good
orientation on the Au substrate. Also, the original redox property of this fusion
protein bilayer has been well maintained. The proposed fusion protein bilayer
can.
PMID- 24924835
TI - Diffusion kurtosis imaging study of prostate cancer: preliminary findings.
AB - PURPOSE: To evaluate the differences in parameters of diffusion kurtosis imaging
(DKI) between prostate cancer, benign prostatic hyperplasia (BPH), and benign
peripheral zone (PZ). MATERIALS AND METHODS: Twenty-four foci of prostate cancer,
41 BPH nodules (14 stromal and 27 nonstromal hyperplasia), and 20 benign PZ from
20 patients who underwent radical prostatectomy were investigated. Diffusion
weighted imaging (DWI) was performed using 11 b-values (0-1500 s/mm(2) ). DKI
model relates DWI signal decay to parameters that reflect non-Gaussian diffusion
coefficient (D) and deviations from normal distribution (K). A mixed model
analysis of variance and receiver operating characteristic (ROC) analyses were
performed to assess the statistical significance of the metrics of DKI and
apparent diffusion coefficient (ADC). RESULTS: K was significantly higher in
prostate cancer and stromal BPH than in benign PZ (1.19 +/- 0.24 and 0.99 +/-
0.28 versus 0.63 +/- 0.23, P < 0.001 and P < 0.001, respectively). K showed a
trend toward higher levels in prostate cancer than in stromal BPH (1.19 +/- 0.24
versus 0.99 +/- 0.28, P = 0.051). On the ROC analyses, a significant difference
in area under the curve was not observed between K and ADC, however, K showed the
highest sensitivity among three parameters. CONCLUSION: DKI may contribute to the
imaging diagnosis of prostate cancer, especially in the differential diagnosis of
prostate cancer and BPH.
PMID- 24924836
TI - Fluorescence in situ hybridization analysis of atypical melanocytic
proliferations and melanoma in young patients.
AB - Morphologic heterogeneity among melanocytic proliferations is a common challenge
in the diagnosis of melanoma. In particular, atypical melanocytic lesions in
children, adolescents, and young adults may be difficult to classify because of
significant morphologic overlap with melanoma. Recently a four-probe fluorescence
in situ hybridization (FISH) protocol to detect chromosomal abnormalities in
chromosomes 6 and 11 has shown promise for improving the classification of
melanocytic lesions. We sought to determine the correlation between FISH results,
morphology, and clinical outcomes in a series of challenging melanocytic
proliferations in young patients. We retrospectively performed the standard four
probe FISH analysis on 21 melanocytic neoplasms from 21 patients younger than 25
years of age (range 5-25 years, mean 14.6 years) from Stanford University Medical
Center who were prospectively followed for a median of 51 months (range 1-136
months). The study cohort included patients with 5 confirmed melanomas, 2
melanocytic tumors of uncertain malignant potential (MelTUMPs), 10
morphologically challenging atypical Spitz tumors (ASTs), and 4 typical Spitz
nevi. FISH detected chromosomal aberrations in all five melanomas and in one
MelTUMP, in which the patient developed subsequent lymph node and distant
metastasis. All 10 ASTs, 4 Spitz nevi, and 1 of 2 MelTUMPs were negative for
significant gains or losses in chromosomes 6 and 11q. Our findings demonstrated a
strong correlation between positive FISH results and the histomorphologic
impression of melanoma. This finding was also true for the MelTUMP with poor
clinical outcome. Therefore FISH may serve as a helpful adjunct in the
classification of controversial melanocytic tumors in young patients.
PMID- 24924837
TI - Involvement of p38 mitogen-activated protein kinase in acquired gemcitabine
resistant human urothelial carcinoma sublines.
AB - Resistance to chemotherapeutic drugs is one of the major challenges in the
treatment of cancer. A better understanding of how resistance arises and what
molecular alterations correlate with resistance is the key to developing novel
effective therapeutic strategies. To investigate the underlying mechanisms of
gemcitabine (Gem) resistance and provide possible therapeutic options, three Gem
resistant urothelial carcinoma sublines were established (NG0.6, NG0.8, and
NG1.0). These cells were cross-resistant to arabinofuranosyl cytidine and
cisplatin, but sensitive to 5-fluorouracil. The resistant cells expressed lower
values of [hENT1 * dCK/RRM1 * RRM2] mRNA ratio. Two adenosine triphosphate
binding cassette proteins ABCD1 as well as multidrug resistance protein 1 were
elevated. Moreover, cyclin D1, cyclin-dependent kinases 2 and 4 were upregulated,
whereas extracellular signal-regulated kinase 1/2 and p38 mitogen-activated
protein kinase (MAPK) activity were repressed significantly. Administration of
p38 MAPK inhibitor significantly reduced the Gem sensitivity in NTUB1 cells,
whereas that of an extracellular signal-regulated kinase MAPK inhibitor did not.
Furthermore, the Gem-resistant sublines also exhibited higher migration ability.
Forced expression of p38 MAPK impaired the cell migration activity and augmented
Gem sensitivity in NG1.0 cells. Taken together, these results demonstrate that
complex mechanisms were merged in acquiring Gem resistance and provide
information that can be important for developing therapeutic targets for treating
Gem-resistant tumors.
PMID- 24924838
TI - Protective effects of cerebrolysin in a rat model of optic nerve crush.
AB - To investigate the effects of cerebrolysin (Cbl) on optic nerves (ON) and retinal
ganglion cells (RGC) in a rat model of ON crush. Rats received intravitreal
injection of Cbl (n = 20), intra-ON injection of Cbl (n = 20), intraperitoneal
injection (IPI) of Cbl (n = 20), or phosphate buffered saline (PBS; n = 20) every
day for 2 weeks after ON crush injury. At 3 weeks post-trauma, RGC density was
counted by retrograde labeling with FluoroGold and visual function was assessed
by flash visual-evoked potentials. Activities of microglia after insults were
quantified by immunohistochemical analysis of the presence of ED1 in the optic
nerve. At 3 weeks postcrush, the densities of RGCs in the Cbl-IVI group (1125 +/-
166/mm(2)) and in the Cbl-IPI treatment group (1328 +/- 119/mm(2)) were
significantly higher than those in the PBS group (641 +/- 214/mm(2)). The flash
visual-evoked potential measurements showed that latency of the P1 wave was
significantly shorter in the Cbl-IVI- and Cbl-IPI-treated groups (105 +/- 4 ms
and 118 +/- 26 ms, respectively) than in the PBS-treated group (170 +/- 20 ms).
However, only Cbl IPI treatment resulted in a significant decrease in the number
of ED1-positive cells at the lesion sites of the ON (5 +/- 2 cells/vs. 30 +/- 4
cells/high-power field in control eyes). Treatment with intra-ON injection of Cbl
was harmful to the optic nerve in the crush model. Systemic administration of Cbl
had neuroprotective effects on RGC survival and visual function in the optic
nerve crush model.
PMID- 24924839
TI - Interleukin-23 and its correlation with disease activity, joint damage, and
functional disability in rheumatoid arthritis.
AB - The purpose of this study was to compare the serum interleukin (IL)-23 levels
between rheumatoid arthritis (RA) patients and healthy controls and to determine
the correlation of IL-23 levels with disease activity, joint damage and
functional disability in RA. Serum samples were obtained from 45 patients with RA
and 45 healthy controls. The enzyme-linked immunosorbent assay method was used
for quantitative analysis of IL-23. All the RA patients were assessed for disease
activity based on the 28-joint disease activity score, joint damage based on
modified Sharp score, and functional ability using the Health Assessment
Questionnaire-Disability Index. The mean serum IL-23 level was much higher among
the RA patients (24.50 +/- 13.98 pg/mL) compared to the controls (5.98 +/- 3.40
pg/mL; p < 0.01). There was a significant positive relationship between IL-23
levels and disease activity and questionnaire scores (p = 0.003 and 0.020,
respectively). On logistic regression analysis, IL-23 levels were significantly
higher in patients with moderate to high disease activity (p = 0.008, odds ratio
= 1.073, 95% confidence interval = 1.019-1.130) and patients with significant
functional disability (p = 0.008, odds ratio = 1.085, 95% confidence interval =
1.021-1.153). RA patients have significantly higher levels of serum IL-23. The IL
23 levels correlate well with disease activity and functional disability but not
with radiographic joint damage.
PMID- 24924840
TI - Effects of metabolic syndrome, apolipoprotein E, and CYP46 on cognition among
Taiwanese Chinese.
AB - The combined effects of metabolic syndrome and the apolipoprotein E and CYP46
genotypes on the risk of cognitive decline has yet to be determined among
Taiwanese Chinese. Two hundred and nine mentally healthy middle-aged and older
adults were assessed for metabolic syndrome, cognitive function using the
Cognitive Abilities Screening Instrument, Mini-Mental State Examination, ApoE,
and CYP46 polymorphisms. There were no differences in cognitive performance, ApoE
epsilon4 (epsilon4) carrier status, or CYP46 genotypes between participants with
and those without metabolic syndrome. The epsilon4 carriers and participants with
the AA allele of CYP46 had significantly lower mental manipulation score.
Metabolic syndrome and epsilon4 had synergistic effects on cognitive decline.
Therefore, the epsilon4 carriers and participants with the AA allele of CYP46
have decreased mental manipulation ability. The metabolic syndrome may play a
role in subtle cognitive dysfunction in epsilon4 carriers among Taiwanese
Chinese.
PMID- 24924841
TI - Combined estrogen replacement therapy on metabolic control in postmenopausal
women with diabetes mellitus.
AB - Previous studies have shown that the incidence of diabetes is higher when women
come to menopause. This study was carried out to examine the effects of combined
estrogen replacement therapy (ERT) on diabetes in postmenopausal women.
PubMed/MEDLINE was searched for English-language articles published between
January 1997 and June 2011. Studies that examined ERT on the incidence of
diabetes and randomized clinical trials that evaluated combined ERT (estrogen
plus progesterone) on diabetic indices in postmenopausal women were included.
Pooled relative risks were calculated using a random- or a fixed-effects model.
Sixteen studies comprising 17,971 cases were included. Based on the pooled data,
ERT significantly reduced the incidence of diabetes [odds ratio (OR), 0.61; 95%
confidence interval (CI), 0.55-0.68, ERT past/current/continuous use vs. never
use; OR, 0.57; 95% CI, 0.51-0.65, ERT current/continuous use vs. past/never use].
Women with combined ERT have significantly lower levels of fasting plasma glucose
(mean difference, -1.41 mM/L; 95% CI, -2.49 to -0.33 mM/L) and HbA1c (mean
difference, -0.73%; 95% CI, from -1.28 to -0.18%) compared with placebo.
Furthermore, combined ERT dramatically reduced plasma total cholesterol (mean
difference, -0.34 mM/L; 95% CI, from -0.53 to -0.15 mM/L) and low-density
lipoprotein (mean difference, -0.43 mM/L; 95% CI, from -0.71 to -0.14 mM/L) but
slightly increased high-density lipoprotein (mean difference, 0.02 mM/L; 95% CI,
from -0.07 to 0.12 mM/L) levels as compared with placebo control. This systemic
review and meta-analysis provides evidence that postmenopausal women taking low
dose combined ERT have a decreased risk of developing diabetes and have better
diabetic control.
PMID- 24924842
TI - The efficacy of shock wave therapy in patients with knee osteoarthritis and
popliteal cyamella.
AB - This randomized, controlled study was performed to compare the effects of
extracorporeal shockwave therapy (ESWT) and ultrasound on the rehabilitation of
knee osteoarthritis with popliteal cyamella. One hundred and twenty patients with
bilateral moderate knee osteoarthritis (Altman III) and popliteal cyamella were
selected and randomly assigned to four groups (GI-GIV). Patients in Groups I-III
received isokinetic muscular strengthening exercises three times weekly for 8
weeks. Group II received pulse ultrasound treatment for popliteal cyamella three
times weekly for 8 weeks, Group III received weekly shock wave therapy for
popliteal cyamella for the first 6 weeks, and Group IV acted as controls. The
therapeutic effects were evaluated by changes in the arthritic knees range of
motion (ROM), visual analogue scale, Lequesne's index, and muscle peak torques
after treatment and at follow-up 6 months later. Each treated group exhibited
increased muscle peak torques and significantly reduced pain and disability after
treatment and at follow-ups. However, only patients in Groups II and III showed
significant improvements in ROM after treatment, and only participants in Group
III showed immediate improvement in ROM after each treatment. Patients in Group
III also showed the greatest increase in muscular strength and the greatest
decrease in disability after treatment and at the follow-ups. ESWT is better than
pulse ultrasound in rehabilitation of patients with knee osteoarthritis and
popliteal cyamella results in more functional improvements.
PMID- 24924843
TI - Synchronous bladder and prostate cancers in the specimens of radical
cystoprostatectomy: a multicenter retrospective analysis.
AB - The purpose of this study was to evaluate the features of prostate cancer that
have been incidentally detected in radical cystoprostatectomy specimens of
bladder cancer patients. The researchers of the current study retrospectively
evaluated the data from 119 men who underwent radical cystoprostatectomy at four
referral institutions in Ankara, Turkey. Of the 21 prostate cancer patients, 17
(81%) were aged >= 60 years; 10 (47.6%) had clinically significant diseases;
three had a Gleason score of 6, three had a Gleason score of 7, three had a
Gleason score of 8, one had a positive surgical margin along with extracapsular
invasion of the tumor and a high Gleason score, and three patients had a tumor
volume of >= 0.5 cm(3), of which two also had a high Gleason score. Patients were
followed-up for a mean of 29 +/- 10.2 months; the overall survival was 96.6% (n =
115) during that period. Preoperative digital rectal examination and prostate
specific antigen values did not differ between the benign and prostate cancer
groups. There was no survival advantage in the insignificant prostate cancer and
benign prostate groups. No additional benefit for predicting prostate cancer was
found with digital rectal examination and prostate-specific antigen tests,
although some clinicians advised such. In patients aged < 60 years, organ-sparing
cystectomy seems reasonable. In prostate-sparing surgery, candidates who are aged
>60 years, the preoperative work-up may routinely include prostate biopsy,
especially the apex. Preoperative findings of multifocality of bladder cancers
and the presence of carcinoma in situ have the risk of prostatic involvement.
PMID- 24924844
TI - Left ventricular noncompaction cardiomyopathy: an under-recognized disease
diagnosed by echocardiography and computed tomography.
PMID- 24924845
TI - Recurrent intussusception caused by intestinal metastasis of pulmonary
pleomorphic carcinoma.
PMID- 24924846
TI - Primary axillary anaplastic large cell lymphoma mimicking pyogenic granuloma
clinically.
PMID- 24924847
TI - Concept formation and categorization of complex, asymmetric, and impossible
figures.
AB - Impossible figures are striking examples of inconsistencies between global and
local perceptual structures, in which the overall spatial configuration of the
depicted image does not yield a coherent three-dimensional object. In order to
investigate whether structural "impossibility" is an important perceptual
property of depicted objects, we used a category formation task in which subjects
were asked to divide pictures of shapes into groups that seemed most natural to
them. Category formation is usually unidimensional, such that sorting is
dominated by a single perceptual property, so this task can serve as a measure of
which dimensions are most salient. In Experiment 1, subjects received sets of 12
line drawings consisting of six possible and six impossible objects. Very few
subjects grouped the figures by impossibility on the first try, and only half did
so after multiple attempts at sorting. In Experiment 2, we investigated other
global properties of figures: symmetry and complexity. Subjects readily sorted
objects by complexity, but seldom by symmetry. In Experiment 3, subjects were
asked to draw each of the figures before sorting them, which had only a minimal
effect on categorization. Finally, in Experiment 4, subjects were explicitly
instructed to divide the shapes by symmetry or impossibility. Performance on this
task was perfect for symmetry, but not for impossibility. Although global
properties of figures seem extremely important to our perception, the results
suggest that some of these cues are not immediately obvious or salient for most
observers.
PMID- 24924848
TI - Size (mostly) doesn't matter: the role of set size in object substitution
masking.
AB - Conscious detection and discrimination of a visual target stimulus can be
prevented by the presentation a spatially nonoverlapping, but temporally
trailing, visual masking stimulus. This phenomenon, known as object substitution
masking (OSM), has long been associated with spatial attention, with diffuse
attention seemingly being key for the effect to be observed. Recently, this
hypothesis has been questioned. We sought to provide a definitive test of the
involvement of spatial attention in OSM by using an eight-alternative forced
choice task under a range of mask durations, set sizes, and target/distractor
spatial configurations. The results provide very little evidence that set size,
and thus the distribution of spatial attention, interacts with masking magnitude.
These findings have implications for understanding the mechanisms underlying OSM
and the relationship between consciousness and attention.
PMID- 24924849
TI - The error protection impact of inhibitory after-effects in a location-based task
and its preservation with practice.
AB - In location-based tasks, responses related to (prime trial) distractor-occupied
locations automatically undergo activation, followed by inhibition, which causes
these responses to become execution-resistant (ER). Distractor-response ER takes
time to override, delaying target reactions that later require this response
(e.g., probe, ignored-repetition trials), causing the spatial negative priming
(SNP) phenomenon. We learned in this study that distractor-response ER affords
this output a degree of error protection. Specifically, when the probe target
appeared at a new location, former (prime) distractor responses were used
erroneously significantly less often than their control response counterparts,
likely due to their ER feature, which discourages their inappropriate selection
(i.e., "ER" provides error protection). This error protection also was evident
when a previous distractor response was activated by a distractor on the probe
(i.e., distractor-repeat trial). Notably, error protection remained effective
over extensive practice, as did SNP size (i.e., ER override time) after an
initial decline.
PMID- 24924850
TI - Olfactory-visual congruence effects stable across ages: yellow is warmer when it
is pleasantly lemony.
AB - This study aimed to examine the age-related differences in the olfactory-visual
cross-correspondences and the extent to which they are moderated by the odors
pleasantness. Sixty participants aged from 20- to 75- years (young, middle-aged
and older adults) performed a priming task to explore the influence of six
olfactory primes (lemon, orange, rose, thyme, mint and fish) on the
categorization (cool vs. warm) of six subsequent color targets (yellow, orange,
pink, malachite green, grass-green, and blue-gray). We tested mixed effects
models. Response times were regressed on covariates models using both fixed
effects (Groups of age, olfactory Pleasantness and multimodal Condition) and
cross-random effects (Subject, Color and Odor). The random effects coding for
Odor (p < .001) and Color (p = .001) were significant. There was a significant
interaction effect ( p= .004) between Condition * Pleasantness, but not with
Groups of age. The compatibility effect (i.e., when odors and colors were
congruent, the targets processing were facilitated) was as much enhanced as the
olfactory primes were pleasant. Cross-correspondences between olfaction and
vision may be robust in aging. They should be considered alongside spatiotemporal
but also emotional congruency.
PMID- 24924851
TI - Can intermittent theta burst stimulation as add-on to psychotherapy improve
nicotine abstinence? Results from a pilot study.
AB - Smoking is among the leading causes of mortality worldwide. Discontinuing smoking
can increase life expectancy to the presmoking level. Unaided attempts are often
ineffective, strengthening the necessity of cognitive-behavioral therapy (CBT),
nicotine replacement or pharmacotherapy. Still, relapse rates are high. Recently,
a modulation of nicotine craving, which predicts relapse, through transcranial
magnetic stimulation to the prefrontal cortex was shown. In a pilot study, we
investigated whether 4 sessions of intermittent theta burst stimulation (iTBS) as
add-on treatment to CBT reduces nicotine craving and improves long-term
abstinence (at 3, 6 and 12 months). Smokers were randomly assigned to a treatment
(n = 38) or a sham group (n = 36). Although we did not find reduced craving, we
could show higher abstinence rates in the treatment group at 3 months. At 6 and
12 months abstinence rates did not differ significantly. Results at 12 months,
however, have to be interpreted cautiously due to significant differences in the
dropout rates between the two groups at this time point. We provide first
evidence for a beneficial effect of additional iTBS on intermediate nicotine
abstinence; however, the low number of iTBS sessions might have prevented longer
effects. More lasting effects might be achieved by iTBS maintenance sessions in
analogy to the treatment of depression.
PMID- 24924852
TI - From transposon to chromosome and polyploidy. An update on cytogenetics and
genomics of Arabidopsis.
PMID- 24924854
TI - The CROWN Initiative: journal editors invite researchers to develop core outcomes
in women's health. The Core Outcomes in Women's Health (CROWN) Initiative.
PMID- 24924853
TI - Chromosome synapsis and recombination in simple and complex chromosomal
heterozygotes of tuco-tuco (Ctenomys talarum: Rodentia: Ctenomyidae).
AB - The chromosomal speciation hypothesis suggests that irregularities in synapsis,
recombination, and segregation in heterozygotes for chromosome rearrangements may
restrict gene flow between karyotypically distinct populations and promote
speciation. Ctenomys talarum is a South American subterranean rodent inhabiting
the coastal regions of Argentina, whose populations polymorphic for Robertsonian
and tandem translocations seem to have a very restricted gene flow. To test if
chromosomal differences are involved in isolation among its populations, we
examined chromosome pairing, recombination, and meiotic silencing of unsynapsed
chromatin in male meiosis of simple and complex translocation heterozygotes using
immunolocalization of the MLH1 marking mature recombination nodules and
phosphorylated histone gammaH2A.X marking unrepaired double-strand breaks. We
observed small asynaptic areas labeled by gammaH2A.X in pericentromeric regions
of the chromosomes involved in the trivalents and quadrivalents. We also observed
a decrease of recombination frequency and a distalization of the crossover
distribution in the heterozygotes and metacentric homozygotes compared to
acrocentric homozygotes. We suggest that the asynapsis of the pericentromeric
regions are unlikely to induce germ cell death and decrease fertility of the
heterozygotes; however, suppressed recombination in pericentromeric areas of the
multivalents may reduce gene flow between chromosomally different populations of
the Talas tuco-tuco.
PMID- 24924855
TI - Microbial, chemical and sensory properties of shalgams made using different
production methods.
AB - BACKGROUND: Shalgam is a traditional Turkish lactic acid fermented beverage. This
study examined the microbial, chemical and sensory characteristics of shalgams
produced by various methods. RESULTS: Different production methods using
traditional method (dough fermentation and carrot fermentation), direct method
(without dough fermentation) and with the addition of starter cultures were
applied to produce shalgams. The final amounts of total acidity as lactic acid
(6.33-9.22 g L(-1)), pH (3.42-3.55), the counts of lactic acid bacteria (7.43
7.74 log CFU mL(-1)), total mesophilic aerobic bacteria (7.03-7.46 log CFU mL(
1)), yeasts (6.96-7.50 log CFU mL(-1)) and non-Saccharomyces yeasts (4.21-5.19
log CFU mL(-1) ) were found. Lactobacillus plantarum and then Lb. buchneri were
the most frequently isolated bacteria in shalgam samples. Sensory evaluation of
shalgams showed that sample produced using traditional method with starter
additions obtained highest scores. CONCLUSION: This study showed that addition of
starter lactic acid bacteria cultures improved the quality of shalgams. Analysis
of the results indicated that the direct method for the production of shalgam is
not preferable. The data obtained can be useful for industrial shalgam producers.
PMID- 24924856
TI - Expect the (un)expected: a hazardous cause of tako-tsubo cardiomyopathy.
PMID- 24924857
TI - Risk factors associated with leg disorders of gestating sows in different group
housing systems: a cross-sectional study in 108 farrow-to-finish farms in France.
AB - Group-housing, rather than individual-housing systems, is mandatory for gestating
sows in the European Union (2008/120/EEC). However, leg problems occur more
frequently in group-housing than in individual-housing systems and are a welfare
and health concern. A cross-sectional study involving 108 farms in western France
was carried out to see whether the type of the 4 main group-housing systems (i.e.
large groups with electronic feeder station in stable or in dynamic groups, small
groups in walk-in lock-in stalls or partial feeding stalls), and other husbandry
practices, were associated with leg disorders. In each farm, the sows were
examined visually for claw lesions, scored for lameness and their breeding
characteristics were recorded. Lameness was positively correlated with heel
lesions and dewclaw lesions. A concrete slatted floor, as compared to straw, was
a major risk factor (unadjusted relative risk (RR)=9.9; 95% confidence interval
(95% CI): 4.4-34.5). Walk-in lock-in stalls were found to be the most protective
system. A logistic regression model was used to identify those factors which
significantly increased the risk of leg problems. These factors were: housing in
large groups (RR=1.5; 95% CI: 1.1-2.4), dirty floors (RR=1.6; 95% CI: 1.0-2.9),
high level of ammonia (RR=1.5; 95% CI: 1.1-2.1), severely restricted feeding
particularly during the last stage of pregnancy (RR=1.5; 95% CI: 1.0-2.1) and a
high number of sows per stockman (RR=1.5; 95% CI: 1.0-2.4).
PMID- 24924858
TI - Quantitative assessment of the risk of introduction of bovine viral diarrhea
virus in Danish dairy herds.
AB - A quantitative risk assessment was carried out to estimate the likelihood of
introducing bovine viral diarrhea virus (BVDV) in Danish dairy herds per year and
per trimester, respectively. The present study gives important information on the
impact of risk mitigation measures and sources of uncertainty due to lack of
data. As suggested in the Agreement on the Application of Sanitary and
Phytosanitary Measures (SPS Agreement), the OIE Terrestrial Animal Health Code
was followed for a transparent science-based risk assessment. Data from 2010 on
imports of live cattle, semen, and embryos, exports of live cattle, as well as
use of vaccines were analyzed. Information regarding the application of
biosecurity measures, by veterinarians and hoof trimmers practicing in Denmark
and in other countries, was obtained by contacting several stakeholders, public
institutions and experts. Stochastic scenario trees were made to evaluate the
importance of the various BVDV introduction routes. With the current surveillance
system, the risk of BVDV introduction was estimated to one or more introductions
within a median of nine years (3-59). However, if all imported animals were
tested and hoof trimmers always disinfected the tools used abroad, the risk could
be reduced to one or more introductions within 33 years (8-200). Results of this
study can be used to improve measures of BVD surveillance and prophylaxis in
Danish dairy herds.
PMID- 24924859
TI - Discrimination of song types by male great tits.
AB - The purposes of this study were: to test whether male song birds could be trained
to discriminate between a reference song type and a set of other types and to see
if in learning the discrimination the birds had formed a category of "reference
song" as opposed to "others". Three male great tits learned to discriminate
between a reference song type (B) and 10 others. All of them showed an
improvement with experience, although the final scores and consistency varied
between individuals. In spite of the variability among birds some of the 10 song
types were easier to discriminate from B than others. Two of the birds achieved
at least 80% of discrimination, but only one of them yielded good data in the
following categorization tests: In one session the bird was presented with 20
novel "other" song types and the same reference type B. This bird treated all 20
types as "others". Therefore, the bird appears to have formed the category
"other". In two other sessions the bird was presented with 40 different versions
of B (as classified by the authors) recorded from 40 different individual birds,
and the same 10 training "others". The bird categorized 16 out of the 40 Bs as B,
and overall discriminated significantly between Bs and the 10 other types.
Therefore, this bird generalized the stimulus song B to other related stimuli. We
conclude that, although our results are preliminary, they at least indicate a
method of studying how birds categorize songs.
PMID- 24924860
TI - Changes in social behavior and brain catecholamines during the development of
ascorbate deficiency in Guinea pigs.
AB - Behavioral patterns of pairs of guinea pigs were recorded in 15-min observation
sessions on alternate days during a 6-day baseline phase and a 21- to 25-day
period following the introduction of an ascorbate-free diet. Ascorbate-deficient
animals were compared to two pair-fed control groups. During the last 5 days of
the experiment, marked reductions in frequency and/or cumulative duration of
active behaviors (e.g. locomotion, rearing, social grooming) were observed in the
ascorbate- deficient group, while duration of inactivity in proximity to the test
partner increased greatly. The decline in probability of locomotion was greater
at long temporal lags (> 15 sec) after locomotion of the test partner than at
short lags. Evidence of behavioral changes began to appear after 9-13 days on the
ascorbate-free diet. Assays of brain tissue after sacrifice on the last day of
the experiment revealed significant reductions in concentrations of ascorbate and
norepinephrine. Some behavioral measures were highly correlated with brain
ascorbate but not with brain norepinephrine, suggesting that other transmitter
systems are involved in mediating the behavioral changes. The results also
suggest the value of measurement of social behavior in assessing the behavioral
effects of dietary or other treatments.
PMID- 24924861
TI - Running and drinking by rats outside the schedule session.
AB - Two experiments measured the effects of a contingency schedule relating running
and eating on the behavior of rats during an "experimental hour" and during two
hours before and afterwards. The behaviors recorded during the surrounding hours
were drinking and perching (Experiment 1) and running, drinking and perching
(Experiment 2). The temporal pattern of drinking in both experiments was
unaffected by changes in conditions during the experimental hour. However,
drinking prior to the experimental hour in Experiment 2 was less than in
Experiment 1 probably due to competition from wheel running. Rats showed
unreliable patterns of perching in both experiments. Finally, running increased
both within and outside the experimental hour during the schedule conditions. The
increase in wheel running surrounding the schedule hour may represent a
combination of species-typical foraging responses and the failure of stimulus
control of instrumental behavior. These data suggest that behavior regulation and
optimality theories may need to consider the species-typical mechanisms and
stimulus control necessary for animals to integrate behavior over time.
PMID- 24924862
TI - Positive local contrast and s- duration: Theories of local contrast and a
resolution.
AB - Pigeons were exposed to a simple sequence in which an S+, presented for a fixed
duration, was alternated with three durations of an S-. Both positive and
negative local contrast appeared as distinctive patterns of responding and S+
responding depended upon the duration of the preceding S-. Response rates in S+
were higher after the two longer S- durations than after the briefest S-. The
effect of S- duration was partially independent of the presence or absence of a
clear pattern of positive local contrast in S+. Additionally, all birds showed
Skinnerian induction in S+ (depressed responding during the first half of the
presentation) when the preceding S- was the briefest of the three durations.
Additivity theory and response competition theory are both unable to account for
these and other local contrast phenomena. However, a liberal interpretation of
additivity theory, better termed a "Pavlovian-process" theory, can do so in a
very straightforward way.
PMID- 24924863
TI - Scaling of events spaced in time.
AB - Pigeons were trained to peck on a key, which could be lit by red or green light,
and produce feeder-light stimuli intermittently. On some trials, food followed
the fourth feeder flash providing the key color was red, while on other trials
food followed the sixteenth flash providing the color was green. The change in
color from red to green was produced by a peck to a second, changeover key.
Pigeons typically responded in the presence of red until four or more flashes
occured and then, if food had not been delivered, changed the main-key color and
responded on the green key. Following training, the variable-interval schedule
arranging-feeder light events was changed to longer and shorter values to alter
the amount of time (and number of responses) between events. Data from these test
days indicate that the change from red to green was influenced by the number of
events, but also by the time elapsed and/or responses emitted since the onset of
a trial. The results suggest multiple sources of related information and stimulus
control when events and behavior occur over time.
PMID- 24924864
TI - Responding on random interval schedules with high rates of reinforcement.
AB - Four rats leverpressing for food were exposed to a series of random interval
schedules that provided high rates of reinforcement. In three of the four rats,
response rates decreased with increases in obtained reinforcement rates. In two
subjects, response rates maintained by the two schedules with the highest
reinforcement rates differed even though the obtained reinforcement rates were
approximately equivalent. The results generally support theories which predict a
bitonic relation between response rate and reinforcement rate. They also suggest
that, in the development and testing of models, more attention needs to be paid
to schedule feedback functions and the role of the scheduled reinforcement rate.
PMID- 24924865
TI - Olfactorily mediated attack suppression in the southern grasshopper mouse toward
an unpalatable prey.
AB - Predatory behavior toward an unpalatable sympatric prey was examined in the
southern grasshopper mouse, Onychomy torridus (Coues). Mice preferred the
palatable grasshopper Brachystola magna over the unpalatable secretion-producing
grasshopper Taeniopoda eques. Consumption of T. eques decreased 35% while
consumption of B. magna remained stable during three nights of testing.
Olfactorily mediated attack suppression was demonstrated when O. torridus
rejected palatable B. magna which had been coated with 5 ul of T. eques defense
secretion. These results show that O. torridus discriminates and is capable of
rejecting prey at any point in the prey capture sequence. These are adaptive
attributes for a predator like O. torridus, which commonly attacks well-defended
or dangerous prey.
PMID- 24924866
TI - Breeding experience and parental behaviour in convict cichlids (Cichlasoma
nigrofasciatum ).
AB - Changes in the levels of activities by parental female and male convict cichlids
were studied over three successive reproductive episodes and within each episode.
No evidence was found for behavioural maturation independent of experience. With
successive episodes, young survived for longer times, nests were cleaner, males
ate less and females more, and males became more aggressive while females focused
more on the nest. Within an episode there were also marked variations in
activities by each sex. Reproductive behaviour is therefore open in a number of
respects both within and across episodes to environmental inputs.
PMID- 24924867
TI - Nest-box exploration and choice in male and female mice tested under individual
and social conditions.
AB - Nest-box exploration and the choice of nest site were studied in the laboratory
mouse (Mus musculus L.) using a long-term successive choice procedure involving
six simultaneously available nest-boxes differing in size and shape. The subjects
of the experiments were male and female individuals tested both singly and with
their same-sex cagemates. The subjects were reared since birth in circular cages
instead of the standard rectangular ones used in previous experiments. The
preferences shown by circular-cage reared males in establishing their nest tended
to be shape-linked, the rectangular being preferred to the square and circular
nest-boxes of both sizes tested. Individuals used shape as the main choice
criterion, whereas litters more often took smallness of size into account. The
animals' choice of nest-box does not seem to be particularly gender-dependent,
since no significant differences between the sexes were found in this respect.
The order and number of visits recorded during the initial exploratory phase (the
first 30 min) of the choice process indicated that size was the most frequent
feature of the nest-boxes to be taken into account, which could simply be
explained by the fact that larger nest-boxes are more likely to be encountered
than small ones. On the other hand, the animals tested as a group explored the
nest-boxes much more than those tested individually. The discussion deals mainly
with gender-related and social spatial behaviours in rodents.
PMID- 24924868
TI - Operant-Pavlovian interactions: Ratio-schedules and the effects of the duration
and location of a stimulus preceding response-independent food.
AB - Two groups of four pigeons were exposed to either a fixed-ratio or a random-ratio
schedule of reinforcement. Presentation of stimuli which preceded response
independent food delivery was then superimposed upon this operant baseline. The
duration and the location of stimulus presentation were varied in different
experimental conditions. Random-ratio schedules maintained higher response rates
than fixed-ratio schedules. Response rates during presentation of the stimulus on
the operant key exceeded response rates in the absence of stimulus presentation
for the fixed-ratio subjects, but not for the random-ratio subjects. Similar
observations were made when stimulus presentation occurred on a seperate stimulus
key. During stimulus presentation on the stimulus key, response rates on the
operant key were considerably higher for the random-ratio subjects than for the
fixed-ratio subjects, especially during longer presentation of the stimulus (30
sec).
PMID- 24924869
TI - Mimicry and crypsis - a behavioural approach to classification.
AB - Mimetic strategies are characterized by interference in information processes,
whereby certain signalreceivers are deceived by a mimic. The function of mimetic
behaviour as well as of other behavioural patterns which have evolved is to
satisfy the needs of the environment. Therefore it would seem justified to
classify the phenomena of mimicry and crypsis on the basis of the aims which
mimics have. Furthermore, several ethological ideas and terms of the organism
environment-interrelationships (irrelevant and relevant environment;
communicative and information environment) are investigated to find out whether
they are suitable to characterize and define animal and plant imitations. There
are at least three complexes of mimetic strategies:
PMID- 24924870
TI - Adult-young interaction in Mongolian gerbils (Meriones unguiculatus ) in relation
to duration of separation, age and kinship.
AB - Mated pairs of Mongolian gerbils were rejoined by two of their own young, of both
sexes, after periods of separation starting when the young were four or seven
weeks of age and lasting either one or four weeks. An additional group of young
was separated from their parents when six weeks old, this time for two weeks. The
occurrence of sniffing, opposition and pursuit behaviour between each adult and
each young gerbils was noted over a period of one hour. Our experiments showed
differences in the behaviour of parents in relation to the period of isolation.
Sniffing decreased and agonistic behaviour increased as the duration of
separation increased. The duration of separation was of greater importance than
age when separated. In the second part of the study, mated pairs were confronted
with two unrelated young gerbils of both sexes, aged 5, 8 or 11 weeks, separated
from their parents for respectively 1, 2 and 4 weeks. Similar experiments were
carried out with groups of young of the same age, separated from their parents
for one hour, and tested either with their parents or with unrelated mated pairs.
Analysis of the experiments confirmed that interaction between adults and young
depended more on the duration of separation than on age. Kinship resulted in less
sniffing of both types of young by the adult female during the one hour
separation experiments. In the course of these experiments, pursuit and
opposition were almost totally absent. In our experiments, the determinant factor
of adult-young relationship was the development of the young withdrawn from the
influence of parents rather than age or Kinship.
PMID- 24924871
TI - Imitation learning in budgerigars: Dawson and Foss (1965) revisited.
AB - Dawson and Foss (1985) have reported that each of five naive budgerigars
(Melopsittacus undulatus ) after watching a demonstrator budgerigar use one of
three different methods of opening a covered food dish, used the same method as
its respective demonstrator to uncover its own food fish. Our first attempt to
replicate Dawson and Foss' experiment was unsuccessful and revealed a number of
sources of ambiguity in their methods. Modified procedures, removing these
ambiguities, produced results confirming those of Dawson and Foss. However,
although observer budgies exhibited a significant tendency to use the same method
to uncover a food dish as did their respective demonstrators, the effect was both
of brief duration and marginal significance. This relative fragility of the
Dawson and Foss' (1965) finding renders it unsuitable as a model system for
exploring the phenomenon of imitation learning.
PMID- 24924872
TI - Shadow of domestic violence and extramarital sex cohesive with spousal
communication among males in Nepal.
AB - BACKGROUND: Public health and human right issues are challenging in low and
middle income countries. The main objectives of this paper were to determine the
prevalence and factors associated with domestic violence, extramarital sex, and
spousal communication among male. METHODS: A cross-sectional study among 2466
married males in Kathmandu, Nepal was conducted using random sampling method.
Adjusted odds ratios (AORs) and 95% confidence intervals (CI) of associated
factors were estimated by stepwise backward likelihood ratio method. RESULTS:
Prevalence of domestic violence was 63.14% (95% CI 61.20-65.05), extramarital sex
was 32.12% (95% CI 30.27-34.00), and spousal communication was 48.87% (95% CI
46.85-50.90). Nearly one in five male (18.20%) had not used condom during
extramarital sex.Interestingly, male who had more than three or equal children
were less likely to have perpetrated domestic violence compared with those who
had less children. Older male aged 25 and above were more likely (AORs = 1.55,
95% CI 1.19-2.03) to have extramarital sex compared with male aged 24 or below.
Those male who had studied secondary or higher level of education were less
likely to have extramarital sex compared to those who had primary level or no
education. Male who had higher income were more likely to have spousal
communication compared to those who had less income. Surprisingly, those male who
had extramarital sex were less likely to have spousal communication compared with
those was not involved in extramarital sex. CONCLUSION: Practice of domestic
violence and extramarital sex is quite common among married male in Nepal, where
spousal communication is sparse. These findings can be used to advocate for
immediate attention and activities needs to be endorsed by policymakers and
programmers.
PMID- 24924873
TI - Loss of E-Cadherin expression is associated with a poor prognosis in stage III
colorectal cancer.
AB - PURPOSE: The epithelial-mesenchymal transition (EMT) is known to be associated
with tumor progression, invasion and metastasis in colorectal cancer (CRC).
MATERIALS AND METHODS: Tissue samples obtained from 409 patients with stage III
CRC treated from 2006 to 2007 were examined by immunohistochemistry to reveal the
expression levels of E-cadherin, fibronectin, vimentin and alpha-smooth muscle
actin (SMA). RESULTS: Among the 409 patients, 402 cases (98.3%) showed positive E
cadherin expression. Positive E-cadherin expression was associated with well or
moderately differentiated cell types and a stable microsatellite status. In
multivariate analysis, a preoperative carcinoembryonic antigen level >5 ng/ml (p
= 0.021), advanced N stage (p = 0.017), positive vascular invasion (p = 0.048),
positive perineural invasion (p = 0.002) and negative E-cadherin expression (p =
0.002, relative risk = 5.098, 95% CI = 1.801-14.430) were poor prognostic factors
affecting disease-free survival. The declining E-cadherin expression was
associated with a poor outcome in terms of overall survival in univariate (p =
0.016) but not in multivariate analyses (p = 0.303, relative risk = 1.984, 95% CI
= 0.539-7.296). Fibronectin, vimentin and alpha-SMA were of no prognostic value
in this study. CONCLUSION: The expression pattern of EMT markers in stage III CRC
suggests that declining E-cadherin expression is a possible immunohistochemical
predictor of patient prognosis.
PMID- 24924874
TI - Modifying the risk associated with an impulsive temperament: a prospective study
of drug dependence treatment.
AB - The evidence linking the personality trait of impulsivity and substance misuse is
well established. Importantly, impulsivity not only predicts substance misuse
problems but has an association with duration in treatment, likelihood of
completing treatment and time to relapse. Treatment that focuses on increasing
awareness and acceptance of thoughts and emotions may potentially address
impulsive behaviour and in this respect improve treatment outcomes for substance
misuse. The current paper investigated the relationship between the facet of
impulsivity that taps into poor inhibitory control and treatment outcome. In
addition, there was a specific focus on ascertaining the impact of an increase in
awareness and attentional control measured in 144 adult substance users receiving
treatment in a residential therapeutic community. Impulsivity predicted poorer
treatment outcome (measured as drug use severity). Increases in awareness and
acceptance of emotions and thoughts during treatment were related to better
outcome although this was not associated with baseline levels of impulsivity.
Clinical and theoretical implications are discussed.
PMID- 24924876
TI - A case-control study on red meat consumption and risk of stroke among a group of
Iranian adults.
AB - OBJECTIVE: We aimed to examine the association between red meat consumption and
stroke in a group of Iranian adults. DESIGN: A hospital-based case-control study.
SETTING: The study included stroke patients and hospital-based controls. Usual
dietary intakes of participants were assessed by means of a validated 168-item
semi-quantitative FFQ. Total red meat consumption was calculated by summing up
the consumption of red, processed and visceral meats. SUBJECTS: One hundred and
ninety-five cases were stroke patients hospitalized in the neurology ward and 195
controls were recruited from patients hospitalized in other wards with no history
of cerebrovascular diseases or neurological disorders. RESULTS: Participants with
stroke were older, more likely to be male and less likely to be obese.
Individuals in the highest tertile of red meat intake were 119 % more likely to
have stroke (OR=2.19; 95% CI 1.33, 3.60) compared with those in the lowest
tertile. After controlling for age, sex and total energy intake, the association
between red meat consumption and stroke was strengthened (OR=2.72; 95% CI 1.53,
4.83). This association remained significant even after further controlling for
physical activity and smoking as well as dietary intakes. Additional adjustments
for BMI, diabetes, hypertension and hyperlipidaemia did not influence the
association significantly (OR=2.51; 95 % CI 1.19, 5.09). CONCLUSIONS: Consumption
of red meat was associated with greater odds of having stroke in a group of
Iranian adults.
PMID- 24924875
TI - A novel manipulation method of human body ownership using an fMRI-compatible
master-slave system.
AB - Bodily self-consciousness has become an important topic in cognitive neuroscience
aiming to understand how the brain creates a unified sensation of the self in a
body. Specifically, full body illusion (FBI) in which changes in bodily self
consciousness are experimentally introduced by using visual-tactile stimulation
has led to improve understanding of these mechanisms. This paper introduces a
novel approach to the classic FBI paradigm using a robotic master-slave system
which allows us to examine interactions between action and the sense of body
ownership in behavioral and MRI experiments. In the proposed approach, the use of
the robotic master-slave system enables unique stimulation in which experimental
participants can administer tactile cues on their own back using active self
touch. This active self-touch has never been employed in FBI experiments and it
allows to test the role of sensorimotor integration and agency (the feeling of
control over our actions) in FBI paradigms. The objective of this study is to
propose a robotic-haptic platform allowing a new FBI paradigm including the
active self-touch in MRI environments. This paper, first, describes the design
concept and the performance of the prototype device in the fMRI environment (for
3T and 7T MRI scanners). In addition, the prototype device is applied to a
classic FBI experiment, and we verify that the use of the prototype device
succeeded in inducing the FBI. These results indicate that the proposed approach
has a potential to drive advances in our understanding of human body ownership
and agency by allowing novel manipulation and paradigms.
PMID- 24924877
TI - Invasive candidiasis in low birth weight preterm infants: risk factors, clinical
course and outcome in a prospective multicenter study of cases and their matched
controls.
AB - BACKGROUND: This multicenter prospective study of invasive candidiasis (IC) was
carried out to determine the risk factors for, incidence of, clinical and
laboratory features, treatment and outcome of IC in infants of birth weight <1250
g. METHODS: Neonates <1250 g with IC and their matched controls (2:1) were
followed longitudinally and descriptive analysis was performed. Survivors
underwent neurodevelopmental assessment at 18 to 24 months corrected age.
Neurodevelopmental impairment (NDI) was defined as blindness, deafness, moderate
to severe cerebral palsy, or a score <70 on the Bayley Scales of Infant
Development 2nd edition. Multivariable analyses were performed to determine risk
factors for IC and predictors of mortality and NDI. RESULTS: Cumulative incidence
rates of IC were 4.2%, 2.2% and 1.5% for birth-weight categories <750 g, <1000 g,
<1500 g, respectively. Forty nine infants with IC and 90 controls were enrolled.
Necrotizing enterocolitis (NEC) was the only independent risk factor for IC
(p=0.03). CNS candidiasis occurred in 50% of evaluated infants, while congenital
candidiasis occurred in 31%. Infants with CNS candidiasis had a higher mortality
rate (57%) and incidence of deafness (50%) than the overall cohort of infants
with IC. NDI (56% vs. 33%; p=0.017) and death (45% vs. 7%; p=0.0001) were more
likely in cases than in controls, respectively. IC survivors were more likely to
be deaf (28% vs. 7%; p=0.01). IC independently predicted mortality (p=0.0004) and
NDI (p=0.018). CONCLUSION: IC occurred in 1.5% of VLBW infants. Preceding NEC
increased the risk of developing IC. CNS candidiasis is under-investigated and
difficult to diagnose, but portends a very poor outcome. Mortality, deafness and
NDI were independently significantly increased in infants with IC compared to
matched controls.
PMID- 24924878
TI - Birth weight and neonatal adiposity prediction using fractional limb volume
obtained with 3D ultrasound.
AB - INTRODUCTION: The objective of this investigation was to study fetal thigh volume
throughout gestation and explore its correlation with birth weight and neonatal
body composition. This novel technique may improve birth weight prediction and
lead to improved detection rates for fetal growth restriction. MATERIALS AND
METHODS: Fractional thigh volume (TVol) using 3D ultrasound, fetal biometry and
soft tissue thickness were studied longitudinally in 42 mother-infant pairs. The
percentages of neonatal body fat, fat mass and fat-free mass were determined
using air displacement plethysmography. Correlation and linear regression
analyses were performed. RESULTS: Linear regression analysis showed an
association between TVol and birth weight. TVol at 33 weeks was also associated
with neonatal fat-free mass. There was no correlation between TVol and neonatal
fat mass. Abdominal circumference, estimated fetal weight (EFW) and EFW centile
showed consistent correlations with birth weight. Thigh volume demonstrated an
additional independent contribution to birth weight prediction when added to the
EFW centile from the 38-week scan (p = 0.03). CONCLUSION: Fractional TVol
performed at 33 weeks gestation is correlated with birth weight and neonatal lean
body mass. This screening test may highlight those at risk of fetal growth
restriction or macrosomia.
PMID- 24924880
TI - [Roles of environmental factors in the pathogenesis of bronchial asthma].
PMID- 24924879
TI - [Paying greater attention to the studies of disease burden of bronchial asthma].
PMID- 24924881
TI - [Status of tiotropium bromide in the treatment of bronchial asthma].
PMID- 24924882
TI - [Risk factors of bronchial asthma among people aged over 14 years in China].
AB - OBJECTIVE: To survey the risk factors of asthma among the people aged over 14
years in China. METHODS: Home visits for completing epidemiological
questionnaires in accordance with stratified cluster random sampling survey were
conducted in 8 provinces (cities) of China residents aged over 14 years from
February 2010 to August 2011. Asthma was diagnosed based upon case history,
clinical signs and lung function test. The SPSS 12.0 software was used for
statistic analyses for the epidemiological status of asthma. RESULTS: Sampling
population was composed of 180 099 subjects. Among 164 215 valid questionnaires,
there were 79 692 males and 84 523 females, 2 034 had asthma. The overall
prevalence rate was 1.2% (2 034/164 215). Correlation analyses showed that the
risk factors were smoking (OR = 1.697, 95%CI: 1.547-1.861), breast feeding (OR =
0.801, 95%CI: 0.670-0.959), genetics (OR & 95%CI >1, asthma (OR = 10.440, 95%CI:
8.991-12.112)), complications (OR & 95%CI >1), body mass index (compared with
normal weight, overweight (OR = 1.360, 95%CI: 1.212-1.531), obesity (OR = 10.631,
95%CI: 9.570-11.801)) and petting (OR & 95%CI >1). CONCLUSION: Among Chinese
asthmatics aged over 14, their risk factors include host (genetics & obesity) and
environmental (smoking, breastfeeding, complications & pets) factors.
PMID- 24924883
TI - [Study on dynamic changes of airway hyperresponsiveness in children with cough
variant asthma].
AB - OBJECTIVE: To explore the dynamic changes of airway hyperresponsiveness (AHR) in
children with cough variant asthma (CVA). METHODS: A prospective observational
study was conducted at the Children's Hospital of Soochow University from April
2012 to March 2013. A total of 36 patients were diagnosed as CVA. According to
the integral dose of histamine resulting in a 20% (PD20) fall in forced
expiratory volume in 1 s (FEV1), the severity of CVA was graded. Corresponding
treatment was administered and the dynamic changes of airway hyperresponsiveness
were followed for 0, 1 and 6 months. RESULTS: Among them, 14 patients suffered
from CVA only while another 22 cases had CVA plus upper airway cough syndrome
(UACS). PD20 of CVA and CVA+UACS groups were (0.46 +/- 0.26) and (0.58 +/- 0.34)
mg respectively. No statistically significance existed between two groups (t =
0.880, P > 0.05). According to the value of PD20, AHR in CVA patients was
classified into four degrees of severe, moderate, mild and very mild. Initially
there were moderate (n = 8), mild (n = 21) and very mild (n = 5). At 1 month
follow-up, moderate (n = 1), mild (n = 28) and borderline (n = 7); At 6 month,
moderate (n = 2), mild (n = 20) and very mild (n = 7). And 4 cases turned
negative and another 3 cases became lost. Cough symptom score and PD20 showed no
significant correlation (r = -0.086, P > 0.05). CONCLUSIONS: AHR in CVA patients
is predominantly mild and very mild. And it decreases rapidly mostly during
initial treatment phase. The proportion of conversion from CVA into negative and
typical bronchial asthma is relatively low.
PMID- 24924884
TI - [Mechanism of signal molecule high mobility group box protein 1 mediated by Toll
like receptor 2 in murine asthma].
AB - OBJECTIVE: To explore the role and mechanism of signal molecule high mobility
group box protein 1 (HMGB1) mediated by Toll-like receptor 2 (TLR2) in a murine
asthma model. METHODS: Fourteen specific pathogen free (SPF) female C57 and TLR2(
/-) mice each were randomly divided into 4 groups of C57 control, C57 asthma,
TLR2(-/-) control and TLR2(-/-) asthma (n = 7 each). The animals were sensitized
and challenged with ovalbumin (OVA) for asthmatic modeling. The same amount of
normal saline was used in the control group. The supernatant of bronchoalveolar
lavage fluid (BALF) was collected for detecting the level of HMGB1 by enzyme
linked immunosorbent assay (ELISA). And the expression of HMGB1 in lung tissue
was detected by Western blot and immunohistochemistry. RESULTS: Asthmatic murine
model was successfully established. The level of HMGB1 in the BALF of C57 asthma
group was significantly higher than that in C57 control, TLR2(-/-) asthma and
TLR2(-/-) control groups ((59.0 +/- 13.9) vs (42.3 +/- 1.6), (47.5 +/- 2.3),
(42.4 +/- 1.4) ng/L; P = 0.001, 0.001, 0.037) . The results of
immunohistochemistry showed that the marker of HMGB1 in lung tissue was less than
those in the C57 control and TLR2(-/-) control groups. However, the C57 asthma
and TLR2(-/-) asthma groups were obviously more and they were located in airway
epithelium. Western blot showed that the expression of HMGB1 was significantly
higher in C57 asthma group than that in the C57 control, TLR2(-/-) asthma and
TLR2(-/-) control groups (0.92 +/- 0.29 vs 0.18 +/- 0.09, 0.31 +/- 0.16, 0.21 +/-
0.14; P = 0.007, 0.022, 0.009). CONCLUSIONS: HMGB1 promotes the airway
inflammation mediated by TLR2. And it may participate in the pathogenesis of
asthma.
PMID- 24924885
TI - [Sequence analyses of HIRA gene 3'UTR region and related microRNA].
AB - OBJECTIVE: To explore the HIRA gene sequences of 3'UTR region and elucidate the
role of 3'UTR region of HIRA gene in the pathogenesis of tetralogy of Fallot
(TOF). METHODS: Patients of TOF were confirmed by cardiac catheterization or
surgery between April 2007 and December 2012 at our hospital. Mutations and
single nucleotide polymorphisms (SNPs) were screened in 278 unrelated probands
with isolated TOF and 515 controls. Target Scan was used to predict micro RNAs
with possible combinations with 3'UTR region of HIRA gene. Dual-luciferase assay
and real-time PCR were performed to detect the inhibition activity of micro RNAs
on target genes. And chi(2) and t tests were used to analyze the results.
RESULTS: Statistically significant change occurred in the alleleic frequencies of
existing SNPs (rs:117447448) between TOF patients and control group (11.5%
(32/278) vs 4.9% (25/515), P = 0.001) . The combining site of miR328 was
predicted to be 10 bp upstream of SNP site. MiR328 was expressed in heart and it
was related with myocardial infarction and atrial fibrillation. Dual-luciferase
assay showed a decreased level of luciferase after co-transfection with miR328
(0.012 5 +/- 0.000 6 vs 0.019 6 +/- 0.003 8, P = 0.034). So was the expression of
HIRA (1.039 6 +/- 0.077 2 vs 1.608 7 +/- 0.274 9, P = 0.037). However, the
luciferase level was not affected by SNP (rs:117447448) (P = 0.380). CONCLUSIONS:
The SNP (rs:117447448) of 3'UTR region of HIRA gene is related with TOF. HIRA is
the target gene of miR328. Although SNP (rs:117447448) is not a major site of
target gene HIRA for micro RNA328, it provides an important clue to in-depth
studies of 3'UTR region of HIRA gene in the pathogenesis of TOF.
PMID- 24924886
TI - [Mutation analyses and prenatal diagnosis in two families of X linked severe
combined immunodeficiency caused by IL2RG gene novel mutation].
AB - OBJECTIVE: To evaluate the diagnostic feasibility of mutation analysis and
prenatal genetic diagnosis genetic analysis of IL2RG gene in two families with a
birth history of X-linked severe combined immunodeficiency (X-SCID). METHODS:
Blood samples of a male infant patient of X-SCID and his mother in family 1 and
the parents of another deceased child with X-SCID in family 2 from January 2012
to February 2013 were collected.Eight exons comprising IL2RG open reading frame
and their exon/intron boundaries were analyzed by bi-directional direct
sequencing of polymerase chain reaction (PCR) products. Prenatal genetic
diagnoses were performed by chorionic villus sampling after the genotypes of
maternal probands were identified in family 1. RESULTS: Two mutations of IL2RG
gene were identified in these two families. The c.361-363delGAG (p.E121del)
mutation was identified in family 1. The c.510-511insGAACT (p.W173X) mutation
appeared in family 2. The two mutations of c.361-363delGAG (p.E121del) and c.510
511insGAACT (p.W173X) were novel. The two novel mutations were absent in 100
normal controls. The pregnancy in family 1 continued and the infant showed no
symptom of X-SCID at 1 year after birth. The aunt (II-3) of proband in family 1
was not a carrier. The female fetus in family 1 had no mutation. CONCLUSIONS: Two
novel mutations of c.361-363delGAG (p.E121del) and c.510-511insGAACT (p.W173X) in
IL2RG gene may be a major cause of disease in two families with X-SCID. And
direct sequencing of IL2RG gene provides genetic counseling, prenatal diagnosis
and carrier screening for families with X-SCID.
PMID- 24924887
TI - [Predictors and outcomes of recurrence after resection of colorectal liver
metastases].
AB - OBJECTIVE: To explore the outcomes of different recurrence patterns and
recurrence-related factors after hepatectomy in patients with colorectal liver
metastases (CRLM). METHODS: The outcomes of different recurrence patterns and
recurrence-related factors for surgical resection of CRLMs was reviewed
retrospectively. RESULTS: A total of 152 postoperative recurrent cases were
included between 2000 and 2013. The median overall survival was 28.9 months and 5
year survival 22.1% for the entire group. The median disease-free survival was
7.6 months. Among them, 60 patients had disease recurrence within 6 months after
hepatectomy (early recurrence) and their median overall survival was 19.4 months
versus 35.1 months (n = 92) for those with a disease-free interval of over 6
months (P = 0.000).Repeat resection was associated with better outcomes, but
different patterns of recurrence were not. Lymph node metastases of primary
tumor, number of metastases and efficacy of neo-adjuvant chemotherapy (NACT) were
independently associated with recurrence. CONCLUSIONS: Recurrence after
hepatectomy of CRLMs is affected by lymph node metastases of primary tumor,
number of metastases and efficacy of NACT. Additional survival advantage may be
achieved by resection of recurrent disease.
PMID- 24924888
TI - [Prognostic factor analysis of surgical treatment in patients with rectal
neuroendocrine neoplasms].
AB - OBJECTIVE: To analyze the prognostic factors and long-term surgical efficacies of
rectal neuroendocrine neoplasm (NEN). METHODS: The clinical and pathological data
of 141 patients with rectal NENs treated between January 1999 and November 2012
were retrospectively analyzed. The Kaplan-Meier method was used to calculate
survival time and Cox regression to analyze the clinicopathological factors
correlating with patient prognosis. RESULTS: The overall 1, 3 and 5-year survival
rates were 95.4%, 87.0% and 82.8% respectively. According to the criteria of WHO
classification (2010) and staging system about rectal NEN, there were grade 1
(G1) (n = 76, 79.2%), grade 2 (G2) (n = 12, 12.5%), neuroendocrine carcinoma
(NEC) (n = 7, 7.3%) and mixed adenoneuroendocrine carcinoma (n = 1, 1.0%), the 1,
3 and 5-year survival rates were 98.6%, 43.7%,0% and 100.0% respectively. There
were stage I (n = 71, 74.0%) , stage II (n = 5, 5.2%), stage III (n = 12, 12.5%)
and stage IV (n = 8, 8.3%) . The 1, 3 and 5-year survival rates were 97.7%,
75.0%, 57.1% and 25.0% respectively.Univariate analysis showed that tumor
diameter, histological grade, lymph node metastasis, distant metastasis, radical
surgery and muscular layer invasion significantly affected the postoperative
survival. And Cox multivariate analysis indicated that tumor diameter and
histological grade were independent prognostic factors. CONCLUSIONS: Rectal NENs
vary significantly in their prognoses.However, radical surgery offers
satisfactory long-term survival rates. An optimal surgical approach should be
selected based on tumor size. And more radical surgery should be performed for
neuroendocrine tumors >2 cm.
PMID- 24924889
TI - [Expression of CD133 in primary retroperitoneal leiomyosarcoma and its
relationship with Ki-67].
AB - OBJECTIVE: To explore the correlation between CD133 and proliferative marker Ki
67 in primary retroperitoneal leiomyosarcoma and evaluate their prognostic
values. METHODS: A total of 50 primary retroperitoneal leiomyosarcoma samples
were collected at our hospital from January 2000 to December 2012. There were 9
males and 41 females. All samples were analyzed for CD133 and Ki-67 protein
expression by PV6000 immunohistochemistry. Their median age was 49 (27-75) years.
All cases were successfully followed up. RESULTS: CD133 expression was detected
in 74.00% (37/50) of primary retroperitoneal leiomyosarcoma samples. The CD133
positive rates of histological grades I & II primary leiomyosarcoma were 7/13 and
76.70% (23/30) respectively. All grade III samples (n = 7) were CD133 positive.
And the expression of CD133 had a positive correlation with tumor size, mitotic
counts and histological grade (chi(2) = 4.925, 4.525, 10.080; P = 0.026, 0.033,
0.013). The survival time of CD133-positive patients with M (Q1, Q3) was 32 (17,
56) months versus 44 (26, 65) months for those negative ones. The expression of
Ki-67 was detected in 84.00% (42/50) of primary retroperitoneal leiomyosarcoma
samples and its expression showed a positive correlation with mitotic counts. The
expressions of CD133 and Ki-67 were positively correlated in primary
retroperitoneal leiomyosarcomas (P = 0.009). Log-rank test showed that positive
expressions of CD133 and Ki-67 and 5-year patient survival rate were correlated
(P = 0.021, 0.049). Multivariate analysis showed that CD133 and mitotic counts
were independent prognostic indicators for primary retroperitoneal
leiomyosarcomas (HR = 2.040, 2.422; P = 0.000, 0.018). CONCLUSIONS: CD133 plays
an important role in the progression of primary retroperitoneal leiomyosarcomas
so that it may be used as a marker for patient prognosis. Combined detection of
CD133 and Ki-67 has a prognostic value in patients with primary retroperitoneal
leiomyosarcoma.
PMID- 24924890
TI - [Infection rate of human papillomavirus and its association with laryngeal cancer
in Chinese: a meta-analysis].
AB - OBJECTIVE: To systematically explore the prevalence of human papillomavirus (HPV)
in laryngeal cancer (LC) in Chinese and evaluate the association between HPV
infection and laryngeal cancer risk. METHODS: The databases both in English and
Chinese were searched. And the studies met the inclusion criteria were included.
The prevalence of HPV in LC group and in subgroups, stratified by publishing
years and sample storage methods, were analyzed by the R 3.0 software
respectively. The cancer risk of HPV infection was estimated by odds ratio (OR)
generated by comparisons with the control group. RESULTS: The overall prevalence
of HPV was 32% [95% confidence interval (CI): 22%-44%] and the prevalence of high
risk HPV-16/18 and low-risk HPV-6/11 was 30% (95%CI: 24%-37%) and 12% (95%CI: 9%
17%) respectively in Chinese LC patients. The HPV-16/18 infection rate was 24%
(95%CI: 15%-35%) in the subgroup between 1992 and 2000 and 32% (95%CI: 24%-41%)
in the subgroup from 2001 to 2012 respectively. And no significant difference
existed between two subgroups (P = 0.07). The HPV-16/18 infection rate in
formalin-fixed paraffin-embedded sample cohort was not significantly different
from the fresh-frozen sample cohort [31% (95%CI: 24%-39%) and 28% (95%CI: 18%
42%); P = 0.06]. Meta-analysis showed the overall HPV infection rate in the LC
group was significantly higher than in the control group (OR = 15.87 (95%CI: 9.25
27.24); P < 0.01). Moreover, as compared with the control group, the patients in
the LC group had a higher infection rate of HPV-16/18 (OR = 8.07 (95%CI: 5.67
11.48); P < 0.01). CONCLUSIONS: HPV infection rates in Chinese LC patients stay
at a relatively high level and tend to increase over time. And HPV infection may
increase LC risk in Chinese.
PMID- 24924891
TI - [Diagnosis and surgical treatment of pulmonary artery sarcoma].
AB - OBJECTIVE: To characterize the differential diagnostic characteristics and the
surgical treatment efficacy of pulmonary artery sarcoma (PAS). METHODS: From
November 2001 to January 2014, 19 PAS patients were diagnosed and 14 of them
underwent surgery at Beijing Anzhen Hospital. And their data were retrospectively
reviewed. RESULTS: All 19 patients underwent pulmonary artery computed tomography
angiography (CTA) scan. All showed a filling defect within the lumen of pulmonary
artery with a sign of wall eclipsing. And 14 of them had pulmonary artery sarcoma
confirmed through postoperative histopathological examination while another 5
patients were confirmed to have FDG abnormal high intake mass shadow on Positron
emission tomography-computed tomography (PET-CT) scan. Fourteen patients
underwent surgery, including pulmonary endarterectomy (n = 12) and pneumonectomy
(n = 2), and another five had no indication for operation and died shortly. No
perioperative death occurred for surgical patients. Five non-surgical patients
survived (20.3 +/- 11.2) days after discharge. And 14 postoperative patients
survived (16.8 +/- 3.8) months. The difference between two groups reached
statistical significance (P = 0.000). The survival difference between two
surgical procedures and between two pathological classifications did not reach
statistical significance. Nine patients did not while another 5 received adjuvant
radiotherapy and chemotherapy. Their average survivals were (12.3 +/- 3.2) and
(22.8 +/- 4.3) months respectively. And the inter-group difference reached
statistical significance (P = 0.000). CONCLUSIONS: The sign of wall eclipsing on
pulmonary artery CTA scan is pathognomonic for PAS. Radical surgical resection
provides a longer survival than non-surgery and adjuvant chemotherapy may further
extend survival.
PMID- 24924892
TI - [Correlation of serum adropin level with coronary artery disease].
AB - OBJECTIVE: To explore the correlation of serum adropin level with coronary artery
disease (CAD). METHODS: According to coronary angiography, 356 consecutive
patients with chest complaints from January 2011 to July 2012 were divided into 2
groups of CAD (n = 264, with CAD) and control (n = 92, without CAD). The serum
adropin level and other CAD related metabolic parameters were measured and SYNTAX
score was calculated. RESULTS: Serum adropin level was significantly lower in
group CAD than that in control group ((56 +/- 15) vs (83 +/- 10) ng/L, P <
0.01).Serum adropin levels in high, mild, low SYNTAX score group were (60 +/-
22),(56 +/- 12),(54 +/- 10) ng/L respectively, and there was no significant
difference among 3 groups(P = 0.116). Multivariate regression analysis revealed
that adropin was an independent risk factor for CAD (OR = 0.849, 95%CI:0.817
0.882, P < 0.01). CONCLUSIONS: Lower serum adropin level is significantly
associated with CAD. Thus it indicates a possible role of adropin in the
prevention of CAD.
PMID- 24924893
TI - [Clinical observation of DECP combination chemotherapy for relapsing and
refractory multiple myeloma patients with extramedullary plasmacytomas].
AB - OBJECTIVE: To explore the clinical effect and toxicity of (cisplatin, etoposide,
ifosfamide & dexamethasone) DECP combination chemotherapy in the treatment of
relapsing and refractory multiple myeloma (MM) with extramedullary plasmacytomas.
METHODS: A total of 20 relapsed and refractory MM patients with extramedullary
plasmacytomas treated with DECP regimen from May 2005 to May 2013 were analyzed
retrospectively. DECP protocols included cisplatin 20 mg/m(2), Day 1-3; etoposide
100 mg/d,Day 1-3; ifosfamide 500 mg.m(-2).d(-1), Day 1-4; dexamethasone 20 mg/d,
Day 1-4. Efficacy was evaluated after 2 therapeutic cycles. RESULTS: After 2
therapeutic cycles, the objective response rate (ORR) was 55% (11/20). After 3
therapeutic cycles, the ORR was 7/12.Seven patients completed 4 cycles with an
ORR of 4/7. Two patients had finished 6 cycles and continued to maintain partial
remission. The most common adverse events included gastrointestinal reaction and
myelosuppression. The median follow-up time was 30 (12-80) months. The median
time of overall survival (OS) was 30 (9-121) months. The 1-year OS was 73%, 2
year OS 28% and 3-year OS 21%. CONCLUSION: The DECP chemotherapy is both
effective and safe in the treatment of relapsed and refractory MM patients with
extramedullary plasmacytomas.
PMID- 24924894
TI - [Effects of adenosine on hMLH1 methylation of human colorectal cancer cells].
AB - OBJECTIVE: To explore the effects of adenosine on hMLH1 methylation of human
colorectal cancer cells. METHODS: The SW480 cells were treated with adenosine at
the concentrations of 0, 1.5, 3.0, 4.5 mmol/L for 72 h. The hMLH1 methylation
levels of CpG islands were detected by bisulfite sequencing polymerase chain
reaction (BSP), hMLH1 mRNA expression levels by reverse transcription-polymerase
chain reaction (RT-PCR), the expression levels of hMLH1 protein by Western blot
and the apoptotic rates by flow cytometry (FCM). The cells were treated with
adenosine at the concentrations of 0, 1.5, 3.0, 4.5 mmol/L for 24, 48, 72, 96 h.
And their proliferation rates were detected by methyl thiazolyl tetrazolium
(MTT). RESULTS: After a 72 h treatment of adenosine, the hMLH1 promoter
methylation levels of 1.5, 3.0 and 4.5 mmol/L groups were 65% +/- 4%, 45% +/- 11%
and 16% +/- 4% respectively and were all significantly lower than that of the
control group (80% +/- 4%, all P < 0.01). The mRNA expression levels, hMLH1
protein expression levels and apoptotic rates were all significantly higher than
that of the control group (0.230 +/- 0.032, 0.359 +/- 0.029 and 0.570 +/- 0.019
vs 0.079 +/- 0.010; 0.353 +/- 0.016, 0.654 +/- 0.018 and 0.854 +/- 0.014 vs 0.126
+/- 0.016; 11.9% +/- 0.6%, 20.0% +/- 1.8% and 35.8% +/- 1.8% vs 3.9% +/- 1.4%,
all P < 0.01). MTT showed that the proliferation rates of SW480 cells were lower
than that of the control group and a time-dosage dependence existed (all P <
0.05). CONCLUSION: Adenosine can reverse the abnormal methylation of hMLH1 CpG
island and promote the expression of hMLH1 so as to restrain the proliferation
and promote the apoptosis of colocectal cancer cells.
PMID- 24924895
TI - [Effects of catalpol, L-shikonin and paeonol extracted from radix rehmanniae,
radix arnebiae and cortex moutan on KGF-induced HaCaT cell proliferation].
AB - OBJECTIVE: To explore the effects of Chinese herbal medicine radix rehmanniae,
radix arnebiae and cortex moutan on the proliferation of HaCaT cells and explore
their potential curative mechanisms. METHODS: The main monomers of catalpol, l
shikonin and paeonol were extracted. And 10 ng/ml keratinocyte growth factor
(KGF) was used to induce HaCaT cell to build an in vitro model of
hyperproliferation of epidermal keratinocytes. CCK-8 assay and flow cytometry
were applied to examine the effects of herbal monomers on cell proliferation and
cell cycle. RESULTS: Both l-shikonin ( >= 10(-6) mol/L) and paeonol ( >= 1.88*10(
4) mol/L) inhibited cell proliferation while catalpol ( >= 10(-6) mol/L) enhanced
cell proliferation.L-shikonin ( >= 10(-6) mol/L) and paeonol ( >= 1.88*10(-4)
mol/L) inhibited the HaCaT cell during S and G2M phases while catalpol ( >= 10(
6) mol/L) enhanced HaCaT cell during S phase but not G2M phase. CONCLUSION: L
shikonin and paeonol inhibits the proliferation of HaCaT cells while catalpol has
opposite effects.
PMID- 24924896
TI - [Activity of glial cells in trigeminal nervous system in rats with experimental
pulpitis].
AB - OBJECTIVE: To observe the activity change of astrocyte in related nucleus caused
by acute pulpitis in rats. METHODS: Rat acute pulpitis model was induced by
lipopolysaccharides (LPS). And, according to processing time, a total of 30 rats
were divided into 5 groups of control, 6, 12, 24 and 48 h. Immunohistochemistry
and Western blot were employed to detect the dynamic expression of glial
fibrillary acidic protein (GFAP) in spinal nucleus of trigeminal nerve (Vc).
RESULTS: The relative gray value of ipsilateral Vc GFAP expression in
experimental groups was 153 +/- 11 at 12 h. And it significantly increased versus
the control group (100 +/- 4)(P < 0.05). The relative gray value of GFAP was 198
+/- 12 at 24 h and was 231 +/- 9 at 48 h. And they significantly increased versus
the control group (both P < 0.01). Their expressions were time-dependent.
CONCLUSION: In this acute pulpitis model, activated glial cells are probably
involved in the processes of pulpitis and hyperalgesia.
PMID- 24924897
TI - [Effects of transforming growth factor-beta1 gene silencing on the expression of
vascular endothelial growth factor in human bladder cancer cell lines].
AB - OBJECTIVE: To employ RNA interference technology to silence transforming growth
factor-beta1 (TGF-beta1) gene to examine the gene silencing effects of RNAi on
the expression of vascular endothelial growth factor (VEGF) in human bladder
cancer cell lines (EJ). METHODS: The TGF-beta1 gene-specific siRNA expression
vector was constructed. And the most efficiently suppressed target sequences were
screened through reverse transcription-polymerase chain reaction (RT-PCR) and
enzyme-linked immunosorbent assay (ELISA). The samples were divided into 3 groups
of EJ, control (TGF-beta1) and recombinant plasmid (TGF-beta1 siRNA expression
vector). And the expression level of VEGF protein was detected by Western blot.
RESULTS: TGF-beta1 gene-specific siRNA expression vector was constructed
successfully. TGF-beta1 relative mRNA expression was 0.92 +/- 0.19 and the
protein expression level (50 +/- 6) pg/ml. The protein expression level of EJ
group after transfection was (0.86 +/- 0.18) pg/ml, control group (1.15 +/- 0.29)
pg/ml and recombinant plasmid group (0.45 +/- 0.16) pg/ml(both P < 0.05).
CONCLUSIONS: An inhibition of TGF-beta1 gene down-regulates the expression of
VEGF. And TGF-beta1 may regulate angiogenesis of bladder tumor through an
induction of VEGF gene expression.
PMID- 24924898
TI - Comment: Dietary glycemic load and stroke: what is needed for stable risk
assessment?
PMID- 24924899
TI - Dietary glycemic load and stroke: what is the need for stable risk assessment on
stroke?
PMID- 24924900
TI - Burn after feeding. An old uncoupler of oxidative phosphorylation is redesigned
for the treatment of nonalcoholic fatty liver disease.
AB - Uncoupling of oxidative phosphorylation (OXPHOS) in brown adipose tissue can be
used by hibernating animals to produce heat at the expense of their fat mass. In
a recent work, Dr Shulman et al. generated a liver-targeted derivative of the
prototypical OXPHOS uncoupler 2,4-dinitrophenol that alleviated steatosis,
hypertriglyceridemia and insulin resistance in several models of nonalcoholic
fatty liver disease and type 2 diabetes.
PMID- 24924901
TI - Variability in definitions of transaminase upper limit of the normal impacts the
APRI performance as a biomarker of fibrosis in patients with chronic hepatitis C:
"APRI c'est fini ?".
AB - BACKGROUND: The aspartate aminotransferase platelet ratio index (APRI) is a
validated, non-patented blood test for diagnosing fibrosis or cirrhosis in
patients with chronic hepatitis C. We assess the impact of two limitations, the
variability of the upper limit of normal for aspartate aminotransferase (AST-ULN)
and the risk of overestimating fibrosis stage due to necroinflammatory activity.
METHODS: The variability of AST-ULN was assessed by an overview of the literature
and an assessment of AST-ULN in 2 control populations 7521 healthy volunteers and
393 blood donors. We assessed the impact of AST-ULN variability on APRI
performance for estimating fibrosis prevalence and on the Obuchowski measure
using individual data of 1651 patients with APRI, FibroTest and biopsy. RESULTS:
The overview, and the analysis of the control populations found that ULN-AST
ranged from 26 to 49 IU/L according to gender, body mass index and serum
cholesterol. When this AST-ULN variability was applied to the chronic hepatitis
group, the prevalence of advanced fibrosis and cirrhosis as presumed by APRI
varied (P<0.001) from 34.7% to 68.5%, and from 11.4% to 32.3%, respectively. This
spectrum effect induced variability in APRI performance, which could be similar
0.862 (if AST-ULN=26 IU/L) or lower 0.820 (AST-ULN>=30IU/L) than the stable
FibroTest performance (0.867; P=0.35 and P<0.0001 respectively). When applied to
18 acute hepatitis C patients, the rate of false positives of APRI varied from 0%
to 61% due to AST-ULN. CONCLUSION: The AST-ULN variability is high highly
associated with the variability of metabolic risk factors between the different
control groups. This variability induces a spectrum effect, which could cause
misleading interpretations of APRI performance for the staging of fibrosis,
comparisons of APRI with other non-invasive tests, and estimates of false
positive rate.
PMID- 24924902
TI - A meta-analysis of cytokine-induced killer cells therapy in combination with
minimally invasive treatment for hepatocellular carcinoma.
AB - BACKGROUND AND OBJECTIVE: There was a continuing controversy on whether the
adoptive transfusion of cytokine-induced killer cells (CIK) therapy should have
been recommended to reduce the recurrence and metastasis of hepatocellular
carcinoma (HCC) after minimally invasive therapy such as TACE (transarterial
chemoembolization) or TACE plus RFA (radiofrequency ablation) treatment. The meta
analysis was conducted to compare the effectiveness of CIK cells transfusion
therapy combined with TACE or TACE plus RFA treatment with that of minimally
invasive therapy alone. METHODS: Relevant studies were identified by electronic
search using a combination of "hepatocellular carcinoma" and "cytokine-induced
killer cells". Overall survival (OS) rates and recurrence-free survival (RFS)
rates were compared as the major outcome measures. The meta-analysis was divided
into two sub-studies (sub-study 1: CIK+TACE+RFA versus TACE+RFA; sub-study 2:
CIK+TACE versus TACE) to avoid the risk of bias as we could. RESULTS: Meta
analysis data suggested that CIK cells transfusion therapy combined with TACE
plus RFA treatment was associated with higher 1-year RFS rate (odds ratio
[OR]=2.46) and 1-year, 2-year OS rates (OR: 1-year=2.09; 3-year=2.16) than TACE
plus RFA treatment alone in sub-study 1. For sub-study 2, there were significant
differences between CIK+TACE group and TACE group for OS rates (OR: half
year=3.29; 1-year=3.71; 2-year=7.37). CONCLUSION: CIK cells transfusion therapy
truly showed a synergistic effect for HCC patients after minimally invasive
treatment especially for a long-term survival.
PMID- 24924903
TI - Liver biopsy in children 2014: who, whom, what, when, where, why?
AB - Liver biopsy is the standard procedure for obtaining hepatic tissue for
histopathological examination. The three major techniques are percutaneous,
transvenous, and laparoscopic/open biopsy, with either cutting or suction
needles. The indications for liver biopsy are shifting as knowledge of
etiologies, non-invasive biomarker alternatives, and treatment options in
paediatric liver disease expand. This mini-review presents specific indications,
alternative approaches, methods, complications, and contraindications for
paediatric liver biopsy.
PMID- 24924904
TI - Expression of epithelial cell adhesion molecule associated with elevated ductular
reactions in hepatocellar carcinoma.
AB - AIM: To evaluate the prognostic significance of the epithelial cell adhesion
molecule (EpCAM) expression in HCC, the relationship between EpCAM with ductular
reactions and other clinical features. METHODS: From 2001 to 2003, 106 HCC
patients who underwent curative resection in Eastern Hepatobiliary Hospital were
enrolled. The expression of EpCAM in tumoral tissues and ductular reactions in
paired non-tumoral tissues was assessed by immunohistochemistry. EpCAM was
evaluated with prognosis, ductular reactions and other clinical variables.
RESULTS: HCC expressing high level of EpCAM showed more aggressively than usual
progressed HCC. High EpCAM expression was associated with poor overall survival
and recurrence free survival. (P=0.0005, 0.0019, respectively). A close
association was found between tumoral EpCAM expression and peritumoral ductular
reactions. Patients with high level of ductular reactions tended to have higher
EpCAM expression than those with low level (P=0.016). CONCLUSION: HCC
stratification by EpCAM may reflect different prognosis. EpCAM expression
correlated with ductular reactions may be a result of unregulated activation and
differentiation blockage of progenitor cells.
PMID- 24924905
TI - Sexual differentiation of vertebrate reproductive organs. Preface.
PMID- 24924906
TI - Interfacial mechanisms in active emulsions.
AB - Active emulsions, i.e., emulsions whose droplets perform self-propelled motion,
are of tremendous interest for mimicking collective phenomena in biological
populations such as phytoplankton and bacterial colonies, but also for
experimentally studying rheology, pattern formation, and phase transitions in
systems far from thermal equilibrium. For fuelling such systems, molecular
processes involving the surfactants which stabilize the emulsions are a
straightforward concept. We outline and compare two different types of reactions,
one which chemically modifies the surfactant molecules, the other which transfers
them into a different colloidal state. While in the first case symmetry breaking
follows a standard linear instability, the second case turns out to be more
complex. Depending on the dissolution pathway, there is either an intrinsically
nonlinear instability, or no symmetry breaking at all (and hence no locomotion).
PMID- 24924907
TI - Genomic and comparative genomic analyses of Rickettsia heilongjiangensis provide
insight into its evolution and pathogenesis.
AB - Rickettsia heilongjiangensis, the causative agent of far eastern spotted fever,
is an obligate intracellular gram-negative bacterium that belongs to the spotted
fever group rickettsiae. To understand the evolution and pathogenesis of R.
heilongjiangensis, we analyzed its genome and compared it with other rickettsial
genomes available in GenBank. The R. heilongjiangensis chromosome contains 1333
genes, including 1297 protein coding genes and 36 RNA coding genes. The genome
also contains 121 pseudogenes, 54 insertion sequences, and 39 tandem repeats.
Sixteen genes encoding the major components of the type IV secretion systems were
identified in the R. heilongjiangensis genome. In total, 37 beta-barrel outer
membrane proteins were predicted in the genome, eight of which have been
previously confirmed to be outer membrane proteins. In addition, 266 potential
virulence factor genes, seven partially deleted antibiotic resistance genes, and
a genomic island were identified in the genome. The codon usage in the genome is
compatible with its low GC content, and the amino acid usage shows apparent bias.
A comparative genomic analysis showed that R. heilongjiangensis and R. japonica
share one unique fragment that may be a target sequence for a diagnostic assay.
The orthologs of 37 genes of R. heilongjiangensis were found in pathogenic R.
rickettsii str. Sheila Smith but not in non-pathogenic R. rickettsii str. Iowa,
which may explain why R. heilongjiangensis is pathogenic. Pan-genome analysis
showed that R. heilongjiangensis and 42 other rickettsiae strains share 693 core
genes with a pan-genome size of 4837 genes. The pan-genome-based phylogeny showed
that R. heilongjiangensis was closely related to R. japonica.
PMID- 24924910
TI - A new one-layer epididymovasostomy technique.
AB - OBJECTIVES: To describe and evaluate the outcomes of a new epididymovasostomy
technique. PATIENTS AND METHODS: Nine patients with obstructive azoospermia were
treated at the Minia University Hospital using a new microsurgical bilateral
epididymovasostomy technique. The technique involved the opening of a small
window in the tunica of the epididymis, making an opening in the underneath
epididymal tubule and keeping it open by fixing the edges of the epididymal
opening to the edge of the epididymal tunica with four 10/0 nylon sutures. The
abdominal cut end of the vas deferens was then anastomosed to the epididymal
opening by suturing the epididymal tubule, fixed to its tunica in one layer, to
the full thickness vas deferens. The main outcome measure was finding sperm in
the ejaculate. RESULTS: Sperm was found in the ejaculate in six out of nine
patients after our new, one-layer, epididymovasostomy technique. Mean +/- sd
operating time was 176 +/- 23 min. CONCLUSIONS: This new, one-layer,
epididymovasostomy technique provides a simple alternative method of
epididymovasostomy, with reasonable outcomes. More cases and follow-up are needed
to make meaningful comparisons with conventional epididymovasostomy.
PMID- 24924909
TI - ZAP70 in B-CLL cells related to the expression in NK cells is a surrogate marker
for mutational status.
AB - The strongest prognostic factor in chronic B-cell lymphocytic leukemia (CLL) is
the mutational status of the immunoglobulin heavy chain variable region (IGHV)
genes. Determination of this mutational status is laborious and therefore not
applied in routine diagnostics. A search for "surrogate markers" has been
conducted over the past few years. One of the most promising surrogate markers is
ZAP70, but standardization of the measurement of ZAP70 has proven to be
difficult. Conventionally, ZAP70 expression in CLL cells is related to ZAP70
expression in T cells. We propose a new method in which ZAP70 expression in NK
cells is used as reference (new NK-MFI method). We have measured ZAP70 expression
in samples of 45 previously untreated CLL patients. ZAP70 in CLL cells related to
ZAP70 in NK cells correlated better to cytogenetic risk profile and mutational
status than the conventional methods. Negativity of both ZAP70 (new NK-MFI
method) and CD38 resulted in a probability of 90% for mutated IGHV genes. In
conclusion, ZAP70 expression in CLL cells related to ZAP70 expression in NK cells
is a better surrogate marker for mutational status than the conventional T cell
related methods.
PMID- 24924911
TI - One-year outcome of two different initial dosing regimens of intravitreal
ranibizumab for myopic choroidal neovascularization.
AB - PURPOSE: To evaluate and compare the 12-month outcomes of two different initial
dosing regimens of intravitreal ranibizumab for myopic choroidal
neovascularization (CNV). METHODS: We retrospectively reviewed the medical
records of 46 consecutive, treatment-naive eyes which received intravitreal
ranibizumab for subfoveal and juxtafoveal CNV secondary to pathologic myopia with
a follow-up of 12 months. Two groups were created according to different initial
dosing regimens: group 1 included 25 eyes treated by a single intravitreal
injection; group 2 included 21 eyes treated by three consecutive monthly
injections. Additional injections were performed if needed. Patients' demographic
data, best-corrected visual acuity (BCVA), recurrence of CNV and total number of
treatments were recorded and evaluated. RESULTS: There was no significant
difference between two groups among baseline demographic data. At 12 months, the
mean logMAR BCVA improved from 0.58 to 0.23 in group 1 and from 0.55 to 0.22 in
group 2 (both p < 0.001; Wilcoxon signed-rank test). The mean logMAR BCVA at 12
months did not differ significantly. The average number of injections was 2.32
(SD 1.22) in group 1 and 3.57 (SD 1.12) in group 2 (p = 0.001; two-tailed t
test). During the follow-up, 17 of 25 eyes in group 1 and 5 of 21 eyes in group 2
received additional injections (p = 0.004). CONCLUSIONS: Similar visual
improvement was achieved in both groups. Although the eyes with a loading dose of
3 monthly injections required a higher number of total injections over 1 year,
there was a much lower rate of retreatment needed.
PMID- 24924912
TI - Comments on "Modified wind chill temperatures determined by a whole body
thermoregulation model and human-based convective coefficients" by Ben Shabat,
Shitzer and Fiala (2013) and "Facial convective heat exchange coefficients in
cold and windy environments estimated from human experiments" by Ben Shabat and
Shitzer (2012).
AB - Ben Shabat et al. (Int J Biometeorol 56(4):639-51, 2013) present revised charts
for wind chill equivalent temperatures (WCET) and facial skin temperatures (FST)
that differ significantly from currently accepted charts. They credit these
differences to their more sophisticated calculation model and to the human-based
equation that it used for finding the convective heat transfer coefficient (Ben
Shabat and Shitzer, Int J Biometeorol 56:639-651, 2012). Because a version of the
simple model that was used to create the current charts accurately reproduces
their results when it uses the human-based equation, the differences that they
found must be entirely due to this equation. In deriving it, Ben Shabat and
Shitzer assumed that all of the heat transfer from the surface of their
cylindrical model was due to forced convection alone. Because several modes of
heat transfer were occurring in the human experiments they were attempting to
simulate, notably radiation, their coefficients are actually total external heat
transfer coefficients, not purely convective ones, as the calculation models
assume. Data from the one human experiment that used heat flux sensors supports
this conclusion and exposes the hazard of using a numerical model with several
adjustable parameters that cannot be measured. Because the human-based equation
is faulty, the values in the proposed charts are not correct. The equation that
Ben Shabat et al. (Int J Biometeorol 56(4):639-51, 2013) propose to calculate
WCET should not be used.
PMID- 24924913
TI - A new route to metal azides.
AB - Beside several other applications, metal azides can be used for the synthesis of
nitridophosphates and binary nitrides. Herein we present a novel synthetic access
to azides: Several metals, such as main-group, transition metals, and rare-earth
metals, react with silver azide in liquid ammonia as a solvent giving the
corresponding metal azides. In this work Mn(N3)2, Sn(N3)2, and Eu(N3)2, as well
as their ammonia complexes were synthesized for the first time through low
temperature methods. Also a simpler access to Zn(N3)2 was possible. At room
temperature and the respective vapor pressure of NH3, it became possible to grow
single crystals of the dinuclear holmium azide [Ho2(MU
NH2)3(NH3)10](N3)3?1.25NH3. We are confident that this new route could lead to
novel metal azides as well as nitrides of the main-group, the transition, and the
rare-earth metals upon careful decomposition.
PMID- 24924914
TI - Ultrafast volumetric B1 (+) mapping for improved radiofrequency shimming in 3
tesla body MRI.
AB - PURPOSE: To evaluate the use of the recently proposed ultrafast B1 (+) mapping
approach DREAM (Dual Refocusing Echo Acquisition Mode) for a refinement of
patient adaptive radiofrequency (RF) shimming. MATERIALS AND METHODS: Volumetric
DREAM B1 (+) calibration scans centered in the upper abdomen were acquired in 20
patients and three volunteers with written informed consent at a clinical dual
source 3 Tesla (T) MR system. Based on these data, RF transmit settings were
optimized by central-slice based RF-shimming (CS-RF shim) and by a refined, multi
slice adaptive approach (MS-RF shim). Simulations were performed to compare flip
angle accuracy and B1 (+) homogeneity (cv = stddev/mean) achieved by CS-RF shim
versus MS-RF shim for transversal and coronal slices, and for volume shimming on
the spine. RESULTS: By MS-RF shim, mean deviation from nominal flip angle was
reduced to less than 11% in all slices, all targets, and all subjects. Relative
improvements in B1 (+) cv (MS-RF shim versus CS-RF) were up to 14%/39%/47% in
transversal slices/coronal slices/ spine area. CONCLUSION: Volumetric information
about B1 (+) can be used to further improve the accuracy and homogeneity of the
B1 (+) field yielding higher diagnostic confidence, and will also be of value for
various quantitative methods which are sensitive to flip angle imperfections.
PMID- 24924915
TI - Milk oligosaccharides over time of lactation from different dog breeds.
AB - The partnership of humans and dogs goes back to over 10'000 years, yet relatively
little is known about a dog's first extra-uterine nutrition particularly when it
comes to milk oligosaccharides. We set out to identify and quantify milk
oligosaccharides over the course of lactation from different dog breeds (Labrador
retriever, Schnauzer and 3 Alaskan husky crossbreeds). To this end, 2 different
chromatographic methods with fluorescence and mass spectrometry detection were
developed and one was validated for quantification. Besides lactose and lactose
sulphate, we identified 2 different trisaccharides composed of 3 hexose units,
3'sialyllactose (3'SL), 6'sialyllactose (6'SL), 2'fucosyllactose (2'FL), and a
tetrasaccharide composed of 2 hexoses, an N-acetylhexosamine and a deoxyhexose.
3'SL was present at the highest levels in milk of all dog breeds starting at
around 7.5 g/L and dropping to about 1.5 g/L in the first 10 days of lactation.
6'SL was about 10 times less abundant and 2'FL and the tetrasaccharide had rather
varying levels in the milk of the different breeds with the tetrasaccharide only
detectable in the Alaskan husky crossbreeds. The longitudinal and quantitative
data of milk oligosaccharides from different dog breeds are an important basis to
further our understanding on their specific biological roles and also on the
specific nutritional requirements of lactating puppies.
PMID- 24924917
TI - Heart remodeling and ischemia-reperfusion arrhythmias linked to myocardial
vitamin d receptors deficiency in obstructive nephropathy are reversed by
paricalcitol.
AB - Cardiovascular disease is often associated with chronic kidney disease and vice
versa; myocardial vitamin D receptors (VDRs) are among the probable links between
the 2 disorders. The vitamin D receptor activator paricalcitol protects against
some renal and cardiovascular complications. However, the structural and
electrophysiological effects of myocardial vitamin D receptor modification and
its impact on the response to ischemia-reperfusion are currently unknown. This
work attempted to determine whether obstructive nephropathy induced myocardial
changes (in rats) linked to vitamin D receptor deficiency and to ventricular
arrhythmias in Langendorff-perfused hearts. Unilateral ureteral-obstructed and
Sham-operated rats were treated with either paricalcitol (30 ng/kg/d
intraperitoneal) or vehicle for 15 days. In 5 hearts from each group, we found
that obstructed rats showed a reduction in VDRs and an increase in angiotensin II
type 1 receptor expression (messenger RNA and protein), suffered fibrosis
(determined by Masson trichrome stain) and myofibril reduction with an increase
in mitochondrial size, and had dilated crests (determined by electron
microscopy). These changes were reversed by paricalcitol. In 8 additional hearts
per group, we found that obstructed rats showed a higher incidence of ventricular
fibrillation during reperfusion (after 10 minutes of regional ischemia) than did
those treated with paricalcitol. The action potential duration was prolonged
throughout the experiment in paricalcitol-treated rats. We conclude that the
reduction in myocardial vitamin D receptor expression in obstructed rats might be
related to myocardial remodeling associated with an increase in arrhythmogenesis
and that paricalcitol protects against these changes by restoring myocardial
vitamin D receptor levels and prolonging action potentials.
PMID- 24924916
TI - Mitochondrial Hsp90s suppress calcium-mediated stress signals propagating from
mitochondria to the ER in cancer cells.
AB - BACKGROUND: Resistance to cell death in the presence of stressful stimuli is one
of the hallmarks of cancer cells acquired during multistep tumorigenesis, and
knowledge of the molecular mechanism of stress adaptation can be exploited to
develop cancer-selective therapeutics. Mitochondria and the endoplasmic reticulum
(ER) are physically interconnected organelles that can sense and exchange various
stress signals. Although there have been many studies on stress propagation from
the ER to mitochondria, reverse stress signals originating from mitochondria have
not been well reported. METHODS: After inactivation of the proteins by
pharmacologic and genetic methods, the signal pathways were analyzed by
fluorescence microscopy, flow cytometry, MTT assay, and western blotting. A mouse
xenograft model was used to examine synergistic anticancer activity and the
action mechanism of drugs in vivo. RESULTS: We show in this study that
mitochondrial heat shock protein 90 (Hsp90) suppresses mitochondria-initiated
calcium-mediated stress signals propagating into the ER in cancer cells.
Mitochondrial Hsp90 inhibition triggers the calcium signal by opening the
mitochondrial permeability transition pore and, in turn, the ER ryanodine
receptor, via calcium-induced calcium release. Subsequent depletion of ER calcium
activates unfolded protein responses in the ER lumen, thereby increasing the
expression of a pro-apoptotic transcription factor, CEBP homologous protein
(CHOP). Combined treatment with the ER stressor thapsigargin and the
mitochondrial Hsp90 inhibitor gamitrinib augmented interorganelle stress
signaling by elevating CHOP expression, and showed synergistic cytotoxic activity
exclusively in cancer cells in vitro and in vivo. CONCLUSIONS: Collectively,
mitochondrial Hsp90s confer cell death resistance to cancer cells by suppressing
the mitochondria-initiated calcium-mediated interorganelle stress response.
PMID- 24924918
TI - Cancer-related identities in people diagnosed during late adolescence and young
adulthood.
AB - OBJECTIVES: Identity labels with which people diagnosed with cancer identify may
have important implications for post-cancer adjustment, yet little is known about
these identities, and virtually nothing about them in people diagnosed during
late adolescence and young adulthood (LAYA). We examined (1) the extent to which
LAYA participants endorse different identities, (2) relations between identities
and subsequent adjustment (positive/negative impact of cancer, quality of life,
health behaviours), and (3) coping as mediating identity-adjustment links.
DESIGN: A longitudinal study in which 120 participants at Time 1 (T1) and 84
participants at Time 2 (T2; 1 year later) were assessed. METHODS: Participants
had been diagnosed between ages 15 and 39. Demographics, cancer-related
variables, adjustment, and identities (victim/patient/someone who has had
cancer/survivor/conqueror/member of the cancer community) were measured. RESULTS:
Late adolescence and young adulthoods with cancer identify with a variety of
terms. At both time points, someone who has had cancer was the most highly
endorsed identity. Survivor and member of the cancer community were also
frequently chosen. Generally, T1 survivor, conqueror, and member of the cancer
community identities were positively correlated with T2 adjustment, whereas
victim and patient identities were negatively correlated with T2 adjustment. Both
T1 member of the cancer community identity and T2 emotional expression coping
independently and positively predicted T2 positive self-evaluation even when T1
positive self-evaluation was controlled. CONCLUSIONS: Forming empowering
identities (e.g., survivor) and emphasizing community identity (member of the
cancer community) appear helpful to post-cancer adjustment. Future studies need
to examine cancer-related identities and mechanisms explaining identity
adjustment links in this understudied group.
PMID- 24924920
TI - The Kv1.3 potassium channel is localized to the cis-Golgi and Kv1.6 is localized
to the endoplasmic reticulum in rat astrocytes.
AB - The functions of voltage-gated potassium (Kv) channels in neurons have been well
defined, whereas their roles in glial cells are not fully understood. Kv1.1,
Kv1.3 and Kv1.6 are endogenously expressed in C6 astrocytoma cells, but their
trafficking and subcellular localization have not been well studied. In C6 cells,
Kv1.1 was localized to the cell surface, Kv1.3 was predominantly localized in the
cis-Golgi, and Kv1.6 was enriched in the endoplasmic reticulum. Disruption of the
Golgi stacks with brefeldin A treatment redirected Kv1.3 to the endoplasmic
reticulum, further confirming that Kv1.3 was localized in the Golgi. Denaturing
and reducing immunoblot analysis identified an expected Kv1.3 monomer and an
unexpected Kv1.3 dimer/aggregate. These two forms had different protein half
lives: that of the monomer form T1/2 was 5.1 h, whereas the dimer/aggregate form
was stable over the 8-h measurement period. The Kv1.3 dimer/aggregate form on
immunoblots appeared to be correlated with its Golgi retention, based on
examination with several cell types that expressed Kv1.3. Glycosidase treatment
showed that Kv1.3 contained complex-type N-glycans terminated with sialic acids,
suggesting that Kv1.3 had traveled to the trans-Golgi network for sialylation
before it was recycled to the cis-Golgi for retention. Inhibition of N
glycosylation did not affect Kv1.3 localization, indicating that N-glycans did
not play a role in its Golgi retention. Thus, Kv1.3 appears to be distributed to
the cis-Golgi membrane of rat astrocytes in a similar way as a Golgi resident
protein, and this unusual distribution appears to be correlated with its SDS/2
mercaptoethanol-resistant dimer/aggregate forms on immunoblots.
PMID- 24924919
TI - A natural experiment to examine the impact of park renewal on park-use and park
based physical activity in a disadvantaged neighbourhood: the REVAMP study
methods.
AB - BACKGROUND: Modifying the built environment by improving parks is potentially a
sustainable way to increase population level physical activity. Despite
considerable investment in parks and park renovations, few natural experiments on
the impact of improving amenities on park use and park-based physical activity
have been conducted. REVAMP is a natural experiment that aims to examine whether
park improvement increases overall park usage, park-based physical activity and
active travel to and from the park in the intervention compared with the control
park over a two-year period; and to identify which specific aspects of the park
refurbishment attracts park visitors and encourages park users to be more active.
This paper describes the methods of the REVAMP study. METHODS: The intervention
park is a large regional park (329 hectares) located in a low socio-economic
status (SES) area in the north-eastern suburbs of Melbourne, Australia. The
control park is a regional park (120 hectares) located in a high SES area in the
eastern suburbs of Melbourne. Multiple methodologies to evaluate the impact of
the park renovation are being employed including: cross-sectional surveys of
local residents living near the two parks, direct observations of park users,
intercept surveys with park users, and electronic monitoring of path usage and
car traffic within the parks. Baseline measures were conducted in April-May 2013
(T1), and an innovative play space suitable for children of all ages and
abilities was installed at the intervention park between September 2013 and
February 2014. Follow-up measures will be repeated in April-May 2014 (T2) and
April-May 2015 (T3). All methodologies will be completed at both the intervention
and control parks at all three time-points, with the exception of the cross
sectional survey of local residents which will only be conducted at T1 and T3.
CONCLUSION: This research will inform future park developments, and will
contribute to creating an evidence base of the impact of park refurbishment, and
the development of natural experiment methodology. TRIAL REGISTRATION: Current
controlled trial ISRCTN50745547, registration date 11.1.2014.
PMID- 24924921
TI - Characterization and quantitative amino acids analysis of analgesic peptides in
cinobufacini injection by size exclusion chromatography, matrix-assisted laser
desorption/ionization time of flight mass spectrometry and gas chromatography
mass spectrometry.
AB - Cinobufacini injection that comes from the water extract of Bufo bufo gargarizans
Cantor skin is widely used for cancer treatment in China. Peptide is one of its
major types of constituents, however the biological effects and content of this
injection are little reported. In present study, the analgesic effect of peptides
was determined and evaluated by in-vivo models. To characterize and
quantitatively analyze these peptides, a reliable and efficient method combining
size exclusion chromatography and matrix-assisted laser desorption/ionization
time-of-flight mass spectrometry with amino acid analysis was developed. The
peptides presented as a series of analogs with similar molecular weights mostly
ranging from 2 to 8 kDa. The amino acid analysis by gas chromatography mass
spectrometry (GC-MS) was developed to determine both free and combined amino
acids (FAA and CAA) in cinobufacini injection. This method achieved good
linearity (R(2) , 0.9909-0.9999) and low limit of detection and quantification.
FAA and CAA samples were efficiently analyzed by modified Phenomenex EZ: faast
procedure. For the sample analysis, the method showed good repeatability
(relative standard deviation, RSD <= 10%). For most FAA and CAA the mean
recoveries were >80% with RSD <10%. The GC-MS based method is useful for quality
assurance of both FAA and CAA in cinobufacini injection.
PMID- 24924922
TI - The high prevalence of serine protease autotransporters of Enterobacteriaceae
(SPATEs) in Escherichia coli causing neonatal septicemia.
AB - Serine protease autotransporters of Enterobacteriaceae (SPATEs) are secreted
proteins demonstrating diverse virulence functions. The distribution of SPATEs is
studied among diarrheagenic and extraintestinal pathogenic Escherichia coli.
However, the contribution of SPATEs to the virulence of neonatal septicemic
Escherichia coli (NSEC) has not yet been elucidated. This study was undertaken to
evaluate the prevalence and phylogenetic distribution of different subtypes of
SPATEs among NSEC. The presence of virulence factors and subtypes of SPATEs among
different E. coli isolates was determined by polymerase chain reaction (PCR). E.
coli phylogrouping was done by triplex PCR. Clonality of the isolates was
assessed by pulsed-field gel electrophoresis (PFGE). The presence of SPATEs was
significantly higher among the septicemic isolates (89 %) than the fecal (7.5 %)
and environmental isolates (2.5 %). Vat (vacuolating autotransporter toxin) and
Sat (secreted autotransporter toxin) were found to be the two most predominant
SPATEs. The incidence of SPATEs was high in septicemic isolates of phylogroups A
and B1 (87 %), lacking other virulence factors. The high prevalence of SPATEs in
the non-B2 phylogroups of septicemic isolates in comparison with fecal and
environmental isolates indicates an association of SPATEs with NSEC. The NSEC
isolates were found to be clonally distinct, suggesting that the high prevalence
of SPATEs was not due to clonal relatedness of the isolates. This study is the
first to show the association of SPATEs with NSEC. The presence of SPATEs in the
septicemic/NSEC isolates may be considered as the most discriminatory trait
studied here.
PMID- 24924923
TI - The relationship between IL-28B polymorphisms and the response to peginterferon
alfa-2a monotherapy in anti-HBe-positive patients with chronic HBV infection.
AB - The impact of interleukin 28B (IL-28B) on the results of interferon (IFN)-based
therapy in patients chronically infected with hepatitis B virus (HBV) is poorly
understood. The aim of this study was to evaluate the relationship between IL-28B
markers and the response to IFN monotherapy in Polish patients with anti
hepatitis B e (HBe)-positive chronic hepatitis B (CHB). We determined three
single-nucleotide polymorphisms (SNPs) of IL-28B (rs12979860, rs12980275, and
rs8099917) in 86 patients who were treated with pegylated interferon (PEG-IFN)
for 48 weeks. The effectiveness of the therapy was evaluated based on the
virological and biochemical response. The primary efficacy parameters were the
HBV DNA viral load below 400 IU/ml and 2,000 IU/ml in combination with alanine
aminotransferase (ALT) normalization (<40 IU/l), measured 24 weeks after the
treatment. Viral load below 400 IU/ml or 2,000 IU/ml with ALT normalization was
achieved by 37 % and 46 % of patients, respectively. It has been shown that the
distribution of IL-28B genotypes in the dominant genetic model in patients with
different therapeutic success differ significantly only for rs12979860. The IL
28B rs12979860 CC genotype was associated with lower treatment success [odds
ratio (OR), 0.31; p = 0.025 and OR, 0.37; p = 0.044 for <400 IU/ml HBV DNA with
<40 IU/l ALT, and <2,000 IU/ml HBV DNA with <40 IU/l ALT, respectively]. However,
in the conditional logistic regression analysis adjusted by factors associated
with combined response, rs12979860 was significantly associated only with <400
IU/ml HBV DNA with <40 IU/l ALT (OR, 0.24; p = 0.026). IL-28B polymorphisms have
prognostic significance in assessing the treatment effectiveness based on the
virological and biochemical response of patients with anti-HBe-positive CHB.
PMID- 24924924
TI - Genetic variants in PVRL2-TOMM40-APOE region are associated with human longevity
in a Han Chinese population.
AB - PURPOSE: Human longevity results from a number of factors, including genetic
background, favorable environmental, social factors and chance. In this study, we
aimed to elucidate the association of human longevity with genetic variations in
several major candidate genes in a Han Chinese population. METHODS: A case
control association study of 1015 long-lived individuals (aged 90 years or older)
and 1725 younger controls (30-70 years old) was undertaken. Rs2075650 in TOMM40
was firstly genotyped using the ABI SNaPshot method in an initial cohort
consisted of 597 unrelated long-lived individuals and 1275 younger controls
enrolled from Sichuan. Secondly, eighteen tag single-nucleotide polymorphisms
(SNPs) in the PVRL2-TOMM40-APOE locus were genotyped for extensive study in the
same cohort. Finally, 5 associated SNPs were genotyped in a replication cohort
including 418 older individuals and 450 younger controls. The genotype and allele
frequencies were evaluated using the chi2 tests. The linkage disequilibrium (LD)
block structure was examined using the program Haploview. RESULTS: The case
control study of rs2075650 in TOMM40 showed significant difference in allele
frequencies between cases and controls (P = 0.006) in an initial study. Of the 18
SNPs genotyped, rs405509 in APOE and another three SNPs (rs12978931, rs519825 and
rs395908) in the PVRL2 gene also showed significant association with human
longevity in extensive study in the same cohort. Rs2075650 in TOMM40, rs405509 in
APOE and rs519825 in PVRL2 showed a significant association with human longevity
in a replication cohort. CONCLUSION: These results suggested that PVRL2, TOMM40
and APOE might be associated with human longevity. However, further research is
needed to identify the causal variants and determine which of these genes are
involved in the progress of human longevity.
PMID- 24924925
TI - Properties of the plant- and manure-derived biochars and their sorption of
dibutyl phthalate and phenanthrene.
AB - The properties of plant residue-derived biochars (PLABs) and animal waste-derived
biochars (ANIBs) obtained at low and high heating treatment temperatures (300 and
450 degrees C) as well as their sorption of dibutyl phthalate (DBP) and
phenanthrene (PHE) were investigated in this study. The higher C content of PLABs
could explain that CO2-surface area (CO2-SA) of PLABs was remarkably high
relative to ANIBs. OC and aromatic C were two key factors influencing the CO2-SA
of the biochars. Much higher surface C content of the ANIBs than bulk C likely
explained that the ANIBs exhibited higher sorption of DBP and PHE compared to the
PLABs. H-bonding should govern the adsorption of DBP by most of the tested
biochars and pi-pi interaction play an important role in the adsorption of PHE by
biochars. High CO2-SA (>200 m(2) g(-1)) demonstrated that abundant nanopores of
OC existed within the biochars obtained 450 degrees C (HTBs), which likely result
in high and nonlinear sorption of PHE by HTBs.
PMID- 24924927
TI - Scalable low-cost SnS(2) nanosheets as counter electrode building blocks for dye
sensitized solar cells.
AB - A new type of semitransparent SnS2 nanosheet (NS) films were synthesized using a
simple and environmentally friendly solution-processed approach, which were
subsequently used as a counter electrode (CE) alternative to the noble metal Pt
for triiodide reduction in dye-sensitized solar cells (DSSCs). The resultant SnS2
-based CE with a thickness of about 300 nm exhibited excellent electrochemical
catalytic activity for catalyzing the reduction of triiodide and demonstrated
comparable power conversion efficiency of 7.64 % with that of expensive Pt-based
CE in DSSCs (7.71 %). When functionalized with a small amount of carbon
nanoparticles, the SnS2 NS-based CE showed even better performance of 8.06 % than
Pt under the same conditions. Considering the facile fabrication method, optical
transparency, low cost, and remarkable catalytic property, this study on SnS2 NSs
may shed light on the large-scale production of electrocatalytic electrode
materials for low-cost photovoltaic devices.
PMID- 24924928
TI - Factor analysis of open-field behavior in the rat (Rattus norvegicus):
application of the three-way PARAFAC model to a longitudinal data set.
AB - We examined the multivariate nature of open-field behavior in adult male rats (n
= 26) by subjecting a longitudinal data set, obtained during 4 open-field test
sessions (4 min in duration and spaced 48 h apart), to a three-way PARAFAC
analysis. Unlike conventional two-way factor analytical models, the PARAFAC
procedure allows for the direct factor analysis of 3-dimensional arrays, which
then provided a unique factor solution to the longitudinal data set. The PARAFAC
analysis extracted 2 factors: i) emotional reactivity and ii) exploratory
behavior. These two factors changed in temporal prominence, with animals showing
greater emotional reactivity on the first test session, and greater levels of
exploration on the third and fourth test sessions. These results are in general
agreement with previous findings which used more conventional factor analytic
approaches. These findings indicate that multivariate procedures, such as the
PARAFAC analysis, can be helpful in the quantitative characterization of
behavioral phenomena in a more 'realistic' manner.
PMID- 24924926
TI - Prospects for novel inhibitors of peptidoglycan transglycosylases.
AB - The lack of novel antimicrobial drugs under development coupled with the
increasing occurrence of resistance to existing antibiotics by community and
hospital acquired infections is of grave concern. The targeting of biosynthesis
of the peptidoglycan component of the bacterial cell wall has proven to be
clinically valuable but relatively little therapeutic development has been
directed towards the transglycosylase step of this process. Advances towards the
isolation of new antimicrobials that target transglycosylase activity will rely
on the development of the enzymological tools required to identify and
characterise novel inhibitors of these enzymes. Therefore, in this article, we
review the assay methods developed for transglycosylases and review recent novel
chemical inhibitors discovered in relation to both the lipidic substrates and
natural product inhibitors of the transglycosylase step.
PMID- 24924929
TI - Patch choice by guinea pigs: is patch recognition important?
AB - Using four Guinea pigs (Cavia aperea f. porcellus) as subjects we tested the
predictions of three versions of a rate-maximizing model in a patch choice
foraging task. Patches were of two types which differed in interval between
captures and in number of prey within each patch. In the model, the optimal
policy is expressed as the threshold difference in inter-prey interval between
the two patch types beyond which it pays to reject poor patches. The model
versions are: a) recognizer (capable of identifying patch type on encounter and
patch exhaustion upon capturing the last prey); b) timer (must wait a time r
before identifying a patch as being poor, but can identify patch exhaustion at
the last capture); and c) dual timer (as timer but needs an additional waiting
time to detect patch exhaustion). In the experiment we used patches containing
only one prey item. The results showed that patches were accepted in close
agreement with the predictions of the timer and dual-timer models, but only the
assumptions of the second model were met, as guinea pigs took considerable time
to identify poor patches and also some time to abandon exhausted patches. These
results showed that although cues for patch type were present, the guinea pigs
did not behave as recognizers. We discuss and advocate the use of realistically
constrained optimal foraging models.
PMID- 24924930
TI - Proceptive and receptive aspects of oestrus behaviour in gilts.
AB - Proceptive and receptive aspects of behaviour were studied during the oestrus
cycle of the female pig. These aspects were studied in a T-maze with one or two
stimulus compartments that did or did not contain a teaser boar. Receptive
behaviour was observed during several consecutive days and was quantified in
front of the boar by using the back pressure test (BPT). Three aspects of
proceptive behaviour, i.e. the tendency to 'search the boar', 'stay close to the
boar' and 'to present' in front of the boar peaked in the middle of the receptive
period, and in most gilts on the day preceding the last receptive day (Experiment
1). In contrast, preference for a particular boar, another aspects of proceptive
behaviour, was only expressed during the days preceding and following the
receptive period (Experiment 2). The results are discussed in terms of the
possible functional implications of proceptive and receptive behaviour in
relation to fertilization.
PMID- 24924931
TI - Hoarding behavior in the pigeon (Columbia livia): performance under the
restriction of food availability.
AB - The effect of restriction of food availability on food-earning behavior was
investigated in two pigeons (Columbia livia) in a closed economy paradigm.
Experimental chambers that allowed them to store food were devised. They were
exposed to two kinds of feeding conditions. One condition was a free-feeding
condition in which the bird could earn food at any time of the day by pecking a
key. The other was a restricted-feeding condition where the food availability was
restricted only for a given time of day. Consequently, one bird overtly hoarded
food. After the bird was exposed to the restricted-feeding condition, this bird
learned to obtain extra food and left some food uneaten for later consumption.
Moreover, this bird utilized the hoarded food and compensated for the reduction
of the income. Thus, after an experience with the restriction of food
availability, the bird learned to obtain extra food that was not consumed
immediately and left it uneaten.However, in the condition where essential food
but not extra food could be earned, the bird did not hoard food.
PMID- 24924932
TI - Persistent neophobic behaviour in monkeys: A habit or a trait?
AB - Studies on the effects of rearing conditions on behavioural development showed
that most monkeys reared with surrogate mothers persistently avoided a big novel
object (paper bag) whereas most monkeys reared by natural mothers would approach
it. Conditioned fear and conditioned avoidance, observational learning, and lack
of support by the mothers' presence could be excluded as possible causes; we
established that the high incidence of phobic behaviour in surrogate-reared
groups was caused by deprivation of maternal care. Results of further studies
showed that the avoidance was not restricted to the object the Ss had been
exposed to in infancy; monkeys still avoiding the bag at 2 years also avoided
other big novel objects. Some researchers have found differences in the
neurobiological status between surrogate-reared monkeys and mother-reared
monkeys. However, the results of our experiments showed that both types of
rearing condition produced avoiders as well as non-avoiders. The question under
discussion here is whether avoidance of novelty is a habit or a trait. The
relative importance of features of surrogates versus real mothers,
characteristics of infants and differences in mothering style is discussed.
PMID- 24924933
TI - Some functional characteristics of avoidance of timeout from response-dependent
food presentation in rats.
AB - Three male and three female rats were first trained to respond on a Random
Interval 15-s schedule on one (food) lever in a rodent operant conditioning
chamber. They were then exposed to a condition in which food could only be
obtained during a timein period which lasted 10 s or longer dependent upon
whether or not subjects pressed another (avoidance) fever. If subjects did not
press the other level during the 10-s timein, a 50-s timeout was presented during
which food could not be obtained. Subjects first had the opportunity to avoid
timeout presentation by pressing the avoidance level during timein or to escape
the timeout by pressing the lever during timeout. A changeover delay of 2-s
prevented food presentation immediately following avoidance lever-food lever
sequences. All subjects pressed the avoidance lever to avoid or escape timeout,
but only one of the subjects consistently pressed the avoidance lever when escape
was no longer available. One more subject acquired consistent avoidance
responding after reexposure to the avoidance/escape and avoidance only
conditions. The four remaining subjects were then exposed to a signalled
avoidance procedure in which a 5-s stimulus change preceded timeout presentation.
Three of the subjects came to respond reliably on the avoidance lever when
switched back to the unsignalled avoidance procedure. One of the subjects never
acquired consistent avoidance responding. Functional control by the avoidance
contingency was demonstrated during the final two experimental conditions in
which the contingencies associated with the avoidance lever were systematically
removed and reintroduced.
PMID- 24924934
TI - The effect of time between sessions on within-session patterns of responding.
AB - Four pigeons pecked keys for food reinforcers delivered by variable interval 1
min schedules during two successive 50-min sessions or one 100-min session. When
50-min sessions were conducted, they were separated by a 0-, 10- or 30-min delay,
spent either inside or outside of the experimental enclosure. Responding usually
increased to a peak and then decreased within sessions. This pattern was not
altered by either the length of the delay between sessions or by the place where
the subjects spent the delay. These results suggest that the beginning of the
session or the passage of a short time between sessions restores the conditions
necessary to produce within-session changes in responding. The results are
incompatible with theories that explain these changes in terms of recovery from
the handling routine, accumulation of arousal, priming, fatigue, or satiation.
They can be reconciled with theories that attribute within-session changes in
responding to reinstatement of memory or changes in attention only by arguing
that memory and attentional adjustments are erased quickly between sessions.
PMID- 24924935
TI - The development of responses to novel-coloured objects in male and female
domestic chicks.
AB - Male and female domestic chicks were trained to peck on a small coloured (red or
green) box for food reinforcement. They were then presented with one box
identical to that used during training (familiar) and one of a different colour
(unfamiliar) and their preferences were assessed in a simultaneous free choice
test. The novel colour was green in chicks trained with a red box and red in
chicks trained with a green box. Chicks showed marked variations in their
preferences for familiarity and novelty as a function of age and sex. In chicks
trained with a green box preferences for the familiar colour were stronger in
females than in males at all ages of test. A similar sex difference was observed
in chicks trained with a red box, except that at around day 9, when males showed
temporarily stronger preferences for familiarity than females. When comparing
males and females of red-trained chicks to those of green-trained chicks, a shift
in colour prefernce, from red to green, was apparent from day 9 in both sexes,
though temporarily stronger in females than in males. Levels of preference for
the familiar object showed a peak (centred at around day 5/6) and two dips
(centred at around day 4/5 and day 10/11) in both sexes irrespective of colour.
Results are discussed in relation to current evidence for simultaneous changes in
the brain and in the behaviour of young chicks during development.
PMID- 24924936
TI - The effect of separate reinforced and nonreinforced exposures to a context
participating in a Pavlovian discrimination procedure.
AB - Rats were subjected to a Pavlovian discrimination procedure in which a target
stimulus was followed by food in Context X and followed by nothing in a
distinctively different Context Y. Two experiments sought to determine the effect
of postacquisition manipulations regarding Context Y, on responding to the target
in that context. In Experiment 1A, the effect of separate nonreinforced exposures
to Context Y was examined and in Experiment 1B, the effect of a separate
reinforced exposure was assessed in four groups of rats receiving different
amounts of deliveries of the reinforcer in Context Y. It was found that both
nonreinforced and reinforced exposures to Context Y had an adverse effect on the
attenuation of responding to the target that was originally observed in that
context at the end of discrimination training. The results were discussed in view
of an occasion-setting account and of more traditional models of associative
learning.
PMID- 24924937
TI - Endogenous rectilinear guidance in fish: Is it adjusted by reference to the sun?
AB - Previous works have shown that small migratory fish, when placed in an annular
channel with central symmetrical lighting, swim continuously in one direction.
This has been interpreted as a sunlight orientation response which assists in
straight-line migration. The purpose of the present work is to test whether these
fish have an endogenous rectilinear mechanism (inertial guidance) and if this
mechanism is in some way associated with the sun directional orientation
response. A group of small schooling fish, Cheirodon pulcher, was placed in an
annular channel with central symmetrical lighting. Fish swam steadily in a
clockwise direction during two weeks. They were then placed individually in a
diffuse-light arena for 90 min and their movements were video-recorded. The
number of right and left turns was counted. Fish rotated in the same direction as
in the annular channel. In contrast, fish from a holding tank made equal numbers
of right and left turns. The maintenance of turning behaviour after removal of
the turning-promotive conditions suggests that an endogenous rectilinear guidance
mechanism was modified while fish were swimming at a constant angle to light in
the annular channel. This mechanism would be normally adjusted by reference to
the sun.
PMID- 24924938
TI - Social and non-social open field behaviour of rats under light and noise
stimulation.
AB - In two experiments, male rats were observed in pairs under different
environmental stimulations in an open field. In Experiment 1, white noise of 85
dB(A) reduced social activities and increased defecation compared to 75 dB(A) and
65 dB(A). In Experiment 2, the illumination of the open field was varied in
addition to a variation of the noise intensity. Again, 85 dB(A) as compared to 50
dB(A) reduced social activities and increased defecation, but also led to changes
in non-social behaviours such as sniffing, grooming, and rearing. In contrast,
400 lx did not differ substantially in its effects from 40 lx in any of the
observed behavioural categories. Altogether, the behaviour pattern under 85 dB(A)
white noise cannot satisfactorily be explained only by increased anxiety of fear.
Alternative explanations are discussed.
PMID- 24924939
TI - Description du comportement sexuel de Calliphora vomitoria (Diptera:
Calliphoridae) par une technique d'analyse de textes.
AB - Description of the sexual behaviour of Calliphora vomitoria (Diptera:
Calliphoridae) with a lexical analysis software package. The sexual behaviour of
Calliphora vomitoria was described using a lexical analysis software package
considering courtship as a series of words, without any arbitrary categorization.
Normal as well as manipulated partners of both sexes were presented to the males.
Results showed that normal courtship and copulation occurred when the wings were
modified but present and correctly oriented, whereas perturbations were observed
and copulation disappeared after inversion and various head modifications.
Missing elements (head and wings) were less disruptive than their inversion. If
the partner was supposed to be scanned from the head to the abdomen via the wings
and if it was supposed to perceive the relative position of these different
parts, it is obvious that the absence of an element did not act as an error
message and courtship and copulation were preserved. On the other hand, inversion
of the same elements seemed to induce wrong or inconsistent informations
affecting courtship structure, probably because of sequence disturbance. The
courtship preservation in front of every kind of manipulation leads us to
question what image of the sexual partner is constructed.
PMID- 24924940
TI - How should parents respond to a reduction in litter size: Abandonment or extra
care?
AB - Although natural selection may favour the evolution of an optimal brood size,
unpredictable environmental factors can intervene to render the brood either
considerably larger or smaller than this optimum. The question therefore arises
as to how parents should respond to unusually large or small litters. Solutions
to this problem which involve abandonment of the offspring or brood reduction,
for example by infanticide, have received most theoretical and empirical
attention. For small mammalian litters, however, evidence that parents employ
these behavioural strategies is sparse. I suggest that, under certain conditions,
an alternative to abandonment and infanticide of small litters in mammals is an
increase in total parental care above that seen in larger litters. Evidence is
presented which supports this possibility. This strategy may allow parents to
compensate for the loss of offspring by producing a small number of high quality
individuals. It is most likely to occur when opportunities for rapid rebreeding
are limited, and when increases in parental care have strong effects on offspring
quality. Interest in abandonment and infanticide has diverted attention away from
this alternative parental response to small litters. Studies of this response are
encourage, not least because they will focus attention on the poorly understood
relationship between parental care and offspring quality.
PMID- 24924941
TI - Specific differences in sound production and pattern recognition in tettigoniids.
AB - A brief comparative description of the stridulatory songs of nine different
tettigoniid species is given to introduce a set of four parameters (phase of
sound production during opening and closing movement of the wings, syllable
repetition mode, syllable similarity, and impulse pattern of the syllables) to
characterize the temporal pattern of tettigoniid songs. The importance of
different song parameters for female phonotaxis was investigated in two
tettigoniid species (Ephippiger ephippiger and Tettigonia viridissima). Two
choice experiments revealed that the impulse pattern of the closing syllable is
an important parameter for the phonotactic behaviour of E. ephippiger, whereas
the syllable pattern is a decisive parameter for species discrimination in T.
viridissima.
PMID- 24924942
TI - Investigations of the nature of lambs' individual odour signatures.
AB - In an attempt to gain further insight into the sources and characteristics of
lambs' olfactory signatures, we observed ewes' responses to familiar and alien
young whose odours were experimentally manipulated. When tested several hours
after parturition, ewes accepted their own lambs that had been anointed with an
artificial odorant at birth, but rejected alien lambs bearing either that same
familiar scent or a novel odour. Ewes nonetheless appeared capable of
discriminating between alien lambs treated with the familiar versus novel
odorants. Alien young anointed with amniotic fluid collected from the ewes' own
offspring were rejected to the same extent as aliens treated with their own
(alien) amniotic fluid. Thus, neither artificial odorants nor amniotic fluid
masked the lambs' individual odours, nor did they preclude ewes' learning of
those phenotypic traits. Ewes may be predisposed to learn a limited range of
biological odours associated with their newborn lamb, and such individually
recognizable cues appear not to be carried in the amniotic fluid nor acquired
postnatally from the mother.
PMID- 24924943
TI - Site fidelity in foraging wood-ants Formica aquilonia yarrow and its influence on
the distribution of foragers in a regenerating environment.
AB - The proportions of the population of red wood-ants Formica aquilonia Yarrow,
foraging for sucrose at two artificial feeding sites in the laboratory,
approximated to those predicted by the ideal free distribution (Lamb and Ollason,
1993). It was assumed that, for the foraging population to attain the ideal free
distribution, each individual would visit and assess the availability of food at
both feeding sites and distribute its foraging effort in proportion to the rate
of regeneration of food. In order to demonstrate this, large samples of foragers
at each of the feeding sites were colour-marked according to site. It was
expected that the marked sample of foragers would in time become distributed as
predicted by the ideal free distribution; instead, the distribution of marked
ants was biased toward the site where they had been marked. Hence some
individuals are not continually visiting and feeding at both patches, and such
individuals will not be able directly to assess their feeding rates at each
patch. There is, in principle, no way that such individuals can distribute
themselves as predicted by the ideal free distribution, and as the site-faithful
ants form a large proportion of the population, it appears that there is no way
that the members of such a population can become so distributed. One resolution
of this apparent paradox is provided by the behaviour of the mobile ants, the
existence of which is demonstrated by observations of marked ants foraging at the
site other than that at which they were marked. If the mobile members of the
population assess the quality of both patches and distribute themselves to
maximise their rates of feeding, the whole population will become distributed as
predicted by the ideal free distribution. A modification of Ollason's (1987)
model shows how this distribution could develop. It emerges that there is a
minimum proportion of mobile ants in a population, below which the ideal free
distribution will not develop, and that the distribution of foragers will depart
from the ideal free distribution increasingly as the discrepancy between the
regeneration rates of the patches of food increases.
PMID- 24924944
TI - Schedule-induced timeout: Effects of timeout-contingent delayed reinforcement.
AB - Rats' lever pressing turned off stimuli associated with various response
independent fixed-time schedules of food delivery and produced a timeout period
during which food delivery could not occur. A lever press during timeout turned
on the schedule-associated stimuli and reinstated the fixed-time schedule. Every
response that produced timeout ended the timing of fixed time intervals; timeout
terminating responses started the timing of fixed-time schedules over again. The
percentage of session time spent in timeout periods generally increased as the
rate of food delivery decreased. When food delivery could not occur, about half
of the session time was spent in the stimulus condition formerly associated with
timeout. The majority of timeout periods were initiated after 15 seconds or more
had lapsed since the last food delivery. When timeout periods could not be
produced, lever pressing rates either decreased or were not affected. The rate of
food delivery appeared to be a primary determinant of time spent in timeout
periods. The results may be inconsistent with interpretations emphasizing escape
from aversive aspects of schedules of positive reinforcement.
PMID- 24924945
TI - Neuronal uptake of nanoformulated superoxide dismutase and attenuation of
angiotensin II-dependent hypertension after central administration.
AB - Excessive production of superoxide (O2(-)) in the central nervous system has been
widely implicated in the pathogenesis of cardiovascular diseases, including
chronic heart failure and hypertension. In an attempt to overcome the failed
therapeutic impact of currently available antioxidants in cardiovascular disease,
we developed a nanomedicine-based delivery system for the O2(-)-scavenging enzyme
copper/zinc superoxide dismutase (CuZnSOD), in which CuZnSOD protein is
electrostatically bound to a poly-l-lysine (PLL50)-polyethylene glycol (PEG)
block copolymer to form a CuZnSOD nanozyme. Various formulations of CuZnSOD
nanozyme are covalently stabilized by either reducible or nonreducible
crosslinked bonds between the PLL50-PEG polymers. Herein, we tested the
hypothesis that PLL50-PEG CuZnSOD nanozyme delivers active CuZnSOD protein to
neurons and decreases blood pressure in a mouse model of angiotensin II (AngII)
dependent hypertension. As determined by electron paramagnetic resonance
spectroscopy, nanozymes retain full SOD enzymatic activity compared to native
CuZnSOD protein. Nonreducible CuZnSOD nanozyme delivers active CuZnSOD protein to
central neurons in culture (CATH.a neurons) without inducing significant neuronal
toxicity. Furthermore, in vivo studies conducted in adult male C57BL/6 mice
demonstrate that hypertension established by chronic subcutaneous infusion of
AngII is significantly attenuated for up to 7 days after a single
intracerebroventricular injection of nonreducible nanozyme. These data indicate
the efficacy of nonreducible PLL50-PEG CuZnSOD nanozyme in counteracting
excessive O2(-) and decreasing blood pressure in AngII-dependent hypertensive
mice after central administration. Additionally, this study supports the further
development of PLL50-PEG CuZnSOD nanozyme as an antioxidant-based therapeutic
option for hypertension.
PMID- 24924947
TI - Outcomes in locally advanced rectal cancer with highly selective preoperative
chemoradiotherapy.
AB - BACKGROUND: This study compared outcomes after surgery alone for stage II/ III
rectal cancer in a tertiary cancer unit versus highly selective use of
preoperative chemoradiotherapy (CRT). METHODS: This was a single-centre
retrospective cohort study of consecutive patients receiving potentially curative
surgery for stage II and III primary rectal cancer. CRT was given only for
magnetic resonance imaging-predicted circumferential resection margin (CRM)
involvement and nodal disease (at least N2). Primary endpoints were CRM
involvement and local recurrence rates. Secondary endpoints were systemic
recurrence and overall survival. Data were analysed by log rank test, and
univariable and multivariable analysis. RESULTS: Between 2002 and 2012, 363
patients were treated for rectal cancer. After applying exclusion criteria, 266
patients with stage II/III mid or low rectal cancer were analysed. Of these, 103
received neoadjuvant CRT and 163 proceeded directly to surgery, seven of whom
required postoperative radiotherapy; the latter patients were included in the
neoadjuvant CRT group for analysis. There was a significant difference in local
recurrence between the CRT and surgery-alone groups (6.5 versus 0 per cent at 5
years; P = 0.040), but not in CRM involvement (7.2 versus 5.1 per cent; P =
0.470), 5-year systemic recurrence (37.2 versus 43.0 per cent; P = 0.560) and
overall survival (64.2 versus 64.6 per cent; P = 0.628) rates. Metastatic disease
developed more frequently in low rectal cancers (odds ratio 0.14; P < 0.001),
regardless of whether neoadjuvant treatment was delivered. CONCLUSION: Locally
advanced rectal cancer does not necessarily require neoadjuvant CRT.
PMID- 24924946
TI - Oxidative stress-mediated aldehyde adduction of GRP78 in a mouse model of
alcoholic liver disease: functional independence of ATPase activity and chaperone
function.
AB - Pathogenesis in alcoholic liver disease (ALD) is complicated and multifactorial
but clearly involves oxidative stress and inflammation. Currently, conflicting
reports exist regarding the role of endoplasmic reticulum (ER) stress in the
etiology of ALD. The glucose-regulated protein 78 (GRP78) is the ER homolog of
HSP70 and plays a critical role in the cellular response to ER stress by serving
as a chaperone assisting protein folding and by regulating the signaling of the
unfolded protein response (UPR). Comprising three functional domains, an ATPase,
a peptide-binding, and a lid domain, GRP78 folds nascent polypeptides via the
substrate-binding domain. Earlier work has indicated that the ATPase function of
GRP78 is intrinsically linked and essential to its chaperone activity. Previous
work in our laboratory has indicated that GRP78 and the UPR are not induced in a
mouse model of ALD but that GRP78 is adducted by the lipid electrophiles 4
hydroxynonenal (4-HNE) and 4-oxononenal (4-ONE) in vivo. As impairment of GRP78
has the potential to contribute to pathogenesis in ALD, we investigated the
functional consequences of aldehyde adduction on GRP78 function. Identification
of 4-HNE and 4-ONE target residues in purified human GRP78 revealed a marked
propensity for Lys and His adduction within the ATPase domain and a relative
paucity of adduct formation within the peptide-binding domain. Consistent with
these findings, we observed a concomitant dose-dependent decrease in ATP-binding
and ATPase activity without any discernible impairment of chaperone function.
Collectively, our data indicate that ATPase activity is not essential for GRP78
mediated chaperone activity and is consistent with the hypothesis that ER stress
does not play a primary initiating role in the early stages of ALD.
PMID- 24924948
TI - MUC5B promoter polymorphisms and risk of coal workers' pneumoconiosis in a
Chinese population.
AB - Coal workers' pneumoconiosis (CWP) is characterized by fibrosing nodular lesions
that eventually develop into progressive pulmonary fibrosis. Genetic variations
have been recognized to be involved in the multi-factorial susceptibility to CWP,
and MUC5B is a candidate lung fibrosis susceptibility gene. In the present study,
we investigated possible genetic associations between three single nucleotide
polymorphisms in MUC5B promoter region and CWP in a case-control study including
686 CWP patients and 680 controls. Genotyping was carried out by TaqMan method.
Only rs2672794 allele and genotype frequencies distributions were significantly
different between CWP patients and controls (P = 0.017 and 0.046 for allele and
genotype, respectively). The MUC5B rs2672794 CC genotype was associated with a
significantly increased risk of CWP, compared with the TT genotype. Moreover,
individuals with TC/CC genotype had an obviously increased risk of CWP than those
with TT genotype, particularly among subgroups of dust exposure <27 years and
smokers. This is the first report showing an association between the MUC5B
rs2672794 polymorphism and CWP, and our results suggest that MUC5B rs2672794 CC
genotype could increase the risk of CWP. Further studies are warranted to confirm
our findings.
PMID- 24924949
TI - Inhibition of human cytochrome P450 2E1 and 2A6 by aldehydes: structure and
activity relationships.
AB - The purpose of this study was to probe active site structure and dynamics of
human cytochrome P4502E1 and P4502A6 using a series of related short chain fatty
aldehydes. Binding efficiency of the aldehydes was monitored via their ability to
inhibit the binding and activation of the probe substrates p-nitrophenol (2E1)
and coumarin (2A6). Oxidation of the aldehydes was observed in reactions with
individually expressed 2E1, but not 2A6, suggesting alternate binding modes. For
saturated aldehydes the optimum chain length for inhibition of 2E1 was 9 carbons
(KI=7.8 +/- 0.3 MUM), whereas for 2A6 heptanal was most potent (KI=15.8 +/- 1.1
MUM). A double bond in the 2-position of the aldehyde significantly decreased the
observed KI relative to the corresponding saturated compound in most cases. A
clear difference in the effect of the double bond was observed between the two
isoforms. With 2E1, the double bond appeared to remove steric constraints on
aldehyde binding with KI values for the 5-12 carbon compounds ranging between 2.6
+/- 0.1 MUM and 12.8 +/- 0.5 MUM, whereas steric effects remained the dominant
factor in the binding of the unsaturated aldehydes to 2A6 (observed KI values
between 7.0 +/- 0.5 MUM and >1000 MUM). The aldehyde function was essential for
effective inhibition, as the corresponding carboxylic acids had very little
effect on enzyme activity over the same range of concentrations, and branching at
the 3-position of the aldehydes increased the corresponding KI value in all cases
examined. The results suggest that a conjugated pi-system may be a key structural
determinant in the binding of these compounds to both enzymes, and may also be an
important feature for the expansion of the active site volume in 2E1.
PMID- 24924950
TI - Nitrative modifications of alpha-enolase in hepatic proteins from diabetic rats:
the involvement of myeloperoxidase.
AB - Many studies reported that oxidative and nitrative stress might be important in
the pathogenesis of diabetes and the development of its complications. In this
study, we showed that alpha-enolase (EC 4.2.1.11, 2-phospho-d-glycerate
hydrolase) was identified as the important target for oxidative and nitrative
modifications in diabetic hepatic proteins. After 6 weeks of streptozotocin
administration, alpha-enolase expression and nitration were clearly increased in
diabetic rat liver, whereas the enolase activity and oxidation status were not
significantly changed in diabetic group. By means of immunoprecipitation and
liquid chromatography-tandem mass spectrometry analysis, it was found that Tyr 12
and Tyr 257 of alpha-enolase were the most susceptible to nitration in diabetic
rat liver. Moreover, myeloperoxidase (MPO) as a likely alternative mechanism for
nitrative modification of alpha-enolase in vivo was apparently facilitated by the
presence of higher MPO level and activity in diabetic liver, and fact that Tyr 12
and Tyr 191 of enolase was nitrated by MPO/nitrite/H2O2 system in vitro. Further
studies in vitro indicated that carbonyl formation, rather than tyrosine
nitration, might make a major contribution to the inactivation of enolase. The
present results provided the new evidence for alpha-enolase as a susceptive
target for MPO-catalyzed nitrative modification in diabetes. They also suggested
a potential contribution of nitrative and oxidative modifications of enolase to
an impaired glycolytic activity in diabetic hepatic injury.
PMID- 24924951
TI - Hollow nanospheres constructed by CoS2 nanosheets with a nitrogen-doped-carbon
coating for energy-storage and photocatalysis.
AB - Hierarchical CoS2 hollow nanospheres (HSs) with a nitrogen-doped-carbon coating
(NC@CoS2 ) are fabricated by a simple solution method. The uniform 300 nm-sized
NC@CoS2 HSs are composed of ultrathin nanosheet subunits with a thickness of
around 2 nm. It was found that polyvinylpyrrolidone and ethylenediamine not only
controlled the morphology of the products, but also provided the sources of
nitrogen-doped carbon. Benefiting from their unique structural characteristics,
hierarchical NC@CoS2 HSs can be applied in lithium-ion batteries,
supercapacitors, and photocatalysis. When evaluated as an electrode material,
NC@CoS2 with a coating of optimal thickness showed a high lithium-storage
capability with a good cycling stability. Moreover, NC@CoS2 had a remarkable
supercapacitive performance and photocatalytic activity. The attractive
electrochemical and photocatalytic performances were attributed to the overall
structural features of the NC@CoS2 hollow spheres: the N-doped-carbon (NC)
coating, hollow interior, and ultrathin nanosheets.
PMID- 24924953
TI - Guidelines for treating iron overload in myelodysplastic syndromes: a Taiwan
consensus statement.
AB - Iron overload is common in myelodysplastic syndrome (MDS) patients, and an
accumulation of evidence shows that iron chelation may have benefits in these
patients. However, discussion and consensus about iron chelation therapy (ICT)
for MDS patients is lacking in Taiwan and other Southeast Asian countries. An
Expert Panel in Taiwan was organized in 2011 to develop iron overload guidelines
and provide a uniform reference for physicians treating MDS patients with iron
overload, with specific regard to when to initiate ICT, in which patients, and
the clinical and scientific rationale behind its use.
PMID- 24924952
TI - Effect of saponin on erythrocytes.
AB - Saponins, naturally occurring glycosides and triterpene glycosides in plants, are
considered useful in the prophylaxis and treatment of several disorders,
including malignancy. The effect of these substances is partly attributable to
induction of both apoptosis and necrosis. Saponin has previously been shown to
trigger hemolysis. Erythrocytes may avoid hemolysis by entering programmed cell
death or eryptosis, characterized by cell shrinkage and cell membrane scrambling,
leading to phosphatidylserine exposure at the erythrocyte surface. Eryptosis is
triggered by increase of cytosolic Ca(2+) activity ([Ca(2+)](i)). The present
study explored, whether exposure of human erythrocytes to saponin modifies
[Ca(2+)](i), ceramide formation, hemolysis, and eryptosis. Cell volume was
estimated from forward scatter, phosphatidylserine exposure from annexin V
binding, hemolysis from hemoglobin release, [Ca(2+)](i) from Fluo3-fluorescence,
and ceramide utilizing specific antibodies. A 24 h exposure to saponin (15 ug/ml)
resulted in a significant increase of annexin V binding and a significant
stimulation of hemolysis. Saponin (15 ug/ml) further increased [Ca(2+)](i) and
ceramide formation. Annexin V binding was significantly blunted but not abrogated
in the nominal absence of extracellular Ca(2+). Saponin thus triggers cell
membrane scrambling, an effect partially due to entry of extracellular Ca(2+) and
ceramide formation.
PMID- 24924954
TI - [Cutaneous sarcomas: update on selected fibrohistiocytic and myofibroblastic
tumors].
AB - BACKGROUND: Malignant fibrohistiocytic tumors are a heterogeneous group of
mesenchymal neoplasms that may occur in the skin and subcutaneous tissues.
DIAGNOSIS: Diagnosis of these tumors may be difficult, as they are rare, and a
wide morphological diversity of types and subtypes has been described. In this
update, relevant aspects of selected entities like dermatofibrosarcoma
protuberans, desmoid tumor, atypical fibroxanthoma, pleomorphic dermal sarcoma,
and myxofibrosarcoma are discussed according to the WHO classification of 2013.
The typical clinical feature of these tumors is their mostly asymptomatic
appearance. For diagnosis, the histologic workup is therefore the key feature;
herein immunohistochemistry as well as molecular diagnostics become increasingly
important. THERAPY: The primary treatment for locally resectable tumors is
complete surgical removal; chemotherapy, radiation, and targeted therapies with
kinase inhibitors are available for inoperable and metastatic disease.
PMID- 24924955
TI - Fluorescence-guided surgery in combination with UVC irradiation cures metastatic
human pancreatic cancer in orthotopic mouse models.
AB - The aim of this study is to determine if ultraviolet light (UVC) irradiation in
combination with fluorescence-guided surgery (FGS) can eradicate metastatic human
pancreatic cancer in orthotopic nude-mouse models. Two weeks after orthotopic
implantation of human MiaPaCa-2 pancreatic cancer cells, expressing green
fluorescent protein (GFP), in nude mice, bright-light surgery (BLS) was performed
on all tumor-bearing mice (n = 24). After BLS, mice were randomized into 3
treatment groups; BLS-only (n = 8) or FGS (n = 8) or FGS-UVC (n = 8). The
residual tumors were resected using a hand-held portable imaging system under
fluorescence navigation in mice treated with FGS and FGS-UVC. The surgical
resection bed was irradiated with 2700 J/m2 UVC (254 nm) in the mice treated with
FGS-UVC. The average residual tumor area after FGS (n = 16) was significantly
smaller than after BLS only (n = 24) (0.135+/-0.137 mm2 and 3.338+/-2.929 mm2,
respectively; p = 0.007). The BLS treated mice had significantly reduced survival
compared to FGS- and FGS-UVC-treated mice for both relapse-free survival (RFS)
(p<0.001 and p<0.001, respectively) and overall survival (OS) (p<0.001 and
p<0.001, respectively). FGS-UVC-treated mice had increased RFS and OS compared to
FGS-only treated mice (p = 0.008 and p = 0.025, respectively); with RFS lasting
at least 150 days indicating the animals were cured. The results of the present
study suggest that UVC irradiation in combination with FGS has clinical potential
to increase survival.
PMID- 24924956
TI - Perceptions of Heavy-Drinking College Students About a Sleep and Alcohol Health
Intervention.
AB - The purpose of this mixed methods study was to describe the sleep and
psychological characteristics of heavy-drinking college students, their
perceptions of sleep and sleep/alcohol interactions, and their reactions to a
proposed integrated sleep and alcohol Web-based intervention. Students (N = 24)
completed standardized surveys and participated in semistructured focus group
interviews. Participants reported a high degree of sleep disturbance, sleep
obstacles, and sleep-related consequences, which were validated by both
quantitative and qualitative investigations. Sleep disturbance and sleep-related
impairment were associated with more frequent drinking and greater risks from
drinking. Participants perceived that alcohol has positive and negative effects
on sleep latency, continuity, and quality. They expressed overall enthusiasm for
the intervention but had specific content and format preferences.
PMID- 24924957
TI - Quantification of metallothioneins in the earthworm by lomefloxacin-europium(iii)
fluorescent probe.
AB - A new fluorimetric method was established for the determination of trace amounts
of metallothioneins (MT) in earthworm, using a lomefloxacin-europium(iii) (LMLX
Eu(3+)) complex as a fluorescent probe. In a pH 6.5 Tris-HCl buffer solution, MT
can markedly decrease the fluorescence intensity of LMLX-Eu(3+) at lambda = 613
nm, and the magnitude of the decrease in this intensity was in direct proportion
to the concentration of MT. The linear range was 0.08-20 mg L(-1) with a
detection limit of 0.022 mg L(-1), and the recovery was in the range of 91.9
104.4%. The results show that the fluorimetric method is relatively accurate and
sensitive to measurements of concentration for MT over a wide range. This method
has been successfully applied to the determination of the concentration of MT
induced by heavy metal ions (Cd(2+), Pb(2+), Cu(2+), Zn(2+)) in Eisenia andrei.
The amount of MT increased significantly in a dose-dependent manner to the heavy
metal exposure, and these proteins can be used as biomarkers to assess the impact
of heavy-metal contamination in soils. The method offered high sensitivity as
well as accuracy with simple instrumentation and is suitable for direct
quantification of total MT in Eisenia andrei.
PMID- 24924959
TI - RCVS to revise its guidance on 24-hour cover.
PMID- 24924960
TI - Bovine TB: test, vaccinate, remove project gets underway in Northern Ireland.
PMID- 24924958
TI - Changes in immunological profile as a function of urbanization and lifestyle.
AB - Differences in lifestyle and break with natural environment appear to be
associated with changes in the immune system resulting in various adverse health
effects. Although genetics can have a major impact on the immune system and
disease susceptibility, the contribution of environmental factors is thought to
be substantial. Here, we investigated the immunological profile of healthy
volunteers living in a rural and an urban area of a developing African country
(Senegal), and in a European country (the Netherlands). Using flow cytometry, we
investigated T helper type 1 (Th1), Th2, Th17, Th22 and regulatory T cells, as
well as CD4(+) T-cell and B-cell activation markers, and subsets of memory T and
B cells in the peripheral blood. Rural Senegalese had significantly higher
frequencies of Th1, Th2 and Th22 cells, memory CD4(+) T and B cells, as well as
activated CD4(+) T and B cells compared with urban Senegalese and urban Dutch
people. Within the Senegalese population, rural paritcipants displayed
significantly higher frequencies of Th2 and Th22 cells, as well as higher pro
inflammatory and T-cell activation and memory profiles compared with the urban
population. The greater magnitude of immune activation and the enlarged memory
pool, together with Th2 polarization, seen in rural participants from Africa,
followed by urban Africans and Europeans suggest that environmental changes may
define immunological footprints, which could have consequences for disease
patterns in general and vaccine responses in particular.
PMID- 24924961
TI - AHVLA invites tenders for a range of veterinary services.
PMID- 24924962
TI - Ketamine and tramadol reclassified.
PMID- 24924963
TI - Model developed for ranking potentially pandemic flu viruses.
PMID- 24924964
TI - AHVLA seeks views on full cost recovery plans.
PMID- 24924965
TI - Tackling important issues in Europe.
AB - Forthcoming animal health legislation, antimicrobial resistance and corporate
practice were all discussed at the recent general assembly of the Federation of
Veterinarians of Europe. Karin de Lange reports.
PMID- 24924966
TI - Antibiotic use in animals in Europe.
PMID- 24924967
TI - RVC academic features in diversity project.
PMID- 24924968
TI - Bovine TB infection status in cattle in Great Britain in 2012.
AB - This report, provided by the AHVLA, summarises the infection status of bovine
tuberculosis (TB) in cattle in Great Britain from January 1 to December 31, 2012
and describes some of the temporal trends observed over a longer period. The
AHVLA intends to produce similar reports for future years to provide a concise
summary of how the situation is developing.
PMID- 24924969
TI - Surgical or medical management of pyothorax in dogs?
PMID- 24924970
TI - Bovine TB controls.
PMID- 24924971
TI - Abortion in flocks vaccinated against enzootic abortion.
PMID- 24924972
TI - Testing for exclusion of notifiable diseases.
PMID- 24924973
TI - Complication following a brachial plexus block.
PMID- 24924974
TI - Non-stun slaughter.
PMID- 24924975
TI - Association between group 2 innate lymphoid cells enrichment, nasal polyps and
allergy in chronic rhinosinusitis.
AB - BACKGROUND: Group 2 innate lymphoid cells (ILC2s) were shown to be involved in
the initiation and coordination of Th2-type immune responses in allergic disease
animal models. Recently, ILC2s enrichment was noted in chronic rhinosinusitis
(CRS) patients; however, the role of ILC2s in coordinating the Th2 response in
CRS remains to be elucidated. Here, we characterize the ILC2 compartment in CRS
by investigating the correlations between ILC2s, Th2 cells and Th2 cytokines
expression in CRS patients. METHODS: We used flow cytometric analysis of
sinonasal mucosal tissues of 29 CRS patients and 5 controls to quantify ILC2s and
Th2 cells. Messenger RNA expression levels of IL-5, IL-13, IL-25, IL-33, TSLP and
GATA3 were determined using qRT-PCR. RESULTS: ILC2s were significantly enriched
in nasal polyps (CRSwNP) patients. Multivariate linear regression showed a
significant positive association of ILC2 numbers with CRSwNP and allergic CRS and
a negative association with the number of previous endoscopic sinus surgeries.
Group 2 innate lymphoid cell numbers significantly correlated with Th2 cell
frequencies. Messenger RNA expression levels of IL-5 and IL-13 were increased in
CRSwNP compared with controls, while mRNA levels of IL-25 and GATA3 were
significantly reduced. CONCLUSIONS: Our results characterize the complex
interactions between ILC2s and other Th2 response elements in the context of CRS
and suggest that ILC2 enrichment occurs in CRSwNP and in allergic CRS patients.
PMID- 24924976
TI - Caspase activation, hydrogen peroxide production and Akt dephosphorylation occur
during stallion sperm senescence.
AB - To investigate the mechanisms inducing sperm death after ejaculation, stallion
ejaculates were incubated in BWW media during 6 h at 37 degrees C. At the
beginning of the incubation period and after 1, 2, 4 and 6 h sperm motility and
kinematics (CASA), mitochondrial membrane potential and membrane permeability and
integrity were evaluated (flow cytometry). Also, at the same time intervals,
active caspase 3, hydrogen peroxide, superoxide anion (flow cytometry) and Akt
phosphorylation (flow cytometry) were evaluated. Major decreases in sperm
function occurred after 6 h of incubation, although after 1 h decrease in the
percentages of motile and progressive motile sperm occurred. The decrease
observed in sperm functionality after 6 h of incubation was accompanied by a
significant increase in the production of hydrogen peroxide and the greatest
increase in caspase 3 activity. Additionally, the percentage of phosphorylated
Akt reached a minimum after 6 h of incubation. These results provide evidences
that sperm death during in vitro incubation is largely an apoptotic phenomena,
probably stimulated by endogenous production of hydrogen peroxide and the lack of
prosurvival factors maintaining Akt in a phosphorylated status. Disclosing
molecular mechanisms leading to sperm death may help to develop new strategies
for stallion sperm conservation.
PMID- 24924977
TI - Correlation between behavioral and neuronal activities of toads Bufo bufo (L.) in
response to moving configurational prey stimuli.
AB - Common toads are able to distinguish prey objects from predators and behaviorally
irrelevant stimuli by their shape and direction of motion. Using computer
programs for correlation analysis, the prey-catching activity in response to
different moving configurational stimuli was compared with the activity of
neurons recorded at different levels of the visual pathway. Among retinal
ganglion cells, the class R2 neurons were found to be most sensitive, to moving
configurational stimuli. Among neurons recorded from retinal projection fields in
the optic tectum and thalamic pretectal region, the tectal T5(2) neurons
exhibited configurational selectivity. The output of these neurons showed the
best positive correlation with prey-catching when both the neuronal and
behavioral activities were compared in response to stripes of different length
moving with their axis in, or perpendicular to, the direction of motion.
PMID- 24924978
TI - Relationships between the daily variations of social behavior and of plasma FSH,
LH and testosterone levels in the domestic duck Anas platyrhynchos L.
AB - The daily variations of plasma follicle-stimulating hormone (FSH), luteinizing
hormone (LH) and testosterone were studied in three groups of 10-12 male domestic
ducks at different times in their reproductive cycle. Rapid and significant
changes in the mean plasma hormone levels were observed in each case but no
reproducible pattern of daily variation could be defined. Before being submitted
to repeated blood sampling, the birds were observed for their social behavior on
several days from dawn to dusk. The frequencies of social displays and sexual
behavior also changed significantly during the day but, once again, the pattern
of changes was different from one case to another. There was, however, some
indication that the pattern of daily changes could be a function of the season.
The study of the relationships between the daily changes in behavior and in
plasma hormone levels revealed several significant positive correlations. The
variations in plasma FSH and/or testosterone are correlated with the variations
in social displays and sexual behavior. Furthermore the same correlations could
be detected in different groups of animals which had otherwise completely
different patterns of daily variations. This suggests that the short-term changes
in behavior could be controlled by these hormones, but it is still unclear
whether the changes in hormone levels can cause behavioral changes within hours
rather than the converse.
PMID- 24924979
TI - Choice of side of resting position in Vulpes vulpes (L.).
AB - In fifteen foxes held under laboratory conditions all behaviour data recorded
were tested according to the foxes' resting positions and especially as to the
direction in which the body lay curled up (to the right or the left). 29 055
single items of data could be extracted and processed statistically. All
individuals taken together show a significant preference for the right direction
in the resting position. If the data are separated according to sex the
statistical significance is restricted to the males. The difference between males
and females is significant. The analysis indicated individual differences;
individual disposition with regard to preference for one side seems plausible.
Statistical testing of the foxes by twos indicated variations correlated with the
biosocial status. The dominance value is positively correlated with the tendency
to prefer a resting position to the left. There are significant differences
between two times of day (09.00-11.00; 17.00-19.00 h) and two times of year
(December-March; June-August) with respect to the type of behaviour studied.
These differences refer to mean values and variance.
PMID- 24924980
TI - Inhibition of control role behaviors in captive vervet monkeys (Cercopithecus
aethiops sabaeus).
AB - A captive vervet monkey (Cercopithecus aethiops sabaeus) colony was divided into
subgroups to determine the influence of the control male and the control female
on the expression of control role behaviors in other group members. Separation
from the control male invariably caused an increase in the control male factor
score for other adult and subadult males in the colony, and reunion caused a
decline to baseline. Separation from the control female produced no consistent
behavioral effect in other adult females.
PMID- 24924981
TI - A strategy approach to the study of primate dominance behaviour.
AB - It is argued that the concept of social dominance is likely to be a useful
explanatory principle in a theory of primate social behaviour. This argument
depends on switching from a concern with the structure of primate hierarchies to
looking at the process of attaining/ maintaining a given rank position in the
hierarchy; and on a switch from the exclusive focusing on dyadic interactions to
the inclusion of multi-animal interactions in studies of dominance behaviour.
This produces what in this paper is termed the 'strategy approach' to the study
of primate dominance behaviour. Its potential explanatory utility lies in its
prediction of change in affiliative relationships in the social group.
PMID- 24924982
TI - Cardiac responses in alarmed red deer calves.
AB - Radio transmitters were used to record the ECG signals of young red deer calves
(Cervus elaphus) when they were exposed to various stimuli which elicited the
immobility or "freezing" response. When the calves were exposed to stimuli likely
to occur in the deer's natural habitat, the heart rate decreased by 25 to 85% of
the pre-exposure rate. The calves displayed a more pronounced bradycardia during
their first days of life than at a later age. There are also indications that
repeated exposure to the same stimulus will cause a gradual waning both of the
bradycardia and of the behavioural response.
PMID- 24924992
TI - Acting on minimal residual disease in ALL.
PMID- 24924991
TI - Augmented post-remission therapy for a minimal residual disease-defined high-risk
subgroup of children and young people with clinical standard-risk and
intermediate-risk acute lymphoblastic leukaemia (UKALL 2003): a randomised
controlled trial.
AB - BACKGROUND: No randomised study has shown whether stratification of treatment by
minimal residual disease (MRD) response improves outcome in children and young
people with acute lymphoblastic leukaemia (ALL). We assessed whether children and
young people with clinical standard and intermediate-risk ALL who have persistent
MRD at the end of induction therapy benefit from augmented post-remission
therapy. METHODS: Between Oct 1, 2003, and June 30, 2011, we enrolled eligible
patients aged 1-24 years and initially categorised them into clinical standard
risk, intermediate-risk, and high-risk groups on the basis of a combination of
National Cancer Institute criteria, cytogenetics, and early morphological
response to induction therapy. Clinical standard-risk and intermediate-risk
patients with MRD of 0.01% or higher at day 29 of induction (MRD high risk) were
randomly assigned (1:1) to standard therapy (treatment regimens A and B) or
augmented post-remission therapy (regimen C). Compared with standard therapy, the
augmented treatment regimen (regimen C) included an additional eight doses of
pegylated asparaginase, 18 doses of vincristine, and escalated-dose intravenous
methotrexate without folinic acid rescue during interim maintenance courses.
Computer randomisation was used for treatment allocation and was balanced for
sex, age (<10 years vs >=10 years), and white blood cell count at diagnosis (<50
* 10(9)/L vs >=50 * 10(9)/L) by minimisation. Patients, clinicians, and data
analysts were not masked to treatment allocation. The primary outcomes were event
free survival and overall survival. Analyses were by intention to treat. This
trial is registered with Current Controlled Trials, number ISRCTN07355119.
FINDINGS: 533 MRD high-risk patients were randomly assigned to receive standard
(n=266) or augmented (n=267) post-remission therapy. After a median follow-up of
70 months (IQR 52-91), 5-year event-free survival was better in the augmented
treatment group (89.6% [95% CI 85.9-93.3]) than in the standard group (82.8%
[78.1-87.5]; odds ratio [OR] 0.61 [95% CI 0.39-0.98], p=0.04). Overall survival
at 5 years was numerically, but not significantly, higher in the augmented
treatment group (92.9% [95% CI 89.8-96.0]) than in the standard therapy group
(88.9% [85.0-92.8]; OR 0.67 [95% CI 0.38-1.17], p=0.16). More adverse events
occurred in the augmented treatment group than in the standard group
(asparaginase-related hypersensitivity in 18 [6.7%] in the augmented group vs two
[0.8%] in the standard group and asparaginase-related pancreatitis in eight
[3.0%] vs one [0.4%]; intravenous methotrexate-related mucositis in 11 [4.1%] vs
three [1.1%] and methotrexate-related stomatitis in 48 [18.0%] vs 12 [4.5%]).
INTERPRETATION: Our findings suggest that children and young people with acute
lymphoblastic leukaemia and 0.01% or more MRD at the end of remission induction
therapy could benefit from augmented post-remission therapy. However, the
asparaginase and intravenous methotrexate used in the augmented treatment regimen
is associated with more adverse events than is the standard post-remission
treatment regimen. FUNDING: Medical Research Council and Leukaemia and Lymphoma
Research.
PMID- 24924993
TI - Home blood pressure monitoring: primary role in hypertension management.
AB - In the last two decades, considerable evidence on home blood pressure monitoring
has accumulated and current guidelines recommend its wide application in clinical
practice. First, several outcome studies have shown that the ability of home
blood pressure measurements in predicting preclinical target organ damage and
cardiovascular events is superior to that of the conventional office blood
pressure measurements and similar to that of 24-hour ambulatory monitoring.
Second, cross-sectional studies showed considerable agreement of home blood
pressure measurements with ambulatory monitoring in detecting the white-coat and
masked hypertension phenomena, in both untreated and treated subjects. Third,
studies have shown larger blood pressure decline by using home blood pressure
monitoring instead of office measurements for treatment adjustment. Fourth, in
treated hypertensives, home blood pressure monitoring has been shown to improve
long-term adherence to antihypertensive drug treatment and thus, has improved
hypertension control rates. These data suggest that home blood pressure should no
longer be regarded as only a screening tool that requires confirmation by
ambulatory monitoring. Provided that an unbiased assessment is obtained according
to current recommendations, home blood pressure monitoring should have primary
role in diagnosis, treatment adjustment, and long-term follow-up of most cases
with hypertension.
PMID- 24924994
TI - The role of adiponectin in endothelial dysfunction and hypertension.
AB - It has been two decades since the discovery of adiponectin, and today its role in
insulin resistance, inflammation, and atherosclerosis are areas of major
interest. Production of adiponectin is reduced in all inflammatory processes and
states of insulin resistance such as obesity, type 2 diabetes mellitus, and
coronary artery disease. Adiponectin regulates carbohydrate metabolism, and may
also regulate vascular homeostasis by affecting important signaling pathways in
endothelial cells and modulating inflammatory responses in the subendothelial
space. Clinical studies have demonstrated a relationship between serum
adiponectin concentrations and the activity of the renin-angiotensin-aldosterone
system (RAAS), causing changes in blood pressure. Antihypertensive therapy with
angiotensin II receptor blockers (ARBs) has been demonstrated to increase
adiponectin levels in 3-6 months. Adiponectin has also been shown to play a role
in cardiac injury in modulation of pro-survival reactions, cardiac energy
metabolism, and inhibition of hypertrophic remodeling. The effects of adiponectin
on the cardiovascular system are believed to be partially mediated by the
activation of 5' adenosine monophosphate-activated protein kinase (AMPK) and
cyclooxygenase-2 (COX-2) pathways, reducing endothelial cell apoptosis, promoting
nitric oxide production, decreasing tumor necrosis factor-alpha (TNF-alpha)
activity, and preventing atherosclerotic proliferation and smooth muscle cell
migration. Further evaluation of biologically active forms of adiponectin and its
receptor should help to clarify how obesity affects the cardiovascular system.
PMID- 24924995
TI - Sodium, potassium, blood pressure, and cardiovascular disease in humans.
AB - The scientific underpinning for recommended levels of dietary sodium and
potassium intake is of great importance to healthcare providers and policy
decision-makers. Recent clinical trials and meta-analyses confirm the capacity of
dietary sodium reduction and potassium supplementation to reduce blood pressure
with no harmful effects on blood lipid levels in customary clinical settings.
Blood pressure is thought to be a good surrogate for cardiovascular disease
events and the most important preventable risk factor for mortality and
disability-adjusted life years. Cohort analyses and related pooling studies that
have been used to explore the relationship between dietary Na and CVD were all
based on secondary analyses of datasets that were not designed for this purpose.
Most are of insufficient quality to provide dependable information. The limited
information available from clinical trial experience and cohort studies of higher
quality suggests a reduction in dietary Na decreases CVD morbidity and mortality.
Modeling studies suggest that a small reduction in dietary sodium would result in
a sizable general population health benefit. Some countries have experienced a
progressive decline in average dietary sodium consumption. However, there is no
evidence of a corresponding trend in the United States, and almost the entire
population is failing to meet dietary sodium and potassium guideline
recommendations.
PMID- 24924996
TI - Molecular epidemiology and clinical features of human T cell lymphotropic virus
type 1 infection in Spain.
AB - Human T cell lymphotropic virus type 1 (HTLV-1) infection in Spain is rare and
mainly affects immigrants from endemic regions and native Spaniards with a prior
history of sexual intercourse with persons from endemic countries. Herein, we
report the main clinical and virological features of cases reported in Spain. All
individuals with HTLV-1 infection recorded at the national registry since 1989
were examined. Phylogenetic analysis was performed based on the long terminal
repeat (LTR) region. A total of 229 HTLV-1 cases had been reported up to December
2012. The mean age was 41 years old and 61% were female. Their country of origin
was Latin America in 59%, Africa in 15%, and Spain in 20%. Transmission had
occurred following sexual contact in 41%, parenteral exposure in 12%, and
vertically in 9%. HTLV-1-associated myelopathy/tropical spastic paraparesis
(HAM/TSP) was diagnosed in 27 cases and adult T cell leukemia/lymphoma (ATLL) in
17 subjects. HTLV-1 subtype could be obtained for 45 patients; all but one
belonged to the Cosmopolitan subtype a. One Nigerian pregnant woman harbored HTLV
1 subtype b. Within the Cosmopolitan subtype a, two individuals (from Bolivia and
Peru, respectively) belonged to the Japanese subgroup B, another two (from
Senegal and Mauritania) to the North African subgroup D, and 39 to the
Transcontinental subgroup A. Of note, one divergent HTLV-1 strain from an
Ethiopian branched off from all five known Cosmopolitan subtype 1a subgroups.
Divergent HTLV-1 strains have been introduced and currently circulate in Spain.
The relatively large proportion of symptomatic cases (19%) suggests that HTLV-1
infection is underdiagnosed in Spain.
PMID- 24924997
TI - "3D fusion" echocardiography improves 3D left ventricular assessment: comparison
with 2D contrast echocardiography.
AB - BACKGROUND: Three-dimensional fusion echocardiography (3DFE) is a novel
postprocessing approach that utilizes imaging data acquired from multiple 3D
acquisitions. We assessed image quality, endocardial border definition, and
cardiac wall motion in patients using 3DFE compared to standard 3D images (3D)
and results obtained with contrast echocardiography (2DC). METHODS: Twenty-four
patients (mean age 66.9 +/- 13 years, 17 males, 7 females) undergoing 2DC had
three, noncontrast, 3D apical volumes acquired at rest. Images were fused using
an automated image fusion approach. Quality of the 3DFE was compared to both 3D
and 2DC based on contrast-to-noise ratio (CNR) and endocardial border definition.
We then compared clinical wall-motion score index (WMSI) calculated from 3DFE and
3D to those obtained from 2DC images. RESULTS: Fused 3D volumes had significantly
improved CNR (8.92 +/- 1.35 vs. 6.59 +/- 1.19, P < 0.0005) and segmental image
quality (2.42 +/- 0.99 vs. 1.93 +/- 1.18, P < 0.005) compared to unfused 3D
acquisitions. Levels achieved were closer to scores for 2D contrast images (CNR:
9.04 +/- 2.21, P = 0.6; segmental image quality: 2.91 +/- 0.37, P < 0.005). WMSI
calculated from fused 3D volumes did not differ significantly from those obtained
from 2D contrast echocardiography (1.06 +/- 0.09 vs. 1.07 +/- 0.15, P = 0.69),
whereas unfused images produced significantly more variable results (1.19 +/-
0.30). This was confirmed by a better intraclass correlation coefficient (ICC
0.72; 95% CI 0.32-0.88) relative to comparisons with unfused images (ICC 0.56;
95% CI 0.02-0.81). CONCLUSION: 3DFE significantly improves left ventricular image
quality compared to unfused 3D in a patient population and allows noncontrast
assessment of wall motion that approaches that achieved with 2D contrast
echocardiography.
PMID- 24924998
TI - Rights versus morality: online debate about decriminalization of gay sex in
Singapore.
AB - This article presents a quantitative content analysis of 10,473 comments from two
opposing online petitions related to the legal status of a section of the penal
code in Singapore used to ban sex between men. Results indicate numerous
significant differences in how the two sides discussed the law and its
significance. In particular, they used different types of arguments to support
their views and expressed different kinds of concerns over the potential impact
of changing or maintaining the law. The patterns of language use seem to reflect
distinctly different approaches to the debate and suggest the difficulty of
finding common ground amid this contentious social issue, but they also reveal
similarities to how Western cultures have framed the debate.
PMID- 24924999
TI - Clinical utility of endorectal MRI-guided prostate biopsy: preliminary
experience.
AB - PURPOSE: To investigate the potential clinical utility of endorectal MRI-guided
biopsy in patients with known or suspected prostate cancer. MATERIALS AND
METHODS: We prospectively recruited 24 men with known or suspected prostate
cancer in whom MRI-guided biopsy was clinically requested after multiparametric
endorectal MRI showed one or more appropriate targets. One to six 18-gauge biopsy
cores were obtained from each patient. Transrectal ultrasound guided biopsy
results and post MRI-guided biopsy complications were also recorded. RESULTS: MRI
guided biopsy was positive in 5 of 7 patients with suspected prostate cancer
(including 2 of 4 with prior negative ultrasound-guided biopsies), in 8 of 12
with known untreated prostate cancer (including 5 where MRI-guided biopsy
demonstrated a higher Gleason score than ultrasound guided biopsy results), and
in 3 of 5 with treated cancer. MRI-guided biopsies had a significantly higher
maximum percentage of cancer in positive cores when compared with ultrasound
guided biopsy (mean of 37 +/- 8% versus 13 +/- 4%; P = 0.01). No serious
postbiopsy complications occurred. CONCLUSION: Our preliminary experience
suggests endorectal MRI-guided biopsy may safely contribute to the management of
patients with known or suspected prostate cancer by making a new diagnosis of
malignancy, upgrading previously diagnosed disease, or diagnosing local
recurrence.
PMID- 24925000
TI - Interleaved diffusion-weighted improved by adaptive partial-Fourier and multiband
multiplexed sensitivity-encoding reconstruction.
AB - PURPOSE: We report a series of techniques to reliably eliminate artifacts in
interleaved echo-planar imaging (EPI) based diffusion-weighted imaging (DWI).
METHODS: First, we integrate the previously reported multiplexed sensitivity
encoding (MUSE) algorithm with a new adaptive Homodyne partial-Fourier
reconstruction algorithm, so that images reconstructed from interleaved partial
Fourier DWI data are free from artifacts even in the presence of either (a)
motion-induced k-space energy peak displacement, or (b) susceptibility field
gradient induced fast phase changes. Second, we generalize the previously
reported single-band MUSE framework to multiband MUSE, so that both through-plane
and in-plane aliasing artifacts in multiband multishot interleaved DWI data can
be effectively eliminated. RESULTS: The new adaptive Homodyne-MUSE reconstruction
algorithm reliably produces high-quality and high-resolution DWI, eliminating
residual artifacts in images reconstructed with previously reported methods.
Furthermore, the generalized MUSE algorithm is compatible with multiband and high
throughput DWI. CONCLUSION: The integration of the multiband and adaptive
Homodyne-MUSE algorithms significantly improves the spatial-resolution, image
quality, and scan throughput of interleaved DWI. We expect that the reported
reconstruction framework will play an important role in enabling high-resolution
DWI for both neuroscience research and clinical uses.
PMID- 24925002
TI - [Management of stridor].
AB - Pediatric stridor is usually due to benign laryngomalacia. This pathology of
unknown origin usually heals spontaneously during the first 18 months of life.
Mild laryngomalacia is characterized by normal weight growth, the absence of
sleep apneas and of associated swallowing or neurological disorders. Medical
treatment is sufficient to release symptoms. Severe clinical forms are more rare,
representing about 10 % of cases. They require an endoscopic evaluation of the
upper airway under general anesthesia, as well as an endoscopic
suppraglottoplasty. Non-invasive ventilation is an interesting additional tool in
case of surgical failures or in some infants with comorbid conditions.
PMID- 24925001
TI - ERPs dissociate proactive and reactive control: evidence from a task-switching
paradigm with informative and uninformative cues.
AB - According to the dual mechanism of control (DMC) framework, cognitive control can
be recruited proactively to prevent response conflict when advance preparation is
feasible or is up-regulated to overcome response conflict after it is detected.
This study aimed at empirically dissociating proactive and reactive control
processes proposed by the DMC and identifying corresponding event-related
potential (ERP) correlates. Behavioral and electrophysiological indices of
cognitive control were measured during a task-switch paradigm with or without
informative advance cues, in which proactive control was feasible or not.
Proactive control was associated with a (right-) frontal sustained ERP modulation
during the cue-target interval. In line with the successful recruitment of
proactive control, informative, as compared with uninformative, cue conditions
were associated with reduced behavioral and ERP correlates of conflict. ERP
correlates of conflict were evident both during conflict detection upon target
presentation (N(inc)) and during conflict resolution-in particular, following
uninformative cues. Reactive control assumed to support conflict resolution was
associated with a (left-) frontal transient preresponse ERP modulation for
uninformative, but not informative, cue conditions. Together, these data suggest
that complementary proactive and reactive control processes operate in concert to
flexibly support goal-directed behavior in response to variable task-demands, by
either preventing or resolving response conflicts, as they are detected or
anticipated.
PMID- 24925003
TI - Kinematics of the upper cervical spine during high velocity-low amplitude
manipulation. Analysis of intra- and inter-operator reliability for pre
manipulation positioning and impulse displacements.
AB - To date, kinematics data analyzing continuous 3D motion of upper cervical spine
(UCS) manipulation is lacking. This in vitro study aims at investigating inter-
and intra-operator reliability of kinematics during high velocity low amplitude
manipulation of the UCS. Three fresh specimens were used. Restricted dissection
was realized to attach technical clusters to each bone (skull to C2). Motion data
was obtained using an optoelectronic system during manipulation. Kinematics data
were integrated into specific-subject 3D models to provide anatomical motion
representation during thrust manipulation. The reliability of manipulation
kinematics was assessed for three practitioners performing two sessions of three
repetitions on two separate days. For pre-manipulation positioning, average UCS
ROM (SD) were 10 degrees (5 degrees ), 22 degrees (5 degrees ) and 14 degrees
(4 degrees ) for lateral bending, axial rotation and flexion-extension,
respectively. For the impulse phase, average axial rotation magnitude ranged from
7 degrees to 12 degrees . Reliability analysis showed average RMS up to 8
degrees for pre-manipulation positioning and up to 5 degrees for the impulse
phase. As compared to physiological ROM, this study supports the limited angular
displacement during manipulation for UCS motion components, especially for axial
rotation. Kinematics reliability confirms intra- and inter-operator consistency
although pre-manipulation positioning reliability is slightly lower between
practitioners and sessions.
PMID- 24925004
TI - Selection and improving of fit-for-purpose sampling procedures for specific foods
and risks.
PMID- 24925005
TI - Cytoskeleton of newborn vocal fold stellate cells.
AB - OBJECTIVES/HYPOTHESIS: Vocal fold stellate cells (VFSCs) in the human maculae
flavae located at both ends of the vocal fold mucosa are inferred to be involved
in the metabolism of extracellular matrices of the vocal fold mucosa. Tension
caused by phonation (vocal fold vibration) likely regulates the behavior of the
VFSCs in the human maculae flava. Tensile and compressive strains have direct
effects on cell morphology and structure, including changes in cytoskeletal
structure and organization. Cytoskeletons play a role as mechanoreceptors for the
cells. The microstructure of the intermediate filaments and the expression of
their characteristic proteins were investigated regarding the human newborn
VFSCs. STUDY DESIGN: Histopathologic analysis of the human newborn vocal fold.
METHODS: Three newborn vocal fold mucosae were investigated by
immunohistochemistry and electron microscopy. RESULTS: The intermediate filaments
in the cytoplasm of the newborn VFSCs were few in number. However, their
characteristic proteins (vimentin, desmin, GFAP [Glial fibrillary acidic
protein], cytokeratin) had already expressed. CONCLUSION: The function and fate
of VFSCs are regulated by various microenvironmental factors. Not only chemical
factors but also mechanical factors could also modulate VFSC behaviors. The
cytoskeletal structure of the newborn VFSCs is under development. And the newborn
VFSCs have not acquired mechanical regulation. LEVEL OF EVIDENCE: N/A.
PMID- 24925006
TI - Eradication strategy for persistent methicillin-resistant Staphylococcus aureus
infection in individuals with cystic fibrosis--the PMEP trial: study protocol for
a randomized controlled trial.
AB - BACKGROUND: The prevalence of methicillin-resistant Staphylococcus aureus (MRSA)
respiratory infection in cystic fibrosis (CF) has increased dramatically over the
last decade, and is now affecting approximately 25% of patients. Epidemiologic
evidence suggests that persistent infection with MRSA results in an increased
rate of decline in FEV1 and shortened survival. Currently, there are no
conclusive studies demonstrating an effective and safe treatment protocol for
persistent MRSA respiratory infection in CF. METHODS/DESIGN: The primary
objective of this study is to evaluate the safety and efficacy of a 28-day course
of vancomycin for inhalation in combination with oral antibiotics in eliminating
MRSA from the respiratory tract of individuals with CF and persistent MRSA
infection. This is a two-center, randomized, double-blind, comparator-controlled,
parallel-group study with 1:1 assignment to either vancomycin for inhalation (250
mg twice a day) or taste-matched placebo for 28 days in individuals with cystic
fibrosis. In addition, both groups will receive oral rifampin, a second oral
antibiotic - trimethoprim/sulfamethoxazole (TMP/SMX) or doxycycline, protocol
determined - mupirocin intranasal cream, and chlorhexidine body washes. Forty
patients with persistent respiratory tract MRSA infection will be enrolled: 20
will be randomized to vancomycin for inhalation and 20 to a taste-matched
placebo. The primary outcome will be the presence of MRSA in sputum respiratory
tract cultures 1 month after the conclusion of treatment. Secondary outcomes
include the efficacy of the intervention on: FEV1% predicted, patient reported
outcomes, pulmonary exacerbations, and MRSA colony-forming units found in
respiratory tract sample culture. DISCUSSION: Results of this study will provide
guidance to clinicians regarding the safety and effectiveness of a targeted
eradication strategy for persistent MRSA infection in CF. TRIAL REGISTRATION:
This trial is registered at ClinicalTrials.gov (NCT01594827, received 05/07/2012)
and is funded by the Cystic Fibrosis Foundation (Grants: PMEP10K1 and PMEP11K1).
PMID- 24925007
TI - Second-order control of sequence-class equivalences in children.
AB - Children learned matching-to-sample tasks to establish two equivalence classes.
Then, one member from each class appeared in a sequence procedure, thereby
acquiring the ordinal properties "first" and "second". When the remaining members
in the two equivalence classes were placed in the sequence context, subjects
responded in appropriate order without additional training. The data suggest a
basic mechanism which can account for the production of new sequence behavior
which has no explicit history of training.
PMID- 24925008
TI - Conspecific vocalisations, tonic immobility and fearfulness in the domestic fowl.
AB - Vocalisation is important in the transmission of fear/alarm among chickens. In
this experiment, therefore, the effects of various conspecific calls on tonic
immobility, a fear-potentiated, anti-predator response, were examined in adult
laying hens. The shortest durations of immobility were observed after exposure to
recordings of either the familiar background noise of the poultry house of 'Ku'
calls which are normally associated with feeding. These stimuli exerted similar
effects and both are considered more likely to attenuate rather than heighten
fearfulness. Intermediate levels of immobility followed presentation of the post
laying cackle whereas recordings of warning calls, such as the aerial predator
call, the ground predator call or the fear squawk, all significantly prolonged
tonic immobility. The biological significance of these results is discussed in
terms of fear and predator-defence.
PMID- 24925009
TI - Strain differences in parturition behavior and survival probability in rats
(Rattus Norvegicus).
AB - Three strains of laboratory rats (Wu:Cpb, CPB-B and WKY/Cpb) differing widely in
reproductive success were compared concerning the role played by maternal
behavior in the survival probability of pups. Parturition behavior of the dams
was selected for study as pups are most dependent on the mother's behavior at,
and immediately after birth. Great strain differences in survival rate of life
born pups were found. However, differences between strains in parturition
behavior were found to be restricted to only one pup oriented behavior and some
non social behaviors. Besides no pups died at the day of birth nor did it seem
probable that the strain differences we found in parturition behavior can be held
responsible for the eventual death of pups during parturition. The suggestion is
presented that strain differences in maternal behavior which affect survival
chances of pups are to be looked for in the lactation period.
PMID- 24925010
TI - An automated photographic technique for behavioural investigations of social
insects.
AB - We describe a technique based on automatic photography as an alternative to the
visual scanning method for behavioural investigations of insect societies. This
technique opens up the possibilities for studying poorly-populated colonies over
prolonged periods. The reliability of this technique as compared to the direct
observational method was demonstrated on the basis of an investigation of the
social organization of a neotropical Ponerine ant (Ectatomma ruidum ). The
results obtained by the two techniques were very comparable both in regards to
the overall behavioural profiles for the colony and as concerns the description
of functional groups and sub-castes.
PMID- 24925011
TI - Seasonal variations in habitat selection and spatial distribution of wild boar
(Sus Scrofa) in the Camargue, Southern France.
AB - Seasonal habitat selection and use by wild boars were studied in relation to
major vegetation cover types and flooded areas, on a sampling area of 1047 ha.
Six habitat types were delineated: "sansouire" (local name), "enganes" (local
name), halophytic grasslands, scrub grasslands, deep and shallow marshes, fallow
or cultivated farmlands. Once a season in 1982, this sampling area was
systematically examined and mapped for wild boar signs left in the following
places : bedding places, farrowing nests, wallows, rubbing trees and rooting
sites. Marshes are the most frequently used areas throughout the year since all
activities can be conducted there. Scrub grasslands, although less common, are
also important, because of scrubs of Phillyrea angustifolia used for resting and
dense groves of Ulmus campestris used for rubbing. Rooting activity,
predominantly done in marshes, is also conducted in all types of grasslands (even
cultivated) during the winter, and in farmlands during the summer. In the
sampling area, seasonal variations in the counts of activity signs as well as in
the number of wild boar sightings suggest correlated variations of density. The
estimated autumn to spring density is higher (2.5-2.9 animals/km2) than the
summer one (0.6 animals/km2). These seasonal variations are explained by spatial
adjustments according to environmental conditions. In the early warm season,
drying out of marshes and soils in the study area leads to emigration of part of
population onto neighbouring grounds where agricultural crops are available.
Return movements are presumably causally related to different factors : onset of
the rains, hunting disturbance and harvest of crops. Fluctuations of
environmental factors require a flexible strategy of habitat utilization. We
propose a model for the organization of wild boar populations, which would be
formed by "nuclei" presenting environment-dependent densities.
PMID- 24925012
TI - An experimental analysis of "empathic" response: Effects of pain reactions of
pigeon upon other pigeon's operant behavior.
AB - Suppression of operant behavior by exposure to pain reactions of conspecifics was
examined with pigeons. Three groups of pigeons were trained on a VI schedule, and
were then exposed to the pain reactions of an adjoining bird to electric shocks.
Although every subject showed suppression of responding, the suppression
decreased with repeated exposures. Following this assessment, a conditioning
group received conditioned suppression training in which the pain reaction of the
adjoining bird was the CS and an electric shock was the US; a shock exposure
group received the electric shock without any explicit CS; and, a no-shock group
did not receive any shock. After these treatments, every group was exposed to the
pain reactions of the adjoining bird (test 1). The conditioning group and the
shock exposure group showed clear suppression in responding, but the no-shock
group did not. The no-shock group then received the shock exposure treatment and
the conditioned suppression training succesively, and the shock exposure group
received the conditioned suppression training. Results of tests with the pain
reaction of the adjoining bird supported the results of the test 1, however,
suppression caused by the shock exposure was not so clear in the no-shock group.
The present results demonstrated that conspecific behavior can become a CS by
conditioned suppression training, and, the behavior to an aversive stimulus can
acquire aversive properties for other conspecifics when they have shared the
exposure to the same aversive stimulus.
PMID- 24925013
TI - Post partum aggression against intruding male conspecifics in sprague-dawley
rats.
AB - Six independent groups of individually housed female rats of the Sprague-Dawley
strain were given a 5-min aggression test on Day 0 (day of parturition), 3, 6, 9,
12, or 15 postpartum. Latency to attack male conspecifics differed reliably among
groups, being shortest on Day 0. Significant group differences in frequency of
aggressive acts were also found with a consistent reduction in all measures by
the end of the second week of lactation. Although attack by females caused most
male intruders to react defensively, 23% of intruders showed retaliatory attacks
against females. The function of maternal aggression in protecting the pups from
infanticide by unfamiliar males is discussed.
PMID- 24925014
TI - The swimming activity of the staggerer mutant mouse.
AB - Four experiments investigated the swimming behaviour of staggerer mutant mice.
The results partially confirmed previous reports that a mouse's swimming is
unaffected by the staggerer mutation. In terms of speed and distance there are
indeed no measurable differences between normal and staggerer mice, when first
placed in the water. The stagger's resistance was however shown to be much lower
than a normal's and the genetic difference was also associated with different
styles of swimming. Furthermore, whereas the normal mouse's swimming behaviour
evolves with increased time in the water, the staggerer's remains constant. The
differences are interpreted on the basis of abnormal novelty reactions by the
staggerer mutants. Thus, swimming appears to be a better tool for investigating
the higher-level cognitive functions of this mutant than terrestrial locomotion.
PMID- 24925021
TI - Vascular soft-tissue sarcomas: a prognostic model from a retrospective single
center study.
AB - BACKGROUND: Vascular soft-tissue sarcomas are a rare form of sarcoma. Malignant
subtypes exhibit a variety of biological behaviors. We describe the
clinicopathological characteristics and patient survival outcomes of malignant
vascular soft-tissue sarcomas. METHODS: We conducted a retrospective study on a
cohort of 84 patients diagnosed with vascular tumors by histological examination
at the Yonsei University College of Medicine between April 1987 and August 2011.
The primary end point was overall survival (OS). RESULTS: The angiosarcoma
patients had a significantly shorter OS than the patients with other subtypes of
sarcomas (59.0 and 142.7 months, respectively; p < 0.001). Upon multivariate
analysis of survival in patients who underwent surgical resection, the following
independent prognostic factors were identified: primary site (trunk, p = 0.001),
age (older than 65 years, p < 0.001), pathology (angiosarcoma, p = 0.006) and R2
resection (p = 0.002). CONCLUSION: The independent prognostic factors for shorter
survival are the trunk as the primary site, malignant angiosarcoma and age (>65
years). Complete excision should be attempted for providing a survival advantage
in the patients with localized disease. In addition, bleeding episodes are much
more frequent in patients with a poor survival outcome.
PMID- 24925022
TI - IV prenatal nicotine exposure increases the reinforcing efficacy of
methamphetamine in adult rat offspring.
AB - BACKGROUND: Maternal smoking during pregnancy is correlated with increased
substance use in offspring. Research using rodent models shows that gestational
nicotine exposure produces enduring alterations in the neurodevelopment of
motivational systems, and that rats prenatally treated with nicotine have altered
motivation for drug reinforcement on fixed-ratio (FR) schedules of reinforcement.
OBJECTIVE: The present study investigated methamphetamine (METH) self
administration in adult offspring prenatally exposed to intravenous (IV) nicotine
or saline using a progressive-ratio (PR) schedule of reinforcement. METHODS:
Pregnant rats were administered IV prenatal saline (PS) or nicotine (PN;
0.05mg/kg/infusion), 3*/day during gestational days 8-21. At postnatal day 70,
offspring acquired a lever-press response for sucrose (26%, w/v; FR1-3). Rats
were trained with METH (0.05mg/kg/infusion), and following stable FR responding,
animals were tested using a progressive-ratio (PR) schedule for three different
doses of METH (0.005, 0.025, and 0.05mg/kg/infusion). RESULTS: METH infusion,
active lever presses, and the ratio breakpoint are reported. PN-exposed animals
exhibited more METH-maintained responding than PS controls, according to a
dose*prenatal treatment interaction (e.g., infusions). PN rats self-administered
more METH infusions between the range of 0.025 and 0.05, but not for the
0.005mg/kg/infusion dose. CONCLUSIONS: IV PN-exposure produced enhanced
motivation to self-administer METH. These findings indicate that pregnant women
who smoke tobacco may impart neurobiological changes in offspring's motivational
systems that render them increasingly vulnerable to drug abuse during adulthood.
PMID- 24925023
TI - TAAR1-dependent effects of apomorphine in mice.
AB - G protein-coupled trace amine-associated receptor 1 (TAAR1) is expressed in
several brain regions and modulates dopaminergic activity partially by affecting
D2 dopamine receptor function. In vitro, the nonselective dopamine agonist
apomorphine can activate mouse and rat TAAR1. The aim of the present study was to
evaluate whether apomorphine activity at the rodent TAAR1 observed in in vitro
studies contributes to its behavioral manifestation in mice. For this purpose, we
compared the behavioral effects of a wide range of apomorphine doses in wild type
(WT) and TAAR1 knockout (TAAR1-KO) mice. Apomorphine-induced locomotor responses
(0.01-4.0 mg/kg) were tested in locomotor activity boxes, and stereotypic
behavior at 5 mg/kg was tested by ethological methods. A gnawing test was used to
analyze the effects of the highest dose of apomorphine (10 mg/kg). No
statistically significant differences were observed between TAAR1-KO and WT mice
following inhibitory pre-synaptic low doses of apomorphine. At higher doses (2.0
5.0 mg/kg), apomorphine-induced climbing behavior was significantly reduced in
TAAR1 mutants relative to WT controls. Moreover, the lack of TAAR1 receptors
decreased certain types of stereotypies (as reflected in by measures of the
global stereotypy score, licking but not sniffing or gnawing) that were induced
by high doses of apomorphine. These data indicate that apomorphine activity at
TAAR1 contributes to some behavioral manifestations, particularly climbing, in
rodents following high doses of this drug. The contribution of TAAR1 to
apomorphine-induced climbing in rodents should be considered when apomorphine is
used as a screening tool in the search for potential antipsychotics.
PMID- 24925024
TI - Phosphoantigens and butyrophilin 3A1 induce similar intracellular activation
signaling in human TCRVgamma9+ gammadelta T lymphocytes.
AB - Human gammadelta cells expressing TCRVgamma9 are T lymphocytes with great
potential for cancer immunotherapy and unconventional pattern of antigen
specificity. These HLA-unrestricted lymphocytes are specifically reactive to non
peptide metabolites (phosphoantigens) and to the butyrophilin 3A (BTN3A/CD277)
protein. Whether recognition of such highly different structures trigger the same
activation signaling pathway remains unclear, however. Here we combined
fluorescent cell barcoding and phosphoflow analysis of TCRVgamma9(+) T
lymphocytes to compare simultaneously the level of several signaling
phosphoproteins after activation by phosphoantigen (BrHPP) or by anti-BTN3A
(monoclonal antibody 20.1). This approach shows that the same pathways involving
ZAP70, PLCgamma2, Akt, NFkappaB p65, MAPK p38 and Erk1, were induced by either of
these stimuli. These data strongly suggest the TCRVgamma9(+) T lymphocytes detect
phosphoantigens and butyrophilin A3 by the same recognition process.
PMID- 24925025
TI - Prevalence and factors associated with wound colonization by Staphylococcus spp.
and Staphylococcus aureus in hospitalized patients in inland northeastern Brazil:
a cross-sectional study.
AB - BACKGROUND: Infections by Staphylococcus spp. are often associated with wounds,
especially in hospitalized patients. Wounds may be the source of bacteria causing
cross-contamination, and are a risk factor for methicillin-resistant
Staphylococcus aureus (MRSA) infection. The aim of this study was to investigate
the prevalence of wound colonization by Staphylococcus spp., especially S. aureus
and MRSA, in hospitalized patients, and to identify the factors associated with
such colonization. METHODS: This cross-sectional study enrolled patients with
wounds who were hospitalized in a remote and underdeveloped inland region of
northeastern Brazil with extreme poverty. Samples were collected using sterile
swabs with 0.85% saline solution, and coagulase-negative Staphylococcus spp., S.
aureus, and MRSA were identified using standard laboratory procedures. Data
regarding the sociodemographic characteristics, antibiotic use, and comorbidities
of the patients were collected using the medical records and a questionnaire.
RESULTS: A total of 125 wounds were analyzed. The patients had a mean age of
63.88 years and a mean 3.84 years of school education. Eighty-one wounds (64.80%)
were colonized by Staphylococcus spp. Twenty-five wounds (20%) were colonized by
S. aureus, 32% of which were colonized by MRSA. Wound colonization by
Staphylococcus spp. was associated with pneumonia or other respiratory disease (p
= 0.03). Wound colonization by S. aureus was associated with nasal colonization
by S. aureus (p < 0.001), fewer days of prior antibiotic use (p = 0.04),
admission to a medical ward (p = 0.02), and age >65 years (p = 0.05). Among
patients with wound colonization by MRSA, 37.50% had a history of prior
antibiotic use, 75% had two or more comorbidities, 25% had cancer or diabetes,
50% had cardiovascular disease, and 50% died. CONCLUSIONS: Wounds can be the
source of Staphylococcus spp. infection, and high proportions of wounds are
colonized by S. aureus and MRSA. Nasal colonization by S. aureus may be a source
for wound colonization by S. aureus, illustrating the importance of preventing
cross-contamination in hospital environments, especially among elderly patients.
Wounds should be carefully managed to prevent microbial spread, thereby assisting
patient recovery and reducing healthcare costs.
PMID- 24925026
TI - Williams-beuren syndrome is a genetic disorder associated with impaired glucose
tolerance and diabetes in childhood and adolescence: new insights from a
longitudinal study.
AB - BACKGROUND: In adults with Williams-Beuren syndrome (WBS), a common endocrine
abnormality is type 2 diabetes mellitus (T2DM) or impaired glucose tolerance
(IGT). However, few and sporadic data are available in children, adolescents, and
young adults with WBS. AIM: To evaluate the frequency of IGT and T2DM in a cohort
of children and young patients with WBS. PATIENTS AND METHODS: We longitudinally
evaluated 27 patients (9 males and 18 females, median age at study onset 13.6
years) with WBS. The median follow-up was 3.6 years. Variables of insulin
resistance and beta-cell function were evaluated in all subjects using an oral
glucose tolerance test. The homeostasis model assessment (HOMA) of insulin
resistance and the Matsuda index of insulin sensitivity were calculated. The
study of the GCK and HNF1Alpha genes was performed in patients with glucose
metabolism abnormalities. 45 age- and sex-matched healthy subjects and 51 age-,
sex- and BMI-matched subjects were recruited as two control groups. RESULTS:
Considering nutritional status, 7 (25.9%) patients were obese, 9 (33.3%)
overweight, and 11 (40.8%) normal-weight. One (3.1%) patient had acanthosis
nigricans. IGT was diagnosed in 7 (25.9%) WBS patients and T2DM in 3 (11.1%).
Considering all WBS patients, the median value of HOMA was 5.23 (range 2.93
14.89; insulin 24.73 +/- 14.67 MUU/ml; glucose 104.98 +/- 16.06 mg/dl).
Considering BMI values, HOMA was 11.00 (range 6.53-12.56), 5.64 (range 3.54
7.95), and 4.54 (range 3.21-5.43), and insulin was 34.53 +/- 6.84, 22.76 +/-
8.91, and 19.47 +/- 6.01 MUU/ml in obese, overweight, and normal-weight WBS
patients, respectively. Comparing the results with the two control groups, WBS
patients showed higher insulin values than healthy controls (p < 0.001), but
similar values as the BMI-matched control group (p = n.s.). However, WBS patients
showed significantly higher values of glycemia (healthy control group, p < 0.001;
BMI-matched control group, p < 0.05) and HOMA (healthy control group, p < 0.001;
BMI-matched control group, p < 0.05) than the two control groups. Finally, among
WBS patients there was a higher number of subjects with IGT and T2DM than among
healthy controls (p < 0.0001) and the BMI-matched control group (p = 0.0002).
CONCLUSION: Our data strongly suggest that IGT and T2DM may be frequently
discovered in children, adolescents, and young adults with WBS. WBS should be
included among the genetic syndromes associated with T2DM. Further studies are
necessary to evaluate the etiopathogenesis of this aspect.
PMID- 24925027
TI - Exosome-derived miRNAs and ovarian carcinoma progression.
AB - The objective of this study was to analyze the expression, biological role and
clinical relevance of exosomal microRNAs (miRNAs) from ovarian carcinoma (OC)
effusion supernatants. Exosomal miRNA expression profiling was performed using
miRNA Taqman arrays. Selected miRNAs were validated using quantitative PCR in 86
OC effusion supernatants. The role of exosomal miRNA in this cancer was further
studied using in vitro and in vivo models. miRNA profiling identified 99 miRNAs
with high expression levels in exosomes from OC effusion supernatants.
Quantitative PCR validation of 11 miRNAs showed significant associations with
effusion site (peritoneum versus pleura) and International Federation of
Gynecology and Obstetrics stage. In univariate survival analysis, high levels of
miRNAs 21, 23b and 29a were associated with poor progression-free survival (P =
0.01, P = 0.015 and P = 0.009, respectively), whereas high expression of miRNA 21
correlated with poor overall survival (P = 0.017). The latter association was
retained in Cox multivariate analysis (P = 0.001). Exposure of LP9 mesothelial
cells and ES2 OC cells to OC effusion-derived exosomes inhibited tumor spheroid
expansion and reduced mesothelial clearance area. Treatment of severe combined
immunodeficiency mice with exosomes from OC effusions prior to injection of tumor
cells was associated with larger tumor load, more infiltrative tumors and shorter
survival. Patient-derived OC effusion exosomes contain multiple miRNAs, of which
some may have clinical relevance. In experimental models, OC exosomes affect both
tumor cells and cells in the tumor microenvironment and induce more aggressive
disease. Collectively, these data demonstrate the central role of miRNAs and
their content in the biology of this cancer.
PMID- 24925028
TI - MicroRNA-200b targets protein kinase Calpha and suppresses triple-negative breast
cancer metastasis.
AB - Triple-negative breast cancer (TNBC) is an aggressive subtype of breast cancer
with poor prognosis and lacks effective targeted therapies. The microRNA-200 (miR
200) family is found to inhibit or promote breast cancer metastasis; however, the
underlying mechanism is not well understood. This study was performed to
investigate the effect and mechanism of miR-200b on TNBC metastasis and identify
targets for developing more efficient treatment for TNBC. We found that miR-200
family expression levels are significantly lower in highly migratory TNBC cells
and metastatic TNBC tumors than other types of breast cancer cells and tumors.
Ectopically expressing a single member (miR-200b) of the miR-200 family
drastically reduces TNBC cell migration and inhibits tumor metastasis in an
orthotopic mouse mammary xenograft tumor model. We identified protein kinase
Calpha (PKCalpha) as a new direct target of miR-200b and found that PKCalpha
protein levels are inversely correlated with miR-200b levels in 12 kinds of
breast cancer cells. Inhibiting PKCalpha activity or knocking down PKCalpha
levels significantly reduces TNBC cell migration. In contrast, forced expression
of PKCalpha impairs the inhibitory effect of miR-200b on cell migration and tumor
metastasis. Further mechanistic studies revealed that PKCalpha downregulation by
miR-200b results in a significant decrease of Rac1 activation in TNBC cells.
These results show that loss of miR-200b expression plays a crucial role in TNBC
aggressiveness and that miR-200b suppresses TNBC cell migration and tumor
metastasis by targeting PKCalpha. Our findings suggest that miR-200b and PKCalpha
may serve as promising therapeutic targets for metastatic TNBC.
PMID- 24925030
TI - [Obituary - Bruce E. Del Mar (1913-2014)].
PMID- 24925029
TI - Inhibition of STAT5a by Naa10p contributes to decreased breast cancer metastasis.
AB - N-alpha-Acetyltransferase 10 protein (Naa10p, also called arrest-defective 1),
the catalytic subunit of N-acetyltransferase A, is a critical regulator of cell
death and proliferation. Naa10p is also shown to regulate cancer metastasis by
inhibiting cell motility; however, its role in cancer metastasis is not fully
understood. In this study, we found that high expression of Naa10p is positively
correlated with the survival of patients with breast cancer, whereas negatively
correlated with lymph node metastasis. Naa10p inhibits breast cancer cell
migration and invasion in vitro and decreases the xenograft growth and metastasis
in nude mice. Microarray screening revealed that Naa10p downregulates inhibitors
of differentiation 1 (ID1) expression. Naa10p binds to signal transducer and
activator of transcription 5a (STAT5a) and decreases STAT5a-stimulated ID1
expression in an acetyltransferase-independent manner. Moreover, Naa10p
antagonizes Janus kinase 2-STAT5a signaling by lowering p65-activated interleukin
1beta expression. Our results demonstrate a novel mechanism through which Naa10p
inhibits the metastasis of breast cancer cells by targeting STAT5a.
PMID- 24925031
TI - Pancreatic cancer stem cells: association with cell surface markers, prognosis,
resistance, metastasis and treatment.
AB - In this review, we will discuss the recent advances in understanding the
pancreatic cancer stem cells. Identification and characterization of pancreatic
cancer stem cells may aid our ability to improve diagnosis and treatment of
pancreatic cancer. Novel approaches are necessary for the earlier diagnosis of
pancreatic cancer as well as improved treatment to prevent distal metastasis. Key
markers for the identification of pancreatic cancer stem cells include CD133,
ALDH, side population cells and the triplet combination CD44+ CD24+ESA+. The
roles of these proteins as markers for stemness in pancreatic cancer as well as
recent studies with the c-Met proto-oncogene will be discussed. The ability of
these markers to predict survival of pancreatic cancer patients is being examined
clinically. Stemness and resistance to chemotherapy and radiotherapy may be
linked. Expression of some of these markers may be associated with distant
metastasis. Treatment of pancreatic cancer patients by targeting the pancreatic
cancer stem cells holds promise.
PMID- 24925033
TI - Validation of a student satisfaction survey for clinical education placements in
dietetics.
AB - BACKGROUND: Finite or shrinking health resources, coupled with increasing
clinical student placement demand, raise many challenges, including potential
impacts on placement quality. One method of measuring quality is through the use
of validated tools and systematic evaluation; however, no validated dietetic
student satisfaction surveys could be identified. METHOD: An electronic student
survey assessing clinical placement satisfaction was developed, using survey
items from the literature and questions clinical educators considered pertinent.
Pilot testing ensured understandability and content validity. The confidential,
electronic student clinical placement satisfaction survey was provided to health
services statewide and completed by students following their final placement
assessment (160 responses from 290 placements). Forty-seven statements were
scored on a 5-point Likert scale (strongly disagree to strongly agree), with
overall placement satisfaction assessed on a 10-point scale (very poor to
exceptional). RESULTS: No metropolitan, regional, or remote differences were
evident with regard to sample representativeness (n=160). Two factors
encompassing 28 items (related to supervisors and clinicial educators) accounted
for 78% of the variance. Good internal consistency was evident (Cronbach's alpha
0.98). CONCLUSION: This study establishes the construct validity of an instrument
by which the satisfaction of dietetic students with their clinical placement can
now be evaluated. Knowing students' satisfaction with clinical placements is but
one potential outcome measure of a quality educational program, but it is
essential for enhancing the educational experience.
PMID- 24925032
TI - Porphyromonas gingivalis fimbriae dampen P2X7-dependent interleukin-1beta
secretion.
AB - Porphyromonas gingivalis is a major contributor to the pathogenesis of
periodontitis, an infection-driven inflammatory disease that leads to bone
destruction. This pathogen stimulates pro-interleukin (IL)-1beta synthesis but
not mature IL-1beta secretion, unless the P2X7 receptor is activated by
extracellular ATP (eATP). Here, we investigated the role of P. gingivalis
fimbriae in eATP-induced IL-1beta release. Bone marrow-derived macrophages
(BMDMs) from wild-type (WT) or P2X7-deficient mice were infected with P.
gingivalis (381) or isogenic fimbria-deficient (DPG3) strain with or without
subsequent eATP stimulation. DPG3 induced higher IL-1beta secretion after eATP
stimulation compared to 381 in WT BMDMs, but not in P2X7-deficient cells. This
mechanism was dependent on K(+) efflux and Ca(2+)-independent phospholipase A2
activity. Accordingly, non-fimbriated P. gingivalis failed to inhibit apoptosis
via the eATP/P2X7 pathway. Furthermore, P. gingivalis-driven stimulation of IL
1beta was Toll-like receptor 2 and MyD88 dependent, and not associated with
fimbria expression. Fimbria-dependent down-modulation of IL-1beta was selective,
as levels of other cytokines remained unaffected by P2X7 deficiency. Confocal
microscopy demonstrated the presence of discrete P2X7 expression in the absence
of P. gingivalis stimulation, which was enhanced by 381-stimulated cells.
Notably, DPG3-infected macrophages revealed a distinct pattern of P2X7 receptor
expression with a marked focus formation. Collectively, these data demonstrate
that eATP-induced IL-1beta secretion is impaired by P. gingivalis fimbriae in a
P2X7-dependent manner.
PMID- 24925034
TI - Burnout among physical therapist assistant program directors: a nationwide survey
and analysis.
AB - PURPOSE: The purpose of this study was to assess burnout in directors of physical
therapist assistant (PTA) programs and to analyze the relationship between
individual and institutional variables and burnout. METHODS: Surveys were
completed by 120 directors from accredited PTA programs. The surveys consisted of
demographic information and the Maslach Burnout Inventory-Educators Survey (MBI
ES). The MBI-ES assesses burnout in the areas of emotional exhaustion,
depersonalization, and personal accomplishment. RESULTS: PTA program directors
showed moderate levels of emotional exhaustion, low levels of depersonalization,
and high levels of personal accomplishment. Gender, the number of faculty in a
department, and length of academic contract had no correlation with participant
burnout levels. Significantly lower levels of emotional exhaustion were found in
participants who were in their current position for more than 11 years and those
who planned to remain in their current position or within higher education for at
least 5 additional years. A significant negative correlation was found between
participant age and depersonalization. DISCUSSION: PTA program directors and
their institutions should develop strategies to minimize the effects of burnout
in younger program directors and those in the early years of their position.
PMID- 24925035
TI - International recruitment of allied health professionals to the United States:
piecing together the picture with imperfect data.
AB - BACKGROUND: Research on the international recruitment of health professionals to
the U.S. has focused almost exclusively on physicians and nurses; we are aware of
no research on the migration of allied health professionals. OBJECTIVE: We
examined the strengths and weaknesses of various public and private data sources
on foreign-educated allied health professions in the U.S. and patched together a
picture of these migrants. We focus on pharmacists, physical therapists (PTs),
occupational therapists (OTs), speech language pathologists (SLPs), and medical
and clinical laboratory technicians (lab techs). FINDINGS: Based on the American
Community Survey, we found that 12% of PTs, 12% of lab techs, 8% of pharmacists,
4% of OTs, and 3% of SLPs are foreign-born and entered the U.S. at age 21 or
older. Among foreign-born PTs, about half remain as non-citizens, suggesting the
highest proportion of recent arrivals among the five professions. CONCLUSIONS: As
Congress debates comprehensive immigration reform, one of the much need changes
to the system is better immigration data, disaggregated by occupation.
PMID- 24925036
TI - Predicting discharge placement and health care needs after lumbar spine
laminectomy.
AB - OBJECTIVE: To explore factors associated with discharge placement (DP) and need
for skilled assistance after patients are discharged from hospital following
lumbar laminectomy. METHODS: A retrospective analysis of 339 patients who
underwent lumbar laminectomy was conducted. We used multivariable logistic
regression analysis to identify significant covariates and to construct two
regression models: a primary model to predict DP, home vs inpatient
rehabilitation/skilled nursing facility (IR/SNF), and a secondary model to
predict the need for skilled assistance once patients are discharged to home.
RESULTS: The sample included 48.7% females, 68.2% married, 56.3% independent in
daily activities, and 85.2% discharged to home. Subjects were a mean 56.06 +/-
12.75 years old and had a BMI of 31.35 +/- 6.2. Of those discharged to home,
17.7% needed skilled assistance. Patients stayed 4.41 +/- 3.55 days in the
hospital and walked 203.38 +/- 144.87 ft during hospital stay. Age, distance
walked during hospital stay, and length of hospital stay (LOS) were significant
positive predictors for discharge to home vs IR/SNF, whereas single living
status, diminished prior level of function, and longer LOS were predictors of
need for skilled assistance after discharge to home. CONCLUSION: Age, mobility,
marital status, prior level of function, and LOS are key variables in determining
healthcare needs following lumbar laminectomy.
PMID- 24925037
TI - Research priorities for the therapy professions in Northern Ireland and the
Republic of Ireland: a comparison of findings from a Delphi consultation.
AB - BACKGROUND: Allied health professions constitute a large and growing proportion
of the healthcare workforce. As a collective they are involved in complex care
interventions often within multidisciplinary teams and increasingly in community
settings. Even though reliable information is lacking, some professions do appear
to have developed an active research culture, whereas others are more limited in
terms of research. PURPOSE: This paper reports on the comparative findings of two
Delphi studies, one in Northern Ireland and one in the Republic of Ireland,
undertaken between 2008 and 2011. The aim of both studies was to identify
research priorities for six of the therapy professions. METHOD: A classic Delphi
approach was used involving expert panels from the therapy disciplines, service
users, and key stakeholders. RESULTS: Both studies provided rich sources of data.
Areas of commonality included the evaluation of practice generally and specific
interventions common to each of the professions. More effective service
management and health promotion research were also identified as important in
both countries. CONCLUSIONS: As the global number of allied health professionals
increases, along with the need for them to support their practice with sound
evidence, the findings from this paper have international implications.
PMID- 24925038
TI - A roadmap for change: charting the course of the development of a new, advanced
role for radiation therapists.
AB - A new model of care has been piloted in Ontario that expands the role of
radiation therapists to improve access and treatment quality for patients
requiring radiation therapy. The advanced practice Clinical Specialist Radiation
Therapist (CSRT) role was created to redistribute activities amongst healthcare
team members, allowing each to work to the full scope of practice, thereby better
streamlining services, addressing systematic pressures in the existing model of
care, and increasing patients' access to treatment. This paper provides an
overview of the approaches used to develop and implement an advanced practice
(AP) role, and it offers guidance on the use of an evidence-based approach to the
evaluation of such positions. This article also utilizes the experience and
knowledge developed during the CSRT projects to provide a framework for
organizations embarking on similar AP implementation initiatives.
PMID- 24925039
TI - The HITECH Act and electronic health records' limitation in coordinating care for
children with complex chronic conditions.
AB - While the HITECH Act was implemented to promote the use of electronic health
records to improve the quality and coordination of healthcare, the limitations
established to the setting of the hospital or physician's office affect the care
coordination for those who utilize many health-related services outside these
settings, including children with complex and chronic conditions. Incentive-based
support or nationally supported electronic health record systems for allied and
other healthcare professionals are necessary to see the full impact that
electronic health records can have on care coordination for individuals who
utilize many skilled healthcare services that are not associated with a hospital
or physician's office.
PMID- 24925040
TI - Physical therapy as an adjunct treatment for people living with HIV/AIDS: an
allied health perspective.
AB - With the increased life expectancy for people living with HIV/AIDS (PLWHA) has
come chronic HIV-related impairments, many of which can be successfully treated
by physical therapy (PT). The purpose of this study is to determine common HIV
related impairments that merit PT, HIV providers' PT referral patterns and
patients' barriers to care. 57 surveys were distributed to HIV providers in
Atlanta, Georgia. Results showed that providers typically referred to PT for
musculoskeletal conditions, neurological conditions and pain control. Financial
issues and lack of insurance were the most common barriers to PT care. This study
concluded that there is a need for PT services amongst PLWHA and that financial
limitations are a significant treatment barrier for PLWHA.
PMID- 24925041
TI - Challenges ahead of emergency medical technician graduates in the workplace in
Iran: a qualitative study.
AB - OBJECTIVE: Emergency medical technicians (EMTs) in Iran enter the workplace after
a short academic education. Their workplace has high emotional fluctuations and
imposes high pressure. The aim of this study was to assess the challenges faced
by EMT graduates in Iran. DESIGN: This applied study was conducted using
qualitative content analysis. Twelve paramedics and graduates with 2 to 3 years
of service were interviewed and their responses were analyzed by content
analysis. RESULTS: Findings were presented in five themes: organizational
pressure, educational style, professional communication, emotional load, and
misunderstanding of others. CONCLUSION: Several problems confront EMTs in Iran.
Educators and educational planners in this discipline could help resolve these
problems by revising problematic points in the education and management of EMT
graduates and by revising educational methods and human resource management to
provide better services and save lives.
PMID- 24925042
TI - Creating an IPE infusion plan: from foundation to implementation.
AB - Today's health care system has embraced the model of collaborative
interprofessional efforts among health care professionals to achieve desired
patient health outcomes. The Academy can offer the foundational experiences
needed to support and develop interprofessional patient-centered plans of care
for health professional students. This paper explores one institution's approach
to the creation of an infusion plan which the authors have termed a "structured
immersion approach" (SIA) to interprofessional education (IPE). The SIA supports
"Core Signature IPE" experiences within existing silo-based curriculums that
allow for interprofessional education experiences and the appreciation of the
importance of interprofessional practice in today's health care.
PMID- 24925043
TI - Student perspectives on interprofessional education and experiences.
AB - PURPOSE: The purpose of this mixed-method study was to explore students'
attitudes, knowledge, experience, and receptiveness to interprofessional
education (IPE) in the health sciences. METHODS: An embedded mixed-method design
was used whereby 29 students from 5 health sciences schools (Law, Medicine,
Nursing, Pharmacy, Social Work) were administered The Readiness for
Interprofessional Learning Scale (RIPLS) and participated in semi-structured
interviews. RESULTS: Quantitative results indicated that there was no significant
difference regarding readiness for interprofessional learning among the different
academic disciplines. Qualitative interviews identified that students were
exposed to two types of interprofessional learning experiences: curricular IPE
and clinical interprofessional training (IPT). The majority of interprofessional
experiences occurred through internships, student activities, and community
service opportunities, not in the classroom. The study findings also uncovered
lost opportunities for IPE, characteristics of successful interprofessional
learning, and students' personal and organizational barriers to IPE. CONCLUSION:
Results have implications for creating and implementing IPE and IPT experiences
for students in the health sciences. Specifically, it is important to bring these
students together as a collaborative team, both within the classroom and within a
clinical setting. This will require a unique type of curriculum and team of
instructors.
PMID- 24925044
TI - Early severe HIV disease precedes early antiretroviral therapy in infants: Are we
too late?
AB - OBJECTIVE: To describe the degree of HIV disease progression in infants
initiating antiretroviral therapy (ART) by three months of age in a programmatic
setting in South Africa. DESIGN: This was a programmatic cohort study. METHODS:
Electronic and manual data extraction from databases and antiretroviral registers
in 20 public clinics in Cape Town and electronic data extraction from a large ART
service at Chris Hani Baragwanath Hospital in Soweto were performed. Records of
all infants initiated on ART by three months of age between June 2007 and
September 2010 were extracted. Demographics, immunological and clinical stage at
ART initiation were analyzed descriptively by chi-square, two-sample t-test and
Kaplan-Meier methods. RESULTS: A total of 403 records were identified: 88 in Cape
Town and 315 in Soweto. Median age at ART initiation was 8.4 [interquartile range
(IQR): 7.2-9.7] weeks. At ART initiation, 250 infants (62%) had advanced HIV
disease (CD4% <25% or absolute CD4<1500 cells/mm(3) or WHO clinical Stage 3 or
4). Median age at ART initiation by site was 10.3 (IQR: 8.2-11.9) weeks in Cape
Town and 8.6 (IQR: 7.7-10.0) weeks in Soweto infants (p<0.0001). In Cape Town, 73
infants (83%) had advanced HIV disease at ART initiation, compared to 177 infants
(56%) in Soweto (p<0.0001). On logistic regression, each month increase in age at
ART initiation lowered the odds of initiating ART in an optimal state (OR: 0.56,
CI: 0.36-0.94) and increased the odds of advanced HIV disease at ART initiation
(OR: 1.69, CI: 1.05-2.71). CONCLUSIONS: ART initiation by three months of age may
not adequately prevent disease progression. New emphasis on early diagnosis and
rapid initiation of ART in the first weeks of life are essential to further
reduce infant mortality.
PMID- 24925045
TI - Applications of biosynthesized metallic nanoparticles - a review.
AB - We present a comprehensive review of the applications of biosynthesized metallic
nanoparticles (NPs). The biosynthesis of metallic NPs is the subject of a number
of recent reviews, which focus on the various "bottom-up" biofabrication methods
and characterization of the final products. Numerous applications exploit the
advantages of biosynthesis over chemical or physical NP syntheses, including
lower capital and operating expenses, reduced environmental impacts, and superior
biocompatibility and stability of the NP products. The key applications reviewed
here include biomedical applications, especially antimicrobial applications, but
also imaging applications, catalytic applications such as reduction of
environmental contaminants, and electrochemical applications including sensing.
The discussion of each application is augmented with a critical review of the
potential for continued development.
PMID- 24925046
TI - Epirubicin-loaded superparamagnetic iron-oxide nanoparticles for transdermal
delivery: cancer therapy by circumventing the skin barrier.
AB - The transdermal administration of chemotherapeutic agents is a persistent
challenge for tumor treatments. A model anticancer agent, epirubicin (EPI), is
attached to functionalized superparamagnetic iron-oxide nanoparticles (SPION).
The covalent modification of the SPION results in EPI-SPION, a potential drug
delivery vector that uses magnetism for the targeted transdermal chemotherapy of
skin tumors. The spherical EPI-SPION composite exhibits excellent magnetic
responsiveness with a saturation magnetization intensity of 77.8 emu g(-1) . They
feature specific pH-sensitive drug release, targeting the acidic microenvironment
typical in common tumor tissues or endosomes/lysosomes. Cellular uptake studies
using human keratinocyte HaCaT cells and melanoma WM266 cells demonstrate that
SPION have good biocompatibility. After conjugation with EPI, the nanoparticles
can inhibit WM266 cell proliferation; its inhibitory effect on tumor
proliferation is determined to be dose-dependent. In vitro transdermal studies
demonstrate that the EPI-SPION composites can penetrate deep inside the skin
driven by an external magnetic field. The magnetic-field-assisted SPION
transdermal vector can circumvent the stratum corneum via follicular pathways.
The study indicates the potential of a SPION-based vector for feasible
transdermal therapy of skin cancer.
PMID- 24925047
TI - The emerging use of Twitter by urological journals.
AB - OBJECTIVE: To assess the emerging use of Twitter by urological journals. METHODS:
A search of the Journal of Citation Reports 2012 was performed to identify
urological journals. These journals were then searched on Twitter.com. Each
journal website was accessed for links to social media (SoMe). The number of
'tweets', followers and age of profile was determined. To evaluate the content,
over a 6-month period (November 2013 to April 2014), all tweets were scrutinised
on the journals Twitter profiles. To assess SoMe influence, the Klout score of
each journal was also calculated. RESULTS: In all, 33 urological journals were
identified. Eight (24.2%) had Twitter profiles. The mean (range) number of tweets
and followers was 557 (19-1809) and 1845 (82-3692), respectively. The mean
(range) age of the twitter profiles was 952 (314-1758) days with an average 0.88
tweets/day. A Twitter profile was associated with a higher mean impact factor of
the journal (mean [sd] 3.588 [3.05] vs 1.78 [0.99], P = 0.013). Over a 6-month
period, November 2013 to April 2014, the median (range) number of tweets per
profile was 82 (2-415) and the median (range) number of articles linked to tweets
was 73 (0-336). Of these 710 articles, 152 were Level 1 evidence-based articles,
101 Level 2, 278 Level 3 and 179 Level 4. The median (range) Klout score was 47
(19-58). The Klout scores of major journals did not exactly mirror their impact
factors. CONCLUSION: SoMe is increasingly becoming an adjunct to traditional
teaching methods, due to its convenient and user-friendly platform. Recently,
many of the leading urological journals have used Twitter to highlight
significant articles of interest to readers.
PMID- 24925048
TI - Treating the untreatable patient: current options for the management of treatment
resistant neovascular age-related macular degeneration.
AB - Anti-vascular endothelial growth factor (anti-VEGF) agents represent the current
standard of care for neovascular age-related macular degeneration (nAMD).
Although effective in a majority of cases, a significant proportion of patients
have persisting retinal exudation despite regular anti-VEGF therapy. This
exudation is considered to produce poorer visual outcomes in these patients. Some
of these patients may have misdiagnosed nAMD variants such as polypoidal
choroidal vasculopathy; however, the majority of these eyes have what has been
termed treatment-resistant nAMD. Currently, the best way to care for these
patients is uncertain. Here, we review the evidence for different approaches to
the management of treatment-resistant nAMD, including high-dose anti-VEGF
therapy, combination regimes and switching of anti-VEGF agents, and discuss
possible therapeutic approaches for patients with treatment-resistant nAMD.
PMID- 24925049
TI - Body image and depressive symptoms in patients with head and neck cancer: an
important relationship.
AB - PURPOSE: The purpose of this study is to examine the relationship between body
image and depressive symptoms in patients who have been treated for head and neck
cancer. METHODS: This is a prospective, longitudinal analysis. Body image and
depressive symptoms were measured in patients diagnosed with head and neck cancer
at baseline, end of treatment, 6 weeks post-treatment, and 12 weeks post
treatment. Body image was measured using the Body Image Quality of Life
Inventory, and depressive symptoms were measured using the Center for
Epidemiological Studies Depression Scale. RESULTS: Forty-three individuals
diagnosed with head and neck cancer participated in this study. The majority of
participants were male, Caucasian, and married or living with a partner.
Participants ranged from age 32 to 78 years (M = 57.8 years, SD = 10.5 years). At
12 weeks post-treatment, body image scores were statistically significantly
higher than they were at the end of treatment (p = 0.016) and 6 weeks post
treatment (p = 0.032). Statistically significant increases in levels of
depressive symptoms were observed at the end of treatment (p < 0.001) and 6 weeks
post-treatment (p = 0.036) with a return to baseline by the 12-week post
treatment assessment (p = 0.115). Body image and depressive symptoms were
statistically significantly associated at the end of treatment, 6 weeks post
treatment, and 12 weeks post-treatment (r s -0.32 to -0.56, p < 0.05).
CONCLUSIONS: This study supports early assessment of body image in patients with
head and neck cancer. Additionally, the association between poorer body image and
increased depressive symptoms is key in understanding the symptom clusters that
patients with head and neck cancer experience.
PMID- 24925050
TI - White matter segmentation based on a skeletonized atlas: effects on diffusion
tensor imaging studies of regions of interest.
AB - PURPOSE: To compare the influence of conventional and skeletonized atlas-based
white matter (WM) segmentation on diffusion tensor imaging (DTI) region-of
interest (ROI) investigations. MATERIALS AND METHODS: A conventional WM atlas was
skeletonized by thinning the corresponding fractional anisotropy (FA) map and
labels. The conventional and skeletonized versions of the atlas were used for WM
segmentation. The percentage of non-WM voxels assigned to WM labels, as well as
statistical summaries of tensor-derived quantities, were compared between
segmentation approaches. The ability to detect small differences in diffusion
properties across groups of subjects was also compared between segmentation
approaches. RESULTS: Skeletonized segmentation resulted in significantly lower
non-WM percentage (P < 0.05), higher mean FA and lower trace (P < 0.05) in most
WM labels, and mainly lower standard deviation of FA and trace in labels
neighboring the ventricles. In terms of maximizing the ability to detect
intergroup DTI differences, skeletonized segmentation was superior in the corpus
callosum, but the optimal approach varied for other WM labels. CONCLUSION:
Conventional and skeletonized atlas-based segmentation probe different portions
of brain tissue and lead to different statistical summaries of diffusion
characteristics in WM labels. Careful selection of segmentation approach is
required for DTI investigations of WM ROIs.
PMID- 24925051
TI - Congestive hepatic fibrosis score: a novel histologic assessment of clinical
severity.
AB - Chronic right heart failure predisposes to hepatic passive congestion and
centrizonal necrosis that may lead to hepatic fibrosis (cardiac sclerosis).
Although there have been several studies on the histologic features of congestive
hepatopathy, there is no available grading system. In this study we developed a
novel grading system for congestive hepatic fibrosis. Liver biopsies were
examined in patients with chronic heart failure of various etiologies including
congenital heart disease, idiopathic cardiomyopathy, ischemic heart disease, and
valvular heart disease. The cases with available echocardiography and/or right
heart catheterization were included. Cases with other types of underlying chronic
liver diseases, alcoholic liver disease, significant steatosis (>20%), malignant
neoplasm, and acute heart failure or shock were excluded. After exclusion, 42
cases were included in the study. We herein proposed a novel congestive hepatic
fibrosis score and correlated it with the right heart structure and function
obtained by echocardiography and/or right heart catheterization. Our results
showed that congestive hepatic fibrosis score is well correlated with the right
atrial pressure (P for trend <0.001). The presence of portal fibrosis (congestive
hepatic fibrosis scores 2 and 3) is associated with significantly higher right
atrial pressure than those with no fibrosis (P<0.001) or with centrizonal
fibrosis only (P=0.02). Congestive hepatic fibrosis score is also significantly
associated with increasing severity of right atrial dilatation (P=0.03) and right
ventricular dilatation (P=0.02), indicators for chronic volume and/or pressure
overload. Other histopathologic features include sinusoidal dilatation and
centrizonal hepatocyte atrophy. In summary, although sinusoidal dilatation and
centrizonal fibrosis are the hallmarks of hepatic passive congestion, the
presence of portal fibrosis is suggestive of more advanced disease, as it
correlates with more severe impairment of right heart function, regardless of the
etiologies of right heart failure. Congestive hepatic fibrosis score is a useful
indicator of clinical severity.
PMID- 24925052
TI - Comparison of different prostatic markers in lymph node and distant metastases of
prostate cancer.
AB - Prostate cancer is mostly diagnosed at an early stage; however, some tumors are
diagnosed in a metastatic stage as cancer of unknown primary origin. In order to
allow specific treatment in the case of prostate cancer presenting as cancer of
unknown primary origin, it is important to determine the tumor origin. Prostate
specific antigen is used as a diagnostic marker for prostate cancer but the
expression declines with progression to castration-resistant prostate cancer. Aim
of this study was to identify the most informative marker constellation, which is
able to detect metastatic prostate cancer at high sensitivity. The widely used
prostate cancer markers such as prostate-specific antigen, prostate-specific acid
phosphatase, androgen receptor, prostate-specific membrane antigen, prostein, and
ETS-related gene were investigated for their sensitivity to detect prostatic
origin of metastases. Expression of prostate-specific antigen, prostate-specific
acid phosphatase, androgen receptor, prostate-specific membrane antigen,
prostein, and ETS-related gene was determined on archived tissue specimens
consisting of benign prostatic tissue (n=9), primary prostate cancer (n=79),
lymph node metastases (n=58), and distant metastases (n=39) using
immunohistochemistry. The staining intensity was categorized as negative (0),
weak (1), moderate (2), and strong (3). All markers except ETS-related gene were
able to detect at least 70% of lymph node metastases and distant metastases, with
prostate-specific antigen, androgen receptor, and prostate-specific membrane
antigen having the highest sensitivity (97%, 91%, and 94%, respectively). A
further increase of the sensitivity up to 98% and 100% could be achieved by the
combination of prostate-specific antigen, prostate-specific membrane antigen, or
androgen receptor for lymph node metastases and for distant metastases,
respectively. The same sensitivity could be reached by combining prostate
specific membrane antigen and prostein. Our data show that a combined staining of
at least two prostate markers should be utilized to identify metastases as
originating from prostate cancer.
PMID- 24925053
TI - Long non-coding RNAs in cancer invasion and metastasis.
AB - Recent large-scale transcriptome analyses have revealed that the human genome
contains more than just protein-coding genes. Indeed, a large number of
transcripts, including long non-coding RNAs (lncRNAs), lack protein-coding
capacity, and increasing evidence suggests that lncRNAs could have a critical
role in the regulation of diverse cellular processes, such as stem cell
pluripotency, development, cell growth and apoptosis, and cancer invasion and/or
metastasis. Furthermore, the aberrant expression of several lncRNAs is closely
linked to cancer invasion and/or metastasis. Although the underlying molecular
mechanisms by which lncRNAs regulate cancer invasion and/or metastasis are not
clearly understood, recent studies have revealed that aberrant lncRNAs expression
affects the progression of cancer. In this review, we highlight recent findings
regarding the roles of lncRNAs in cancer invasion and/or metastasis.
PMID- 24925054
TI - Primary sources of pelvic serous cancer in patients with endometrial
intraepithelial carcinoma.
AB - Serous endometrial intraepithelial carcinoma is often associated with
extrauterine disease. It is currently unclear where does the extrauterine disease
come from. This study addressed this issue. A total of 135 samples from 21 serous
endometrial intraepithelial carcinoma patients were studied. Cellular lineage
relationships between intrauterine and extrauterine serous carcinomas were
determined by TP53-mutation analysis and correlated to the clinicopathologic
features. There were three conditions contributing the extrauterine disease:
metastasis from serous endometrial intraepithelial carcinoma (n=10) showed
identical TP53 mutation between intrauterine lesions and extrauterine disease,
cases of adnexal origin (n=5) had discordant TP53 mutations, and the mixed
cellular origin cases (n=6) with both identical and discordant mutation status.
Patients with extrauterine disease from serous endometrial intraepithelial
carcinoma metastasis typically had small tumor masses (<2 cm) in extrauterine
sites and without finding of serous tubal intraepithelial carcinoma, while
extrauterine disease with adnexal or tubal origin commonly had larger tumor
masses in extrauterine sites including ovary and omentum and serous tubal
intraepithelial carcinoma. The majority of extrauterine diseases associated with
serous endometrial intraepithelial carcinoma are metastasized from the
endometrium. Serous endometrial intraepithelial carcinoma is frequently
associated with serous cancers of adnexal or tubal origin, indicating that
endometrial and adnexal or tubal serous cancers may share similar etiologies.
TP53-mutation analysis provides a strong linkage for cellular lineage analysis.
Tumor size in extrauterine disease and presence of serous tubal intraepithelial
carcinoma or not are useful clinicopathologic features to determine primary
cancer site, which helps in clinical management.
PMID- 24925055
TI - mTORC1 and FGFR1 signaling in fibrolamellar hepatocellular carcinoma.
AB - Fibrolamellar hepatocellular carcinoma, or fibrolamellar carcinoma, is a rare
form of primary liver cancer that afflicts healthy young men and women without
underlying liver disease. There are currently no effective treatments for
fibrolamellar carcinoma other than resection or transplantation. In this study,
we sought evidence of mechanistic target of rapamycin complex 1 (mTORC1)
activation in fibrolamellar carcinoma, based on anecdotal reports of tumor
response to rapamycin analogs. Using a tissue microarray of 89 primary liver
tumors, including a subset of 10 fibrolamellar carcinomas, we assessed the
expression of phosphorylated S6 ribosomal protein (P-S6), a downstream target of
mTORC1, along with fibroblast growth factor receptor 1 (FGFR1). These results
were extended and confirmed using an additional 13 fibrolamellar carcinomas,
whose medical records were reviewed. In contrast to weak staining in normal
livers, all fibrolamellar carcinomas on the tissue microarray showed strong
immunostaining for FGFR1 and P-S6, whereas only 13% of non-fibrolamellar
hepatocellular carcinomas had concurrent activation of FGFR1 and mTORC1 signaling
(P<0.05). When individual samples were stratified according to staining intensity
(scale 0-4), the average score in fibrolamellar carcinomas was 2.46 for FGFR1 and
3.77 for P-S6, compared with 0 and 0, respectively, in non-tumor liver.
Immunoblot analyses of fibrolamellar carcinomas revealed high mTORC1 activities
relative to AKT activities accompanied by reduced TSC2 expression, which was not
observed in non-fibrolamellar hepatocellular carcinomas. Our findings provide
evidence for mTORC1 activation and FGFR1 overexpression in human fibrolamellar
carcinoma, and support the use of FGFR1 inhibitors and rapamycin analogs in the
treatment of patients with unresectable fibrolamellar carcinoma.
PMID- 24925056
TI - Chromosome 12 long arm rearrangement covering MDM2 and RASAL1 is associated with
aggressive craniofacial juvenile ossifying fibroma and extracranial psammomatoid
fibro-osseous lesions.
AB - To evaluate the diagnostic value of MDM2 status in craniofacial fibro-osseous
lesions, we investigated MDM2 expression by immunohistochemistry and analyzed
MDM2 amplification by qPCR in 30 cases of ossifying fibroma (including 13 cases
of the juvenile variant) and 17 cases of fibrous dysplasia. Two cases of uncommon
extragnathic psammomatoid fibrous dysplasia and a mixed control group of 15 cases
of low-grade osteosarcoma and 15 cases of well-differentiated/dedifferentiated
liposarcoma were included. MDM2 amplification was found in 33% of ossifying
fibromas (peak of 69% for the juvenile variant) and in 12% of fibrous dysplasia,
in none of which was MDM2 overexpressed. All control cases exhibited MDM2
amplification and overexpression. To investigate possible polysomy of chromosome
12, we studied RASAL1 amplification, a gene telomeric to MDM2 on the long arm of
chromosome 12. RASAL1 amplification was reported in all benign fibro-osseous
lesions exhibiting MDM2 amplification but not in controls. Simultaneous
amplification of these two genes was significantly higher in juvenile ossifying
fibromas compared with fibrous dysplasia (P=0.004), non-juvenile ossifying
fibromas (P=0.001), and all other benign craniofacial fibro-osseous lesions
combined (P=0.0001). Of the nine cases of juvenile ossifying fibroma exhibiting
amplification, three were locally invasive and four were recurrent, suggesting
aggressive disease. The two cases of extragnathic psammomatoid fibrous dysplasia
also showed MDM2 and RASAL1 amplification with no MDM2 overexpression. This large
chromosome 12 rearrangement, spanning MDM2 and RASAL1, is the first recurrent
molecular abnormality to be reported in juvenile ossifying fibroma. It may
represent both a molecular diagnostic marker and a characteristic of more
aggressive forms with a higher risk of recurrence. Finally, the presence of this
rearrangement in extragnathic psammomatoid fibro-osseous lesions mimicking
ossifying fibromas might reflect a common molecular pathway in their pathogenesis
and calls into question the classification of such lesions within fibrous
dysplasia.
PMID- 24925057
TI - Distinct WNT/beta-catenin signaling activation in the serrated neoplasia pathway
and the adenoma-carcinoma sequence of the colorectum.
AB - Sessile serrated adenoma/polyp (SSA/P) is considered as an early precursor in the
serrated neoplasia pathway leading to colorectal cancer development. The
conventional adenoma-carcinoma sequence is associated with activation of the WNT
signaling pathway, although its role in serrated lesions is still controversial.
To clarify differences in WNT signaling activation in association with MLH1
methylation or BRAF/KRAS mutations between serrated and conventional routes, we
performed beta-catenin immunostaining, methylation-specific PCR for MLH1 and WNT
signaling associated genes such as AXIN2, APC, and MCC and secreted frizzled
related proteins (SFRPs), and direct sequencing of BRAF/KRAS in 27 SSA/Ps, 14
SSA/Ps with high-grade dysplasia and 9 SSA/Ps with submucosal carcinoma, as well
as 19 conventional adenomas, 26 adenomas with high-grade dysplasia and 25
adenomas with submucosal carcinoma. Nuclear beta-catenin labelings were
significantly lower in the serrated series than in their adenoma counterparts,
and a significant increment in those labelings was found from SSA/Ps to those
with high-grade dysplasia or submucosal carcinoma. The frequency of MLH1 and
SFRP4 methylation was significantly higher in SSA/P series, as compared with
corresponding adenoma series. AXIN2 and MCC were more frequently methylated in
SSA/Ps with high-grade dysplasia and those with submucosal carcinoma than in
adenoma counterparts. Stepwise increment of AXIN2 and MCC methylation was
identified from SSA/Ps through those with high-grade dysplasia to those with
submucosal carcinoma. A significant correlation was seen between nuclear beta
catenin expression and methylation of AXIN2 or MCC in the SSA/P series. BRAF
mutation was more frequent, whereas KRAS mutation was less frequent in the SSA/P
series as compared with the adenoma series. There was an inverse association of
BRAF mutation with AXIN2 methylation in SSA/P series. In conclusion, WNT/beta
catenin signal activation mediated by the methylation of SFRP4, MCC, and AXIN2
may make different contributions to colorectal neoplasia between the serrated and
conventional routes.
PMID- 24925059
TI - Michael Lappert (1928-2014).
PMID- 24925060
TI - Tibial and fibular mid-shaft bone traits in young and older sprinters and non
athletic men.
AB - High impact loading is known to prevent some of the age-related bone loss but its
effects on the density distribution of cortical bone are relatively unknown. This
study examined the effects of age and habitual sprinting on tibial and fibular
mid-shaft bone traits (structural, cortical radial and polar bone mineral density
distributions). Data from 67 habitual male sprinters aged 19-39 and 65-84 years,
and 60 non-athletic men (referents) aged 21-39 and 65-80 years are reported.
Tibial and fibular mid-shaft bone traits (strength strain index SSI, cortical
density CoD, and polar and radial cortical density distributions) were assessed
with peripheral quantitative computed tomography. Analysis of covariance (ANCOVA)
adjusted for height and body mass indicated that the sprinters had 21 % greater
tibial SSI (P < 0.001) compared to the referents, with no group * age-group
interaction (P = 0.54). At the fibula no group difference or group * age-group
interaction was identified (P = 0.12-0.81). For tibial radial density
distribution ANCOVA indicated no group * radial division (P = 0.50) or group *
age-group * division interaction (P = 0.63), whereas an age * radial division
interaction was observed (P < 0.001). For polar density distribution, no age
group * polar sector (P = 0.21), group * polar sector (P = 0.46), or group * age
group * polar sector interactions were detected (P = 0.15). Habitual sprint
training appears to maintain tibial bone strength, but not radial cortical
density distribution into older age. Fibular bone strength appeared unaffected by
habitual sprinting.
PMID- 24925058
TI - Etiologic field effect: reappraisal of the field effect concept in cancer
predisposition and progression.
AB - The term 'field effect' (also known as field defect, field cancerization, or
field carcinogenesis) has been used to describe a field of cellular and molecular
alteration, which predisposes to the development of neoplasms within that
territory. We explore an expanded, integrative concept, 'etiologic field effect',
which asserts that various etiologic factors (the exposome including dietary,
lifestyle, environmental, microbial, hormonal, and genetic factors) and their
interactions (the interactome) contribute to a tissue microenvironmental milieu
that constitutes a 'field of susceptibility' to neoplasia initiation, evolution,
and progression. Importantly, etiological fields predate the acquisition of
molecular aberrations commonly considered to indicate presence of filed effect.
Inspired by molecular pathological epidemiology (MPE) research, which examines
the influence of etiologic factors on cellular and molecular alterations during
disease course, an etiologically focused approach to field effect can: (1)
broaden the horizons of our inquiry into cancer susceptibility and progression at
molecular, cellular, and environmental levels, during all stages of tumor
evolution; (2) embrace host-environment-tumor interactions (including gene
environment interactions) occurring in the tumor microenvironment; and, (3) help
explain intriguing observations, such as shared molecular features between
bilateral primary breast carcinomas, and between synchronous colorectal cancers,
where similar molecular changes are absent from intervening normal colon. MPE
research has identified a number of endogenous and environmental exposures which
can influence not only molecular signatures in the genome, epigenome,
transcriptome, proteome, metabolome and interactome, but also host immunity and
tumor behavior. We anticipate that future technological advances will allow the
development of in vivo biosensors capable of detecting and quantifying 'etiologic
field effect' as abnormal network pathology patterns of cellular and
microenvironmental responses to endogenous and exogenous exposures. Through an
'etiologic field effect' paradigm, and holistic systems pathology (systems
biology) approaches to cancer biology, we can improve personalized prevention and
treatment strategies for precision medicine.
PMID- 24925061
TI - Repression of phosphoinositide-dependent protein kinase 1 expression by
ciglitazone via Egr-1 represents a new approach for inhibition of lung cancer
cell growth.
AB - BACKGROUND: Peroxisome proliferator-activated receptors gamma (PPARgamma) ligands
have been shown to inhibit the growth of non-small cell lung cancer (NSCLC)
cells. However, the mechanisms underlying this effect remain incompletely
elucidated. METHODS: Cell proliferation and apoptosis were measured by cell
viability, MTT and caspase3/7 activity assays. Phosphorylation/protein expression
and gene silence/overexpression of AMPKalpha, phosphoinositide-dependent protein
kinase 1 (PDK1), Egr-1 and PPARgamma were performed by Western blot and
siRNA/transfection assays. Dual-Luciferase Reporter Kit was used to measure the
PPAR response elements (PPRE) reporter and PDK1 promoter activities, and ChIP
assay was used to detect the Egr-1 protein binding to the DNA site in the PDK1
gene promoter. RESULTS: We found that ciglitazone, one synthetic PPARgamma
ligand, inhibited growth and induced apoptosis of NSCLC cells through decreased
expression of PDK1, which was not blocked by GW9662 (a specific PPARgamma
antagonist). Overexpression of PDK1 overcame the effect of ciglitazone on cell
growth and caspase 3/7 activity. Ciglitazone increased the phosphorylation of
AMPKalpha and c-Jun N-terminal kinase (JNK), and the inhibitor of AMPK (compound
C), but not JNK (SP600125), reversed the effect of ciglitazone on PDK1 protein
expression. Ciglitazone reduced PDK1 gene promoter activity, which was not
observed in cells exposed to compound C, but not silenced of PPARgamma siRNA.
Combination of ciglitazone and metformin further reduced PDK1 expression and
promoter activity. Furthermore, we showed that ciglitazone induced the protein
expression of Egr-1, which was not observed in cells silencing of AMPKalpha.
Moreover, silencing of Egr-1 abrogated the effect of ciglitazone on PDK1 promoter
activity and cell growth. On the contrary, overexpression of Egr-1 enhanced the
effect of ciglitazone on PDK1 gene promoter activity. ChIP assays demonstrated
that ciglitazone induced Egr-1 protein bind to the specific DNA site in the PDK1
gene promoter. CONCLUSION: Collectively, our results demonstrate that ciglitazone
inhibits PDK1 expression through AMPKalpha-mediated induction of Egr-1 and Egr-1
binding to the specific DNA site in the PDK1 gene promoter, which is independent
of PPARgamma. Activation of AMPKalpha by metformin enhances the effect of
ciglitazone. In turn, this leads to inhibition of NSCLC cell proliferation.
PMID- 24925062
TI - Ag@4ATP-coated liposomes: SERS traceable delivery vehicles for living cells.
AB - A liposome-Ag nanohybrid has been demonstrated as a SERS traceable intracellular
drug nanocarrier. Liposomes have been introduced for their special qualities in
drug delivery systems. In essence, 4-aminothiophenol (4ATP) tagged Ag
nanoparticles (Ag@4ATP) were adsorbed onto the surfaces of liposomes via
electrostatic interactions, in which 4ATP was used as a SERS reporter. In such a
nanohybrid, the locations of the carrier can be tracked by SERS signals while
those of the drugs can be monitored through their fluorescence, allowing the
simultaneous investigation of the intracellular distribution of both the carriers
and the drugs. Our experimental results suggest that the reported liposomal
system has substantial potential for intracellular drug delivery.
PMID- 24925064
TI - Invited commentary: multilevel analysis of individual heterogeneity-a fundamental
critique of the current probabilistic risk factor epidemiology.
AB - In this issue of the Journal, Dundas et al. (Am J Epidemiol. 2014;180(2):197-207)
apply a hitherto infrequent multilevel analytical approach: multiple membership
multiple classification (MMMC) models. Specifically, by adopting a life-course
approach, they use a multilevel regression with individuals cross-classified in
different contexts (i.e., families, early schools, and neighborhoods) to
investigate self-reported health and mental health in adulthood. They provide
observational evidence suggesting the relevance of the early family environment
for launching public health interventions in childhood in order to improve health
in adulthood. In their analyses, the authors distinguish between specific
contextual measures (i.e., the association between particular contextual
characteristics and individual health) and general contextual measures (i.e., the
share of the total interindividual heterogeneity in health that appears at each
level). By doing so, they implicitly question the traditional probabilistic risk
factor epidemiology including classical "neighborhood effects" studies. In fact,
those studies use simple hierarchical structures and disregard the analysis of
general contextual measures. The innovative MMMC approach properly responds to
the call for a multilevel eco-epidemiology against a widespread probabilistic
risk factors epidemiology. The risk factors epidemiology is not only reduced to
individual-level analyses, but it also embraces many current "multilevel
analyses" that are exclusively focused on analyzing contextual risk factors.
PMID- 24925065
TI - Early-life school, neighborhood, and family influences on adult health: a
multilevel cross-classified analysis of the Aberdeen children of the 1950s study.
AB - Lifetime exposures to adverse social environments influence adult health, as do
exposures in early life. It is usual to examine the influences of school on
teenage health and of adult area of residence on adult health. We examined the
combined long-term association of the school attended, as well as the area of
residence in childhood, with adult health. A total of 6,285 children from
Aberdeen, Scotland, who were aged 5-12 years in 1962, were followed up at a mean
age of 47 years in 2001. Cross-classified multilevel logistic regression was used
to estimate the associations of family, school, and area of residence with self
reported adult health and mental health, adjusting for childhood family-, school
, and neighborhood-level factors, as well as current adult occupational position.
Low early-life social position (as determined by the father's occupational level)
was associated with poor adult self-rated health but not poor mental health.
There were small contextual associations between childhood school environment
(median odds ratio = 1.08) and neighborhood environment (median odds ratio =
1.05) and adult self-rated health. The share of the total variance in health at
the family level was 10.1% compared with 89.6% at the individual level. Both
socioeconomic context and composition in early life appear to have an influence
on adult health, even after adjustment for current occupational position.
PMID- 24925066
TI - Tackling student binge drinking: Pairing incongruent messages and measures
reduces alcohol consumption.
AB - OBJECTIVES: Excessive alcohol consumption is a persistent problem in Northern
European cultures. Across a 2-week period, we tested the effect of varying
message frames, message types, and response measures, in reducing alcohol
consumption. DESIGN: Three hundred and twenty-three respondents were allocated to
a 2 (message frame: gain vs. loss) * 2 (message type: health vs. social) * 2
(response type: engaging vs. refraining) mixed design. METHOD: Binge drinking and
units consumed were measured at Time 1 and Time 2 (2 weeks later). Participants
read (following Time 1) a gain- or loss-framed message on binging emphasizing
either social or health consequences and answered engaging in or refraining from
drinking attitude measures. RESULTS: No main effects were identified. The key
finding was that gain-framed messages, when used in conjunction with engage
response measures (an incongruous pairing), were highly effective in reducing
alcohol consumption 2 weeks later compared with the other message frame/response
measure combinations. CONCLUSIONS: We suggest that for prevention behaviours,
gain-framed messages, when paired with engage response measures, initiate an
inconsistency resolution process. Together, our findings emphasize the importance
of message frame and response type when seeking to reduce alcohol consumption
using persuasive health messages.
PMID- 24925067
TI - Culture, context and the implementation challenge to achieve a better birth
experience.
PMID- 24925068
TI - Pathologic fracture of the distal radius in a 25-year-old patient with a large
unicameral bone cyst.
AB - BACKGROUND: Distal radius fractures (DRF) are often referred to as osteoporosis
indicator fractures as their incidence increases from age 45. In the group of
young adults, distal radius fractures normally result from high-energy trauma.
Wrist fractures in young patients without adequate trauma thus raise suspicion of
a pathologic fracture. In this report we present the case of a fractured
unicameral bone cyst (UBC) at the distal radius in a young adult.To the author's
best knowledge, this is the first detailed report in an UBC at the distal radius
causing a pathologic DRF in an adult patient. CASE PRESENTATION: A 25-year-old
otherwise healthy male presented to our Emergency Department after a simple fall
on his right outstretched hand. Extended diagnostics revealed a pathologic,
dorsally displaced, intra-articular distal radius fracture secondary to a
unicameral bone cyst occupying almost the whole metaphysis of the distal radius.
To stabilize the fracture, a combined dorsal and volar approach was used for open
reduction and internal fixation. A tissue specimen for histopathological
examination was gathered and the lesion was filled with an autologous bone graft
harvested from the ipsilateral femur using a reamer-irrigator-aspirator (RIA)
system. Following one revision surgery due to an intra-articular step-off, the
patient recovered without further complications. CONCLUSIONS: Pathologic
fractures in young patients caused by unicameral bone cysts require extended
diagnostics and adequate treatment. A single step surgical treatment is
reasonable if fracture and bone cyst are treated appropriately. Arthroscopically
assisted fracture repair may be considered in intra-articular fractures or
whenever co-pathologies of the carpus are suspected.
PMID- 24925069
TI - Structure-based studies on the metal binding of two-metal-dependent sugar
isomerases.
AB - Two-metal-dependent sugar isomerases are important in the synthesis of rare
sugars. Many of their properties, specifically their metal dependency, have not
been sufficiently explored. Here we used X-ray crystallography, site-directed
mutagenesis, isothermal titration calorimetry and electron paramagnetic resonance
spectroscopy to investigate the molecular determinants of the metal-binding
affinity of l-rhamnose isomerase, a two-Mn(2+) -dependent isomerase from Bacillus
halodurans (BHRI). The crystal structure of BHRI confirmed the presence of two
metal ion-binding sites: a structural metal ion-binding site for substrate
binding, and a catalytic metal ion-binding site that catalyzes a hydride shift.
One conserved amino acid, W38, in wild-type BHRI was identified as a critical
residue for structural Mn(2+) binding and thus the catalytic efficiency of BHRI.
This function of W38 was explored by replacing it with other amino acids.
Substitution by Phe, His, Lys, Ile or Ala caused complete loss of catalytic
activity. The role of W38 was further examined by analyzing the crystal structure
of wild-type BHRI and two inactive mutants of BHRI (W38F and W38A) in complex
with Mn(2+) . A structural comparison of the mutants and the wild-type revealed
differences in their coordination of Mn(2+) , including changes in metal-ligand
bond length and affinity for Mn(2+) . The role of W38 was further confirmed in
another two-metal-dependent enzyme: xylose isomerase from Bacillus licheniformis.
These data suggest that W38 stabilizes protein-metal complexes and in turn
assists ligand binding during catalysis in two-metal-dependent isomerases.
STRUCTURED DIGITAL ABSTRACT: BHRI and BHRI bind by x-ray crystallography (View
interaction).
PMID- 24925070
TI - Acute effects of low-level laser therapy on physiologic and electromyographic
responses to the cardiopulmonary exercise testing in healthy untrained adults.
AB - Despite the positive effects of low-level laser therapy (LLLT) on muscle fatigue
before exercises using a single muscle group, the acute effects of LLLT on
performance in cardiopulmonary exercise testing (CPET) are poorly understood. We
aimed to assess the acute effects of LLLT on physiologic and electromyographic
responses to the CPET in healthy adults. A randomized, double-blind, placebo
controlled crossover trial was performed with 18 untrained participants (nine
males, 22 +/- 2 years). We applied LLLT or placebo on quadriceps and
gastrocnemius 10 min before two rapidly incremental CPETs randomly performed in
alternate days on a cycle ergometer. Participants received LLLT using a
multidiode cluster, 20 s/site (850 nm, 100 mW/diode, 14 J/site). Physiological
responses to the CPET were continuously monitored using a gas analyzer. The
electromyographic fatigue threshold (EMGth) was assessed through surface
electrodes on vastus lateralis. The root mean square (RMS) was plotted every 5 s
against the exercise intensity, and its breakpoint values throughout the CPET was
identified as EMGth. Compared to placebo, the LLLT significantly increased peak
O2 uptake (V'O2 33 +/- 10 vs. 31 +/- 9 mL/min/kg). We observed a shallower slope
of the Deltaheart rate/DeltaV'O2 during the CPET after LLLT compared to placebo,
i.e., increased cardiovascular efficiency (56 +/- 24 vs. 66 +/- 30 bpm/L/min).
There were no LLLT-related changes in EMGth. The LLLT acutely increases exercise
performance in healthy untrained adults probably due to increased O2 extraction
by peripheral muscles without causing a significant impact on muscle fatigue.
PMID- 24925071
TI - UPLC-MS/MS determination of voriconazole in human plasma and its application to a
pharmacokinetic study.
AB - A sensitive and rapid ultra performance liquid chromatography tandem mass
spectrometry (UPLC-MS/MS) method was developed to determine voriconazole in human
plasma. Sample preparation was accomplished through a simple one-step protein
precipitation with methanol. Chromatographic separation was carried out on an
Acquity UPLC BEH C18 column using an isocratic mobile phase system composed of
acetonitrile and water containing 1% formic acid (45:55, v/v) at a flow rate of
0.50 mL/min. Mass spectrometric analysis was performed using a QTrap5500 mass
spectrometer coupled with an electrospray ionization source in the positive ion
mode. The multiple reaction monitoring transitions of m/z 351.0 -> 281.5 and m/z
237.1 -> 194.2 were used to quantify voriconazole and carbamazepine (internal
standard), respectively. The linearity of this method was found to be within the
concentration range of 2.0-1000 ng/mL with a lower limit of quantification of 2.0
ng/mL. Only 1.0 min was needed for an analytical run. This fully validated method
was successfully applied to the pharmacokinetic study after oral administration
of 200 mg voriconazole to 20 Chinese healthy male volunteers.
PMID- 24925072
TI - Acute heat-evoked temperature sensation is impaired but not abolished in mice
lacking TRPV1 and TRPV3 channels.
AB - The discovery of heat-sensitive Transient Receptor Potential Vanilloid ion
channels (ThermoTRPVs) greatly advanced our molecular understanding of acute and
injury-evoked heat temperature sensation. ThermoTRPV channels are activated by
partially overlapping temperatures ranging from warm to supra-threshold noxious
heat. TRPV1 is activated by noxious heat temperature whereas TRPV3 can be
activated by warm as well as noxious heat temperatures. Loss-of-function studies
in single TRPV1 and TRPV3 knock-out mice have shown that heat temperature
sensation is not completely abolished suggesting functional redundancies among
these two channels and highlighting the need of a detailed analysis of
TRPV1::TRPV3 double knock-out mice (V1V3dKO) which is hampered by the close
proximity of the loci expressing the two channels. Here we describe the
generation of a novel mouse model in which trpv1 and trpv3 genes have been
inactivated using bacterial artificial chromosome (BAC)-based homologous
recombination in embryonic stem cells. In these mice, using classical
thermosensory tests such hot plate, tail flick and the thermotaxis gradient
paradigms, we confirm that TRPV1 is the master channel for sensing noxious heat
temperatures and identify a cooperative role of TRPV1 and TRPV3 for sensing a
well-defined window of acute moderate heat temperature. Using the dynamic hot
plate assay, we unravel an intriguing and unexpected pronounced escape behavior
in TRPV1 knock-out mice that was attenuated in the V1V3dKO. Together, and in
agreement with the temperature activation overlap between TRPV1 and TRPV3
channels, our data provide in vivo evidence of a cooperative role between skin
derived TRPV3 and primary sensory neurons-enriched TRPV1 in modulation of
moderate and noxious heat temperature sensation and suggest that other mechanisms
are required for heat temperature sensation.
PMID- 24925073
TI - Reliability and validity of the Balance Evaluation Systems Test (BESTest) in
people with subacute stroke.
AB - BACKGROUND: The Balance Evaluation Systems Test (BESTest) is a new clinical
balance assessment tool, but it has never been validated in patients with
subacute stroke. OBJECTIVE: The purpose of this study was to examine the
reliability and validity of the BESTest in patients with subacute stroke. DESIGN:
This was an observational reliability and validity study. METHODS: Twelve
patients participated in the interrater and intrarater reliability study.
Convergent validity was investigated in 70 patients using the Berg Balance Scale
(BBS), Postural Assessment Scale for Stroke (PASS), Community Balance and
Mobility Scale (CB&M), and Mini-BESTest. The receiver operating characteristic
curve was used to calculate the sensitivity, specificity, and accuracy of the
BESTest, Mini-BESTest, and BBS in classifying participants into low functional
ability (LFA) and high functional ability (HFA) groups based on Fugl-Meyer
Assessment motor subscale scores. RESULTS: The BESTest showed excellent
intrarater reliability and interrater reliability (intraclass correlation
coefficient=.99) and was highly correlated with the BBS (Spearman r=.96), PASS
(r=.96), CB&M (r=.91), and Mini-BESTest (r=.96), indicating excellent convergent
validity. No floor or ceiling effects were observed with the BESTest. In
contrast, the Mini-BESTest and CB&M had a floor effect in the LFA group, and the
BBS and PASS demonstrated responsive ceiling effects in the HFA group. In
addition, the BESTest showed high accuracy as the BBS and Mini-BESTest in
separating participants into HFA and LFA groups. LIMITATION: Whether the results
are generalizable to patients with chronic stroke is unknown. CONCLUSION: The
BESTest is reliable, valid, sensitive, and specific in assessing balance in
people with subacute stroke across all levels of functional disability.
PMID- 24925074
TI - Pain interference is associated with psychological concerns related to falls in
community-dwelling older adults: multisite observational study.
AB - BACKGROUND: Pain interference and psychological concerns related to falls (PCRF)
are pervasive phenomena among community-dwelling older adults, yet their
association remains elusive. OBJECTIVE: The purpose of this study was to
establish whether pain interference is associated with PCRF in community-dwelling
older adults. DESIGN: This was a multisite cross-sectional study. METHOD: Two
hundred ninety-five community-dwelling older adults (mean age=77.5 years, SD=8.1;
66.4% female) participated in the study. All participants completed the Brief
Pain Inventory (BPI) interference subscale, Short Falls Efficacy Scale
International (FES-I), Activities-specific Balance Confidence Scale (ABC),
modified version of the Survey of Activities and Fear of Falling in Elderly Scale
(mSAFFE), and Consequences of Falling Scale (CoF). Hierarchical multiple
regression analysis were conducted. In the first step of the study,
sociodemographic and known risk factors for psychological concerns related to
falls were inserted into the model, followed by the BPI interference subscale
score in the second step. RESULTS: One hundred sixty-nine participants (57.3%)
reported some pain interference. The BPI interference subscale was highly
correlated with all PCRF (r>.5, P<.0001). After the adjustment for established
risk factors, the BPI interference subscale significantly increased the variance
in the Short FES-I (R2 change=13.2%), ABC (R2 change=4.7%), mSAFFE (R2
change=5.0%), and CoF (R2 change=10.0%). Pain interference was a significant and
independent predictor in the final model for the Short FES-1 (beta=0.455,
P<.001), ABC (beta=-0.265, P<.001), mSAFFE (beta=0.276, P<.001), and CoF
(beta=0.390, P<.001). LIMITATIONS: The study was cross-sectional. CONCLUSIONS:
Pain interference is an important contributing factor in each of the
psychological concerns related to falls. Pain interference had the strongest
impact on reducing falls efficacy and increasing older adults' concerns about the
consequences of falling.
PMID- 24925075
TI - Perspectives on the evolution of mobile (mHealth) technologies and application to
rehabilitation.
AB - Individuals with chronic conditions and disabilities who are vulnerable to
secondary complications often require complex habilitative and rehabilitative
services to prevent and treat these complications. This perspective article
reviews the evolution of mHealth technologies and presents insights as to how
this evolution informed our development of a novel mHealth system, iMHere
(interactive mobile health and rehabilitation), and other technologies, including
those used by the Veterans Administration. This article will explain the novel
applications of mHealth for rehabilitation and specifically physical therapy.
Perspectives on the roles of rehabilitation professionals in the delivery of
health care using mHealth systems are included. Challenges to mHealth, including
regulatory and funding issues, are discussed. This article also describes how
mHealth can be used to improve patient satisfaction and delivery of care and to
promote health and wellness.
PMID- 24925076
TI - Influences of wheelchair-related efficacy on life-space mobility in adults who
use a wheelchair and live in the community.
AB - BACKGROUND: Self-efficacy has important implications for health and functioning
in people with limited mobility. However, the influence of self-efficacy on
mobility in adults who use wheelchairs has yet to be investigated. OBJECTIVE: The
study objective was to: (1) estimate the direct association between wheelchair
use self-efficacy and life-space mobility and (2) investigate an indirect effect
through wheelchair skills. DESIGN: This was a cross-sectional study. METHODS:
Participants (N=124) were adults who use a wheelchair, live in the community, and
were 50 years of age and older (X=59.67, range=50-84), with at least 6 months of
experience with manual wheelchair use; 60% were men. The 20-item Life-Space
Assessment, the 65-item Wheelchair Use Confidence Scale, and the 32-item
Wheelchair Skills Test-Questionnaire were used to measure life-space mobility,
self-efficacy, and wheelchair skills, respectively. RESULTS: Self-efficacy had a
statistically significant association with life-space mobility (nonstandardized
regression coefficient=0.23, 95% confidence interval=0.07, 0.39) after
controlling for sex, number of comorbidities, geographic location, and assistance
with using a wheelchair. This model accounted for 37.1% of the life-space
mobility variance, and the unique contribution of self-efficacy was 3.5%. The
indirect effect through wheelchair skills was also statistically significant
(point estimate=0.21, 95% bootstrapped confidence interval=0.05, 0.43) and
accounted for 91.3% of the direct effect of self-efficacy on life-space mobility.
This model accounted for 39.2% of the life-space mobility variance. LIMITATIONS:
Causality could not be established because of the study design. The self-report
nature of data from volunteers may be influenced by recall bias, social
desirability, or both. CONCLUSIONS: Wheelchair use self-efficacy had both direct
and indirect associations with life-space mobility after controlling for
confounding variables. Interventions targeted toward improving self-efficacy may
lead to improvements in life-space mobility.
PMID- 24925077
TI - Extended cervico-thoracic metastasectomy for testicular non-seminomatous germ
cell tumour masses through an inverse T and combined collar incision.
AB - Non-seminomatous germ cell tumours (NSGCT) are the most common malignancy from
testicular origin in young males. They are characterized by early formation of
metastases along retroperitoneal and subsequent mediastinal lymph node stations.
Following cisplatin-based induction chemotherapy, residual tumour masses should
be removed surgically, although this implies the need for extended procedures.
Such an approach can result in cure rates of over 70%. Herein, we report 2 cases
of maximally extended surgery for metastatic malignant germ cell tumour of the
testis. In both patients, diagnostic work-up revealed a NSGCT with
retroperitoneal, mediastinal and cervical lymph node metastases. Multimodal
protocols including induction chemotherapy and surgical removal of all primary
and secondary tumour masses with curative intent were applied. An 'inverse T'
incision in combination with a collar incision was chosen to approach the
excessive supra-diaphragmatic tumour spread. This large-scaled surgical access
offered an excellent exposure and allowed complete resection of all cervical and
thoracic metastases in both patients. Abdominal tumour masses were resected
through a standard median laparotomy. These 2 cases illustrate that complete
tumour resection is feasible even in stages of NSGCT with generalized lymphatic
spread. Metastasectomy should be offered to NSGCT patients despite the necessity
of extended surgical approaches.
PMID- 24925078
TI - A ring on the heart.
PMID- 24925079
TI - Is body dysmorphic disorder associated with abnormal bodily self-awareness? A
study using the rubber hand illusion.
AB - Evidence from past research suggests that behaviours and characteristics related
to body dissatisfaction may be associated with greater instability of perceptual
body image, possibly due to problems in the integration of body-related
multisensory information. We investigated whether people with body dysmorphic
disorder (BDD), a condition characterised by body image disturbances,
demonstrated enhanced susceptibility to the rubber hand illusion (RHI), which
arises as a result of multisensory integration processes when a rubber hand and
the participant's hidden real hand are stimulated in synchrony. Overall,
differences in RHI experience between the BDD group and healthy and schizophrenia
control groups (n = 17 in each) were not significant. RHI strength, however, was
positively associated with body dissatisfaction and related tendencies. For the
healthy control group, proprioceptive drift towards the rubber hand was observed
following synchronous but not asynchronous stimulation, a typical pattern when
inducing the RHI. Similar drifts in proprioceptive awareness occurred for the BDD
group irrespective of whether stimulation was synchronous or not. These results
are discussed in terms of possible abnormalities in visual processing and
multisensory integration among people with BDD.
PMID- 24925080
TI - A synthetic manassantin a derivative inhibits hypoxia-inducible factor 1 and
tumor growth.
AB - The dineolignan manassantin A from Saururaceae was recently identified as a
hypoxia-inducible factor 1 (HIF-1) inhibitor, but its in-vivo anti-tumor effect
has not been explored. We synthesized a series of manassantin A derivatives, and
found that replacing the central tetrahydrofuran moiety with a cyclopentane ring
yielded a compound (LXY6006) with increased HIF-1-inhibitory activity yet
decreased stereochemically complexity amenable to a simplified synthesis scheme.
LXY6006 inhibited HIF-1alpha nuclear accumulation induced by hypoxia, and
inhibited cancer cell growth as a consequence of G2/M arrest. Oral administration
of LXY6006 significantly inhibited growth of breast, lung, and pancreatic tumors
implanted in nude mice. These results indicate that LXY6006 represents a novel
class of agents targeting a broad range of human cancers.
PMID- 24925081
TI - Perfectionistic Tendencies in Insomnia Patients' Behavior During Psychometric
Testing.
AB - According to self-report questionnaire studies, insomnia patients differ from
healthy controls with respect to several personality traits. The current study
aimed at exploring how these personality traits may translate into behavior.
Insomnia patients' behavior during psychometric testing (n = 163) was
investigated in comparison to healthy controls (n = 81), patients with other
sleep disorders (n = 80), and patients with obsessive-compulsive disorder (n =
36). In line with our hypotheses, insomnia patients made more additional comments
than healthy controls and more corrections than patients with other sleep
disorders during sleep-related questionnaire completion. Furthermore, insomnia
patients calculated the sum score of a depression questionnaire more frequently
than both healthy controls and patients with other sleep disorders. These
findings further support the assumption of an altered personality profile in
patients with primary insomnia. Future work should aim to elucidate what
personality factors these novel behavioral markers may reflect.
PMID- 24925082
TI - Screen failure data in clinical trials: Are screening logs worth it?
AB - BACKGROUND: Clinical trials frequently spend considerable effort to collect data
on patients who were assessed for eligibility but not enrolled. The Consolidated
Standards of Reporting Trials (CONSORT) guidelines' recommended flow diagram for
randomized clinical trials reinforces the belief that the collection of screening
data is a necessary and worthwhile endeavor. The rationale for collecting
screening data includes scientific, trial management, and ethno-socio-cultural
reasons. PURPOSE: We posit that the cost of collecting screening data is not
justified, in part due to inability to centrally monitor and verify the screening
data in the same manner as other clinical trial data. METHODS: To illustrate the
effort and site-to-site variability, we analyzed the screening data from a
multicenter, randomized clinical trial of patients with transient ischemic attack
or minor ischemic stroke (Platelet-Oriented Inhibition in New Transient Ischemic
Attack and Minor Ischemic Stroke (POINT)). RESULTS: Data were collected on over
27,000 patients screened across 172 enrolling sites, 95% of whom were not
enrolled. Although the rate of return of screen failure logs was high overall
(95%), there were a considerable number of logs that were returned with 'no data
to report' (23%), often due to administrative reasons rather than no patients
screened. CONCLUSION: In spite of attempts to standardize the collection of
screening data, due to differences in site processes, multicenter clinical trials
face challenges in collecting those data completely and uniformly. The efforts
required to centrally collect high-quality data on an extensive number of
screened patients may outweigh the scientific value of the data. Moreover, the
lack of a standardized definition of 'screened' and the challenges of collecting
meaningful characteristics for patients who have not signed consent limits the
ability to compare across studies and to assess generalizability and selection
bias as intended.
PMID- 24925083
TI - Inference about the expected performance of a data-driven dynamic treatment
regime.
AB - BACKGROUND: A dynamic treatment regime (DTR) comprises a sequence of decision
rules, one per stage of intervention, that recommends how to individualize
treatment to patients based on evolving treatment and covariate history. These
regimes are useful for managing chronic disorders, and fit into the larger
paradigm of personalized medicine. The Value of a DTR is the expected outcome
when the DTR is used to assign treatments to a population of interest. PURPOSE:
The Value of a data-driven DTR, estimated using data from a Sequential Multiple
Assignment Randomized Trial, is both a data-dependent parameter and a non-smooth
function of the underlying generative distribution. These features introduce
additional variability that is not accounted for by standard methods for
conducting statistical inference, for example, the bootstrap or normal
approximations, if applied without adjustment. Our purpose is to provide a
feasible method for constructing valid confidence intervals (CIs) for this
quantity of practical interest. METHODS: We propose a conceptually simple and
computationally feasible method for constructing valid CIs for the Value of an
estimated DTR based on subsampling. The method is self-tuning by virtue of an
approach called the double bootstrap. We demonstrate the proposed method using a
series of simulated experiments. RESULTS: The proposed method offers considerable
improvement in terms of coverage rates of the CIs over the standard bootstrap
approach. LIMITATIONS: In this article, we have restricted our attention to Q
learning for estimating the optimal DTR. However, other methods can be employed
for this purpose; to keep the discussion focused, we have not explored these
alternatives. CONCLUSION: Subsampling-based CIs provide much better performance
compared to standard bootstrap for the Value of an estimated DTR.
PMID- 24925084
TI - Association of sedentary behavior time with ideal cardiovascular health: the
ORISCAV-LUX study.
AB - BACKGROUND: Recently attention has been drawn to the health impacts of time spent
engaging in sedentary behaviors. No studies have examined sedentary behaviors in
relation to the newly defined construct of ideal cardiovascular health, which
incorporates three health factors (blood pressure, total cholesterol, fasting
plasma glucose) and four behaviors (physical activity, smoking, body mass index,
diet). The purpose of this study was to examine associations between sedentary
behaviors, including sitting time, and time spent viewing television and in front
of a computer, with cardiovascular health, in a representative sample of adults
from Luxembourg. METHODS: A cross-sectional analysis of 1262 participants in the
Observation of Cardiovascular Risk Factors in Luxembourg study was conducted, who
underwent objective cardiovascular health assessments and completed the
International Physical Activity Questionnaire. A Cardiovascular Health Score was
calculated based on the number of health factors and behaviors at ideal levels.
Sitting time on a weekday, television time, and computer time (both on a workday
and a day off), were related to the Cardiovascular Health Score. RESULTS: Higher
weekday sitting time was significantly associated with a poorer Cardiovascular
Health Score (p = 0.002 for linear trend), after full adjustment for age, gender,
education, income and occupation. Television time was inversely associated with
the Cardiovascular Health Score, on both a workday and a day off (p = 0.002 for
both). A similar inverse relationship was observed between the Cardiovascular
Health Score and computer time, only on a day off (p = 0.04). CONCLUSION: Higher
time spent sitting, viewing television, and using a computer during a day off may
be unfavorably associated with ideal cardiovascular health.
PMID- 24925085
TI - New environment-sensitive multichannel DNA fluorescent label for investigation of
the protein-DNA interactions.
AB - Here, we report the study of a new multichannel DNA fluorescent base analogue 3
hydroxychromone (3HC) to evaluate its suitability as a fluorescent reporter probe
of structural transitions during protein-DNA interactions and its comparison with
the current commercially available 2-aminopurine (aPu), pyrrolocytosine (Cpy) and
1,3-diaza-2-oxophenoxazine (tCO). For this purpose, fluorescent base analogues
were incorporated into DNA helix on the opposite or on the 5'-side of the damaged
nucleoside 5,6-dihydrouridine (DHU), which is specifically recognized and removed
by Endonuclease VIII. These fluorophores demonstrated different sensitivities to
the DNA helix conformational changes. The highest sensitivity and the most
detailed information about the conformational changes of DNA induced by protein
binding and processing were obtained using the 3HC probe. The application of this
new artificial fluorescent DNA base is a very useful tool for the studies of
complex mechanisms of protein-DNA interactions. Using 3HC biosensor, the kinetic
mechanism of Endonuclease VIII action was specified.
PMID- 24925086
TI - Age- and sex-dependence of dopamine release and capacity for recovery identified
in the dorsal striatum of C57/Bl6J mice.
AB - The dorsal striatum is the main input structure of the basal ganglia and the
major target area of dopaminergic projections originating in the substantia nigra
pars compacta. Heavily involved in the regulation of voluntary movement and habit
formation, this structure is of strong importance in Parkinson's disease,
obsessive-compulsive disorder, Tourette's syndrome and addiction. The C57/Bl6J
mouse strain, the most commonly used strain in preclinical research today, is
frequently used as a model organism for analysis of dopaminergic parameters
implicated in human pathophysiology. Several components of the dopamine system
have been shown to vary with age and sex, however knowledge of the contribution
of these factors for dopamine release kinetics in the C57/Bl6J mouse strain is
lacking. In the present study, we used an intracranial KCl-stimulation challenge
paradigm to provoke release from dopaminergic terminals in the dorsal striatum of
anaesthetized C57/Bl6J mice. By high-speed in vivo chronoamperometric recordings,
we analyzed DA release parameters in male and female mice of two different ages.
Our experiments demonstrate elevated DA amplitudes in adult compared to young
mice of both sexes and higher DA amplitudes in females compared to males at both
ages. Adult mice exhibited higher recovery capabilities after repeated
stimulation than did young mice and also showed a lower variability in the
kinetic parameters trise and t80 between stimulations. These results identified
age- and sex- dimorphisms in DA release parameters and point to the importance of
taking these dimorphisms into account when utilizing the C57/Bl6J mouse strain as
model for neurological and neuropsychiatric disorders.
PMID- 24925087
TI - Cone-beam CT hepatic arteriography in chemoembolization for hepatocellular
carcinoma: angiographic image quality and its determining factors.
AB - PURPOSE: To analyze image quality and the factors that determine it for cone-beam
computed tomography (CT) hepatic arteriography in chemoembolization for
hepatocellular carcinoma (HCC). MATERIALS AND METHODS: From September 2009
December 2010, 399 consecutive patients referred for chemoembolization of HCC
were scheduled for cone-beam CT scan. There were 12 patients (3%) excluded
because of difficulty with breath-hold. Of the 387 patients who underwent cone
beam CT hepatic arteriography, 100 patients were ultimately included in the study
according to inclusion criteria. Maximum intensity projection images were scored
for image quality of each segmental hepatic artery. Potential determining factors
for image quality were diaphragmatic motion, portal vein enhancement, and hepatic
artery-to-parenchyma enhancement ratio. The flow rate of contrast media, x-ray
delay, and location of the catheter tip were also evaluated. RESULTS: It was
possible to trace at least subsegmental hepatic arteries in 625 of 700 segments
(89.3%) on cone-beam CT hepatic arteriography. Diaphragmatic motion, prominent
portal vein enhancement, and low hepatic artery-to-parenchyma enhancement ratio
worsened image quality (P < .001, P = .022, and P = .017). Owing to cardiac
motion artifacts, image quality of the left lateral segments (S2 and S3) was
poorer compared with the remaining hepatic segments (S4-S8). CONCLUSIONS: In most
cases, the quality of cone-beam CT hepatic arteriography images was good enough
to trace subsegmental hepatic arteries at a minimum. Respiratory and cardiac
motion, portal vein enhancement, and hepatic artery-to-parenchyma enhancement
ratio significantly affected the image quality of cone-beam CT hepatic
arteriography.
PMID- 24925088
TI - Accuracy of positional correction for the floor-mounted kV X-ray IGRT system in
angled couch positions.
AB - Stereotactic irradiation (STI) requires high geometric accuracy. We evaluated the
positional correction accuracy after treatment couch rotation for non-coplanar
STI with a frameless mask. A steel ball was embedded as a virtual target in a
head phantom with a human cranial bone structure, and the head phantom was placed
in the isocenter of the treatment-planning system with the image-guide system.
The Winston-Lutz test at treatment couch angles of +/-90 degrees , +/-45 degrees
, and 0 degrees was performed, and the amount of displacement from the center
position at the treatment couch angle of 0 degrees was calculated. After
treatment couch rotation through each treatment couch angle, the amount of center
displacement was compared between cases with and without a positional correction
by the image-guide system, and then the accuracy of the positional correction
after treatment couch rotation was examined. The maximum amount of three
dimensional displacement without and with positional correction after treatment
couch rotation was 0.52 mm at a treatment couch angle of -90 degrees and 0.49 mm
at a treatment couch angle of -45 degrees . These results indicate that the image
guide system provides accuracy within about 0.50 mm regardless of the positional
correction even after rotation of the treatment couch.
PMID- 24925089
TI - Histone demethylase Jumonji D3 (JMJD3/KDM6B) at the nexus of epigenetic
regulation of inflammation and the aging process.
AB - Histone methylation is involved in the epigenetic control of immune responses and
cellular senescence. Jumonji domain-containing protein 3 (JMJD3), also called
lysine-specific demethylase 6B (KDM6b), is an inducible histone demethylase which
enhances immune responses and can trigger cellular senescence. JMJD3 potentiates
gene expression by demethylating repressive H3K27me3 epigenetic marks in
promoters and gene bodies. Moreover, JMJD3 also stimulates transcription in a
demethylase-independent manner by mediating interactions between chromatin
modifiers. JMJD3 can enhance both pro-inflammatory and anti-inflammatory
responses by targeting distinct transcription factors in a context-dependent
manner in gene promoters. For instance, JMJD3 can induce macrophage M2
polarization via STAT6 signaling. JMJD3 also interacts with T-bet factor and
induces Th1 differentiation of CD4(+) T cells. Moreover, JMJD3 can activate TGF
beta signaling through the SMAD3 pathway. Conversely, JMJD3 displaces polycomb
complexes from the INK4 box, which induces the expression of INK4a and triggers
cellular senescence. JMJD3 can also enhance the nuclear localization of p53 and
thus regulate its function. The control of INK4 box and p53 is closely related to
the regulation of the aging process. We will briefly review the inducible
properties of JMJD3 expression and then focus on the role of JMJD3 in the
regulation of inflammation and senescence through different signaling pathways.
We emphasize that an inflammatory milieu and cellular stress can enhance immune
responses and provoke cellular senescence via epigenetic regulation through JMJD3
activation.
PMID- 24925090
TI - Effect of opicapone and entacapone upon levodopa pharmacokinetics during three
daily levodopa administrations.
AB - BACKGROUND AND OBJECTIVES: Opicapone is a novel third generation catechol-O
methyltransferase (COMT) inhibitor. The purpose of this study was to compare the
levodopa pharmacokinetic profile throughout a day driven by the COMT inhibition
either following repeated doses of opicapone or concomitant administration with
entacapone. METHODS: A randomized, double-blind, gender-balanced, parallel-group
study was performed in 4 groups of 20 healthy subjects each. Four subjects in
each group received placebo during the entire study. Sixteen subjects in one
group received placebo once daily for 11 days and on day 12, 200 mg entacapone
concomitantly with each levodopa/carbidopa dose (three times separated by a 5-h
interval). Sixteen subjects in each of the remaining three groups received
respectively 25, 50, and 75 mg opicapone once daily for 11 days and on day 12,
placebo concomitantly with each levodopa/carbidopa dose. RESULTS: Levodopa
minimum plasma concentration (Cmin) for each levodopa/carbidopa dose and for the
mean of all levodopa/carbidopa doses increased substantially with all active
treatments (entacapone and opicapone) when compared to the control group
(placebo), with values ranging from 1.7-fold (200 mg entacapone) to 3.3-fold (75
mg opicapone). No statistical difference was found for levodopa peak of systemic
exposure (as assessed by maximum observed plasma concentration (Cmax)) between
all active treatments and placebo. A significant increase in the levodopa extent
of systemic exposure (as assessed by concentration-time curve (AUC)) occurred
with all opicapone treatments in relation to placebo. No statistical difference
was found for levodopa AUC when entacapone was compared to placebo. When compared
to entacapone, both 50 and 75 mg opicapone presented a significant increase for
the levodopa AUC. All active treatments significantly inhibited both peak (as
assessed by Emax) and extent (as assessed by effect-time curve (AUEC)) of the
COMT activity in relation to placebo. When compared to entacapone, all opicapone
treatments significantly decreased the extent (AUEC) of the COMT activity due to
a long-lasting and sustained effect. The tolerability profile was favorable for
all active treatments. CONCLUSION: Opicapone, a novel third generation COMT
inhibitor, when compared to entacapone, provides a superior response upon the
bioavailability of levodopa associated to more pronounced, long-lasting, and
sustained COMT inhibition. The tolerability profile was favorable. On the basis
of the results presented in this study and along with the earlier pharmacology
studies, it is anticipated that opicapone adjunct therapy at the dosages of 25
and 50 mg will provide an enhancement in levodopa availability that will
translate into clinical benefit for Parkinson's disease patients.
PMID- 24925091
TI - Potentially inappropriate medications and adverse drug reactions in the elderly:
a study in a PharmacoVigilance database.
AB - BACKGROUND: Lists of potentially inappropriate medications (PIM) in the elderly
were developed in order to identify patients and/or drugs at risk of adverse drug
reactions (ADRs) or inefficacy. However, the relationship between PIMs and ADRs
remains discussed. We hypothesized that PIM use is associated with more ADRs than
other prescriptions. METHODS: All ADRs registered by the Midi-Pyrenees
PharmacoVigilance Center between the 1st January and the 30th June 2012 in
patients >=75 years were included. Data on patients (age, gender, Charlson
comorbidity index), drugs (number, ATC classification, Laroche PIM
classification) and ADRs (type, seriousness, mechanisms) were analyzed. RESULTS:
Among the 923 ADRs recorded, 272 (29.5%) were in patients >=75 years. Mean age
was 83.5 +/- 5.5 years. Most of them (59%) were females. Mean Charlson index was
5.6 +/- 2.0 by ADR report. These 272 prescriptions involved 1,775 drugs [mean
value, 6.5 (+/-3.4) drugs by ADR report] with 129 (7.3%) PIM. Main PIM classes
were nervous (n = 98, 76.0%) and cardiovascular (17.8%) drugs, including 32
atropinics (23.4%). ADR-associated drugs were mainly antithrombotics,
antibacterials, and analgesics for non-PIM drugs whereas PIM-associated ADRs were
mainly observed with digoxine, psycholeptics, and psychoanaleptics. ADRs were
mainly found with non-PIM drugs (89.3%). Associated factors were the number of
drugs for PIMs and the number of PIMs for PIM-induced ADRs. CONCLUSION: Out of
the ADR reports registered in the Midi-Pyrenees PharmacoVigilance Database for
patients >=75 years, 1 drug out of 12 is potentially inappropriate (mainly
benzodiazepines, imipraminic antidepressants, and atropinic drugs). PIM use is
not associated with more ADRs' reports than other prescriptions.
PMID- 24925092
TI - Anxiety sensitivity and fear of pain in paediatric headache patients.
AB - BACKGROUND: Recent research suggests that anxiety sensitivity (AS) may be a
critical factor in the maintenance of chronic pain. Converging lines of evidence
also propose a relationship between AS and fear of pain (FOP) that may result
from interoceptive fear conditioning in interoceptively biased individuals. While
some AS and FOP research has been carried out in adults, literature exploring
this relationship is sparse in clinical paediatric populations. METHODS: This
study investigated the hypotheses that FOP mediates the relationships between AS
and disability as well as AS and somatization in children and adolescents with
chronic headache pain. Mediation models were investigated using bootstrap
regression analyses. RESULTS: Results indicate that the AS-disability
relationship is mediated by FOP, whereas AS seems to contribute both directly and
indirectly to somatization. CONCLUSION: These results provide evidence for the
pivotal role of AS in the paediatric chronic pain model. The findings of this
study further emphasize the application of the fear-avoidance model in children
and provide new evidence for the critical role of AS in a paediatric headache
population.
PMID- 24925093
TI - Tricresyl phosphate and the aerotoxic syndrome of flight crew members--current
gaps in knowledge.
AB - Tricresyl phosphate (TCP), and in particular its tri-ortho substituted isomer
(o,o,o-TCP), has been frequently used in aircraft engine oil. Bleed air, provided
to the flight deck and cabin can contain traces of TCP. TCP can cause neurotoxic
effects in humans. Regularly, airline pilots complain about loss of memory,
headaches, dizziness, tunnel vision and other neurotoxic effects. The
concentrations of TCP reported in flight deck air (max. ca. 50-100 ng m(-3) total
TCP) do not exceed provisional toxicity thresholds. These thresholds, however,
contain a very high uncertainty and need further underpinning. The many non
detects and relatively low TCP concentrations reported suggest that TCP on its
own is not likely to be responsible for the reported health problems of pilots.
Specific conditions in air planes and other toxic compounds present in bleed air,
whether or not in combination with TCP, may be responsible for the reported
neurotoxic syndromes. Sensitivity of individuals seems to be an important factor
as well. The clinical signs observed with a selected group of pilots are serious
enough to call for further elucidation of this issue.
PMID- 24925095
TI - Breast cancer screening: time for rational discourse.
PMID- 24925094
TI - Acupuncture for menopausal vasomotor symptoms: study protocol for a randomised
controlled trial.
AB - BACKGROUND: Hot flushes and night sweats (vasomotor symptoms) are common
menopausal symptoms, often causing distress, sleep deprivation and reduced
quality of life. Although hormone replacement therapy is an effective treatment,
there are concerns about serious adverse events. Non-hormonal pharmacological
therapies are less effective and can also cause adverse effects. Complementary
therapies, including acupuncture, are commonly used for menopausal vasomotor
symptoms. While the evidence for the effectiveness of acupuncture in treating
vasomotor symptoms is inconclusive, acupuncture has a low risk of adverse
effects, and two small studies suggest it may be more effective than non
insertive sham acupuncture. Our objective is to assess the efficacy of needle
acupuncture in improving hot flush severity and frequency in menopausal women.
Our current study design is informed by methods tested in a pilot study.
METHODS/DESIGN: This is a stratified, parallel, randomised sham-controlled trial
with equal allocation of participants to two trial groups. We are recruiting 360
menopausal women experiencing a minimum average of seven moderate hot flushes a
day over a seven-day period and who meet diagnostic criteria for the Traditional
Chinese Medicine diagnosis of Kidney Yin deficiency. Exclusion criteria include
breast cancer, surgical menopause, and current hormone replacement therapy use.
Eligible women are randomised to receive either true needle acupuncture or sham
acupuncture with non-insertive (blunt) needles for ten treatments over eight
weeks. Participants are blinded to treatment allocation. Interventions are
provided by Chinese medicine acupuncturists who have received specific training
on trial procedures. The primary outcome measure is hot flush score, assessed
using the validated Hot Flush Diary. Secondary outcome measures include health
related quality of life, anxiety and depression symptoms, credibility of the sham
treatment, expectancy and beliefs about acupuncture, and adverse events.
Participants will be analysed in the groups in which they were randomised using
an intention-to-treat analysis strategy. DISCUSSION: Results from this trial will
significantly add to the current body of evidence on the role of acupuncture for
vasomotor symptoms. If found to be effective and safe, acupuncture will be a
valuable additional treatment option for women who experience menopausal
vasomotor symptoms. TRIAL REGISTRATION: Australian New Zealand Clinical Trials
Registry ACTRN12611000393954 11/02/2009.
PMID- 24925096
TI - Novel biosensors based on optimized glycine oxidase.
AB - Glycine is involved in several physiological functions, e.g. as a
neurotransmitter in the central nervous system, and sarcosine has been identified
as a differential metabolite greatly enhanced during prostate cancer progression
and metastasis. Glycine oxidase from Bacillus subtilis (GO) was engineered with
the final aim of producing specific analytical systems to detect these small
achiral amino acids. Based on in silico analysis, site-saturation mutagenesis was
independently performed at 11 positions: a total of 16 single-point GO variants
were analyzed. Significantly improved kinetic parameters were observed on glycine
for the A54R, H244K-N-Q-R, Y246W and M261R variants. The introduction of multiple
mutations then identified the H244K/M261R variant showing a 5.4-fold increase in
maximal activity on glycine. With sarcosine as substrate, a number of single
point variants showed increased maximal activity and/or affinity: the kinetic
efficiency was increased 6-fold for the M49L variant. Two GO variants with a high
substrate specificity ratio for glycine (versus sarcosine, i.e. H244K GO) or for
sarcosine (versus glycine, i.e. M49L GO) combined with high substrate affinity
were used to set up a simple fluorescence-based biosensor. This optical sensing
assay represents a novel, inexpensive and fast tool to assay glycine or sarcosine
concentrations in biological samples (detection limit <= 0.5 MUm).
PMID- 24925098
TI - How does reverse shoulder replacement change the range of motion in activities of
daily living in patients with cuff tear arthropathy? A prospective optical 3D
motion analysis study.
AB - BACKGROUND: Reverse total shoulder arthroplasty (RSA) can improve function in
cuff tear arthropathy (CTA) shoulders, but limited exact data are available about
the maximum values in 3D motion analysis, and as to how improvements translate
into the normal range of motion (ROM) in activities of daily living (ADLs).
METHODS: This study included nine consecutive patients (n = 9) who received RSA
for CTA without muscle transfers. We measured shoulder movement by a novel 3D
motion analysis using the Heidelberg upper extremity model (HUX) which can
eliminate compensatory movements of the scapula, and the trunk. The measurement
included active maximum values, and four ADLs. RESULTS: Comparing the pre- to the
1-year postoperative status, RSA was associated with a significant increase in
the mean maximum values for active flexion of about 43 degrees (SD +/- 31) from
66 degrees to 109 degrees (p = 0.001), for active abduction of about 37 degrees
(SD +/- 26) from 57 degrees to 94 degrees (p = 0.001), and for the active
adduction of about 28 degrees (SD +/- 10) from 5 degrees to 33 degrees (p =
0.002). Comparing the preoperative to the postoperative ROM in the ADLs in
flexion/extension, ROM improved significantly in all ADLs, in abduction/adduction
in three of four ADLs. No significant changes were observed in internal/external
rotation in any ADLs. CONCLUSION: RSA improves the active maximum ROM for
flexion, abduction, and adduction. The patients are able to take advantage of
this ROM increase in ADLs in flexion and in most ADL in abduction, but only in
trend in internal and external rotation.
PMID- 24925100
TI - Fine structure in diabetic retinopathy lesions as observed by adaptive optics
imaging. A qualitative study.
AB - PURPOSE: Diabetic retinopathy is diagnosed by fundus photography and optical
coherence tomography (OCT) scanning. However, adaptive optics (AO) imaging can be
expected to add new aspects to the knowledge of diabetic retinopathy because
photographic resolution is improved by reducing the influence of optical
aberrations on retinal imaging. METHODS: Nineteen patients with diabetes mellitus
were subjected to fundus photography, OCT scanning and AO imaging. The fundus
photographs were scaled to the same magnification as that of the AO image, and
qualitative aspects of AO images of each retinopathy lesion observed on fundus
photographs and OCT scans were assessed. RESULTS: All red lesions on fundus
photographs appeared on AO images as dark hyporeflective elements, but it could
not be verified whether lesions represented haemorrhages or microaneurysms. The
smallest of these lesions were circular with a size corresponding to that of
blood cells. Hard exudates had irregular surfaces with buddings of various sizes
protruding from the lesions. Areas of retinal oedema observed by fundus imaging
and OCT scanning resulted in blurring of AO images, but cystoid spaces observed
by OCT could be seen on AO images to have a sharp delimitation with a darker
hyporeflective rim at the internal lining of the cyst wall. CONCLUSION: AO
imaging may potentially assist in detecting diabetic retinopathy at an earlier
stage, may help elucidating the pathophysiology of the diseases and may be used
for evaluating the effects of clinical interventions on diabetic retinopathy and
other retinal vascular diseases.
PMID- 24925101
TI - Women in otolaryngology.
PMID- 24925102
TI - Acute esotropia, convergence-retraction nystagmus and contraversive ocular tilt
reaction from a paramedian thalamomesencephalic infarct.
PMID- 24925103
TI - Optimal target range for blood glucose in hyperglycaemic patients in a
neurocritical care unit.
AB - BACKGROUND: Hyperglycaemia is common among patients with critical neurological
injury, even if they have no history of diabetes. The optimal target range for
normalizing their blood glucose is unknown. METHODS: Retrospective data were
extracted from 890 hyperglycaemic individuals (glucose > 200 mg/dL) admitted to
neuroscience critical care unit (NCCU) and these patients were divided into two
groups: intensive glucose control group with target glucose of < 140 mg/dL
achieved and moderate control with glucose levels 140-180 mg/dL. The groups were
also stratified according to the hyperglycaemia type (pre-existing diabetes or
stress-related). We defined the primary endpoint as death from any cause during
NCCU admission. RESULTS: In NCCU, tighter control of blood glucose at <= 140
mg/dL was associated with increased, mortality of individuals with pre-existing
diabetes compared with moderate control [29 of 310 patients (9.4%) vs 15 of 304
patients (4.9%), p = 0.034]. Patient age [adjusted odds ratio (OR) = 1.12; 95%
confidence interval (CI) = 1.05-1.19; p < 0.001], level of glycated haemoglobin
(adjusted OR = 1.24; 95% CI = 1.04-1.48; p = 0.017) and hypoglycaemia (adjusted
OR = 10.3; 95% CI = 2.92-36.6; p < 0.001) were positively associated with higher
mortality. Death rate was lower among stress-related hyperglycaemic patients with
tighter glucose controlled at <= 140 mg/dL [6 of 140 patients (4.3%) vs 15 of 136
patients (11.0%), p = 0.035]. CONCLUSION: A differential association is evident
between glucose levels and mortality in diabetes and stress-related
hyperglycaemia patients. However, given the observational nature of our work, no
clinical recommendations can be given and prospective studies are required to
further investigate these findings.
PMID- 24925099
TI - Coreceptor usage, diversity, and divergence in drug-naive and drug-exposed
individuals from Malawi, infected with HIV-1 subtype C for more than 20 years.
AB - There are few cohorts of individuals who have survived infection with HIV-1 for
more than 20 years, reported and followed in the literature, and even fewer from
Africa. Here we present data on a cohort of subtype C-infected individuals from
rural northern Malawi. By sequencing multiple clones from long-term survivors at
different time points, and using multiple genotyping approaches, we show that 5
of the 11 individuals are predicted as CXCR4 using (by >=3/5 predictors) but only
one individual is predicted as CXCR4 using by all five algorithms. Using any one
genotyping approach overestimates the number of predicted CXCR4 sequences.
Patterns of diversity and divergence were variable between the HIV-1 long-term
survivors with some individuals showing very small amounts of variation and
change, and others showing a greater amount; both patterns are consistent with
what has been described in the literature.
PMID- 24925105
TI - Predictors of respiratory epithelial adenomatoid hamartomas of the olfactory
clefts in patients with nasal polyposis.
AB - OBJECTIVES/HYPOTHESIS: To look for predictors of respiratory epithelial
adenomatoid hamartomas (REAH) development in patients operated for nasal
polyposis (NP) by adjusting on confounding factors. STUDY DESIGN: Prospective
study. METHODS: One hundred and six patients with NP, endoscopically operated
between September 2009 and March 2012 on the ethmoidal labyrinths and olfactory
clefts, were enrolled in this study. Clinical data was collected 1 day prior to
surgery by using a standard grid without knowledge of any histological features.
Patients were then divided into two groups based on operative and pathological
reports: with and without REAH in the olfactory cleft (REAH-OC). The multivariate
logistic regression model was used to assess independent factors linked to the
presence of REAH-OC in patients with NP. RESULTS: The mean duration of NP disease
in patients with REAH-OC was about 13.95 +/- 10.8 years versus 5.7 +/- 5.6 years
in patients without REAH-OC (P < 0.0001). Seventy-four percent of patients with
REAH-OC had undergone one or more NP-related surgeries in their lifetime, in
contrast with 49.21% of patients without REAH-OC (P = 0.009). According to the
multivariate logistic regression analysis, those patients experiencing NP >= 10
years (OR 4.0, 95% CI 1.304-12.062, P = 0.015) and those with asthma (OR 2.5, 95%
CI 1.004-6.29, P < 0.05) were at an increased risk of developing REAH-OC.
CONCLUSION: The development of REAH in patients with NP appears as a specific
disease of the mucosa of the OC, induced by a long-lasting and/or severe
inflammation of the olfactory clefts. LEVEL OF EVIDENCE: 4.
PMID- 24925106
TI - Evolution of aging: individual life history trade-offs and population
heterogeneity account for mortality patterns across species.
AB - A broad range of mortality patterns has been documented across species, some even
including decreasing mortality over age. Whether there exist a common denominator
to explain both similarities and differences in these mortality patterns remains
an open question. The disposable soma theory, an evolutionary theory of aging,
proposes that universal intracellular trade-offs between maintenance/lifespan and
reproduction would drive aging across species. The disposable soma theory has
provided numerous insights concerning aging processes in single individuals. Yet,
which specific population mortality patterns it can lead to is still largely
unexplored. In this article, we propose a model exploring the mortality patterns
which emerge from an evolutionary process including only the disposable soma
theory core principles. We adapt a well-known model of genomic evolution to show
that mortality curves producing a kink or mid-life plateaus derive from a common
minimal evolutionary framework. These mortality shapes qualitatively correspond
to those of Drosophila melanogaster, Caenorhabditis elegans, medflies, yeasts and
humans. Species evolved in silico especially differ in their population diversity
of maintenance strategies, which itself emerges as an adaptation to the
environment over generations. Based on this integrative framework, we also derive
predictions and interpretations concerning the effects of diet changes and heat
shock treatments on mortality patterns.
PMID- 24925104
TI - Clinical and non-targeted metabolomic profiling of homozygous carriers of
Transcription Factor 7-like 2 variant rs7903146.
AB - An important role of the type 2 diabetes risk variant rs7903146 in TCF7L2 in
metabolic actions of various tissues, in particular of the liver, has recently
been demonstrated by functional animal studies. Accordingly, the TT diabetes risk
allele may lead to currently unknown alterations in human. Our study revealed no
differences in the kinetics of glucose, insulin, C-peptide and non-esterified
fatty acids during an OGTT in homozygous participants from a German diabetes risk
cohort (n = 1832) carrying either the rs7903146 CC (n = 15) or the TT (n = 15)
genotype. However, beta-cell function was impaired for TT carriers. Covering more
than 4000 metabolite ions the plasma metabolome did not reveal any differences
between genotypes. Our study argues against a relevant impact of TCF7L2 rs7903146
on the systemic level in humans, but confirms the role in the pathogenesis of
type 2 diabetes in humans as a mechanism impairing insulin secretion.
PMID- 24925107
TI - Synthesis of the C10-C24-bis-spiroacetal core of 13-desmethyl spirolide C based
on a sila-Stetter-acetalization process.
AB - Synthesis of the bis-spiroacetal core of 13-desmethyl spirolide C has been
completed based on a sila-Stetter-acetalization process. The acylsilane and enone
partners in the Stetter reaction were prepared in 7 and 11 steps, respectively,
from (S) and (R)-aspartic acid. The quaternary center at C19 in the enone moiety
was controlled by relying on the Seebach's chiral self-reproduction method using
an enantiopure (S)-lactic acid based dioxolanone. The final acid-catalyzed
spiroacetalization provided the desired spiroacetal as a mixture of
diastereoisomers in 13 linear steps. Whatever the conditions used, the non
natural transoid isomer was formed preferentially. However, both cisoid and
transoid isomers were isolated pure and their structure assigned unambiguously
through NMR spectroscopic studies.
PMID- 24925108
TI - Evaluation of BDE-47 hydroxylation metabolic pathways based on a strong electron
withdrawing pentafluorobenzoyl derivatization gas chromatography/electron capture
negative ionization quadrupole mass spectrometry.
AB - Understanding the metabolic pathways of polybrominated diphenyl ethers (PBDEs) is
a key issue in the evaluation of their cytotoxicity after they enter the biota.
In order to obtain more information concerning the metabolic pathways of PBDEs,
we developed a strong electron-withdrawing pentafluorobenzoyl (PFBoyl)
derivatization capillary gas chromatography/electron capture negative ionization
quadrupole mass spectrometry (GC/ECNI-qMS). PFBoyl esterification greatly
improves separation of the metabolites of PBDEs such as hydroxylated PBDEs (OH
PBDEs) and bromophenols (BPs) metabolites in rat liver microsomes (RLMs). On the
other hand, the strong electron-withdrawing property of PFBoyl derivatized on OH
PBDEs and/or BPs makes cleavage of the ester bond on ECNI easier resulting in
higher abundance of the structure-informative characteristic fragment ions at a
high m/z region, which facilitate the identification of OH-PBDEs metabolites.
Subsequent quantification can be performed by monitoring not only 79Br- (or 81Br
) but also their characteristic fragment ions, achieving more accurate isotope
dilution quantification using GC/ECNI-qMS. These merits allow us to identify
totally 12 metabolites of BDE-47, a typical example of PBDEs, in the RLMs in
vitro incubation systems. In addition to the already known metabolites of BDE-47,
one dihydroxylated 3,6-di-OH-BDE-47 and one dihydroxylated 3,5-di-OH
tetrabrominated dioxin were found. Moreover, the second hydroxylation took place
on the same bromophenyl ring, where the first hydroxyl group was located, and was
further confirmed via the identification of the dihydroxylated 2',6'-di-OH-BDE-28
of an asymmetric 2'-OH-BDE-28. This methodological development and its subsequent
findings of the metabolic pathways of BDE-47 provided experimental evidence for
understanding its dioxin-like behavior and endocrine disrupting risk.
PMID- 24925109
TI - Functional analysis of maternal aggression in the house mouse (mus musculus
domesticus).
AB - The presumed function of maternal aggression as a means to protect the young from
infanticidal conspecifics was tested. Lactating females attacked male intruders
regardless of their potential for infanticide and intrasexual aggression (as
previously screened). The level of aggression of the male intruder rather than
his infanticidal potential, influenced both the mother's latency to attack and
the possibility of successful protection of her young. A lactating female's
attack on a male, but not on a female, intruder was reduced by the presence of
her mate. Lactating females did not attack male intruders when their mates were
not aggressive towards them. Thus, maternal attack appears to be modulated by the
aggressive characteristics of both the stud and the intruder male rather than as
a response to the potential risk for the litter. This suggests that lactating
female attack on males is not only a counter-strategy to infanticide. In an
evolutionary perspective, the possibility that this behavior may also involve
intersexual selection mechanisms is discussed.
PMID- 24925110
TI - Fear reactions of ewes to photographic images.
AB - The aim of this study was to analyse fear reactions of 18 adult Romanov ewes
individually confronted with 3 visual stimuli, namely pictures of a human, of a
sheep or of a traffic cone (control). These pictures were projected in real size
on a wall of the test pen by means of a slide projector. Twenty-six behavioural
items related to fear were recorded. Furthermore, fearfulness scores were
calculated to compare the overall fear levels of the subjects when confronted
with the photographic images. We hypothesized that if animals recognized a man or
a sheep in the presented slides, fear reactions would be enhanced in the presence
of the picture of a human and reduced in the presence of a sheep picture as
compared with the control situation. Our results suggest that ewes may be able to
recognize the sheep picture as a conspecific. For example, sniffings were
primarily directed towards the anogenital region and the head. The reduced fear
reactions of isolated ewes in the presence of a sheep picture is similar to that
observed previously in the presence of real conspecifics. In contrast, they did
not differ when sheep were confronted with a human or a control image, indicating
that additional stimuli may be needed for the human image to be fear-eliciting.
PMID- 24925111
TI - Equivalence class formation as a function of the pronounceability of the sample
stimulus.
AB - The role of naming in stimulus equivalence was studied by varying the
pronounceability of sample stimulus pseudowords. Experiment 1 compared three
conditions: in the first, sample stimuli consisted of phonologically correct
pseudowords; in the second, sample stimuli consisted of phonologically incorrect
words; in the last, sample stimuli consisted of punctuation marks. Subjects
exposed to pronounceable stimuli demonstrated equivalence class formation more
quickly and with fewer errors than did other subjects. In Experiment 2, subjects
were trained in equivalence-class formation using only non-phonological sample
stimuli. Half the subjects were exposed to a pretraining procedure in which they
read a list of non-phonological pseudowords aloud. Remaining subjects transcribed
the same list of pseudowords - a procedure which equated exposure to the
pseudowords, but did not necessarily encourage subjects to name them. Subjects
who were pretrained with the read-aloud task made significantly fewer errors than
those who transcribed the words. These data are consistent with the theory that
equivalence class formation is mediated by verbal behavior.
PMID- 24925112
TI - Absence of temporal polyethism in the ponerine ant pachycondyla caffraria (Smith)
(hymenoptera: formicidae): Early specialization of the foragers.
AB - In the Ponerine Pachycondyla caffraria the behaviour of workers is independent of
age. They leave the nest to forage a few days after eclosion, and some
specialization appears in the young foragers. For the first five days, the callow
workers stay in the nest chamber; they remain immobile, or groom themselves, or
lick the larvae and pupae, which they transport from one part of the nest to
another. Six or seven days after eclosion, some of the young workers remain
inside the nest and occupy themselves with inside labour, while others leave the
nest to forage, and collect sugar water or hunt for prey. The frequency of some
behavioural acts which are performed by the young inside workers increases or
decreases with age.
PMID- 24925113
TI - Contextual control of Pavlovian bidirectional occasion setting.
AB - Three pigeons were trained in a lighted context on a bidirectional occasion
setting task in which a tone feature (F) signaled food after the green keylight
(L:G-, L:FG+) and no food after the red keylight (L:R+, L:FR-). They acquired the
former feature-positive (F-P) discrimination and the latter feature-negative (F
N) discrimination at almost equal speed. Another three birds were trained with a
F-P discrimination task in a light context (L:G-, L:FG+) and with a F-N
discrimination task in a dark context (D:G+, D:FG-). The keylight was always
green and two contextual conditions were changed randomly trial by trial. In this
training, the F-P discrimination was acquired faster than the F-N discrimination.
Then, all birds received a more complex amalgam contingency in which all eight
types of trials were presented in the session (D:R-, D:G+, D:FR+, D:FG-, L:R+,
L:G-, L:FR-, L:FG+). After they learned the amalgam training, the outcomes of two
trial types were changed (D:R+, D:G-), but this treatment failed to reverse the
pattern of responding in the remaining trial types.
PMID- 24925114
TI - Psychological distance to reward: The aversiveness of the first component
stimulus in a chain.
AB - Pigeons served in two experiments examining the aversiveness of the first
component stimulus (S1) in a fixed-time (FT) chained schedule using a two-key
escape procedure. Responding on a chain key was reinforced by a chained schedule
while pecking a switch key produced brief blackouts on the chain key. In
Experiment 1, pigeons were first trained on a chain FT 30 s FT 30 s (i.e. overall
duration 60 s) using the chain key alone (Phase I); then they were allowed to
turn off the chain-key light by pecking the switch key without affecting
reinforcement programmed by the chained schedule (Phase II); finally, the
blackout contingency on the switch key was discontinued (Phase III). Results
showed that pigeons pecked the switch key only during C1 but not C2 and this
behavior was maintained only when the pecks produced blackouts of S1 (Phase II).
Hence escaping from C1 was negatively reinforcing and the phenomenon was
attributed to the aversiveness of S1. Experiment 2 assessed the effect of the
overall duration of the chained schedule on switch-key responses using the same
procedure. With the three durations investigated (20 s, 40 s and 60 s), results
showed that the switch-key rate was a positive function of the overall duration.
Thus the aversiveness of S1 increased as the duration lengthened. These findings
are consistent with those from choice studies in that segmentation of a schedule
extends its 'psychological distance to reward'.
PMID- 24925115
TI - Comparing behaviour in wild and laboratory strains of the house mouse: Levels of
comparison and functional inference.
AB - Many behavioural studies of laboratory house mice have sought functional
explanations for their results. This raises the problem of the comparability of
behaviour with that of wild house mice from whose naturally selected repertoire
the behaviour of laboratory strains has been derived. This in turn requires
careful choice of measures to ensure that subtle changes in response during the
selection of laboratory strains are taken into account. A comparison of social
behaviours showing kin bias in male mice of the CFLP laboratory strain shows that
superficial measures based on totals over an arbitrary test period, a widely used
procedure, can be misleading because they fail to control for differences in the
tendency for CFLP and wild mice to encounter one another and the intensity of
social responses when encounters do occur. Such differences may reflect different
contexts and consequences of social responses in the two strains.
PMID- 24925116
TI - Pigeons' landmark use as revealed in a 'feature-positive', digitized landscape,
touchscreen paradigm.
AB - Two pigeons were trained to discriminate between a S - (a digitized image of a
grassy field presented on a computer monitor) and a S + (a digitized image of the
same field containing a tree, a set of flowers, and a log). The location of the
pecks to the images was recorded by a touchscreen. Both subjects quickly learned
the discrimination and concentrated their pecks to particular 'landmarks', one
pigeon pecking the flowers, the other the tree. This result suggests that the use
of digitized images of real-world geographic locations may help us to understand
how animals use visual landmarks in spatial navigation, and, in more general
terms, how animals perceive and remember in their natural environments.
PMID- 24925117
TI - Slide fastener reduction of graphene-oxide edges by calcium: insight from ab
initio molecular dynamics.
AB - The reduction of graphene oxide can be used as a simple way to produce graphene
on a large scale. However, the numerous edges produced by the oxidation of
graphite seriously degrade the quality of the graphene and its carrier transport
property. In this work, the reduction of oxygen-passivated graphene edges and the
subsequent linking of separated graphene sheets by calcium are investigated by
using first-principles calculations. The calculations show that calcium can
effectively remove the oxygen groups from two adjacent edges. The joining point
of the edges serves as the starting point of the reduction and facilitates the
reaction. Once the oxygen groups are removed, the crack is sutured. If the
joining point is lacking, it becomes difficult to zip the separated fragments. A
general electron-reduction model and a random atom-reduction model are suggested
for these two situations. The present study sheds light on the reduction of
graphene-oxide edges by using reactive metals to give large-sized graphene
through a simple chemical reaction.
PMID- 24925118
TI - Effect of intravenous gadolinium-DTPA on diffusion-weighted imaging of brain
tumors: a short temporal interval assessment.
AB - PURPOSE: To determine the effect of intravenous administration of gadolinium (Gd)
contrast medium (Gd-DTPA) on diffusion-weighted imaging (DWI) for the evaluation
of normal brain parenchyma vs. brain tumor following a short temporal interval.
MATERIALS AND METHODS: Forty-four DWI studies using b values of 0 and 1000
s/mm(2) were performed before, immediately after, 1 min after, 3 min after, and 5
min after the administration of Gd-DTPA on 62 separate lesions including 15
meningioma, 17 glioma and 30 metastatic lesions. The signal-to-noise ratio (SNR),
contrast-to-noise ratio (CNR) and apparent diffusion coefficient (ADC) values of
the brain tumor lesions and normal brain tissues were measured on pre- and
postcontrast images. Statistical analysis using paired t-test between precontrast
and postcontrast data were obtained on three brain tumors and normal brain
tissue. RESULTS: The SNR and CNR of brain tumors and the SNR of normal brain
tissue showed no statistical differences between pre- and postcontrast (P >
0.05). The ADC values on the three cases of brain tumors demonstrated significant
initial increase on the immediate time point (P < 0.01) and decrease on following
the 1 min time point (P < 0.01) after contrast. Significant decrease of ADC value
was still found at 3min and 5min time point in the meningioma group (P < 0.01)
with gradual normalization over time. The ADC values of normal brain tissues
demonstrated significant initial elevation on the immediately postcontrast DWI
sequence (P < 0.01). CONCLUSION: Contrast medium can cause a slight but
statistically significant change on the ADC value within a short temporal
interval after the contrast administration. The effect is both time and lesion
type dependent.
PMID- 24925119
TI - Caution when defining prolonged downtime in out of hospital cardiac arrest as
extracorporeal cardiopulmonary resuscitation becomes accessible and feasible.
PMID- 24925121
TI - Soy food consumption, cardiometabolic alterations and carotid intima-media
thickness in Chinese adults.
AB - BACKGROUND AND AIM: The associations between soy food consumption,
cardiometabolic disturbances and subclinical atherosclerosis remain controversial
due to limited evidence. We examined the associations of habitual soy food
consumption with cardiometabolic disturbances and carotid intima-media thickness
(CIMT). METHODS AND RESULTS: We included 2939 subjects (2135 women and 804 men)
aged 50-75 years in this community-based cross-sectional study. Dietary data and
other covariates were collected using interviewer-administered questionnaires. We
determined CIMT in relation to each subject's common carotid artery, internal
carotid artery, carotid bifurcation, blood lipids, glucose and urine acid, blood
pressure and waist circumference. A logistic regression model was applied to
estimate the odd ratios (ORs) and 95% confidence intervals (CIs), and linear
regression was used to estimate the regression coefficient and 95% CIs. After
adjusting for potential confounders, we found that greater consumption of soy
protein (6.1 vs. 0.5 g/d) was inverse associated with the presence of elevated
total cholesterol (TC), dyslipidemia, abdominal obesity and hyperuricemia in
women, and with abdominal obesity in men, although no significant sex-soy
interactions were observed (P: 0.145-0.985). The consumption of soy protein and
isoflavones was inversely associated with the number of cardiometabolic
disturbances among women, but not men. There were no significant associations of
soy protein and isoflavones with CIMT thickening and other cardiometabolic
disturbances. CONCLUSION: Greater soy consumption was associated with a lower
presence of elevated TC, dyslipidemia, hyperuricemia and less number of
cardiometabolic disturbances components in women.
PMID- 24925120
TI - Nut consumption, serum fatty acid profile and estimated coronary heart disease
risk in type 2 diabetes.
AB - BACKGROUND AND AIMS: Nut consumption has been associated with decreased risk of
coronary heart disease (CHD) and type 2 diabetes which has been largely
attributed to their healthy fatty acid profile, yet this has not been
ascertained. Therefore, we investigated the effect of nut consumption on serum
fatty acid concentrations and how these relate to changes in markers of glycemic
control and calculated CHD risk score in type 2 diabetes. METHODS AND RESULTS:
117 subjects with type 2 diabetes consumed one of three iso-energetic (mean 475
kcal/d) supplements for 12 weeks: 1. full-dose nuts (50-100 g/d); 2. half-dose
nuts with half-dose muffins; and 3. full-dose muffins. In this secondary
analysis, fatty acid concentrations in the phospholipid, triacylglycerol, free
fatty acid, and cholesteryl ester fractions from fasting blood samples obtained
at baseline and week 12 were analyzed using thin layer and gas chromatography.
Full-dose nut supplementation significantly increased serum oleic acid (OA) and
MUFAs compared to the control in the phospholipid fraction (OA: P = 0.036; MUFAs:
P = 0.024). Inverse associations were found with changes in CHD risk versus
changes in OA and MUFAs in the triacylglycerol (r = -0.256, P = 0.011; r =
0.228, P = 0.024, respectively) and phospholipid (r = -0.278, P = 0.006; r =
0.260, P = 0.010, respectively) fractions. In the cholesteryl ester fraction,
change in MUFAs was inversely associated with markers of glycemic control (HbA1c:
r = -0.250, P = 0.013; fasting blood glucose: r = -0.395, P < 0.0001).
CONCLUSION: Nut consumption increased OA and MUFA content of the serum
phospholipid fraction, which was inversely associated with CHD risk factors and
10-year CHD risk. CLINICAL TRIAL REG NO: NCT00410722, clinicaltrials.gov.
PMID- 24925123
TI - Assessment of vascular and endothelial dysfunction in nutritional studies.
AB - Vascular and endothelial dysfunction (VED) is emerging as a potential set of
early markers of cardiovascular disease risk and tests for its measurement have
been widely used in clinical research. The aim of this viewpoint is to describe
and discuss the current usage of these measures in well-designed nutritional
trials, using the potential relationship between fruit juice intake and VED as
example. A search was conducted using the NHS evidence portal including studies
published in English between January 1980 and October 2013. Only 10 suitable
studies were selected, which investigated the effect of fruit juice intake on
VED, among which 4 interventions used flow-mediated dilatation, 2 arterial
stiffness, 2 a combination of arterial stiffness and flow-mediated dilatation, 2
carotid intimal media thickness and 1 iontophoresis with laser Doppler. Despite
minimal effects reported on classical CVD markers, such as lipids, 8 out of the
10 identified studies reported an effect on endothelial function following juice
consumption, indicating that VED tests can be effectively used in human dietary
interventions to identify relationships between bioactive compounds from fruit
and CVD risk. However, paucity of available data, scarcity of compound
bioavailability and metabolism information, strong heterogeneity among
experimental methodologies and a number of limitations to study designs, still
limit the interpretation of the results obtained through these measures. Future,
well-designed studies with greater attention to consider use of VED measures are
needed to strengthen the utility of VED tests in nutrition research such as those
investigating the impact of polyphenol-rich juices and CVD risk.
PMID- 24925122
TI - Sedentary time and markers of inflammation in people with newly diagnosed type 2
diabetes.
AB - BACKGROUND AND AIMS: We investigated whether objectively measured sedentary time
was associated with markers of inflammation in adults with newly diagnosed type 2
diabetes. METHODS AND RESULTS: We studied 285 adults (184 men, 101 women, mean
age 59.0 +/- 9.7) who had been recruited to the Early ACTivity in Diabetes (Early
ACTID) randomised controlled trial. C-reactive protein (CRP), adiponectin,
soluble intracellular adhesion molecule-1 (sICAM-1), interleukin-6 (IL-6), and
accelerometer-determined sedentary time and moderate-vigorous physical activity
(MVPA) were measured at baseline and after six-months. Linear regression analysis
was used to investigate the independent cross-sectional and longitudinal
associations of sedentary time with markers of inflammation. At baseline,
associations between sedentary time and IL-6 were observed in men and women, an
association that was attenuated following adjustment for waist circumference.
After 6 months of follow-up, sedentary time was reduced by 0.4 +/- 1.2 h per day
in women, with the change in sedentary time predicting CRP at follow-up. Every
hour decrease in sedentary time between baseline and six-months was associated
with 24% (1, 48) lower CRP. No changes in sedentary time between baseline and 6
months were seen in men. CONCLUSIONS: Higher sedentary time is associated with IL
6 in men and women with type 2 diabetes, and reducing sedentary time is
associated with improved levels of CRP in women. Interventions to reduce
sedentary time may help to reduce inflammation in women with type 2 diabetes.
PMID- 24925124
TI - A low glycaemic load breakfast can attenuate cognitive impairments observed in
middle aged obese females with impaired glucose tolerance.
AB - BACKGROUND AND AIMS: There has been no systematic investigation of the individual
and combined effects of impaired glucose tolerance (IGT) and obesity on cognitive
function in the absence of ageing. The aims were to examine the effects of IGT
and increased waist circumference on cognitive function in ostensibly healthy
adults, and to investigate whether a low glycaemic load (GL) breakfast can
attenuate cognitive impairments in these populations. METHODS AND RESULTS: Sixty
five females aged 30-50 years were classified into one of four groups following
waist circumference (WC) measurements and an oral glucose tolerance test: NGT/low
WC (n = 25), NGT/high WC (n = 22), IGT/low WC (n = 9), IGT/high WC (n = 9).
Memory, psychomotor and executive functions were examined 30 and 120 min after
consuming low GL, high GL and water breakfasts according to a randomised,
crossover, counterbalanced design. IGT was associated with impairment of verbal
and spatial memory, and psychomotor function relative to females with NGT,
independent of waist circumference. Increased waist circumference was associated
with impairment of verbal memory and executive function relative to females with
low WC, independent of IGT. Consumption of the LGL breakfast attenuated verbal
memory impairment in the IGT/high WC group relative to the HGL breakfast and no
energy control. CONCLUSION: Increased central adiposity and abnormalities in
glucose tolerance preceding type 2 diabetes can have demonstrable negative
effects on cognitive function, even in ostensibly healthy, middle-aged females.
The potential for GL manipulations to modulate glycaemic response and cognitive
function in type 2 diabetes and obesity merits further investigation.
PMID- 24925125
TI - The impact of oral food challenge tests on food allergy health-related quality of
life.
AB - Food allergy health-related quality of life (FAQOL) has been shown to improve
after food challenge, but it is unknown whether this improvement is attributed to
the procedure itself. Using the Food Allergy Quality of Life Questionnaire-Parent
Form, we assessed FAQOL changes over time for children who underwent food
challenges in the only paediatric allergy clinic in Ireland. Of 54 children who
had a food challenge between September 2012 and February 2013, 25 were positive
(allergic) and 29 were negative (nonallergic). FAQOL improved significantly from
2 months prechallenge to 2 months postchallenge for both groups, but began to
decrease at 6 months postchallenge in allergic patients. Our findings confirm the
positive therapeutic effect of the food challenge on FAQOL; however, the effect
appears to wane between 2 and 6 months postchallenge in those confirmed food
allergic, highlighting the importance of regular contact with families of food
allergic children after the food challenge.
PMID- 24925126
TI - Clinical characteristics of rheumatoid arthritis patients undergoing cervical
spine surgery: an analysis of National Database of Rheumatic Diseases in Japan.
AB - BACKGROUND: The aim of this study was to examine the clinical characteristics of
rheumatoid arthritis (RA) patients who underwent cervical spine surgery using a
multicenter observational database. METHODS: We obtained data from a nationwide
observational cohort database of patients with rheumatic diseases (National
Database of Rheumatic Diseases by iR-net in Japan (NinJa)) for the fiscal years
2003 to 2011. A total of 39 out of 60 patients who underwent cervical spine
surgery for a RA-related cause and whose data were available for two consecutive
years (to assess the preoperative patient status) were chosen as cases. Patients
with a non-RA-related cause of surgery (e.g., trauma) were excluded. First, we
compared the patient characteristics between the cases and total patients in the
same fiscal year. Next, 106 eligible controls, who were defined as RA patients
enrolled in the same fiscal year as the case subjects, who were matched for age,
gender and disease duration (within +/-1 year), were selected. We compared the
demographic data between the two groups. We also calculated the percentage of
patients who underwent cervical spine surgery (surgeries/total number of
patients) in fiscal years 2003 to 2011. RESULTS: Although the proportion of
patients using biologics linearly increased during study period, the percentage
of patients undergoing cervical spine surgeries remained unchanged, at
approximately 0.15%. These cases had more tender joints (3 vs. 1, p < 0.01) and
exhibited a significantly higher Modified Health Assessment Questionnaire (MHAQ)
score (1.13 vs. 0.5, p < 0.01), C-reactive protein (CRP) (1.5 vs. 0.36, p <
0.01), and disease activity score (DAS) 28-CRP (3.63 vs. 2.81, p < 0.01) compared
to the controls. CONCLUSIONS: Our study revealed that RA patients requiring
cervical spine surgery have a higher disease activity (as represented by the
DAS28-CRP) and are more functionally disabled (as represented by the MHAQ) than
control patients.
PMID- 24925127
TI - A novel electrospun biphasic scaffold provides optimal three-dimensional
topography for in vitro co-culture of airway epithelial and fibroblast cells.
AB - Conventional airway in vitro models focus upon the function of individual
structural cells cultured in a two-dimensional monolayer, with limited three
dimensional (3D) models of the bronchial mucosa. Electrospinning offers an
attractive method to produce defined, porous 3D matrices for cell culture. To
investigate the effects of fibre diameter on airway epithelial and fibroblast
cell growth and functionality, we manipulated the concentration and deposition
rate of the non-degradable polymer polyethylene terephthalate to create fibres
with diameters ranging from nanometre to micrometre. The nanofibre scaffold
closely resembles the basement membrane of the bronchiole mucosal layer, and
epithelial cells cultured at the air-liquid interface on this scaffold showed
polarized differentiation. The microfibre scaffold mimics the porous sub-mucosal
layer of the airway into which lung fibroblast cells showed good penetration.
Using these defined electrospinning parameters we created a biphasic scaffold
with 3D topography tailored for optimal growth of both cell types. Epithelial and
fibroblast cells were co-cultured onto the apical nanofibre phase and the basal
microfibre phase respectively, with enhanced epithelial barrier formation
observed upon co-culture. This biphasic scaffold provides a novel 3D in vitro
platform optimized to mimic the different microenvironments the cells encounter
in vivo on which to investigate key airway structural cell interactions in airway
diseases such as asthma.
PMID- 24925128
TI - Quantification of left ventricular dyssynchrony in patients with systolic
dysfunction: a comparison of circumferential strain MR-tagging metrics.
AB - PURPOSE: To define which circumferential strain MR-tagging metrics of left
intraventricular dyssynchrony better identifies patients with systolic
dysfunction against control subjects. MATERIALS AND METHODS: One hundred fifty
subjects were studied: (i) controls with ejection fraction (EF) > 55% (n = 84),
(ii) patients with EF <= 55% not eligible for cardiac resynchronization therapy
(CRT) (n = 52), and (iii) patients eligible for CRT according to the ESC
guidelines (n = 14). Tagging cine MR-based circumferential filtered strain curves
were extracted. Six dyssynchrony indices were studied: standard deviation (SD) of
peak strain (SD_Ecc_ES), SD of time-to-peak (SD_TTP), strain delay index (LIM),
regional variance vector (RVV), circumferential uniformity ratio estimate (CURE),
and uniformity of strain TTP (US_TTP). RESULTS: All metrics show significant
differences between the three groups (ANOVA, P < 10(-4) ) and are correlated with
EF. Significantly higher AUC values of ROC curves between patients with normal
vs. decreased EF were obtained with SD_TTP (0.998) and CURE (0.995). Agreement
among different methods was fair to good (kappa 0.32 to 0.89). Interobserver
variability was best for CURE (1.2%) and US_TTP (0.8%) while more than 3-times
larger for other metrics. CONCLUSION: SD_TTP and CURE are the most discriminant
dyssynchrony metrics for systolic dysfunction. However, taking into account the
method's variability argues in favor of indices of uniformity of the strain, ie,
CURE and US_TTP.
PMID- 24925130
TI - High-accuracy identification of incident HIV-1 infections using a sequence
clustering based diversity measure.
AB - Accurate estimates of HIV-1 incidence are essential for monitoring epidemic
trends and evaluating intervention efforts. However, the long asymptomatic stage
of HIV-1 infection makes it difficult to effectively distinguish incident
infections from chronic ones. Current incidence assays based on serology or viral
sequence diversity are both still lacking in accuracy. In the present work, a
sequence clustering based diversity (SCBD) assay was devised by utilizing the
fact that viral sequences derived from each transmitted/founder (T/F) strain tend
to cluster together at early stage, and that only the intra-cluster diversity is
correlated with the time since HIV-1 infection. The dot-matrix pairwise alignment
was used to eliminate the disproportional impact of insertion/deletions (indels)
and recombination events, and so was the proportion of clusterable sequences (Pc)
as an index to identify late chronic infections with declined viral genetic
diversity. Tested on a dataset containing 398 incident and 163 chronic infection
cases collected from the Los Alamos HIV database (last modified 2/8/2012), our
SCBD method achieved 99.5% sensitivity and 98.8% specificity, with an overall
accuracy of 99.3%. Further analysis and evaluation also suggested its performance
was not affected by host factors such as the viral subtypes and transmission
routes. The SCBD method demonstrated the potential of sequencing based techniques
to become useful for identifying incident infections. Its use may be most
advantageous for settings with low to moderate incidence relative to available
resources. The online service is available at
http://www.bioinfo.tsinghua.edu.cn:8080/SCBD/index.jsp.
PMID- 24925129
TI - L-type calcium channel modulates cystic kidney phenotype.
AB - In polycystic kidney disease (PKD), abnormal proliferation and genomic
instability of renal epithelia have been associated with cyst formation and
kidney enlargement. We recently showed that L-type calcium channel (CaV1.2) is
localized to primary cilia of epithelial cells. Previous studies have also shown
that low intracellular calcium level was associated with the hyperproliferation
phenotype in the epithelial cells. However, the relationship between calcium
channel and cystic kidney phenotype is largely unknown. In this study, we
generated cells with somatic deficient Pkd1 or Pkd2 to examine ciliary CaV1.2
function via lentiviral knockdown or pharmacological verapamil inhibition.
Although inhibition of CaV1.2 expression or function did not change division and
growth patterns in wild-type epithelium, it led to hyperproliferation and
polyploidy in mutant cells. Lack of CaV1.2 in Pkd mutant cells also decreased the
intracellular calcium level. This contributed to a decrease in CaM kinase
activity, which played a significant role in regulating Akt and Erk signaling
pathways. Consistent with our in vitro results, CaV1.2 knockdown in zebrafish and
Pkd1 heterozygous mice facilitated the formation of kidney cysts. Larger cysts
were developed faster in Pkd1 heterozygous mice with CaV1.2 knockdown. Overall,
our findings emphasized the importance of CaV1.2 expression in kidneys with
somatic Pkd mutation. We further suggest that CaV1.2 could serve as a modifier
gene to cystic kidney phenotype.
PMID- 24925132
TI - Laboratory preparation of Varicella-Zoster Virus: concentration of virus
containing supernatant, use of a debris fraction and magnetofection for
consistent cell-free VZV infections.
AB - The research laboratory generation of free Varicella-Zoster Virus (VZV) from
cultured yields results relatively low titers, with the result that most study of
VZV infection utilizes cell-associated infection. However, important aspects of
VZV-cell interaction, such as the entry mechanism and superinfection exclusion
have not yet been studied in detail, in part due to the difficulty in obtaining a
high titer cell free virus. Here, a method to generate relatively high-titer cell
free VZV, based on a combination of previously published techniques and
subsequent concentration is described. VZV-infected cells are disrupted,
sonicated and clarified by centrifugation. The cell-free virus in the supernatant
is then concentrated to yield up to 10(5)PFU/ml. The cell debris pellet, which
contains up to 10(6)PFU/ml can also be used for non cell-associated infection.
Magnetic nanoparticles available commercially can be used to further enhance
infection by cell-free-VZV. The tools described here hold promise for better
understanding of important aspects of VZV-cell interactions such as entry and
latency.
PMID- 24925131
TI - Puberty-related changes in cortisol, dehydroepiandrosterone, and estradiol-17beta
secretions within the first hour after waking in premenarcheal girls.
AB - OBJECTIVE: The onset of menstruation is the hallmark of female pubertal
development. The present study determined whether pubertal girls experience
adrenocortical and ovarian steroid secretions within their first waking hour
before getting their period, similar to those observed in adult females with
regular cycles. METHODS: Cortisol, dehydroepiandrosterone (DHEA), and estradiol
17beta concentrations were measured in saliva samples collected after awakening
(0, 30, and 60 min after awakening) from 158 normal premenarcheal pubertal girls
and 69 adult females with regular menstrual cycles. The girls were subgrouped
according to self-reported Tanner breast (B) and pubic hair (PH) stages (B1PH1,
B2PH1, B2PH2, B3PH1, and B3PH2). RESULTS: All the subgroups showed a similar
pattern of cortisol secretion. However, cortisol levels were higher in girls at
B3PH1 and at B3PH2 than other subgroups. DHEA secretion showed a similar pattern
across the groups examined. The largest increase in DHEA levels occurred between
B1PH1 and B2PH1 stages, and further increased with pubertal progression. DHEA
levels in girls at B3PH2 were approximately one half of the adult value.
Estradiol-17beta profiles in girls at B3PH1 and B3PH2 differed from those of
other subgroups of girl. A sharp increase in estradiol-17beta levels after
awakening which observed in adult females emerged in girls at B3PH1 and B3PH2.
However, the estradiol-17beta levels did not reach adult values until B3PH2
stage. CONCLUSIONS: The progression of female puberty includes an increase in the
levels of adrenocortical and ovarian steroid secretions and a gain of adult
female-like patterns of estradiol-17beta secretion within their first waking
hour.
PMID- 24925133
TI - New method for the visual detection of human respiratory syncytial virus using
reverse transcription loop-mediated amplification.
AB - Human respiratory syncytial virus (HRSV) is a seasonal respiratory pathogen that
causes respiratory infection in children and the elderly. A new, reverse
transcriptase loop-mediated isothermal amplification (RT-LAMP) assay was
developed for the rapid (within 1h), simultaneous detection of A and B group
HRSV. Primers specific for groups A and B were designed to amplify the N and L
genes of HRSV, respectively. A fluorescent dye, calcein, was used as an indicator
for the endpoint visual detection and/or real-time amplification of HRSV RNA. The
detection limit of the new method was 281.17 50% tissue culture infective doses
(TCID50)/ml for HRSV A and 1.58 TCID50/ml for HRSV B. To evaluate the validity of
this method, a comparison with RT-PCR was performed using 77 nasopharyngeal swabs
as samples. Both RT-LAMP and RT-PCR detected HRSV in 38 HRSV samples, yielding a
positive rate of 49%. Of the RT-LAMP positive samples, 36 (95%) were also
positive by RT-PCR, while two were negative by RT-PCR. Among the 36 RT-LAMP and
RT-PCR positive samples, 11 belonged to HRSV group A, while 25 belonged to group
B. The results show that the new RT-LAMP is simple, rapid and well suited for
HRSV diagnosis, especially in a limited-resource setting.
PMID- 24925134
TI - Diabetes care may be improved with Steno Quality Assurance Tool--a self
assessment tool in diabetes management.
AB - To evaluate if improvements in the quality of diabetes care in Indian clinics can
be obtained by simple self-surveillance PC-based software. METHOD: Nineteen
Indian diabetes clinics were introduced to the principles of quality assurance
(QA), and to a software program, the Steno Quality Assurance Tool (SQAT). Data
was entered for an initial 3 months period. Subsequently data were analyzed by
the users, who designed plans to improve indicator status and set goals for the
upcoming period. A second data entry period followed after 7-9 months. RESULTS:
QA data was analyzed from 4487 T2DM patients (baseline) and 4440 (follow-up). The
average examination frequency per clinic of the following indicators increased
significantly: lipid examination (72-87%) (p=0.007), foot examination (80-94%)
(p=0.02), HbA1c investigation (59-77%) (p=0.006), and urine albumin excretion
investigation (72-87%) (p=0.006). Outcome parameters also improved significantly:
mean (SD) fasting and post prandial BG reduced from 144(16) to 132(16)mg/dl
(p=0.02) and 212(24)-195(29)mg/dl (p=0.03), respectively. Systolic BP reduced
from 139(6) to 133(4) (p=0.0008)mmHg and diastolic BP from 83(3) to 81(3)mmHg
(p=0.002). CONCLUSION: Quality of diabetes care can be improved by applying SQAT,
a QA self-surveillance software that enables documentation of changes in process
and outcome indicators.
PMID- 24925136
TI - Stability analysis for discrete-time switched systems with unstable subsystems by
a mode-dependent average dwell time approach.
AB - This paper mainly intends to present new stability results of a discrete-time
switched system with unstable subsystems. By adopting multiple Lyapunov
functions' (MLFs') method, new and less conservative stability conditions are
derived in terms of a set of numerical feasible linear matrix inequalities (LMIs)
with mode-dependent average dwell time (MDADT) techniques. Different from
previous literatures, unstable subsystems are considered under two situations in
this paper. It is shown that the discrete-time switched system can achieve
exponential stability under a slow switching scheme and even in the presence of
fast switching of unstable subsystems. Finally a numerical example is given to
demonstrate the effectiveness of the proposed method.
PMID- 24925135
TI - Screening strategies for thyroid disorders in the first and second trimester of
pregnancy in China.
AB - BACKGROUND: Thyroid dysfunction during pregnancy is associated with multiple
adverse outcomes, but whether all women should be screened for thyroid disorders
during pregnancy remains controversial. OBJECTIVE: To evaluate the effectiveness
of the targeted high risk case-finding approach for identifying women with
thyroid dysfunction during the first and second trimesters of pregnancy. METHODS:
Levels of thyroid stimulating hormone (TSH), free thyroxine (FT4), and thyroid
peroxidase antibodies (TPOAb) were measured in 3882 Chinese women during the
first and second trimester of pregnancy. All tested women were divided into the
high risk or non-high risk groups, based on their history, findings from physical
examination, or other clinical features suggestive of a thyroid disorder.
Diagnosis of thyroid disorders was made according to the standard trimester
specific reference intervals. The prevalence of thyroid disorders in each group
was determined, and the feasibility of a screening approach focusing exclusively
on high risk women was evaluated to estimate the ability of finding women with
thyroid dysfunction. RESULTS: The prevalence of overt hypothyroidism or
hyperthyroidism in the high risk group was higher than in the non-high risk group
during the first trimester (0.8% vs 0, chi2 = 7.10, p = 0.008; 1.6% vs 0.2%, chi2
= 7.02, p = 0.008, respectively). The prevalence of hypothyroxinemia or TPOAb
positivity was significantly higher in the high risk group than in the non-high
risk group during the second trimester (1.3% vs 0.5%, chi2 = 4.49, p = 0.034;
11.6% vs 8.4%, chi2 = 6.396, p = 0.011, respectively). The total prevalence of
hypothyroidism or hyperthyroidism and the prevalence of subclinical
hypothyroidism or hyperthyroidism were not statistically different between the
high risk and non-high risk groups, for either the first or second trimester.
CONCLUSION: The high risk screening strategy failed to detect the majority of
pregnant women with thyroid disorders. Therefore, we recommend universal
screening of sTSH, FT4, and TPOAb during the first trimester and second trimester
of pregnancy.
PMID- 24925137
TI - Amniotic fluid embolism induces uterine anaphylaxis and atony following cervical
laceration.
AB - Amniotic fluid embolism (AFE) is a rare, high-risk obstetric complication
primarily found in the lungs and potentially related to anaphylaxis. Tryptase
release from the mast cell reflects anaphylaxis. Case report and findings: A
female, aged over 40 years, presented with uterine atony and lethal hemorrhage
after induced vaginal labor. Cervical laceration was accompanied by severe
hemorrhage. Stromal edema and myometrial swelling were consistent with uterine
atony. Alcian blue staining and zinc coproporphyrin immunostaining disclosed AFE,
which was more prominent in the uterus than in the lungs. Tryptase immunostaining
was diffuse and prominent around the activated mast cells (halos) in the uterus,
including the cervix. Similar distribution of findings on the AFE markers,
tryptase halos, complement receptor C5aR, and atony in the uterus suggested the
causality of AFE to anaphylaxis, complement activation and atony. It is probable
that disseminated intravascular coagulation (DIC), induced by AFE, uterine atony
and cervical laceration, caused the lethal hemorrhage. It is likely that AFE, in
association with cervical laceration, induces uterine anaphylaxis, complement
activation, atony, DIC and lethal hemorrhage.
PMID- 24925138
TI - The endocrine society diabetes and pregnancy guidelines: a critical appraisal.
PMID- 24925140
TI - Immunometabolism: a beige immune response.
PMID- 24925139
TI - From empiricism to rational design: a personal perspective of the evolution of
vaccine development.
AB - Vaccination, which is the most effective medical intervention that has ever been
introduced, originated from the observation that individuals who survived a
plague or smallpox would not get the disease twice. To mimic the protective
effects of natural infection, Jenner - and later Pasteur - inoculated individuals
with attenuated or killed disease-causing agents. This empirical approach
inspired a century of vaccine development and the effective prophylaxis of many
infectious diseases. From the 1980s, several waves of new technologies have
enabled the development of novel vaccines that would not have been possible using
the empirical approach. The technological revolution in the field of vaccination
is now continuing, and it is delivering novel and safer vaccines. In this
Timeline article, we provide our views on the transition from empiricism to
rational vaccine design.
PMID- 24925141
TI - Morphology and ciliary motion of mucosa in the Eustachian tube of neonatal and
adult gerbils.
AB - The Eustachian tube is a small canal that connects the tympanic cavity with the
nasal part of the pharynx. The epithelial lining of the Eustachian tube contains
a ciliated columnar epithelium at the tympanic cavity and a pseudostratified,
ciliated columnar epithelium with goblet cells near the pharynx. The tube serves
to equalize air pressure across the eardrum and drains mucus away from the middle
ear into the nasopharynx. Blockage of the Eustachian tube is the most common
cause of all forms of otitis media, which is common in children. In the present
study, we examined the epithelial lining of the Eustachian tube in neonatal and
adult gerbils, with a focus on the morphological and functional development of
ciliated cells in the mucosa. The length of the tube is ~8.8 mm in adult gerbils.
Scanning electron microscopy showed that the mucosal member near the pharyngeal
side contains a higher density of ciliated cells and goblet cells than that near
the tympanic side. The cilia beat frequency is 11 Hz. During development, the
length of the Eustachian tube increased significantly between postnatal day 1
(P1) and P18. Scanning electron microscopy showed that the mucosa contained a
high density of ciliated cells with a few goblet cells at P1. The density of
ciliated cells decreased while the density of goblet cells increased during
development. At P18, the mucosa appeared to be adult-like. Interestingly, the
ciliary beat frequency measured from ciliated cells at P1 was not statistically
different from that measured from adult animals. Our study suggests that the
Eustachian tube undergoes significant anatomical and histological changes between
P1 and P18. The tube is morphologically and functionally mature at P18, when the
auditory function (sensitivity and frequency selectivity) is mature in this
species.
PMID- 24925142
TI - Knot Strength Varies Widely Among Expert Arthroscopists.
AB - BACKGROUND: While most surgeons can tie visually appealing knots under an
arthroscope, few surgeons have undergone an objective evaluation of their ability
to consistently tie knots with maximum loop and knot security.
PURPOSE/HYPOTHESES: The purpose of this study was to evaluate and compare
variations in ultimate load to failure, 3-mm displacement (clinical failure), and
knot stack height of arthroscopic suture knots tied by 73 independent expert
orthopaedic arthroscopists. The hypotheses were (1) that skilled arthroscopic
surgeons would be able to routinely tie arthroscopic knots of similar strength,
(2) that surgeons with <10 years of clinical practice would tie stronger and more
consistent knots, and (3) that surgeons who performed >200 arthroscopic shoulder
cases per year would produce stronger and more consistent knots than would
surgeons who performed fewer cases. STUDY DESIGN: Controlled laboratory study.
METHODS: Each surgeon tied 5 of the same type of their preferred arthroscopic
knot and half-hitch locking mechanism. Each knot was mechanically tested for
ultimate load to failure and clinical failure. RESULTS: For the 365 individual
knots tested, the mean ultimate load across each knot was 231 N (range, 29-360
N). The mean clinical failure load was 139 N (range, 16-328 N). The average knot
stack height among the 365 knots was 5.61 mm (range, 2.89-10.32 mm). For an
individual surgeon, the standard deviations of the 5 consecutive knots tied
ranged from 6 to 133 N. The ultimate load and clinical failure load for surgeons
with <10 years of practice (n = 39) were 248 +/- 93 N and 142 +/- 56 N,
respectively. The mean +/- SD ultimate and clinical failure loads for surgeons
with >10 years of practice (n = 34) were 211 +/- 111 N and 136 +/- 69 N,
respectively. When knot strength was used to measure performance, significant
differences existed in ultimate load (P = .001); however, there were no
differences in clinical failure load (P = .329). Surgeons with <10 years of
practice were able to tie knots more consistently than were surgeons in practice
for >10 years, for both ultimate load (P = .018) and clinical failure load (P =
.005). There was no significant difference based on number of cases performed
with respect to ultimate load or clinical failure load (P = .292 and .479,
respectively). There was no difference in consistency, as both groups had similar
standard deviations (P = .814 for ultimate load, P = .545 for clinical failure).
CONCLUSION: Considerable variations in knot strength exist between arthroscopic
knots tied by surgeons. Study findings revealed that surgeons were unable to tie
5 consecutive knots of the same type consistently; that for both ultimate load
and clinical failure load, surgeons with <10 years in practice were able to tie
knots more consistently than surgeons with >10 years; and that surgeons
performing >200 arthroscopic shoulder cases annually failed to tie stronger or
more consistent knots than their counterparts performing fewer cases. CLINICAL
RELEVANCE: This variation in knot tying has the potential to affect the integrity
of arthroscopic repairs. Independent objective testing of the ability to tie
secure knots as part of a surgeons' training may be necessary.
PMID- 24925143
TI - Factors influencing graft impingement on the wall of the intercondylar notch
after anatomic double-bundle anterior cruciate ligament reconstruction.
AB - BACKGROUND: Anatomic placement of the bone tunnel reportedly reduces impingement
of the graft with the intercondylar roof, but as a trade-off, the risk of
impingement with the lateral wall of the intercondylar notch would increase
instead in anatomic double-bundle anterior cruciate ligament (ACL)
reconstruction. PURPOSE: The 2 grafts for the anteromedial bundle (AMB) and
posterolateral bundle (PLB) were separately analyzed for the frequency of and
risk factors for graft impingement on the wall of the intercondylar notch. STUDY
DESIGN: Case control study; Level of evidence, 3. METHODS: A total of 51 patients
(53 knees) who underwent primary anatomic double-bundle ACL reconstruction were
enrolled. Based on the graft orientation plane reconstructed with 3-dimensional
imaging software, graft-wall impingement was defined as overlap between the
lateral wall of the notch and the line connecting each center of the intra
articular apertures of the femoral and tibial bone tunnels. The rate of wall
impingement was assessed for each bundle. Parameters for bone tunnel positioning
in the femur and tibia, notch width index, and knee joint rotation angle were
compared between patients with and without wall impingement. The most important
risk factors for wall impingement were assessed by logistic regression analysis.
RESULTS: Wall impingement for the AMB was observed in 22 knees (42%), whereas no
patients exhibited wall impingement for the PLB. Regarding femoral bone tunnel
positioning according to the quadrant method, the AMB bone tunnel was placed
significantly higher in impingement-positive patients than in impingement
negative patients (P = .03). Regarding tibial tunnel positioning, the tunnel was
placed significantly more anteriorly (P = .02) and laterally (P = .02) in the
impingement-positive group than in the impingement-negative group. Bone tunnels
positioned 48% to 50% from the medial border of the tibia demonstrated a 100%
incidence of wall impingement. Based on logistic regression analysis, lateral
deviation of the AMB tibial bone tunnel was significantly associated with wall
impingement (odds ratio, 1.403; P = .048). CONCLUSION: The tibial bone tunnel
position in the coronal orientation was most likely associated with wall
impingement. Considering that tibial bone tunnels are generally created with the
knee in 90 degrees of flexion and move laterally as the knee extends because of
screw-home movement, the AMB bone tunnel for the tibia should be positioned as
medially as possible within its footprint to minimize the risk of wall
impingement after anatomic double-bundle ACL reconstruction.
PMID- 24925144
TI - Pulmonary mucormycosis due to Lichtheimia ramosa in a patient with HIV infection.
AB - Mucormycosis is increasingly common in patients with risk factors such as
diabetes mellitus, neutropenia, and corticosteroid therapy. However, mucormycosis
seems to be less common in patients with human immunodeficiency virus (HIV)
infection compared to patients with other risk factors. Despite their lower
virulence, Lichtheimia species should be regarded as emerging pathogens among
Mucoralean fungi. We report a fatal case of pulmonary mucormycosis due to
Lichtheimia ramosa in a 52-year-old man with an end-stage HIV infection. He had a
cachectic appearance and his CD4 count was 8 cells/mm(3). The fungal infection
was diagnosed based on a positive sputum culture with histopathologic
confirmation. The fungus was resistant to caspofungin, anidulafungin, and
voriconazole [minimum inhibitory concentration (MCI) >32 ug/ml], whereas the E
test MIC values of itraconazole, posaconazole, and amphotericin B were 0.38,
0.38, and 0.5 ug/ml, respectively. Although intravenous drug use is the main risk
factor for the development of mucormycosis in HIV-infected patients, it may also
develop in patients with low CD4 count, opportunistic infections and/or
additional diseases, such as Kaposi's sarcoma or severe immunodeficiency, as in
our case.
PMID- 24925145
TI - Predictive value of CMR criteria for LV functional improvement in patients with
acute myocarditis.
AB - AIM: We assessed the value of cardiovascular magnetic resonance (CMR) criteria
('Lake Louise Criteria') for predicting left ventricular (LV) functional
improvement in patients with acute myocarditis. METHODS AND RESULTS: We studied
37 patients who referred for acute myocarditis during clinically acute
myocarditis and after a 12-month follow-up. CMR sequences sensitive for oedema,
hyperaemia, and irreversible injury were applied. Global and regional oedema were
defined using published quantitative signal intensity (SI) cut-off values (area
with an SI of >2 SD above visually normal myocardium). LV function was analysed
using six long-axis views, with an increase of at least 5% of left ventricular
ejection fraction considered as improvement. Out of a total of 37 patients, 29
met the CMR Lake Louise criteria (LL+) and eight did not (LL-). Baseline and 12
month ejection fraction (EF) were significantly lower in LL+ (53.2 +/- 8 vs. 62.2
+/- 5, P = 0.007 and 58.9 +/- 4 vs. 62.9 +/- 5, P = 0.045, respectively). At
follow-up, EF increased in LL+ but remained unchanged within normal limits in LL-
groups (delta EF: 5.7 +/- 9.8 vs. 0.7 +/- 2.0). The presence of global or
regional myocardial oedema was strongly associated with an increase of EF >=5%.
In a multivariate analysis, the presence of global and/or regional oedema on
admission was the only independent predictor of an increase of EF (P = 0.046).
CONCLUSION: In patients with clinically suspected acute myocarditis, the presence
of positive CMR criteria is associated with LV function recovery. Myocardial
oedema as defined by CMR was the strongest parameter, indicating that the
observed increase of EF may be due to the recovery of reversibly injured
(oedematous) myocardium.
PMID- 24925146
TI - Importance of visualization the myocardium at risk in myocardial infarction.
PMID- 24925147
TI - Prevalence of uninvestigated dyspepsia and gastroesophageal reflux disease in
Korea: a population-based study using the Rome III criteria.
AB - BACKGROUND/AIMS: There have been few population-based studies on the prevalences
of gastroesophageal reflux disease (GERD) and dyspepsia using Rome III criteria
in Asian countries. METHODS: A population-based, cross-sectional study was
conducted by telephone interviews of 5,000 Koreans between the ages of 20-69
years. Gastrointestinal symptoms were assessed by a translated Korean version of
Rome III criteria. Uninvestigated dyspepsia (UID) was defined by symptom criteria
of Rome III. GERD was defined by troublesome heartburn and/or acid regurgitation
occurring at least once a week. The EQ5D assessment tool was used for the
evaluation of quality of life. RESULTS: The prevalences of UID, postprandial
distress syndrome (PDS), and epigastric pain syndrome (EPS) were 7.7, 5.6, and
4.2 %, respectively. Overlap between PDS and EPS was found in 27.1 % (104/384) of
subjects with UID. There were no significant differences in demographic variables
between patients with PDS and EPS. The prevalence of GERD was 7.1 %. Overlap
between GERD and UID was found in 50.0 % of GERD patients. The EQ5D index of
patients without either UID or GERD was 0.92 +/- 0.07, and those of patients with
only UID, with only GERD, and with both UID and GERD were 0.88 +/- 0.09, 0.88 +/-
0.11, and 0.84 +/- 0.15, respectively. CONCLUSIONS: GERD and UID based on Rome
III criteria were prevalent and significantly affected the quality of life in
Korea. In Korean patients with UID, there was considerable overlap and there were
no significant differences in demographic variables between PDS and EPS.
PMID- 24925149
TI - An unusual cause of recurrent right lower quadrant pain.
PMID- 24925150
TI - GRG update: DDW 2014.
PMID- 24925148
TI - Advanced colorectal adenomas in patients under 45 years of age are mostly
sporadic.
AB - BACKGROUND: The presence of advanced adenomas in younger individuals is a
criterion for Lynch syndrome (LS). However, the utility of screening advanced
adenomas for loss of mismatch repair (MMR) protein expression to identify
suspected LS remains unclear. AIMS: Determine the prevalence of MMR defects to
understand whether these patients harbor a defined genetic risk for CRC. METHODS:
The study cohort included adult patients <=45 years of age with advanced adenomas
(villous histology, >=1 cm in diameter, >=3 polyps of any size) endoscopically
removed between 2001 and 2011. Clinical records were reviewed along with detailed
pathological review and immunohistochemical MMR analysis. RESULTS: A total of 76
(40.1 % male, age 40.6 +/- 5.4 years) patients met inclusion and exclusion
criteria. Indications for colonoscopy were gastrointestinal (GI) bleeding 39
(51.3 %), CRC in a first-degree relative 17 (22.4 %) and somatic GI symptoms 20
(26.3 %). Index colonoscopy revealed a median of 1 adenoma (range 1-4), mean
diameter of 12.9 +/- 7.1 mm, 40 (52.6 %) with villous histology. The mean follow
up duration was 3.3 +/- 2 years. Recurrent adenomas developed in 24 (31.6 %), of
which 8 (10.5 %) were advanced adenomas; none of these patients developed CRC.
One of 66 (1.5 %) adenomas available for immunohistochemical (IHC) testing
revealed loss of MLH1 and PMS2. CONCLUSIONS: IHC screening of advanced adenomas
from patients younger than 45 years of age identified potential LS in one of 64
patients. The low yield of IHC screening in this population suggests that
universal IHC screening of advanced adenomas from patients younger than 45 years
of age for MMR defects is not an efficient strategy for identifying LS subjects.
PMID- 24925151
TI - Target cell extraction coupled with LC-MS/MS analysis for screening potential
bioactive components in Ginkgo biloba extract with preventive effect against
diabetic nephropathy.
AB - A rapid and useful approach for screening potential bioactive components in
Ginkgo biloba extract (GBE) with preventive effect against diabetic nephropathy
(DN) was developed using mesangial cells extraction coupled with high-performance
liquid chromatography tandem mass spectrometry (LC-MS/MS) analysis. Mesangial
cells were first divided into two groups according to their treatments with high
glucose or high glucose plus GBE. After incubation for 4, 8, 12, 16, 24 and 48 h,
the cells were harvested and extracted with 40% acetic acid in water before LC
MS/MS analysis. Then, 19 compounds and five metabolites were found to selectively
combine with mesangial cells. Notably, compounds including quercetin and rutin
were identified or tentatively characterized according to the results of
retention time and MS spectra, which is highly consistent with our previous
reports that quercetin and rutin are potent protective agents against
glomerulosclerosis in DN. Therefore, all these results indicate that target cell
extraction coupled with LC-MS/MS analysis can be successfully applied for
predicting the bioactive components in GBE with preventive effect against DN.
PMID- 24925152
TI - Genetics of common malformations.
AB - Advanced technology has recently allowed us to study rare Mendelian disorders in
an unprecedented manner. The same technology should allow us also to study more
common malformations. Many of these are not caused by a variant in a single
Mendelian gene but by interplay between series of genetic variants and exogenous
influences. Likely the site from which the DNA is derived is of great importance
in studying malformations as mosaicism may be much more common than earlier
anticipated. Factors other than simple variants in our genomic DNA should be
considered in the studies as well. Not only is recognition of someone's liability
to disease important, but also determining exogenous factors involved in
malformations should receive more attention as it may allow us decrease the
burden of malformations in humans.
PMID- 24925154
TI - The development of differences in the feeding behaviour of bottle and breast fed
human infants from birth to two months.
AB - An entire feeding session is videotaped at monthly intervals starting shortly
after birth for a group of bottle and breast-fed infants. Mothers keep daily
diaries of infants' activity and meal patterns. Several differences are apparent
in the form of feeding sequence which appear characteristic of the technique.
Bottle feeds are more mother-controlled in that breaks in the feed sequence are
almost entirely mother initiated whereas with breast-fed infants the baby is more
in control. Over the first 2 months differences appear in the size of meals with
breast-fed infants showing a marked diurnal rhythm which is absent in the bottle
fed infant. These findings are discussed in relation to problems of obesity and
of health education.
PMID- 24925153
TI - Advances in the management of low-grade gliomas.
AB - Low-grade gliomas (LGGs) represent the most common childhood brain tumors and are
a histologically heterogenous group of tumors. Most LGGs are surgically
resectable with excellent 10-year overall survival outcomes of more than 90 %
with surgery alone. Tumors not amenable to surgical resection and those with an
aggressive biology are more challenging to treat. Conventional radiotherapy is a
more efficacious method of long-term tumor control than chemotherapy. However,
radiation is associated with significant cognitive, endocrine, and
cerebrovascular late effects, making chemotherapy an often-preferred modality
over radiotherapy, especially in younger children. Multiple chemotherapy regimens
have been evaluated over the past few decades with comparable survival outcomes
and differing toxicity profiles. Newer regimens containing antiangiogenic agents
also show promise. Recent molecular studies have implicated the BRAF oncogene, a
key regulator of the MAPK pathway, and the AKT/mTOR pathway in pediatric LGG
tumorigenesis. This has opened up promising new avenues for targeted therapy,
with many agents currently under investigation.
PMID- 24925155
TI - Parturition in the rat (Rattus norvegicus): Normative aspects and the temporal
patterning of behaviours.
AB - The purpose of this paper was to present a quantitative analysis of parturition
in the laboratory rat. In addition, the effects of parity were also investigated.
Gestational length was 22-23 days (day sperm found was Day 1) in 95% of the
pregnancies. The average length of parturition was 97.3 min. The mean litter size
was 10.84 pups and the average weight of each pup at birth was 6.49g. The effects
of parity were limited to changes in two parturitional behaviours: Mount and
Lordosis Contraction. These changes may reflect the conditioning of the uterus in
response to a prior pregnancy. Pub births and placental expulsions did not occur
at equidistant points throughout parturition. Following the birth of the first
pup there was a characteristic lull in births. Indeed, almost two-thirds of the
births occurred during the second half of parturition. The expulsion of placentas
followed a similar time course though displaced to the right, reflecting the fact
that placentas are expelled at some point following the birth of a pup. The main
thrust of this paper was the temporal sequencing of parturitional behaviours. The
21 behaviours clustered into five phases which were defined in terms of their
peak occurrence during parturition. The first phase - the Initiation Phase - was
consonant with the birth of the first pup. During parturition, the female spent
much of her time licking the first born, grooming her head, and sniffing in
response to the novelty of the birth fluids. The second phase - the Contraction
Phase - was marked by a lul in the pup births. During this phase the predominant
contraction pattern shifted from Lordosis, the type of contraction seen prior to
the birth of the first pup, to the transitional type (Intermediate) and finally
to the Vertical Contraction. It was the Vertical Contraction which was closely
associated with the birth of pups. The third phase - the Birth-Oriented Phase -
included the second half of parturition, when the majority of the litter was
born. The behaviours exhibited during this phase were intricately involved with
pup births per se. The female spent much time grooming and pulling at the
anogenital region, eating placentas, and grooming herself to clean the copious
quantities of birth fluids. The fourth phase - the Termination Phase - was marked
by the birth of the last pup in the litter. Sniff Pup reached its highest levels
during this phase. The fifth phase - the Nursing Phase - began after all the pups
had been born, cleaned, stimulated, and clustered. The female then became
quiescent over the young and nursing was initiated. A sixth cluster of behaviours
exhibited low levels of occurrence and the absence of temporal patterning. Thus,
parturition in the rat is characterized by an orderly progression of behaviours
through the parturitional period. In addition, several naturally occurring
behaviours such as Groom Dorsal and Eat-Drink are maintained at very low levels
throughout the delivery.
PMID- 24925156
TI - The response of willow grouse chicks to auditory stimuli 3. Recognition of the
incubating hen's voice.
AB - Willow grouse chicks were removed from the nests of captive hens, either at
hatching or less than 24 hours later. They were tested for their ability to
discriminate, and preference for, the voice of the hen which had incubated them,
and the voice of another hen grouse. The chicks removed at hatching approached
and tried to nestle under the loudspeaker playing the calls of their own hens.
The chicks which had remained with their hens and been brooded by them were
indifferent to the recording of their voices, but tended to avoid the voice of
the unknown hen.
PMID- 24925157
TI - The effect of size and hormonal state on the establishment of dominance in young
males of Tilapia mariae (Pisces: Cichlidae).
AB - Pairs of young males of Tilapia mariae were allowed to establish dominance in an
aquarium large enough for one territory only. The fishes differed in size and/or
in the androgenic hormonal state, which was measured by the size of the male
genital papilla. The fish with a larger papilla won most of the encounters.
Overall size was decisive for winning only if the genital papillae sizes of the
contestants were equal, or if the differences between the genital papillae were
small, but there was a large overall size difference. It is concluded that in
these encounters dominance is assessed mostly by asymmetries in pay-offs (state
of reproductive readiness) and not by asymmetries in resource holding potentials
(size).
PMID- 24925158
TI - The responses of ventral cord neurons of Decticus verrucivorus (L) to sound and
vibration stimuli.
AB - The real time analysis of the song of D. verrucivorus recorded in the sun and
shade shows that changes occur predominantly in the time parameters and not in
the frequency content. Single unit recordings in the ventral nerve cord of D.
verrucivorus show that all the acoustic units respond to both sound and
vibration. However, on the basis of their response characteristics they may be
classified as vibration (V), vibration and sound (VS) and sound (S) neurons. The
responses of some of the units depend upon the degree of habituation. Single
parameter processing was not observed; the characteristic frequencies of these
units range across the whole of the frequency band investigated, and distinct
intensity response fields were observed. Some of the V neurons were more
sensitive than the receptors, and some units responded well to the species song
when both sound and vibration were presented simultaneously. The source of the
vibratory input is shown to be predominantly from the ipsilateral foreleg. Many
of the units run together in an 'acoustic bundle'; some run through fibres,
passing from the posterior thoracic ganglia to the cervical connectives. In many
cases the primary fibres projecting to these central units can be predicted from
their response characteristics. An hypothesis of the mechanisms underlying
conspecific song recognition at the ventral cord level is presented.
PMID- 24925159
TI - Behaviour of the Indian desert gerbil, Meriones hurrianae (Jerdon), during
recovery from food and water deprivation.
AB - The responses of Meriones hurrianae deprived of food and food-cum-water were
found to be similar in respect of time spent in feeding, exploration and drinking
whereas water- deprived gerbils fed for a significantly (P < 0.01) shorter time.
However, they explored twice (P < 0.01) as long as gerbils in the other two
conditions of deprivation. These observations indicate that deprivation of water
increases exploratory behaviour among M. hurrianae but food, and food-cum-water
deprivation do not seem to influence it. The behaviour of M. hurrianae, when
deprived of water, mostly alternated between exploration and drinking whereas
feeding was the major behavioural component of the gerbils deprived of food, and
food-cum-water. It appears that feeding behaviour among M. hurrianae is of a
higher order than drinking behaviour since the animals depend chiefly on the
available water content of the food in their natural invironment - the desert.
PMID- 24925165
TI - Impact of probing the reproductive tract during early pregnancy on fertility of
beef cows.
AB - This short communication reports the impact of endometrial biopsies, uterine
flushings and follicular fluid aspiration procedures at day 6 post artificial
insemination (AI) on pregnancy rates. In Experiment 1, cows were timed AI (TAI)
and assigned to the following treatment groups: control (n = 37), uterine
flushing (n = 35) and endometrial biopsy (n = 38). On day 30 post AI, pregnancy
rates were 40.5%, 33% and 28.5%, respectively (p > 0.1). Pregnancy rate on day 60
was lower (p < 0.004) in flushed cows than in the controls. In Experiment 2,
oestrus was detected and cows were assigned to flushing (n = 32) or biopsy (n =
33) treatments 6 days after AI, which resulted in pregnancy rates of 31% and 36%,
respectively (p > 0.1). In Experiment 3, cows were, 6 days after TAI, randomly
assigned to the following treatments: control (n = 84) or aspiration of the
largest follicle (n = 73). Pregnancy rates on day 30 post AI were 63.5% for the
control group and 53% for the aspirated group (p > 0.1). In conclusion, uterine
flushing and endometrial biopsy negatively affect pregnancy rates, but neither
procedure can be considered to be incompatible with pregnancy maintenance.
Follicular aspiration during pregnancy does not interact with pregnancy success.
The amount and quality of samples obtained are compatible with the use of
cellular and molecular analysis of uterine variables from cows that failed or
succeeded on maintaining pregnancy.
PMID- 24925166
TI - Direct synthesis of Fe3 C-functionalized graphene by high temperature autoclave
pyrolysis for oxygen reduction.
AB - We present a novel approach to direct fabrication of few-layer graphene sheets
with encapsulated Fe3 C nanoparticles from pyrolysis of volatile non-graphitic
precursors without any substrate. This one-step autoclave approach is facile and
potentially scalable for production. Tested as an electrocatalyst, the graphene
based composite exhibited excellent catalytic activity towards the oxygen
reduction reaction in alkaline solution with an onset potential of ca. 1.05 V
(vs. the reversible hydrogen electrode) and a half-wave potential of 0.83 V,
which is comparable to the commercial Pt/C catalyst.
PMID- 24925167
TI - Role of emergency ureteroscopy in the management of ureteric stones: analysis of
394 cases.
AB - OBJECTIVE: To analyse the outcomes of emergency ureteroscopy (URS) cases
performed in Auckland City Hospital. METHODS: We conducted a retrospective review
of all emergency URS procedures performed at Auckland City Hospital between 1
January 2010 and 31 December 2011. Data on patients, stones and procedures were
collected and analysed. Emergency URS failure was defined as fragments >3 mm or
the need for a repeat procedure. RESULTS: A total of 499 URS procedures were
identified. Of these 394 (79%) were emergency procedures. The mean (sd; range)
patient age was 48 (16; 13-88) years. In all, 83% of emergency URS cases had an
American Society of Anesthesiologists (ASA) score of 1 or 2, 25% of stones were
>9 mm, with a mean (sd) size of 8 (4) mm, and 285 procedures (72%) were
successful. These patients were younger (47 vs 51 years), were more likely to
have an ASA score of 1 (103 patients in the successful treatment group vs 26 in
the failed treatment group), had smaller stones (7 vs 9 mm) and were more likely
to have distal stones (P < 0.05). A total of 20 complications (5%) were recorded
including six false passages and three mucosal injuries, one of which required
radiological intervention, and 50 patients (13%) re-presented, for pain (76%),
bleeding (10%) or infection (14%). CONCLUSION: We showed that emergency URS is a
feasible approach for the routine management of acute ureteric colic with a low
complications rate. A subgroup of younger, healthier patients may benefit the
most from the procedure.
PMID- 24925168
TI - Hypertriglyceridemia and pancreatitis in a patient with apolipoprotein E7
(p.[E244K; E245K])/E4.
AB - BACKGROUND: The etiology of hypertriglyceridemia is complex and one of the common
variants in affecting plasma lipid levels is apolipoprotein (apo) E isoform.
Scores of apo E variants have been reported, including apo E7. However, a
clinical lipid phenotype of apo E7 has not been fully elucidated. METHODS: A 48
year-old Japanese male had hypertriglyceridemia and a history of repeated
episodes of acute pancreatitis. The measurement of serum apolipoproteins and apo
E phenotyping, and the sequencing analyses of several genes regulating
triglyceride metabolism were performed in the patient. RESULTS: The apo E
phenotype of the patient was E7/E4. Apo E7 had the same point mutations p.[E244K;
E245K] in APOE as reported previously. In addition, he had APOA5 haplotypes
associated with hypertriglyceridemia. Laboratory examinations excluded deficiency
of apolipoproteins, lipoprotein lipase, and GPI-HBP1 in this patient.
CONCLUSIONS: This is, to our knowledge, the first report of severe
hypertriglyceridemia and acute pancreatitis in a patient with apo E7.
PMID- 24925169
TI - Advances in anti-epileptic drug testing.
AB - In the past twenty-one years, 17 new antiepileptic drugs have been approved for
use in the United States and/or Europe. These drugs are clobazam, ezogabine
(retigabine), eslicarbazepine acetate, felbamate, gabapentin, lacosamide,
lamotrigine, levetiracetam, oxcarbazepine, perampanel, pregabalin, rufinamide,
stiripentol, tiagabine, topiramate, vigabatrin and zonisamide. Therapeutic drug
monitoring is often used in the clinical dosing of the newer anti-epileptic
drugs. The drugs with the best justifications for drug monitoring are
lamotrigine, levetiracetam, oxcarbazepine, stiripentol, and zonisamide.
Perampanel, stiripentol and tiagabine are strongly bound to serum proteins and
are candidates for monitoring of the free drug fractions. Alternative specimens
for therapeutic drug monitoring are saliva and dried blood spots. Therapeutic
drug monitoring of the new antiepileptic drugs is discussed here for managing
patients with epilepsy.
PMID- 24925170
TI - The keyboard instruments.
AB - Now that the field of performing arts medicine has been in existence for over
three decades, we are approaching a key point: we should start to see more
articles that bring together the data that have been collected from several
studies in order to draw more robust conclusions. Review articles and their more
structured relative, the meta-analysis, can help to improve our understanding of
a particular topic, comparing and synthesizing the results of previous research
that has been done on that subject area. One way this could be done would be to
review the research that has been carried out on the performance-related problems
associated with playing a particular instrument or group of instruments. While I
am not going to do that myself, I hope that others will. In this editorial, I
will do a very selective review of the playing-related musculoskeletal disorders
(PRMDs) associated with one instrument group (the keyboard instruments), focusing
on the most played instrument in that group (the piano;).
PMID- 24925171
TI - Benefits of music training are widespread and lifelong: a bibliographic review of
their non-musical effects.
AB - Recent publications indicate that musical training has effects on non-musical
activities, some of which are lifelong. This study reviews recent publications
collected from the Performing Arts Medicine Association bibliography. Music
training, whether instrumental or vocal, produces beneficial and long-lasting
changes in brain anatomy and function. Anatomic changes occur in brain areas
devoted to hearing, speech, hand movements, and coordination between both sides
of the brain. Functional benefits include improved sound processing and motor
skills, especially in the upper extremities. Training benefits extend beyond
music skills, resulting in higher IQs and school grades, greater specialized
sensory and auditory memory/recall, better language memory and processing,
heightened bilateral hand motor functioning, and improved integration and
synchronization of sensory and motor functions. These changes last long after
music training ends and can minimize or prevent age-related loss of brain cells
and some mental functions. Early institution of music training and prolonged
duration of training both appear to contribute to these positive changes.
PMID- 24925172
TI - Musculoskeletal pain among Polish music school students.
AB - OBJECTIVE: To assess the prevalence and intensity of musculoskeletal pain and to
estimate probability of developing playing-related musculoskeletal disorders,
depending on risk factors, including gender, years of playing the musical
instrument, frequency of practice (number of days per week), average daily
practice time, and habitual physical activity level, in young instrumentalists.
METHODS: A total of 225 instrumentalists aged 10-18 years, including 107 string
players, 64 keyboardists, and 54 wind-players, were investigated. The Nordic
Musculoskeletal Questionnaire (NMQ) together with a numerical visual-analogue
pain intensity scale (VAS) was used to assess the participants' musculoskeletal
pain. RESULTS: The young instrumentalists most often complained of pain located
in the neck (60.4%), wrists (44.4%), and upper (41.7%) and lower back (38.2%)
areas. Girls complained of musculoskeletal pain significantly more often than the
boys. A probability of the pain symptoms was increased with each consecutive year
of practice (OR 1.135; 95%CI 1.021-1.261). CONCLUSIONS: Musculoskeletal pain in
various body parts had already commenced at a young age in our sample of music
students, and there was a gender difference (girls were more often affected).
Results of our study suggest that an early prophylaxis of playing-related
musculoskeletal disorders is needed among young musicians playing the various
instruments.
PMID- 24925173
TI - Knee injury patterns in young irish dancers.
AB - OBJECTIVE: To characterize knee injury patterns in Irish dancers. METHODS: A
retrospective chart review was performed for Irish dancers under age 19 who
presented with knee injuries to the sports medicine or orthopedic clinic from
January 1, 2000 to December 31, 2010. Data were collected on all knee injuries
partially or directly related to Irish dance. Injury was defined as dance-related
pain or damage to the structures in the knee that resulted in evaluation in the
clinic. Survey data were collected to determine the number of different
schools/studios represented by the dancers in the study. RESULTS: Sixty-seven
Irish dancers with 86 knee injuries were evaluated. Half (50.7%) of these
patients received more than one diagnosis during these visits. Overuse injuries
accounted for 90.7% of knee injuries. Time to presentation ranged from less than
1 week to over 1 year. There was a significant difference in time to
presentation, with traumatic injuries being evaluated sooner than overuse
injuries. The most common diagnoses, accounting for 53.5% of injuries, were
patellar tracking disorders, including patellofemoral syndrome, hypermobile
patella, and patellar subluxation. CONCLUSION: In Irish dance overuse injuries
represent the great majority of knee injuries, and patellofemoral tracking
disorders are the most common diagnosis. For many dancers, there is often a delay
of weeks to months between the onset of symptoms and evaluation in clinic.
Prevention programs could potentially eliminate a large portion of knee pain
experienced by young Irish dancers.
PMID- 24925174
TI - Playing-related musculoskeletal disorders among icelandic music students:
differences between students playing classical vs rhythmic music.
AB - Most research studies investigating the prevalence of musculoskeletal disorders
affecting musicians and music students have focused on classical music, while
less is known about their prevalence in other music genres. The purpose of this
study was to document cumulative and point prevalence of playing-related
musculoskeletal disorders (PRMD) among music students in Iceland and,
specifically, to identify differences between those studying classical vs
rhythmic music. We hypothesized that students of classical music would report
more frequent and more severe musculoskeletal disorders than students involved in
rhythmic music, as classical instruments and composition typically require more
demanding, sustained postures during practice and performance. A total of 74
students from two classical music schools (schools A and B) and 1 rhythmic school
(school C) participated in the study by answering a questionnaire assessing
PRMDs. The results showed that 62% of participants had, at some point in their
musical career, suffered a PRMD. The cumulative prevalence was highest in music
school A (71.4%) and lowest in music school C (38.9%). A statistically
significant difference was identified between the cumulative prevalence of PRMD
from schools A and B combined compared to music school C (p=0.019). Over 40% of
participants reported a "current PRMD," and a significant difference was
identified between the three schools (p=0.011), with the highest point prevalence
being registered in music school A (66.6%) and the lowest in music school C
(22.2%). The prevalence of PRMDs among Icelandic music students was high. The
difference found between students who play classical vs rhythmic music may be
explained by different demands of the instruments and composition on playing
posture.
PMID- 24925175
TI - Playing-Related Problems among Musicians of the Orquesta Buena Vista Social
Club(r) and Supporting Bands.
AB - BACKGROUND: The Orquesta Buena Vista Social Club(r) is a world-renowned group of
Cuban musicians accomplished in a variety of musical styles. The musicians of the
Orquesta Buena Vista Social Club and supporting musicians of their orchestras
represent a cohort of musicians throughout Cuba who continue to play traditional
genres and perform into their older ages. PURPOSE: The purpose of the study was
to (1) identify musculoskeletal conditions that occurred over the previous 12
months among the members of the Orquesta and supporting musicians and (2) to
discover if these conditions, in part, were caused by or in some way affected
musical performance. METHODS: The study was a convenience sample of musicians
within the Orquesta Buena Vista Social Club and supporting musical groups. Thirty
six musicians completed a self-administered survey. RESULTS: Sixty-seven percent
(24/36) of the total sample of musicians and 89% (16/18) of those over age 60
years had at least one musculoskeletal condition over the previous 12 months.
Forty-four percent (16/36) of the total sample of musicians and 61% (11/18) of
those older than 60 years of age reported that a musculoskeletal complaint was
either, in part, caused by or affected their performance. CONCLUSION:
Musculoskeletal conditions were prevalent among the Cuban musicians, especially
in those over 60 years of age. Collaboration of medical professionals, managers,
and musicians may help to generate ideas on how to prevent injuries as well as to
evaluate what treatments for playing-related conditions, including both
conventional and complementary and alternative therapies, are most effective.
PMID- 24925176
TI - Comparing violinists' body movements while standing, sitting, and in sitting
orientations to the right or left of a music stand.
AB - OBJECTIVES: For violinists, it is common practice to play while standing or
sitting. When playing in an orchestra, generally two persons share one music
stand, with one sitting to the right and the other to the left of the stand. The
present study investigated specific movement patterns while playing in these
different positions. METHODS: Using a posturographic device and 3D motion
capture, the body weight distributions and specific body movements of 19
violinists were analyzed. RESULTS: Results showed unbalanced weight distributions
while sitting in front of or oriented to the right of the music stand compared to
the almost even distributions while standing or oriented to the left of the
stand. Analyses of movement patterns showed significant differences between
standing and sitting, mainly in the upper body parts with less movability while
sitting. While sitting in front of or oriented to the right of the music stand,
the right bowing arm showed more restricted movements compared to other
positions. CONCLUSION: The playing positions are discussed with regard to
possible physiological stress and health risks. The study emphasizes the
importance of different playing positions and offers starting points for
discussion of postural influences and sensible handling of the instrument in
performance and practice for violinists.
PMID- 24925177
TI - Injury and the orchestral environment: part II. Organisational culture,
behavioural norms, and attitudes to injury.
AB - The organisational culture, behavioural norms, and attitudes of a workplace have
a profound influence on levels of injury and illness amongst its workers. While
this is well established in Work Health and Safety literature, very little
research has attempted to understand the influence of organisational culture on
injury risk in the orchestral profession. To address this, the current study
aimed to investigate the influence of organisational culture on injury outcomes
for orchestral musicians. Using a qualitative case study methodology, in-depth
semi-structured interviews were undertaken with 10 professional orchestral
cellists (2 freelance and 8 fulltime members) from a single Australian orchestra.
After initial data analysis, further interviews were undertaken with a set of 5
orchestral management staff as a means of data triangulation. All data were
analysed using a themes-based "analysis of narrative" approach. The findings
indicate that an orchestral culture exists in which musicians see injury as a
sign of weakness, failure, and poor musicianship. Such negative perceptions of
injury influence musicians to play through considerable levels of pain and
continue performing with injuries. Because of perceived judgment from the
orchestral group, musicians were found to conceal injuries from colleagues and
management staff. Freelance musicians felt that disclosing injuries may lead to
decreased work opportunities, and both full-time and casual musicians felt that
"opening up" about injury may subject them to group judgment about their
technique or musicianship. The study suggests education measures which may be
effective at influencing individual behaviours and attitudes as well as cultural
change initiatives which could lead to long-term positive health outcomes in the
orchestral workplace.
PMID- 24925178
TI - Fit to dance survey: a comparison with dancesport injuries.
AB - The Fit to Dance survey has been conducted twice previously, in 1993 and 2002,
without dancesport participants. The purpose of this present online survey was to
supplement a comparison of dancesport against the earlier results. The current
study had a greater percentage of male respondents than previous studies (43% vs
24% and 26%). The dancesport participants were older (28% at 40+ yrs vs 3% and
1%) and more likely to have normal (69% vs 57%) to overweight BMI (18% vs 2%).
Dancesport participants spent more time in various non-dancing conditioning
activities than previous surveys (5.2 +/- 3.9 hrs SD vs 1.9 +/- 2.5 and 2.2 +/-
2.7). Muscles and joints were the most common type of injury in all the surveys.
The knee was the top injury site in this survey, with lower back in previous
surveys. The main perceived cause of injury was repetitive movements, whereas
fatigue and overwork were cited in the previous surveys. Physiotherapists were
the most common type of medical professionals from whom the dancers sought
treatment for their injuries in all surveys. The first survey included
recommendations that the present survey results agree with, including: dancers
should be physically fit, dancers should warm up and cool down, dancers should
never have to work in unsuitable environments, and dancers should receive
immediate high-quality treatment for injuries.
PMID- 24925179
TI - Physical activity helps to control music performance anxiety.
AB - We evaluated if regular physical activity could influence musical performance
anxiety (MPA) in college music students. Levels of MPA, as measured with the
Kenny MPA Inventory, and a survey about the physical activity habits were
obtained from 87 students of music. The results showed that physically active
musicians had lower MPA scores (p<0.05) than non-active ones, independent of
gender. We conclude that there is an association between physical activity and
minor MPA, and studies with a longitudinal design should be done to explore this
important issue.
PMID- 24925180
TI - Mental problems among first-year conservatory students compared with medical
students.
AB - Musical education and the musical profession can be stressful, which may make
musicians vulnerable for stress-related disorders. To determine if music students
are particularly at risk for mental problems, we used the Standardised Assessment
of Personality-Abbreviated Scale (SAPAS) and the Symptom Questionnaire (SQ48S) to
compare symptoms in first-year conservatory students (n=33) and first-year
medical students (n=43). On the SAPAS, we found that medical students have
significantly more difficulty making and keeping friends (p=0.015). Also, we
observed a trend that conservatory students lose their temper more easily
(p=0.040). Both student groups showed high scores for the personality trait
"perfectionism." On the SQ48, we observed a trend that both conservatory and
medical students experience more psychological problems than the general
population, but there were no significant differences between conservatory
students and medical students in the total scores of both questionnaires.
PMID- 24925181
TI - "Suffering twice": the gender politics of cesarean sections in Taiwan.
AB - Women's pursuit of medical interventions in childbirth has been a challenging
issue in feminist and medical anthropological research on the medicalization of
reproduction. This article addresses the gender politics surrounding maternal
requests for cesarean sections in Taiwan. Since the 1990s, Taiwanese cesarean
rates have been reported as among the highest in the world. That is not the case
now, yet they are still perceived as such, and the current rate of 37% is indeed
high by any standards. The government and public discourses attribute the high
cesarean rate to women's demand for this intervention. However, my ethnographic
research indicates that the Taiwanese hospital birthing system leads to the
prevalence of cesareans, and that women's requests for them constitute strategic
responses to the system and its existing high cesarean rates. Using women's
attempt to avoid "suffering twice" as an example, I argue that maternal requests
for cesareans often lie at the intersection between their restricted control over
childbirth and their agency within the medical system.
PMID- 24925182
TI - Roles for root iron plaque in sequestration and uptake of heavy metals and
metalloids in aquatic and wetland plants.
AB - Toxic metal(loid) contamination of soil and sediment poses long term risk to soil
and human health through plant-human or plant-animal-human food chain pathways.
Iron plaque (IP) formation is frequent in aquatic and wetland plant species and
is responsible for the sequestration of various metal(loids). The presence of IP
may act as a buffer or barrier and may thus enhance or reduce the uptake of
potentially phytotoxic metals and metalloids by plants. If IP acts as a barrier,
then low IP producing macrophytes/aquatic plants may be better accumulators of
toxic metals and may find use in constructed wetlands for remediation of
pollutants, while high IP forming edible plant species could be safer for human
consumption. Conversely, if IP acts as a buffer for mineral nutrients and toxic
elements then those cultivars may be rich in nutrients, but may also cause
toxicity. However, an ecotoxicological risk is also inevitable if IP rich
macrophyte roots containing heavy metals are consumed by herbivores. In this
review, we summarize the current understanding about the role of IP in metal and
metalloid sequestration, uptake, and transport. Furthermore, we will address the
role of root IP in Oryza sativa for arsenic (As) sequestration leading to lower
grain As translocation, reducing the risk of human exposure.
PMID- 24925184
TI - A radiotelemetry system for analyzing heart rate responses during playback
experiments in blackbirds (Turdus merula ).
AB - In acoustical stimulus-response tests on European blackbirds (Turdus merula ) in
cages and an outdoor aviary, alteration in heart rate (HR) was used to measure
reaction strenght. HR was measured by radiotelemetry. The miniature transmitters
newly developed for this task had to fulfill the following requirements:
Simultaneous recordings of HR in several interacting animals; uninterrupted
transmission of HR signals, sufficient range and battery life combined with low
weight and easy handling properties. The miniature transmitters successfully used
in this experiment had a quartz-stabilized oscillator. They weighed between 4.1
and 5.2 g and had a range of 3 m and a lifetime of 72 hrs (circuit diagram,
Fig.1). The transmitted signal corresponded to a unitary impulse representing the
S-wave of the ECG (Fig. 4b). Implanted electrodes were used to record ECG
potentials. The transmitter was carried by the birds like a small rucksack tied
to their backs. Electrode implantation and transmitter installation are described
in detail. HR signals stored on audio tape were later transformed to frequency
curves on a chart recorder (Fig. 3, 4a). Typical HR response curves are shown
(Fig. 5). Statistical analysis of the data was performed on a DEC-PDP-11 computer
using a special set of programs. The system has been successfully used to provide
answers to experimental questions not previously obtainable with classical
methods.
PMID- 24925183
TI - Institutional volume of heart transplantation with left ventricular assist device
explantation influences graft survival.
AB - BACKGROUND: There are increasing numbers of patients undergoing orthotopic heart
transplantation (OHT) with left ventricular assist device (LVAD) explantation
(LVAD explant-OHT). We hypothesized that LVAD explant-OHT is a more challenging
surgical procedure compared to OHT without LVAD explantation and that
institutional LVAD explant-OHT procedural volume would be associated with post
transplant graft survival. We sought to assess the impact of institutional volume
of LVAD explant-OHT on post-transplant graft survival. METHODS: This is a
retrospective analysis of the Scientific Registry of Transplant Recipients for
adult OHTs with long-term LVAD explantation. LVAD explant-OHT volume was
characterized on the basis of the center's year-specific total OHT volume
(OHTvol) and year-specific LVAD explant-OHT volume quartile (LVADvolQ). The
effect of LVADvolQ on graft survival (death or re-transplantation) was analyzed.
RESULTS: From 2004 to 2011, 2,681 patients underwent OHT with LVAD explantation
(740 with HeartMate XVE, 1,877 with HeartMate II and 64 with HeartWare devices).
LVAD explant-OHT at centers falling in the lowest LVADvolQ was associated with
reduced post-transplant graft survival (p = 0.022). After adjusting for
annualized OHTvol (HR = 0.998, 95% CI 0.993 to 1.003, p = 0.515 and pulsatile XVE
(HR = 0.842, 95% CI 0.688 to 1.032, p = 0.098), multivariate analysis confirmed a
significantly (approximately 37%) increased risk of post-transplant graft failure
among explant-OHT procedures occurring at centers in the lowest volume quartile
(HR = 1.371, 95% CI 1.030 to 1.825, p = 0.030). CONCLUSION: Graft survival is
decreased when performed at centers falling in the lowest quartile of LVAD
explant-OHT for a given year. This volume-survival relationship should be
considered in the context of limited donor organ availability and the rapidly
growing number of LVAD centers.
PMID- 24925185
TI - Delaying reinforcement in an autoshaping task generates adjunctive and
superstitious behaviors.
AB - Rats were autoshaped to touch a lever upon its insertion into an operant chamber
on a 45 s random time schedule. Occurrence of a reinforced touch on each of 12
lever insertions per session and nose-pokes at the retracted lever were
monitored, as was exploratory rearing activity. Delays of 2, 4 or 8 s interposed
between the retraction of the lever, which occurred either after 15 s or after a
touch response, and delivery of the food pellet reward, resulted in progressively
slower acquisition of the extended lever touch response. However, if rats had
already acquired the response under immediate reinforcement conditions, the
delays subsequently introduced did not cause a decline in autoshaped touch
responding. Nose-pokes at the retracted lever occurred during both intertrial and
reinforcement delay intervals over the course of autoshaping. The appearance and
frequency of these (adjunctive or superstitious) behaviors depended upon the
reinforcement delay and behavioral history. These interval behaviors offer
measurements of learning not based on arbitrary criteria; they thus provide
information about effects on endpoints, or a more global approach to learning.
PMID- 24925186
TI - Temporal responses to environmental scale in the lizard Anolis carolinensis
(reptila, lacertilia, iguanidae).
AB - An influence of spatial scale on temporal processing has been described in humans
(De Long, 1981). The hypothesis that a similar relationship exists in reptiles
was tested by placing twelve lizards in volumetrically constant but large-scale
or small-scale "home" environments and alternately exposing them to large and
small scale novel environments in a counterbalanced design. Behavioral measures
included latencies and frequencies for four types of behavior associated with
behavioral arousal and exploration and for duration of behavioral states. Results
indicate (1) behavioral latencies are significantly reduced in small-scale novel
environments and (2) as predicted, the ratio of latencies in large-scale divided
by small-scale novel environments is essentially identical to the ratio of the
scales of the environments themselves. Linear regression analyses relating
latencies to the ratio yield results remarkably similar to those previously
reported for temporal experience and spatial scale in human subjects. This
research suggests that an experiential temporal-spatial relativity may be
phylogenetically primitive.
PMID- 24925187
TI - The aggressive behaviour between territorial Pseudocrenilabrus multicolor
(pisces, cichlidae) with unlimited or only visual contact.
AB - The development of aggression between territorial fish has mainly been studied in
laboratory experiments using only visual contact. In this study, comparisons of
the aggressive behaviour were made between conditions of unlimited and only
visual contact. Fighting between two territorial cichlids in direct contact
decreased over time in a way similar to the aggressive activity between subjects
permitted only visual contact. However, there were also important differences
between the two conditions of contact. Low-intensity aggression with a distance
between the subjects occurred frequently in free interaction but was generally
not observed under conditions of visual contact. The presence of non-territorial
fish increased the aggression between subjects with visual contact but conversely
had no influence on fighting and decreased low-intensity aggression between
freely interacting subjects. In addition, the low level of aggression after some
time of unlimited contact was not transferred to a subsequent period of visual
contact. The results indicate that the decrease of aggression under conditions of
visual contact is caused by habituation, whereas in a free interaction a balance
is also developed between attack and escape tendencies.
PMID- 24925188
TI - The aggressive behaviour between territorial Astatotilapia burtoni (pisces,
cichlidae) with unlimited or only visual contact emphasizing low-intensity
aggression during free interaction.
AB - The relationship between territorial Astatotilapia burtoni was studied under
conditions of both unlimited and only visual contact. The aggressive activity
between territorial fish coming into visual contact remained at a high level
during the seven days of observation. Territorial fish permitted unlimited
contact showed, on the other hand, a marked decreased intensity of aggression
during the first day of contact. Results from experiments using visual contact
are therefore not necessarily relevant for a free contact situation. A detailed
examination of low-intensity aggression indicated that a dynamic equilibrium
between attack and escape tendencies, as regulated by distance to the territorial
border, controlled aggression between freely interacting fish. Low-intensity
aggression seldom occurred between fish separated by a glass partition and a
similar equilibrium did not seem to develop in this situation. The difference
between the findings on A. burtoni and results from similar experiments on
another cichlid fish Pseudocrenilabrus multicolor could be explained by
differences in the relative importance of two factors in the regulation of
aggression; (1) a balance between attack and escape tendencies and (2) a decrease
of the attack tendency (habituation) below a critical threshold value.
PMID- 24925189
TI - Time budgets and behavioural synchronization in aggregated and isolated male and
female mice.
AB - Albino mice (Mus musculus ), initially housed in single sex groups and,
subsequently, in social isolation, were used in this study to analyze the
influence of social companions on the amount and on the distribution throughout
the day of several behaviours. An observational recording technique was employed
to record behaviour during the dark phase of the day. Behavioural sex differences
in grouped mice were observed in four out of six behavioural categories. Females
generally proved to be more active than males. Furthermore, prolonged social
housing conditions resulted in an increase in male social interactions, in
concomitance with changes in their time budgets. Conversely, females showed a
decrease over time in social interactions with only slight effects on their time
budget. Isolation seemed to increase slightly both male and female activity
levels. Subjects housed together generally showed significantly similar Rest,
Feed and General Activity patterns throughout the dark phase of the day. By
contrast, the averaged patterns of different groups generally proved to be
dissimilar in grouped females, but not in grouped males. When isolated, mice
showed a distribution of their activities throughout the day different from what
they had displayed under social conditions. These data indicate that social
environment has an effect on the individual activity profiles which results in a
definite synchronization within female groups and in a tendency towards
desynchronization within male groups.
PMID- 24925190
TI - Distribution and timing of distant metastasis after local therapy in a large
cohort of patients with esophageal and esophagogastric junction cancer.
AB - BACKGROUND: Patients with localized esophageal and esophagogastric junction
cancer (EAC) receive chemoradiation and then surgery (trimodality, TMT) or
definitive chemoradiation (bimodality, BMT). Distant metastases (DMs) are common
but the details of their distribution and timing in a large cohort have not been
described. METHODS: 629 patients with localized EAC who had TMT or BMT were
analyzed. Standard statistical methods were used to define the end points.
RESULTS: The median follow-up time was 37.2 months (interquartile range 17.8
65.0). Of 356 TMT patients, 33% (119) developed DM as their first relapse and of
273 BMT patients, 40% (109) developed DM; 91% (TMT) and 96% (BMT) of the DMs were
diagnosed within 2 years of local therapy. The most common sites of DM were:
lung, distant nodes, liver, peritoneal cavity, bone, brain and pleura in order of
frequency. The median overall survival of TMT patients with DM was 10.2 months
(95% CI 7.8-12.7) and that for BMT patients with DM was 7.8 months (95% CI 5.7
9.9). CONCLUSIONS: Following TMT or BMT, >=33% of patients developed DMs and most
of these occurred within 2 years (>90%) of local therapy. A clinical model is
desirable that associates clinical parameters with a high risk for DM in TMT
eligible patients prior to surgery.
PMID- 24925191
TI - Developing a short version of the test anxiety scale for baccalaureate nursing
skills test--a preliminary study.
AB - AIM: In this study, a brief and rapid skills test anxiety scale was developed to
measure nursing students' anxiety before their first skills assessment test, and
the measurement results were assessed using factor analysis. METHODS: A survey
questionnaire design was used to gather cross-sectional data for the scale. The
study subjects comprised 250 first-year bachelors of nursing students who
completed a test anxiety survey before taking their first nursing skills test.
This questionnaire evaluated first-year baccalaureate students' anxiety
associated with applying nursing skills. RESULTS: The results indicated that
students were most anxious about the attitudes of the teachers proctoring the
tests (94.6%), followed by the test atmosphere (92.2%). These 2 items were
determined to be conducive to high anxiety and were statistically correlated.
Exploratory analysis was used to extract 2 common factors, nonspecific
information and test atmosphere. Meanwhile, factor loadings were 19.45% and
50.41%, respectively. CONCLUSION: This study evaluated the validity and
reliability of the proposed scale. The evidence-based results presented in this
investigation provide teachers with a crucial reference for helping students
reduce their anxiety before their first skills assessment test.
PMID- 24925193
TI - Doubt in the psychoanalysis of a paedophile.
AB - In this single case study, I will address the question of how incestuous sexual
abuse causes doubt of three distinct types: (1) honest doubt that is essential to
the pursuit of knowledge; (2) inherent doubt that can result from a trauma that
leaves the victim's mind fragmented and confused; and (3) the sadistic imposition
of doubt intended to deceive, disorient and attack unbearable knowledge in the
victim or another person familiar with the abuse (in this case, the analyst and
the victim's later victims). During the course of my psychoanalysis of a
paedophile, it became clear that this third form of doubt played an integral role
in the patient's sexual abuse of children, a role I will link to the nature and
function of paedophilia and to the experience of working psychoanalytically with
a paedophile.
PMID- 24925192
TI - Elevated gene expression of glutamate receptors in noradrenergic neurons from the
locus coeruleus in major depression.
AB - Glutamate receptors are promising drug targets for the treatment of urgent
suicide ideation and chronic major depressive disorder (MDD) that may lead to
suicide completion. Antagonists of glutamatergic NMDA receptors reduce depressive
symptoms faster than traditional antidepressants, with beneficial effects
occurring within hours. Glutamate is the prominent excitatory input to the
noradrenergic locus coeruleus (LC). The LC is activated by stress in part through
this glutamatergic input. Evidence has accrued demonstrating that the LC may be
overactive in MDD, while treatment with traditional antidepressants reduces LC
activity. Pathological alterations of both glutamatergic and noradrenergic
systems have been observed in depressive disorders, raising the prospect that
disrupted glutamate-norepinephrine interactions may be a central component to
depression and suicide pathobiology. This study examined the gene expression
levels of glutamate receptors in post-mortem noradrenergic LC neurons from
subjects with MDD (most died by suicide) and matched psychiatrically normal
controls. Gene expression levels of glutamate receptors or receptor subunits were
measured in LC neurons collected by laser capture microdissection. MDD subjects
exhibited significantly higher expression levels of the NMDA receptor subunit
genes, GRIN2B and GRIN2C, and the metabotropic receptor genes, GRM4 and GRM5, in
LC neurons. Gene expression levels of these receptors in pyramidal neurons from
prefrontal cortex (BA10) did not reveal abnormalities in MDD. These findings
implicate disrupted glutamatergic-noradrenergic interactions at the level of the
stress-sensitive LC in MDD and suicide, and provide a theoretical mechanism by
which glutamate antagonists may exert rapid antidepressant effects.
PMID- 24925194
TI - Embracing team-based oncology care.
PMID- 24925195
TI - Successful imatinib therapy for neuroendocrine carcinoma with activating Kit
mutation: a case study.
AB - Neuroendocrine tumors (NET) and gastrointestinal stromal tumors (GIST) are
believed to originate from the cells of Cajal that are randomly dispersed along
the aerodigestive tract. Despite their distinct morphologic appearance, NET and
GIST may share oncogenic mechanisms. Often presenting in the metastatic setting,
treatment options for patients with NET are limited. This case report presents a
patient with refractory metastatic NET that did not respond conventional
chemotherapy. The patient was treated with a KIF11 inhibitor in a phase I
clinical trial and experienced a prolonged and clinically meaningful partial
response. On progression at 20 months, the patient's tumor was sequenced to
reveal a KIT exon 11 mutation. Institution of imatinib therapy achieved a rapid
and sustained antitumor effect with profound clinical benefit. Despite previously
reported KIT expression in NET, this is the first documented case of an
activating KIT mutation in NET and of successful treatment with both a KIF11
inhibitor and imatinib, each of which was elucidated through molecular profiling
of the patient's tumor. Imatinib may be a valuable therapy in NET harboring
activating KIT mutations.
PMID- 24925196
TI - Gastrointestinal stromal tumors, version 2.2014.
AB - Gastrointestinal stromal tumors (GIST) are the most common soft tissue sarcoma of
the gastrointestinal tract, resulting most commonly from KIT or platelet-derived
growth factor receptor alpha (PDGFRalpha)-activating mutations. These NCCN
Guideline Insights highlight the important updates to the NCCN Guidelines for
Soft Tissue Sarcoma specific to the management of patients with GIST experiencing
disease progression while on imatinib and/or sunitinib.
PMID- 24925197
TI - Dermatofibrosarcoma protuberans, version 1.2014.
AB - Dermatofibrosarcoma protuberans (DFSP) is an uncommon soft tissue tumor
characterized by a relatively high risk of local recurrence and low risk of
metastasis. The NCCN Guidelines for DFSP provide multidisciplinary
recommendations on the management of patients with this rare disease. These NCCN
Guidelines Insights highlight the addition of the Principles of Pathology
section, which provides recommendations on the pathologic assessment of DFSP.
Because DFSP can mimic other lesions, immunohistochemical studies are often
required to establish diagnosis. Cytogenetic testing for the characteristic
translocation t(17;22)(q22;q13) can also be valuable in the differential
diagnosis of DFSP with other histologically similar tumors.
PMID- 24925199
TI - Optimal first-line and maintenance treatments for advanced-stage nonsquamous non
small cell lung cancer.
AB - During the past 10 years, the treatment of advanced-stage non-small cell lung
cancer (NSCLC) has become increasingly complex, and debate continues regarding
the optimal chemotherapeutic agents and duration of treatment. The addition of
bevacizumab to platinum doublet chemotherapy, the use of pemetrexed for
nonsquamous histology, and the introduction of maintenance chemotherapy are
strategies that have been shown to improve overall survival beyond 12 months.
Many acceptable treatment options are recommended in the NCCN Clinical Practice
Guidelines in Oncology for NSCLC. This article discusses the first-line treatment
of NSCLC with no identifiable mutations with FDA-approved targeted therapies for
patients treated outside a clinical trial, particularly focusing on difficult
clinical decisions, such as when the use of bevacizumab is appropriate, choosing
a platinum partner, and treatment of patients with an ECOG performance status of
2. Data are summarized from several recent maintenance clinical trials, such as
PARAMOUNT, AVAPERL, and PointBreak, and the implications these trials have on
practical decisions oncologists must make when choosing an optimal treatment
strategy for patients with advanced NSCLC are discussed.
PMID- 24925198
TI - Survivorship: fatigue, version 1.2014.
AB - Many cancer survivors report that fatigue is a disruptive symptom even after
treatment ends. Persistent cancer-related fatigue affects quality of life,
because individuals become too tired to fully participate in the roles and
activities that make life meaningful. Identification and management of fatigue
remains an unmet need for many cancer survivors. This section of the NCCN
Guidelines for Survivorship provides screening, evaluation, and management
recommendations for fatigue in survivors. Management includes education and
counseling, physical activity, psychosocial interventions, and pharmacologic
treatments.
PMID- 24925200
TI - Insights into preferences for psycho-oncology services among women with
gynecologic cancer following distress screening.
AB - Much attention has been given to implementing routine screening programs in
cancer care to improve the management of distress following diagnosis. Although
patients might screen positive for distress, several studies have found that most
then refuse additional psychosocial support. To inform the development of
successful models of distress screening, this qualitative study explored
preferences for psychosocial care among 18 women diagnosed with a gynecologic
cancer who scored at least 4 on the Distress Thermometer (DT). Participants were
recruited from a gynecologic oncology outpatient clinic in Newcastle, Australia,
and interviewed. Unanimously, participants felt that completing the DT was an
integral part of their cancer care. However, half then refused the referral to
see a psychologist. These women typically reported that a referral was not
needed, because their rating on the DT reflected transient stressors or physical
distress. Many also spoke about their need to cope with the challenges they were
facing on their own and the extensive social support they already had in place to
help them overcome these challenges. In contrast, women who accepted referral to
the psychologist often struggled to cope with several losses they felt had
existential and long-term effects. Commonly, these women reported not having the
social support they needed, managing several concurrent life stressors, and/or
not having the repertoire of coping skills they required to "remain afloat."
Findings from this study begin to bridge the gap between clinicians' and
patients' expectations of how psychosocial services should be used in response to
distress screening.
PMID- 24925201
TI - False-positive elevations of carcinoembryonic antigen in patients with a history
of resected colorectal cancer.
AB - Routine monitoring of carcinoembryonic antigen (CEA) levels is standard in
patients with resected colorectal cancer (CRC). The incidence of false-positives
and the upper limits of false-positive elevations have not been previously well
characterized. A search of medical records at Memorial Sloan-Kettering Cancer
Center identified 728 patients who underwent an R0 resection of locoregional CRC
between January 2003 and December 2012 and who had an increase in CEA level above
the normal range after a normal perioperative CEA level. Of these, 358 had a
false-positive elevation of CEA level, 335 had a true-positive elevation
indicative of recurrent CRC, and 35 had a true-positive elevation indicative of
the development of a new, non-CRC malignancy. Of those with false elevations, 111
had a single isolated CEA level elevation (median highest CEA level of 5.5 ng/mL)
with no further abnormal measurements, whereas 247 had elevations on 2 or more
readings, with a median highest level of 6.7 ng/mL. Of these 247 patients with
confirmed false-positive CEA level elevations, only 5 (2%) had measurements
greater than 15 ng/mL, and no confirmed elevation greater than 35 ng/mL was a
false-positive. False-positive CEA test results in the range of 5 to 15 ng/mL are
common. Confirmation of CEA elevation in this range before initiating imaging
studies may be appropriate. False-positive results greater than 15 ng/mL are
rare, and all confirmed CEA levels greater than 35 ng/mL were associated with
cancer recurrence.
PMID- 24925202
TI - Non-Hodgkin's lymphomas, version 2.2014.
AB - Non-Hodgkin's lymphomas (NHLs) are a heterogeneous group of lymphoproliferative
disorders originating in B lymphocytes, T lymphocytes, or natural killer cells.
Follicular lymphoma (FL) is the most common subtype of indolent NHL, accounting
for approximately 22% of all newly diagnosed cases of NHL. The incorporation of
rituximab to chemotherapy regimens has become a widely accepted standard of care
for first-line therapy for patients with FL. Maintenance and consolidation
therapy with rituximab and radioimmunotherapy have also been associated with
improved progression-free survival in patients experiencing response to first
line therapy. Despite therapeutic advances that have improved outcomes, FL is
generally considered a chronic disease characterized by multiple recurrences with
current therapies. This manuscript discusses the recommendations outlined in the
NCCN Guidelines for the diagnosis and management of patients with FL.
PMID- 24925203
TI - TheAlliance for Quality Psychosocial Cancer Care: an innovative model for
disseminating and improving implementation of national quality care
recommendations.
PMID- 24925205
TI - Lack of association between serotonin transporter 5-HTT gene polymorphism and
endometriosis in an Italian patient population.
AB - BACKGROUND: The aim of this study was to determine whether the serotonin
transporter gene (5-HTT), a key component in the control of the serotonergic
system, is associated with endometriosis in an Italian population. FINDINGS: A
case-control study, comprising 137 Italian patients with surgically confirmed
endometriosis and 120 healthy controls, was carried out. 5-HTT genotypes (LL, SL
and SS) were obtained by polymerase chain reaction and gel electrophoresis
analysis. We found no overall difference in genotypic and allelic distributions
of the 5-HTT gene between cases and controls. CONCLUSIONS: Our results suggest
that the 5-HTT L/S promoter polymorphism is not associated with susceptibility to
endometriosis in the studied Italian patients.
PMID- 24925206
TI - Effects of vector backbone and pseudotype on lentiviral vector-mediated gene
transfer: studies in infant ADA-deficient mice and rhesus monkeys.
AB - Systemic delivery of a lentiviral vector carrying a therapeutic gene represents a
new treatment for monogenic disease. Previously, we have shown that transfer of
the adenosine deaminase (ADA) cDNA in vivo rescues the lethal phenotype and
reconstitutes immune function in ADA-deficient mice. In order to translate this
approach to ADA-deficient severe combined immune deficiency patients, neonatal
ADA-deficient mice and newborn rhesus monkeys were treated with species-matched
and mismatched vectors and pseudotypes. We compared gene delivery by the HIV-1
based vector to murine gamma-retroviral vectors pseudotyped with vesicular
stomatitis virus-glycoprotein or murine retroviral envelopes in ADA-deficient
mice. The vesicular stomatitis virus-glycoprotein pseudotyped lentiviral vectors
had the highest titer and resulted in the highest vector copy number in multiple
tissues, particularly liver and lung. In monkeys, HIV-1 or simian
immunodeficiency virus vectors resulted in similar biodistribution in most
tissues including bone marrow, spleen, liver, and lung. Simian immunodeficiency
virus pseudotyped with the gibbon ape leukemia virus envelope produced 10- to 30
fold lower titers than the vesicular stomatitis virus-glycoprotein pseudotype,
but had a similar tissue biodistribution and similar copy number in blood cells.
The relative copy numbers achieved in mice and monkeys were similar when adjusted
to the administered dose per kg. These results suggest that this approach can be
scaled-up to clinical levels for treatment of ADA-deficient severe combined
immune deficiency subjects with suboptimal hematopoietic stem cell
transplantation options.
PMID- 24925207
TI - Gene transfer properties and structural modeling of human stem cell-derived AAV.
AB - Adeno-associated virus (AAV) vectors are proving to be remarkably successful for
in vivo gene delivery. Based upon reports of abundant AAV in the human marrow, we
tested CD34(+) hematopoietic stem cells for the presence of natural AAV. Here, we
report for the first time, the presence of novel AAV variants in healthy CD34(+)
human peripheral blood stem cells. The majority of healthy peripheral blood stem
cell donors were found to harbor AAV in their CD34(+) cells. Every AAV isolated
from CD34(+) cells mapped to AAV Clade F. Gene transfer vectors derived from
these novel AAVs efficiently underwent entry and postentry processing in human
cord blood stem cells and supported stable gene transfer into long-term, in vivo
engrafting human HSCs significantly better than other serotypes. AAVHSC
transduced human CD34(+) cells engrafted in vivo and gave rise to differentiated
transgene-expressing progeny. Importantly, gene-marked CD34(+) stem cells
persisted long term in xenograft recipients, indicating transduction of primitive
progenitors. Notably, correlation of structure with function permitted
identification of potential capsid components important for HSC transduction.
Thus, AAVHSCs represent a new class of genetic vectors for the manipulation of
HSC genomes.
PMID- 24925208
TI - Validity and reliability of a novel immunosuppressive adverse effects scoring
system in renal transplant recipients.
AB - BACKGROUND: After renal transplantation, many patients experience adverse effects
from maintenance immunosuppressive drugs. When these adverse effects occur,
patient adherence with immunosuppression may be reduced and impact allograft
survival. If these adverse effects could be prospectively monitored in an
objective manner and possibly prevented, adherence to immunosuppressive regimens
could be optimized and allograft survival improved. Prospective, standardized
clinical approaches to assess immunosuppressive adverse effects by health care
providers are limited. Therefore, we developed and evaluated the application,
reliability and validity of a novel adverse effects scoring system in renal
transplant recipients receiving calcineurin inhibitor (cyclosporine or
tacrolimus) and mycophenolic acid based immunosuppressive therapy. METHODS: The
scoring system included 18 non-renal adverse effects organized into
gastrointestinal, central nervous system and aesthetic domains developed by a
multidisciplinary physician group. Nephrologists employed this standardized
adverse effect evaluation in stable renal transplant patients using physical
exam, review of systems, recent laboratory results, and medication adherence
assessment during a clinic visit. Stable renal transplant recipients in two
clinical studies were evaluated and received immunosuppressive regimens comprised
of either cyclosporine or tacrolimus with mycophenolic acid. Face, content, and
construct validity were assessed to document these adverse effect evaluations.
Inter-rater reliability was determined using the Kappa statistic and intra-class
correlation. RESULTS: A total of 58 renal transplant recipients were assessed
using the adverse effects scoring system confirming face validity. Nephrologists
(subject matter experts) rated the 18 adverse effects as: 3.1 +/- 0.75 out of 4
(maximum) regarding clinical importance to verify content validity. The adverse
effects scoring system distinguished 1.75-fold increased gastrointestinal adverse
effects (p=0.008) in renal transplant recipients receiving tacrolimus and
mycophenolic acid compared to the cyclosporine regimen. This finding demonstrated
construct validity. Intra-class correlation was 0.81 (95% confidence interval:
0.65-0.90) and Kappa statistic of 0.68 +/- 0.25 for all 18 adverse effects and
verified substantial inter-rater reliability. CONCLUSIONS: This immunosuppressive
adverse effects scoring system in stable renal transplant recipients was
evaluated and substantiated face, content and construct validity with inter-rater
reliability. The scoring system may facilitate prospective, standardized clinical
monitoring of immunosuppressive adverse drug effects in stable renal transplant
recipients and improve medication adherence.
PMID- 24925209
TI - Functional p53 can modulate the relationship between E2F-1 expression and tumor
kinetics in Hodgkin lymphoma.
AB - E2F-1 is the best-described member of the E2F family of transcriptional factors
and is particularly interesting in view of its often opposing roles. Our purpose
was to examine the immunohistochemical expression of E2F-1 in Hodgkin lymphoma
(HL) and to correlate it with proliferation and apoptosis of the tumor,
clinicopathological parameters and patient outcome, as well as with expression of
the downstream molecules p53 and p21. The median percentage of E2F-1-expressing
Hodgkin Reed-Sternberg (HRS) cells was 80.2%. A significant positive correlation
was found between expression of E2F-1 and p53 (p = 0.034). Following
stratification of our cases, within the group harboring functional p53, a
statistically significant inverse correlation was identified between E2F-1 and
Topo IIa (p = 0.019). E2F-1 is up-regulated in the context of HL and its
expression is inversely associated with proliferation. It seems that functional
p53 can modulate the relationship between E2F-1 expression and tumor kinetics in
HL.
PMID- 24925210
TI - Cereblon expression predicts clinical response in chronic lymphocytic leukemia
treated with a thalidomide/fludarabine regimen.
PMID- 24925212
TI - A "PET" topic in primary mediastinal large B-cell lymphoma: positive or negative,
and how to handle it in the end.
PMID- 24925211
TI - Ofatumumab and bendamustine in previously treated chronic lymphocytic leukemia
and small lymphocytic lymphoma.
AB - Despite initial responses > 90% with fludarabine and rituximab-based regimens,
patients with chronic lymphocytic leukemia (CLL) invariably relapse and require
further treatment. Ofatumumab and bendamustine have each shown efficacy in
relapsed/refractory CLL with overall response rates (ORRs) of 58% and 76%,
respectively. Given excellent data with bendamustine and rituximab in
relapsed/refractory CLL/small lymphocytic lymphoma (SLL), this phase II study
evaluated the combination of ofatumumab and bendamustine in previously treated
patients. Patients received ofatumumab 300 mg intravenously (IV) day - 7,
followed by ofatumumab 1000 mg IV day 1 and bendamustine 70 mg/m(2) days 1 and 2
of each 28-day cycle. Patients received 4-6 cycles depending on number of prior
therapies, as long as well-tolerated or until progression. Of 10 patients
enrolled, the ORR was 40% and complete response rate was 20%. The median
progression-free and overall survivals were 8.1 months and 16.2 months. Three
patients developed Richter transformation. The study was closed early due to
unexpected adverse events including infusion-related reactions, infection and
neurotoxicity.
PMID- 24925213
TI - Direct alignment and patterning of silver nanowires by electrohydrodynamic jet
printing.
AB - Highly aligned and patterned silver nanowires (Ag NWs) are investigated by using
electrohydrodynamic (EHD) jet printing. Interaction between the flow field and
the electric field as well as the mechanical stretching of the fiber jet can
successfully align the Ag NWs inside the jet fiber. This technique can be applied
in fabricating 1D nanostructures-based printed micro/nanoscale devices.
PMID- 24925215
TI - Enhancement of mitochondrial ATP production by the Escherichia coli cytotoxic
necrotizing factor 1.
AB - Mitochondria are dynamic organelles that constantly change shape and structure in
response to different stimuli and metabolic demands of the cell. The Escherichia
coli protein toxin cytotoxic necrotizing factor 1 (CNF1) has recently been
reported to influence mitochondrial activity in a mouse model of Rett syndrome
and to increase ATP content in the brain tissue of an Alzheimer's disease mouse
model. In the present work, the ability of CNF1 to influence mitochondrial
activity was investigated in IEC-6 normal intestinal crypt cells. In these cells,
the toxin was able to induce an increase in cellular ATP content, probably due to
an increment of the mitochondrial electron transport chain. In addition, the CNF1
induced Rho GTPase activity also caused changes in the mitochondrial architecture
that mainly consisted in the formation of a complex network of elongated
mitochondria. The involvement of the cAMP-dependent protein kinase A signaling
pathway was postulated. Our results demonstrate that CNF1 positively affects
mitochondria by bursting their energetic function and modifying their morphology.
PMID- 24925217
TI - Differentiating intraparenchymal hemorrhage from contrast extravasation on post
procedural noncontrast CT scan in acute ischemic stroke patients undergoing
endovascular treatment.
AB - INTRODUCTION: This study aimed to identify the imaging characteristics that can
help differentiate intraparenchymal hemorrhage from benign contrast extravasation
on post-procedural noncontrast CT scan in acute ischemic stroke patients after
endovascular treatment. METHODS: We reviewed the clinical and imaging records of
all acute ischemic stroke patients who underwent endovascular treatment in two
hospitals over a 3.5-year period. The immediate post-procedural CT scan was
evaluated for the presence of hyperdense lesion(s). The average attenuation of
the lesion(s) was measured. Intraparenchymal hemorrhage was defined as a
persistent hyperdensity visualized on follow-up CT scan, 24 h or greater after
the procedure. RESULTS: Of the 135 patients studied, 74 (55%) patients had
hyperdense lesion(s) on immediate post-procedural CT scan. Follow-up scans
confirmed the diagnosis of intraparenchymal hemorrhage in 20 of these 74
patients. A receiver operating characteristic analysis showed that the average
attenuation of the most hyperdense lesion can differentiate intraparenchymal
hemorrhage from contrast extravasation with an area under the curve of 0.78 (p =
0.001). An average attenuation of <50 Hounsfield units (HU) in the most visually
hyperattenuating hyperdense lesion had 100 % specificity and 56% sensitivity for
identification of contrast extravasations. Petechial hyperdensity was seen in
46/54 (85%) patients with contrast extravasation versus 9/20 (45%) patients with
intraparenchymal hemorrhage on the immediate post-procedural CT scan (p < 0.001).
CONCLUSION: An average attenuation <50 HU of the most hyperattenuating hyperdense
parenchymal lesion on immediate post-procedural CT scan was very specific for
differentiating contrast extravasation from intraparenchymal hemorrhage in acute
ischemic stroke patients after endovascular treatment.
PMID- 24925216
TI - Burden of nonnucleoside reverse transcriptase inhibitor resistance in HIV-1
infected patients: a systematic review and meta-analysis.
AB - The prevalence of HIV drug resistance varies with geographic location, year, and
treatment exposure. This study generated yearly estimates of nonnucleoside
reverse transcriptase inhibitor (NNRTI) resistance in treatment-naive (TN) and
treatment-experienced (TE) patients in the United States (US), Europe (EU), and
Canada. Studies reporting NNRTI resistance identified in electronic databases and
11 conferences were analyzed in three groups: (1) TN patients in one of four
geographic regions [US, Canada, EU countries with larger surveillance networks
("EU1"), and EU countries with fewer data ("EU2")]; (2) TE patients from any
region; and (3) TN patients failing NNRTI-based treatments in clinical trials.
Analysis data included 158 unique studies from 22 countries representing 84
cohorts of TN patients, 21 cohorts of TE patients, and 8 trials reporting
resistance at failure. From 1995 to 2000, resistance prevalence in TN patients
increased in US and EU1 from 3.1% to 7.5% and 0.8% to 3.6%, respectively.
Resistance in both regions stabilized in 2006 onward. Little resistance was
identified in EU2 before 2000, and increased from 2006 (5.0%) to 2010 (13.7%).
One TN Canadian study was identified and reported resistance of 8.1% in 2006.
Half of TN clinical trial patients had resistance after treatment failure at
weeks 48-144. Resistance in TE patients increased from 1998 (10.1%) to 2001
(44.0%), then decreased after 2004. Trends in NNRTI resistance among TN patients
show an increased burden in the US and some EU countries compared to others.
These findings signify a need for alternate first-line treatments in some
regions.
PMID- 24925218
TI - Human papilloma virus early proteins E6 (HPV16/18-E6) and the cell cycle marker
P16 (INK4a) are useful prognostic markers in uterine cervical carcinomas in
Qassim Region--Saudi Arabia.
AB - Cervical cancer is a common and an important public health problem for adult
women in developing countries. In contrast, cervical cancer incidence is low in
Saudi Arabia. High-risk types of human papilloma viruses (HPV16 and HPV18) are
the most significant risk factors for cervical cancer. HPV16/18-E6 oncoprotein is
associated with HPV etiology, viral persistence and epithelial transformation.
Cell cycle protein p16 INK4a (p16) plays an important role in the pathophysiology
of cervical carcinomas. The aims of this study were to investigate the expression
of HPV16/18-E6 and p16 in uterine cervical carcinomas in Qassim Region--Saudi
Arabia, and to relate the results to the established clinicopathological
prognostic parameters (age of the patient, educational level, birth control
methods, number of pregnancy, smoking status, degree of histological
differentiation, clinical stage, and lymph node metastasis) The study included 40
specimens of uterine cervical squamous cell carcinomas diagnosed and confirmed by
biopsy. Histopathological classification of cervical tumors cases was performed
according to the International Federation of Gynecology and Obstetrics (FIGO).
Immunohistochemical analysis for HPV16/18-E6 and p16 were carried out on formalin
fixed paraffin-embedded sections of cervical tissues using avidin-biotin
peroxidase method. There was a significant statistical correlation between
HPV16/18-E6 expression in cervical carcinoma and nationality, smoking status and
size of the tumor. HPV16/18-E6 oncoprotein expression in normal lymphocytes and
endothelial cells in the tumor tissues and the adjacent normal cervical tissues
suggest the possibility that HPV infection might spread to other organs through
blood circulation. P16 expression has been correlated with high grade, stage of
cervical SCC and HPV16/18-E6 expression. The current study supports the critical
function of p16 and HPV16/18-E6 as specific markers for cervical carcinoma.
However the potential for usage of p16 and HPV16/18-E6 as prognostic markers will
require detailed follow data for a larger group of patients.
PMID- 24925220
TI - Frameshift mutation of a histone methylation-related gene SETD1B and its regional
heterogeneity in gastric and colorectal cancers with high microsatellite
instability.
AB - Histone methyltransferase (HMT), which catalyzes a histone methylation, is
frequently altered in cancers at mutation and expression levels. The aims of this
study were to explore whether SETD1B, SETDB2, and SETD2, SET domain-containing
HMT genes, are mutated and expressionally altered in gastric (GC) and colorectal
cancers (CRC). In a public database, we found that SETD1B, SETDB2, and SETD2 had
mononucleotide repeats in coding sequences that might be mutation targets in
cancers with microsatellite instability (MSI). We analyzed the mutations in 76
GCs and 93 CRCs and found SETD1B (38.7% of GC and 35.6% of CRC with high MSI [MSI
H]), SETDB2 (11.1% of CRC with MSI-H), and SETD2 frameshift mutations (6.7% of
CRC with MSI-H). These mutations were not found in stable MSI/low MSI. In
addition, we analyzed intratumoral heterogeneity (ITH) of SETD1B mutation in 6
CRCs and found that 2 CRCs harbored regional ITH of SETD1B. We also analyzed
SETD1B expression in GC and CRC by immunohistochemistry. Loss of SETD1B
expression was identified in 15% to 55% of the GC and CRC with respect to the MSI
status. Of note, the loss of expression was more common in those with SETD1B
mutations than those with wild-type SETD1B. We identified alterations of SET
domain-containing HMT at various levels (frameshift mutations, genetic ITH, and
expression loss), which together might play a role in tumorigenesis of GC and CRC
with MSI-H. Our data suggest that mutation analysis in multiple regions is needed
for a better evaluation of mutation status in CRC with MSI-H.
PMID- 24925219
TI - Sialidase NEU3 dynamically associates to different membrane domains specifically
modifying their ganglioside pattern and triggering Akt phosphorylation.
AB - Lipid rafts are known to regulate several membrane functions such as signaling,
trafficking and cellular adhesion. The local enrichment in sphingolipids and
cholesterol together with the low protein content allows their separation by
density gradient flotation after extraction with non-ionic detergent at low
temperature. These structures are also referred to as detergent resistant
membranes (DRM). Among sphingolipids, gangliosides play important roles in
different biological events, including signal transduction and tumorigenesis.
Sialidase NEU3 shows high enzymatic specificity toward gangliosides. Moreover,
the enzyme is present both at the cell surface and in endosomal structures and
cofractionates with caveolin. Although changes in the expression level of NEU3
have been correlated to different tumors, little is known about the precise
distribution of the protein and its ability in modifying the ganglioside
composition of DRM and non-DRM, thus regulating intracellular events. By means of
inducible expression cell system we found that i) newly synthesized NEU3 is
initially associated to non-DRM; ii) at steady state the protein is equally
distributed between the two membrane subcompartments, i.e., DRM and non-DRM; iii)
NEU3 is degraded via the proteasomal pathway; iv) the enzyme specifically
modifies the ganglioside composition of the membrane areas where it resides; and
v) NEU3 triggers phosphorylation of Akt, even in absence of exogenously
administered EGF. Taken together our data demonstrate that NEU3 regulates the DRM
ganglioside content and it can be considered as a modulator of Akt
phosphorylation, further supporting the role of this enzyme in cancer and
tumorigenesis.
PMID- 24925221
TI - GATA3 expression in small cell carcinoma of bladder and prostate and its
potential role in determining primary tumor origin.
AB - GATA3 is a sensitive marker for urothelial carcinoma. We here evaluate, for the
first time, GATA3 expression in small cell carcinoma of bladder and prostate and
assess its utility in the differential diagnosis with small cell carcinoma of
lung primary. Archival tissues from 60 small cell carcinomas (12 bladder, 15
lung, and 33 prostate primary cases) were used to build 2 tissue microarrays. We
also assessed whole slide sections from 10 additional primary small cell
carcinomas of bladder. GATA3 nuclear expression was evaluated using standard
immunohistochemistry. Intensity (weak, moderate, and strong) and extent of
expression were assessed in each tissue microarray spot. Extent positivity was
categorized as focal (1%-25%), multifocal (>25%), and diffuse (>75%). Nuclear
GATA3 expression was encountered in 7 bladder (7/22, 32%) and 2 lung (2/15, 13%)
small cell carcinomas. All 33 primary prostate small cell carcinomas were
negative. Among bladder tumors, strong and diffuse (>75%) GATA3 labeling was seen
in 3 cases (3/22, 14%); focal positivity was observed in the 4 remaining cases
(4/22, 18%). Both positive lung cases had only focal positivity. Our study is the
first to reveal GATA3 expression in the small subset of lung small cell carcinoma
that should be taken into consideration in assigning site of origin in advanced
small cell carcinoma cases. Our novel finding of GATA3 positivity in one-third of
bladder small cell carcinoma is of potential value in differentiating small cell
carcinomas of prostate origin from those of bladder origin.
PMID- 24925222
TI - GNAS is frequently mutated in both low-grade and high-grade disseminated
appendiceal mucinous neoplasms but does not affect survival.
AB - We analyzed a series of 55 disseminated appendiceal mucinous neoplasms treated at
our institution for GNAS and KRAS mutations in an attempt to correlate mutation
status with clinicopathological findings and patient survival. GNAS mutations
(p.R201H, c.602G>A and p.R201C, and c.602C>T) were identified in 17 (31%) of 55
of disseminated mucinous neoplasms and were found in 8 (35%) of 23 low-grade
mucinous neoplasms, 7 (37%) of 19 high-grade mucinous adenocarcinomas lacking a
signet ring cell component, and 2 (15%) of 13 high-grade mucinous adenocarcinomas
with a signet ring cell component. All 7 mucinous adenocarcinomas composed of
pure (>95%) signet ring cells harbored wild-type GNAS. There was no significant
association between GNAS mutations and sex and age (both with P > .05) or between
GNAS mutations and individual adverse histologic features including cytologic
grade, destructive invasion, tumor cellularity, angiolymphatic invasion,
perineural invasion, and signet ring cells (all with P > .05). KRAS mutations
were identified in 22 (40%) of 55 disseminated mucinous neoplasms. GNAS-mutated
disseminated appendiceal mucinous neoplasms more frequently harbored concurrent
KRAS mutations compared with GNAS wild-type tumors (65% versus 29%, P = .018).
GNAS mutations were not significantly associated with overall survival (both with
P > .05). Only overall tumor grade was an independent predictor of overall
survival in the multivariate analysis (P = .01). Our results indicate that GNAS
mutations are frequently identified in both low-grade and high-grade disseminated
appendiceal mucinous neoplasms indicating that GNAS mutation status cannot be
used to distinguish between low-grade from high-grade appendiceal mucinous
neoplasms.
PMID- 24925223
TI - Loss of ARID1A expression in colorectal carcinoma is strongly associated with
mismatch repair deficiency.
AB - ARID1A is a tumor suppressor gene involved in chromatin remodelling. ARID1A
mutations and loss of protein expression occur commonly in endometrioid and
gynecological clear cell carcinoma where they are associated with mismatch repair
(MMR) deficiency. We assessed ARID1A expression in a large cohort of colorectal
carcinomas (CRCs). Immunohistochemistry for ARID1A was performed on whole
sections from 100 CRCs and on 1876 CRCs in tissue microarray format. There was
complete concordance between the staining on whole slides and tissue microarray
sections. Loss of staining was found in 110 (5.9%) of 1876 CRCs and was strongly
associated with older age, right sided location, large size, BRAF V600E mutation,
MMR deficiency, high histological grade and medullary morphology, (all P < .01).
There was a trend towards loss of expression being more common in females (P =
.06). When subclassified by combined BRAF V600E mutation and MMR status, loss of
ARID1A expression was found most commonly in CRCs with the BRAF V600E mutated,
MMR- deficient phenotype (58 of 232 cases, 25%, P < .01). In univariate and
multivariate analysis, loss of ARID1A expression was not associated with overall
survival-hazard ratio 1.05 (0.68-1.64) and 0.60 (0.24-1.44), respectively. All
carcinomas arising in patients with known Lynch syndrome (n = 12) were ARID1A
positive. We conclude that loss of ARID1A expression occurs in a small but
significant proportion of CRCs where it is strongly correlated with mismatch
repair deficiency and other clinical and pathological features associated with
somatic hypermethylation.
PMID- 24925224
TI - Myeloid cell nuclear differentiation antigen is expressed in a subset of marginal
zone lymphomas and is useful in the differential diagnosis with follicular
lymphoma.
AB - The diagnosis of marginal zone lymphomas (MZL) is challenged by the lack of
specific markers that distinguish them from other low-grade non-Hodgkin B-cell
lymphomas. Myeloid cell nuclear differentiation antigen (MNDA) is a nuclear
protein that labels myelomonocytic cells as well as B lymphocytes that localize
to the marginal zone areas of splenic white pulp. We evaluated MNDA expression in
a large series of B-cell lymphomas to assess the sensitivity and specificity of
this antigen for the characterization of MZL. A total of 440 tissue sections
containing extramedullary B-cell lymphomas and 216 bone marrow biopsies
containing atypical or neoplastic lymphoid infiltrates were stained for MNDA by
immunohistochemistry. Among the extramedullary lymphoma cases, approximately 67%
of nodal MZL, 61% of extranodal MZL, and 24% of splenic MZL expressed MNDA. MNDA
was also infrequently expressed in other B-cell neoplasms including mantle cell
lymphoma (6%), chronic lymphocytic leukemia/small lymphocytic lymphoma (13%),
follicular lymphoma (FL) (4%), lymphoplasmacytic lymphoma (25%), and diffuse
large B-cell lymphoma (3%). In contrast, MNDA was only expressed in 2.3% of all
bone marrow biopsies involved by lymphoid infiltrates, including 2 cases of FL
and one case of MZL. Collectively, these data support the inclusion of MNDA in
the diagnostic evaluation of extramedullary B-cell lymphomas, particularly those
in which the differential diagnosis is between low-grade FL and MZL.
PMID- 24925225
TI - Forced monogamy in a multiply mating species does not impede colonisation
success.
AB - BACKGROUND: The guppy (Poecilia reticulata) is a successful invasive species. It
is also a species that mates multiply; previous studies have demonstrated that
this strategy carries fitness benefits. Guppies are routinely introduced to tanks
and troughs in regions outside their native range for mosquito-control purposes,
and often spread beyond these initial confines into natural water bodies with
negative ecological consequences. Here, using a mesocosm set up that resembles
the containers into which single guppies are typically introduced for mosquito
control, we ask whether singly-mated females are at a disadvantage, relative to
multiply-mated females, when it comes to founding a population. Treatments were
monitored for one year. RESULTS: A key finding was that mating history did not
predict establishment success, which was 88% in both treatments. Furthermore,
analysis of behavioural traits revealed that the descendants of singly-mated
females retained antipredator behaviours, and that adult males showed no decrease
in courtship vigour. Also, we detected no differences in behavioural variability
between treatments. CONCLUSIONS: These results suggest that even when denied the
option of multiple mating, singly-mated female guppies can produce viable
populations, at least at the founder stage. This may prove to be a critical
advantage in typical introduction scenarios where few individuals are released
into enclosed water bodies before finding their way into natural ecosystems.
PMID- 24925226
TI - The effect of two endogenous retinoids on the mRNA expression profile in human
primary keratinocytes, focusing on genes causing autosomal recessive congenital
ichthyosis.
AB - Retinoids (natural forms and synthetic derivatives of vitamin A) are used as
therapeutic agents for numerous skin diseases such as keratinization disorders
(e.g. ichthyoses) and psoriasis. Two endogenous ligands for retinoic acid
receptors exist, retinoic acid (atRA) and 3,4-didehydroretinoic acid (ddRA). In
primary human epidermal keratinocytes many transcriptional targets for atRA are
known, whereas the targets for ddRA are unknown. In an attempt to determine the
targets, we compared the effect of atRA and ddRA on transcriptional profiles in
undifferentiated and differentiating human primary keratinocytes. First, as
expected, many genes were induced or suppressed in response to keratinocyte
differentiation. Furthermore, the two retinoids affected substantially more genes
in differentiated keratinocytes (>350) than in proliferating keratinocytes (~20).
In differentiating keratinocytes markers of cornification were suppressed
suggesting a de-differentiating effect by the two retinoids. When comparing the
expression profile of atRA to that of ddRA, no differently regulated genes were
found. The array analysis also found that a minor number of miRNAs and a large
number of non-coding transcripts were changed during differentiation and in
response to the two retinoids. Furthermore, the expression of all, except one,
genes known to cause autosomal recessive congenital ichthyosis (ARCI) were found
to be induced by differentiation. These results comprehensively document that
atRA and ddRA exert similar transcriptional changes in keratinocytes and also add
new insights into the molecular mechanism influenced by retinoids in the
epidermis. Furthermore, it suggests which ARCI patients could benefit from
therapy with retinoids.
PMID- 24925228
TI - Examination of the early infection stages of koi herpesvirus (KHV) in
experimentally infected carp, Cyprinus carpio L. using in situ hybridization.
AB - Koi herpesvirus (KHV) causes a highly infectious disease afflicting common carp
and koi, Cyprinus carpio L. Various molecular and antibody-based detection
methods have been used to elucidate the rapid attachment and dissemination of the
virus throughout carp tissues, facilitating ongoing development of effective
diagnostic approaches. In situ hybridization (ISH) was used here to determine the
target tissues of KHV during very early infection, after infecting carp with a
highly virulent KHV isolate. Analysis of paraffin-embedded tissues (i.e. gills,
skin, spleen, kidney, gut, liver and brain) during the first 8 h and following 10
days post-infection (hpi; dpi) revealed positive signals in skin mucus, gills and
gut sections after only 1 hpi. Respiratory epithelial cells were positive as
early as 2 hpi. Viral DNA was also detected within blood vessels of various
tissues early in the infection. Notable increases in signal abundance were
observed in the gills and kidney between 5 and 10 dpi, and viral DNA was detected
in all tissues except brain. This study suggests that the gills and gut play an
important role in the early pathogenesis of this Alloherpesvirus, in addition to
skin, and demonstrates ISH as a useful diagnostic tool for confirmation of
acutely infected carp.
PMID- 24925227
TI - Telephone-delivered behavioral intervention among blacks with sleep apnea and
metabolic syndrome: study protocol for a randomized controlled trial.
AB - BACKGROUND: Lack of adherence to recommended treatment for obstructive sleep
apnea remains an ongoing public health challenge. Despite evidence that
continuous positive airway pressure (CPAP) is effective and improves overall
quality of life, adherence with the use of CPAP in certain racial/ethnic groups,
especially blacks, is suboptimal. Evidence indicates that the incidence and
prevalence of obstructive sleep apnea are higher among blacks, relative to
whites, and blacks are less likely to adhere to recommended treatment compared
with other racial/ethnic groups. METHODS: Using a two-arm randomized controlled
design, this study will evaluate the effectiveness of a culturally and
linguistically tailored telephone-delivered intervention to promote adherence to
physician-recommended sleep apnea assessment and treatment among blacks with
metabolic syndrome, versus an attention-control arm. The intervention is designed
to foster adherence to recommended sleep apnea care using the stages-of-change
model. The intervention will be delivered entirely over the telephone.
Participants in the intervention arm will receive 10 phone calls to address
challenges and barriers to recommended care. Outcomes will be assessed at
baseline, and at 6- and 12-months post-randomization. DISCUSSION: This tailored
behavioral intervention will improve adherence to sleep apnea assessment and
treatment among blacks with metabolic syndrome. We expect to demonstrate that
this intervention modality is feasible in terms of time and cost and can be
replicated in populations with similar racial/ethnic backgrounds. TRIAL
REGISTRATION: The study is registered at clinicaltrials.gov NCT01946659 (February
2013).
PMID- 24925229
TI - Practical considerations when prescribing icodextrin: a narrative review.
AB - BACKGROUND: Icodextrin is a peritoneal dialysis solution that is commonly used to
increase ultrafiltration during the long dwell. The other major clinical benefit
of icodextrin is that it is glucose-sparing, which may help preserve peritoneal
membrane function. Since it has a different chemical composition than dextrose,
and with its increasing use, there are several clinical considerations healthcare
providers must familiarize themselves with prior to prescribing icodextrin.
SUMMARY: Failure to recognize these special properties of icodextrin can lead to
adverse events reaching patients. This narrative review explores the hemodynamic,
metabolic, and idiopathic effects of icodextrin to facilitate the safe use of
icodextrin in peritoneal dialysis. KEY MESSAGES: Hemodynamic effects include
hypotension from enhanced ultrafiltration contributing to loss of residual kidney
function. Metabolic effects include the chemical structure of icodextrin
interfering with biochemical assays, resulting in misleading glucose readings on
non-specific glucometers. Idiopathic adverse effects include a diffuse rash and
sterile peritonitis. It is also important to remember that not all antibiotic
combinations have undergone stability testing in icodextrin. This narrative
review will help healthcare providers to confidently prescribe icodextrin to
maximize its benefit in peritoneal dialysis patients.
PMID- 24925230
TI - Pharyngeal weakness and upper esophageal sphincter opening in patients with
unilateral vocal fold immobility.
AB - OBJECTIVES/HYPOTHESIS: To evaluate pharyngeal strength and upper esophageal
sphincter opening in patients with unilateral vocal fold immobility (UVFI). STUDY
DESIGN: Case control study. METHODS: Charts of individuals with UVFI who
underwent a videofluoroscopic swallow study were reviewed. To exclude confounding
variables associated with pharyngeal weakness, inclusion was limited to patients
with iatrogenic and idiopathic UVFI. Data abstracted included patient
demographics, etiology of UVFI, pharyngeal constriction ratio (PCR), and upper
esophageal sphincter (UES) opening (UESmax). Data were compared to age/gender
matched controls with no history of dysphagia or UVFI. Discrete variables were
analyzed using a chi-square test of independence, and an independent samples t
test was used to compare the UVFI and control groups (P = 0.05). A one-way
analysis of variance (ANOVA) was used to compare iatrogenic and idiopathic UVFI
groups. RESULTS: The mean age of the cohort (n = 25) was 61 (+/-14 SD) years and
52% was female. The etiologies of UVFI were iatrogenic (n = 17) and idiopathic (n
= 8). Thirty-eight percent of UVFI patients (n = 25) aspirated compared to 0% of
controls (P < 0.05). The mean PCR for the UVFI group was 0.14 (+/-0.02) compared
to 0.06 (+/-.01) for controls (P < 0.05). The mean UESmax for the UVFI group was
0.82 cm (+/-0.04) compared to 1.0 cm (+/-0.05) for controls (P > 0.05).
CONCLUSION: Individuals with UVFI of iatrogenic and idiopathic etiologies with
subjective dysphagia demonstrate objective evidence of pharyngeal weakness. The
increased prevalence of aspiration in this population may not be solely the
result of impaired airway protection.
PMID- 24925231
TI - Localization and speciation of mercury in brown rice with implications for pan
Asian public health.
AB - Cultivation of paddy rice for human consumption is a dominant agricultural
activity throughout Asia. High levels of mercury (Hg) in rice grain pose a
potential threat to human health, although the extent of risk is dependent on the
chemical speciation of Hg inside the grain. We have investigated the speciation
and localization of Hg in three fractions of rice grain (hull, bran, and white
rice) collected from a Hg-contaminated region in China. On a mass basis, the
majority of inorganic mercury (IHg) in a rice grain is found in hull and bran.
However, the majority of the more toxic species methyl mercury (MeHg) is found in
edible white rice. Our data show that during grain processing, most of the IHg
(~78%) is eliminated, but the majority of the MeHg remains in the food product
(~80%). Synchrotron radiation microscopic X-ray fluorescence (SR-MUXRF) mapping
shows strong localization of Hg at the surface of brown rice grains,
corresponding to the pericarp and aleurone layer. We infer that this Hg is
predominantly IHg absorbed from the atmosphere. Based on X-ray absorption near
edge spectroscopy (XANES) data we propose that IHg in bran is primarily bound to
cysteine, and is associated with phytochelatins. Consequently, IHg is largely
immobile and restricted to the outer layers of rice grain. MeHg in bran is
primarily bound to cysteine and is associated with proteins. However, this MeHg
cysteine association behaves like a mobile nutrient and is actively transported
to the endosperm during seed ripening. Concentration of MeHg-cysteine in white
rice has implications for public health. There is growing evidence for Hg
contamination of rice throughout Asia due to point and diffuse sources of Hg
pollution. The magnitude of the associated risk must be quantified through better
understanding of the localization and speciation of mercury in rice. Our work
makes an effort to contribute to this understanding.
PMID- 24925232
TI - Median nerve T2 assessment in the wrist joints: preliminary study in patients
with carpal tunnel syndrome and healthy volunteers.
AB - PURPOSE: To perform a prospective quantitative analysis of median nerve T2 values
and cross-sectional area (CSA) in patients with carpal tunnel syndrome (CTS) as
compared to asymptomatic volunteers. MATERIALS AND METHODS: Twelve CTS patients
with positive nerve conduction results and 12 healthy volunteers (controls) were
enrolled and underwent axial T2 mapping of the wrist joints. Median nerve T2
values and CSAs at the distal radioulnar joint, pisiform, and hook of hamate
levels were compared between the groups. RESULTS: The T2 values at the proximal
and distal carpal tunnel were higher in the CTS patients than in the controls (P
< 0.05). The T2 values at the distal radioulnar joint did not differ between the
groups (P = 0.99). The CSAs of the median nerve at all levels of the carpal
tunnel were significantly larger in the CTS patients than in the controls (P <
0.05). CONCLUSION: In conclusion, our study demonstrated that median nerve T2
assessment is feasible and that T2 assessment may offer functional information
about the median nerve in the carpal tunnel and has the potential to be a
promising complementary method for evaluation of CTS patients. A future study
with larger sample sizes is necessary to investigate the potential effect of
median nerve T2 assessment to a reliable tool in the diagnosis of CTS.
PMID- 24925233
TI - The 20-year effort to reduce access to mammography screening: historical facts
dispute a commentary in Cancer.
AB - Mammography screening fulfills all requirements for an effective screening test.
It detects many cancers earlier when they are at a smaller size and earlier
stage, and it has been demonstrated that this reduces breast cancer deaths in
randomized controlled trials. When screening is introduced into the population,
the death rate from breast cancer declines. Nevertheless, scientifically
unsupported arguments that appear in the medical literature are passed on to the
public and continue to confuse women and physicians regarding the value of
screening. Methodologically flawed challenges to mammography have been almost
continuous since the 1990s. And, as each challenge has been invalidated, a new,
specious challenge has been raised. The authors of this report address the long
history of misinformation that has developed in the effort to reduce access to
screening, and they address the issues raised by commentators concerning their
recent publication in this journal.
PMID- 24925235
TI - Editor's preface.
PMID- 24925236
TI - Long-term retention of kinship recognition established during infancy in the
domestic dog.
AB - The ability of the domestic dog to recognise its kin, and to retain this
information once acquired, was studied in this paper. Dogs were examined for
their ability to recognise their siblings, offspring to recognise their mother,
and mothers to recognise their offspring, using a series of two choice tests. The
results revealed that, at 4-5.5 weeks of age, pups can recognise their siblings
and their mother, and mothers can recognise their offspring. The preference of
pups at this age appears to be strongest for their mother. Olfactory cues are
sufficient for this recognition to take place. At approximately 2 years of age,
and having been separated from their offspring from 8-12 weeks after their birth,
mothers were able to recognise their now adult offspring and these adult
offspring could recognise their mothers. Siblings could only recognise one
another if they had been living with a sibling (not the test animal). Dogs living
on their own were unable to recognise their siblings. Thus dogs can recognise
their kin, retaining this information for a period of two years in the case of
mother-offspring. It is suggested that mother-offspring and siblings are
recognised by different mechanisms.
PMID- 24925237
TI - Temporal-based kinship recognition: A switch in time saves mine.
AB - A wide variety of animals show infanticidal responses to conspecific young but
switch to parental responses at a time that their own young could be expected.
This widespread, fundamental mechanism of indirect kin recognition has been
largely ignored in reviews of kin recognition. The present review examines the
behavioural changes seen in both sexes of biparental vertebrates and
invertebrates. The sexes may have different qualities of information concerning
the timing of the arrival of young, typical with internal fertilization, or may
have similar information, typical with external fertilization. Where the
information is different the female is usually the one with the more accurate
information and is more precise in the timing of behavioural change. Males err on
the side of caution concerning harming their own young and become parental
earlier than females. Where the information is similar the sexes show
similarities in the timing of the change. In all cases studied to date, however,
the changes occur prior to the arrival of their own young.
PMID- 24925238
TI - Kin discrimination within honey bee (Apis mellifera) colonies: An analysis of the
evidence.
AB - Compelling evolutionary arguments lead to the prediction that honey bee workers
should discriminate between supersisters and half-sisters within colonies. We
review the theoretical support for discrimination during swarming, queen rearing,
feeding, and grooming. A survey of the data that tests whether such
discrimination takes place shows that, despite substantial effort in a number of
laboratories, there is no conclusive evidence for intracolony discrimination in
any of the postulated contexts. The strongest suggestive data is in the critical
context of queen rearing, but flaws in experimental design or analysis make the
best available tests inconclusive. We present new data that shows that cues exist
on which discriminations can be made among adult workers in nestmate recognition
interactions and in feeding interactions, but our data does not differentiate
between subfamily recognition and recognition associated with color phenotypes.
We conclude that while selection may favor discrimination between supersisters
and half-sisters, as a practical matter such discriminations play no role, or
only a minor role, in the biology of the honey bee.
PMID- 24925239
TI - Differential involvement of right and left hemisphere in individual recognition
in the domestic chick.
AB - Right hemisphere advantage in individual recognition (as shown by differences
between response to strangers and companions) is clear in the domestic chick.
Chicks using the left eye (and so, thanks to the complete optic decussation,
predominantly the right hemisphere) discriminate between stranger and companion.
Chicks using the right eye discriminate less clearly or not at all. The ability
of left eyed chicks to respond to differences between strangers and companions
stimuli is associated with a more general ability to detect and respond to
novelty: this difference between left and right eyed chicks also holds for
stimuli which are not social partners. The right hemisphere also shows advantage
in tasks with a spatial component (topographical learning; response to change in
the spatial context of a stimulus) in the chick, as in humans. Similar
specialisations of the two hemispheres are also revealed in tests which involve
olfactory cues presented by social partners. The special properties of the left
hemisphere are less well established in the chick. Evidence reviewed here
suggests that it tends to respond to selected properties of a stimulus and to use
them to assign it to a category; such assignment then allows an appropriate
response. When exposed to an imprinting stimulus (visual or auditory) a chick
begins by using right eye or ear (suggesting left hemisphere control), and then
shifts to the left eye or ear (suggesting right hemisphere control), as exposure
continues. The left hemisphere here is thus involved whilst behaviour is
dominated by vigorous response to releasing stimuli presented by an object.
Subsequent learning about the full detailed properties of the stimulus, which is
crucial for individual recognition, may explain the shift to right hemisphere
control after prolonged exposure to the social stimulus. There is a marked sex
difference in choice tests: females tend to choose companions in tests where
males choose strangers. It is possible that this difference is specifically
caused by stronger motivation to sustain social contact in female chicks, for
which there is extensive evidence. However, sex differences in response to change
in familiar stimuli are also marked in tests which do not involve social
partners. Finally, in both sexes there are two periods during development in
which there age-dependent shifts in bias to use one or other hemisphere. These
periods (days 3-5 and 8-11) coincide with two major changes in the social
behaviour of chicks reared by a hen in a normal brood. It is argued that one
function of these periods is to bring fully into play the hemisphere most
appropriate to the type of response to, and learning about, social partners which
is needed at particular points in development. Parallels are discussed between
the involvement of lateralised processes in the recognition of social partners in
chicks and humans.
PMID- 24925240
TI - Social and individual recognition in rodents: Methodological aspects and
neurobiological bases.
AB - What animals know about each other, and how they construct and use knowledge of
their social world involves at least an ability to recognise different social
categories. Although much evidence has accumulated that animals are able to
identify and classify other individuals into different categories, few studies
have definitively demonstrated true individual recognition, i.e. discrimination
between individuals on the basis of their idiosyncratic characteristics.
Furthermore, the neural structures and pathways involved in social and, a
fortiori, individual recognition have as yet been poorly investigated. This paper
discusses various methods and measures currently used to assess different forms
of social categorisations in animals, with special reference to rodents. Recent
progress concerning the neurobiological bases involved in social recognition is
also discussed. Finally, integrative perspectives for studying individual
recognition in the context of social cognition is underlined in relation to
different approaches investigating rodents' ability to use learned olfactory
information.
PMID- 24925241
TI - Neurobiological correlates of visual and olfactory recognition in sheep.
AB - This paper describes neurophysiological and behavioural experiments which
investigate the ability of sheep to recognise different individuals using visual
and olfactory cues. Behavioural experiments using Y-mazes with back-projected
images of faces have shown that sheep can distinguish between the faces of sheep
and humans when the faces are presented in a frontal view although they have more
difficulty in doing so if the faces are presented in profile, upside down or with
the eyes obscured. Single-cell electrophysiological recordings made from neurones
in the temporal cortex have shown that sheep, like non-human primates, have cells
in this region that code preferentially for facial stimuli and that their
responses are also diminished or abolished if the faces are presented upside
down, in profile, or with the eyes obscured. Different sub-populations of cells
code for faces of similar social and emotional significance. Thus one population
of cells codes for faces with horns and their responses are also modulated by the
size of the horns, another population codes for faces of animals of the same
breed, and particularly familiar animals, and a final population codes for faces
of humans and dogs. Visual cues from body shape and posture are also important
for recognition of different classes of individual. Field studies have shown that
sheep find it difficult to recognise humans approaching them if they change their
posture to quadrupedal as opposed to a bipedal one. Electrophysiological studies
have also demonstrated the presence of cells in the temporal cortex which respond
preferentially to the sight of a human body shape and their activity is
influenced by body orientation, posture and direction of movement. In some cases
alterations to the human's appearance can also influence their activity.
Olfactory recognition studies have used electrophysiological, in vivo sampling
and behavioural analyses to establish the mechanisms whereby a maternal ewe
develops the ability to selectively recognise the odour signatures of its own
lambs within the first few hours of giving birth. Electrophysiological recordings
from mitral cells in the olfactory bulb have shown that none of them respond
preferentially to lamb odours pre-partum, when the ewes show no interest in
lambs, whereas 60% of them do so after ewes have bonded with their lambs. A sub
population of mitral cells also responds differentially to own and alien lamb
odours post-partum. Neurochemical studies have shown that lamb odours do not
evoke transmitter release within the olfactory bulb pre-partum whereas, post
partum, own lamb odours stimulate release of the intrinsic amino acid
transmitters, GABA and glutamate whereas both own and alien lamb odours evoke
equivalent increases in the release of the centrifugal pathway transmitters,
acetylcholine and nonadrenaline. Overall these experiments provide compelling
evidence that the sheep, which is after all a social animal, makes use of
sophisticated visual cues from the face and body and of olfactory cues from the
body and wool to recognise different individuals. The neural pathways which are
involved in both of these recognition processes also show remarkable evidence of
plasticity. However, there appears to be a much closer link between recognition
and emotional significance demonstrated in the coding strategies employed by the
neural circuits involved in individual recognition in the sheep brain compared to
that of a primate and, indeed, they seem to be organised more for identifying a
small number of different categories of individuals rather than for a large
number of individuals per se. It is possible therefore that social evolutionary
pressures to specifically identify large numbers of individuals of similar
emotional significance has been achieved by weakening the organisational
influence of affect on coding strategies of cells in the temporal cortex in
favour of a more extensive feature detection system allowing accurate
discrimination between a large number of individuals and their expressions under
a number of different viewing conditions.
PMID- 24925242
TI - Brain mechanisms for invariant visual recognition and learning.
AB - Mechanisms by which the brain could perform invariant recognition of objects
including faces are addressed neurophysiologically, and then a computational
model of how this could occur is described. Some neurons that respond primarily
to faces are found in the macaque cortex in the anterior part of the superior
temporal sulcus (in which region neurons are especially likely to be tuned to
facial expression, and to face movement involved in gesture). They are also found
more ventrally in the TE areas which form the inferior temporal gyrus. Here the
neurons are more likely to have responses related to the identity of faces. These
areas project on to the amygdala and orbitofrontal cortex, in which face
selective neurons are also found. Quantitative studies of the responses of the
neurons that respond differently to the faces of different individuals show that
information about the identity of the individual is represented by the responses
of a population of neurons, that is, ensemble encoding is used. The rather
distributed encoding (within the class faces) about identity in these sensory
cortical regions has the advantages of maximising the information in the
representation useful for discrimination between stimuli, generalisation, and
graceful degradation. In contrast, the more sparse representations in structures
such as the hippocampus may be useful to maximise the number of different
memories stored. There is evidence that the responses of some of these neurons
are altered by experience so that new stimuli become incorporated in the network,
in only a few seconds of experience with a new stimulus. It is shown that the
representation that is built in temporal cortical areas shows considerable
invariance for size, contrast, spatial frequency and translation. Thus the
representation is in a form which is particularly useful for storage and as an
output from the visual system. It is also shown that one of the representations
which is built is view-in-variant, which is suitable for recognition and as an
input to associative memory. Another is viewer-centered, which is appropriate for
conveying information about gesture. It is shown that these computational
processes operate rapidly, in that in a backward masking paradigm, 20-40 ms of
neuronal activity in a cortical area is sufficient to support face recognition.
In a clinical application of these findings, it is shown that humans with ventral
frontal lobe damage have in some cases impairments in face and voice expression
identification. These impairments are correlated with and may contribute to the
problems some of these patients have in emotional and social behaviour. To help
provide an understanding of how the invariant recognition described could be
performed by the brain, a neuronal network model of processing in the ventral
visual system is described. The model uses a multistage feed-forward
architecture, and is able to learn invariant representations of objects including
faces by use of a Hebbian synaptic modification rule which incorporates a short
memory trace (0.5 s) of preceding activity to enable the network to learn the
properties of objects which are spatio-temporally invariant over this time scale.
PMID- 24925243
TI - How monkeys see others: Discrimination and recognition of monkeys' shape.
AB - The two experiments described in this study address the question of the
perceptual basis of species discrimination and body recognition in monkeys.
Longtailed macaques (Macaca fascicularis) were trained to discriminate line
drawings of different monkey bodies. The procedure consisted of a simultaneous
discrimination between four images under continuous reinforcement. Social
communication between the test animal and other group members during test
sessions was almost unrestricted. In the first experiment all monkeys learned,
within at least 7 sessions, to discriminate one monkey from other monkeys.
Discrimination was invariant against transformations of size and rotation of the
stimuli. A preference test for particular features resulted in a graded
estimation of particular body features. Generalisation to different views of
facial stimuli was demonstrated. In the second experiment the monkeys had to
relearn a new association which involved a differentiation of the previously
shown stimuli. After reaching the learning criterion it was shown that the same
features as in the previous experiment were evaluated differently. The
experiments generally support the view that perceptual mechanisms of the signal
receiver are crucial for individual recognition. Results are discussed in
contrast to a 'theory of mind' approach in primate cognition.
PMID- 24925244
TI - Images of conspecifics as categories to be discriminated by pigeons and chickens:
Slides, video tapes, stuffed birds and live birds.
AB - Four experiments investigated the discrimination of images of conspecifics by
pigeons; in Experiment 1, chickens were also used as subjects, and images of
allospecifics were also used as discriminative stimuli. In Experiment 1, chickens
were successfully trained to discriminate slides of pigeons, pictures of one bird
being positive stimuli and pictures of another bird being negative; and pigeons
were similarly trained to discriminate slides of chickens. However, an attempt to
train pigeons to discriminate slides of pigeons only succeeded with one bird out
of six. Pigeons were slower to learn chicken slides, and chickens were slower to
learn pigeon slides, than chickens were to learn chicken slides in a previous
experiment. In Experiment 2, a dishabituation technique was used to demonstrate
that pigeons readily discriminate individual live pigeons. In Experiment 3, an
attempt was made to test habituation to life-size moving video images of pigeons,
but these images did not elicit any natural social responses from the subject
pigeons. In Experiment 4 pigeons were trained in a discrimination in which the
objects to be discriminated were two different stuffed pigeons. No pigeon learned
this discrimination. The experiments give some evidence that chickens are better
at discriminating images of individuals than pigeons. No single feature seems to
be sufficient for pigeons to discriminate between conspecifics, but the
combination of features that is required remains unknown.
PMID- 24925245
TI - Olfactory and visual cues in the interaction systems between dogs and children.
AB - Various studies have established the importance of olfactory cues in the
relational systems between conspecifics by the canids and humans. The study of
the behaviour of dogs towards children with clothes impregnated with body odours
has led to the definition of different functions of olfaction in interspecific
relational systems. An experimental situation in kennels details the behaviour of
dogs in response to different combinations of visual and olfactory stimulations
reproduced with a realistic child dummy. The discussion of the results deals with
the contingent socio-cognitive abilities of pet dogs and their relational
strategies based on the integration of different sensory modalities present in
the 'social' stimulations.
PMID- 24925246
TI - The behavioural cues of familiarity during social interactions among human
adults: A review of the literature and some observations in normal and demented
elderly subjects.
AB - The present paper deals with the non-verbal expression of individual recognition
in normal and pathological populations. In the first part, the literature on non
verbal communication is surveyed with a selective attention given to
observational studies comparing encounters between friends and between strangers
in young adult subjects. To begin with, it is shown that in some cases external
observers can discriminate above chance level silent films showing interactions
between familiar and unfamiliar persons. Then, potential cues enabling such a
performance are searched for by analysis of familiarity effects on interpersonal
distance, touching gesture, visual and postural orientation, and facial or manual
movements expressing affiliation or reticence. Finally, these observations are
discussed in relation to several underlying processes: learning of interaction
rules, involvement of an affiliative motivational system, and regulation of
arousal. The problem of assessing the directionality of the familiarity effects
is also raised. The second part of the paper addresses the issue of non-verbal
expression of recognition in subjects who show impaired person recognition in the
verbal modality. A pilot study compares the behaviour of older institutionalised
women, either normal or suffering from senile dementia of the Alzheimer's type,
during conversations with a member of the medical team and with another
unfamiliar person. The methodological problems raised by the planning of the
experimental situation are discussed.
PMID- 24925247
TI - The cognitive approach to familiar face processing in human subjects.
AB - This paper reviews Bruce and Young's (1986) influential model of face processing,
as well as its more recent implementation in the form of an interactive
activation network. The multi-componential structure of the model is explained.
How this structure can account for empirical effects such as repetition priming,
semantic priming or face naming difficulties is briefly discussed. It is then
shown how the model can be applied to the analysis of a typical
neuropsychological impairment of face processing: prosopagnosia. Finally, the
intriguing phenomenon of covert face recognition in prosopagnosic patients is
briefly reviewed.
PMID- 24925248
TI - Mental representations in the recognition of conspecific individuals.
AB - Individual recognition requires that animals form mental representations of the
properties of conspecifics as well as of the identity of particular conspecifics.
Gallistel has defined representations as a precise correspondence (an
isomorphism) between objects and relations in the environment and structure
preserving systems in the animal's brain. Research on the neural recognition of
individual conspecifics is examined to check whether the results satisfy part of
Gallistel's definition. Mental representations are also discussed with reference
to concept-discrimination experiments which have demonstrated individual
recognition using slides of conspecifics. Finally, a simple set-theoretical model
is presented to describe recognition of the idiosyncratic properties of
conspecifics.
PMID- 24925249
TI - The effects of grape seed and colchicine on carbon tetrachloride induced hepatic
damage in rats.
AB - This study aims to determine the effects of grape seed and colchicine on carbon
tetrachloride (CCl4) induced hepatic damage and on some serum biochemical
parameters. Sixty male Wistar albino rats (200-250 g) were randomly divided into
six groups (ten rats/group) and included the control group the group were given
isotonic sodium chloride (1 mL/kg b.w) intraperitonealy (i.p.), group 2 the group
treated i.p. injection of CCl4 (1.0 mL/kg b.w) in corn oil twice in the first
week, Groups 3 and 4 injected with CCl4 as described for group 2 and the rats
were orally given (100 mg/kg b.w) GSE and i.p. injected (10 MUg/rat) with
colchicine for four weeks, respectively and groups 5 and 6 were the grape seed
and colchicine control groups in which rats were orally given grape seed (100
mg/kg b.w) and i.p. injected with colchicine (10 MUg/rat), respectively.
Anorexia, weight loss, motionlessness and hepatic colour variation at necropsy
were observed in groups 2, 3, and 4. Hyperemia, focal bleeding, fat degeneration,
changes ranging from degenerative to necrotic, increase in connective tissue
elements, pronounced in portal sites in particular, and infiltration of lymphoid
series cell observed in the livers of the rats in group 2, treated with CCl4.
Histological hepatic changes in the rats in group 3 and 4 were similar to those
in group 2. The levels of serum total protein, albumin and globulin decreased in
groups 2, 3, and 4, compared with groups 1, 5 and 6; aspartate transaminase (ALT)
activities increased. The lowest alkaline phosphatase (ALP) activities were in
groups 4 and 5. We concluded that GSE and colchicine have not sufficient
ameliorative effects to CCl4 induced acute hepatic damage.
PMID- 24925250
TI - Enterohemorrhagic Escherichia coli O157 in Libya.
PMID- 24925251
TI - Enterohaemorrhagic Escherichia coli O157: a current threat requiring advanced
approaches--author reply.
PMID- 24925253
TI - Diffusion-weighted imaging thermometry in multiple sclerosis.
AB - PURPOSE: To prospectively investigate brain temperature using MR diffusion
weighted imaging thermometry in multiple sclerosis (MS) patients and age-matched
healthy controls, to examine comparisons of brain temperature between MS patients
and healthy volunteers, and to examine correlations between brain temperature and
disease duration and between brain temperature and Expanded Disability Status
Scale (EDSS) in MS patients. MATERIALS AND METHODS: Thirteen MS patients and 13
age-matched healthy controls were examined using a 3.0 Tesla MR unit from January
2011 to February 2013. Brain temperature in each participant was measured using
diffusion-weighted imaging-based MR thermometry of the lateral ventricles. Group
comparisons of brain temperature between MS patients and healthy controls were
performed using the Student's t-test. The determination of correlation between
brain temperature in MS patients and disease duration, and between brain
temperature and EDSS were performed using a Pearson's correlation coefficient
test. For statistical analyses, values of P < 0.05 were considered statistically
significant. RESULTS: Median brain temperature was 35.81 degrees C (range, 35.06
37.03 degrees C) in MS patients, and 36.29 degrees C (range, 35.51-37.89 degrees
C) in healthy controls representing a significant difference (P = 0.020). No
significant correlation of both between brain temperature and disease duration
and between brain temperature and EDSS were identified (r/P = -0.382/0.198,
0.026/0.933). CONCLUSION: Brain temperature was significantly lower in MS
patients than in healthy controls, probably representing decreased brain
metabolism in MS patients.
PMID- 24925252
TI - Anxiety, depression and behavioral problems among adolescents with recurrent
headache: the Young-HUNT study.
AB - BACKGROUND: It is well documented that both anxiety and depression are associated
with headache, but there is limited knowledge regarding the relation between
recurrent primary headaches and symptoms of anxiety and depression as well as
behavioral problems among adolescents. Assessment of co-morbid disorders is
important in order to improve the management of adolescents with recurrent
headaches. Thus the main purpose of the present study was to assess the
relationship of recurrent headache with anxiety and depressive symptoms and
behavioral problems in a large population based cross-sectional survey among
adolescents in Norway. METHODS: A cross-sectional, population-based study was
conducted in Norway from 1995 to 1997 (Young-HUNT1). In Young-HUNT1, 4872
adolescents aged 12 to 17 years were interviewed about their headache complaints
and completed a comprehensive questionnaire that included assessment of symptoms
of anxiety and depression and behavioral problems, i.e. conduct and attention
difficulties. RESULTS: In adjusted multivariate analyses among adolescents aged
12-14 years, recurrent headache was associated with symptoms of anxiety and
depression (OR: 2.05, 95% CI: 1.61-2.61, p < 0.001), but not with behavioral
problems. A significant association with anxiety and depressive symptoms was
evident for all headache categories; i.e. migraine, tension-type headache and non
classifiable headache. Among adolescents aged 15-17 years there was a significant
association between recurrent headache and symptoms of anxiety and depression
(OR: 1.64, 95% CI: 1.39-1.93, p < 0,001) and attention difficulties (OR: 1.25,
95% CI: 1.09-1.44, p =0.001). For migraine there was a significant association
with both anxiety and depressive symptoms and attention difficulties, while
tension-type headache was significantly associated only with symptoms of anxiety
and depression. Non-classifiable headache was associated with attention
difficulties and conduct difficulties, but not with anxiety and depressive
symptoms. Headache frequency was significantly associated with increasing
symptoms scores for anxiety and depressive symptoms as well as attention
difficulties, evident for both age groups. CONCLUSIONS: The results from the
present study indicate that both anxiety and depressive symptoms and behavioral
problems are associated with recurrent headache, and should accordingly be
considered a part of the clinical assessment of children and adolescents with
headache. Identification of these associated factors and addressing them in
interventions may improve headache management.
PMID- 24925254
TI - In vivo pediatric shoulder muscle volumes and their relationship to 3D strength.
AB - In the pediatric shoulder, injury and pathology can disrupt the muscle force
balance, resulting in severe functional losses. As little data exists pertaining
to in vivo pediatric shoulder muscle function, musculoskeletal data are crucially
needed to advance the treatment of pediatric shoulder pathology/injury.
Therefore, the purpose of this study was to develop a pediatric database of in
vivo volumes for the major shoulder muscles and correlate these volumes with
maximum isometric flexion/extension, internal/external rotation, and
abduction/adduction joint moments. A methodology was developed to derive 3D
shoulder muscle volumes and to divide the deltoid into sub-units with unique
torque producing capabilities, based on segmentation of three-dimensional
magnetic resonance images. Eleven typically developing children/adolescents
(4F/7M, 12.0 +/- 3.2 years, 150.8 +/- 16.7 cm, 49.2 +/- 16.4 kg) participated.
Correlation and regression analyses were used to evaluate the relationship
between volume and maximum, voluntary, isometric joint torques. The deltoid
demonstrated the largest (30.4 +/- 1.2%) and the supraspinatus the smallest (4.8
+/- 0.5%) percent of the total summed volume of all six muscles evaluated. The
anterior and posterior deltoid sections were 43.4 +/- 3.9% and 56.6 +/- 3.9% of
the total deltoid volume. The percent volumes were highly consistent across
subjects. Individual muscle volumes demonstrated moderate-high correlations with
torque values (0.70-0.94, p<0.001). This study presents a comprehensive database
documenting normative pediatric shoulder muscle volume. Using these data a clear
relationship between shoulder volume and the torques they produce was established
in all three rotational degrees-of-freedom. This study furthers the understanding
of shoulder muscle function and serves as a foundation for evaluating shoulder
injury/pathology in the pediatric/adolescent population.
PMID- 24925255
TI - Normal masticatory function partially protects the rat mandibular bone from
estrogen-deficiency induced osteoporosis.
AB - BACKGROUND/AIM: In a previous study we showed that mandibular alveolar
(trabecular) bone appears to be less sensitive to estrogen deficiency than the
proximal tibia spongiosa. We hypothesized that the mechanical loading of the
alveolar process during mastication may protect the alveolar bone from the
detrimental effects observed in other skeletal sites. To test this hypothesis we
compared the effect of ovariectomy on the mandibular alveolar bone and the
proximal tibia spongiosa of rats fed either a normal (hard) or a soft diet.
METHODS: Forty six-month-old female Sprague-Dawley rats underwent trans-abdominal
ovariectomy (OVX) or sham operation (SHAM). Half of the animals received their
food in the usual form of pellets (hard consistency), while the other half
received a soft, porridge-like, isocaloric diet of identical composition (soft
consistency). Micro-computed tomographic histomorphometry was used to evaluate
the trabecular micro-architecture. A two-factor analysis of variance was used to
test for effects and interaction of ovariectomy and/or soft diet. RESULTS: OVX
had a significantly negative effect on the proximal tibia spongiosa (all
parameters under study except trabecular thickness; p<0.001) and on the
mandibular alveolar bone (trabecular number and spacing; p<0.05). Soft diet led
to a further decrease of mandibular BV/TV (p<0.01), trabecular thickness (p<0.05)
and number (p<0.05), as well as increase of separation (p<0.001). A significant
interaction was observed between OVX and soft diet concerning the mandibular
BV/TV, as well as trabecular thickness and spacing (p<0.05). CONCLUSION: Normal
(hard) diet limited significantly the negative effects of estrogen deficiency on
mandibular alveolar bone micro-architecture four months after ovariectomy.
PMID- 24925256
TI - Tailoring 3,3'-dihydroxyisorenieratene to hydroxystilbene: finding a resveratrol
analogue with increased antiproliferation activity and cell selectivity.
AB - Four novel compounds were designed by "tailoring" 3,3'-dihydroxyisorenieratene (a
natural carotenoid) based on an isoprene unit retention truncation strategy.
Among them, the smallest molecule 1 (2,3,6,2',3',6'-hexamethyl-4,4'-dihydroxy
trans-stilbene) was concisely synthesized in a one-pot Stille-Heck tandem
sequence, and surfaced as a promising lead molecule in terms of its selective
antiproliferative activity mediated by blocking the NCI-H460 cell cycle in G1
phase. Additionally, theoretical calculations and cell uptake experiments
indicate that the unique polymethylation pattern of compound 1 significantly
induces a conformational change shift out of planarity and increases its cell
uptake and metabolic stability. The observation should be helpful to rationally
design resveratrol-inspired antiproliferative agents.
PMID- 24925257
TI - Unilateral subarachnoid and intravitreous FLAIR hyperintensities after gadolinium
enhanced MRI.
PMID- 24925258
TI - Band-gap manipulations of monolayer graphene by phenyl radical adsorptions: a
density functional theory study.
AB - Phenyl radical (Ph) adsorption on monolayer graphene sheets is used to
investigate the band-gap manipulation of graphene through density functional
theory. Adsorption of a single Ph on graphene breaks the aromatic pi-bond and
generates an unpaired electron, which is delocalized to the ortho or para
position. Adsorption of a second radical at the ortho or para position saturates
the radical by electron pairing and results in semiconducting graphene.
Adsorption of a second radical at the ortho position (ortho-ortho pairing) is
found to be more favorable than adsorption at the para position (ortho-para
pairing), and the ortho-ortho pairing has stronger effects on band-gap opening
compared with ortho-para pairing. Adsorption of even numbers of Ph on graphene by
ortho-ortho and ortho-para pairings, in general, increases the band gap. Our
study shows promise of band-gap manipulation in monolayer graphene by Ph
adsorption, leading to potential wider applications of graphene.
PMID- 24925259
TI - Self-awareness of foot health status in patients with Type 2 diabetes: the
Fremantle Diabetes Study Phase II.
AB - AIMS: To determine self-awareness of diabetes-related foot problems and its
associates in a community-based cohort of people with Type 2 diabetes. METHODS: A
survey concerning diabetic foot problems was administered to 358 consecutive
patients with Type 2 diabetes [mean +/- SD age 67.4 +/- 10.8 years, 56.1% males,
median (interquartile range) diabetes duration 9.0 (3.9-16.8) years] attending
for detailed clinical, biochemical and questionnaire assessment as part of the
longitudinal observational Fremantle Diabetes Study Phase II. RESULTS: Compared
with the 213 patients (59.5%) who considered their feet to be normal, the 145
(40.5%) who considered their feet to be abnormal were older, had longer diabetes
duration and were more likely to have sensory neuropathic symptoms and self
reported poor circulation (P < 0.001). In those who considered their feet to be
normal, 67.9% had peripheral sensory neuropathy (score >2/8 on the Michigan
Neuropathy Screening Instrument clinical portion), 9.9% had an ankle-brachial
index < 0.9, 6.1% had both peripheral sensory neuropathy and an ankle-brachial
index < 0.90, and 86.9% had one or more features on inspection, such as
deformity, dry skin, callus and fissures that could facilitate more serious
complications, despite the majority having had at least one foot examination by a
healthcare professional in the previous year. CONCLUSIONS: Self-assessment of
diabetes-related foot problems by patients in the Fremantle Diabetes Study Phase
II was unreliable. The present data suggest that self-perceived foot health
should be assessed together with foot examination findings. Intensive education
and monitoring may be necessary in those who consider their feet to be normal but
who have neurovascular, structural and/or other precursors of serious foot
pathology.
PMID- 24925260
TI - Combined blockade of testicular and locally made androgens in prostate cancer: a
highly significant medical progress based upon intracrinology.
AB - Recently two drugs, namely the antiandrogen MDV-3100 and the inhibitor of 17alpha
hydroxylase abiraterone have been accepted by the FDA for the treatment of
castration-resistant prostate cancer (CRPC) with or without previous
chemotherapy, with a prolongation of overall survival of 2.2-4.8 months. While
medical (GnRH agonist) or surgical castration reduces the serum levels of
testosterone by about 97%, an important concentration of testosterone and
dihydrotestosterone remains in the prostate and activates the androgen receptor
(AR), thus offering an explanation for the positive data obtained in CRPC. In
fact, explanation of the response observed with MDV-3100 or enzalutamide in CRPC
is essentially a blockade of the action or formation of intraprostatic androgens.
In addition to the inhibition of the action or formation of androgens made
locally by the mechanisms of intracrinology, increased AR levels and AR mutations
can be involved, especially in very advanced disease. Future developments look at
more efficient inhibitors of the action or formation of intraprostatic androgens
and starting treatment earlier when blockade of androgens can exert long-term
control and even cure prostate cancer treated at a stage before the appearance of
metastases. This article is part of a Special Issue entitled 'Essential role of
DHEA'.
PMID- 24925263
TI - Acute hyperammonemia and systemic inflammation is associated with increased
extracellular brain adenosine in rats: a biosensor study.
AB - Acute liver failure (ALF) can lead to brain edema, cerebral hyperperfusion and
intracranial hypertension. These complications are thought to be mediated by
hyperammonemia and inflammation leading to altered brain metabolism. As increased
levels of adenosine degradation products have been found in brain tissue of
patients with ALF we investigated whether hyperammonemia could induce adenosine
release in brain tissue. Since adenosine is a potent vasodilator and modulator of
cerebral metabolism we furthermore studied the effect of adenosine receptor
ligands on intracranial pressure (ICP) and cerebral blood flow (CBF). We measured
the adenosine concentration with biosensors in rat brain slices exposed to
ammonia and in a rat model with hyperammonemia and systemic inflammation.
Exposure to ammonia in concentrations from 0.15-10 mM led to increases in the
cortical adenosine concentration up to 18 uM in brain slices. In vivo recordings
showed a tendency towards increased adenosine levels in rats with hyperammonemia
and systemic inflammation compared to a control group (3.7 +/- 0.7 vs. 0.8 +/-
0.2 uM, P = 0.06). This was associated with a significant increase in ICP and
CBF. Intervention with the non-selective adenosine receptor antagonist
theophyllamine, the A2A receptor antagonist ZM241385, or the A1 receptor agonist
N6-Cyclopentyladenosine did not reduce ICP or CBF. In conclusion, our results
show that the adenosine concentration in cortex increases during exposure to
ammonia, and is associated with a rise in intracranial pressure and cerebral
perfusion. However adenosine receptor antagonism/agonism did not reduce the ICP
or CBF which indicates that adenosine may not be of direct importance for these
cerebral complications in ALF.
PMID- 24925261
TI - sigma-1 Receptor Inhibition of ASIC1a Channels is Dependent on a Pertussis Toxin
Sensitive G-Protein and an AKAP150/Calcineurin Complex.
AB - ASIC1a channels play a major role in various pathophysiological conditions
including depression, anxiety, epilepsy, and neurodegeneration following ischemic
stroke. Sigma-1 (sigma-1) receptor stimulation depresses the activity of ASIC1a
channels in cortical neurons, but the mechanism(s) by which sigma-1 receptors
exert their influence on ASIC1a remains unknown. Experiments were undertaken to
elucidate the signaling cascade linking sigma-1 receptors to ASIC1a channels.
Immunohistochemical studies showed that sigma-1 receptors, ASIC1a and A-kinase
anchoring peptide 150 colocalize in the plasma membrane of the cell body and
processes of cortical neurons. Fluorometric Ca(2+) imaging experiments showed
that disruption of the macromolecular complexes containing AKAP150 diminished the
effects of the sigma-1 on ASIC1a, as did application of the calcineurin
inhibitors, cyclosporin A and FK-506. Moreover, whole-cell patch clamp
experiments showed that sigma-1 receptors were less effective at decreasing
ASIC1a-mediated currents in the presence of the VIVIT peptide, which binds to
calcineurin and prevents cellular effects dependent on AKAP150/calcineurin
interaction. The coupling of sigma-1 to ASIC1a was also disrupted by
preincubation of the neurons in the G-protein inhibitor, pertussis toxin (PTX).
Taken together, our data reveal that sigma-1 receptor block of ASIC1a function is
dependent on activation of a PTX-sensitive G-protein and stimulation of AKAP150
bound calcineurin.
PMID- 24925262
TI - Modifications of diflunisal and meclofenamate carboxyl groups affect their
allosteric effects on GABAA receptor ligand binding.
AB - Gamma-aminobutyric acid type A receptors (GABAAR) are allosterically modulated by
the nonsteroidal anti-inflammatory drugs diflunisal and fenamates. The carboxyl
group of these compounds is charged at physiological pH and therefore penetration
of the compounds into the brain is low. In the present study we have transformed
the carboxyl group of diflunisal and meclofenamate into non-ionizable functional
groups and analyzed the effects of the modifications on stimulation of
[(3)H]muscimol binding and on potentiation of gamma-aminobutyric acid-induced
displacement of 4'-ethenyl-4-n-[2,3-(3)H]propylbicycloorthobenzoate. N-Butylamide
derivative of diflunisal modulated radioligand binding with equal or higher
potency than the parent compound, while diflunisalamide showed reduced allosteric
effect as compared to diflunisal. Amide derivative of meclofenamate equally
affected radioligand binding parameters, while both diflunisal and meclofenamate
methyl esters were less active than the parent compounds. Our study clearly
demonstrates that an intact carboxyl group in diflunisal and meclofenamate is not
indispensable for their positive GABAAR modulation. Further derivatization of the
compound might yield compounds with higher selectivity for GABAARs that could be
utilized in drug development.
PMID- 24925264
TI - The ecology of ticks and epidemiology of tick-borne viral diseases.
AB - A number of tick-borne diseases of humans have increased in incidence and
geographic range over the past few decades, and there is concern that they will
pose an even greater threat to public health in future. Although global warming
is often cited as the underlying mechanism favoring the spread of tick-borne
diseases, climate is just one of many factors that determine which tick species
are found in a given geographic region, their population density, the likelihood
that they will be infected with microbes pathogenic for humans and the frequency
of tick-human contact. This article provides basic information needed for
microbiologists to understand the many factors that affect the geographic range
and population density of ticks and the risk of human exposure to infected ticks.
It first briefly summarizes the life cycle and basic ecology of ticks and how
ticks and vertebrate hosts interact, then reviews current understanding of the
role of climate, sociodemographic factors, agricultural development and changes
in human behavior that affect the incidence of tick-borne diseases. These
concepts are then illustrated in specific discussions of tick-borne encephalitis
and Crimean-Congo hemorrhagic fever.
PMID- 24925265
TI - Estimation of the ancestral effective population sizes of African great apes
under different selection regimes.
AB - Reliable estimates of ancestral effective population sizes are necessary to
unveil the population-level phenomena that shaped the phylogeny and molecular
evolution of the African great apes. Although several methods have previously
been applied to infer ancestral effective population sizes, an analysis of the
influence of the selective regime on the estimates of ancestral demography has
not been thoroughly conducted. In this study, three independent data sets under
different selective regimes were used were composed to tackle this issue. The
results showed that selection had a significant impact on the estimates of
ancestral effective population sizes of the African great apes. The inference of
the ancestral demography of African great apes was affected by the selection
regime. The effects, however, were not homogeneous along the ancestral
populations of great apes. The effective population size of the ancestor of
humans and chimpanzees was more impacted by the selection regime when compared to
the same parameter in the ancestor of humans, chimpanzees and gorillas. Because
the selection regime influenced the estimates of ancestral effective population
size, it is reasonable to assume that a portion of the discrepancy found in
previous studies that inferred the ancestral effective population size may be
attributable to the differential action of selection on the genes sampled.
PMID- 24925266
TI - A biocomposite of collagen nanofibers and nanohydroxyapatite for bone
regeneration.
AB - This work aims to design a synthetic construct that mimics the natural bone
extracellular matrix through innovative approaches based on simultaneous type I
collagen electrospinning and nanophased hydroxyapatite (nanoHA) electrospraying
using non-denaturating conditions and non-toxic reagents. The morphological
results, assessed using scanning electron microscopy and atomic force microscopy
(AFM), showed a mesh of collagen nanofibers embedded with crystals of HA with
fiber diameters within the nanometer range (30 nm), thus significantly lower than
those reported in the literature, over 200 nm. The mechanical properties,
assessed by nanoindentation using AFM, exhibited elastic moduli between 0.3 and 2
GPa. Fourier transformed infrared spectrometry confirmed the collagenous
integrity as well as the presence of nanoHA in the composite. The network
architecture allows cell access to both collagen nanofibers and HA crystals as in
the natural bone environment. The inclusion of nanoHA agglomerates by
electrospraying in type I collagen nanofibers improved the adhesion and metabolic
activity of MC3T3-E1 osteoblasts. This new nanostructured collagen-nanoHA
composite holds great potential for healing bone defects or as a functional
membrane for guided bone tissue regeneration and in treating bone diseases.
PMID- 24925267
TI - The function of multiple ejaculations in bitterling.
AB - In some taxa, males perform multiple ejaculations, which may function in sperm
competition or in maintaining a baseline density of spermatozoa in the female
reproductive tract to ensure fertilization, a process that has been termed
'topping up'. We investigated the function of multiple ejaculations in two
species of bitterling, the European bitterling (Rhodeus amarus) and Chinese rose
bitterling (Rhodeus ocellatus). Bitterling oviposit in living freshwater mussels,
with fertilization taking place within the mussel gill cavity. Thus, although
fertilization is external, the mussel is analogous to the female reproductive
tract in an internally fertilizing species. We measured the frequency of
ejaculations and mussel inspections by individual males of two bitterling species
in 28 replicated mesocosms and examined focal male responses to rival
ejaculations and the presence of females in spawning condition. We used a model
of ejaculatory behaviour to simulate the temporal abundance of spermatozoa in
mussels. Male R. amarus exhibited high rates of ejaculation and inspection of the
siphons of mussels and increased their ejaculation rate in response to the
presence of females in spawning condition. Rhodeus ocellatus showed lower overall
rates of ejaculation, but significantly elevated ejaculation rate in response to
rival ejaculations. The ejaculatory strategy of R. amarus is one that maintains a
minimum level of spermatozoa in mussels, which is elevated when the probability
of oviposition increases. In contrast, R. ocellatus engages more directly in
sperm competition with rivals. We discuss these results in the context of the
function of multiple ejaculations and male mating tactics.
PMID- 24925268
TI - Development and validation of an LC-MS/MS method for the determination of
mesalazine in beagle dog plasma and its application to a pharmacokinetic study.
AB - A simple, specific and sensitive LC-MS/MS method was developed and validated for
the determination of mesalazine in beagle dog plasma. The plasma samples were
prepared by protein precipitation, then the separation of the analyte was
achieved on a Waters Spherisorb C6 column (150 * 4.6 mm, 5 um) with a mobile
phase consisting of 0.2% formic acid in water-methanol (20:80, v/v). The flow
rate was set at 1.0 mL/min with a split ratio of 3:2. Mass spectrometric
detection was achieved by a triple-quadrupole mass spectrometer equipped with an
electrospray source interface in positive ionization mode. Quantitation was
performed using selected reaction monitoring of precursor-product ion transitions
at m/z 154 -> m/z 108 for mesalazine and m/z 285 -> m/z 193 for diazepam
(internal standard). The linear calibration curve of mesalazine was obtained over
the concentration range 50-30,000 ng/mL. The matrix effect of mesalazine was
within +/-9.8%. The intra- and inter-day precisions were <7.9% and the accuracy
(relative error) was within +/-3.5%. The validated method was successfully
applied to investigate the pharmacokinetics of mesalazine in healthy beagle dogs
after rectal administration of mesalazine suppository.
PMID- 24925269
TI - The membrane proteome of Medicago truncatula roots displays qualitative and
quantitative changes in response to arbuscular mycorrhizal symbiosis.
AB - Arbuscular mycorrhizal (AM) symbiosis that associates roots of most land plants
with soil-borne fungi (Glomeromycota), is characterized by reciprocal nutritional
benefits. Fungal colonization of plant roots induces massive changes in cortical
cells where the fungus differentiates an arbuscule, which drives proliferation of
the plasma membrane. Despite the recognized importance of membrane proteins in
sustaining AM symbiosis, the root microsomal proteome elicited upon mycorrhiza
still remains to be explored. In this study, we first examined the qualitative
composition of the root membrane proteome of Medicago truncatula after microsome
enrichment and subsequent in depth analysis by GeLC-MS/MS. The results obtained
highlighted the identification of 1226 root membrane protein candidates whose
cellular and functional classifications predispose plastids and protein synthesis
as prevalent organelle and function, respectively. Changes at the protein
abundance level between the membrane proteomes of mycorrhizal and nonmycorrhizal
roots were further monitored by spectral counting, which retrieved a total of 96
proteins that displayed a differential accumulation upon AM symbiosis. Besides
the canonical markers of the periarbuscular membrane, new candidates supporting
the importance of membrane trafficking events during mycorrhiza
establishment/functioning were identified, including flotillin-like proteins. The
data have been deposited to the ProteomeXchange with identifier PXD000875.
BIOLOGICAL SIGNIFICANCE: During arbuscular mycorrhizal symbiosis, one of the most
widespread mutualistic associations in nature, the endomembrane system of plant
roots is believed to undergo qualitative and quantitative changes in order to
sustain both the accommodation process of the AM fungus within cortical cells and
the exchange of nutrients between symbionts. Large-scale GeLC-MS/MS proteomic
analysis of the membrane fractions from mycorrhizal and nonmycorrhizal roots of
M. truncatula coupled to spectral counting retrieved around one hundred proteins
that displayed changes in abundance upon mycorrhizal establishment. The symbiosis
related membrane proteins that were identified mostly function in
signaling/membrane trafficking and nutrient uptake regulation. Besides extending
the coverage of the root membrane proteome of M. truncatula, new candidates
involved in the symbiotic program emerged from the current study, which pointed
out a dynamic reorganization of microsomal proteins during the accommodation of
AM fungi within cortical cells.
PMID- 24925271
TI - Effects of grouping and isolation on feeding, food conversion and energy
expenditure of domestic chicks.
AB - At ambient temperatures of 25-30 degrees C, domestic chicks gained more weight
between 6 and 10 days of age when kept in groups of three than in isolation. This
difference in growth rate, which was not affected by variation in social
environment before testing, was due to a difference in food conversion efficiency
only, and not to one in food intake. The only evidence of social enhancement of
feeding was a temporary increase in feeding activity (but not food intake) shown
by grouped chicks previously kept in isolation. The consistent difference in food
conversion between grouped and isolated chicks was not due to differences in
activity levels, digestibility, emotionality or stress, but was associated with a
difference in metabolic heat production, or heat loss. Although there was little
evidence of huddling by grouped chicks, their heat production was lower than that
of isolates at ambient temperatures of 20 and 25 degrees C, but not at 30 and 35
degrees C; and the difference in food conversion efficiency disappeared at 35
degrees C. It is concluded that isolates lack the opportunities that grouped
chicks have for behavioral thermoregulation, but that all chicks achieve equal
status energetically when the ambient temperature lies within or near the zone of
minimal metabolic rate.
PMID- 24925272
TI - Temporal pattern of motor activity of chicks during the early posthatching
period.
AB - The motor activity of domestic chicks was continuously recorded in running-wheels
to ascertain whether it exhibits predictable temporal variations during the first
3-4 days after hatching. As recorded in constant light and several different
temperatures, this activity was characterized by a general increment after the
first day, by irregular fluctuations over intervals of a few hours, and by
regular, near 24-h cycles commencing after an initial period of variable
activity. The phase pattern of the cycles of normally hatching chicks correlated
consistently with posthatching age. Artificially advancing and delaying emergence
from the shell shifted the phases accordingly, thus indicating that the phase
pattern, rather than being developmentally scheduled, was determined by initial
light exposure and, perhaps, slight temperature change at the time of shell
emergence. The cycles, indicative of a circadian rhythm, provide a prospective
basis for predicting variations in other aspects of behaviour.
PMID- 24925270
TI - The effects of the mediterranean diet on biomarkers of vascular wall inflammation
and plaque vulnerability in subjects with high risk for cardiovascular disease. A
randomized trial.
AB - BACKGROUND: Adherence to the Mediterranean diet (MD) is associated with reduced
morbidity and mortality due to cardiovascular disease. However, how the MD exerts
its effects is not fully known. AIM: To assess the 12-month effects of two
enhanced MDs compared to a low-fat diet on inflammatory biomarkers related to
atherosclerosis and plaque vulnerability in a subcohort of the PREDIMED
(Prevencion con Dieta Mediterranea) study. METHODS: A total of 164 participants
at high risk for cardiovascular disease were randomized into three diet groups:
MD supplemented with 50mL/d of extra virgin olive oil (MD+EVOO) or 30 g/d of nuts
(MD+Nuts) and a low-fat diet. Changes in classical cardiovascular risk factors,
inflammatory biomarkers of atherosclerosis and plaque vulnerability were measured
after 12 months of intervention. RESULTS: Compared to participants in the low-fat
diet group, those receiving MD+EVOO and MD+Nuts showed a higher decrease in
systolic (6mmHg) and diastolic (3mmHg) blood pressure (P = 0.02; both), as well
as a reduction of 10% and 8% in LDL-cholesterol (P = 0.04), respectively.
Patients in the MD+Nuts group showed a significant reduction of 34% in CD40
expression on monocyte surface compared to low-fat diet patients (P = 0.03). In
addition, inflammatory biomarkers related to plaque instability such as C
reactive protein and interleukin-6 were reduced by 45% and 35% and 95% and 90% in
the MD+EVOO and MD+Nuts groups, respectively (P<0.05; all) compared to the low
fat diet group. Likewise, sICAM and P-selectin were also reduced by 50% and 27%,
respectively in the MD+EVOO group (P = 0.04) and P-selectin by 19% in MD+Nuts
group (P = 0.04) compared to the low-fat diet group. CONCLUSIONS: Adherence to
the MD is associated with an increase in serum markers of atheroma plaque
stability which may explain, at least in part, the protective role of MD against
ischemic heart disease. TRIAL REGISTRATION: www.controlled-trials.com
ISRCTN35739639.
PMID- 24925273
TI - Auditory stimulus intensity and the neonatal approach response of domestic chicks
(Gallus gallus).
AB - Three experiments were performed to investigate the neonatal approach response of
domestic chicks and its behavioural accompaniments to three intermittent auditory
stimulus intensities (62, 67, and/or 75 db) and a baseline condition. Chicks from
a sample of the Cornell Randombred population were tested at 24, 48, 72, 96, 120,
and/or 144 h of age. The results indicated that louder auditory stimulus
intensities are generally approached more rapidly. Also, more snuggling and
contentment peeping and less orientation away and leaving the goal area occured
at higher levels of auditory stimulus intensity. Pecking scores increased with
increasing age. Behaviours, other than approach speed, were typically more
sensitive to changes in chick respositivity.
PMID- 24925274
TI - Discriminatory approach to auditory stimuli in Guinea fowl (Numida meleagris)
after hyperstriatal/hippocampal brain damage.
AB - Guinea fowl were trained to approach, feed and retreat from a food dish after
hearing a species-specific food-trill. An ethogram including approach, search,
locomotion and tension behaviour was recorded before and after operation for a
training and a test regime of variations of the natural calls and other sounds.
Experimental groups consisted of shamcontrols and birds with lesions in the
hyperstriatum/hippocampus anterior and posterior to the anterior commissure. Both
the 'anterior' and 'posterior' groups showed impaired recognition of the stimulus
variations as shown by increases of approach by the posterior group and of search
behaviour by both lesion groups. The long approach of the posterior group was
followed by a long period of arousal (high tension). This was more quickly
habituated in the anterior group (search behaviour changed to low tension). It is
hypothesised that in the anterior group, where activation is followed by a
disengagement, there may have been changes in thresholds for matching learned
specifications with new sensory input. The more generalised approach responses of
the posterior group may show an impairment of the rules for the selection of
input.
PMID- 24925275
TI - Food recruitment strategies of the ants Myrmica sabuleti and Myrmica ruginodis.
AB - The food-gathering systems of Myrmica sabuleti and Myrmica ruginodis are analysed
and compared to those, previously described, of M. rubra and M. scabrinodis.
Workers of the two species studied in this paper collect pure water or small dead
prey without actively recruiting congeners. Collecting ants of M. ruginodis lay
down a trail pheromone from their poison gland only when returning to the nest,
while foragers of M. sabuleti deposit a trail on their way both to the nest and
back to the food but almost only in darkness. Collecting ants of both species are
not followed by the few workers which, excited by them in the nest, individually
reach the food. In the two species studied, an active recruitment of workers
occurs when a large dead prey or a source of sugared water is presented to a
colony. After having deposited their trail on their way to the nest, recruiting
ants 'invite', by tactile signals, congeners to leave the nest. Then the
recruiting ants return to the food, laying down their attractive Dufour's gland
content along distances varying with the species and the food stimuli considered.
Recruiting ants of M. ruginodis generally emit their Dufour's gland secretion
along longer distances than M. sabuleti foragers, after which they secrete
nothing more, while M. sabuleti workers go on their way to the food laying down
their trail substance again. The food-gathering technique of the two species
studied includes group recruitment, performed on longer distances in M. ruginodis
than in M. sabuleti, followed by mass recruitment. The number of ants finally
recruited onto food is generally excessive in M. ruginodis, and in M. sabuleti
the site is only just saturated. A comparison of these food-collection techniques
with those of different species of Myrmica, together with a chemical and an
ethological study of these species' pheromones would provide information for a
better understanding of these species' ecology, as well as taxonomic and
phylogenetic positions.
PMID- 24925276
TI - Wheel-running behavior in 12 species of muroid rodents.
AB - The objective of this research was to describe the levels and periodicity of
wheel running in 12 species of muroid rodents in order that comparisons could be
made among different measures of activity in a variety of species. Six males from
each species (Peromyscus polionotus, P. eremicus, P. leucopus, P. maniculatus, P.
gossypinus, Microtus pennysylvanicus, M. montanus, M. canicaudus, M. ochrogaster,
Calomys callos us, Onychomys leucogaster, and Mus musculus) were tested in
running wheels for 14 days, with the amount of running recorded hourly. There
were significant species differences in amount of wheel running, with Peromyscus
generally running more than Microtus. All species displayed marked nocturnality.
Thus, Peromyscus display consistent nocturnality both across species, and for
various behavioral patterns measured using a variety of methods. By contrast,
Microtus display a nocturnal pattern of wheel running, but not of eating,
drinking, and various other behavioral patterns. These differences appear to
reflect adaptations related to habit and diet.
PMID- 24925277
TI - A dark-light emergence test: Study at two times of the nycthemeral cycle in four
and twelve-week-old rats.
AB - The latency to emerge from a darkened compartment to a lighted one was measured
twice daily in 4-and 12-week-old rats. The test was repeated on three consecutive
days: at 20.00 h on the first day, at 12.00 h and 20.00 h on the second day, and
at 12.00 h and 20.00 h on the third day. The latencies of the older rats were
consistently longer than those of the younger ones. While the time of day had no
influence on the 4-week-old rats performances, latencies of the 12-week-old rats
were considerably longer at 20.00 h than at 12.00 h.
PMID- 24925282
TI - Antibiotic prophylaxis for endoscopic retrograde chlangiopancreatography
increases the detection rate of drug-resistant bacteria in bile.
AB - BACKGROUND: No consensus has yet been reached regarding the utility of antibiotic
prophylaxis for endoscopic retrograde cholangiopancreatography (ERCP). However,
there has been little discussion of potential adverse effects of antibiotic use.
This study investigated the impact of antibiotic prophylaxis on overall levels of
bacterial infiltration of the biliary tract and the prevalence of drug-resistance
among that population. METHODS: Ninety-three patients, from whom intraoperative
bile samples were collected after performing ERCP, were assigned to either an
antibiotic-prophylaxis group (AP, n = 58) or a no-antibiotic-prophylaxis group
(NAP, n = 35). Detection rates of biliary bacteria and antibiotic resistance were
determined for each group. Multivariate analysis was also performed to identify
risk factors for the development of drug-resistant biliary bacteria. RESULTS: The
bile contamination rate was 37.1% for the NAP group and 55.2% for the AP group (P
= 0.09). Drug-resistant bacteria were found in 5.7% of the NAP group and 29.3% of
the AP group (P = 0.006). Biliary drainage and antibiotic prophylaxis for ERCP
were identified as risk factors for the presence of drug-resistant bacteria.
CONCLUSION: Administration of antibiotic prophylaxis prior to ERCP can be a risk
factor for the selection of drug-resistant bacteria in the biliary tract.
PMID- 24925284
TI - Use of kinematic algorithms to distinguish people with chronic non-specific low
back pain from asymptomatic subjects: a validation study.
AB - OBJECTIVE: To determine whether kinematic algorithms can distinguish subjects
with chronic non-specific low back pain from asymptomatic subjects and subjects
simulating low back pain, during trunk motion tasks. DESIGN: Comparative cohort
study. SUBJECTS: A total of 90 subjects composed 3 groups; 45 chronic non
specific low back pain patients in the CLBP group; 45 asymptomatic controls
people in the asymptomatic controls group. 20/45 subjects from the asymptomatic
controls group composed the CLBP simulators group as well. METHOD: During
performance of 7 standardized trunk motion tasks 6 spinal segments from the
kinematic spine model were recorded by 8 infrared cameras. Two logit scores, for
range of motion and speed, were used to investigate differences between the
groups. Group allocation based on logit scores was also calculated, allowing the
assessment of sensitivity and specificity of the algorithms. RESULTS: For the 90
subjects (pooled data), the logit scores for range of motion and speed
demonstrated highly significant differences between groups (p < 0.001). The logit
score means and standard deviation (SD) values in the asymptomatic group (n = 45)
and chronic non-specific low back pain group (n = 45), respectively, were -1.6
(SD 2.6) and 2.8 (SD 2.8) for range of motion and -2.6 (SD 2.5) and 1.2 (SD 1.9)
for speed. The sensitivity and specificity (n = 90) for logit score for range of
motion were 0.80/0.82 and for logit score for speed were 0.80/0.87, respectively.
CONCLUSION: These results support the validity of using 2 movement algorithms,
range of motion and speed, to discriminate asymptomatic subjects from those with
low back pain. However, people simulating low back pain cannot be distinguished
from those with real low back pain using this method.
PMID- 24925283
TI - Prolonged ampakine exposure prunes dendritic spines and increases presynaptic
release probability for enhanced long-term potentiation in the hippocampus.
AB - CX 546, an allosteric positive modulator of alpha-amino-3-hydroxy-5-methyl-4
isoxazolepropionic acid-type ionotropic glutamate receptors (AMPARs), belongs to
a drug class called ampakines. These compounds have been shown to enhance long
term potentiation (LTP), a cellular model of learning and memory, and improve
animal learning task performance, and have augmented cognition in
neurodegenerative patients. However, the chronic effect of CX546 on synaptic
structures has not been examined. The structure and integrity of dendritic spines
are thought to play a role in learning and memory, and their abnormalities have
been implicated in cognitive disorders. In addition, their structural plasticity
has been shown to be important for cognitive function, such that dendritic spine
remodeling has been proposed as the morphological correlate for LTP. Here, we
tested the effect of CX546 on dendritic spine remodeling following long-term
treatment. We found that, with prolonged CX546 treatment, organotypic hippocampal
slice cultures showed a significant reduction in CA3-CA1 excitatory synapse and
spine density. Electrophysiological approaches revealed that the CA3-CA1
circuitry compensates for this synapse loss by increasing synaptic efficacy
through enhancement of presynaptic release probability. CX546-treated slices
showed prolonged and enhanced potentiation upon LTP induction. Furthermore,
structural plasticity, namely spine head enlargement, was also more pronounced
after CX546 treatment. Our results suggest a concordance of functional and
structural changes that is enhanced with prolonged CX546 exposure. Thus, the
improved cognitive ability of patients receiving ampakine treatment may result
from the priming of synapses through increases in the structural plasticity and
functional reliability of hippocampal synapses.
PMID- 24925285
TI - Permanent twelfth nerve palsy secondary to C0 and C1 fracture in patient with
craniocervical pneumatisation.
AB - INTRODUCTION: Craniocervical pneumatisation of both occiput and upper cervical
vertebrae is extremely rare. Although it was stated that hyperpneumatisation can
lead to fracture, only few cases of such injuries have been reported. Generally,
craniocervical fractures represent a small number of cervical spine injuries and
they are usually caused by high-energy trauma and can be associated with lower
cranial nerves palsy. CASE REPORT: We present here a case of healthy man with
mostly left sided pneumatisation of occiput and C1 who suffered from fractures of
occipital condyle and posterior arch of C1 associated with permanent hypoglossal
nerve injury. Both fractures were stable and he was treated conservatively with a
rigid collar. CONCLUSION: At follow-up, the patient reported no pain and no
restriction in head movement. Total hypoglossal nerve palsy remained unchanged.
Conservative treatment is a method of choice in such cases.
PMID- 24925286
TI - Estimation of sagittal pelvic orientation from frontal standard radiograph using
the sacral-femoral-pubic angle: feasibility study in the pediatric population.
AB - PURPOSE: Pelvic tilt is usually measured on a full spine sagittal view. The
sacral-femoral-pubic angle (SFP) has been previously described as a reproducible
method to estimate pelvic tilt on a pelvis AP view. The aim of our study is to
determine the reliability of the extrapolated pelvic tilt (ePT) using the SFP
angle in the pediatric population. METHODS: We selected 240 full spine X-rays in
our pediatric imaging database. The cohort was equally distributed according
gender and age. The following parameters were measured: SFP angle on the AP view,
pelvic tilt (PT), pelvic incidence (PI) and sacral slope (SS) on the sagittal
view. Concordance between the measured pelvic tilt (mPT) and the calculated (ePT)
value of PT was tested by a correlation test. Intra- and inter-observer
reliability was tested for each parameter using ANOVA. RESULTS: Our cohort
included 240 children aged from 1 to 20 years (mean age 10.7 years). Mean SFP
angle was 68.98 degrees +/- 6.8, mPT was 6.67 degrees +/- 8.56, ePT was 6.04
degrees +/- 6.79. The mean PI (45.04 degrees +/- 11.09) and SS (38.63 degrees
+/- 8.12) were comparable to previously published pediatric data according to age
groups. Intra- and inter-observer reliability showed acceptable correlation.
Concordance between mPT and ePT was higher in older children (patients >10
years). CONCLUSIONS: Estimated value of pelvic tilt using the SFP angle showed
acceptable correlation to the pelvic tilt measured on sagittal view of the pelvis
in children over 10 years. However, correlation rates were lower than previous
publication in adult population. This simple method could accurately estimate the
pelvic orientation on a single frontal view of the pelvis, which may be of
particular interest in understanding the relationship between pelvic orientation
and hip pathology. LEVEL OF EVIDENCE: IV.
PMID- 24925288
TI - Lack of harmonization of red blood cell distribution width (RDW). Evaluation of
four hematological analyzers.
AB - OBJECTIVES: To assess analytical imprecision and comparability of red blood cell
distribution width (RDW) on Abbott Sapphire, Mindray BC6800, Siemens Advia 2120
and Sysmex XE-5000. DESIGN AND METHODS: Within-run imprecision was assessed on
three pools and comparability using 132 inpatient samples. RESULTS: The
imprecision of RDW was comprised between 0.3 and 1.2%, but the values exhibited
broad variation among different analyzers, with bias exceeding the desirable
quality specifications. CONCLUSIONS: Harmonization of RDW is still an unmet need.
PMID- 24925287
TI - Effects of eicosapentaenoic acid and docosahexaenoic acid on prostate cancer cell
migration and invasion induced by tumor-associated macrophages.
AB - Eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA) are the major n-3
polyunsaturated fatty acids (PUFAs) in fish oil that decrease the risk of
prostate cancer. Tumor-associated macrophages (TAMs) are the main leukocytes of
intratumoral infiltration, and increased TAMs correlates with poor prostate
cancer prognosis. However, the mechanism of n-3 PUFAs on prostate cancer cell
progression induced by TAMs is not well understood. In this study, we
investigated the effects of EPA and DHA on modulating of migration and invasion
of prostate cancer cells induced by TAMs-like M2-type macrophages. PC-3 prostate
cancer cells were pretreated with EPA, DHA, or the peroxisome proliferator
activated receptor (PPAR)-gamma antagonist, GW9662, before exposure to
conditioned medium (CM). CM was derived from M2-polarized THP-1 macrophages. The
migratory and invasive abilities of PC-3 cells were evaluated using a coculture
system of M2-type macrophages and PC-3 cells. EPA/DHA administration decreased
migration and invasion of PC-3 cells. The PPAR-gamma DNA-binding activity and
cytosolic inhibitory factor kappaBalpha (IkappaBalpha) protein expression
increased while the nuclear factor (NF)-kappaB p65 transcriptional activity and
nuclear NF-kappaB p65 protein level decreased in PC-3 cells incubated with CM in
the presence of EPA/DHA. Further, EPA/DHA downregulated mRNA expressions of
matrix metalloproteinase-9, cyclooxygenase-2, vascular endothelial growth factor,
and macrophage colony-stimulating factor. Pretreatment with GW9662 abolished the
favorable effects of EPA/DHA on PC-3 cells. These results indicate that EPA/DHA
administration reduced migration, invasion and macrophage chemotaxis of PC-3
cells induced by TAM-like M2-type macrophages, which may partly be explained by
activation of PPAR-gamma and decreased NF-kappaB p65 transcriptional activity.
PMID- 24925289
TI - Measurement of Galectin-3 with the ARCHITECT assay: Clinical validity and cost
effectiveness in patients with heart failure.
AB - OBJECTIVES: Galectin-3 (Gal-3) testing is emerging as a valuable tool for the
prognosis of heart failure (HF). Our objectives were to determine the clinical
validity and cost-effectiveness of the recently developed ARCHITECT Gal-3
automated immunoassay. DESIGN AND METHODS: Gal-3 levels were measured in HF
patients with reduced left ventricular ejection fraction with the ARCHITECT
i2000SR Gal-3 assay as well as with the reference Gal-3 ELISA assay. The
relationship between Gal-3 levels determined with the automated assay and HF
severity as well as its predictive value for long-term cardiovascular death were
evaluated. The impact of Gal-3 testing on the diagnostic related group (DRG)
based reimbursement was also estimated. RESULTS: Gal-3 levels measured with the
ARCHITECT assay were related to the severity of HF based on New York Heart
Association functional classes (p<0.001) and were also significantly and
positively correlated to BNP concentrations (r=0.35, p<0.001). Gal-3 values
higher than 19.2 ng/mL were predictive of long-term cardiovascular death in
patients with systolic HF and also provided incremental prognostic information to
BNP testing. In addition, Gal-3 testing was estimated to save DRG in comparison
to standard of care. CONCLUSIONS: Our results demonstrated the clinical validity
of the ARCHITECT Gal-3 automated immunoassay for the risk stratification of HF
patients. The automation of Gal-3 testing was also cost-effective and might help
to preserve hospital budget.
PMID- 24925290
TI - Behavioral contrast of a complex operant.
AB - Two experiments were conducted in which pigeons were trained to perform a complex
operant consisting of a peck to one key followed by a peck to another key. In the
first experment this performance was reinforced on a variable-interval schedule
and the birds were then subjected to a multiple schedule in which the variable
interval was alternated with extinction. The first two pigeons trained showed
some deterioration of the cohesiveness of the response sequence. After measures
were taken to correct this the pigeon gave evidence of behavioral contrast in a
condition where sessions with discrimination were alternated rapidly with
sessions with no discrimination (variable-interval only). Another two birds were
trained on variable-interval followed by discrimination. One of these birds
showed evidence of contrast but the results of the other were not clear.
Experiment II was a three phase experiment in which animals were first trained to
make the complex response. In the second phase they were extinguished by
providing noncontingent reinforcement in each of two components. In the third
phase reinforcement was removed from one component, providing for a stimulus
reinforcer contingency. In the third phase the complex response reappeared
temporarily. The results of these two studies indicate that a complex response
may show behavioral contrast and that it may be enhanced by stimulus-reinforcer
contingencies if it has already been trained.
PMID- 24925291
TI - Maternal aggression and intermale social aggression: a behavioral comparison.
AB - The aggressive behavior of alpha male rats and lactating females were each
examined toward an intact adult male rat, a castrated adult male rat, an
anesthetized adult male rat, a nonlactating adult female rat, an adult albino
guinea pig (male or female), or an albino mouse (male or female). When in their
living colony, females displayed high levels of aggressiveness toward all
stimulus objects except a mouse. The aggression toward the intruding males
occurred whether the female's pups were present or not. Alpha males were
aggressive toward the same stimuli except an intruding female rat and a mouse.
When tested in an unfamiliar colony, the males but not the females (with or
without pups present) were aggressive toward an adult male rat. Half of the
females but none of the males displayed defensive burying toward an anesthetized
intruder. It is suggested that the attack on an adult female, the absence of
attack outside of the resident colony, and the tendency to display defensive
burying are features of the aggressiveness of lactating females that are
fundamentally different from the aggressiveness of alpha males. The form of the
aggression (lateral attack vs. lunge attack) was only quantitatively different in
males and females.
PMID- 24925292
TI - Classical conditioning, signal detection, and evolution.
AB - Strength of classical conditioning is increased either by increasing
discriminability of the conditioned stimulus (CS) from the background, or by
increasing contingency between conditioned and unconditioned stimili (US).
Classical conditioning can be regarded as a decision process in which the subject
has to decide whether or not to respond with a conditioned response in the
presence or absence of the CS. According to modern evolutionary theories, it
might be assumed that this decision process maximizes the trade-off between cost
and benefits. By assuming that the decision rule maximizes expected benefit, the
empirical relationship between contingency and the strength of classical
conditioning is theoretically derived. In addition, when the decision rule is
incorporated to a signal detection paradigm, theoretical results describing the
relationship between CS discriminability and CS - US contingency with the
strength of classical conditioning are in agreement with experimental data.
PMID- 24925293
TI - Behavioural and adrenocortical responses of domestic chicks to systematic
reductions in group size and to sequential disturbance of companions by the
experimenter.
AB - Disruption of an animal's social environment often causes distress and the
effects of systematic reductions in group size on the behaviour and plasma
corticosterone concentrations of domestic chicks were assessed in Experiment 1.
Because this procedure also exposed the chicks to repeated disturbance by the
experimenter, the behavioural and adrenocortical consequences of sequential
capture and replacement of social companions were examined in Experiment 2.
Female White Leghorn chicks were housed in groups of eight and remained
undisturbed in both experiments until testing began at 14 d of age. Systematic
reduction of group size was accompanied by both endocrine and behavioural
modifications in Experiment 1. Thus, plasma corticosterone levels rose, feeding,
drinking, preening and pecking at the environment gradually ceased whereas
defaecation, ambulation, jumping and peeping became increasingly prevalent. These
modifications were generally abrupt rather than progressive. Endocrine changes
preceded behavioural ones which generally became evident when only two or fewer
chicks remained. Conversely, the sequential capture and temporary removal of
chicks from established groups in Experiment 2 elicited no significant effects in
their uncaptured companions. The results are discussed in terms of social
disruption, disturbance of companions by the experimenter, social motivation,
predator evasion, individual recognition and fear.
PMID- 24925294
TI - Individual differences in choice of food items by pigeons.
AB - Pigeons (Columba livia ) select food items idiosyncratically when feeding on
grains (Brown, 1969; Moon & Zeigler, 1979; Giraldeau & Lefebvre, 1985). In three
experiments pigeons under various conditions of food restriction were offered
artificial "grains", pellets of pigeon food that differed only in size, to see
whether individual differences in preference would still be observed. When 300-mg
("large") and 20-mg ("small") pellets were available simultaneously there were
still wide individual differences in choice, but when encountering the same items
successively pigeons took nearly all the items offered.
PMID- 24925295
TI - Experimental studies on genetically determined predisposition to catatonia in
rats as a model of schizophrenia.
AB - To check experimentally the hypothesis of schizophrenia being a manifestation of
extremely low threshold of hypnotic (catatonic) type of reaction, changes of some
neurophysiologic and neurochemical systems in rats with a genetic predisposition
to catalepsy were compared to analogous changes found so far in schizophrenia or
chronic amphetamine intoxication considered nowadays as the most adequate
pharmacological model of schizophrenia. It is found that in rats predisposed to
catalepsy the threshold of audiogenic seizures is elevated; the activity of
tryptophan hydroxylase in striatum is higher in rats predisposed to catalepsy
genetically and due to a chronic methylphenidate intoxication as compared to
control animals; noradrenaline content and noradrenaline/dopamine ratio is lower
in the diencephalon of rats predisposed to catalepsy than in controls; cataleptic
rats have a higher content of homovanillic acid in N.accumbens , and a higher
frequency of inversion of hemispheric asymmetry as estimated by levels of
dopamine and dioxyphenylacetic acid in N.accumbens and caudate nucleus, than
normal rats; MAO-B/MAO-A ratio is higher in the brain stem of cataleptic than
normal rats. The effects of haloperidol and apomorphine on motor activity of
cataleptic and normal animals point to a higher sensitivity of postsynaptic
dopamine receptors in the former. Conditioned avoidance reaction is formed
slower, but preserved longer in rats predisposed to catalepsy. Blood serum of
wild rats predisposed to akinetic catatonic reactions, unlike the serum of normal
wild rats, inhibits the electric activity of snail neurons. The above indicated
changes are analogous to those known to be present in schizophrenia and/or
chronic intoxication with amphetamine or its pharmacological analogues, which
witnesses in favour of the proposed hypothesis.
PMID- 24925300
TI - Alcohol and Aggression P.F. Brain (ed) Croom Helm, London, 1985 288pp., UK L :
22,50.
PMID- 24925302
TI - Low adherence to upfront and extended adjuvant letrozole therapy among early
breast cancer patients in a clinical practice setting.
AB - OBJECTIVE: The aim of this study was to investigate the prevalence and causes of
early discontinuation and non-adherence to upfront and extended adjuvant
letrozole therapy in breast cancer patients. METHODS: Adherence was assessed
using medical charts and longitudinal pharmacy records of 609 patients who
initiated adjuvant letrozole between January 2002 and April 2011. A Cox
proportional hazards regression model was adopted to identify potential
predictors of non-adherence. RESULTS: The overall adherence rate after 1 year of
therapy was 79.5%, with cumulative rates declining to 63.7% after 3 years and
57.1% after 5 years. A significantly lower rate of adherence in the extended
adjuvant group was observed compared with the upfront adjuvant group (49.0 vs.
72.5%, p < 0.001). Adverse events (50.4%) were the major cause of early
discontinuation, with musculoskeletal pain (73.2%) being the single most cited
reason. Additional factors correlating with non-adherence in the upfront adjuvant
group included a delay in initiation of adjuvant hormone therapy, breast
conserving surgery, calcium supplements, bisphosphonate therapy and concomitant
medication for co-morbidity. CONCLUSIONS: We observed that approximately 57% of
patients fully adhered to letrozole therapy over a 5-year treatment period, and
that the adherence to extended letrozole was meaningfully lower than the upfront
adjuvant letrozole in a clinical practice setting.
PMID- 24925303
TI - Exploring the effects of galacto-oligosaccharides on the gut microbiota of
healthy adults receiving amoxicillin treatment.
AB - In the present double-blind, randomised, parallel intervention study, the effects
of the intake of galacto-oligosaccharides (GOS) on the gut microbiota of twelve
healthy adult subjects (aged 18-45 years with a normal BMI (18-25 kg/m2))
receiving amoxicillin (AMX) treatment were determined. All the subjects were
treated with AMX (375 mg; three times per d) for 5 d and given either GOS (n 6)
or placebo (maltodextrin, n 6) (2.5 g; three times per d) during and 7 d after
AMX treatment. Faecal samples were collected twice before starting the treatment
and on days 2, 5, 8, 12, 19 and 26. Due to AMX treatment, a decrease in the
abundance of Bifidobacterium spp., an overgrowth of Enterobacteriaceae, and a
disruption of the metabolic activity of the microbiota (increase in succinate,
monosaccharide and oligosaccharide levels in the faecal samples) were observed in
both groups (P< 0.05). Positive effects of GOS intake were observed on the levels
of bifidobacteria, although not found to be significant. Data revealed that the
levels of bifidobacteria were higher upon GOS intake than upon placebo intake,
especially after AMX treatment. The activity of bifidobacteria and subsequent
cross-feeding activity of the microbiota upon GOS intake compared with those upon
placebo intake were reflected by the significant increase in butyrate levels (P<
0.05) in the faecal samples after AMX treatment. Despite the small number of
subjects, our findings confirm previous results obtained in vitro, namely that
GOS intake supports the recovery of the beneficial bifidobacteria and,
indirectly, the production of butyrate after AMX treatment.
PMID- 24925305
TI - The anti-diabetic activity of Bifidobacterium lactis HY8101 in vitro and in vivo.
AB - AIMS: The aim of this study was to evaluate the effects of Bifidobacterium lactis
HY8101 on insulin resistance induced using tumour necrosis factor-alpha (TNF
alpha) in rat L6 skeletal muscle cells and on the KK-A(Y) mouse noninsulin
dependent diabetes mellitus (NIDDM) model. METHODS AND RESULTS: The treatment
using HY8101 improved the insulin-stimulated glucose uptake and translocation of
GLUT4 via the insulin signalling pathways AKT and IRS-1(Tyr) in TNF-alpha-treated
L6 cells. HY8101 increased the mRNA levels of GLUT4 and several insulin
sensitivity-related genes (PPAR-gamma) in TNF-alpha-treated L6 cells. In KK-A(Y)
mice, HY8101 decreased fasting insulin and blood glucose and significantly
improved insulin tolerance. HY8101 improved diabetes-induced plasma total
cholesterol and triglyceride (TG) levels and increased the muscle glycogen
content. We observed concurrent transcriptional changes in the skeletal muscle
tissue and the liver. In the skeletal muscle tissue, the glycogen synthesis
related gene pp-1 and GLUT4 were up-regulated in mice receiving HY8101 treatment.
In the liver, the hepatic gluconeogenesis-regulated genes (PCK1 and G6PC) were
down-regulated in mice receiving HY8101 treatment. CONCLUSIONS: Bifidobacterium
lactis HY8101 can be used to moderate glucose metabolism, lipid metabolism and
insulin sensitivity in mice and in cells. SIGNIFICANCE AND IMPACT OF THE STUDY:
Bifidobacterium lactis HY8101 might have potential as a probiotic candidate for
alleviating metabolic syndromes such as diabetes.
PMID- 24925304
TI - Cord blood 25(OH)-vitamin D deficiency and childhood asthma, allergy and eczema:
the COPSAC2000 birth cohort study.
AB - BACKGROUND: Epidemiological studies have suggested an association between
maternal vitamin D dietary intake during pregnancy and risk of asthma and allergy
in the offspring. However, prospective clinical studies on vitamin D measured in
cord blood and development of clinical end-points are sparse. OBJECTIVE: To
investigate the interdependence of cord blood 25-hydroxyvitamin D (25(OH)-Vitamin
D) level and investigator-diagnosed asthma- and allergy-related conditions during
preschool-age. METHODS: Cord blood 25(OH)-Vitamin D level was measured in 257
children from the Copenhagen Prospective Studies on Asthma in Childhood
(COPSAC2000) at-risk mother-child cohort. Troublesome lung symptoms (TROLS),
asthma, respiratory infections, allergic rhinitis, and eczema, at age 0-7 yrs
were diagnosed exclusively by the COPSAC pediatricians strictly adhering to
predefined algorithms. Objective assessments of lung function and sensitization
were performed repeatedly from birth. RESULTS: After adjusting for season of
birth, deficient cord blood 25(OH)-Vitamin D level (<50 nmol/L) was associated
with a 2.7-fold increased risk of recurrent TROLS (HR = 2.65; 95% CI = 1.02
6.86), but showed no association with respiratory infections or asthma. We saw no
association between cord blood 25(OH)-Vitamin D level and lung function,
sensitization, rhinitis or eczema. The effects were unaffected from adjusting for
multiple lifestyle factors. CONCLUSION: Cord blood 25(OH)-Vitamin D deficiency
associated with increased risk of recurrent TROLS till age 7 years. Randomized
controlled trials of vitamin D supplementation during pregnancy are needed to
prove causality.
PMID- 24925306
TI - Making sense of deep sequencing.
AB - This review, the first of an occasional series, tries to make sense of the
concepts and uses of deep sequencing of polynucleic acids (DNA and RNA). Deep
sequencing, synonymous with next-generation sequencing, high-throughput
sequencing and massively parallel sequencing, includes whole genome sequencing
but is more often and diversely applied to specific parts of the genome captured
in different ways, for example the highly expressed portion of the genome known
as the exome and portions of the genome that are epigenetically marked either by
DNA methylation, the binding of proteins including histones, or that are in
different configurations and thus more or less accessible to enzymes that cleave
DNA. Deep sequencing of RNA (RNASeq) reverse-transcribed to complementary DNA is
invaluable for measuring RNA expression and detecting changes in RNA structure.
Important concepts in deep sequencing include the length and depth of sequence
reads, mapping and assembly of reads, sequencing error, haplotypes, and the
propensity of deep sequencing, as with other types of 'big data', to generate
large numbers of errors, requiring monitoring for methodologic biases and
strategies for replication and validation. Deep sequencing yields a unique
genetic fingerprint that can be used to identify a person, and a trove of
predictors of genetic medical diseases. Deep sequencing to identify epigenetic
events including changes in DNA methylation and RNA expression can reveal the
history and impact of environmental exposures. Because of the power of sequencing
to identify and deliver biomedically significant information about a person and
their blood relatives, it creates ethical dilemmas and practical challenges in
research and clinical care, for example the decision and procedures to report
incidental findings that will increasingly and frequently be discovered.
PMID- 24925307
TI - Impact of high serum progesterone during the late follicular phase on IVF
outcome.
AB - With ovarian stimulation for IVF, serum progesterone concentration may increase
during the last few days of stimulation. Several factors, mainly the intensity of
the ovarian response to gonadotrophins, have been identified to explain
progesterone elevation but many other issues remain uncertain. The aim of this
narrative, nonsystematic review is to address the nonconsensual issues of the
reasons and consequences of premature progesterone elevation during ovarian
stimulation. The determination of the optimal threshold above which progesterone
elevation may have an impact on IVF outcome is still a matter of debate because
it is likely related to the patient's profile. Additionally, it is still
uncertain whether the duration of progesterone elevation negatively affects IVF
outcome. Recommendations for both prevention and management of progesterone
elevation are here suggested by a group of experts. Nevertheless, before being
implemented in clinical practice, they require new assessment through additional
clinical trials.
PMID- 24925308
TI - Comparison of gender-specific human embryo development characteristics by time
lapse technology.
AB - Numerous studies indicate that there might be differences in embryo growth
dynamics between male and female embryos. However, current data in humans are
scarce and the results are inconclusive or conflicting. This study asks whether
there exist gender-specific embryo development kinetics or parameters between
human male and female embryos that can be observed by time-lapse technology.
Study included data from 139 consecutive cycles (177 embryos transferred, 179
sacs analysed) with positive pregnancy that resulted in 100% implantation. Single
or double-embryo transfers were performed. Cases were analysed for parameters
including cleavage time points and duration in each cleavage from two cells to
hatching blastocyst stages and time interval between cleavages. Morphokinetic
parameters of 78 female and 60 male embryos from a total of 119 cycles (139 sacs
were examined after transfer of 138 embryos) were processed for data analysis
according to the gender group. A detailed analysis of the data regarding each
time point or interval between consecutive events according to these groups
showed them to be similar in cell division kinetics, from the early cleavage
through their development to blastocyst stage. However, female embryos showed
earlier cavitation than male embryos, but the results did not reach statistical
significance.
PMID- 24925309
TI - Selection of embryos for transfer in IVF: ranking embryos based on their
implantation potential using morphological scoring.
AB - The selection of embryos based on morphology is still the core of daily
laboratory practice in IVF/intracytoplasmic sperm injection. At present, the
selection of embryos is primarily based on experience and local protocols. Since
an evidence-based ranking strategy for embryos on day 3 is currently lacking,
this work constructed a multivariable prediction model to rank embryos according
to their implantation potential. A total of 6021 fresh embryo transfers between
January 2004 and July 2009 were included, eight potential predictive factors were
evaluated and a prediction model was developed using multivariable logistic
regression. The model was externally validated with data from couples treated
between August 2009 and September 2011 in the same clinic. Five factors were
included in the final prediction model: early cleavage, number of blastomeres on
days 2 and 3 and morphological score and presence of morula on day 3. With
validation, the model showed moderate discriminative capacity (c-statistic 0.70)
and calibrated well and was able to distinguish embryos with high ongoing
implantation potential from embryos with moderate or low ongoing implantation
potential. The model can be used by embryologists as an objective tool to rank
embryos according to implantation potential, thereby aiding the selection of
embryos for transfer.
PMID- 24925310
TI - Abdominal obesity and its association with health-related quality of life in
adults: a population-based study in five Chinese cities.
AB - BACKGROUND: This study aimed to investigate the prevalence of abdominal obesity
and its association with the health-related quality of life (HRQOL) in a randomly
selected Chinese sample. METHODS: A population-based sample of 3,600 residents
aged 18-80 years was selected randomly from 5 Chinese cities. Demographic
information, and waist and hip circumference measurements were obtained. The
Mandarin version of the Short Form 36 Health Survey questionnaire (SF-36) was
used to assess the HRQOL. Waist circumference (WC) and waist-to-hip ratio (WHR)
were used as measures of abdominal obesity, and the prevalence of abdominal
obesity and its association with HRQOL were analysed. RESULTS: Among the 3,184
participants included in the analysis, the prevalence of abdominal obesity was
about 45% in both women and men as evaluated by WC, and about 40% in women and
33% in men as evaluated by WHR. The prevalence varied by city, region, age,
marital status, education level, family income, smoking, and the presence of
chronic diseases. Both WC and WHR increased with age, and men had larger WC and
WHR than women in most age groups. In women, abdominal obesity, as determined by
both WC and WHR, was associated with meaningful impairments in 4 physical health
scales and 2 mental health scales. In men, abdominal obesity, as determined by
WC, was associated with 1 physical health scale and 1 mental health scale, and it
was associated with 2 physical health scales based on WHR. CONCLUSIONS: Physical
health, but not mental health, was more vulnerable to impairment with abdominal
obesity, and the impairments varied between genders. Public health agencies
should emphasize that abdominal obesity impairs physical health.
PMID- 24925312
TI - Primary tracheoesophageal puncture with supraclavicular artery island flap after
total laryngectomy or laryngopharyngectomy.
AB - The supraclavicular artery island flap (SCAIF) is increasingly employed for
laryngectomy reconstruction with excellent success. Although tracheoesophageal
puncture (TEP) with intraoperative prosthesis placement is also positively
reported, this is not described in patients following SCAIF. We review our
experience with primary TEP with prosthesis placement and voice outcomes in
patients after SCAIF reconstruction. Seven patients underwent SCAIF with primary
TEP after laryngectomy from 2011 to 2013. Five underwent total laryngectomy (TL)
and 2 underwent TL with partial pharyngectomy. All patients had 16 French
Indwelling Blom-Singer prostheses placed intraoperatively without complications.
Six patients achieved tracheoesophageal voice (median time = 1.5 months). Two
patients required cricopharyngeal segment Botox injections. One patient remained
aphonic. One patient developed prosthesis leakage addressed with prosthesis
replacement. Our preliminary data demonstrate that similar to free tissue
transfer reconstruction, primary TEP with intraoperative placement of the voice
prosthesis at the time of SCAIF reconstruction is safe and effective.
PMID- 24925311
TI - The "new" head and neck cancer patient-young, nonsmoker, nondrinker, and HPV
positive: evaluation.
AB - OBJECTIVE: The near epidemic rise of the incidence of human papillomavirus (HPV)
related oropharyngeal squamous cell carcinomas (OPSCC) presents the practitioner
with a "new" head and neck cancer patient, vastly different from those with the
traditional risk factors who formed the basis of most practitioners' training
experience. Accordingly, a thorough and disease-specific evaluation process is
necessitated. This article will review the evaluation of the HPV-related cancer
patient, including a review of the HPV-positive oropharyngeal cancer epidemic
from the surgeon's perspective, evaluation of the primary lesion, evaluation of
the neck mass, and role of imaging, to provide a framework for addressing the
challenging questions patients may ask. DATA SOURCES: Available peer-reviewed
literature and practice guidelines. REVIEW METHODS: Assessment of selected
specific topics by authors solicited from the Head and Neck Surgery and Oncology
Committee of the American Academy of Otolaryngology-Head and Neck Surgery
Foundation and the American Head and Neck Society. CONCLUSIONS AND IMPLICATIONS
FOR PRACTICE: The dramatic rise in OPSSC related to HPV is characterized by a
"new" cancer patient who is younger and lacks traditional risk factors. Today's
caregiver must be prepared to appropriately evaluate, counsel, and treat these
patients with HPV-positive disease with the expectation that traditional
treatment algorithms will evolve to maintain or improve current excellent cure
rates while lessening treatment related side effects.
PMID- 24925313
TI - Cystatin C, a novel indicator of renal function, reflects severity of cerebral
microbleeds.
AB - BACKGROUND: Chronic renal insufficiency, diagnosed using creatinine based
estimated glomerular filtration rate (GFR) or microalbumiuria, has been
associated with the presence of cerebral microbleeds (CMBs). Cystatin C has been
shown to be a more sensitive renal indicator than conventional renal markers.
Under the assumption that similar pathologic mechanisms of the small vessel exist
in the brain and kidney, we hypothesized that the levels of cystatin C may
delineate the relationship between CMBs and renal insufficiency by detecting
subclinical kidney dysfunction, which may be underestimated by other indicators,
and thus reflect the severity of CMBs more accurately. METHODS: Data was
prospectively collected for 683 patients with ischemic stroke. The severity of
CMBs was categorized by the number of lesions. Patients were divided into
quartiles of cystatin C, estimated GFR and microalbumin/creatinine ratios.
Ordinal logistic regression analysis was used to examine the association of each
renal indicator with CMBs. RESULTS: In models including both quartiles of
cystatin C and estimated GFR, only cystatin C quartiles were significant (the
highest vs. the lowest, adjusted OR, 1.88; 95% CI 1.05-3.38; p = 0.03) in
contrast to estimated GFR (the highest vs. the lowest, adjusted OR, 1.28; 95% CI
0.38-4.36; p = 0.70). A model including both quartiles of cystatin C and
microalbumin/creatinine ratio also showed that only cystatin C quartiles was
associated with CMBs (the highest vs. the lowest, adjusted OR, 2.06; 95% CI 1.07
3.94; p = 0.03). These associations were also observed in the logistic models
using log transformed-cystatin C, albumin/creatinine ratio and estimated GFR as
continuous variables. Cystatin C was a significant indicator of deep or
infratenorial CMBs, but not strictly lobar CMBs. In addition, cystatin C showed
the greatest significance in c-statistics for the presence of CMBs (AUC = 0.73 +/
0.03; 95% CI 0.66-0.76; p = 0.02). CONCLUSION: Cystatin C may be the most
sensitive indicator of CMB severity among the renal disease markers.
PMID- 24925314
TI - Reversing the pump dependence of a laser at an exceptional point.
AB - When two resonant modes in a system with gain or loss coalesce in both their
resonance position and their width, a so-called exceptional point occurs, which
acts as a source of non-trivial physics in a diverse range of systems. Lasers
provide a natural setting to study such non-Hermitian degeneracies, as they
feature resonant modes and a gain material as their basic constituents. Here we
show that exceptional points can be conveniently induced in a photonic molecule
laser by a suitable variation of the applied pump. Using a pair of coupled
microdisk quantum cascade lasers, we demonstrate that in the vicinity of these
exceptional points the coupled laser shows a characteristic reversal of its pump
dependence, including a strongly decreasing intensity of the emitted laser light
for increasing pump power.
PMID- 24925315
TI - Gaucher disease due to saposin C deficiency is an inherited lysosomal disease
caused by rapidly degraded mutant proteins.
AB - Saposin (Sap) C is an essential cofactor for the lysosomal degradation of
glucosylceramide (GC) by glucosylceramidase (GCase) and its functional impairment
underlies a rare variant form of Gaucher disease (GD). Sap C promotes
rearrangement of lipid organization in lysosomal membranes favoring substrate
accessibility to GCase. It is characterized by six invariantly conserved cysteine
residues involved in three intramolecular disulfide bonds, which make the protein
remarkably stable to acid environment and degradation. Five different mutations
(i.e. p.C315S, p.342_348FDKMCSKdel, p.L349P, p.C382G and p.C382F) have been
identified to underlie Sap C deficiency. The molecular mechanism by which these
mutations affect Sap C function, however, has not been delineated in detail.
Here, we characterized biochemically and functionally four of these gene lesions.
We show that all Sap C mutants are efficiently produced, and exhibit lipid
binding properties, modulatory behavior on GCase activity and subcellular
localization comparable with those of the wild-type protein. We then delineated
the structural rearrangement of these mutants, documenting that most proteins
assume diverse aberrant disulfide bridge arrangements, which result in a
substantial diminished half-life, and rapid degradation via autophagy. These
findings further document the paramount importance of disulfide bridges in the
stability of Sap C and provide evidence that accelerated degradation of the Sap C
mutants is the underlying pathogenetic mechanism of Sap C deficiency.
PMID- 24925316
TI - Tuning intracellular homeostasis of human uroporphyrinogen III synthase by enzyme
engineering at a single hotspot of congenital erythropoietic porphyria.
AB - Congenital erythropoietic porphyria (CEP) results from a deficiency in
uroporphyrinogen III synthase enzyme (UROIIIS) activity that ultimately stems
from deleterious mutations in the uroS gene. C73 is a hotspot for these mutations
and a C73R substitution, which drastically reduces the enzyme activity and
stability, is found in almost one-third of all reported CEP cases. Here, we have
studied the structural basis, by which mutations in this hotspot lead to UROIIIS
destabilization. First, a strong interdependency is observed between the volume
of the side chain at position 73 and the folded protein. Moreover, there is a
correlation between the in vitro half-life of the mutated proteins and their
expression levels in eukaryotic cell lines. Molecular modelling was used to
rationalize the results, showing that the mutation site is coupled to the hinge
region separating the two domains. Namely, mutations at position 73 modulate the
inter-domain closure and ultimately affect protein stability. By incorporating
residues capable of interacting with R73 to stabilize the hinge region, catalytic
activity was fully restored and a moderate increase in the kinetic stability of
the enzyme was observed. These results provide an unprecedented rationale for a
destabilizing missense mutation and pave the way for the effective design of
molecular chaperones as a therapy against CEP.
PMID- 24925317
TI - TNNI3K mutation in familial syndrome of conduction system disease, atrial
tachyarrhythmia and dilated cardiomyopathy.
AB - Locus mapping has uncovered diverse etiologies for familial atrial fibrillation
(AF), dilated cardiomyopathy (DCM), and mixed cardiac phenotype syndromes, yet
the molecular basis for these disorders remains idiopathic in most cases. Whole
exome sequencing (WES) provides a powerful new tool for familial disease gene
discovery. Here, synergistic application of these genomic strategies identified
the pathogenic mutation in a familial syndrome of atrial tachyarrhythmia,
conduction system disease (CSD), and DCM vulnerability. Seven members of a three
generation family exhibited the variably expressed phenotype, three of whom
manifested CSD and clinically significant arrhythmia in childhood. Genome-wide
linkage analysis mapped two equally plausible loci to chromosomes 1p3 and 13q12.
Variants from WES of two affected cousins were filtered for rare, predicted
deleterious, positional variants, revealing an unreported heterozygous missense
mutation disrupting the highly conserved kinase domain in TNNI3K. The G526D
substitution in troponin I interacting kinase, with the most deleterious SIFT and
Polyphen2 scores possible, resulted in abnormal peptide aggregation in vitro and
in silico docking models predicted altered yet energetically favorable wild-type
mutant dimerization. Ventricular tissue from a mutation carrier displayed
histopathological hallmarks of DCM and reduced TNNI3K protein staining with
unique amorphous nuclear and sarcoplasmic inclusions. In conclusion, mutation of
TNNI3K, encoding a heart-specific kinase previously shown to modulate cardiac
conduction and myocardial function in mice, underlies a familial syndrome of
electrical and myopathic heart disease. The identified substitution causes a
TNNI3K aggregation defect and protein deficiency, implicating a dominant-negative
loss of function disease mechanism.
PMID- 24925318
TI - POMK mutations disrupt muscle development leading to a spectrum of neuromuscular
presentations.
AB - Dystroglycan is a transmembrane glycoprotein whose interactions with the
extracellular matrix (ECM) are necessary for normal muscle and brain development,
and disruptions of its function lead to dystroglycanopathies, a group of
congenital muscular dystrophies showing extreme genetic and clinical
heterogeneity. Specific glycans bound to the extracellular portion of
dystroglycan, alpha-dystroglycan, mediate ECM interactions and most known
dystroglycanopathy genes encode glycosyltransferases involved in glycan
synthesis. POMK, which was found mutated in two dystroglycanopathy cases, is
instead involved in a glycan phosphorylation reaction critical for ECM binding,
but little is known about the clinical presentation of POMK mutations or of the
function of this protein in the muscle. Here, we describe two families carrying
different truncating alleles, both removing the kinase domain in POMK, with
different clinical manifestations ranging from Walker-Warburg syndrome, the most
severe form of dystroglycanopathy, to limb-girdle muscular dystrophy with
cognitive defects. We explored POMK expression in fetal and adult human muscle
and identified widespread expression primarily during fetal development in
myocytes and interstitial cells suggesting a role for this protein during early
muscle differentiation. Analysis of loss of function in the zebrafish embryo and
larva showed that pomk function is necessary for normal muscle development,
leading to locomotor dysfuction in the embryo and signs of muscular dystrophy in
the larva. In summary, we defined diverse clinical presentations following POMK
mutations and showed that this gene is necessary for early muscle development.
PMID- 24925319
TI - Single-molecule tracking in live cells reveals distinct target-search strategies
of transcription factors in the nucleus.
AB - Gene regulation relies on transcription factors (TFs) exploring the nucleus
searching their targets. So far, most studies have focused on how fast TFs
diffuse, underestimating the role of nuclear architecture. We implemented a
single-molecule tracking assay to determine TFs dynamics. We found that c-Myc is
a global explorer of the nucleus. In contrast, the positive transcription
elongation factor P-TEFb is a local explorer that oversamples its environment.
Consequently, each c-Myc molecule is equally available for all nuclear sites
while P-TEFb reaches its targets in a position-dependent manner. Our observations
are consistent with a model in which the exploration geometry of TFs is
restrained by their interactions with nuclear structures and not by exclusion.
The geometry-controlled kinetics of TFs target-search illustrates the influence
of nuclear architecture on gene regulation, and has strong implications on how
proteins react in the nucleus and how their function can be regulated in space
and time.
PMID- 24925321
TI - Development of etofenamate-loaded semisolid sln dispersions and evaluation of
anti-inflammatory activity for topical application.
AB - Dermal application of various active substances is widely preferred for topical
or systemic delivery. SLNs consist of biocompatible and non-toxic lipids and have
a great potential for topical application in drugs. In this study, semisolid SLN
formulations were successfully prepared by a novel one-step production method as
a topical delivery system of etofenamate, an anti-inflammatory drug. Compritol
888 ATO and Precirol ATO 5 were chosen as lipid materials for the fabrication of
the formulations. In-vitro evaluation of the formulations was performed in terms
of encapsulation efficiency, particle size, surface charge, thermal behavior,
rheological characteristics, in vitro drug release profile, kinetics, mechanisms,
stability, and anti-inflammatory activity. The colloidal size and spherical shape
of the particles were proved. According to the results of the rheological
analysis, it was demonstrated that the semisolid SLN formulations have a gel-like
structure. Stability studies showed that semisolid SLNs were stable at 4 degrees
C for a six month period. Zero order release was obtained with Precirol ATO 5,
while Compritol 888 ATO followed the square root of time (Higuchi's pattern)
dependent release. Semisolid SLNs showed higher inhibitory activity of COX in
comparison with pure etofenamate. In conclusion, etofenamate-loaded semisolid SLN
formulations can be successfully prepared in a novel one-step production method
and useful for topical application.
PMID- 24925320
TI - Requirement of Smurf-mediated endocytosis of Patched1 in sonic hedgehog signal
reception.
AB - Cell surface reception of Sonic hedgehog (Shh) must ensure that the graded
morphogenic signal is interpreted accordingly in neighboring cells to specify
tissue patterns during development. Here, we report endocytic sorting signals for
the receptor Patched1 (Ptch1), comprising two 'PPXY' motifs, that direct it to
degradation in lysosomes. These signals are recognized by two HECT-domain
ubiquitin E3 ligases, Smurf1 and Smurf2, which are induced by Shh and become
enriched in Caveolin-1 lipid rafts in association with Ptch1. Smurf-mediated
endocytic turnover of Ptch1 is essential for its clearance from the primary
cilium and pathway activation. Removal of both Smurfs completely abolishes the
ability of Shh to sustain the proliferation of postnatal granule cell precursors
in the cerebellum. These findings reveal a novel step in the Shh pathway
activation as part of the Ptch1 negative feedback loop that precisely controls
the signaling output in response to Shh gradient signal.
PMID- 24925322
TI - Incomplete sequence homogenization in 45S rDNA multigene families: intermixed IGS
heterogeneity within the single NOR locus of the polyploid species Medicago
arborea (Fabaceae).
AB - BACKGROUND AND AIMS: Ribosomal sequences have become the classical example of the
genomic homogenization of nuclear multigene families. Despite theoretical
advantages and modelling predictions that support concerted evolution of the 45S
rDNA, several reports have found intragenomic polymorphisms. However, the origins
and causes of these rDNA polymorphisms are difficult to assess because seed
plants show a wide range of 45S rDNA loci number variation, especially in
polyploids. Medicago arborea is a tetraploid species that has a single 45S rDNA
locus. This feature makes this species a suitable case study to assess the fate
of ribosomal IGS homogenization in polyploid species showing nucleolus organizer
region (NOR) reduction. METHODS: The intergenic spacer (IGS) region was amplified
by long PCR and the fragments were cloned and sequenced by a primer-walking
strategy. The physical mapping of the whole and partial IGS variants was assessed
by fluorescent in situ hybridization (FISH) and fibre-FISH methods on mitotic
chromosomes and extended DNA fibres, respectively. KEY RESULTS: Two IGS fragments
of 4.8 and 3.5 kb were obtained showing structural features of functional
sequences. The shorter variant appears to be a truncated copy of the 4.8 kb
fragment that lacks the duplication of the transcription initiation site region
and the entire D region. The physical localization of the two IGS variants on
metaphase chromosomes and extended DNA fibres using FISH corroborated their joint
presence within the same locus. In addition, no spatial structure of the two
variants was detected within the NOR. CONCLUSIONS: The results suggest that full
sequence homogenization is not operating within the NOR locus of M. arborea. The
structure of the NOR locus reported here departs from the models of IGS
heterogeneity present in plants and caution against assuming the widespread
belief that intragenomic ribosomal heterogeneity is mainly due to sequence
variation between paralogous loci.
PMID- 24925323
TI - A modelling framework to simulate foliar fungal epidemics using functional
structural plant models.
AB - BACKGROUND AND AIMS: Sustainable agriculture requires the identification of new,
environmentally responsible strategies of crop protection. Modelling of
pathosystems can allow a better understanding of the major interactions inside
these dynamic systems and may lead to innovative protection strategies. In
particular, functional-structural plant models (FSPMs) have been identified as a
means to optimize the use of architecture-related traits. A current limitation
lies in the inherent complexity of this type of modelling, and thus the purpose
of this paper is to provide a framework to both extend and simplify the modelling
of pathosystems using FSPMs. METHODS: Different entities and interactions
occurring in pathosystems were formalized in a conceptual model. A framework
based on these concepts was then implemented within the open-source OpenAlea
modelling platform, using the platform's general strategy of modelling plant
environment interactions and extending it to handle plant interactions with
pathogens. New developments include a generic data structure for representing
lesions and dispersal units, and a series of generic protocols to communicate
with objects representing the canopy and its microenvironment in the OpenAlea
platform. Another development is the addition of a library of elementary models
involved in pathosystem modelling. Several plant and physical models are already
available in OpenAlea and can be combined in models of pathosystems using this
framework approach. KEY RESULTS: Two contrasting pathosystems are implemented
using the framework and illustrate its generic utility. Simulations demonstrate
the framework's ability to simulate multiscaled interactions within pathosystems,
and also show that models are modular components within the framework and can be
extended. This is illustrated by testing the impact of canopy architectural
traits on fungal dispersal. CONCLUSIONS: This study provides a framework for
modelling a large number of pathosystems using FSPMs. This structure can
accommodate both previously developed models for individual aspects of
pathosystems and new ones. Complex models are deconstructed into separate
'knowledge sources' originating from different specialist areas of expertise and
these can be shared and reassembled into multidisciplinary models. The framework
thus provides a beneficial tool for a potential diverse and dynamic research
community.
PMID- 24925324
TI - Serological and molecular prevalence of swine influenza virus on farms in
northwestern Mexico.
AB - The aim of this study was to provide an overview of the epidemiological status of
swine influenza viruses in pigs from northwestern Mexico in 2008-2009. A
serological and molecular survey was conducted in 150 pigs from 15 commercial
farms in Sonora, Mexico (northwestern region of Mexico). The serological data
showed that 55% of the sera were positive for the H1N1 subtype, 59% for the H3N2
subtype, and 38% for both subtypes. Overall, 16.6% (25/150) of the samples were
positive for type A influenza by qRT-PCR. The phylogenetic analysis of the H1
viruses circulating in northwestern Mexico were grouped into cluster alpha, from
five other clusters previously described. The influenza virus H1 circulating in
northwestern Mexico showed 97-100% identity at the nucleotide level among them,
89% identity with other North American strains, 88% with strains from central
Mexico, and 85% with the pandemic A/H1N1p2009 virus. Meanwhile, a closer
relationship with some influenza viruses from North America (97% nucleotide
identity) was found for H3 subtype. In conclusion, our results demonstrated a
high circulation of strains similar to those observed in the North American
linage among commercial farms in northwestern Mexico, involving of a different
lineage virus different to the influenza pandemic of 2009.
PMID- 24925325
TI - A foregone conclusion?: risk stratification in pacemaker-associated endocarditis.
PMID- 24925326
TI - Prognostic value of coronary CTA in coronary bypass patients: a long-term follow
up study.
AB - OBJECTIVES: The goal of this study was to determine the long-term prognostic
value of coronary computed tomography angiography (CTA) in a large coronary
artery bypass graft (CABG) population. BACKGROUND: Coronary CTA has shown
prognostic utility in patients without previous revascularization. However,
prognostication with coronary CTA in CABG patients has not been fully assessed.
METHODS: Between March 2005 and April 2009, 887 consecutive CABG patients (mean
age 66.8 +/- 8.4 years) were considered for the inclusion in the study. Patients
were classified by the number of unprotected coronary territories (UCTs) and a
summary of native vessel disease and graft patency: the coronary artery
protection score (CAPS). A primary endpoint (cardiovascular [CV] death, nonfatal
myocardial infarction [MI]) and a secondary combined adverse events endpoint (CV
death, MI, unstable angina, and late revascularizations) were recorded. RESULTS:
Among the 887 evaluated, 166 did not meet the inclusion criteria. The final study
population consisted of 721 subjects. Ten patients were excluded for unevaluable
coronary CTA images. Of the remaining 711 patients, follow-up (mean 73.5 +/- 14
months) was obtained in 698. Three hundred forty-seven events were recorded. By
univariable analysis, the strongest coronary CTA predictors of events were UCT 2
and 3 (hazard ratio [HR] for CV death/MI: 7.5 and 10.19, p < 0.0001 and p <
0.0003, respectively) and CAPS 4 (HR for CV death/MI: 24.1, p < 0.0001). A high
number of UCTs was also a strong multivariable independent predictor of CV
death/MI (HR: 7.78 and 10.18 for UCT 2 and 3, p < 0.0001 and p < 0.0007,
respectively). Cumulative survival rates for CV death/MI and composite adverse CV
events were 86% and 73% with UCT 0, 84% and 49% with UCT 1, 53% and 3% with UCT
2, and 29% and 0% with UCT 3, respectively. CONCLUSIONS: Coronary CTA appears to
be a promising tool for long-term risk stratification of CABG patients. The UCT
score has significant prognostic value to predict CV deaths/MI.
PMID- 24925327
TI - Protected coronary arteries: do open grafts pave the way to survival?
PMID- 24925329
TI - Multimodality imaging of aortitis.
AB - Multimodality imaging of aortitis is useful for identification of acute and
chronic mural changes due to inflammation, edema, and fibrosis, as well as
characterization of structural luminal changes including aneurysm and stenosis or
occlusion. Identification of related complications such as dissection, hematoma,
ulceration, rupture, and thrombosis is also important. Imaging is often vital for
obtaining specific diagnoses (i.e., Takayasu arteritis) or is used adjunctively
in atypical cases (i.e., giant cell arteritis). The extent of disease is
established at baseline, with associated therapeutic and prognostic implications.
Imaging of aortitis may be useful for screening, routine follow up, and
evaluation of treatment response in certain clinical settings. Localization of
disease activity and structural abnormality is useful for guiding biopsy or
surgical revascularization or repair. In this review, we discuss the available
imaging modalities for diagnosis and management of the spectrum of aortitis
disorders that cardiovascular physicians should be familiar with for facilitating
optimal patient care.
PMID- 24925328
TI - Comparative definitions for moderate-severe ischemia in stress nuclear,
echocardiography, and magnetic resonance imaging.
AB - The lack of standardized reporting of the magnitude of ischemia on noninvasive
imaging contributes to variability in translating the severity of ischemia across
stress imaging modalities. We identified the risk of coronary artery disease
(CAD) death or myocardial infarction (MI) associated with >=10% ischemic
myocardium on stress nuclear imaging as the risk threshold for stress
echocardiography and cardiac magnetic resonance. A narrative review revealed that
>=10% ischemic myocardium on stress nuclear imaging was associated with a median
rate of CAD death or MI of 4.9%/year (interquartile range: 3.75% to 5.3%). For
stress echocardiography, >=3 newly dysfunctional segments portend a median rate
of CAD death or MI of 4.5%/year (interquartile range: 3.8% to 5.9%). Although
imprecisely delineated, moderate-severe ischemia on cardiac magnetic resonance
may be indicated by >=4 of 32 stress perfusion defects or >=3 dobutamine-induced
dysfunctional segments. Risk-based thresholds can define equivalent amounts of
ischemia across the stress imaging modalities, which will help to translate a
common understanding of patient risk on which to guide subsequent management
decisions.
PMID- 24925330
TI - Clinical pacing post-conditioning during revascularization after AMI.
AB - Intermittent dyssynchrony, induced by ventricular pacing, during early
reperfusion reduces infarct size in pre-clinical studies. We evaluated
cardioprotection by pacing post-conditioning (PPC) in ST-segment elevation
myocardial infarction in a randomized, controlled, single-center, single-blinded,
first-in-man study. Patients with first ST-segment elevation myocardial
infarction received either PPC plus percutaneous coronary intervention (PCI) (n =
30) or PCI (n = 30). PPC consisted of 10 episodes of 30-s right ventricular
pacing. Infarct size was measured as the area under the curve of creatine kinase
(CK) (primary endpoint) and by contrast-enhanced cardiac magnetic resonance. The
CK area under the curve was not significantly different between study groups.
Adjusted contrast-enhanced cardiac magnetic resonance data showed ~25% smaller
infarct size in PPC + PCI than in PCI patients after 4 days (p = 0.01), 4 months
(p = 0.02), and 1 year of PCI (p = 0.08). In PPC + PCI, (uncomplicated)
ventricular fibrillation (n = 3) and paroxysmal atrial fibrillation (n = 4) were
observed as opposed to 1 and 0 cases in PCI, respectively. We conclude PPC is
feasible and may induce cardioprotection during PCI treatment of ST-segment
elevation myocardial infarction, but technical improvements are needed to improve
safety. (PROTECT: Pacing to Protect Heart for Damage From Blocked Heart Vessel
and From Re-opening Blocked Vessel[s]; NCT00409604).
PMID- 24925331
TI - Emerging role of MDCT in planning complex structural transcatheter intervention.
PMID- 24925332
TI - Is low CACs really different from zero...: a report from the CACTI study.
PMID- 24925333
TI - Mechanism of aortic valve opening: beyond the pressure gradient.
PMID- 24925334
TI - Assessment of lung ultrasound artifacts (B-lines): incremental contribution to
echocardiography in heart failure?
PMID- 24925335
TI - B-lines: to count or not to count?
PMID- 24925336
TI - Reply: B-lines: a nonspecific but highly informative sign of pulmonary
congestion.
PMID- 24925337
TI - The last cover.
PMID- 24925338
TI - Learning from our European imaging colleagues.
PMID- 24925339
TI - The contribution of dorsolateral prefrontal cortex and temporoparietal areas in
processing instrumental versus functional semantic violations in action
representation.
AB - In the present study, we explored the contribution of different cortical areas in
processing different semantic violations in action representation--that is,
instrumental or functional violations. The cortical contribution in object
related action comprehension was verified by measuring changes in event-related
potential (N400 effect), error rates (ERs), and response times (RTs), by applying
an inhibitory transcranial direct current stimulation (tDCS) on the dorsolateral
prefrontal cortex (DLPFC). Thirty-three subjects performed the detection task
(action frames ending with a congruous vs. incongruous action). The tDCS effect
was analyzed by comparing the N400, ERs, and RTs before and after stimulation. A
significant reduction of the N400 and increased RTs were observed for incongruous
stimuli in the case of inhibitory stimulation of the DLPFC. These results
highlighted that DLPFC inhibition may limit the ability to analyze a semantically
incongruous action, with a reduced N400 ERP effect and increased "cognitive
costs" (higher RTs). Moreover, functional violation showed also the contribution
of the temporoparietal areas to modulate the N400 amplitude. Therefore the
existence of different cortical generators was supposed for the instrumental
(more frontal) and the functional (more frontal and temporoparietal) semantic
anomaly processing.
PMID- 24925340
TI - Modified-chitosan/siRNA nanoparticles downregulate cellular CDX2 expression and
cross the gastric mucus barrier.
AB - Development of effective non-viral vectors is of crucial importance in the
implementation of RNA interference in clinical routine. The localized delivery of
siRNAs to the gastrointestinal mucosa is highly desired but faces specific
problems such as the stability in gastric acidity conditions and the presence of
the mucus barrier. CDX2 is a transcription factor critical for intestinal
differentiation being involved in the initiation and maintenance of
gastrointestinal diseases. Specifically, it is the trigger of gastric intestinal
metaplasia which is a precursor lesion of gastric cancer. Its expression is also
altered in colorectal cancer, where it may constitute a lineage-survival
oncogene. Our main objective was to develop a nanoparticle-delivery system of
siRNA targeting CDX2 using modified chitosan as a vector. CDX2 expression was
assessed in gastric carcinoma cell lines and nanoparticles behaviour in
gastrointestinal mucus was tested in mouse explants. We show that imidazole
modified chitosan and trimethylchitosan/siRNA nanoparticles are able to
downregulate CDX2 expression and overpass the gastric mucus layer but not colonic
mucus. This system might constitute a potential therapeutic approach to treat
CDX2-dependent gastric lesions.
PMID- 24925341
TI - The potential roles of cell surface pHs in bioactive peptide activation.
AB - Glycolytic metabolism of cells produces protons that are removed from the cytosol
by transport proteins to create a pH difference between the adjacent bulk
solution and the cell membrane surface. Therefore, tissue cells have distinct
surface pHs because of varied glycocalyx and proton production capability. In
this study, we proved the role of cell surface pH in peptide-cell interaction and
peptide activation using lytic peptides with pH-dependent activity as probes.
Properly, selected peptides could sense the specific pH zones on cells and thus
demonstrated varied activity to tissue cells with different surface pHs. For a
specific cell, the activity of pH-sensitive peptides changed accordingly as the
cell surface pH was tuned up or down by proton channel regulators. Mechanistic
studies revealed that cell surface pH directly affected peptide insertion into
membranes by altering the secondary structure and aggregation status of membrane
bound pH-sensitive peptides. A pH-sensitive lytic peptide-designed based on the
cell surface pH difference between a normal-cancer cell pair showed good
selectivity to cancer cells. Therefore, cell surface pHs may present new
opportunities to design therapeutic peptides with high cell specificity and
selectivity.
PMID- 24925342
TI - Phylogenetic analysis of human immunodeficiency virus type 2 isolated from Cuban
individuals.
AB - The presence of infection by human immunodeficiency virus type 2 (HIV-2) in Cuba
has been previously documented. However, genetic information on the strains that
circulate in the Cuban people is still unknown. The present work constitutes the
first study concerning the phylogenetic relationship of HIV-2 Cuban isolates
conducted on 13 Cuban patients who were diagnosed with HIV-2. The env sequences
were analyzed for the construction of a phylogenetic tree with reference
sequences of HIV-2. Phylogenetic analysis of the env gene showed that all the
Cuban sequences clustered in group A of HIV-2. The analysis indicated several
independent introductions of HIV-2 into Cuba. The results of the study will
reinforce the program on the epidemiological surveillance of the infection in
Cuba and make possible further molecular evolutionary studies.
PMID- 24925343
TI - Voltage-gated K(+) channels contributing to temporal precision at the inner hair
cell-auditory afferent nerve fiber synapses in the mammalian cochlea.
AB - To perform auditory tasks such as sound localization in the space, auditory
neurons in the brain must distinguish sub-millisecond temporal differences in
signals from two ears. Such high temporal resolution is possible when each neuron
in the ascending auditory pathway fires brief action potential at very accurate
timing. Various pre- and postsynaptic machineries ensuring such high temporal
precision of auditory synaptic transmission have been identified. Of particular,
in this review, the role of K(+) channels in shortening the duration of synaptic
potentials will be discussed. First, the contribution of K(+) channels to AP
firing of general auditory neurons will be discussed. Then, the focus will be
moved to the inner hair cell (IHC)-auditory afferent nerve fiber (ANF) synapses,
the first synapses of ascending auditory pathway. Molecular and
immunohistological techniques have revealed various K(+) channels in the cell
bodies and their processes of ANFs. Since the development of patch-clamp
recordings from the ANF dendrites in 2002, it became possible to monitor the IHC
ANF synaptic transmission in greater detail. As revealed in brain auditory
synapses, several different K(+) channels appear to participate in reducing the
duration of synaptic potentials at the IHC-ANF synapses. In addition, K(+)
channels at the ANF dendrites might act as potential targets of efferent feedback
from the brain. The hypothesis is that, upon loud sound exposure, efferent
neurotransmitters released onto the ANF dendrites activate certain K(+) channels
and prevent excitotoxicity of ANFs. Therefore, K(+) channels of the ANF dendrites
might provide potential sites of pharmacological actions to prevent noise-induced
hearing loss.
PMID- 24925344
TI - Discovery and synthesis of novel allylthioaralkylthiopyridazines: their
antiproliferative activity against MCF-7 and Hep3B cells.
AB - A new series of 6-allylthio-3-aralkylthio-4,5-dimethylpyridazines 5a-5k and 1
allylthio-4-alkylthio-5,6,7,8-tetrahydrophthalazine 6a-6j was synthesized from
maleic anhydride derivatives for development of new anticancer agents. The
process involves the formation of pyridazine and phthalazine rings,
dichlorination, allylthiolation, and aralkylthiolation. These new compounds
showed antiproliferative activities against breast cancer (MCF-7) and
hepatocarcinoma (Hep3B) cells in CCK-8 assays, and could be promising candidates
for chemotherapy of carcinomas. Among 21 synthesized compounds, five compounds
(5a, 5b, 6b, 6d, and 6f) showed higher potency than 5-FU for inhibiting the
growth of cell line. The results indicated that compound 6f had the highest
activity towards MCF-7 and Hep3B cells. These results suggest the potential
anticancer activity of compounds 5a, 5b, 6b, 6d, and 6f.
PMID- 24925345
TI - Failure of researchers, reviewers, editors, and the media to understand flaws in
cancer screening studies: application to an article in Cancer.
AB - Observational studies present inferential challenges. These challenges are acute
in cancer screening studies, in which lead-time and length biases are ever
present. These biases can make any study worthless. Moreover, a flawed study's
impact on the public can be deleterious when its conclusions are publicized by a
naive media. Flawed studies can also make the public learn to be wary of any
article or reports of articles claiming to be scientific. Here, the author
addresses these and related issues in the context of a study published in Cancer.
PMID- 24925346
TI - The association between isolated oligohydramnios at term and pregnancy outcome.
AB - PURPOSE: As conflicting data exist concerning the implications of isolated
oligohydramnios on pregnancy outcome at term, we aimed to assess this association
in low-risk pregnancies. METHODS: A retrospective cohort study of term
pregnancies with sonographic finding of isolated oligohydramnios (amniotic fluid
index (AFI) <5 cm) between 2007 and 2012. Outcome was compared to a control group
of pregnancies with normal AFI (5-25 cm). Pregnancies complicated by
thrombophilia, hypertension, diabetes, deviant fetal growth or
chromosomal/structural abnormalities were excluded. Composite adverse outcome
included CS/operative delivery due to non-reassuring heart rate (NRFHR), low
Apgar score, umbilical artery pH < 7.10, neonatal intensive care admission,
meconium aspiration syndrome, intubation or hypoxic-ischemic encephalopathy.
RESULTS: Overall, 987 pregnancies complicated by isolated oligohydramnios were
compared to 22,280 low-risk pregnancies with normal AFI. Isolated oligohydramnios
was associated with a higher rate of induction of labor (27.7 vs. 3.7 %, p <
0.001), CS due to NRFHR (2.3 vs. 1.1 %, p < 0.01) and composite adverse outcome
(9.7 vs. 7.1 %, p < 0.01). However, after adjusting for potential confounders as
induction of labor and nulliparity using multivariable logistic regression
analysis, isolated oligohydramnios was not found to be independently associated
with increased risk for composite adverse outcome (OR 1.01, 95 % CI 0.80-1.27, p
= 0.93). CONCLUSION: Isolated oligohydramnios at term by itself is not associated
with increased obstetrical morbidity.
PMID- 24925347
TI - Platelet indices in patients with unexplained recurrent miscarriage: related
factors should be considered.
PMID- 24925348
TI - Response to: Platelet indices in patients with unexplained recurrent miscarriage;
related factors should be considered.
PMID- 24925349
TI - Patient and tumor characteristics and BRAF and KRAS mutations in colon cancer,
NCCTG/Alliance N0147.
AB - BACKGROUND: KRAS and BRAF (V600E) mutations are important predictive and
prognostic markers, respectively, in colon cancer, but little is known about
patient and clinical factors associated with them. METHODS: Two thousand three
hundred twenty-six of 3397 patients in the N0147 phase III adjuvant trial for
stage III colon cancer completed a patient questionnaire. Primary tumors were
assessed for KRAS and BRAF (V600E) mutations and defective mismatch repair (dMMR)
status. Logistic regression models and categorical data analysis were used to
identify associations of patient and tumor characteristics with mutation status.
All statistical tests were two-sided. RESULTS: KRAS (35%) and BRAF (V600E) (14%)
mutations were nearly mutually exclusive. KRAS mutations were more likely to be
present in patients without a family history of colon cancer and never smokers.
Tumors with KRAS mutations were less likely to have dMMR (odds ratio [OR] = 0.21;
95% confidence interval [CI] = 0.15 to 0.31; P < .001) and high-grade histology
(OR = 0.73; 95% CI = 0.59 to 0.92; P < .001) but were more often right-sided.
Among KRAS-mutated tumors, those with a Gly13Asp mutation tended to have dMMR and
high-grade histology. Tumors with BRAF (V600E) mutations were more likely to be
seen in patients who were aged 70 years or older (OR = 3.33; 95% CI = 2.50 to
4.42; P < .001) and current or former smokers (OR = 1.64; 95% CI = 1.26 to 2.14;
P < .001) but less likely in non-whites and men. Tumors with BRAF (V600E)
mutations were more likely to be right-sided and to have four or more positive
lymph nodes, high-grade histology, and dMMR. CONCLUSIONS: Specific patient and
tumor characteristics are associated with KRAS and BRAF (V600E) mutations.
PMID- 24925351
TI - NO147: the Giving Tree.
PMID- 24925350
TI - Effects of Helicobacter pylori treatment on gastric cancer incidence and
mortality in subgroups.
AB - Among 2258 Helicobacter pylori-seropositive subjects randomly assigned to receive
one-time H. pylori treatment with amoxicillin-omeprazole or its placebo, we
evaluated the 15-year effect of treatment on gastric cancer incidence and
mortality in subgroups defined by age, baseline gastric histopathology, and post
treatment infection status. We used conditional logistic and Cox regressions for
covariable adjustments in incidence and mortality analyses, respectively.
Treatment was associated with a statistically significant decrease in gastric
cancer incidence (odds ratio = 0.36; 95% confidence interval [CI] = 0.17 to 0.79)
and mortality (hazard ratio = 0.26; 95% CI = 0.09 to 0.79) at ages 55 years and
older and a statistically significant decrease in incidence among those with
intestinal metaplasia or dysplasia at baseline (odds ratio = 0.56; 95% CI = 0.34
to 0.91). Treatment benefits for incidence and mortality among those with and
without post-treatment infection were similar. Thus H. pylori treatment can
benefit older members and those with advanced baseline histopathology, and
benefits are present even with post-treatment infection, suggesting treatment can
benefit an entire population, not just the young or those with mild
histopathology.
PMID- 24925352
TI - Prevention of gastric cancer with antibiotics: can it be done without eradicating
Helicobacter pylori?
PMID- 24925353
TI - Harmonic scalpel compared with conventional excisional haemorrhoidectomy: a meta
analysis of randomized controlled trials.
AB - BACKGROUND: Haemorrhoidectomy is the most effective and definitive treatment for
grade 3 or 4 haemorrhoids despite being associated with considerable pain. The
aim of this study was to search the literature, which compares outcomes of
harmonic scalpel haemorrhoidectomy and traditional surgical procedures, and
conduct a quantitative meta-analysis of the randomized trials. METHODS:
Randomized controlled trials (RCTs) were identified from the major electronic
databases using the keywords "harmonic scalpel haemorrhoidectomy" and
"haemorrhoidectomy" and a quantitative meta-analysis conducted. The eight trials
that met the inclusion criteria included 468 patients (233 in the harmonic
scalpel group). Pain was the primary outcome measure, and other parameters
assessed included duration of operation, length of hospital stay, time to return
to work, and complications. RESULTS: Significantly, more patients returned to
work in the first post-operative week, and pain scores were an average of one
unit lower following harmonic scalpel haemorrhoidectomy. Generally, the incidence
of complications in the harmonic scalpel group was less than half that found in
conventional haemorrhoidectomy. There was no significant difference between the
groups as regards operating time or length of hospital stay. Recurrence was not
reported in any of the studies. CONCLUSIONS: The meta-analysis showed that
harmonic scalpel haemorrhoidectomy is a safe and effective modality associated
with less post-operative pain and a more rapid return to work than traditional
surgery for haemorrhoids. Statistical heterogeneity was high; thus, it may be too
early to place complete confidence in these results. Further RCTs are required.
PMID- 24925354
TI - Surgical strategies in the management of recurrent retrorectal tumours.
AB - BACKGROUND: The aim of this study was to review a consecutive series of patients
who had undergone excision of recurrent retrorectal tumours and propose surgical
strategies to tackle such recurrences. METHODS: Patients were identified from a
prospectively maintained database. Demographic details, preoperative imaging and
pathology, intra- and post-operative problems and follow-up details were noted.
RESULTS: Fifteen patients (11 females) with a median age of 38 years (range 19-75
years) underwent excision of recurrent retrorectal tumours (13 benign) between
2002 and 2012. The median interval between the first and second surgical
procedure was 3.5 years (range 1-19 years). Three patients had surgery performed
via the transperineal approach, while 12 patients had resection via the abdominal
approach. En bloc resection of adjacent organs was needed in three patients.
Major pelvic bleeding occurred in two patients. R0 resection was achieved in all
15 patients, and there have been no subsequent recurrences [median follow-up 73
months (range 12-148 months)]. CONCLUSIONS: Benign recurrent retrorectal tumours
can be safely excised usually without sacrifice of adjacent organs, while en bloc
resection is needed for malignant tumours.
PMID- 24925355
TI - Pediatric chest CT at 70 kVp: a feasibility study in 129 children.
AB - BACKGROUND: Before introducing 70-kVp settings in the low-kilovoltage strategies
for pediatric examinations, it was mandatory to demonstrate, at similar dose
levels, an equivalence of image quality at 70 kVp and 80 kVp. OBJECTIVE: To
assess image quality of chest CT examinations acquired at 70 kVp in comparison
with standard scanning at 80 kVp. MATERIALS AND METHODS: We prospectively
evaluated 129 children with a 70-kVp scanning protocol (group 1). All scanning
parameters were kept similar to those usually selected for pediatric standard 80
kVp protocols, except the milliamperage increased by a factor of 1.6 to maintain
comparable radiation dose. Image quality of group 1 examinations was compared to
that of a paired population scanned at 80 kVp (group 2). The noninferiority
hypothesis was fixed at 10% of the mean level of image noise. RESULTS: There was
no significant difference in the mean dose length product (DLP) and the volume
computed tomography dose index (CTDIvol) between the groups (DLP: 20.5 +/- 5.8
mGy.cm [group 1] vs. 19.7 +/- 7.6 mGy.cm [group 2]; P = 0.06) (CTDIvol: 0.8 +/-
0.1 mGy [group 1] vs. 0.8 +/- 0.18 mGy [group 2]; P = 0.94). The mean of
differences in image noise between group 1 and group 2 examinations was -1.38 (
2.59; -0.18), verifying the noninferiority hypothesis. Subjective image quality
did not significantly differ between group 1 and group 2 examinations (P = 0.18).
CONCLUSION: At equivalent radiation dose levels, 70-kVp protocols provide similar
image quality to that achievable at 80 kVp.
PMID- 24925356
TI - Honey loading for pollen collection: regulation of crop content in honeybee
pollen foragers on leaving hive.
AB - Before foraging honeybees leave the hive, each bee loads its crop with some
amount of honey "fuel" depending on the distance to the food source and foraging
experience. For pollen collection, there is evidence that foragers carry
additional honey as "glue" to build pollen loads. This study examines whether
pollen foragers of the European honeybee Apis mellifera regulate the size of the
crop load according to food-source distances upon leaving the hive and how
foraging experience affects load regulation. The crop contents of bees foraging
on crape myrtle Lagerstroemia indica, which has no nectary, were larger than
those foraging on nectar from other sources, confirming a previous finding that
pollen foragers carry glue in addition to fuel honey from the hive. Crop contents
of both waggle dancers and dance followers showed a significant positive
correlation with waggle-run durations. These results suggest that bees carry a
distance-dependent amount of fuel honey in addition to a fixed amount of glue
honey. Crop contents on leaving the hive were statistically larger in dancers
than followers. Based on these results, we suggest that pollen foragers use
information obtained through foraging experience to adjust crop contents on
leaving the hive.
PMID- 24925357
TI - Evidence for early intracellular accumulation of volatile compounds during spadix
development in Arum italicum L. and preliminary data on some tropical Aroids.
AB - Staining and histochemistry of volatile organic compounds (VOCs) were performed
at different inflorescence developmental stages on nine aroid species; one
temperate, Arum italicum and eight tropical from the genera Caladium,
Dieffenbachia and Philodendron. Moreover, a qualitative and quantitative analysis
of VOCs constituting the scent of A. italicum, depending on the stage of
development of inflorescences was also conducted. In all nine species, vesicles
were observed in the conical cells of either the appendix or the stamens (thecae)
and the staminodes. VOCs were localised in intracellular vesicles from the early
stages of inflorescence development until their release during receptivity of
gynoecium. This localisation was observed by the increase of both number and
diameter of the vesicles during 1 week before receptivity. Afterwards, vesicles
were fewer and smaller but rarely absent. In A. italicum, staining and gas
chromatography analyses confirmed that the vesicles contained terpenes. The
quantitatively most important ones were the sesquiterpenes, but monoterpenes were
not negligible. Indeed, the quantities of terpenes matched the vesicles' size
evolution during 1 week. Furthermore, VOCs from different biosynthetic pathways
(sesquiterpenes and alkanes) were at their maximum quantity 2 days before
gynoecium receptivity (sesquiterpenes and alkanes) or during receptivity
(isobutylamine, monoterpenes, skatole and p-cresol). VOCs seemed to be emitted
during gynoecium receptivity and/or during thermogenesis, and FADs are
accumulated after thermogenesis in the spadix. These complex dynamics of the
different VOCs could indicate specialisation of some VOCs and cell machinery to
attract pollinators on the one hand and to repulse/protect against phytophagous
organisms and pathogens after pollination on the other hand.
PMID- 24925360
TI - ABA renewal involves enhancements in both GluA2-lacking AMPA receptor activity
and GluA1 phosphorylation in the lateral amygdala.
AB - Fear renewal, the context-specific relapse of fear following fear extinction, is
a leading animal model of post-traumatic stress disorders (PTSD) and fear-related
disorders. Although fear extinction can diminish fear responses, this effect is
restricted to the context where the extinction is carried out, and the
extinguished fear strongly relapses when assessed in the original acquisition
context (ABA renewal) or in a context distinct from the conditioning and
extinction contexts (ABC renewal). We have previously identified Ser831
phosphorylation of GluA1 subunit in the lateral amygdala (LA) as a key molecular
mechanism for ABC renewal. However, molecular mechanisms underlying ABA renewal
remain to be elucidated. Here, we found that both the excitatory synaptic
efficacy and GluA2-lacking AMPAR activity at thalamic input synapses onto the LA
(T-LA synapses) were enhanced upon ABA renewal. GluA2-lacking AMPAR activity was
also increased during low-threshold potentiation, a potential cellular substrate
of renewal, at T-LA synapses. The microinjection of 1-naphtylacetyl-spermine
(NASPM), a selective blocker of GluA2-lacking AMPARs, into the LA attenuated ABA
renewal, suggesting a critical role of GluA2-lacking AMPARs in ABA renewal. We
also found that Ser831 phosphorylation of GluA1 in the LA was increased upon ABA
renewal. We developed a short peptide mimicking the Ser831-containing C-tail
region of GluA1, which can be phosphorylated upon renewal (GluA1S); thus, the
phosphorylated GluA1S may compete with Ser831-phosphorylated GluA1. This GluA1S
peptide blocked the low-threshold potentiation when dialyzed into a recorded
neuron. The microinjection of a cell-permeable form of GluA1S peptide into the LA
attenuated ABA renewal. In support of the GluA1S experiments, a GluA1D peptide
(in which the serine at 831 is replaced with a phosphomimetic amino acid,
aspartate) attenuated ABA renewal when microinjected into the LA. These findings
suggest that enhancements in both the GluA2-lacking AMPAR activity and GluA1
phosphorylation at Ser831 are required for ABA renewal.
PMID- 24925361
TI - Zingiberene attenuates hydrogen peroxide-induced toxicity in neuronal cells.
AB - In this experimental design, we explored the neuroprotective potential of
zingiberene (ZGB), a monocyclic sesquiterpene, in hydrogen peroxide (H2O2)
induced toxicity in newborn rat cerebral cortex cell cultures for the first time.
The rats were exposed to H2O2 for 6 h to determine the oxidative stress levels.
To evaluate cell viability, both 3-(4,5-dimethylthiazole-2-yl)-2,5
diphenyltetrazolium bromide and lactate dehydrogenase assays were carried out.
Total antioxidant capacity (TAC) and total oxidative stress (TOS) parameters were
used to evaluate oxidative changes. Besides determining 8-hydroxy-2
deoxyguanosine (8-OH-dG) levels in vitro, single-cell gel electrophoresis was
also performed to measure the resistance of neuronal DNA to H2O2- exposed rats.
Our results showed that survival and TAC levels of the cells decreased, while
TOS, 8-OH-dG levels and the mean values of the total scores of cells showing DNA
damage increased in the H2O2 alone-treated cultures. But pretreatment of ZGB
suppressed the cytotoxicity, genotoxicity and oxidative stress that were
increased by H2O2. Based on these observations, it is suggested that the
sesquiterpene ZGB can be used as a novel and natural potential therapeutic in
counteracting oxidative damages in the field of neurodegenerative disorders.
PMID- 24925362
TI - Mechanisms of resveratrol-induced changes in cytosolic free calcium ion
concentrations and cell viability in OC2 human oral cancer cells.
AB - Resveratrol is a natural compound that affects cellular calcium (Ca(2+))
homeostasis and viability in different cells. This study examined the effect of
resveratrol on cytosolic free Ca(2+) concentrations ([Ca(2+)]i) and viability in
OC2 human oral cancer cells. The Ca(2+)-sensitive fluorescent dye fura-2 was used
to measure [Ca(2+)]i, and water-soluble tetrazolium-1 was used to measure
viability. Resveratrol evoked concentration-dependent increase in [Ca(2+)]i. The
response was reduced by removing extracellular Ca(2+). Resveratrol also caused
manganese-induced fura-2 fluorescence quench. Resveratrol-evoked Ca(2+) entry was
inhibited by nifedipine and the protein kinase C (PKC) inhibitor GF109203X but
was not altered by econazole, SKF96365, and the PKC activator phorbol 12
myristate 13 acetate. In Ca(2+)-free medium, treatment with the endoplasmic
reticulum Ca(2+) pump inhibitor 2,5-di-tert-butylhydroquinone (BHQ) abolished
resveratrol-evoked [Ca(2+)]i rise. Conversely, treatment with resveratrol
inhibited BHQ-evoked [Ca(2+)]i rise. Inhibition of phospholipase C (PLC) with
U73122 abolished resveratrol-evoked [Ca(2+)]i rise. At 20-100 MUM, resveratrol
decreased cell viability, which was not affected by chelating cytosolic
Ca(2+)with 1,2-bis(2-aminophenoxy)ethane-N,N,N',N'-tetraacetic acid-acetoxymethyl
ester. Annexin V-fluorescein isothiocyanate staining data suggest that
resveratrol at 20-40 MUM induced apoptosis in a concentration-dependent manner.
Collectively, in OC2 cells, resveratrol induced [Ca(2+)]i rise by evoking PLC
dependent Ca(2+) release from the endoplasmic reticulum and by causing Ca(2+)
entry via nifedipine-sensitive, PKC-regulated mechanisms. Resveratrol also caused
Ca(2+)-independent apoptosis.
PMID- 24925364
TI - Induction of sister chromatid exchanges and cell division delays by clomiphene
citrate in human lymphocytes.
AB - OBJECTIVE: Clomiphene citrate (CC) is a selective estrogen receptor modulator and
is used for the treatment of in vitro fertilization, intracytoplasmic sperm
injection, intrauterine insemination, and so on. In this study, sister chromatid
exchanges (SCEs) and cell cycle delays were analyzed to investigate genotoxicity
and cytotoxicity of CC in peripheral blood lymphocytes of healthy women. METHODS:
Human peripheral blood lymphocytes obtained from two donors were used to detect
genotoxicity and cytotoxicity of CC. Lymphocytes were treated with various
concentrations (0.40, 0.80, 1.60, and 3.20 ug/ml) of CC. A negative (distilled
water) and a positive control (mitomycin-C = 0.20 ug/ml) were also used
simultaneously with test substance-treated cultures. SCEs and cell division
delays were measured from 25 cells and 100 cells perdonor, respectively. RESULTS:
CC significantly increased the mean SCE value at all concentrations compared with
the negative control. This increase was found to be dose dependent (r = 0.83) and
at the highest concentration, nearly two times higher increase was observed than
the negative control. However, replication index was not affected by the CC
treatment. CONCLUSION: The present study shows that CC is genotoxic for human
lymphocytes in vitro. Further investigations, especially in vivo are now needed
in different test organisms to clarify the genotoxic activity of CC, which should
also help to better understand genotoxic mechanism of this ovulation-stimulating
drug.
PMID- 24925363
TI - In vivo radioadaptive response: a review of studies relevant to radiation-induced
cancer risk.
AB - Radioadaptive response (RAR) describes phenomena where small conditioning doses
of ionizing radiation (IR) reduce detrimental effects of subsequent higher IR
doses. Current radiation protection regulations do not include RAR because of the
large variability in expression among individuals and uncertainties of the
mechanism. However, RAR should be regarded as an indispensable factor for
estimation and control of individual IR sensitivity. In this article, RAR studies
relevant to individual cancer risk are reviewed. Using various stains of mice,
carcinogenic RAR has been demonstrated. Consistently much in vivo evidence for
RAR with end points of DNA and chromosome damage is reported. Most in vivo RAR
studies revealed efficient induction of RAR by chronic or repeated low-dose
priming irradiation. Chronic IR-induced RAR was observed also in human
individuals after environmental, occupational, and nuclear accident radiation
exposure. These observations may be associated with an intrinsically distinct
feature of in vivo experimental systems that mainly consist of nonproliferating
mature cells. Alternatively, induction of RAR by gap junction-mediated bystander
effects suggests that multicellular systems comprising densely communicating
cells may be capable of responding to long-lasting low-dose-rate priming
irradiation. Regulation by endocrine factors is also a plausible mechanism for
RAR at an individual level. Emerging evidence suggests that glucocorticoids,
known as stress hormones, participate in in vivo RAR induction following long
term low-dose-rate exposure to IR.
PMID- 24925365
TI - Electron microscopic examination of effects of bogma raki and walnut on cochlea:
an experimental study.
AB - Illegal alcohol beverages known as bogma raki in our country are consumed widely
in our region. The studies investigating the relationship between alcohol
consumption and hearing ability report different results. In this study, we aimed
to investigate the toxic effects of bogma raki that contains neurotoxic
substances on cochlea by electron microscopy. To the best of our knowledge, this
study is the first in the literature. A total of 48 Wistar male albino rats (aged
12-16 weeks and weighing 200-240 g) were used in the study. The rats were divided
into 4 groups with 12 animals in each group. The groups include control, bogma
raki, walnut, and walnut + bogma raki groups. Bogma raki (30% v/v, 9.2 ml kg(-1)
day(-1)) is added to drinking water of rats in bogma raki group (n = 12) for 4
weeks. Walnut group rats (n = 12) are fed with standard rat food and walnut
without limitation (10 g kg(-1) day(-1)). Bogma raki + walnut group rats (n = 12)
are fed with standard rat food and walnut and bogma raki is added to drinking
water. The cochleas were dissected and removed en bloc and examined by electron
microscopy. Perineuronal oedema around neurons of spiral ganglion and hairy cells
of organ of Corti were present in the bogma raki group, walnut group and bogma
raki + walnut group under electron microscopic examination. Comparing these three
groups, there were no differences in the ultrastructural pathological changes. In
the ultrastructural examination of the myelinated axons forming cochlear nerve,
no ultrastructural pathology was detected in all the groups.
PMID- 24925366
TI - Carbon monoxide poisoning and intracardiac thrombus formation: additional
mechanisms.
PMID- 24925367
TI - Aspartame induces angiogenesis in vitro and in vivo models.
AB - Angiogenesis is the process of generating new blood vessels from preexisting
vessels and is considered essential in many pathological conditions. The purpose
of the present study is to evaluate the effect of aspartame on angiogenesis in
vivo chick chorioallantoic membrane (CAM) and wound-healing models as well as in
vitro 2,3-bis-2H-tetrazolium-5-carboxanilide (XTT) and tube formation assays. In
CAM assay, aspartame increased angiogenesis in a concentration-dependent manner.
Compared with the control group, aspartame has significantly increased vessel
proliferation (p < 0.001). In addition, in vivo rat model of skin wound-healing
study showed that aspartame group had better healing than control group, and this
was statistically significant at p < 0.05. There was a slight proliferative
effect of aspartame on human umbilical vein endothelial cells on XTT assay in
vitro, but it was not statistically significant; and there was no antiangiogenic
effect of aspartame on tube formation assay in vitro. These results provide
evidence that aspartame induces angiogenesis in vitro and in vivo; so regular use
may have undesirable effect on susceptible cases.
PMID- 24925368
TI - Protective effects of saffron (its active constituent, crocin) on nephropathy in
streptozotocin-induced diabetic rats.
AB - The reactive oxygen species take role in pathogenesis of many diseases including
hypoxia, hypercholesterolemia, atherosclerosis, nephropathy, hypertension,
ischemia-reperfusion damage, and heart defects. The aim of this study was to
evaluate whether crocin administration could protect kidney injury from oxidative
stress in streptozotocin-induced diabetic rats. The rats were randomly divided
into 3 groups each containing 10 animals as follows: group 1, control group;
group 2, diabetes mellitus (DM) group; and group 3, DM + crocin group. At the end
of the study, trunk blood was collected to determine the plasma levels of blood
urea nitrogen (BUN) and creatinine (Cr). The kidney tissue was removed, and
biochemical and histological changes were examined. Diabetes caused a significant
increase in malondialdehyde (MDA) and xanthine oxidase (XO) activities and a
decrease in glutathione (GSH) contents (p < 0.01) when compared with control
group in the rat kidneys. Crocin given to DM rats significantly decreased MDA (p
< 0.01) and XO (p < 0.05) activities and elevated GSH (p < 0.05) contents when
compared with DM group. Plasma levels of BUN and Cr were significantly higher in
the DM group when compared with the control group (p < 0.01). Pretreatment of the
DM animals with crocin decreased the high level of serum Cr and BUN. Control
group was normal in histological appearance, but congestion, severe inflammation,
tubular desquamation, tubular necrosis, and hydropic degeneration in tubular
cells were observed in the DM group. Histopathological changes markedly reduced,
and appearance of kidney was nearly similar to control group in DM + crocin
group. Our results show that crocin could be beneficial in reducing diabetes
induced renal injury.
PMID- 24925369
TI - Polyunsaturated fatty acid intake and risk of lung cancer: a meta-analysis of
prospective studies.
AB - BACKGROUND: Studies have reported inconsistent results for the existence of an
association between polyunsaturated fatty acid (PUFA) intake and risk of lung
cancer. The purpose of this study is to summarize the evidence regarding this
relationship using a dose response meta-analytic approach. METHODOLOGY AND
PRINCIPAL FINDINGS: We searched the PubMed, EmBase, and Cochrane Library
electronic databases for related articles published through July 2013. Only
prospective studies that reported effect estimates with 95% confidence intervals
(CIs) of lung cancer incidence for greater than 2 categories of PUFA intake were
included. We did random-effects meta-analyses of study-specific incremental
estimates to determine the risk of lung cancer associated with a 5 g per day
increase in PUFA intake. Overall, we included 8 prospective cohort studies
reporting data on 1,268,442 individuals. High PUFA intake had little or no effect
on lung cancer risk (risk ratio [RR], 0.91; 95% CI, 0.78-1.06; P = 0.230).
Furthermore, the dose-response meta-analysis also suggested that a 5 g per day
increase in PUFA has no significant effect on the risk of lung cancer (RR, 0.98;
95%CI: 0.96-1.01; P = 0.142). Finally, the findings of dose response curve
suggested that PUFA intake of up to 15 g/d seemed to increase the risk of lung
cancer. Furthermore, PUFA intake greater than 15 g/d was associated with a small
beneficial effect and borderline statistical significance. Subgroup analyses for
5 g per day increment in PUFA indicated that the protective effect of PUFA was
more evident in women (RR, 0.94; 95% CI, 0.87-1.01; P = 0.095) than in men (RR,
1.00; 95% CI, 0.98-1.02; P = 0.784). CONCLUSION/SIGNIFICANCE: Our study indicated
that PUFA intake had little or no effect on lung cancer risk. PUFA intake might
play an important role in lung cancer prevention in women.
PMID- 24925370
TI - The role of the SHH gene in prostate cancer cell resistance to paclitaxel.
AB - BACKGROUND: The increased activity of the Sonic Hedgehog (SHH) pathway has been
demonstrated in many types of cancer including prostate cancer (PCa). It has been
shown that SHH pathway is involved in tumor angiogenesis and in regulation of
metabolism of cancer stem cells. The increased activity of the SHH pathway is
responsible for generation and maintenance of the multidrug resistance in cancer
cells. A key role in the development of this insensitivity to cytotoxic drugs
play ATP-binding cassette (ABC) transporters. METHODS: SHH encoding plasmid was
stably transfected into PCa cell lines DU145 and LNCaP. The expression of SHH was
silenced by shRNA and the level of SHH was tested by quantitative (q)PCR and
western blot methods. The effect of SHH overexpression in cells after treatment
with paclitaxel was measured by MTT assay, crystal violet assay and flow
cytometry. The level of 44 ABC transporters was estimated by qPCR. RESULTS:
Expression of exogenous SHH protein in DU145 and LNCaP cell lines enhanced their
resistance to paclitaxel along with increased expression of ABC transporters
transcripts. Paclitaxel treatment further enhanced the expression of increased
ABC transporters transcripts in cells overexpressing SHH. CONCLUSIONS:
Overexpression of SHH enhances PCa cell lines resistance to paclitaxel. Higher
level of SHH leads to increase in ABC transporters expression in a manner
dependent on paclitaxel.
PMID- 24925371
TI - Combined influence of visual scene and body tilt on arm pointing movements:
gravity matters!
AB - Performing accurate actions such as goal-directed arm movements requires taking
into account visual and body orientation cues to localize the target in space and
produce appropriate reaching motor commands. We experimentally tilted the body
and/or the visual scene to investigate how visual and body orientation cues are
combined for the control of unseen arm movements. Subjects were asked to point
toward a visual target using an upward movement during slow body and/or visual
scene tilts. When the scene was tilted, final pointing errors varied as a
function of the direction of the scene tilt (forward or backward). Actual forward
body tilt resulted in systematic target undershoots, suggesting that the brain
may have overcompensated for the biomechanical movement facilitation arising from
body tilt. Combined body and visual scene tilts also affected final pointing
errors according to the orientation of the visual scene. The data were further
analysed using either a body-centered or a gravity-centered reference frame to
encode visual scene orientation with simple additive models (i.e., 'combined'
tilts equal to the sum of 'single' tilts). We found that the body-centered model
could account only for some of the data regarding kinematic parameters and final
errors. In contrast, the gravity-centered modeling in which the body and visual
scene orientations were referred to vertical could explain all of these data.
Therefore, our findings suggest that the brain uses gravity, thanks to its
invariant properties, as a reference for the combination of visual and non-visual
cues.
PMID- 24925372
TI - A feasible strategy for preventing blood clots in critically ill patients with
acute kidney injury (FBI): study protocol for a randomized controlled trial.
AB - BACKGROUND: Previous pharmacokinetic trials suggested that 40 mg subcutaneous
enoxaparin once daily provided inadequate thromboprophylaxis for intensive care
unit patients. Critically ill patients with acute kidney injury are at increased
risk of venous thromboembolism and yet are often excluded from these trials. We
hypothesized that for critically ill patients with acute kidney injury receiving
continuous renal replacement therapy, a dose of 1 mg/kg enoxaparin subcutaneously
once daily would improve thromboprophylaxis without increasing the risk of
bleeding. In addition, we seek to utilize urine output prior to discontinuing
dialysis, and low neutrophil gelatinase-associated lipocalin in dialysis-free
intervals, as markers of renal recovery. METHODS/DESIGN: In a multicenter, double
blind randomized controlled trial in progress at three intensive care units
across Denmark, we randomly assign eligible critically ill adults with acute
kidney injury into a treatment (1 mg/kg enoxaparin subcutaneously once daily) or
control arm (40 mg enoxaparin subcutaneously once daily) upon commencement of
continuous renal replacement therapy.We calculated that with 133 patients in each
group, the study would have 80% power to show a 40% reduction in the relative
risk of venous thromboembolism with 1 mg/kg enoxaparin, at a two-sided alpha
level of 0.05. An interim analysis will be conducted after the first 67 patients
have been included in each group.Enrolment began in March 2013, and will continue
for two years. The primary outcome is the occurrence of venous thromboembolism.
Secondary outcomes include anti-factor Xa activity, bleeding, heparin-induced
thrombocytopenia, filter lifespan, length of stay, ventilator free days, and
mortality. We will also monitor neutrophil gelatinase-associated lipocalin and
urine volume to determine whether they can be used as prognostic factors for
renal recovery. DISCUSSION: Critically ill unit patients with acute kidney injury
present a particular challenge in the provision of thromboprophylaxis. This study
hopes to add to the growing evidence that the existing recommendation of 40 mg
enoxaparin is inadequate and that 1 mg/kg is both safe and effective for
thromboprophylaxis.In addition, the study seeks to identify predictors of renal
recovery allowing for the proper utilization of resources. TRIAL REGISTRATION: EU
Clinical Trials Register: EudraCT number: 2012-004368-23, 25 September 2012.
PMID- 24925373
TI - Overexpression of erg1 gene in Trichoderma harzianum CECT 2413: effect on the
induction of tomato defence-related genes.
AB - AIMS: To investigate the effect of the overexpression of erg1 gene of Trichoderma
harzianum CECT 2413 (T34) on the Trichoderma-plant interactions and in the
biocontrol ability of this fungus. METHODS AND RESULTS: Transformants of T34
strain overexpressing erg1 gene did not show effect on the ergosterol level,
although a drastic decrease in the squalene level was observed in the
transformants at 96 h of growth. During interaction with plants, the erg1
overexpression resulted in a reduction of the priming ability of several tomato
defence-related genes belonging to the salicylate pathway, and also of the
TomLoxA gene, which is related to the jasmonate pathway. Interestingly, other
jasmonate-related genes, such as PINI and PINII, were slightly induced. The erg1
overexpressed transformants also showed a reduced ability to colonize tomato
roots. CONCLUSIONS: The ergosterol biosynthetic pathway might play an important
role in regulating Trichoderma-plant interactions, although this role does not
seem to be restricted to the final product; instead, other intermediates such as
squalene, whose role in the Trichoderma-plant interaction has not been
characterized, would also play an important role. SIGNIFICANCE AND IMPACT OF THE
STUDY: The functional analysis of genes involved in the synthesis of ergosterol
could provide additional strategies to improve the ability of biocontrol of the
Trichoderma strains and their interaction with plants.
PMID- 24925375
TI - A mechanistic change results in 100 times faster CH functionalization for ethane
versus methane by a homogeneous Pt catalyst.
AB - The selective, oxidative functionalization of ethane, a significant component of
shale gas, to products such as ethylene or ethanol at low temperatures and
pressures remains a significant challenge. Herein we report that ethane is
efficiently and selectively functionalized to the ethanol ester of H2SO4, ethyl
bisulfate (EtOSO3H) as the initial product, with the Pt(II) "Periana-Catalytica"
catalyst in 98% sulfuric acid. A subsequent organic reaction selectively
generates isethionic acid bisulfate ester (HO3S-CH2-CH2-OSO3H, ITA). In contrast
to the modest 3-5 times faster rate typically observed in electrophilic CH
activation of higher alkanes, ethane CH functionalization was found to be ~100
times faster than that of methane. Experiment and quantum-mechanical calculations
reveal that this unexpectedly large increase in rate is the result of a
fundamentally different catalytic cycle in which ethane CH activation (and not
platinum oxidation as for methane) is now turnover limiting. Facile Pt(II)-Et
functionalization was determined to occur via a low energy beta-hydride
elimination pathway (which is not available for methane) to generate ethylene and
a Pt(II)-hydride, which is then rapidly oxidized by H2SO4 to regenerate Pt(II)
X2. A rapid, non-Pt-catalyzed reaction of formed ethylene with the hot,
concentrated H2SO4 solvent cleanly generate EtOSO3H as the initial product, which
further reacts with the H2SO4 solvent to generate ITA.
PMID- 24925374
TI - Contrast-enhanced ultrasound-guided Sentinel lymph node biopsy of the ocular
conjunctiva.
AB - OBJECTIVES/HYPOTHESIS: Sentinel lymph node biopsy (SLNB) has been utilized for
cutaneous melanoma and other malignancies arising from the eye and ocular adnexa.
Currently, SLNB requires blue dyes and/or radiopharmaceuticals; both of which
have significant shortcomings. This study sought to evaluate the feasibility of
SLNB with the use of real-time, contrast-enhanced ultrasound (CEUS) as an
alternative technique for tumors arising in the conjunctiva. STUDY DESIGN:
Prospective feasibility study in a porcine model. METHODS: Twelve experiments
were performed on six non-tumor-bearing Yorkshire swine. An ultrasound contrast
agent, Sonazoid (GE Healthcare, Oslo, Norway), (99m) technetium ((99m) Tc), and
methylene blue (MB) (Covidien, Mansfield, MA) were injected in the ocular
conjunctiva. Sentinel lymph nodes (SLNs) were localized with CEUS and findings
were compared to that of MB and (99m) Tc. Fisher exact test was used. RESULTS:
Contrast-enhanced SLNs were identified within an average of 6.2 minutes from time
of injection of Sonazoid. A total of 17 SLNs were identified by at least one of
the three techniques. Correlation between Sonazoid and (99m) Tc was 94.1% (16/17
SLNs). Correlation between (99m) Tc and MB was 88.2% (15/17). One SLN that was
positive for (99m) Tc but negative for Sonazoid and was considered to be a false
positive (1/17); findings were similar for MB (1/17). Differences between the
three techniques were not significant (P = .886). CONCLUSIONS: CEUS-guided
injection of conjunctiva for SLNB is technically feasible and correlates well
with standard detection techniques. This technique shows promise for rapid, real
time, intraoperative imaging for SLNB, using a widely available imaging modality
and avoiding the need for radiopharmaceuticals. LEVEL OF EVIDENCE: NA
PMID- 24925376
TI - Exogenous connective tissue growth factor preserves the hair-inductive ability of
human dermal papilla cells.
AB - Connective tissue growth factor influences human dermal papilla cells' hair
inductive ability through several signaling pathways.
PMID- 24925378
TI - Stopping movements: when others slow us down.
AB - Previous research has shown that performing joint actions can lead to the
representation of both one's own and others' actions. In the present study we
explored the influence of co-representation on response stopping. Are joint
actions more difficult to stop than solo actions? Using a variation of the stop
signal task, we found that participants needed more time to stop a planned joint
action compared with a planned solo action (Experiment 1). This effect was not
observed when participants performed the task in the presence of a passive
observer (Experiment 2). A third transcranial magnetic stimulation experiment
(Experiment 3) demonstrated that joint stopping recruited a more selective
suppression mechanism than solo stopping. Taken together, these results suggest
that participants used a global inhibition mechanism when acting alone; however,
they recruited a more selective and slower suppression mechanism when acting with
someone else.
PMID- 24925379
TI - History of allergy in antiquity.
AB - Allergic diseases are not new. They have been described in the early medical
literature in various cultures like Egypt, China, indigenous America and in the
Greco-Roman tradition. The terms 'idiosyncrasy', 'asthma' and 'eczema' are still
in use today. The most famous allergic individual of antiquity with the whole
triad of atopic diseases and a positive family history of atopy probably was
Emperor Octavianus Augustus.
PMID- 24925377
TI - Amino acids and derivatives, a new treatment of chronic heart failure?
AB - Amino acids play a key role in multiple cellular processes. Amino acids
availability is reduced in patients with heart failure (HF) with deleterious
consequences on cardiac and whole-body metabolism. Several metabolic
abnormalities have been identified in the failing heart, and many of them lead to
an increased need of amino acids. Recently, several clinical trials have been
conducted to demonstrate the benefits of amino acids supplementation in patients
with HF. Although they have shown an improvement of exercise tolerance and, in
some cases, of left ventricular function, they have many limitations, namely
small sample size, differences in patients' characteristics and nutritional
supplementations, and lack of data regarding outcomes. Moreover recent data
suggest that a multi-nutritional approach, including also antioxidants, vitamins,
and metals, may be more effective. Larger trials are needed to ascertain safety,
efficacy, and impact on prognosis of such an approach in HF.
PMID- 24925380
TI - History of allergy in the middle ages and renaissance.
AB - In the Middle Ages little innovative medical literature came from Western Europe.
The Greek-Roman tradition with the scriptures of Hippocrates and Galenos was
preserved in Byzantium and then in the Middle East by Arabic medicine; it then
returned to Europe in Latin translations mostly made in Italy and Spain. There
were innovative developments in Arabic medicine also with regard to the history
of allergy, especially with the first description of 'rose fever', which is
described as very similar in symptomatology to hay fever. Under Arabic influence,
the first medical university in Salerno was famous for its well-known text
Tacuinum sanitatis in which a description of asthma can be found. With the
beginning of renaissance new developments were also registered in Europe, with
new observations and a new way of thinking.
PMID- 24925381
TI - Landmarks in Allergy during the 19th Century.
AB - There were remarkable achievements in the 19th century in our understanding of
the cells of the allergic response, the clear descriptions of hay fever and
asthma, as well as the role of pollen in seasonal rhinitis. Although allergy as a
concept was not developed until well into the 20th century, the foundations of
our present understanding of these diseases were laid in the 1800s. The
outstanding physicians and scientists of this time included Paul Ehrlich (who
described mast cells, eosinophils and basophils), John Bostock (who provided the
first detailed account of hay fever), Charles Blackley (who showed that pollen
was the cause of hay fever), Morrill Wyman (who demonstrated that autumnal
catarrh was due to ragweed pollen), Henry Hide Salter (who made the first classic
description of asthma) and Henri Laennec (the inventor of the stethoscope).
PMID- 24925382
TI - Milestones in the 20th century.
AB - From its very beginning, the 20th century represented the period of the main
breakthrough for allergology as a clinical and scientific entity. The first years
of this period were extraordinarily exciting because of the discovery of the
anaphylactic reaction in 1902 and its clinical diagnosis as 'local anaphylaxis',
'serum sickness' (1903) or even as 'anaphylactic shock' (1907). The term
'allergy' was coined in 1906 and led to the recognition of allergic diseases as a
pathogenetic entity. The first patient organization of hay fever sufferers was
founded in Germany in 1900, the same year in which the very first report on
immunotherapy was published in New York. In 1911 the era of actual immunotherapy
started in London, becoming scientific with the first double-blind study in 1956,
and still today being regarded as the backbone of allergology. In 1919 it was
shown that allergy could be transferred by blood, in 1921 by serum (Prausnitz
Kustner test) and in 1966 the mystic 'reagins' were recognized as immunoglobulin
(Ig) E. The development of the radioallergosorbent test for quantifying specific
IgE antibody was a diagnostic landmark for allergists all over the world. The
history of allergy diagnosis started with the introduction of a 'functional skin
test', named the patch test in 1894. The scratch test was described in 1912 and
the patch test in 1931. From 1908 the skin was tested by intracutaneous
injections, and from 1930 by a 'puncture test' (a precursor of the prick test)
which has been in worldwide use in modified variations since 1959. The rub test
('friction test') was added in 1961. Systematically applied provocation tests
started with conjunctival provocation (1907), followed by nasal and bronchial
provocation with allergens (1914 and 1925).
PMID- 24925383
TI - Terminology of allergic phenomena.
AB - Over the last 2,000 years a variety of terms have been used for the description
of phenomena possibly related to allergy. Many have been forgotten, while some of
them have remained. In Greco-Roman literature the term 'idiosyncrasy' was used to
describe an individual characterization of a health condition, possibly
comparable to 'constitution'. The same term was also used to describe individual
reaction patterns, and the term 'antipathy' was used in a similar sense.
'Hypersensitivity' originated from the German word 'Uberempfindlichkeit' and was
first used in a medical sense by Emil von Behring when he described untoward
reactions to his antitoxin containing serum therapy. 'Anaphylaxis' was coined by
Richet and Portier to describe the new phenomenon of a life-threatening general
pathogenic reaction after repeated injection of antigen. In 1906, Clemens von
Pirquet introduced the term 'allergy' in order to bring more clarity to the
confusing debate regarding protective and harmful immunity. In order to
characterize the familial occurrence of hypersensitivity reactions such as
asthma, hay fever and others, the American allergists A.F. Coca and R.A. Cooke
introduced the term 'atopy'. Contrary to anaphylaxis, which was experimentally
induced, this type of 'hypersensitiveness' occurred spontaneously. The nature of
the pathogenic factor was called the 'atopic reagin' and was found to be
transferable with serum by Prausnitz and Kustner. After the detection of
immunoglobulin (Ig) E as the carrier of this type of hypersensitivity, the term
'atopy' gained a new sense, since IgE is a characteristic - yet not exclusive -
parameter of the so-called atopic diseases. Clinically similar diseases such as
asthma, rhinoconjunctivitis or eczema can be found in the absence of IgE, and are
then called 'intrinsic' variants of the same disease.
PMID- 24925384
TI - Anaphylaxis.
AB - The term anaphylaxis was coined by Charles Richet and Paul Portier when they
tried to immunize dogs with actinia extracts, but after a repeated injection of a
small amount of the toxin the dog died within 25 min. The new term rapidly spread
all over the world. The discovery of the phenomenon of anaphylaxis showed that by
immunization not only protection but also harmful events could be induced. For
this discovery Richet received the Nobel Prize in 1913, but he still believed the
condition of anaphylaxis was a lack of protection to the poisonous effect of the
substance. Already earlier similar clinical phenomena had been observed but not
well described. A major breakthrough in understanding the pathophysiology came
through the experiments of Dale and Laidlaw who showed that the newly discovered
histamine was able to induce quite similar symptoms to anaphylaxis. For decades
reactions mimicking anaphylaxis but without involvement of the immune systems
were called 'anaphylactoid', 'allergy-like' or 'pseudo-allergic'. Since the new
definition of the World Allergy Organization (WAO) anaphylaxis is defined on the
basis of clinical symptoms independent of pathomechanisms involved: one
distinguishes between allergic and non-immune anaphylaxis. Epinephrine
(Adrenalin) was soon recognized as treatment of choice of this dramatic
condition.
PMID- 24925385
TI - Allergic rhinitis.
AB - Allergic rhinitis is a very frequent disease with a prevalence of 15-20%.
Symptoms are most pronounced in young people while, for some unknown reason, the
elderly become clinically hyposensitized. Pollen is the cause of seasonal
allergic rhinitis, and house dust mite and animals are the main causes of
perennial allergic rhinitis. Histamine is the main cause of sneezing and
hypersecretion, while other mediators probably also play a role in nasal
blockage. In polyposis, a local denervation is an important cause of vascular
leakage, edema and polyp formation. Antihistamines have a positive effect on
sneezing and hypersecretion, but not on blockage. As they have a quick onset of
action they are useful in patients with mild and occasional symptoms. A nasal
steroid is preferable in patients with persistent symptoms, since it is more
effective on all nasal symptoms. Short-term use of a systemic steroid can be a
valuable adjunct to topical treatment, especially in nasal polyposis, when there
is a temporary failure of topical treatment in a blocked nose. A nasal
vasoconstrictor can be added for short-term treatment, and an ipratropium spray
can be beneficial in perennial non-allergic rhinitis, when watery secretion is
the dominant symptom. Immunotherapy can be added in allergic rhinitis, when
pharmacotherapy is insufficient. This chapter is based on the author's personal
experience with allergic rhinitis, as a patient, a doctor and a researcher.
Therefore, it is not a balanced review and the references will be highly selected
as they largely consist of the author's own publications. As the text is mainly
based on personal research, steroids are described in detail, while, with regard
to immunotherapy, the reader is referred to another chapter. In addition to
allergic rhinitis, nasal polyposis will be described. It was formerly believed to
be an allergic disease, but we now know that it is not. However, with regard to
histopathology and drug responsiveness this disease is very similar to allergic
rhinitis.
PMID- 24925386
TI - Asthma.
AB - 'Asthma' is derived from the Greek root alphasigmathetaMUalphaiotanuomega,
meaning 'gasp for breath'. The term originally did not define a disease, but was
employed to describe respiratory symptoms of a variety of pulmonary conditions.
Over the centuries, several models have been proposed to understand the
pathophysiologic abnormalities of asthma. By the beginning of the 20th century,
asthma was seen to be a unique illness characterized by 'spasmodic afflictions of
the bronchial tubes'. Consistent with the nature of asthma as a complex disease,
the models for asthma pathogenesis have become increasingly complex. Research has
moved from antiquated ideas to a descriptive functional approach to one that
relies on pathophysiology in cellular and molecular biology, immunology,
microbiology and genetics/genomics. As more advanced technologies for measuring
lung function were developed, the features of asthma were steadily unraveled and
its pathophysiology clarified. Asthma was shown to be associated with transient
increases in airway resistance, reductions in forced expiratory volumes and
flows, hyperinflation of the lungs and increased work of breathing, as well as
abnormalities in the distribution of ventilation, perfusion and arterial blood
gases. Today, asthma is seen as a chronic inflammatory disease which is not yet
fully understood in its pathophysiology; therefore, therapy is still on the path
to becoming optimal.
PMID- 24925387
TI - Atopic dermatitis/atopic eczema.
AB - Atopic dermatitis was described in 1933 but exists since antiquity. We review
descriptions of a childhood skin disease compatible with our modern diagnosis of
atopic dermatitis, in ancient medicine and in nineteenth century dermatology
texts. We identify Hebra's prurigo and Besnier's diathetic prurigo as forerunners
of atopic dermatitis, the latter being a synthesis of infantile eczema and
prurigo. The pathogenic theories which link atopic dermatitis to humoralistic
medicine, to digestive diseases, to allergy may have had consequences on today's
reluctance to consider atopic dermatitis as a skin disorder, the treatment of
which relies mainly on topicals.
PMID- 24925388
TI - Allergic contact dermatitis.
AB - Allergic contact dermatitis is one of the most important dermatologic disorders
worldwide - it can cause significant morbidity and decreased quality of life, as
well as having major economic implications and loss of vocational productivity.
Patch testing is the most important discovery in allergic contact dermatitis and
the best diagnostic modality to date; the thin-layer rapid- use epicutaneous
(TRUE) test is a more recent patch test development which has improved the
convenience and feasibility of the test. The future of allergic contact
dermatitis is bright as we continue to learn more about the science of the
disorder, as well as ways to improve diagnosis and patient care. Furthermore, it
is important to remember, in this global age, that cooperation between health
care providers worldwide is essential.
PMID- 24925389
TI - Urticaria and angioedema.
AB - Urticaria and angioedema are ancient diseases. Many different names have been
used to describe them, and many different theories have been postulated to
explain their origin and pathogenesis. The current classification and
nomenclature of urticaria and angioedema have evolved over several millennia,
with many detours and problems, some of which still remain to be solved. This
chapter describes the history of urticaria and angioedema. The evolution of
selected aspects of today's understanding of both conditions is also traced,
based on the review of original sources and previously published research on this
topic.
PMID- 24925390
TI - Allergy and the eye.
AB - This review of the major milestones in the history of ocular allergy and
immunology shows how significantly this subdiscipline has contributed to the
tremendous progress in the understanding of mechanisms of allergic and
immunologic diseases, as well as in their better management. It also indicates
unmet needs and priority areas for future research.
PMID- 24925391
TI - History of food allergy.
AB - In this chapter we will first consider whether there is real evidence on the
basis of literature for early descriptions in antiquity of pathogenic reactions
after food intake that could be comparable to allergy, for instance in the
scriptures of Hippocrates or Lucretius. On this topic we are skeptical, which is
in agreement with the medical historian Hans Schadewaldt. We also assert that it
is unlikely that King Richard III was the first food-allergic individual in
medical literature. Most probably it was not a well-planned poisoning ('allergy')
with strawberries, but rather a birth defect ('... his harm was ever such since
his birth') that allowed the Lord Protector to bring Mylord of Ely to the
scaffold in the Tower, as we can read in The History of King Richard III by
Thomas More (1478-1535; published by his son-in-law, Rastell, in 1557). In 1912,
the American pediatrician Oscar Menderson Schloss (1882-1952) was probably the
first to describe scratch tests in the diagnosis of food allergy. Milestones in
the practical diagnosis of food allergy are further discussed, including scratch
tests, intradermal tests, modified prick tests and prick-to-prick tests. False
negative results can be attributed to the phenomenon of a 'catamnestic reaction'
according to Max Werner (1911-1987), or to the fermentative degradation of food
products. Prior to the discovery of immunoglobulin E, which marked a turning
point in allergy diagnosis, and the introduction of the radioallergosorbent test
in 1967, several more or less reliable techniques were used in the diagnosis of
food allergy, such as pulse rate increase after food intake according to Coca,
the leukopenic index, drop in basophils or drastic platelet decrease. The
'leukocytotoxic test' (Bryan's test), today called the 'ALCAT' test, shows no
scientific evidence. The double-blind placebo-controlled food challenge test
remains the gold standard in the diagnosis of food allergy. For the future,
component-resolved diagnostics with the use of recombinant molecular allergens or
chip arrays, such as the ISAC technique, hold a lot of promise. With regard to
the clinical situation, a subjective selection is given, touching on the pollen
associated food allergies ('birch-mugwort-celery-spice syndrome'), as well as the
new phenomenon of lethal food allergies that have appeared since the 1980s.
Finally, rare ways of elicitation of a 'derivative allergy', first described by
Erich Fuchs (1921-2008), for example by kissing, as well as 'oral allergy
syndrome' and oral hyposensitization are considered.
PMID- 24925392
TI - Drug hypersensitivity.
AB - Before the arrival of modern pharmacotherapy, drug hypersensitivity reactions
were virtually unknown. Toxicity from the many plant-, animal- and inorganic
material-derived remedies must have been much more common. One famous example is
the intoxications from mercury, which has been used in many ailments, but
particularly for the treatment of syphilis. It was only in the 19th century when
more and more active principles from e.g. plants were identified, and when the
observations of skin reactions became more prevalent. In 1877, Heinrich Kobner
used for the first time the term 'drug exanthema' (Arznei-Exanthem). Since then,
many different types of exanthemas from the mild macular-papular forms to the
severe life-threatening bullous exanthemas such as toxic epidermal necrolysis
have been observed from numerous drugs. The systematic investigation of severe
drug reactions has only started in the second half of the 20th century, parallel
to the increasing knowledge in immunology. Drug hypersensitivity reactions still
remain one of the most challenging problems in allergology due to their manifold
clinical manifestations and their very diverse pathophysiology. The introduction
of new drugs and in turn the emergence of new hypersensitivity reactions will
remain a challenge in the future.
PMID- 24925393
TI - Aspirin hypersensitivity.
AB - Hypersensitivity reactions to acetylsalicylic acid and non-steroidal anti
inflammatory drugs constitute a major medical concern worldwide. This article
presents an overview of the observations that led to the discovery of
cyclooxygenase inhibitors, as a prerequisite to better understand the basic
concepts supporting seminal investigations carried out in order to elucidate the
clinical features, pathogenic mechanisms, diagnosis and modern management of
these common conditions. There are some unmet needs in this clinical area which
will have to be solved in the future, especially concerning the pathogenesis of
these reactions and the availability of novel in vitro diagnostic methods sparing
both patient and physician of the risks inherent to in vivo provocation tests.
PMID- 24925394
TI - Bradykinin-mediated diseases.
AB - Diseases which have been demonstrated to be caused by increased plasma levels of
bradykinin all have angioedema as the common major clinical manifestation.
Angioedema due to therapy with angiotensin-converting enzyme (ACE) inhibitors is
caused by suppressed bradykinin degradation so that it accumulates. This occurs
because ACE metabolizes bradykinin by removal of Phe-Arg from the C-terminus,
which inactivates it. By contrast, angioedema due to C1 inhibitor deficiency
(either hereditary types I and II, or acquired) is caused by bradykinin
overproduction. C1 inhibitor inhibits factor XIIa, kallikrein and activity
associated with the prekallikrein-HK (high-molecular-weight kininogen) complex.
In its absence, uncontrolled activation of the plasma bradykinin cascade is seen
once there has been an initiating stimulus. C4 levels are low in all types of C1
inhibitor deficiency due to the instability of C1 (C1r, in particular) such that
some activated C1 always circulates and depletes C4. In the hereditary disorder,
formation of factor XIIf (factor XII fragment) during attacks of swelling causes
C4 levels to drop toward zero, and C2 levels decline. A kinin-like molecule, once
thought to be a cleavage product derived from C2 that contributes to the
increased vascular permeability seen in hereditary angioedema (HAE), is now
thought to be an artifact, i.e. no such molecule is demonstrable. The acquired C1
inhibitor deficiency is associated with clonal disorders of B cell
hyperreactivity, including lymphoma and monoclonal gammopathy. Most cases have an
IgG autoantibody to C1 inhibitor which inactivates it so that the presentation is
strikingly similar to type I HAE. New therapies for types I and II HAE include C1
inhibitor replacement therapy, ecallantide, a kallikrein antagonist, and
icatibant, a B2 receptor antagonist. A newly described type III HAE has normal C1
inhibitor, although it is thought to be mediated by bradykinin, as is an
antihistamine-resistant subpopulation of patients with 'idiopathic' angioedema.
The mechanism(s) for the formation of bradykinin in these disorders is unknown.
PMID- 24925395
TI - The discovery of immunoglobulin e and its role in allergy.
AB - Immunoglobulin E (IgE) was discovered in 1967. Today, more than 40 years after
the discovery, the normal beneficial function in the body of this enigmatic
immunoglobulin still remains unclear. However, ever since the discovery new
knowledge about allergic diseases and allergens, new treatments and new
diagnostic tools have continued to emerge as a direct result of our ability to
identify and measure IgE and IgE antibodies.
PMID- 24925396
TI - T cell subpopulations.
AB - The role of allergen-specific CD4+ effector type 2 helper (Th2) cells in the
pathogenesis of allergic disorders is an established fact. Th2 cells produce
interleukin (IL)-4 and IL-13, which induce immunoglobulin E production by B
cells, and IL-5 that allows recruitment of eosinophils. Two main mechanisms
control the Th2-mediated allergic inflammation: immune deviation (or Th1
redirection) and immune regulation. Regulatory T (Treg) cells exhibit a CD4+
phenotype and include Foxp3-positive thymic and induced Tregs, as well as Foxp3
negative IL-10-producing cells. Both immune deviation and immune regulation
evoked by the maternal and newborn microbial environment probably operate in
preventing allergen-specific Th2 responses. However, microbe-related protection
from allergy seems to mainly depend on epigenetically controlled acetylation of
the IFNG promoter of CD4+ T cells. Even Th17 and Th9 cells, as well as invariant
NKT cells, have been implicated in the pathogenesis of allergic disorders, but
their role is certainly more limited. Recently, innate lymphoid type 2 cells
(ILC2) have been found to be able to produce high amounts of IL-5 and IL-13 in
response to stimulation with IL-25 and IL-33 produced by non-immune cells.
Together with Th2 cells, ILC2 may contribute to the induction and maintenance of
allergic inflammation.
PMID- 24925397
TI - Mast cell research.
AB - The role of mast cells in allergy remained unrevealed until the observation that
they contained histamine in 1953, and then the discovery of immunoglobulin E
(IgE) in 1966, nearly a century after Ehrlich's first publication. After the
discovery of IgE, myeloma-derived IgE from Peter Shackford, who made a great
contribution to mankind by providing 40 liters of plasma in the year prior to his
death, was distributed to many researchers. This accelerated the exploration of
the mechanisms involved in allergic reactions, especially regarding the role of
mast cells in IgE-mediated reactions. The identification of mast cells as a
progeny of a bone marrow hematopoietic stem cell in 1977 led us to the successful
in vitro culture of mast cells. Along with the development of molecular
biological techniques, the structure of the high-affinity IgE receptor
(FcepsilonRI) was determined in 1989. Thus, we now understand the whole molecules
the expression of which is changed when mast cells are activated via FcepsilonRI
cross-linking. However, the physiological and pathological roles of mast cells,
especially where IgE is not involved, are not yet fully understood. It will be
necessary to determine the mechanisms involved in the 'non-IgE-mediated' steps of
mast cell activation in allergic or other diseases.
PMID- 24925398
TI - Basophils: historical reflections and perspectives.
AB - Basophils were discovered by Paul Ehrlich in 1879 and account for less than 1% of
blood leukocytes, which suggests a tightly controlled regulation of basopoiesis.
The conservation of basophils in a wide spectrum of the animal kingdom suggests a
non-redundant role in innate and adaptive immunity. In the early 1990s, it was
demonstrated that murine and human basophils synthesize interleukin (IL)-4 and IL
13, thereby suggesting that these cells are important for Th2 polarization and
IgE synthesis. Human basophils also synthesize IL-3, VEGFs and other pro
angiogenic molecules. Recently, various groups have introduced the use of
basophil-depleting antibodies or have developed transgenic mice that
constitutively lack basophils by more than 90%. These models have highlighted
previously unrecognized roles of basophils, distinct from those played by mast
cells, in innate and adaptive immunity. Although the physiologic role of
basophils remains unknown, there is now compelling evidence that basophils,
despite their small numbers in peripheral blood and inflamed tissues, are
critically involved in a wide spectrum of immunologic disorders (allergic,
autoimmune and infectious diseases, immunodeficiencies and cancer). It is not
inconceivable that basophils and/or their products could be promising therapeutic
targets for such disorders.
PMID- 24925399
TI - Eosinophils.
AB - In 1846, T. Wharton-Jones described a coarsely granular stage in the development
of granulocytic cells in animal and human blood. Shortly thereafter, Max Schultze
redefined the coarsely granular cells as a type distinct from finely granular
cells, rather than just a developmental stage. It was, however, not until 1879,
when Paul Ehrlich introduced a method to distinguish granular cells by the
staining properties of their granules, that a classification became possible. An
intensive staining for eosin, among other aniline dyes, was eponymous for the
coarsely granular cell type, which thereupon became referred to as eosinophil
granulocyte. Eosinophilia had already been described in many diseases by the late
19th century. The role of these cells, however, today remains a matter of
continuing speculation and investigation. Many functions have been attributed to
the eosinophil over the years, often linked to increasing knowledge about the
granular and cytoplasmatic contents. A better understanding of the regulatory
mechanisms of eosinopoiesis has led to the development of knock-out mice strains
as well as therapeutic strategies for reducing the eosinophil load in patients.
The effect of these therapeutics and the characterization of the knock-out
phenotypes have led to a great increase in the knowledge of the role of the
eosinophil in disease. Today we think of the eosinophil as a multifunctional cell
involved in host defense, tissue damage and remodeling, as well as
immunomodulation.
PMID- 24925400
TI - The bradykinin-forming cascade: a historical perspective.
AB - The formation of bradykinin in plasma requires interaction of three proteins,
namely coagulation factor XII (Hageman factor), prekallikrein and high-molecular
weight kininogen (HK). Prekallikrein and HK circulate as a bimolecular complex.
Initiation of the cascade upon binding to negatively charged surfaces (or
macromolecules) is dependent on factor XII autoactivation, conversion of
prekallikrein to kallikrein, and a feedback activation of factor XII by
kallikrein. The latter reaction is extremely rapid relative to factor XII
autoactivation. The kallikrein then digests HK to liberate bradykinin. The
natural surface appears to be vascular endothelial cells which express binding
proteins for factor XII and HK, and activation can proceed along the cell
surface. Recent findings demonstrate that prekallikrein has enzymatic activity
separate from that of kallikrein such that it can stoichiometrically bind and
cleave HK to liberate bradykinin. It is normally prevented from doing so by the
plasma C1 inhibitor. Release of heat shock protein 90 (HSP-90) from endothelial
cells can convert prekallikrein to kallikrein (stoichiometrically) within the
prekallikrein-HK complex, even in the absence of factor XII, and the
prekallikrein-HK complex can autoactivate to generate kallikrein if phosphate is
the buffering ion. The effects of phosphate ion and HSP-90 are additive. Thus, an
active site appears to be induced in prekallikrein by binding to HK and any of
the aforementioned reactions can generate kallikrein prior to factor XII
activation by autoactivation of the HK-PK complex. This brief review highlights
the major discoveries made over the past 50 years which have led to our current
concepts regarding the constituents and mechanisms of activation of the plasma
bradykinin-forming cascade.
PMID- 24925401
TI - Histamine receptors and antihistamines: from discovery to clinical applications.
AB - The synthesis and the identification of histamine marked a milestone in both
pharmacological and immunological research. Since Sir Henry Dale and Patrick
Laidlaw described some of its physiological effects in vivo in 1910, histamine
has been shown to play a key role in the control of gastric acid secretion and in
allergic disorders. Using selective agonists and antagonists, as well as
molecular biology tools, four histamine receptors (H1R, H2R, H3R and H4R) have
been identified. The Nobel Prize in Physiology and Medicine was awarded to Daniel
Bovet in 1957 for the discovery of antihistamines (anti-H1R) and to Sir James
Black in 1988 for the identification of anti-H2R antagonists. Anti-H1R and anti
H2R histamine receptor antagonists have revolutionized the treatment of certain
allergic disorders and gastric acid-related conditions, respectively. More
recently, anti-H3R antagonists have entered early-phase clinical trials for
possible application in obesity and a variety of neurologic disorders. The
preferential expression of H4R by several immune cells and its involvement in the
development of allergic inflammation provide the rationale for the use of anti
H4R antagonists in allergic and in other immune-related disorders.
PMID- 24925402
TI - Pollen and pollinosis.
AB - Pollen grains only represent a small fraction of the total amount of the viable
biological particles present in the air, but pollen are the most important
aeroallergens in the outdoor environment. The analysis of pollen has
traditionally been carried out by microscopy, which can be traced back to the
17th century. Modern advances in molecular analysis could improve information for
allergy sufferers and health care professionals. Pollen allergy (pollinosis) was
first described in the 19th century. The prevalence of respiratory diseases
increased dramatically during the latter part of the 20th century and millions of
individuals are now affected. A number of scientists devised equipment to examine
airborne biological particles during the 19th century, but aerobiological
monitoring only became standardized during the 20th century. Airborne pollen are
routinely monitored in many parts of the world, such as North America and Europe,
and the first limited network has also been created for monitoring airborne
allergen concentrations. Monitoring of the environment is often based on a
combination of measurements and model results. Source-based models can increase
our knowledge of airborne pollen because they can explain situations and
processes that are almost impossible to understand using observations alone.
PMID- 24925403
TI - Mites and allergy.
AB - Allergic diseases triggered by mite allergens include allergic
rhinoconjunctivitis, asthma, atopic dermatitis and other skin diseases. Since the
early discovery of the allergenic role of mites of the genus Dermatophagoides in
the mid 1960s, numerous species have been described as the source of allergens
capable of sensitizing and inducing allergic symptoms in sensitized and
genetically predisposed individuals. The main sources of allergens in house dust
worldwide are the fecal pellets of the mite species D. pteronyssinus, D. farinae,
Euroglyphus maynei and the storage mites Blomia tropicalis, Lepidoglyphus
destructor and Tyropahgus putrescentiae. Group 1 and 2 allergens are major house
dust mite allergens. The main allergens in storage mites include fatty acid
binding proteins, tropomyosin and paramyosin homologues, apolipophorin-like
proteins, alpha-tubulins and others, such as group 2, 5 and 7 allergens. Cross
reactivity is an important and common immunological feature among mites.
Currently, purified native or recombinant allergens, epitope mapping, proteomic
approaches and T cell proliferation techniques are being used to assess cross
reactivity. Mites contain potent enzymes capable of degrading a wide range of
substrates. Most mite allergens are enzymes. Advances in genomics and molecular
biology will improve our ability to understand the genetics of specific IgE
responses to mites. Mite allergen avoidance and immunotherapy are the only two
allergen-specific ways to treat mite-induced respiratory and cutaneous diseases.
PMID- 24925404
TI - Mammalian airborne allergens.
AB - Historically, horse dandruff was a favorite allergen source material. Today,
however, allergic symptoms due to airborne mammalian allergens are mostly a
result of indoor exposure, be it at home, at work or even at school. The
relevance of mammalian allergens in relation to the allergenic activity of house
dust extract is briefly discussed in the historical context of two other proposed
sources of house dust allergenic activity: mites and Maillard-type lysine-sugar
conjugates. Mammalian proteins involved in allergic reactions to airborne dust
are largely found in only 2 protein families: lipocalins and secretoglobins (Fel
d 1-like proteins), with a relatively minor contribution of serum albumins,
cystatins and latherins. Both the lipocalin and the secretoglobin family are very
complex. In some instances this results in a blurred separation between important
and less important allergenic family members. The past 50 years have provided us
with much detailed information on the genomic organization and protein structure
of many of these allergens. However, the complex family relations, combined with
the wide range of post-translational enzymatic and non-enzymatic modifications,
make a proper qualitative and quantitative description of the important mammalian
indoor airborne allergens still a significant proteomic challenge.
PMID- 24925405
TI - The latex story.
AB - The milky sap of the rubber tree Hevea brasiliensis is the source of the
commercial production of natural rubber latex (NRL) devices, and also represents
a source of potent allergenic proteins. NRL materials were introduced in the
health care field in about 1840 with the advent of technical abilities to produce
suitable and flexible NRL materials for medical products, especially gloves. In
the late 1980s, with the increase of transmittable diseases, particularly HIV
infection, the use of NRL gloves increased dramatically. During the 1990s, NRL
emerged as a major cause of clinically relevant allergy in health care workers
using NRL gloves and spina bifida patients with operation on the first day. The
increased recognition of NRL allergies, the enhanced research on allergen
characterization and sensitization mechanisms, and education about this allergy
in health care facilities combined with the introduction of powder-free gloves
with reduced protein levels are all factors associated with a decline in the
number of suspected cases of NRL allergies in the late 1990s. NRL allergy is a
very good example of a 'new allergy' that suddenly arises with tremendous health
and economic implications, and also of an allergy which becomes history in a
relatively short period of time based on successful primary prevention strategies
by strict allergen avoidance.
PMID- 24925406
TI - Peanut allergens.
AB - The earliest known evidence of peanut farming dates back 7,600 years. With a
prevalence of roughly 1%, peanut allergy is a diagnostic and treatment challenge,
but is also a very good model for studying all aspects of food allergy, including
its molecular basis and pathomechanisms. Therefore, the very starting point for
elucidating all these aspects is the identification of peanut allergens with
subsequent clearing of their structure and their preparation as pure recombinant
and/or natural allergens. This is the basis for in vitro diagnostic tests as well
as the development of immunotherapeutic drugs. With regard to class I food
allergy, peanut allergy affects by far the largest group of patients. In peanuts,
12 allergens have been identified and their molecular characteristics are
described herein. Ara h 1, Ara h 3.01 and Ara h 3.02 (the former Ara h 4) belong
to the cupin superfamily. The conglutins Ara h 2, Ara h 6 and Ara h 7, and the
non-specific lipid transfer protein Ara h 9 belong to the prolamin superfamily.
Ara h 5 (profilin) and Ara h 8 (Bet v 1-homologous protein) cause class II food
allergies and are associated with inhalation allergy to pollen via the sequential
and/or conformational similarity of molecules. Two peanut oleosins are listed as
Ara h 10 and Ara h 11 and two defensins as Ara h 12 and Ara h 13 by the WHO/IUIS
Allergen Nomenclature Subcommittee. The effect of the above-specified allergens
has to be considered in the context of their matrix, which is influenced by
processing factors and the individual's immune system.
PMID- 24925407
TI - Environmental pollution and allergy: historical aspects.
AB - It may be a coincidence, but it is a fact that the first clear characterization
of hay fever began in England where modern industrialization started in Europe.
Only at the end of the 20th century were associations of the increasing
prevalence of allergy with outdoor air pollution discussed. The seminal study
came from Japan from the group of T. Miyamoto linking the increase in Japanese
cedar pollinosis to an increased prevalence of Diesel cars and probably exposure
to Diesel exhaust in epidemiological, animal experimental and in vitro studies.
In Germany first epidemiological studies were done in North Rhine-Westphalia and
Bavaria in 1987 and 1988 showing a striking prevalence of allergic disease of up
to 10-20% in preschool children. After German reunification the most surprising
observation was a lower prevalence of hay fever in East German children compared
to the West, although there was a much higher air pollution with SO2 and large
particulate matter. Modern smog as found over West German cities most likely
originating from traffic exhaust and consisting of fine and ultrafine particles
was shown to be associated with higher incidence rates of allergy and allergic
sensitization. In the 10 years after reunification there was a steep increase of
allergy prevalence in East German children reaching almost the same level as in
West Germany. Obviously, a multitude of lifestyle factors - beyond air pollution
may be involved in the explanation of this phenomenon. Surprisingly the skin
manifestation of atopy, namely atopic eczema, was more frequent in East German
children compared to the West, thus differing from airway allergy. Meanwhile in
vitro studies and animal experiments have shown that a variety of air pollutants
mostly from environmental tobacco smoke (indoors) and from traffic exhaust
(outdoors) can stimulate immune cells inducing a Th2-dominated response besides
their irritative effects. While 50 years ago in allergy textbooks a clear
distinction was made between 'toxic' or 'allergic', the newly developed concept
of allergotoxicology has stimulated research tremendously, meaning 'the
investigation of effects of toxic substances upon the induction, elicitation and
maintenance of allergic reactions'.
PMID- 24925408
TI - Farmers and their environment: protective influences of the farming environment
against the development of allergies.
AB - Compared with other population groups, the way of life of farmers can be viewed
as being similar to that of our ancestors. The settled continuation of
generations within certain critical geographical and environmental conditions
requires a special local network of knowledge and experience. The immune system
provides protection against microbes and their toxins. Each strong reaction
impedes an active adaptation. Allergy is therefore a harmful intolerance and
represents one of many different paradoxes. The aim of this chapter is to
demonstrate some of the interactions of tolerance and adaptation from a
historical background.
PMID- 24925409
TI - History of catecholamine research.
AB - The prominence of catecholamines and their congeners in allergic diseases rests
chiefly on their use in asthma and acute hypersensitivity reactions, such as
anaphylaxis. They act in these indications by activating both alpha- and beta
adrenoceptors. Adrenaline, the prototype, was discovered in the adrenals in
1893/1894. In 1939, dopa decarboxylase was the first enzyme in the biosynthesis
of catecholamines to be described. Later other catecholamines like noradrenaline
and dopamine were characterized. The identification of the active chemicals went
along with studies regarding catecholamine receptors. It took until 1948 before
the existence of at least two different receptors for the different effects was
accepted. Meanwhile, genes from all mammalian catecholamine receptors have been
cloned.
PMID- 24925410
TI - Antihistamines.
AB - The discovery of histamine, its physiological role and reversal of its
pharmacological effects by antihistamines takes us on a journey through the
origins of modern physiology and the rising understanding of pharmacology at the
end of the 19th and the early part of the 20th centuries. This journey, which has
been traced in the excellent historical review by Michael Emanuel [Clin Exp
Allergy 1999;29:1-11], is populated by some of the greatest scientists of the
era, including six Nobel laureates - Bovet, Dale, Ehrlich, Richet, Windaus and
Black. In addition, it laid the basis of medicinal chemistry not only for
antihistamines, but also for the discovery of a plethora of drugs still in use
today.
PMID- 24925411
TI - Glucocorticoids.
AB - Glucocorticoids are the most effective anti-inflammatory treatment for allergic
diseases, and inhaled glucocorticoids have now become the first-line treatment
for asthma. Glucocorticoids were discovered in the 1940s as extracts of the
adrenal cortex and this was followed by the isolation of adrenocorticotropic
hormone (ACTH) from pituitary gland extracts. Cortisone and ACTH were found to be
very beneficial in the treatment of rheumatoid arthritis and Kendall, Reichstein
and Hench received the Nobel Prize in Physiology and Medicine for this work in
1950. Bordley and colleagues first showed that ACTH was very beneficial in the
treatment of allergic diseases in 1949, but the use of systemic glucocorticoids
was limited by side effects. Inhaled glucocorticoids were discovered from topical
steroids developed for skin inflammation and beclomethasone dipropionate was
introduced in 1972, initially in low doses but later in higher doses, and became
the standard treatment for persistent asthma. Subsequently, inhaled
glucocorticoids were combined with long-acting beta2-agonists in combination
inhalers for even greater therapeutic benefit. There is now a good understanding
of the molecular basis for the anti-inflammatory effects of glucocorticoids in
allergic diseases. The search for even safer glucocorticoids based on the
dissociation of anti-inflammatory and side effect mechanisms is currently
ongoing.
PMID- 24925412
TI - Chromones.
AB - The chromones are a class of chemical compounds characterised by the presence of
the structure 5:6 benz-1:4-pyrone in their chemical make-up. The first chromone
in clinical use, khellin, was extracted from the seeds of the plant Ammi visnaga,
and had been used for centuries as a diuretic and as a smooth muscle relaxant.
Its use in bronchial asthma was reported in 1947. In the 1950s, Benger's
Laboratories embarked on a research programme to synthesise and develop
modifications of khellin for the treatment of asthma. New compounds were screened
using animal models to test the ability of the compound to prevent the
anaphylactic release of histamine and SRS-A (leukotrienes) from sensitised guinea
pig lung, and a human model to check the ability to reduce the
bronchoconstriction induced by inhaled antigen bronchial challenge. For initial
screening the human work was undertaken by Dr. R.E.C. Altounyan, who suffered
from allergic bronchial asthma and was employed by Benger's Laboratories. After 8
years and more than 600 challenges using over 200 compounds, in 1965 Altounyan
arrived at disodium cromoglycate (DSCG), the chromone that met the criteria of
providing more than 6 h of protection. DSCG is still used today as a mast cell
stabiliser.
PMID- 24925413
TI - Characterization and standardization of allergen extracts.
AB - This paper summarizes the development of the extraction and characterization of
allergens responsible for the induction of immunoglobulin (lg) E-induced
allergies from the beginning of the 20th century, including the nomenclature of
allergens. The majority of papers characterizing allergens and allergen extracts
state that the lack of standardization of allergen extracts is the reason for the
paper, and so it has been for more than 100 years. A natural part of that process
might be the isolation of an allergen molecule and this starts the speculation of
'what makes that allergen an allergen?' To achieve the perfect standardization is
a desirable end that is still awaited. So far none of these problems have been
finally solved. I started in allergy shortly after the discovery of IgE in 1967.
Since that time the history as I remember it is based on the literature, my
interpretation of it, and of course may be a little biased due to personal
prejudice! The history of the last 10-15 years has still not matured and it might
be a little early to draw conclusions. However, at the end of this chapter I do
dare to make a few conclusions after having followed the development in this
field for 40 years. As this is history it is not meant to be either comprehensive
or technically and scientifically precise in all aspects, but rather draws on
some thoughts as to what in my mind have been important developments until now.
Specific techniques are only mentioned by name and not intended to be discussed
in depth. This activity has, however, pushed me to reflect on my hopes and
speculations at the time of my introduction to the field of allergen chemistry.
To my surprise I realize that far more than I ever expected at that time has been
fulfilled. It has been extremely exciting to be a part of that development.
PMID- 24925414
TI - Allergen-specific immunotherapy.
AB - Specific immunotherapy was introduced for the treatment of grass pollen-induced
hay fever in 1911. The treatment was soon extended to other pollens as well as
perennial allergens, and to the treatment of bronchial asthma. Definitive studies
of its efficacy for both rhinitis and asthma came only many decades later.
Understanding gradually emerged of the underlying immunologic mechanisms that
include the generation of regulatory T lymphocytes, immune deviation from
allergen-specific Th2 to Th1 responses, and a shift in allergen-specific antibody
production from immunoglobulin (Ig) E to IgG4. Along with understanding of the
immune basis came an appreciation that immunotherapy modifies allergic disease
expression, producing protection against disease progression and symptomatic
improvement that persists for years after the treatment is discontinued. Recent
new directions for immunotherapy include sublingual administration of inhalant
allergens and use of the oral route to treat food allergy.
PMID- 24925415
TI - K. Frank Austen. Boston, Mass., USA.
PMID- 24925416
TI - John Bienenstock. Hamilton, Ont., Canada.
PMID- 24925417
TI - Kurt Blaser. Davos, Switzerland.
PMID- 24925418
TI - Alain de Weck (1928-2013). Fribourg, Switzerland.
PMID- 24925419
TI - Alfred William Frankland. London, UK.
PMID- 24925420
TI - OSCAR L. Frick. San francisco, Calif., USA.
PMID- 24925421
TI - Kimishige Ishizaka. Tokyo, Japan.
PMID- 24925422
TI - Lothar Jager. Jena, Germany.
PMID- 24925423
TI - Terumasa Miyamoto. Tokyo, Japan.
PMID- 24925424
TI - Harry Morrow Brown (1917-2013). Derby, UK.
PMID- 24925425
TI - Albert K. Oehling. Navaleno, Spain.
PMID- 24925426
TI - Heimo Reulecke. Iffeldorf, Germany.
PMID- 24925427
TI - Vaclav Spicak. Prague, Czech Republic.
PMID- 24925440
TI - Effects of multiple doses of voriconazole on the vision of healthy volunteers: a
double-blind, placebo-controlled study.
AB - PURPOSE: To investigate the effects, and their reversibility, of multiple oral
voriconazole doses on a variety of visual tests in healthy male volunteers.
METHODS: Single-center, double-blind, randomized, placebo-controlled, parallel
group study in 36 volunteers who received voriconazole (n=18, 400 mg every 12 h
on day 1, then 300 mg every 12 h for 27.5 days) or matched placebo (n=18).
Electroretinograms (ERGs) and ophthalmological examinations were performed at
screening, throughout the study and at follow-up. RESULTS: Fifteen (83.3%)
volunteers treated with voriconazole experienced >=1 treatment-related visual
adverse events (AEs); these included enhanced visual perceptions, blurred vision,
color vision changes and photophobia. No serious AEs were reported. Voriconazole
reduced from baseline scotopic maximal a- and b-wave amplitude, shortened
implicit time and decreased oscillatory potential amplitude compared with
placebo. Under photopic conditions, the 30-Hz flicker response amplitude was
significantly reduced and was accompanied by a slight but nonsignificant
prolongation of peak time. These effects did not progress in degree over the
treatment period, and mean changes from baseline in ERG parameters were similar
to placebo by day 43 (14 days after end of treatment). In the first week, color
vision discrimination was impaired in the tritan axis, although this resolved by
end of treatment and was similar to placebo by day 43. Mean deviation in the
static visual field indicated increased sensitivity following voriconazole
treatment, correlating with decreased amplitude in conjunction with shortened
implicit time. CONCLUSIONS: Effects of voriconazole on altered visual perception,
ERG, color vision and static visual field thresholds are nonprogressive over a
treatment period and reversible. It is hypothesized that voriconazole has a
pharmacological effect on rod and cone pathways including a possible mechanism of
disinhibition that reversibly puts the retina in a more light-adapted state and
leads to increased relative contrast sensitivity.
PMID- 24925441
TI - MR diffusion tensor imaging of normal kidneys.
AB - PURPOSE: To assess the feasibility of diffusion tensor imaging (DTI) of normal
kidneys and the influence of hydration state. MATERIALS AND METHODS: Ten healthy
volunteers underwent renal DTI after fasting for 12 hours and 4 hours, without
fasting, and following water diuresis. Medullary and cortical apparent diffusion
coefficient (ADC) and fractional anisotropy (FA) values were measured and
compared in the four different states of hydration. DTI was performed with a 3T
magnetic resonance imaging (MRI) system using fat-saturated single-shot spin-echo
echo planar imaging sequence. RESULTS: ADC of normal cortex (2.387 +/- 0.081 *
10(-3) mm(2) /s) was significantly higher (t = 20.126, P = 0) than that of
medulla (1.990 +/- 0.063 * 10(-3) mm(2) /s). The FA value of normal cortex (0.282
+/- 0.017) was significantly lower (t = -42.713, P = 0) than that of medulla
(0.447 +/- 0.022). The ADC and FA values of the left renal cortex (2.404 +/-
0.082 * 10(-3) mm(2) /s, 0.282 +/- 0.017) and medulla (2.002 +/- 0.081 * 10(-3)
mm(2) /s, 0.452 +/- 0.024) were not significantly different (P > 0.05) from those
of right renal cortex (2.369 +/- 0.080 * 10(-3) mm(2) /s, 0.283 +/- 0.018) and
medulla (1.978 +/- 0.039 * 10(-3) mm(2) /s, 0.443 +/- 0.019). Values for ADC
(*10(-3) mm(2) /s) and FA in the 12-hour fasting, 4-hour fasting, nonfasting, and
water diuresis states were 2.372 +/- 0.095 and 0.278 +/- 0.018, 2.387 +/- 0.081
and 0.282 +/- 0.017, 2.416 +/- 0.051 and 0.279 +/- 0.023, 2.421 +/- 0.068, and
0.270 +/- 0.021, respectively, in cortex, 1.972 +/- 0.084 and 0.438 +/- 0.014,
1.990 +/- 0.063 and 0.447 +/- 0.022, 2.021 +/- 0.081 and 0.450 +/- 0.031, 2.016
+/- 0.076 and 0.449 +/- 0.028, respectively, in medulla. The ADC and FA values in
different hydration states were not significantly different (P > 0.05).
CONCLUSION: DTI of normal kidneys is feasible with reproducible ADC and FA values
independent of hydration states.
PMID- 24925442
TI - Understanding the cancer pain experience.
AB - Cancer pain management is a major element of successful cancer survivorship.
Regardless of where someone is along the cancer experience, from a newly
diagnosed patient to long-term survivor, pain is a potential treatment-related
effect that can have a significant impact on a survivor's life. Quality pain
management for cancer survivors is complicated by the fact that cancer-related
pain can be due to the tumor, surgery, radiation, and/or chemotherapy.
Additionally, the pain experience is related to many psychosocial/spiritual
factors. Despite almost 40 years of attention devoted to improving cancer pain
management, many cancer survivors are less than optimally treated, often owing to
survivor and healthcare provider knowledge barriers. This article reviews some of
the latest research related to cancer pain management treatment options,
measurement/assessment, and interventions. Progress has been made in
understanding new aspects of the pain experience, but more work is yet to be
done.
PMID- 24925443
TI - Impaired cardiac anti-oxidant activity in diabetes: human and correlative
experimental studies.
AB - Increased reactive oxygen species (ROS) are traditionally viewed as arising from
the metabolic flux of diabetes, although reduction in the activity of anti
oxidant systems has also been implicated. Among the latter is the major thiol
reducing thioredoxin system, the activity of which may be diminished by high
glucose-induced expression of its endogenous inhibitor, thioredoxin interacting
protein (TxnIP). We assessed TxnIP mRNA/protein expression along with thioredoxin
activity in human right atrial biopsy specimens from subjects with and without
diabetes undergoing coronary artery grafting. In correlative experimental
studies, we examined TxnIP expression in both type 1 and type 2 rodent models of
diabetic cardiomyopathy. Finally, we used in vitro gene silencing to determine
the contribution of changes in TxnIP abundance to the high glucose-induced
reduction in thioredoxin activity. In human right atrial biopsies, diabetes was
associated with a >30-fold increase in TxnIP gene expression and a 17 % increase
in TxnIP protein expression (both p < 0.05). This was associated with a 21 %
reduction in thioredoxin activity when compared to human non-diabetic cardiac
biopsy samples (all p < 0.05). In correlative animal studies, both type 1 and
type 2 diabetic rats demonstrated a significant increase in TxnIP mRNA and
reduction in thioredoxin activity when compared to non-diabetic animals (all p <
0.05). This was associated with a significant increase in ROS (p < 0.05 when
compared with control). In cultured cardiac myocytes, high glucose increased ROS
and TxnIP mRNA expression, in association with a reduction in thioredoxin
activity (p < 0.01). These findings were abrogated by TxnIP small interfering RNA
(siRNA). Scrambled siRNA had no effect upon ROS or TxnIP expression. High glucose
reduces thioredoxin activity and increases ROS via TxnIP overexpression. These
findings suggest that impaired thiol reductive capacity, through altered TxnIP
expression, contributes to increased ROS in the diabetic heart.
PMID- 24925444
TI - Three-dimensional analysis of subchondral cysts in hip osteoarthritis: an ex vivo
HR-pQCT study.
AB - INTRODUCTION: Subchondral cysts are deeply related to the pathogenesis of
osteoarthritis (OA), but the factors contributing to cyst formation are not well
known. A three-dimensional analysis of subchondral cysts at the micro-structural
level was conducted using a high-resolution peripheral quantitative CT (HR-pQCT),
and their relationships with cartilage attrition and subchondral bone
microstructure were investigated. METHODS: Femoral heads extracted from ten
female patients with hip OA were scanned using an HR-pQCT at a voxel size of
41MUm. The volume fractions, numbers, and sizes of the cysts were measured in the
subchondral bone region under the area of cartilage loss. Furthermore, the areas
of cartilage loss, as well as the microstructure of the subchondral bones, were
also measured, and their correlations with the cysts were analyzed. RESULTS: The
volume fractions of cysts within subchondral bone regions varied from 2% to 33%,
the numbers of cysts varied from 6 to 87, and the sizes varied from 1mm(3) to
657mm(3). There was a positive correlation between the number of cysts and bone
volume (r>0.8, p<0.01). CONCLUSION: The degree of cyst formation showed a wide
distribution in number and volume, and there was a close relationship between
multiple cyst formation and bone sclerosis, which might be caused by reactive
bone formation that occurred around each cyst.
PMID- 24925445
TI - Characterization of cancellous and cortical bone strain in the in vivo mouse
tibial loading model using microCT-based finite element analysis.
AB - The in vivo mouse tibial loading model has been increasingly used to understand
the mechanisms governing the mechanobiological responses of cancellous and
cortical bone tissues to physical stimuli. Accurate characterization of the
strain environment throughout the tibia is fundamental in relating localized
mechanobiological processes to specific strain stimuli in the skeleton. MicroCT
based finite element analysis, together with diaphyseal strain gauge measures,
was conducted to quantify the strain field in the tibiae of 16-wk-old female
C57Bl/6 mice during in vivo dynamic compressive loading. Despite a strong
correlation between the experimentally-measured and computationally-modeled
strains at the gauge site, no correlations existed between the strain at the
gauge site and the peak strains in the proximal cancellous and midshaft cortical
bone, indicating the limitations of using a single diaphyseal strain gauge to
estimate strain in the entire tibia. The peak compressive and tensile principal
strain magnitudes in the proximal cancellous bone were 10% and 34% lower than
those in the midshaft cortical bone. Sensitivity analyses showed that modeling
bone tissue as a heterogeneous material had a strong effect on cancellous strain
characterization while cortical strain and whole-bone stiffness were primarily
affected by the presence of the fibula and the proximal boundary conditions.
These results show that microCT-based finite element analysis combined with
strain gauge measures provides detailed resolution of the tissue-level strain in
both the cancellous and cortical bones of the mouse tibia during in vivo
compression loading, which is necessary for interpreting localized patterns of
modeling/remodeling and, potentially, gene and protein expression in skeletal
mechanobiology studies.
PMID- 24925446
TI - A Drosophila laboratory evolution experiment points to low evolutionary potential
under increased temperatures likely to be experienced in the future.
AB - The ability to respond evolutionarily to increasing temperatures is important for
survival of ectotherms in a changing climate. Recent studies suggest that upper
thermal limits may be evolutionary constrained. We address this hypothesis in a
laboratory evolution experiment, encompassing ecologically relevant thermal
regimes. To examine the potential for species to respond to climate change, we
exposed replicate populations of Drosophila melanogaster to increasing
temperatures (0.3 degrees C every generation) for 20 generations, whereas
corresponding replicate control populations were held at benign thermal
conditions throughout the experiment. We hypothesized that replicate populations
exposed to increasing temperatures would show increased resistance to warm and
dry environments compared with replicate control populations. Contrasting
replicate populations held at the two thermal regimes showed (i) an increase in
desiccation resistance and a decline in heat knock-down resistance in replicate
populations exposed to increasing temperatures, (ii) similar egg-to-adult
viability and fecundity in replicate populations from the two thermal regimes,
when assessed at high stressful temperatures and (iii) no difference in
nucleotide diversity between thermal regimes. The limited scope for adaptive
evolutionary responses shown in this study highlights the challenges faced by
ectotherms under climate change.
PMID- 24925447
TI - Derivation of uncertainty functions from validation studies in biological fluids:
application to the analysis of caffeine and its major metabolites in human plasma
samples.
AB - Procedures for estimating the measurement uncertainty (MU) of the concentration
of a given analyte in a sample are of major concern for analytical chemists.
Unfortunately, it is still unclear how and why MU should be assessed. While
several possibilities exist, an appropriate approach consists in using method
validation data for the evaluation of MU. This was demonstrated by a validation
study achieved in the framework of a clinical study related to caffeine in sports
medicine, where the results were used for the evaluation of MU. After validation
of the method developed using ultra-high pressure liquid chromatography-mass
spectrometry for caffeine and its three main metabolites, accuracy profiles were
built for each analyte. The first important conclusion is that the developed
method was valid for all compounds and met the given specifications for the
application (fit for purpose). Relevant estimates of combined standard
uncertainty were computed to obtain uncertainty functions, which allow obtaining
values of MU as a function of the concentration of the analyte. The great
advantage of both uncertainty function and uncertainty profile is the development
of a continuous model that enables easy calculation of the standard, expanded and
relative expanded uncertainty at any concentration within the validation domain.
In fact, the expanded uncertainty interval is assumed to contain 95% of all
possible measurements, regardless of the concentration. Finally, the uncertainty
function enables the determination of the lowest limit of quantification by
selecting adequate acceptance limits, with the limit of quantification being
defined as the point where the relative uncertainty equals the acceptance limit
threshold. It has to be noted that further discussions remain mandatory to
establish which criteria should be applied to define an adequate decision
threshold, and the proposal afforded in this work may open new avenues in this
direction.
PMID- 24925448
TI - Enthalpy contributions to adsorption of highly charged lysozyme onto a cation
exchanger under linear and overloaded conditions.
AB - An investigation of the adsorption mechanism of lysozyme onto carboxymethyl
cellulose (CMC) was conducted using flow calorimetry and adsorption isotherm
measurements. This study was undertaken to provide additional insight into the
underlying mechanisms involved in protein adsorption that traditional approaches
such isotherm measurements or van't Hoff analysis can't always provide,
particularly when protein adsorption occurs under overloaded conditions. Lysozyme
and CMC were selected for this study because the characteristics of the protein
and the adsorbent are well known, hence, allowing the focus of this work to be on
the driving forces influencing adsorption. Calorimetry results have showed that
lysozyme adsorption onto CMC produced both exothermic and endothermic heats of
adsorption. More specifically flow calorimetry data coupled with peak
deconvolution methods illustrated a series of chronological events that included
dilution, primary protein adsorption, rearrangement of surface proteins and a
secondary adsorption of lysozyme molecules. The observations and conclusions
derived from the experimental work presented in our figures and tables were
developed within the mechanistic framework proposed by Lin et al., J. Chromatogr.
A. 912 (2001) 281.
PMID- 24925449
TI - Effect of the pressure on pre-column sample dispersion theory, experiments, and
practical consequences.
AB - The effect of the pressure on the dispersion of a low molecular weight compound
along 0.508 and 1.016 mm i.d. * 50 cm long open circular tubes was investigated
theoretically and experimentally. The theoretical predictions were based on the
early models of dispersion derived by Aris and Taylor (1953) and on the
approximate model of Alizadeh for the time moments (1980). Experimentally, the
system pressure was increased at constant flow rate (0.15 mL/min) from less than
20 to nearly 1,000 bar by using a series of capillary tubes (25 MUm i.d. PEEKSIL
tubes) of increasing flow resistances placed upstream the detection cell of a
commercial very high pressure liquid chromatograph (vHPLC) but downstream the 50
cm long tube. Theoretical and experimental results agree that the peak variance
increases linearly with increasing pressure in the tube volume. The relative
increase of the peak variance is 7% above that measured at low pressure (<20 bar)
for each 100 bar increment in the tube volume. This result confirms that accurate
measurements of the column efficiency corrected for extra-column contribution
cannot be made by replacing the column with a zero dead volume union connector,
because the pressures applied in the pre-column volume are significantly
different in these two cases. This work shows also that increasing the pressure
in the pre-column volume by increasing the flow rate affects the apparent column
efficiency that is measured, independently of the direct effect of the flow rate.
For a 2.1 * 50mm column packed with 1.3 MUm core-shell particles run with a
classic Acquity system, the associated relative decreases of the column
efficiency are expected to be -30%, -20%, and -5% for retention factors of 1, 3,
and 10, respectively. The column HETP is no longer independent of its length.
PMID- 24925450
TI - Ionic liquid-based electromembrane extraction and its comparison with traditional
organic solvent based electromembrane extraction for the determination of
strychnine and brucine in human urine.
AB - An ionic liquid-based electromembrane extraction (IL-EME) method was presented,
and its performance was compared with 2-ethylnitrobenzene (ENB) based EME for the
determination of strychnine and brucine in human urine. For the two methods, the
fundamental extraction parameters such as supported liquid membrane, voltage,
extraction time, pH values of sample solution and acceptor solution, temperature
and salting-out effect were separately optimized. IL-EME provided 96- and 122
fold enrichment factors for strychnine and brucine, respectively, which were
better than those obtained in EME (83- and 86-fold, respectively). The
calibration curves were linear over the ranges of 20-720 MUg L(-1) for strychnine
and 20-640 MUg L(-1) for brucine with the correlation coefficients higher than
0.9950. The repeatability of EME and IL-EME were evaluated by five parallel
experiments giving the relative standard deviations of 5.12-6.98%. As the results
indicated, compared with ENB based EME, the proposed IL-EME is more reliable and
could provide better extraction performance for the determination of strychnine
and brucine in human urine.
PMID- 24925451
TI - Sensitive, accurate and rapid detection of trace aliphatic amines in
environmental samples with ultrasonic-assisted derivatization microextraction
using a new fluorescent reagent for high performance liquid chromatography.
AB - A new fluorescent reagent, 1-(1H-imidazol-1-yl)-2-(2-phenyl-1H-phenanthro[9,10
d]imidazol-1-yl)ethanone (IPPIE), is synthesized, and a simple pretreatment based
on ultrasonic-assisted derivatization microextraction (UDME) with IPPIE is
proposed for the selective derivatization of 12 aliphatic amines (C1: methylamine
C12: dodecylamine) in complex matrix samples (irrigation water, river water,
waste water, cultivated soil, riverbank soil and riverbed soil). Under the
optimal experimental conditions (solvent: ACN-HCl, catalyst: none, molar ratio:
4.3, time: 8 min and temperature: 80 degrees C), micro amount of sample (40 MUL;
5mg) can be pretreated in only 10 min, with no preconcentration, evaporation or
other additional manual operations required. The interfering substances (aromatic
amines, aliphatic alcohols and phenols) get the derivatization yields of <5%,
causing insignificant matrix effects (<4%). IPPIE-analyte derivatives are
separated by high performance liquid chromatography (HPLC) and quantified by
fluorescence detection (FD). The very low instrumental detection limits (IDL:
0.66-4.02 ng/L) and method detection limits (MDL: 0.04-0.33 ng/g; 5.96-45.61
ng/L) are achieved. Analytes are further identified from adjacent peaks by on
line ion trap mass spectrometry (MS), thereby avoiding additional operations for
impurities. With this UDME-HPLC-FD-MS method, the accuracy (-0.73-2.12%),
precision (intra-day: 0.87-3.39%; inter-day: 0.16-4.12%), recovery (97.01
104.10%) and sensitivity were significantly improved. Successful applications in
environmental samples demonstrate the superiority of this method in the
sensitive, accurate and rapid determination of trace aliphatic amines in micro
amount of complex samples.
PMID- 24925452
TI - Application of cyclodextrin-based eluents in hydrophobic charge-induction
chromatography: elution of antibody at neutral pH.
AB - Hydrophobic charge-induction chromatography (HCIC) has emerged as a useful
addition to Protein A chromatography for antibody purification due to its
remarkable merits in cost and stability. However, the instability of antibody
during acidic elution, which may cause inactivation and aggregation, is still a
major concern for the efficiency of this method. The aim of this study is to
develop a new strategy of competitive elution with inclusion complexes in HCIC,
and to apply it to antibody elution under neutral pH conditions. Interactions
between 4-mercaptoethylpyridine (MEP), a typical ligand of HCIC, and four
different types of cyclodextrins (CDs) were investigated by molecular docking;
immunoglobulin G (IgG) elution capacities of CDs were characterized on MEP-based
HCIC mediums. The results demonstrated the general effectiveness of CD-based
eluents for HCIC. This type of displacement eluents could allow an efficient
elution of bound antibody over a broad range of pH and ion strength. With 15 mM
beta-CD, elution of human IgG was achieved at physiological pH, with an average
IgG recovery of 87%. When this elution strategy was used to separate antibody
directly from human serum, substantial elution of bound IgG could be obtained at
pH 7.4, with product purity comparable to traditional method with an acidic
buffer. We expect such method can be of special interest in developing HCIC
elution strategy for the proteins like antibody that are sensitive to acidic
conditions.
PMID- 24925453
TI - Determination of benzodiazepines, related pharmaceuticals and metabolites in
water by solid-phase extraction and liquid-chromatography-tandem mass
spectrometry.
AB - This work presents a method for the simultaneous determination of 23
benzodiazepines, metabolites and related pharmaceuticals (zolpidem,
methylphenidate and ritalinic acid) by solid-phase extraction (SPE) followed by
liquid chromatography-tandem mass spectrometry (LC-MS/MS). Different SPE
cartridges were considered: hydrophilic modified reversed-phase (Oasis HLB) and
their modified versions containing also a cationic-exchange group (Oasis MCX) or
anionic-exchange (Oasis MAX) funcionalities. Stability of analytes and the impact
of the final eluate volume on the matrix effects on LC-MS/MS were also
considered. In the final method, 100-200 mL of sample are extracted with Oasis
MCX (60 mg), eluted with 5 mL of methanol (1.25% NH3) and the eluate concentrated
and analyzed by LC-MS/MS. Under these conditions, LOQs were established between
0.1 and 18 ng L(-1) for influent wastewater. The use of surrogated deuterated
internal standards allows obtaining recoveries in the 84-122% range. Finally the
method was applied to determinate the analytes in wastewater and surface water
and 10 compounds were detected in the range of 0.5-170 ng L(-1), being the
ritalinic acid (the main metabolite of methylphenidate) the analyte detected in
the highest concentrations.
PMID- 24925454
TI - Partial rescue of memory deficits induced by calorie restriction in a mouse model
of tau deposition.
AB - Calorie restriction (CR) was shown previously to improve cognition and decrease
pathology in transgenic mouse models with Alzheimer-like amyloid deposition. In
the present study, we investigated the effects of CR on the Tg4510 model of tau
deposition. Mice in the calorie restriction group had food intake gradually
decreased until they reached an average of 35% body weight reduction. Body weight
and food intake were monitored throughout the study. After being on their
respective diets for 3 months, all animals were submitted to behavioral testing.
Tg4510 mice fed ad libitum showed lower body weight than nontransgenic
littermates despite their increased food intake. Additionally, Tg4510 showed
increased locomotor activity in the open field regardless of diet. Calorie
restricted Tg4510 mice performed significantly better than ad libitum fed mice in
the novel object recognition test, suggesting improved short-term memory. CR
Tg4510 mice also performed significantly better in contextual fear conditioning
than mice fed ad libitum. However, in a modified version of the novelty test that
allows for interaction with other mice instead of inanimate objects, CR was not
able to rescue the deficit found in Tg4510 mice in this ethologically more
salient version of the task. No treatment differences in motor performance or
spatial memory were observed in the rotarod or radial arm water maze tests,
respectively. Histopathological and biochemical assessments showed no diet
induced changes in total or phospho-tau levels. Moreover, increased activation of
both astrocytes and microglia in Tg4510 mice was not rescued by calorie
restriction. Taken together, our data suggests that, despite an apparent rescue
of associative memory, CR had no consistent effects on pathological outcomes of a
mouse model of tau deposition.
PMID- 24925455
TI - Center of mass motion in swimming fish: effects of speed and locomotor mode
during undulatory propulsion.
AB - Studies of center of mass (COM) motion are fundamental to understanding the
dynamics of animal movement, and have been carried out extensively for
terrestrial and aerial locomotion. But despite a large amount of literature
describing different body movement patterns in fishes, analyses of how the center
of mass moves during undulatory propulsion are not available. These data would be
valuable for understanding the dynamics of different body movement patterns and
the effect of differing body shapes on locomotor force production. In the present
study, we analyzed the magnitude and frequency components of COM motion in three
dimensions (x: surge, y: sway, z: heave) in three fish species (eel, bluegill
sunfish, and clown knifefish) swimming with four locomotor modes at three speeds
using high-speed video, and used an image cross-correlation technique to estimate
COM motion, thus enabling untethered and unrestrained locomotion. Anguilliform
swimming by eels shows reduced COM surge oscillation magnitude relative to
carangiform swimming, but not compared to knifefish using a gymnotiform locomotor
style. Labriform swimming (bluegill at 0.5 body lengths/s) displays reduced COM
sway oscillation relative to swimming in a carangiform style at higher speeds.
Oscillation frequency of the COM in the surge direction occurs at twice the tail
beat frequency for carangiform and anguilliform swimming, but at the same
frequency as the tail beat for gymnotiform locomotion in clown knifefish. Scaling
analysis of COM heave oscillation for terrestrial locomotion suggests that COM
heave motion scales with positive allometry, and that fish have relatively low
COM oscillations for their body size.
PMID- 24925456
TI - Schistosoma haematobium infections acquired in Corsica, France, August 2013.
AB - A 12 year-old boy in Germany developed urinary schistosomiasis in January 2014.
He had bathed in rivers in south-eastern Corsica five months earlier. Before this
case, human schistomiasis had not been reported on the island, although its
vector, the snail Bulinus truncatus, locally transmitted the zoonotic Schistosoma
bovis. The boy's father excreted S. haematobium ova that were not viable; the
boy's three siblings had a positive serology against schistosomes.
PMID- 24925457
TI - Uptake and impact of a new live attenuated influenza vaccine programme in
England: early results of a pilot in primary school-age children, 2013/14
influenza season.
AB - As part of the introduction and roll-out of a universal childhood live-attenuated
influenza vaccination programme, 4-11 year-olds were vaccinated in seven pilot
areas in England in the 2013/14 influenza season. This paper presents the uptake
and impact of the programme for a range of disease indicators. End-of-season
uptake was defined as the number of children in the target population who
received at least one dose of influenza vaccine. Between week 40 2013 and week 15
2014, cumulative disease incidence per 100,000 population (general practitioner
consultations for influenza-like illness and laboratory-confirmed influenza
hospitalisations), cumulative influenza swab positivity in primary and secondary
care and cumulative proportion of emergency department respiratory attendances
were calculated. Indicators were compared overall and by age group between pilot
and non-pilot areas. Direct impact was defined as reduction in cumulative
incidence based on residence in pilot relative to non-pilot areas in 4-11 year
olds. Indirect impact was reduction between pilot and non-pilot areas in <4 year
olds and >11 year-olds. Overall vaccine uptake of 52.5% (104,792/199,475) was
achieved. Although influenza activity was low, a consistent, though not
statistically significant, decrease in cumulative disease incidence and influenza
positivity across different indicators was seen in pilot relative to non-pilot
areas in both targeted and non-targeted age groups, except in older age groups,
where no difference was observed for secondary care indicators.
PMID- 24925458
TI - Emergence and molecular characterisation of non-toxigenic tox gene-bearing
Corynebacterium diphtheriae biovar mitis in the United Kingdom, 2003-2012.
AB - Non-toxigenic Corynebacterium diphtheriae have become increasingly recognised as
emerging pathogens across Europe causing severe invasive disease. A subset of non
toxigenic C. diphtheriae are 'non-toxigenic tox gene-bearing' (NTTB) strains;
these strains are genotypically toxpositive, but do not express the protein. The
circulation of NTTB strains was first observed during the 1990s upsurge of
diphtheria in Eastern Europe but has not been reported in other European
countries. Circulation of NTTB strains could be considered an increased risk for
diphtheria and other related diseases, given their possible role as a tox gene
reservoir with the theoretical risk of re-emerging toxin expression. Here we
report the characterisation of 108 non-toxigenic C. diphtheriae biovar mitis
isolates submitted to the World Health Organization (WHO) Global Reference Centre
for Diphtheria at Public Health England, London, between 2003 and 2012, in order
to determine the presence of NTTB strains. Using molecular methods, five NTTB
isolates were identified; four human isolates (MLST type 212) and one isolate
from a companion cat (MLST type 40). The emergence of these strains could
indicate continuation of the circulation of potentially toxigenic strains and
appropriate laboratory diagnostic methods should be used for detection. Given the
complacency that currently exists in Europe awareness with regards to diphtheria
diagnostics must be enhanced.
PMID- 24925459
TI - Letter to the editor: Salmonella Stanley outbreaks--a prompt to reevaluate
existing food regulations.
PMID- 24925462
TI - Size effects in a relaxor: further insights into PMN.
AB - Dielectric measurements of PbMg1/3Nb2/3O3 (PMN) powder and dense ceramics with
grain sizes between 15 nm and two microns were carried out in a broad frequency
range (20 Hz-1 GHz). Clear grain size dependence of relaxor behavior was
evidenced. A progressive transformation from Vogel-Fulcher behavior towards the
Arrhenius process in the PMN with reduction of grain size in both ceramics and
powder was observed. In the case of ceramics we were able to extract deeper
information from the distributions of relaxation times and an analysis using the
Vogel-Fulcher law, revealing two main contributions: a fast part of distribution
of relaxation times with a maximum close to 10(-11) s, which is almost grain-size
independent and has a non-polar origin; whereas, a process with long relaxation
times (in the time range of 10(-8) to 10(-5) s) is associated with the dynamics
of the polar nanoregions and is strongly suppressed with reduction of grain size.
The results of dielectric investigations are confirmed by Nuclear Magnetic
Resonance experiments.
PMID- 24925464
TI - Improved survival with combined gemcitabine and S-1 for locally advanced
pancreatic cancer: pooled analysis of three randomized studies.
AB - BACKGROUND: The long-term prognosis for localized pancreatic cancer (PC) remains
poor. Three randomized trials (GEST phase III, JACCRO PC-01 phase II and GEMSAP
phase II) evaluated gemcitabine (Gem) with or without S-1 for patients with
metastatic and locally advanced PC. A pooled analysis based on published data
examined whether Gem with S-1 (GS) is superior to Gem alone in overall survival
(OS) in patients with locally advanced PC. METHODS: Data were extracted on 193
patients: 31 (JACCRO), 28 (GEMSAP), and 134 (GEST). OS was used for primary
endpoint and progression-free survival (PFS) was used for secondary endpoint. A
general variance-based method was used to estimate the pooled HR and 95% CI
between GS (n = 96) and Gem (n = 97). RESULTS: Meta-analysis demonstrated that
the overall risk of death was significantly different between the two
chemotherapies (hazard ratio = 0.673, 95% confidence interval: 0.488-0.929, P =
0.016). The median PFSs for GS and GEM in the JACCRO, GEMSAP, and GEST studies
were 12.0, 12.6, and 10.7 months, and 4.1, 8.1, and 6.2 months, respectively (P =
0.001). The random-effect pooled estimate for 165 patients showed the objective
response rate (ORR) in the GS group (28.4%) was better in the Gem group (8.3%, P
= 0.001). CONCLUSIONS: GS improved ORR, PFS and OS in patients with locally
advanced PC over Gem alone. GS could become one of the front-line
chemotherapeutic agents.
PMID- 24925463
TI - What's special about task in dystonia? A voxel-based morphometry and diffusion
weighted imaging study.
AB - Numerous brain imaging studies have demonstrated structural changes in the basal
ganglia, thalamus, sensorimotor cortex, and cerebellum across different forms of
primary dystonia. However, our understanding of brain abnormalities contributing
to the clinically well-described phenomenon of task specificity in dystonia
remained limited. We used high-resolution magnetic resonance imaging (MRI) with
voxel-based morphometry and diffusion weighted imaging with tract-based spatial
statistics of fractional anisotropy to examine gray and white matter organization
in two task-specific dystonia forms, writer's cramp and laryngeal dystonia, and
two non-task-specific dystonia forms, cervical dystonia and blepharospasm. A
direct comparison between both dystonia forms indicated that characteristic gray
matter volumetric changes in task-specific dystonia involve the brain regions
responsible for sensorimotor control during writing and speaking, such as primary
somatosensory cortex, middle frontal gyrus, superior/inferior temporal gyrus,
middle/posterior cingulate cortex, and occipital cortex as well as the striatum
and cerebellum (lobules VI-VIIa). These gray matter changes were accompanied by
white matter abnormalities in the premotor cortex, middle/inferior frontal gyrus,
genu of the corpus callosum, anterior limb/genu of the internal capsule, and
putamen. Conversely, gray matter volumetric changes in the non-task-specific
group were limited to the left cerebellum (lobule VIIa) only, whereas white
matter alterations were found to underlie the primary sensorimotor cortex,
inferior parietal lobule, and middle cingulate gyrus. Distinct microstructural
patterns in task-specific and non-task-specific dystonias may represent
neuroimaging markers and provide evidence that these two dystonia subclasses
likely follow divergent pathophysiological mechanisms precipitated by different
triggers.
PMID- 24925465
TI - Functional anatomy and feeding biomechanics of a giant Upper Jurassic pliosaur
(Reptilia: Sauropterygia) from Weymouth Bay, Dorset, UK.
AB - Pliosaurs were among the largest predators in Mesozoic seas, and yet their
functional anatomy and feeding biomechanics are poorly understood. A new, well
preserved pliosaur from the Kimmeridgian of Weymouth Bay (UK) revealed cranial
adaptations related to feeding. Digital modelling of computed tomography scans
allowed reconstruction of missing, distorted regions of the skull and of the
adductor musculature, which indicated high bite forces. Size-corrected beam
theory modelling showed that the snout was poorly optimised against bending and
torsional stresses compared with other aquatic and terrestrial predators,
suggesting that pliosaurs did not twist or shake their prey during feeding and
that seizing was better performed with post-symphyseal bites. Finite element
analysis identified biting-induced stress patterns in both the rostrum and lower
jaws, highlighting weak areas in the rostral maxillary-premaxillary contact and
the caudal mandibular symphysis. A comparatively weak skull coupled with
musculature that was able to produce high forces, is explained as a trade-off
between agility, hydrodynamics and strength. In the Kimmeridgian ecosystem, we
conclude that Late Jurassic pliosaurs were generalist predators at the top of the
food chain, able to prey on reptiles and fishes up to half their own length.
PMID- 24925466
TI - An assessment of diagnostic performance of a filter-based antibody-independent
peripheral blood circulating tumour cell capture paired with cytomorphologic
criteria for the diagnosis of cancer.
AB - OBJECTIVES: Circulating tumour cells (CTCs) are reported to be predictive for
prognosis and response to treatment in advanced lung cancer. However, the
clinical utility of the CTCs detection remains unknown for early stage lung
cancer as the number of CTCs is reported as low, providing challenges in
identification. We have evaluated diagnostic performance of filtration-based
technology using cytomorphologic criteria in patients undergoing surgery for lung
cancer. MATERIAL AND METHODS: We processed blood from 76 patients undergoing
surgery for known or suspected lung cancer using ScreenCell((r)) Cyto filter
devices. Captured cells were stained using haematoxylin and eosin and
independently assessed by two pathologists for the presence of atypical cells
suspicious for cancer. Diagnostic performance was evaluated against pathologist
reported diagnoses of cancer from surgically obtained specimens. RESULTS: Cancer
was diagnosed in 57 patients (77.0%), including 32 with primary lung cancer
(56.1%). The proportion of patients with early stage primary lung cancer in which
CTCs were identified was 18 and 21 (56.3% and 65.6%, respectively) as reported by
two pathologists. The agreement between the pathologists was 77.0% corresponding
to a kappa-statistic of 53.7% indicating moderate agreement. No significant
differences were found for the percentage of CTCs for primary and metastatic
cancer as well as for cancer stages. On sensitivity weighted analysis, a
sensitivity and specificity were 71.9% (95% CI 60.5-83.0) and 52.9% (95% CI 31.1
77.0), respectively. On specificity weighted analysis, a sensitivity and
specificity were 50.9% (95% CI 39.3-64.4) and 82.4% (60.4-96.2), respectively.
CONCLUSION: The performance of the tested filter-based antibody-independent
technology to capture CTCs using standard cytomorphologic criteria provides the
potential of a diagnostic blood test for lung cancer.
PMID- 24925467
TI - Conferences and convention centres' accessibility to people with disabilities.
AB - OBJECTIVE: The purposes of this manuscript are to create awareness of problems of
accessibility at meetings and conferences for people with disabilities, and to
provide a checklist for organizers of conferences to make the event more
accessible to people with disabilities. METHODS: We conducted a search of the
grey literature for conference centres and venues that had recommendations for
making the event more accessible. The types of disability included in this
manuscript are those as a consequence of visual, hearing and mobility
impairments. RESULTS: We provide a checklist to make meetings accessible to
people with disabilities. The checklist is divided into sections related to event
planning, venue accessibility, venue staff, invitations/registrations, greeting
people with a disability, actions during the event, and suggestions for effective
presenters. CONCLUSIONS: The checklist can be used by prospective organizers of
conferences to plan an event and to ensure inclusion and participation of people
with disabilities.
PMID- 24925469
TI - Metal-free stabilization of monomeric antimony(I): a carbene-supported
stibinidene.
AB - A diamidocarbene was coordinated to an antimony(III) dichloride Lewis acid.
Subsequent reduction with magnesium gave a monomeric, formally antimony(I)
fragment that is supported by the diamidocarbene. Spectroscopic,
crystallographic, and computational analyses demonstrated that the carbene ligand
engages the antimony(I) center in pi-backbonding resulting in a short (2.068(7)
A) Sb-C interaction that is comparable to those observed in known stibaalkenes.
PMID- 24925470
TI - Comparison between diffusion-weighted MRI (DW-MRI) at 1.5 and 3 tesla: a phantom
study.
AB - PURPOSE: To compare DW-MRI between 1.5 and 3 Tesla (T) in terms of image quality,
apparent diffusion coefficient (ADC), reproducibility, lesion-to-background
contrast and signal-to-noise ratio (SNR), using a test object. MATERIALS AND
METHODS: A spherical diffusion phantom was used for qualitatively assessing image
quality and performing quantitative measurements between the two field strengths.
RESULTS: Distortions and signal losses degraded image quality at 3T even when the
protocols were optimized for minimum TE. The ADC, in the majority of the phantom
compartments, was significantly different between 1.5T and 3T (P < 0.009), while
the average coefficient of variation, excluding the phantom compartments affected
by artifacts, was <1.3% at both field strengths. The lesion-to-background
contrast was improved at 1.5T for images acquired with b = 1000 s/mm(2) and
comparable contrast was achieved at 3T with higher b-values. The SNR gain at 3T
could, in theory, be balanced by the increased number of signal excitations one
can accommodate at 1.5T to perform DW-MRI within the same acquisition time and
possibly improved image quality, when 3T systems with no parallel transmission
are used. CONCLUSION: Further phantom and in vivo studies are required to
investigate the utility of DW-MRI at 3T, if image quality and acquisition times
comparable to the ones from 1.5T are assumed.
PMID- 24925468
TI - Stem cell-derived motor neurons from spinal and bulbar muscular atrophy patients.
AB - Spinal and bulbar muscular atrophy (SBMA, Kennedy's disease) is a motor neuron
disease caused by polyglutamine repeat expansion in the androgen receptor.
Although degeneration occurs in the spinal cord and muscle, the exact mechanism
is not clear. Induced pluripotent stem cells from spinal and bulbar muscular
atrophy patients provide a useful model for understanding the disease mechanism
and designing effective therapy. Stem cells were generated from six patients and
compared to control lines from three healthy individuals. Motor neurons from four
patients were differentiated from stem cells and characterized to understand
disease-relevant phenotypes. Stem cells created from patient fibroblasts express
less androgen receptor than control cells, but show androgen-dependent
stabilization and nuclear translocation. The expanded repeat in several stem cell
clones was unstable, with either expansion or contraction. Patient stem cell
clones produced a similar number of motor neurons compared to controls, with or
without androgen treatment. The stem cell-derived motor neurons had
immunoreactivity for HB9, Isl1, ChAT, and SMI-32, and those with the largest
repeat expansions were found to have increased acetylated alpha-tubulin and
reduced HDAC6. Reduced HDAC6 was also found in motor neuron cultures from two
other patients with shorter repeats. Evaluation of stably transfected mouse cells
and SBMA spinal cord showed similar changes in acetylated alpha-tubulin and
HDAC6. Perinuclear lysosomal enrichment, an HDAC6 dependent process, was
disrupted in motor neurons from two patients with the longest repeats. SBMA stem
cells present new insights into the disease, and the observations of reduced
androgen receptor levels, repeat instability, and reduced HDAC6 provide avenues
for further investigation of the disease mechanism and development of effective
therapy.
PMID- 24925471
TI - Evaluation of antifreeze protein III for cryopreservation of Nili-Ravi (Bubalus
bubalis) buffalo bull sperm.
AB - Lower fertility in buffaloes with frozen-thawed semen is attributed to sperm
damage that is believed to be due to formation of ice crystals during freeze/thaw
process. It was hypothesized that antifreeze proteins in the extender may improve
the post thaw quality of buffalo bull sperm. For this purpose, two separate
experiments were conducted to evaluate antifreeze proteins III (AFP III) at 0
(control), 0.1, 1 and 10 MUg/mL (Experiment I) and 0 (control), 0.01, 0.1 and 1
MUg/mL (Experiment II) for its effect on post thaw quality of buffalo bull semen.
Semen was collected from three Nili-Ravi buffalo (Bubalus bubalis) bulls with
artificial vagina (42 degrees C) for three weeks (replicate) per experiment. For
each experiment, qualifying ejaculates (6 ejaculates/bull) were divided into four
aliquots and diluted (at 37 degrees C having 50 * 10(6) sperm/mL) in tris-citric
acid extender containing above mentioned concentrations of AFP III. Diluted semen
was cooled to 4 degrees C in 2 h, equilibrated for 4 h, filled in 0.5 mL straws,
kept over liquid nitrogen vapors for 10 min and plunged in the liquid nitrogen.
After 24 h of storage, semen straws were thawed at 37 degrees C for 30 s to
assess sperm progressive motility (SM), plasma membrane integrity (PMI),
viability (live sperm with intact acrosome) and normal epical ridge (NAR). In
experiment I, improvement (P<0.05) in percentage SM and sperm PMI was recorded in
extender containing 0.1 MUg/mL AFP III compared to control, the higher
concentrations (1 MUg/mL and 10 MUg/mL) being inefficient. While evaluating the
lower concentration (experiment II), 0.01 MUg/mL of AFP III in the extender it
was found to be ineffective to improve semen quality parameters, while 0.1 MUg/mL
AFP III in extender was found better in terms of progressive motility and plasma
membrane integrity of buffalo bull semen compared to control. Sperm viability and
NAR remained similar (P>0.05) in extenders containing different concentrations of
AFP III and control in both of experiments. In conclusion addition of AFP III in
the extender at 0.1 MUg/mL improved the progressive motility and plasma membrane
integrity of cryopreserved buffalo bull semen.
PMID- 24925473
TI - Natural loss-of-function mutation of EDR1 conferring resistance to tomato powdery
mildew in Arabidopsis thaliana accession C24.
AB - To screen for potentially novel types of resistance to tomato powdery mildew
Oidium neolycopersici, a disease assay was performed on 123 Arabidopsis thaliana
accessions. Forty accessions were fully resistant, and one, C24, was analysed in
detail. By quantitative trait locus (QTL) analysis of an F2 population derived
from C24 * Sha (susceptible accession), two QTLs associated with resistance were
identified in C24. Fine mapping of QTL-1 on chromosome 1 delimited the region to
an interval of 58 kb encompassing 15 candidate genes. One of these was Enhanced
Disease Resistance 1 (EDR1). Evaluation of the previously obtained edr1 mutant of
Arabidopsis accession Col-0, which was identified because of its resistance to
powdery mildew Golovinomyces cichoracearum, showed that it also displayed
resistance to O. neolycopersici. Sequencing of EDR1 in our C24 germplasm
(referred to as C24-W) revealed two missing nucleotides in the second exon of
EDR1 resulting in a premature stop codon. Remarkably, C24 obtained from other
laboratories does not contain the EDR1 mutation. To verify the identity of C24-W,
a DNA region containing a single nucleotide polymorphism (SNP) unique to C24 was
sequenced showing that C24-W contains the C24-specific nucleotide. C24-W showed
enhanced resistance to O. neolycopersici compared with C24 not containing the
edr1 mutation. Furthermore, C24-W displayed a dwarf phenotype, which was not
associated with the mutation in EDR1 and was not caused by the differential
accumulation of pathogenesis-related genes. In conclusion, we identified a
natural edr1 mutant in the background of C24.
PMID- 24925474
TI - Babesia spp. in European wild ruminant species: parasite diversity and risk
factors for infection.
AB - Babesia are tick-borne parasites that are increasingly considered as a threat to
animal and public health. We aimed to assess the role of European free-ranging
wild ruminants as maintenance mammalian hosts for Babesia species and to
determine risk factors for infection. EDTA blood was collected from 222 roe deer
(Capreolus c. capreolus), 231 red deer (Cervus e. elaphus), 267 Alpine chamois
(Rupicapra r. rupicapra) and 264 Alpine ibex (Capra i. ibex) from all over
Switzerland and analysed by PCR with pan-Babesia primers targeting the 18S rRNA
gene, primers specific for B. capreoli and Babesia sp. EU1, and by sequencing.
Babesia species, including B. divergens, B. capreoli, Babesia sp. EU1, Babesia
sp. CH1 and B. motasi, were detected in 10.7% of all samples. Five individuals
were co-infected with two Babesia species. Infection with specific Babesia varied
widely between host species. Cervidae were significantly more infected with
Babesia spp. than Caprinae. Babesia capreoli and Babesia sp. EU1 were mostly
found in roe deer (prevalences 17.1% and 7.7%, respectively) and B. divergens and
Babesia sp. CH1 only in red deer. Factors significantly associated with infection
were low altitude and young age. Identification of Babesia sp. CH1 in red deer,
co-infection with multiple Babesia species and infection of wild Caprinae with B.
motasi and Babesia sp. EU1 are novel findings. We propose wild Caprinae as
spillover or accidental hosts for Babesia species but wild Cervidae as mammalian
reservoir hosts for B. capreoli, possibly Babesia sp. EU1 and Babesia sp. CH1,
whereas their role regarding B. divergens is more elusive.
PMID- 24925475
TI - Dried artichoke bracts in rabbits nutrition: effects on the carcass
characteristics, meat quality and fatty-acid composition.
AB - In this study, the effects of the inclusion of artichoke bracts (AB) in rabbit
diets on the carcass characteristics and rabbit meat quality were studied. A
total of 120 rabbits aged 38 days were used and divided into three groups that
were fed with different isonitrogenous and isocaloric diets supplemented with AB
at 0%, 5% and 10%. The animals were single housed in wire cages at a temperature
of 22+/-2 degrees C and had free access to clean drinking water. At 96 days of
age, 12 rabbits/group were slaughtered in an experimental slaughterhouse without
fasting. The carcass was weighed and the weights of the skin and full
gastrointestinal tract were recorded. Carcasses were chilled at +4 degrees C for
24 h in a refrigerated room. The chilled carcass weight (CCW), dressing out
percentage (CCW as percentage of slaughter weight), and the ratio of the head and
liver were determined as a percentage of CCW. The reference carcass weight was
also calculated. Carcasses were halved and the two longissimus dorsi (LD) muscles
were excised. The left LD muscle was divided into two parts. The fore part was
used to measure pH, colour and cooking losses. The hind part of the left LD was
vacuum-packed, frozen at -20 degrees C and then freeze-dried. Proximate
composition, fatty-acid profile and thiobarbituric acid-reactive substances
values were determined on freeze-dried samples. Results showed that carcass
characteristics, LD muscle traits and its oxidative status were not affected by
the AB supplementation, except for the meat ether extract content that increased
from 0.68% to 0.94% on fresh matter basis with the increase of the AB
supplementation (P<0.01). The alpha-linolenic acid proportion decreased with the
increase of the AB supplementation from 3.58% to 2.59% in the LD muscle and from
4.74% to 3.62% in the perirenal fat, whereas the n-6/n-3 ratio increased
significantly with increasing AB inclusion from 7.15 to 10.20 in the LD muscle
and from 6.68 to 9.35 in the perirenal fat (P<0.01). Furthermore, no significant
difference was found in preference among meat samples from each group. The
enrichment of the rabbit's diet with AB allows the production of rabbit meat with
a good degree of unsaturation and low saturation, even if the n-6/n-3 ratio was
slightly worse.
PMID- 24925476
TI - Klebsiella pneumoniae pharyngitis mimicking malignancy: a diagnostic dilemma.
AB - Acute pharyngitis is a common disease. However, acute pharyngitis caused by
Klebsiella pneumoniae with a gross appearance mimicking hypopharyngeal malignancy
has never previously been reported. We report the case of a 57-year-old man with
a right hypopharyngeal tumor which was disclosed by fiberoptic laryngoscopy and
computed tomography scan. However, both the frozen and final pathologies showed
no evidence of malignant cells, and a bacterial culture revealed the growth of K.
pneumoniae. The hypopharyngeal lesion completely regressed after 2 weeks of
antibiotic treatment. Clinicians should perform biopsy along with tissue culture
for tumor-like lesions because infectious agents can lead to lesions with
malignancy-like appearance.
PMID- 24925477
TI - Physical and mental health comorbidity is common in people with multiple
sclerosis: nationally representative cross-sectional population database
analysis.
AB - BACKGROUND: Comorbidity in Multiple Sclerosis (MS) is associated with worse
health and higher mortality. This study aims to describe clinician recorded
comorbidities in people with MS. METHODS: 39 comorbidities in 3826 people with MS
aged >=25 years were compared against 1,268,859 controls. Results were analysed
by age, gender, and socioeconomic status, with unadjusted and adjusted Odds
Ratios (ORs) calculated using logistic regression. RESULTS: People with MS were
more likely to have one (OR 2.44; 95% CI 2.26-2.64), two (OR 1.49; 95% CI 1.38
1.62), three (OR 1.86; 95% CI 1.69-2.04), four or more (OR 1.61; 95% CI 1.47
1.77) non-MS chronic conditions than controls, and greater mental health
comorbidity (OR 2.94; 95% CI 2.75-3.14), which increased as the number of
physical comorbidities rose. Cardiovascular conditions, including atrial
fibrillation (OR 0.49; 95% CI 0.36-0.67), chronic kidney disease (OR 0.51; 95% CI
0.40-0.65), heart failure (OR 0.62; 95% CI 0.45-0.85), coronary heart disease (OR
0.64; 95% CI 0.52-0.71), and hypertension (OR 0.65; 95% CI 0.59-0.72) were
significantly less common in people with MS. CONCLUSION: People with MS have
excess multiple chronic conditions, with associated increased mental health
comorbidity. The low recorded cardiovascular comorbidity warrants further
investigation.
PMID- 24925478
TI - Uric acid inhibition of dipeptidyl peptidase IV in vitro is dependent on the
intracellular formation of triuret.
AB - Uric acid affects endothelial and adipose cell function and has been linked to
diseases such as hypertension, metabolic syndrome, and cardiovascular disease.
Interestingly uric acid has been shown to increase endothelial progenitor cell
(EPC) mobilization, a potential mechanism to repair endothelial injury. Since EPC
mobilization is dependent on activity of the enzyme CD26/dipeptidyl peptidase
(DPP)IV, we examined the effect uric acid will have on CD26/DPPIV activity. Uric
acid inhibited the CD26/DPPIV associated with human umbilical vein endothelial
cells but not human recombinant (hr) CD26/DPPIV. However, triuret, a product of
uric acid and peroxynitrite, could inhibit cell associated and hrCD26/DPPIV.
Increasing or decreasing intracellular peroxynitrite levels enhanced or decreased
the ability of uric acid to inhibit cell associated CD26/DPPIV, respectively.
Finally, protein modeling demonstrates how triuret can act as a small molecule
inhibitor of CD26/DPPIV activity. This is the first time that uric acid or a uric
acid reaction product has been shown to affect enzymatic activity and suggests a
novel avenue of research in the role of uric acid in the development of
clinically important diseases.
PMID- 24925479
TI - Monoclonal antibodies to murine thrombospondin-1 and thrombospondin-2 reveal
differential expression patterns in cancer and low antigen expression in normal
tissues.
AB - There is a considerable interest for the discovery and characterization of tumor
associated antigens, which may facilitate antibody-based pharmacodelivery
strategies. Thrombospondin-1 and thrombospondin-2 are homologous secreted
proteins, which have previously been reported to be overexpressed during
remodeling typical for wound healing and tumor progression and to possibly play a
functional role in cell proliferation, migration and apoptosis. To our knowledge,
a complete immunohistochemical characterization of thrombospondins levels in
normal rodent tissues has not been reported so far. Using antibody phage
technology, we have generated and characterized monoclonal antibodies specific to
murine thrombospondin-1 and thrombospondin-2, two antigens which share 62%
aminoacid identity. An immunofluorescence analysis revealed that both antigens
are virtually undetectable in normal mouse tissues, except for a weak staining of
heart tissue by antibodies specific to thrombospondin-1. The analysis also showed
that thrombospondin-1 was strongly expressed in 5/7 human tumors xenografted in
nude mice, while it was only barely detectable in 3/8 murine tumors grafted in
immunocompetent mice. By contrast, a high-affinity antibody to thrombospondin-2
revealed a much lower level of expression of this antigen in cancer specimens.
Our analysis resolves ambiguities related to conflicting reports on
thrombosponding expression in health and disease. Based on our findings,
thrombospondin-1 (and not thrombospondin-2) may be considered as a target for
antibody-based pharmacodelivery strategies, in consideration of its low
expression in normal tissues and its upregulation in cancer.
PMID- 24925480
TI - Absolute and relative temporal order memory for performed activities following
stroke.
AB - Reconstructing the temporal order of events is a crucial part of episodic memory.
The temporal dimension, however, is often discarded in clinical settings, and
measurements of true temporal aspects of episodic memory are scarce. The present
study assessed temporal memory in stroke patients and in age- and education
matched healthy controls. Both groups underwent a standardized neuropsychological
examination. We asked participants afterwards to reconstruct the order of tests
they had performed, measured in absolute temporal order (event placed on correct
moment in sequence) and relative temporal order (event placed correctly relative
to directly preceding and following events). The aim of the study was to examine
how serial-position curve effects (measuring absolute temporal order anchored in
exact time) and how relative temporal order memory (anchored to other events) may
differ in a group of cerebral stroke patients. Another aim was to link temporal
order memory deficits with established neuropsychological measures of cognitive
functioning. Although item identification was comparable in both groups, absolute
temporal order memory was impaired in patients: A total of 43% of the patients
lacked the expected primacy and recency effects (serial position effect). In
addition, relative temporal order memory was affected in this group as well, F(1,
70) = 4.08, p < .05; 25% of the patients were impaired in reconstructing the
relative temporal order (p = .019, Fisher's Exact Test). Both absolute and
relative temporal order memory performance related to the domains of executive
functioning and memory. Our results suggest that it is important to test both
absolute and relative temporal order memory, especially because these types of
memory depend on different anchors, either on time or on adjacent events.
PMID- 24925481
TI - Impact-induced shock and the formation of natural quasicrystals in the early
solar system.
AB - The discovery of a natural quasicrystal, icosahedrite (Al63Cu24Fe13), accompanied
by khatyrkite (CuAl2) and cupalite (CuAl) in the CV3 carbonaceous chondrite
Khatyrka has posed a mystery as to what extraterrestrial processes led to the
formation and preservation of these metal alloys. Here we present a range of
evidence, including the discovery of high-pressure phases never observed before
in a CV3 chondrite, indicating that an impact shock generated a heterogeneous
distribution of pressures and temperatures in which some portions reached at
least 5 GPa and 1,200 degrees C. The conditions were sufficient to melt Al-Cu
bearing minerals, which then rapidly solidified into icosahedrite and other Al-Cu
metal phases. The meteorite also contains heretofore unobserved phases of iron
nickel and iron sulphide with substantial amounts of Al and Cu. The presence of
these phases in Khatyrka provides further proof that the Al-Cu alloys are natural
products of unusual processes that occurred in the early solar system.
PMID- 24925482
TI - The development of behaviour patterns and temperament in dairy heifers.
AB - Observations were carried out on a group of twenty autumn born Friesian heifer
calves from birth until calving at about 25 months of age. During rearing they
experienced several rearing conditions; (I) Calf house, where from 48 hrs of age
they were individually penned. (II) Group housed Indoors. At weaning they were
housed in pens in groups of 10-20 animals and fed hay or silage ad libitum plus
some concentrate food. (III) Grazing out doors (HGI) from spring to autumn in
groups of 15-30 animals and moved to fresh pastures at about 2 week intervals.
(IV) Housed indoors in large groups of 70-80 animals in a yard with access to
covered or indoor cubicles, and fed silage ad lib. During this period they were
artificially inseminated. (V) Second grazing period. In the following spring they
were kept in large groups for grazing. Focal animal sampling to allow sequence
analysis of behaviour was performed and a time base was used to estimate time
budgets. In addition the temperament of each animal was assessed by recording its
reaction to being touched. The development of behaviour was strongly influenced
by changes between rearing conditions but generally stabilized rapidly within a
particular husbandry condition. The time spent resting was greater when the
animals were in the fields than indoors. Ruminating increased over the first 20
weeks and then stabilized. In the calf house the time spent in investigation
increased up to 4-5 weeks and then decreased while the frequency of investigation
increased. Grooming generally decreased over the study period. In the calf house
calf licking increased but after grouping this decreased and rubbing of the body
increased. Social encounters tended to decrease with age. The development of
temperament as defined later in the text here appears to be determined at an
early age and to be stable thereafter. Sequence analysis showed that behavioural
sequences became shorter and less variable in content and that as the animal
matured fewer behavioural activities were associated in any sequence and that
these showed an increase in their degree of association.
PMID- 24925483
TI - Responses to successive test of induction of maternal behaviour in hens.
AB - This experiment was undertaken to find out if the kinetics of the behaviours
which emerge gradually during a first experiment of maternal induction was
modified by a second and then a third similar experiment, or not. In addition,
during the second experiment the behaviour of experienced hens was compared to
that of naive hens of the same strain undergoing their first induction. Three
important facts appeared : (i) In each series, maternal behaviours (accepting
contact of chicks, clucking) emerged gradually (significant day effect). (ii)
Repeating these experiments revealed on the whole neither increase nor decline of
hens' responsiveness towards chicks (negligible series effect). No significant
difference between naive hens and hens having already had a first induction
experience was found. (iii) There was an important difference between hens
concerning their delay in adopting, and a good stability of individual profiles
was found between series (significant hen effect).
PMID- 24925484
TI - Effects of the sex of the resident adult and of the sex of the opponent on
interactions in Mongolian gerbils (Meriones unguiculatus).
AB - Mated pairs of Mongolian gerbils were rejoined by two of their own young, one of
each sex, after periods of separation starting when the young were four or seven
weeks old and lasting either one or four weeks. An additional sub-group of
litters was separated when six weeks old, for a period of separation of two
weeks. In another group of mated-pairs, mated-adults were introduced and observed
in the same way. Occurrences of sniffing, opposition and pursuit between each
adult and each intruder was noted over a period of one hour. A clear effect of
the sex of the resident adult on agonistic behaviour was evident. The adult
female develops its agonistics acts sooner than the male i.e. it is more
responsive than the male to a short duration of separation from the juveniles.
The adult female shows either pursuit or opposition to juveniles but shows almost
no agonistic behaviour in the presence of unrelated adults. As for the adult
male, its agonistic acts begin to appear after four weeks of separation and
persist with very frequent opposition, in adult-adult meetings. The sex of the
intruder only appeared to be a significant factor after four weeks of separation.
After four weeks of separation, opposition of the adult male to the young male
was significantly higher than that to the young female. Sniffing of the young
male by the adult female was significantly higher than that of the young female.
With the same period of separation, but with opponents of eleven weeks, as well
as in meetings of adults, each resident adult had a greater number of
interactions with the intruder of the same sex.
PMID- 24925485
TI - Spontaneous light or dark preference in albino mice?
AB - The present experiment examined spontaneous visual choice behaviour and
acquisition of a positively reinforced visual discrimination task in Swiss albino
mice. In experiment I animals were given 4 consecutive trials in which they could
freely enter either a dimly illuminated or a darkened arm of a Y-maze; the
position of the light stimulus was randomized across trials. D groups and L
groups were tested during the dark and the light period of the day respectively.
Results revealed a significant spontaneous preference for the illuminated arm of
the maze, independent of the testing period. It is suggested that the dim light
has a reinforcing value because it provides additional information about a novel
environment. In a second experiment an appetitive visual discrimination task was
carried out in the same Y-maze. After a pretraining period, half the animals were
reinforced in the illuminated arm and half were reinforced in the darkened one,
on five consecutive days. On the first test session all groups of animals chose
the illuminated arm significantly more frequently, whereas light/dark choices
reached chance level on the last test session. Discrimination learning was not
acquired and a behavioural analysis revealed an increasing tendency to a side
preference across testing.
PMID- 24925486
TI - Acquisition and retention of habituation as a function of intertrial interval
duration during training in the blowfly.
AB - The influence of the duration of the interval between successive stimulations
during habituation training on the dynamics of motor response decrement and its
retention over time has been studied in the blowfly, Calliphora vomitoria . The
intertrial interval (ITI) duration during training was either 7, 15, 30, 60 or
120 seconds. After a rest period of 30 minutes, a second session was given with
the same ITI duration of 30 seconds for all groups. The response decrement
observed during training is faster the longer the ITI duration. At the end of
this session, the lower response level is reached with an ITI of 60 seconds.
After the rest period, the lower responsiveness is observed in the animals which
have been trained with the longer ITI. These results are discussed with reference
to the dual-process theory of habituation, which can account for the results
obtained during training, and memory-centered models to interpret the influence
of ITI duration on retention performance.
PMID- 24925487
TI - Probabilistic choice: A simple invariance.
AB - When subjects must choose repeatedly between two or more alternatives, each of
which dispenses reward on a probabilistic basis (two-armed bandit ), their
behavior is guided by the two possible outcomes, reward and nonreward. The
simplest stochastic choice rule is that the probability of choosing an
alternative increases following a reward and decreases following a nonreward
(reward following ). We show experimentally and theoretically that animal
subjects behave as if the absolute magnitudes of the changes in choice
probability caused by reward and nonreward do not depend on the response which
produced the reward or nonreward (source independence ), and that the effects of
reward and nonreward are in constant ratio under fixed conditions (effect-ratio
invariance )--properties that fit the definition of satisficing . Our
experimental results are either not predicted by, or are inconsistent with, other
theories of free-operant choice such as Bush-Mosteller, molar maximization,
momentary maximizing, and melioration (matching).
PMID- 24925488
TI - Possible use of environmental gradients in orientation by homing wood mice,
Apodemus sylvaticus.
AB - 51 wood mice, Apodemus sylvaticus, tagged with chemoluminescent bulbs, were
visually tracked in a series of night homing experiments. The mice did not go
straight towards home, but wandered around the release area and covered distances
equal to twice the radial lengths recorded. In the course of their walk, they
orientated preferentially towards landscapes which resembled their usual habitat,
irrespective of where their actual homeward direction lay. In spite of the
randomness of their paths, homing success was good, but detailed analysis of
homing time showed that the homing performances of the mice depended on the
direction in which they vanished in the course of the observation period. The
good fit of observed orientations with those obtained by computer simulation of
klinokinesis along an axial gradient, and field observation of a vegetational
gradient in the study area, are both consistent with the hypothesis that homing
may have resulted from wandering, locally biased by a klinokinetic effect.
PMID- 24925489
TI - Time-compensated celestial orientation in the cricket Pteronemobius lineolatus.
AB - By varying the time difference between capture and release, we can show that
swimming crickets Pteronemobius lineolatus are able to time compensate for the
apparent azimuthal change of the sun's position.
PMID- 24925491
TI - Predictors of dieting and disordered eating behaviors from adolescence to young
adulthood.
AB - PURPOSE: To identify personal and socioenvironmental factors associated with the
persistence of dieting or disordered eating from adolescence to young adulthood
and factors associated with the initiation of dieting or disordered eating during
young adulthood. METHODS: Participants (n = 4,746) completed EAT-I surveys as
adolescents; EAT-III surveys were completed 10 years later by 1,902 of the
original participants (1,082 females and 820 males). RESULTS: Study results
indicate that there are personal factors, including weight concerns, weight
importance, depressive symptoms and body satisfaction, present during adolescence
that are predictive of an individual's engagement in dieting or disordered eating
behaviors 10 years later. For example, among both males and females, weight
importance was found to be predictive of continued dieting and disordered eating
from adolescence through young adulthood. For example, 26.1% of males with low
levels of weight concern at baseline reported engaging in persistent disordered
eating as compared with 60.4% of males with high levels of weight concern at
baseline (prevalence difference: 34.3; 95% confidence interval: 10.5-58.1; p <
.01). Parental weight concerns, peer dieting, and weight teasing at baseline were
not found to be predictive of dieting or disordered eating at 10-year follow-up.
CONCLUSIONS: Personal factors identified during adolescence were found to be
predictive of both persistent dieting and disordered eating from adolescence into
young adulthood, as well as initiation of these behaviors during young adulthood.
In particular, weight concerns and weight importance were found to be predictive
in most models providing support for inclusion of these factors in adolescent
health screening.
PMID- 24925492
TI - TeenDrivingPlan effectiveness: the effect of quantity and diversity of supervised
practice on teens' driving performance.
AB - PURPOSE: The large contribution of inexperience to the high crash rate of newly
licensed teens suggests that they enter licensure with insufficient skills. In a
prior analysis, we found moderate support for a direct effect of a web-based
intervention, the TeenDrivingPlan (TDP), on teens' driving performance. The
purpose of the present study was to identify the mechanisms by which TDP may be
effective and to extend our understanding of how teens learn to drive. METHODS: A
randomized controlled trial conducted with teen permit holders and parent
supervisors (N = 151 dyads) was used to determine if the effect of TDP on driver
performance operated through five hypothesized mediators: (1) parent-perceived
social support; (2) teen-perceived social support; (3) parent engagement; (4)
practice quantity; and (5) practice diversity. Certified driving evaluators,
blinded to teens' treatment allocation, assessed teens' driving performance 24
weeks after enrollment. Mediator variables were assessed on self-report surveys
administered periodically over the study period. RESULTS: Exposure to TDP
increased teen-perceived social support, parent engagement, and practice
diversity. Both greater practice quantity and diversity were associated with
better driving performance, but only practice diversity mediated the relationship
between TDP and driver performance. CONCLUSIONS: Practice diversity is feasible
to change and increases teens' likelihood of completing a rigorous on-road
driving assessment just before licensure. Future research should continue to
identify mechanisms that diversify practice driving, explore complementary ways
to help families optimize the time they spend on practice driving, and evaluate
the long-term effectiveness of TDP.
PMID- 24925494
TI - Behavioral resistance to haloperidol and clozapine.
AB - Using a procedure to assess behavioral resistance to change, the effects of two
drugs (haloperidol and clozapine) were compared to each other and to the effect
of response-independent food delivered between multiple-schedule components.
Using rats as subjects, responding in one component was maintained on a variable
interval 30-s schedule, whereas responding in another component was maintained on
a variable-interval 30-s schedule that operated concurrently with a variable-time
30-s schedule. Consistent with previous findings, responding in the component
with the greater overall amount of reinforcement displayed the greater resistance
to response-independent food delivery. Similarly, increasing the dose of
haloperidol or clozapine increased the overall extent of disruption (relative to
baseline levels), with the greatest disruption occurring to responding in the
component associated with the smaller overall amount of food reinforcement. Thus,
as with the disruption caused by alterations in reinforcement conditions, the
extent of disruption to reinforced responding caused by haloperidol and clozapine
is specifically dependent upon baseline reinforcement conditions rather than
baseline response rates.
PMID- 24925495
TI - Very brief exposure to visual distal cues is sufficient for young mice to
navigate in the Morris water maze.
AB - This set of two experiments investigated the capacities of C57BL/6 mice to
integrate visual distal information. In the first study, we submitted mice of two
ages (22 and 65 day old) to the place learning version of the Morris water task.
After the acquisition phase, the mice were put in one of three conditions: (1) a
classical probe test (only the platform was removed); (2) a rotating probe test
(the platform was removed and the distal visual cues were rotated from 90 degrees
); and (3) a suppressing probe test (both the platform and the cues were
removed). The results indicated that whatever their ages mice used preferentially
the visual cues to locate the platform. In the second experiment, groups of mice
of either age were subjected to 1 of 4 procedures. Separate groups of mice were
required to escape onto a platform located in a fixed position either hidden or
visible. Following escape, animals were either given unrestricted visual access
to the extramaze environment for the duration of the platform interval (60 s,
place learning and cue+place learning groups), or denied this opportunity by
switching off the room lights (place-lights learning and cue+place-lights
learning groups). The results of this second experiment indicate that whatever
their age and the procedure used, mice performed equally and exhibited a spatial
bias during the probe test. All together, these results suggest that as early as
22 days of age, mice integrate visual distal information especially while on the
way to the goal, and this even so this information was not necessary to solve the
task.
PMID- 24925493
TI - Use of a medically supervised injection facility among street youth.
AB - PURPOSE: Supervised injecting facilities (SIFs) provide a sanctioned space for
injection drug users and are associated with decreased overdose mortality and HIV
risk behaviors among adults. Little is known about SIF use among youth. We
identified factors associated with use of the Vancouver SIF, the only such
facility in North America, among street youth. METHODS: From September 2005 to
May 2012, we collected data from the At-Risk Youth Study, a prospective cohort of
street youth in Vancouver, BC, Canada. Eligible youth were aged 14-26 years.
Participants reporting injection completed questionnaires at baseline and
semiannually. We used generalized estimating equation logistic regression to
identify factors associated with SIF use. RESULTS: During the study period, 42.3%
of 414 injecting youth reported use of the SIF at least once. Of all SIF-using
youth, 51.4% went to the facility at least weekly, and 44.5% used it for at least
one-quarter of all injections. SIF-using youth were more likely to live or spend
time in the neighborhood surrounding the SIF (adjusted odds ratio [AOR], 3.29;
95% confidence interval [CI], 2.38-4.54), to inject in public (AOR, 2.08; 95% CI,
1.53-2.84), or to engage in daily injection of heroin (AOR, 2.36; 95% CI, 1.72
3.24), cocaine (AOR, 2.44; 95% CI, 1.34-4.45), or crystal methamphetamine (AOR,
1.62; 95% CI, 1.13-2.31). CONCLUSIONS: This study, the first to examine SIF use
among street youth in North America, demonstrated that the facility attracted
high-frequency young drug users most at risk of blood-borne infection and
overdose and those who otherwise inject in public spaces.
PMID- 24925496
TI - 'Subjective lengthening' during repeated testing of a simple temporal
discrimination.
AB - Three experiments investigated behavioural changes, using a temporal
generalization paradigm with human subjects, resulting from repeated testing
without feedback. In Experiment 1, different groups received five initial
presentations of a 400 ms 500 Hz tone, or a 400 ms 14*14 cm blue square,
identified as having a standard duration, then received blocks of testing where
stimuli with durations shorter than, longer than, or equal to the standard were
presented. Subjects had to judge whether each presented stimulus was the standard
duration, but no feedback was given. Temporal generalization gradients
(proportion of identifications of a stimulus as being the standard, plotted
against stimulus duration) shifted progressively to the right during the test
phase (i.e. longer stimuli tended to be identified as the standard as testing
proceeded) in the visual stimulus condition. Experiment 2 used a generalization
procedure to examine, with different subject groups, behavioural changes when
either the duration, or the length, of a blue bar presented on the computer
screen was the basis of judgement. Across trials, both length and duration could
vary, but for one group only duration was relevant whereas for the other group
only length was. Generalization gradients shifted systematically to the right
only in duration judgements. Experiment 3 replicated the rightward shift in
generalization gradients when the duration of visual stimuli was measured, and in
addition used a self-rating scale derived from Thayer [Thayer, R.E., 1967.
Measurement of activation through self-report. Psych. Rep. 20, 663-678.) to
measure subjects' arousal. This declined systematically as testing proceeded,
suggesting that the shift in temporal generalization gradient was probably caused
by an arousal-induced change in internal clock speed.
PMID- 24925497
TI - Sample frequency and sample duration as sources of stimulus control in delayed
matching to sample.
AB - Three experiments examined sample duration and sample presentation frequency
(SPF) on choice in a two-alternative, delayed matching-to-sample task. In
Experiment 1, using a behavioural-detection approach, we demonstrated bias toward
the more frequent sample, despite the conditional probability of reinforcement
for a correct match on any particular trial remaining at 1.0 for both stimuli.
Although retention-interval duration influenced both discriminability and bias in
Experiment 1, bias was independent of retention interval in two subsequent
experiments. Experiments 2 and 3 replicated the effects of SPF on bias, and
demonstrated that discriminability of the stimuli was not influenced by the SPF
manipulation. Experiment 2 also investigated the effect of a within-session
variation in sample duration on discriminability and bias measures, both with and
without unequal SPFs. Discriminability was enhanced to both the short and long
samples of the unequal sample-durations' condition relative to discrimination in
conditions where samples were presented for equal durations. Bias generated by
varying SPF was independent of sample-duration effects and of retention-interval
duration. Taken together, these data suggest independent and qualitatively
different effects of sample frequency and sample duration on matching behaviour:
Sample frequency has its effect on bias measures, while sample duration
influences discriminability. We suggest that sample frequency is a global task
factor influencing reference memory, and sample duration is a trial-specific,
conditional discrimination factor, involving short-term or working memory
processing.
PMID- 24925498
TI - Does the Pyrenean salamander Euproctus asper use chemical cues for sex
identification and mating behaviour?
AB - This paper examined the potentiality of chemical cues for sex identification and
mating activity in Euproctus asper. The study tested the ability of males and
females to distinguish between the odour of animals of their own sex and that of
animals of the opposite sex, as it was carried to them by water flowing over
another animal. Their ability to distinguish between water flowing over another
E. asper and water flowing over a control, where no other animal was present, was
also tested. Then, the study tested their ability to distinguish between the
diffusing odour of animals of their own sex, that of animals of the opposite sex,
and that of a control, where no other animal was present. There was no evidence
that males and females identify their mates using chemical cues. Observations of
the courtship behaviour were also carried out. Mating seems to be induced by the
male's display of his tail when he captures the female as she passes near him, to
form an amplexus, without any obvious preliminary. On the basis of these data,
the question whether the mate identification occurs during the amplexus in this
species was raised.
PMID- 24925499
TI - Speeding up and (...relatively...) slowing down an internal clock in humans.
AB - Two experiments used click-trains to manipulate the subjective duration of
stimuli they preceded, in attempts to demonstrate relative slowing down of the
pacemaker of a hypothesized internal clock. Experiment 1 used a pair comparison
procedure, where two tones presented on each trial in fact had the same duration.
In the conditions of particular interest, the first tone was preceded by clicks
(thus putatively timed with a faster clock), the other presented without (thus
timed normally). The reverse condition (no-clicks/clicks) was also used.
Judgements of the relative duration of the stimuli were shifted in both
directions (i.e. first tone longer than second and vice versa) by the
manipulation, consistent with relative speeding up and slowing down of the
pacemaker. Experiment 2 used the popular bisection method, with 200- and 800-ms
tones used as the Short and Long standards for the task. After standard
presentations, subjects were required to classify a range of comparison stimuli
(from 200 to 800 ms in 100-ms steps) in terms of their similarity to one or the
other of the standards. In one condition the comparison stimuli were preceded by
clicks (thus timed 'fast') and the standards were presented without clicks (thus
timed 'normally'); in another condition the clicks preceded the standards but not
the comparisons. The psychophysical function obtained from the bisection
procedure shifted in opposite directions with the different manipulations,
consistent with both relative 'speeding up' and 'slowing down' of the pacemaker
of the internal clock.
PMID- 24925500
TI - Prey-handling behaviour of Octopus vulgaris (Mollusca, Cephalopoda) on Bivalve
preys.
AB - This study examines the ability of Octopus vulgaris to prey on bivalve molluscs
showing that octopuses exhibited the same behavioural pattern irrespective of the
prey species. When the initial pulling method did not result efficient octopuses
skipped to drilling accompanied by an increase in the handling time. A complete
behavioural repertoire of O. vulgaris in handling bivalve preys is also given.
PMID- 24925501
TI - Discounting of delayed rewards across the life span: age differences in
individual discounting functions.
AB - The present effort addressed both the issue of the generality of choice models
and the issue of possible qualitative developmental change in temporal
discounting by examining behavior at the individual level across the life span.
Data from individual children, young adults, and older adults who participated in
two previous studies were analyzed [Green, L., Fry, A.F., Myerson, J., 1994.
Discounting of delayed rewards: a life-span comparison. Psychol. Sci. 5, 33-36;
Green, L., Myerson, J., Lichtman, D., Rosen, S., Fry, A., 1996. Temporal
discounting in choice between delayed rewards: the role of age and income.
Psychol. Aging 11, 79-84]. At all ages, a hyperbola-like function originally
proposed by Green et al. (1994) based on group data, provided the best
description of individual discounting functions. Two developmental trends were
observed. The rate at which individuals discounted the value of delayed rewards
decreased with age, and there was a systematic change in the shape of the
discounting function. Each of these trends was reflected in a separate parameter
of the model. The fact that the same mathematical model described the behavior of
individuals of different ages suggests that age and individual differences in the
discounting of delayed rewards are primarily quantitative in nature and reflect
variations on fundamentally similar choice processes.
PMID- 24925502
TI - Conditioning pigeons to discriminate naturally lit insect specimens.
AB - Pigeons (Columba livia) were trained on a visual discrimination task using a
novel apparatus which enabled pinned specimens of insects, illuminated by natural
daylight, to be presented under a pecking key transparent to ultraviolet light.
Three birds showed evidence of learning to discriminate between sets of wasp and
fly specimens. This response transferred to specimens of four hoverfly species,
the strength of the response varying between the different hoverfly species. This
conditioning technique offers a promising means of analysing mechanisms of visual
processing in birds that are relevant to theories of the evolution of camouflage
and mimicry.
PMID- 24925503
TI - Stereotactic radiosurgery for acromegaly: outcomes by adenoma subtype.
AB - PURPOSE: The subtypes of somatotroph-cell pituitary adenomas have been correlated
with clinical and histopathological variables. Densely granulated somatotroph
cell (DG) adenomas are typically highly responsive to somatostatin analog drugs,
whereas sparsely granulated somatotroph-cell (SG) are less responsive. The aim of
the study is to determine the effect of stereotactic radiosurgery (SRS) on
remission and development of new pituitary deficiency according to the different
subtypes of growth hormone (GH) secreting adenomas. METHODS: A total of 176
patients underwent SRS for acromegaly at the University of Virginia. Diagnosis of
acromegaly was based on the combination of clinical features and biochemical
assessment including the serum GH level, and age- and gender-matched serum
insulin-like growth factor-1 level. All patients underwent endocrine and neuro
imaging evaluations before and after SRS. Histological specimens were available
in 73 patients. RESULTS: The histopathological examination showed 34 patients had
a DG adenoma, 19 had a SG adenoma, eight had a mixed DG/SG pattern, while other
rare mixed subtypes were present in 12 patients. Patients who had a SG adenoma
were more likely to be younger and female, and the SG adenomas appeared to be
more invasive into the cavernous sinus. With a median follow-up of 67 months
(range 6-188 months), 55/73 patients (75.3%) achieved remission. The median time
to remission was 26 months (range 6-102 months). The actuarial remission rates in
the DG adenoma group at 2, 4, and 6 years post-radiosurgery were 35.1, 71.4, and
79.3%, respectively, while those in SG adenoma group were 35.4, 73.1, and 82.1%,
respectively. CONCLUSION: While patients who had a SG adenoma may be less
responsive to medical therapy, they exhibited similar responses to SRS as
patients with a DG adenoma. For SG adenomas, which respond less well to medical
therapy, earlier SRS may be reasonable for consideration.
PMID- 24925504
TI - Shielding Parenteral Nutrition Solutions From Light: A Randomized Controlled
Trial.
AB - INTRODUCTION: Oxidant stress is implicated in the pathogenesis of
bronchopulmonary dysplasia (BPD). Light induces peroxide generation in parenteral
nutrition (PN) solutions, creating an oxidant stress. Shielding PN from light
decreases its peroxide content, which has nutrition and biochemical benefits in
animals and humans. This study aims at determining whether full light protection
of PN decreases the rate of bronchopulmonary dysplasia and/or death in very low
birth-weight infants. METHODS: Multicenter randomized controlled trial of
photoprotection, using amber bags and tubing initiated during compounding of PN
and maintained throughout infusion in the light-protected (LP) group. The control
group (light exposed [LE]) received PN exposed to ambient light. Depending on
centers, lipids were infused either separately or as all-in-one PN. RESULTS: In
total, 590 infants born <30 weeks gestational age were included. At
randomization, LE and LP groups did not differ clinically except for maximal FiO2
before 12 hours. The rate of BPD/death was not different between groups at 28
days (77% LP vs 72% LE, P = .16) or at 36 weeks corrected age (30% LP vs 27% LE,
P = .55). Multivariate analysis showed no significant effect of photoprotection
on BPD and/or death. The rate of BPD/death was significantly lower (odds ratio,
0.54; 95% confidence interval, 0.32-0.93; P = .02) in infants receiving all-in
one PN vs those who received lipids separately. CONCLUSION: This study did not
show significant beneficial effects of photoprotection. Since the decreased rate
of BPD/death found with all-in-one PN relates to a center-dependent variable,
this warrants further investigation.
PMID- 24925505
TI - Public funding and private investment for R&D: a survey in China's pharmaceutical
industry.
AB - BACKGROUND: In recent years, China has experienced tremendous growth in its
pharmaceutical industry. Both the Chinese government and private investors are
motivated to invest into pharmaceutical research and development (R&D). However,
studies regarding the different behaviors of public and private investment in
pharmaceutical R&D are scarce. Therefore, this paper aims to investigate the
current situation of public funding and private investment into Chinese
pharmaceutical R&D. METHODS: The primary data used in the research were obtained
from the China High-tech Industry Statistics Yearbook (2002-2012) and China
Statistical Yearbook of Science and Technology (2002-2012). We analyzed public
funding and private investment in five aspects: total investment in the industry,
funding sources of the whole industry, differences between provinces, difference
in subsectors, and private equity/venture capital investment. RESULTS: The vast
majority of R&D investment was from private sources. There is a significantly
positive correlation between public funding and private investment in different
provinces of China. However, public funding was likely to be invested into less
developed provinces with abundant natural herbal resources. Compared with the
chemical medicine subsector, traditional Chinese medicine and biopharmaceutical
subsectors obtained more public funding. Further, the effect of the government
was focused on private equity and venture capital investment although private
fund is the mainstream of this type of investment. CONCLUSIONS: Public funding
and private investment play different but complementary roles in pharmaceutical
R&D in China. While being less than private investment, public funding shows its
significance in R&D investment. With rapid growth of the industry, the
pharmaceutical R&D investment in China is expected to increase steadily from both
public and private sources.
PMID- 24925506
TI - The date of antler casting, age and social hierarchy relationships in the red
deer stag.
AB - A five-year observation of social interactions and the antler cycle of the stag
was performed in the "white" red deer population in the Zehusice game reserve,
Czechoslovakia. The results indicate that the antler casting time of individual
stags was dependent primarily on social status and that the influence of age was
of secondary importance. The more dominant the stag, the earlier antler casting
occurred. The stags of higher social status also tended to shed velvet earlier,
although this relationship was not significant. The hormonal regulation of the
antler cycle relating to social hierarchy is discussed.
PMID- 24925507
TI - Acquisition and extinction of gustatory aversion in two lines of rats selectively
bred for differential shuttlebox avoidance performance.
AB - Consumption of a palatable saccharin-glucose (SG) solution was compared in Roman
High Avoidance (RHA/Verh) and Roman Low Avoidance (RLA/Verh) lines of rats in a
taste aversion acquisition and extinction paradigm. Prior to treatment, SG-intake
in a 1 -h drinking test by RHA/Verh rats was much greater than that by RLA/Verh
rats. Both psychogenetic lines increased SG-intake over a series of exposures
when each presentation was followed by saline injection, but decreased SG-intake
when each presentation was followed by apomorphine injection. At the end of the
acquisition phase, RHA/Verh rats treated with a toxic dose of apomorphine drank
36% less SG than RHA/Verh rats that were injected with saline, whereas RLA/Verh
rats treated with apomorphine consumed 54% less SG than RLA/Verh rats injected
with saline. Following 16 daily presentations of SG but no injections, extinction
of the conditioned gustatory aversion was complete in the RHA/Verh group
previously treated with apomorphine, but it remained incomplete in the RLA/Verh
rats previously treated with apomorphine. This stronger taste aversion exhibited
by RLA/Verh rats is in marked contrast to their extremely inferior performance in
a shuttlebox active avoidance task. The basis of the behavioral differences in
these two psychogenetically selected lines of rats is discussed.
PMID- 24925508
TI - Long-term effects of testosterone injections on the social behaviour of male
ducks and their physiological correlates.
AB - During three independent experiments, we demonstrated that repeated injections of
testosterone propionate (TP) induce, after the termination of treatment, a strong
inhibition of social displays in intact male domestic ducks. This behavioural
inhibition may last for several months and appears relatively specific, as sexual
behaviour was generally normal in the previously injected birds. This effect is
probably not directly related to the neonatal differentiation of the brain, as it
can be induced by injections given when the birds are already several months old.
The inhibition of social displays is not paralleled by drastic changes in the
plasma levels of pituitary-gonadal hormones (testosterone, follicle stimulating
hormone and luteinizing hormone), and thus is not explained by the lack of
endogenous testosterone. It is postulated that the behavioural inhibition results
from a decreased sensitivity to testosterone of the neural mechanisms involved in
the control of displays, and possible mechanisms explaining this decreased
sensitivity at the biochemical level are briefly reviewed.
PMID- 24925509
TI - Agonistic behavior, the development of the social hierarchy and stress in
genetically diverse flocks of chickens.
AB - The agonistic behavior and development of the social hierarchy of juvenile
pullets were studied in two lines of chickens which had been divergently selected
for juvenile body weight and in which the dwarf gene (dw) was segregating. The
lines differed significantly in both the frequency and intensity of agonistic
encounters. Dwarf and normal genotypes differed in the frequency of encounters in
both lines, whereas for the intensity of encounters, the difference was only in
the high weight line. Although the frequency was more important than the
intensity of encounters in determining social rank, agonistic behaviors accounted
for only 20% of the variation in rank. The stability of the social orders
increased slightly between 6 and 10 weeks of age. Although the correlations
between behavioral and physiological variables agreed in sign with those
predicted of stressors, their values were low. Therefore, it was concluded that
the pullets were only minimally stressed by normal agonistic activity during the
development of the social hierarchy.
PMID- 24925510
TI - Observational learning in Japanese quail.
AB - In spite of interest in the cultural transmission of animal behaviour,
observational learning has not previously been demonstrated in Japanese quail. In
the present experiment three groups of 10 quail were trained to peck for
reinforcement under discriminative stimulus control. The group which was allowed
to observe performance of skilled companions learned faster than a group
observing no-pecking models and a group learning without observational
experience. Furthermore, observation of no-pecking models can inhibit learning. A
sensory-sensory conditioning model can account for the results.
PMID- 24925515
TI - Letter to the editor.
PMID- 24925511
TI - Sensitivity, range and temperature dependence of hearing in the grass frog and
fire-bellied toad.
AB - Multi-unit recordings from the torus semicircularis of the fire-bellied toad
(Bombina bombina L.) and the grass frog (Rana t. temporaria L.) were used to
obtain threshold vs. frequency curves for these anurans. The effect of body
temperature on these audiograms was tested over a range of 10-28 degrees C for
the toad and 5-20 degrees C for the frog. The range of frequencies audible to
the fire-bellied toad at a body temperature of 21 degrees C extends to 2400-3000
Hz. Threshold is relatively low in three regions: 300-450 Hz, 700-900 Hz and 1200
1700 Hz. The auditory system is most sensitive in the low frequency region. The
audiograms of both species depend greatly on temperature. As temperature is
increased sensitivity is enhanced, particularly at low and intermediate
frequencies. Grass frogs are maximally sensitive at temperatures as low as 15
degrees C, whereas the auditory threshold of fire-bellied toads continue to fall
as the temperature is raised from 16 degrees C to 22 degrees C. Hearing
evidently is adapted to different temperature ranges in the two species, and
these correspond to the temperatures at which the animals engage in mating
behavior.
PMID- 24925516
TI - Triggers to offering bariatric surgery in the management of type 2 diabetes.
AB - It is unclear how current guidelines suggesting bariatric surgery as a
therapeutic option for management of obesity complicated by type 2 diabetes
mellitus are utilised in clinical practice. Of 609 patients with T2DM assessed in
this study, 147 had a BMI >= 35 kg/m2; and of these 147, patients where bariatric
surgery had been discussed as compared to those where it had not been discussed,
had a higher BMI (44.4 +/- 6.8 kg/m2 versus 40.3 +/- 5.2 kg/m2, p < 0.005).
Diabetes related factors did not differ between the two groups.
PMID- 24925517
TI - Clinical and demographic risk factors associated with mortality during early
adulthood in a population-based cohort of childhood-onset type 1 diabetes.
AB - AIMS: To calculate standardized mortality ratios and to assess the association
between paediatric clinical factors and higher risk of mortality during early
adulthood in a population-based cohort of subjects with Type 1 diabetes. METHODS:
Subjects with Type 1 diabetes were identified through the Western Australian
Children's Diabetes Database and clinical data for those who reached 18 years of
age (n = 1309) were extracted. An age- and sex-matched (without diabetes)
comparison cohort (n = 6451) was obtained from the birth registry. Mortality
records were obtained from the death registry. Participants were followed up
until 31 January 2012. Associations of clinical factors (from clinic visits
before 18 years of age) with mortality were assessed using Cox proportional
hazard models. RESULTS: The standardized mortality ratio for all-cause mortality
was 1.7 (95% CI 0.7-3.3) for male and 10.1 (95% CI 5.2-17.7) for female subjects
with Type 1 diabetes (median age at end of study 25.6 years). The adjusted hazard
ratio was 1.5 (95% CI 1.1-2.1) for a 1% increase in mean paediatric HbA1c level,
3.8 (95% CI 0.9-15.3) for four episodes of severe hypoglycaemia relative to zero
episodes, and 6.21 (95% CI 1.4-28.4) for a low-level socio-economic background
relative to a high-level background. CONCLUSIONS: People with childhood-onset
Type 1 diabetes have higher mortality rates in early adulthood. At particularly
high risk are women, those with a history of poor HbA1c levels, those with
recurrent severe hypoglycaemia during paediatric management, and those from a low
socio-economic background. These groups may benefit from intensified management
during transition from paediatric to adult care facilities.
PMID- 24925518
TI - Ion pairing in protic ionic liquids probed by far-infrared spectroscopy: effects
of solvent polarity and temperature.
AB - The cation-anion and cation-solvent interactions in solutions of the protic ionic
liquid (PIL) [Et3NH][I] dissolved in solvents of different polarities are studied
by means of far infrared vibrational (FIR) spectroscopy and density functional
theory (DFT) calculations. The dissociation of contact ion pairs (CIPs) and the
resulting formation of solvent-separated ion pairs (SIPs) can be observed and
analyzed as a function of solvent concentration, solvent polarity, and
temperature. In apolar environments, the CIPs dominate for all solvent
concentrations and temperatures. At high concentrations of polar solvents, SIPs
are favored over CIPs. For these PIL/solvent mixtures, CIPs are reformed by
increasing the temperature due to the reduced polarity of the solvent. Overall,
this approach provides equilibrium constants, free energies, enthalpies, and
entropies for ion-pair formation in trialkylammonium-containing PILs. These
results have important implications for the understanding of solvation chemistry
and the reactivity of ionic liquids.
PMID- 24925519
TI - Synthesis and evaluation of a new series of 3,5-bis((5-bromo-6-methyl-2-t
aminopyrimidin-4-yl)thio)-4H-1,2,4-triazol-4-amines and their cyclized products
'pyrimidinylthio pyrimidotriazolothiadiazines' as 15- lipo-oxygenase inhibitors.
AB - A series of new 3,5-bis((5-bromo-6-methyl-2-t-aminopyrimidin-4-yl)thio)-4H-1,2,4
triazol-4-amines and their cyclized products 'pyrimidinylthio
pyrimidotriazolothiadiazines' were designed, synthesized, and evaluated as
potential inhibitors of 15-lipo-oxygenase (15-LO). Their syntheses started by
initial condensation of 2:1 equivalents of pyrimidine with triazole and
subsequent nucleophilic displacement of the chlorine atoms with secondary amines
and finally cyclocondensation in the presence of NaNH2. The compounds 4d and 4f
showed the best IC50 of 15-LO inhibition (IC50 = 9 and 12 MUm, respectively).
Compounds 4a-g were docked into 15-LO. We suggest that the hydrogen bonds in
quaternary nitrogen of piperazine ring of compounds 4d and 4f appear to play
major role in lipo-oxygenase inhibition by this set of synthesized analogs and
hydrophobic nature of this protein's binding site should be considered in ongoing
investigations.
PMID- 24925520
TI - Combined therapy with insulin and growth hormone in 17 patients with type-1
diabetes and growth disorders.
AB - BACKGROUND/AIM: Combined growth hormone (GH) and insulin therapy is rarely
prescribed by pediatric endocrinologists. We investigated the attitude of Italian
physicians to prescribing that therapy in the case of short stature and type-1
diabetes (T1DM). METHODS: A questionnaire was sent and if a patient was
identified, data on growth and diabetes management were collected. RESULTS: Data
from 42 centers (84%) were obtained. Of these, 29 centers reported that the use
of combined therapy was usually avoided. A total of 17 patients were treated in
13 centers (GH was started before T1DM onset in 9 patients and after the onset of
T1DM in 8). Height SDS patterns during GH therapy in the 11 patients affected by
GH deficiency ranged from -0.3 to +3.1 SDS. In the 8 diabetic patients in whom GH
was added subsequently, mean insulin dose increased during the first 6 months of
therapy from 0.7 +/- 0.2 to 1.0 +/- 0.2 U/kg (p = 0.004). HbA1c was unchanged
during the first 6 months of combined therapy. CONCLUSIONS: Most Italian
physicians do not consider prescribing the combined GH-insulin therapy in
diabetic children with growth problems. However, the results of the 17 patients
identified would confirm that the combined therapy was feasible and only caused
mild insulin resistance. GH therapy was effective in promoting growth in most
patients and did not affect diabetes metabolic control.
PMID- 24925521
TI - Turning the page.
PMID- 24925523
TI - Postoperative courses of breast reconstruction using inferior adipofascial tissue
repair.
AB - BACKGROUND: Nevertheless in breast conserving surgery, the dissection of inferior
part of breast mound will cause severe deformity, which affects the patient's
quality of life adversely. We have used an infra-mammary adipofascial tissue to
repair the defect, and breast mound could be reconstructed concurrently in
primary breast cancer surgery. PATIENTS AND SURGICAL PROCEDURE: From September
2009 to June 2012, we performed the infra-mammary adipofascial tissue repair
(IATR) on 25 patients with breast cancer in inferior site of their breast mound.
Surgeon makes the skin incision on the infra-mammary line and performs the
quadrantectomy as planned. After verifying its negative surgical margin
pathologically, we mobilize the adipofascial fat tissue associated with myofascia
of the serratus anterior muscle from the infra-mammary area. This tongue-shaped
flap is reflected back, and fills the defect of breast mound. We performed
routinely examination, mammography, and ultra-sound echogram to the IATR patients
who visited our hospital after 1 year from the end of treatment. We, furthermore,
performed the QOL questionnaire (QOL-ACD and QOL-ACD-B) to them and
retrospectively compared their data to that from the other breast surgery
patients. RESULTS: All patients with IATR were treated with irradiation, and no
image findings of a partial or total necrosis of the flap recognized 1 year after
examination. They produced good cosmetic results; however, they could not elevate
the QOL score on the whole.
PMID- 24925522
TI - Biological variability in serum anti-Mullerian hormone throughout the menstrual
cycle in ovulatory and sporadic anovulatory cycles in eumenorrheic women.
AB - STUDY QUESTION: Does serum anti-Mullerian hormone (AMH) vary significantly
throughout both ovulatory and sporadic anovulatory menstrual cycles in healthy
premenopausal women? SUMMARY ANSWER: Serum AMH levels vary statistically
significantly across the menstrual cycle in both ovulatory and sporadic
anovulatory cycles of healthy eumenorrheic women. WHAT IS KNOWN ALREADY: Studies
to date evaluating serum AMH levels throughout the menstrual cycle have
conflicting results regarding intra-woman cyclicity. No previous studies have
evaluated an association between AMH and sporadic anovulation. STUDY DESIGN,
SIZE, DURATION: We conducted a prospective cohort study of 259 regularly
menstruating women recruited between 2005 and 2007. PARTICIPANTS/MATERIALS,
SETTING, METHODS: Women aged 18-44 years were followed for one (n = 9) or two (n
= 250) menstrual cycles. Anovulatory cycles were defined as any cycle with peak
progesterone concentration <=5 ng/ml and no serum LH peak on the mid or late
luteal visits. Serum AMH was measured at up to eight-time points throughout each
cycle. MAIN RESULTS AND THE ROLE OF CHANCE: Geometric mean AMH levels were
observed to vary across the menstrual cycle (P < 0.01) with the highest levels
observed during the mid-follicular phase at 2.06 ng/ml, decreasing around the
time of ovulation to 1.79 ng/ml and increasing thereafter to 1.93 (mid-follicular
versus ovulation, P < 0.01; ovulation versus late luteal, P = 0.01; mid
follicular versus late luteal, P = 0.05). Patterns were similar across all age
groups and during ovulatory and anovulatory cycles, with higher levels of AMH
observed among women with one or more anovulatory cycles (P = 0.03). LIMITATIONS,
REASONS FOR CAUTION: Ovulatory status was not verified by direct visualization.
AMH was analyzed using the original Generation II enzymatically amplified two
site immunoassay, which has been shown to be susceptible to assay interference.
Thus, absolute levels should be interpreted with caution, however, patterns and
associations remain consistent and any potential bias would be non-differential.
WIDER IMPLICATIONS OF THE FINDINGS: This study demonstrates a significant
variation in serum AMH levels across the menstrual cycle regardless of ovulatory
status. This variability, although statistically significant, is not large enough
to warrant a change in current clinical practice to time AMH measurements to
cycle day/phase. STUDY FUNDING/COMPETING INTERESTS: This research was supported
by the Intramural Research Program of the Eunice Kennedy Shriver National
Institute of Child Health and Human Development (NICHD), National Institutes of
Health, Bethesda, MD (Contracts # HHSN275200403394C, HHSN275201100002I Task 1
HHSN27500001). The authors have no conflicts of interest to declare.
PMID- 24925524
TI - Is clinical breast examination effective in Japan? Consideration from the age
specific performance of breast cancer screening combining mammography with
clinical breast examination.
AB - BACKGROUND: There is controversy about the value of clinical breast examination
(CBE) in breast cancer screening programs that include mammography. METHODS: In
Fukui Prefecture, a screening combining mammography with CBE was employed on
62,447 women from 2004 to 2009. We examined the sensitivity and specificity of
mammography alone, and mammography and CBE together for each age group (40-49, 50
59, 60-69, and 70-79). RESULTS: 167 breast cancers and 49 false-negative cancers
were detected during 5 years. For the combined screening, the sensitivities were
73.1, 74.1, 78.3, and 86.5 %, and the specificities were 83.8, 87.5, 89.8, and
90.9 % in the groups of 40-49, 50-59, 60-69, and 70-79 years, respectively. In
the mammography-specific analysis, sensitivity decreased to 69.8 % (-3.3 %), 66.7
% (-7.7 %), 77.3 % (-1.0 %), and 83.8 % (-2.7 %) in the groups of 40-49, 50-59,
60-69, and 70-79 years, respectively. There were greater reductions in the groups
of 40-49 and 50-59 years than in those of 60-69 and 70-79 years, but there was no
statistically significant decrease. Specificity generally increased with
increasing age and there was a significant improvement in specificity among all
age groups, except that of 70-79 years. CONCLUSIONS: Our findings suggest that
there is a trade-off between sensitivity and specificity associated with CBE
added to mammography. This tendency is greater in those 40-50 years of age than
in those 60-70 years of age. We consider that CBE may be omitted from breast
cancer screening among women aged 60 and 70 years. Furthermore, another modality
to complement mammography screening in younger Japanese women is expected.
PMID- 24925525
TI - Vascular endothelial dysfunction and nutritional compounds in early type 1
diabetes.
AB - Cardiovascular disease is the major cause of death in patients with type 1
diabetes. Vascular endothelial dysfunction is an early pathophysiological
precursor of cardiovascular disease. There is extensive evidence that
hyperglycemia causes acute perturbations in endothelial function likely due to
increases in oxidative damage. Interestingly, oscillating hyperglycemia may cause
more damage than persistent hyperglycemia. Many, but not all, studies indicate
that vascular endothelial dysfunction occurs early in the course of type 1
diabetes and is present even in adolescents. Ascorbic acid has been shown to
diminish the acute effects of hyperglycemia on endothelial function in type 1
diabetes and in conjunction with euglycemia to restore endothelial function to
normal values in adults with well-controlled diabetes. In vitro and in vivo
animal evidence suggests potential benefit from two other small molecule
antioxidants, nicotinamide and taurine. Early studies suggested that folate
supplementation may improve endothelial function in adolescents with type 1
diabetes but this has not been confirmed by more recent studies. Epidemiological
evidence suggests a possible role for vitamin D therapy although intervention
studies in type 2 diabetes have yielded varying results and have not been done in
type 1 diabetes. Further exploration of these and other compounds is clearly
appropriate if we are to reduce cardiovascular risk in type 1 diabetes.
PMID- 24925526
TI - Endothelium-derived hyperpolarizing factor mediates bradykinin-stimulated tissue
plasminogen activator release in humans.
AB - AIMS: Bradykinin (BK) stimulates tissue plasminogen activator (t-PA) release from
human endothelium. Although BK stimulates both nitric oxide and endothelium
derived hyperpolarizing factor (EDHF) release, the role of EDHF in t-PA release
remains unexplored. This study sought to determine the mechanisms of BK
stimulated t-PA release in the forearm vasculature of healthy human subjects.
METHODS: In 33 healthy subjects (age 40.3 +/- 1.9 years), forearm blood flow
(FBF) and t-PA release were measured at rest and after intra-arterial infusions
of BK (400 ng/min) and sodium nitroprusside (3.2 mg/min). Measurements were
repeated after intra-arterial infusion of tetraethylammonium chloride (TEA; 1
umol/min), fluconazole (0.4 umol.min(-1).l(-1)), and N(G)-monomethyl-L-arginine
(L-NMMA, 8 umol/min) to block nitric oxide, and their combination in separate
studies. RESULTS: BK significantly increased net t-PA release across the forearm
(p < 0.0001). Fluconazole attenuated both BK-mediated vasodilation (-23.3 +/-
2.7% FBF, p < 0.0001) and t-PA release (from 50.9 +/- 9.0 to 21.3 +/- 8.9
ng/min/100 ml, p = 0.02). TEA attenuated FBF (-14.7 +/- 3.2%, p = 0.002) and
abolished BK-stimulated t-PA release (from 22.9 +/- 5.7 to -0.8 +/- 3.6
ng/min/100 ml, p = 0.0002). L-NMMA attenuated FBF (p < 0.0001), but did not
inhibit BK-induced t-PA release (nonsignificant). CONCLUSION: BK-stimulated t-PA
release is partly due to cytochrome P450-derived epoxides and is inhibited by
K(+)Ca channel blockade. Thus, BK stimulates both EDHF-dependent vasodilation and
t-PA release.
PMID- 24925527
TI - p62/SQSTM1 plays a protective role in oxidative injury of steatotic liver in a
mouse hepatectomy model.
AB - AIMS: Liver injury and regeneration involve complicated processes and are
affected by various physio-pathological factors. We investigated the mechanisms
of steatosis-associated liver injury and delayed regeneration in a mouse model of
partial hepatectomy. RESULTS: Initial regeneration of the steatotic liver was
significantly delayed after hepatectomy. Although hepatocyte proliferation was
not significantly suppressed, severe liver injury with oxidative stress (OS)
occurred immediately after hepatectomy in the steatotic liver. Fas-ligand
(FasL)/Fas expression was upregulated in the steatotic liver, whereas the
expression of antioxidant and anti-apoptotic molecules (catalase/MnSOD/Ref-1 and
Bcl-2/Bcl-xL/FLIP, respectively) and p62/SQSTM1, a steatosis-associated protein,
was downregulated. Interestingly, pro-survival Akt was not activated in response
to hepatectomy, although it was sufficiently expressed even before hepatectomy.
Suppression of p62/SQSTM1 increased FasL/Fas expression and reduced nuclear
factor erythroid 2-related factor-2 (Nrf-2)-dependent antioxidant response
elements activity and antioxidant responses in steatotic and nonsteatotic
hepatocytes. Exogenously added FasL induced severe cellular OS and
necrosis/apoptosis in steatotic hepatocytes, with only the necrosis being
inhibited by pretreatment with antioxidants, suggesting that FasL/Fas-induced OS
mainly leads to necrosis. Furthermore, p62/SQSTM1 re-expression in the steatotic
liver markedly reduced liver injury and improved liver regeneration. INNOVATION:
This study is the first which demonstrates that reduced expression of p62/SQSTM1
plays a crucial role in posthepatectomy acute injury and delayed regeneration of
steatotic liver, mainly via redox-dependent mechanisms. CONCLUSION: In the
steatotic liver, reduced expression of p62/SQSTM1 induced FasL/Fas overexpression
and suppressed antioxidant genes, mainly through Nrf-2 inactivation, which, along
with the hypo-responsiveness of Akt, caused posthepatectomy necrotic/apoptotic
liver injury and delayed regeneration, both mainly via a redox-dependent
mechanism.
PMID- 24925528
TI - Activation of nuclear factor kappaB pathway and downstream targets survivin and
livin by SHARPIN contributes to the progression and metastasis of prostate
cancer.
AB - BACKGROUND: Nuclear factor kappaB (NFkappaB) signaling is strongly associated
with tumor progression, and studies have shown that SHANK-associated RH domain
interacting protein (SHARPIN) is crucial for NFkappaB pathway activation.
However, the expression and functions of SHARPIN in prostate cancer (PCa) have
not yet been defined. METHODS: The expression of SHARPIN in PCa cell lines and
tissues was evaluated with western blotting, quantitative real-time polymerase
chain reaction, and immunohistochemistry. After SHARPIN was silenced in the PCa
cell lines, western blots were used to confirm that SHARPIN physically associated
with components of the NFkappaB pathway and the downstream targets (survivin and
livin). The functions of SHARPIN in cell proliferation, migration, and invasion
in vitro were measured with 5-(3-carboxymethoxyphenyl)-2-(4,5-dimenthylthiazoly)
3-(4-sulfophenyl)tetrazolium, inner salt (MTS), Transwell, and invasion assays,
respectively. Flow cytometry was employed to evaluate cell apoptosis.
Furthermore, tumorigenesis in vivo was examined with tumorigenicity assays.
RESULTS: SHARPIN expression was upregulated in PCa cell lines and tissues. The
knockdown of SHARPIN or incubation with Bay 11-7082 (an NFkappaB inhibitor) led
to dramatically decreased levels of phosphorylated IkappaBalpha and
phosphorylated p65 in comparison with the control group. Downregulation of
survivin and livin due to SHARPIN inhibition was attributable to transcriptional
repression (P < .05). Decreases in cell viability, migration, invasion, and
survival with a higher sensitivity to docetaxel in vitro and with repressed
tumorigenesis in vivo were observed upon SHARPIN silencing, and this was
consistent with the results from inhibition of the NFkappaB pathway and its
downstream targets. CONCLUSION: The current study demonstrates that
overexpression of SHARPIN promotes activation of the NFkappaB pathway and
downstream targets survivin and livin, which potentially contributes to PCa
development.
PMID- 24925529
TI - Antibiotic resistance and the environment--there and back again: Science &
Society series on Science and Drugs.
PMID- 24925530
TI - Tpz1TPP1 SUMOylation reveals evolutionary conservation of SUMO-dependent Stn1
telomere association.
AB - Elongation of the telomeric overhang by telomerase is counteracted by synthesis
of the complementary strand by the CST complex, CTC1(Cdc13)/Stn1/Ten1.
Interaction of budding yeast Stn1 with overhang-binding Cdc13 is increased by
Cdc13 SUMOylation. Human and fission yeast CST instead interact with overhang
binding TPP1/POT1. We show that the fission yeast TPP1 ortholog, Tpz1, is
SUMOylated. Tpz1 SUMOylation restricts telomere elongation and promotes Stn1/Ten1
telomere association, and a SUMO-Tpz1 fusion protein has increased affinity for
Stn1. Our data suggest that SUMO inhibits telomerase through stimulation of
Stn1/Ten1 action by Tpz1, highlighting the evolutionary conservation of the
regulation of CST function by SUMOylation.
PMID- 24925531
TI - Penetrating foreign body in the nasal floor through nasolacrimal duct.
AB - Clinical otolaryngologists frequently encounter nasal foreign bodies (FBs)
particularly among children. The removal of nasal FBs is a common
otolaryngological practice. However, occasionally trauma results from FBs being
lodged in the nasal cavity especially through the nasolacrimal duct. In this
article we present an unusual case of a FB that from the left medial canthus went
inside the nasolacrimal duct, then through the inferior turbinate and stuck in
the floor of the nose. We describe the transnasal endoscopic approach used and we
recommend that the treatment be done as soon as possible to avoid complications.
PMID- 24925532
TI - Primary tuberculosis of the palate.
AB - Tuberculosis (TB) is a life-threatening infectious disease with a high world
incidence. However, TB with oral expression is considered rare. The importance of
recognising this entity lies in its early diagnosis and treatment, as it can be
easily confused with neoplastic or traumatic ulcers. We present a case of a
primary TB located in the hard palate and gingiva in an 88-year-old woman.
PMID- 24925533
TI - Basal cell adenocarcinoma of the parotid gland detected in a patient with breast
cancer.
AB - Basal cell adenocarcinoma (BCAC) is a rare tumour of the salivary glands and
often associated with a good prognosis. The present case had BCAC of the parotid
gland as the second primary tumour in addition to breast cancer. The patient was
a 66-year-old woman who underwent mastectomy due to breast cancer. She then
underwent adjuvant chemotherapy and adjuvant hormone therapy. After 4 years of
disease-free follow-up, the patient presented with a swelling on the left cheek.
The examination of the biopsy specimen revealed BCAC of the parotid gland. The
patient then underwent left parathyroidectomy plus left neck dissection. Adjuvant
radiotherapy was performed. Despite the therapy, the patient developed four local
recurrences within 1 year, and then developed metastasis to the pleura. A
swelling in the parotid gland in a patient with breast cancer should be carefully
screened for the presence of a second primary tumour.
PMID- 24925534
TI - Gastroduodenal artery aneurysm.
PMID- 24925535
TI - Spontaneous bladder perforation unrelated to trauma or surgery.
AB - Urinary bladder ruptures (UBR) typically result from either blunt or penetrating
trauma, or from iatrogenic surgical injuries. Patients typically present with
symptoms including lower abdominal pain, haematuria, dysuria and anuria. Here, we
report on a rare case of spontaneous bladder perforation. A 60-year-old,
Caucasian woman initially presented with lower abdominal pain and diarrhoea, and
was subsequently found to have an elevated serum creatinine level. A CT cystogram
revealed a leak from the bladder. The patient consented to exploratory laparotomy
and repair of the non-traumatic bladder perforation. At the time of the last
follow-up, given the fact that the patient's urodynamics were unremarkable and
that she was emptying her bladder well (repeat postvoid residual was zero), the
patient was informed that she did not require future urological follow-up unless
difficulties arise.
PMID- 24925536
TI - Delayed presentation of an undiscovered nasopharyngeal foreign body.
AB - Suspected paediatric aerodigestive tract foreign body (FB) ingestion or
aspiration is a commonly encountered emergency. Management may require a general
anaesthetic for retrieval with bronchoscopy, laryngoscopy and oesophagoscopy,
each dependent on the history and investigations of the case in question. We
describe the case of a foreign body, which was missed in the nasopharynx for more
than 3 years and also discuss how pressures on National Health Service (NHS)
referral and follow-up patterns may have altered the time course of the eventual
discovery.
PMID- 24925537
TI - Aromatase inhibition in relapsing low malignant potential serous tumours of the
ovary.
AB - Low malignant potential serous tumours (LMPSTs) of the ovary represent an
indolent disease, with an excellent prognosis in a majority of patients. Patients
with recurrent LMPSTs tend to develop widespread disease with a mortality rate as
high as 70%. These tumours tend to have a very poor response to standard
chemotherapy, and the management of primary and recurrent disease beyond surgical
resection is not well defined. The majority of LMPST have been reported to
express oestrogen and progesterone hormone receptors. However, only three
reported cases of antihormonal treatment in this setting, and only one using
aromatase inhibitors (AI), have been previously reported. We herein report long
term complete remission of two patients with relapsed, chemotherapy-resistant
LMPSTs, treated with long-term AI (anastrozole 1 mg daily) as per negative MRI
and positron emission tomography scans. Our results warrant further investigation
for the use of AIs for metastatic recurrent LMPSTs.
PMID- 24925538
TI - Aphasia due to isolated infarction of the corpus callosum.
AB - A 63-year-old man with an isolated infarction of the corpus callosum developed
expressive aphasia in addition to the deficits traditionally associated with a
disconnection syndrome.
PMID- 24925539
TI - Transrectal impalement of an incense stick in a child presenting as foreign body
in the urinary bladder.
AB - The diversity of objects that can be found in the urinary bladder often surpasses
the urologist's imagination and mostly they are introduced per urethrally.
Impalement injuries of the rectum with bladder perforation have been rarely
reported. A high index of clinical suspicion is required to make the diagnosis of
bladder perforation while assessing patients presenting with rectal impalement.
In this interesting case, a young male child presented with haematuria and
dysuria. He had a history of accidentally sitting on an agarbatti (Indian incense
stick) stand while playing, followed by perianal pain which subsided
spontaneously. Next day he presented with haematuria and dysuria. Clinical
examination was inconclusive. On thorough investigation, a linear echogenic
foreign body was found in the urinary bladder. The child was operated and the
foreign body (incense stick) was removed. This is the first reported case of
rectal impalement injury with incense stick, migrated to the urinary bladder in a
2-year-old child.
PMID- 24925540
TI - Pneumococcal vaccine failure: can it be a primary immunodeficiency?
AB - Vaccine failure is a rare condition and the need to investigate a primary
immunodeficiency is controversial. We present the case of a 4-year-old boy, with
complete antipneumococcal vaccination, who had necrotising pneumonia with pleural
effusion and severe pancytopaenia with need for transfusion. A vaccine-serotype
Streptococcus pneumoniae was isolated in the blood culture. On follow-up,
detailed medical history, laboratory and genetic investigation led to the
diagnosis of X linked dyskeratosis congenita. Dyskeratosis congenita is an
inherited disorder that causes shortening or dysfunction of telomeres, affecting
mainly rapidly dividing cells (particularly in the skin and haematopoietic
system). It leads to bone marrow failure, combined immunodeficiency and
predisposition to cancer. The confirmation of this diagnosis allows genetic
counselling and medical monitoring of these patients, in order to detect early
complications such as bone marrow aplasia or malignancies.
PMID- 24925541
TI - Warfarin-induced purple toe syndrome successfully treated with apixaban.
AB - Purple toe syndrome is a recognised adverse effect of warfarin therapy. The
literature has described resolution of the ischaemic symptoms on withdrawal of
the warfarin and switching to a low molecular weight heparin alternative. We
present a case of an 82-year-old man with bilateral blanching vivacious toes and
a livedo-reticularis type rash developing 2 weeks after being loaded with
warfarin for first detected atrial fibrillation. Vascular surgical review and
haematology thrombotic screen did not yield any other pathology and a diagnosis
of purple toe syndrome due to warfarin was carried out. The warfarin was stopped
and oral anticoagulation started with an oral factor Xa inhibitor, apixaban with
resolution of his symptoms. This is the first case report of one of the novel
oral anticoagulants being used to treat purple toe syndrome.
PMID- 24925542
TI - Acute oromucosal and palmar desquamation: a severe cutaneous adverse reaction to
amphotericin and metronidazole.
PMID- 24925543
TI - Specialized inpatient psychiatry for serious behavioral disturbance in autism and
intellectual disability.
AB - Psychiatric hospitalization of children with autism spectrum disorder and/or
intellectual disability is common, however, the effectiveness of this
intervention is largely unknown. Thirty-eight clinically-referred children 8-19
years old admitted to a specialized inpatient psychiatry unit were assessed by a
consistent caregiver on the Aberrant Behavior Checklist-Irritability (ABC-I)
subscale at admission, discharge and 2 months post discharge. There was a
decrease in the mean ABC-I score from admission (27.3, SD 7.4) to discharge
(11.9, SD 8.8), which was sustained at 2 months post discharge (14.8, SD 9.3) (p
< 0.001). Seventy-eight percent of the subjects were rated as "Improved" on the
clinician Clinical Global Impressions Improvement scale at discharge. The study
is limited by lack of a control group, but offers preliminary evidence for
specialized inpatient psychiatry as an intervention for serious behavioral
disturbance in this population.
PMID- 24925544
TI - Driving behaviors in adults with autism spectrum disorders.
AB - This pilot study investigated driving history and driving behaviors between
adults diagnosed with autism spectrum disorders (ASD) as compared to non-ASD
adult drivers. Seventy-eight licensed drivers with ASD and 94 non-ASD comparison
participants completed the Driver Behavior Questionnaire. Drivers with ASD
endorsed significantly lower ratings of their ability to drive, and higher
numbers of traffic accidents and citations relative to non-ASD drivers. Drivers
with ASD also endorsed significantly greater numbers of difficulties on the
following subscales: intentional violations, F(1, 162) = 6.15, p = .01, eta p (2)
= .04; mistakes, F(1, 162) = 10.15, p = .002, eta p (2) = .06; and
slips/lapses, F(1, 162) = 11.33, p = .001, eta p (2) = .07. These findings
suggest that individuals with ASD who are current drivers may experience more
difficulties in driving behaviors and engage in more problematic driving
behaviors relative to non-ASD drivers.
PMID- 24925545
TI - The effects of similarity, parasocial identification, and source credibility in
obesity public service announcements on diet and exercise self-efficacy.
AB - This study examined the effect of the audience's similarity to, and parasocial
identification with, spokespersons in obesity public service announcements, on
perceived source credibility, and diet and exercise self-efficacy. The results (N
= 200) indicated that perceived similarity to the spokesperson was significantly
associated with three dimensions of source credibility (competence,
trustworthiness, and goodwill), each of which in turn influenced parasocial
identification with the spokesperson. Parasocial identification also exerted a
positive impact on the audiences' diet and exercise self-efficacy. Additionally,
significant differences were found between overweight viewers and non-overweight
viewers on perceived similarity, parasocial identification with the spokesperson,
and source credibility.
PMID- 24925546
TI - The impact of social support on postpartum depression: The mediator role of self
efficacy.
AB - This study aimed to investigate the impact of perceived social support on the
depression of postpartum women, and mainly focuses on confirming the mediator
role of self-efficacy. A total of 427 new mothers from two general hospitals in
Beijing accomplished the Multidimensional Scale of Perceived Social Support,
General Self-efficacy Scale, and Edinburgh Postnatal Depression Scale. The
results revealed that both social support and self-efficacy significantly
correlate with postpartum depression. Structural equation modeling indicated that
self-efficacy partially mediates the relationship between social support and
postpartum depression.
PMID- 24925547
TI - Social support mediates loneliness and depression in elderly people.
AB - This study investigated the effect of loneliness on depression and further tested
the mediating effect of social support. A total of 320 elderly persons completed
the Emotional and Social Loneliness Scale, Multidimensional Scale of Perceived
Social Support, and Self-Rating Depression Scale. Results revealed that
loneliness and social support significantly correlated with depression.
Structural Equation Modeling indicated that social support partially mediates
loneliness and depression. The final model illustrated a significant path from
loneliness to depression through social support. This study sheds light on the
concurrent effects of loneliness and social support on depression, providing
evidence on how to reduce depression among the elderly.
PMID- 24925548
TI - Layers of air in the water beneath the floating fern Salvinia are exposed to
fluctuations in pressure.
AB - Superhydrophobic, hierarchically structured, technical surfaces (Lotus-effect)
are of high scientific and economic interest because of their remarkable
properties. Recently, the immense potential of air-retaining superhydrophobic
surfaces, for example, for low-friction transport of fluids and drag-reducing
coatings of ships has begun to be explored. A major problem of superhydrophobic
surfaces mimicking the Lotus-effect is the limited persistence of the air
retained, especially under rough conditions of flow. However, there are a variety
of floating or diving plant and animal species that possess air-retaining
surfaces optimized for durable water-repellency (Salvinia-effect). Especially
floating ferns of the genus Salvinia have evolved superhydrophobic surfaces
capable of maintaining layers of air for months. Apart from maintaining stability
under water, the layer of air has to withstand the stresses of water pressure (up
to 2.5 bars). Both of these aspects have an application to create permanent air
layers on ships' hulls. We investigated the effect of pressure on air layers in a
pressure cell and exposed the air layer to pressures of up to 6 bars. We
investigated the suppression of the air layer at increasing pressures as well as
its restoration during decreases in pressure. Three of the four examined Salvinia
species are capable of maintaining air layers at pressures relevant to the
conditions applying to ships' hulls. High volumes of air per surface area are
advantageous for retaining at least a partial Cassie-Baxter-state under pressure,
which also helps in restoring the air layer after depressurization. Closed-loop
structures such as the baskets at the top of the "egg-beater hairs" (see main
text) also help return the air layer to its original level at the tip of the
hairs by trapping air bubbles.
PMID- 24925549
TI - An unusual presentation of peripheral ossifying fibroma in an elderly man.
AB - Peripheral ossifying fibroma is a common solitary gingival overgrowth thought to
arise from the gingival corium, periosteum or periodontal ligament. Ossifying
fibroma has the propensity to occur in cranial bones, but the peripheral variety
shows a close relationship with the periodontal ligament and adjacent alveolar
bone. It is more commonly seen in women (predilection of 3:1) and usually at a
young age. This report describes an unusual presentation in an elderly man and
presents a systematic way of diagnosing and treating peripheral ossifying
fibroma.
PMID- 24925550
TI - Prehospital use of ketamine for analgesia and procedural sedation by critical
care paramedics in the UK: a note of caution?
PMID- 24925551
TI - Development of a novel low-temperature deposition machine using screw extrusion
to fabricate poly(l-lactide-co-glycolide) acid scaffolds.
AB - Scaffolds are of great importance to the success of tissue engineering. Poly(l
lactide-co-glycolide) acid is one of the most commonly used biopolymers. This
study develops a novel low-temperature deposition machine using screw extrusion
to fabricate poly(l-lactide-co-glycolide) acid scaffolds. The screw extrusion
process of poly(l-lactide-co-glycolide) acid is analysed, and the relationship
between flow rate and processing parameters is examined. This relationship
provides guidelines for optimizing the processing parameters. The major
components and design strategy of the fabrication system are introduced. Measures
are proposed to control the leakage of materials, and optimal processing
parameters are determined. The machine is also equipped with a double-screw
extrusion nozzle system; preliminary results demonstrate its capacity to
fabricate gradient scaffolds. Porous structure characterization using mercury
porosimetry demonstrates that the fabrication system is able to fabricate poly(l
lactide-co-glycolide) acid scaffolds that are both macroporous and microporous.
PMID- 24925552
TI - Siberia.
PMID- 24925553
TI - Effect of repeated application of low-intensity pulsed electromagnetic fields
(PEMF) on gait speed in older adults with a history of falls.
PMID- 24925554
TI - Association between hearing impairment and frailty in older adults.
PMID- 24925555
TI - Association between hearing loss and healthcare expenditures in older adults.
PMID- 24925556
TI - Determinants of perceived emotional recovery and perceived change in health after
a disaster.
PMID- 24925557
TI - Preferences of older adults with cancer for involvement in decision-making about
research participation.
PMID- 24925558
TI - Detection of hypovitaminosis D in older adults: a classification tree analysis.
PMID- 24925559
TI - Noninvasive ventilation in older adults admitted to a pneumogeriatric unit.
PMID- 24925560
TI - Outbreak of Streptococcus pneumoniae serotype 3 pneumonia in extremely elderly
people in a nursing home unit in Kanagawa, Japan, 2013.
PMID- 24925561
TI - Severe euvolemic hyponatremia with urinary retention: a case report.
PMID- 24925562
TI - Cannabis for intractable nausea after bilateral cerebellar stroke.
PMID- 24925563
TI - A disease affecting all ages: Crohn's disease.
PMID- 24925564
TI - Scabies manifesting as bullous pemphigus in a nursing home resident.
PMID- 24925565
TI - A rare case of vagal syncope.
PMID- 24925566
TI - Hodgkin's lymphoma in a 96-year-old woman: a diagnostic, therapeutic, and
prognostic dilemma.
PMID- 24925567
TI - Risk of proton pump inhibitor-induced mild hyponatremia in older adults.
PMID- 24925568
TI - Analysis of gait speed and vitamin D deficiency.
PMID- 24925569
TI - Response to Bahat.
PMID- 24925570
TI - Response to Safer et al.
PMID- 24925571
TI - Comment on "Sarcopenic obesity and risk of cardiovascular disease and mortality:
a population-based cohort study of older men".
PMID- 24925572
TI - Nutritional status and pressure ulcers. Risk assessment and estimation in older
adults.
PMID- 24925573
TI - Response to Malafarina and colleagues.
PMID- 24925574
TI - Subtilase from Beauveria sp.: conformational and functional investigation of
unusual stability.
AB - Retention of total activity of the subtilisin-like serine protease from Beauveria
sp. MTCC 5184 (Bprot) in the vicinity of (1) 3 M GdnHCl for 12 h, (2) 50%
methanol and dimethyl sulfoxide each for 24 h, and (3) proteolytic enzymes
(trypsin, chymotrypsin, and proteinase K) for 48 h led to expect the enzyme to be
a kinetically stable protein. Also, the structure of the protein was stable at pH
2.0. Biophysical characterization and conformational transitions were monitored
using steady-state and time-resolved fluorescence, FTIR, and CD spectroscopy.
Single tryptophan in the protein exists as two conformers, in hydrophobic and
polar environment. The secondary structure of Bprot was stable in 3 M GdnHCl as
seen in far-UV CD spectra. The active fraction of Bprot obtained from size
exclusion chromatography in the presence of GdnHCl (1.0-3.0 M) eluted at reduced
retention time. The peak area of inactive or denatured protein with the same
retention time as that of native protein increased with increasing concentration
of denaturant (1.0-4.0 M GdnHCl). However, the kinetics of GdnHCl-induced
unfolding as studied from intrinsic fluorescence revealed k unf of native protein
to be 5.407 * 10(-5) s(-1) and a half-life of 3.56 h. The enzyme is
thermodynamically stable in spite of being resistant to the denaturant, which
could be due to the effect of GdnHCl imparting rigidity to the active fraction
and simultaneously unfolding the partially unfolded protein that exists in
equilibrium with the folded active protein. Thermal and pH denaturation of Bprot
exhibited interesting structural transitions.
PMID- 24925576
TI - Quality and the curate's egg.
PMID- 24925575
TI - A conserved cationic motif enhances membrane binding and insertion of the
chloride intracellular channel protein 1 transmembrane domain.
AB - The chloride intracellular channel protein 1 (CLIC1) is unique among eukaryotic
ion channels in that it can exist as either a soluble monomer or an integral
membrane channel. CLIC1 contains no known membrane-targeting signal sequences and
the environmental factors which promote membrane binding of the transmembrane
domain (TMD) are poorly understood. Here we report a positively charged motif at
the C-terminus of the TMD and show that it enhances membrane partitioning and
insertion. A 30-mer TMD peptide was synthesized in which the positively charged
motif was replaced by three glutamate residues. The peptide was examined in 2,2,2
trifluoroethanol (TFE), sodium dodecyl sulfate micelles and 1-palmitoyl-2-oleoyl
sn-glycero-3-phosphocholine liposomes using size-exclusion chromatography, far-UV
CD, and fluorescence spectroscopy. The motif appears to enhance membrane
interaction via electrostatic contacts and functions as an electrostatic plug to
anchor the TMD in membranes. In addition, the motif is also involved in
orientating the TMD with respect to the cis and trans faces of the membrane.
These findings shed light on the intrinsic and environmental factors that promote
the spontaneous conversion of CLIC1 from a water-soluble to a membrane-bound
protein.
PMID- 24925578
TI - Palliative care development in Latin America: an analysis using macro indicators.
AB - BACKGROUND: Recently, the Latin American Association for Palliative Care
developed 10 indicators to monitor the development of palliative care and enhance
the development of regional and national strategies. AIM: To compare the status
of palliative care development across Latin American nations using the Latin
American Association for Palliative Care indicators and to classify the countries
into three levels of palliative care development. METHODS: A secondary analysis
using the following indicators (number of indicators in each category): Policy
(1), Education (3), Service Provision (3), and Opioids (3). A Latin American
Association for Palliative Care Index was constructed adding the standard score
(z-score) of each indicator. SETTING/PARTICIPANTS: Nineteen Spanish and
Portuguese-speaking countries of Latin America. RESULTS: Indicators significantly
associated with the number of palliative care services per million inhabitants
included: the proportion of medical schools with palliative care at the
undergraduate level (p = 0.003), the number of accredited physicians working in
palliative care (p = 0.001), and opioids consumed per capita (p = 0.032).
According to the Latin American Association for Palliative Care Index, Costa Rica
registered the highest score (8.1). Three ranking groups were built to measure
palliative care development; Costa Rica, Chile, Mexico, and Argentina ranked in
the high group, while Bolivia, Honduras, Dominican Republic, and Guatemala ranked
in the lowest group. CONCLUSION: Most of the Latin American Association for
Palliative Care indicators are useful for assessing national levels of palliative
care development. These indicators may be applicable to other world regions.
Additional studies are needed to evaluate the specificity of each indicator.
PMID- 24925579
TI - Instabilities in the ferro- and antiferroelectric lead perovskites driven by
transition metal ion mass: from PbTiO3 via PbZrO3 to PbHfO3.
AB - The lattice dynamics of Pb-containing perovskite oxides are investigated
theoretically for the transition metal series Ti, Zr, Hf, in order to elucidate
their commonalities and their distinctions. For all three compounds, pronounced
precursor effects are found to their phase transition temperatures, which get
more pronounced the heavier the central transition metal ion is. In addition, a
competition between a polar and an antiferrodistortive instability is predicted
to take place, which is strongly mass dependent. While in PbTiO3 the polar
instability wins, both instabilities are active in PbZrO3, whereas in PbHfO3 the
antiferrodistortive phase transition dominates the dynamics. For all three
compounds, marked anomalies in the elastic constants are predicted, which are
most pronounced in PbHfO3. Experimental results for elastic anomalies preceding
the phase transition, which agree qualitatively with the model calculations are
presented for PbHfO3.
PMID- 24925580
TI - Analysis of joint force and torque for the human and non-human ape foot during
bipedal walking with implications for the evolution of the foot.
AB - The feet of apes have a different morphology from those of humans. Until now, it
has merely been assumed that the morphology seen in humans must be adaptive for
habitual bipedal walking, as the habitual use of bipedal walking is generally
regarded as one of the most clear-cut differences between humans and apes. This
study asks simply whether human skeletal proportions do actually enhance foot
performance during human-like bipedalism, by examining the influence of foot
proportions on force, torque and work in the foot joints during simulated bipedal
walking. Skeletons of the common chimpanzee, orangutan, gorilla and human were
represented by multi-rigid-body models, where the components of the foot make
external contact via finite element surfaces. The models were driven by identical
joint motion functions collected from experiments on human walking. Simulated
contact forces between the ground and the foot were found to be reasonably
comparable with measurements made during human walking using pressure- and force
platforms. Joint force, torque and work in the foot were then predicted. Within
the limitations of our model, the results show that during simulated human-like
bipedal walking, (1) the human and non-human ape (NHA) feet carry similar joint
forces, although the distributions of the forces differ; (2) the NHA foot incurs
larger joint torques than does the human foot, although the human foot has higher
values in the first tarso-metatarsal and metatarso-phalangeal joints, whereas the
NHA foot incurs higher values in the lateral digits; and (3) total work in the
metatarso-phalangeal joints is lower in the human foot than in the NHA foot. The
results indicate that human foot proportions are indeed well suited to
performance in normal human walking.
PMID- 24925581
TI - DJ-1 contributes to adipogenesis and obesity-induced inflammation.
AB - Adipose tissue functions as an endocrine organ, and the development of systemic
inflammation in adipose tissue is closely associated with metabolic diseases,
such as obesity and insulin resistance. Accordingly, the fine regulation of the
inflammatory response caused by obesity has therapeutic potential for the
treatment of metabolic syndrome. In this study, we analyzed the role of DJ-1
(PARK7) in adipogenesis and inflammation related to obesity in vitro and in vivo.
Many intracellular functions of DJ-1, including oxidative stress regulation, are
known. However, the possibility of DJ-1 involvement in metabolic disease is
largely unknown. Our results suggest that DJ-1 deficiency results in reduced
adipogenesis and the down-regulation of pro-inflammatory cytokines in vitro.
Furthermore, DJ-1-deficient mice show a low-level inflammatory response in the
high-fat diet-induced obesity model. These results indicate previously unknown
functions of DJ-1 in metabolism and therefore suggest that precise regulation of
DJ-1 in adipose tissue might have a therapeutic advantage for metabolic disease
treatment.
PMID- 24925582
TI - Henoch-Schonlein purpura in 535 Chinese children: clinical features and risk
factors for renal involvement.
AB - OBJECTIVES: To analyse the clinical features of Henoch-Schonlein purpura (HSP)
with or without nephritis in Chinese children and to determine the risk factors
for renal involvement. METHODS: Patient characteristics, clinical parameters and
laboratory data were retrospectively analysed in patients with HSP with or
without nephritis. Logistic regression analysis was used to identify the risk
factors for renal involvement. RESULTS: A total of 535 patients with HSP were
included in the study. HSP nephritis occurred in 267 patients (49.9%), ranging
from isolated haematuria in 5.2%, mild proteinuria in 77.5%, moderate proteinuria
in 6.4% and severe proteinuria in 10.9% of cases. In 90% of the cases, nephritis
developed within 1 week of HSP onset; 98.5% of the cases with nephritis developed
the condition within 1 month. Risk factors for the development of nephritis were
age >=6 years, purpura on sites other than the lower limbs and the presence of
occult blood in the stool. CONCLUSION: These results suggest that patients aged
>=6 years, or who have purpura on the upper limbs or face, or who have occult
blood in the stool should be particularly monitored for signs of nephritis.
PMID- 24925583
TI - Relationship between toll-like receptor 4 levels in aorta and severity of
atherosclerosis.
AB - OBJECTIVE: To investigate the relationship between levels of toll-like receptor 4
(TLR4) protein in aortic tissue and the severity of atherosclerosis in patients
undergoing coronary artery bypass graft (CABG) surgery. METHODS: Samples of
ascending aorta and renal artery were collected from patients undergoing CABG
surgery or kidney donation, respectively. TLR4 levels were determined by
immunohistochemistry. Coronary angiography was performed to determine
atherosclerosis severity via Gensini score. RESULTS: TLR4 was present at high
levels in aortic tissues from patients (n = 46), and was absent from renal artery
tissue (controls; n = 11). There was a significant positive correlation between
Gensini score and TLR4 level in the patient group. CONCLUSIONS: TLR4 may play an
important role in atherosclerosis and could be a potential therapeutic target for
treatment of coronary artery disease. Discarded aortic tissue obtained during
CABG surgery provides a new approach to the study of the pathogenesis of
atherosclerosis.
PMID- 24925584
TI - Clinical effectiveness of Novolin(r) 30R versus Lantus(r) combined with
Glucobay(r) treatment in elderly patients with type 2 diabetes mellitus
controlled by oral hypoglycaemic agents: A randomized study.
AB - OBJECTIVE: To compare the treatment effects of Novolin(r) 30R(a), versus
Lantus((r)a) combined with acarbose (Glucobay(r)), in elderly patients with type
2 diabetes mellitus. METHODS: Patients (aged > 60 years) with type 2 diabetes
mellitus were randomized to receive either Novolin(r) 30R(a) (initial dose 0.5
IU/kg) or Lantus((r)a) (initial dose 0.2 IU/kg) combined with 50 mg acarbose.
After a 32-week treatment period, the following parameters were measured: blood
glucose control; blood lipid levels; body mass index; proportion of patients
achieving a glycosylated haemoglobin (HbA1c) level <7.5%; rate of hypoglycaemic
events; change in fasting blood glucose levels from baseline in patients
stratified according to their baseline HbA1c level. RESULTS: A total of 188
patients were enrolled in the study. After 32 weeks' treatment, compared with
baseline levels, there were significant reductions in FBG, 2 h-postprandial blood
glucose during an oral glucose tolerance test, HbA1c, total cholesterol,
triglycerides and low-density lipoprotein cholesterol values in both groups.
Although there were fewer hypoglycaemic events in the Lantus(r) combined with
Glucobay(r) group compared with the Novolin(r) 30R group, the difference was not
significant. CONCLUSION: Novolin(r) 30R and Lantus(r) combined with acarbose both
had beneficial effects on blood glucose control and blood lipid levels in elderly
patients with type 2 diabetes mellitus.
PMID- 24925585
TI - Reliability of the senior fitness test in community-dwelling older people with
cognitive impairment.
AB - BACKGROUND AND PURPOSE: In older people with cognitive impairment, we require
reliable and valid measures to assess physical fitness and to measure change, for
example, as a result of an exercise intervention. The purpose of our study was to
determine the relative and absolute test-retest reliability of the Senior Fitness
Test (SFT) in older people with cognitive impairment. METHODS: A test-retest
reliability study was conducted for the Senior Fitness Test in older people with
cognitive impairment. Participants were tested at two time points with a time
interval of 24 hours to 1 week between tests. The Intraclass Correlation
Coefficient model 3.1 (ICC, 3.1) with 95% confidence intervals (CIs) was used as
a measure of relative reliability. The standard error of measurement and minimal
detectable change (MDC) were used to measure absolute reliability. RESULTS: The
ICC reflected very high reliability (0.93-0.98) in all SFT items, indicating that
there was no systematic error in the measurements. MDC values at the 90% CIs were
calculated: chair stand test = 2.0 repetitions, armcurl test = 2.3 repetitions,
chair sit and reach test = 6.0 cm, back scratch test = 4.6 cm, 2.45-m up-and-go
test = 1.4 seconds and 6-minute walk test = 37.1 metres. DISCUSSION: The SFT
battery showed high to very high test-retest reliability and thus may be suitable
for detecting changes in physical fitness and evaluating physical fitness in
older people with cognitive impairment, both in research and for clinical
purposes.
PMID- 24925586
TI - Treatment of severe and/or refractory ANCA-associated vasculitis.
AB - Most patients presenting with systemic necrotizing vasculitides improve when they
are adequately treated. The presence of life-threatening manifestations or
visceral involvement modifying organ function characterizes severe vasculitis,
confirmed by disease-severity scores. Sequelae cannot always be predicted and
prevented but organ involvement present at disease onset requires rapid
therapeutic intervention. Some patients present a persistent active disease,
which does not respond to treatments and deserve other drugs or combination of
drugs. The therapeutic options for severe and/or relapsing and refractory
diseases are described.
PMID- 24925587
TI - Vaccinations for rheumatoid arthritis.
AB - Patients with rheumatoid arthritis (RA) suffer an increased burden of infectious
disease-related morbidity and mortality and have twice the risk of acquiring a
severe infection compared to the general population. This increased risk is not
only a result of the autoimmune disease but is also attributed to the
immunosuppressive therapies that are commonly used in this patient population.
Given the increase in infection-related risks in RA, there is great interest in
mitigating such risk. A number of vaccines are available to the rheumatologist,
with a handful that are of importance for RA patients in the United States. The
goal of this paper is to highlight the most recent literature on the key vaccines
and the specific considerations for the rheumatologist and their RA patients,
with a particular focus on influenza, pneumococcal, and herpes zoster vaccines.
It is important for rheumatologist to understand and be aware of which vaccines
are live and what potential contraindications exist for giving vaccines to RA
patients.
PMID- 24925588
TI - Vaccination in paediatric rheumatology.
AB - As awareness of the risk of vaccine-preventable diseases for children with
rheumatic diseases has increased, vaccination has become an important clinical
consideration and focus of research in paediatric rheumatology. Conflicting
reports in the literature and differing advice from national bodies regarding the
safety of different vaccines for this patient population have led to confusion in
the minds of many rheumatologists as to what is appropriate. This article will
provide an overview of crucial aspects of the recently published European League
Against Rheumatism recommendations regarding vaccination of paediatric patients
with rheumatic disease, and will review advances in this field since their
publication.
PMID- 24925590
TI - Speech and language therapists' approaches to communication intervention with
children and adults with profound and multiple learning disability.
AB - BACKGROUND: People with profound intellectual and multiple disabilities (PMLD)
have communication impairments as one defining characteristic. AIMS: To explore
speech and language therapists' (SLTs) decision making in communication
interventions for people with PMLD, in terms of the intervention approaches used,
the factors informing the decisions to use specific interventions and the extent
to which the rationales underpinning these decisions related to the components of
evidence based practice (EBP), namely empirical evidence, clinical experience and
client/carer views and values. METHODS & PROCEDURES: A questionnaire on
communication assessment and intervention for people with PMLD was sent to SLTs
in the UK to elicit information on: the communication intervention approaches
they used; their rationales for their intervention choices; their use of
published evidence to inform decision making. OUTCOMES & RESULTS: Intensive
interaction and objects of reference were the communication interventions most
often used with people with PMLD, with some differences between children and
adults evident. Rationales provided conformed somewhat to the EBP framework
though extension of the existing framework and addition of practical and
organizational considerations led to a revised typology of rationale for decision
making. Rationales most frequently related to the empowerment, development and
behavioural preferences of the person with PMLD. CONCLUSIONS & IMPLICATIONS:
Empirical research evidence was seldom mentioned by SLTs as informing
intervention decision making leading to very diverse practice. There is a need
for further research on the effectiveness of commonly used but under-evaluated
interventions. There is also a need to alert SLTs to the evidence base supporting
other approaches, particularly switch-based, cause and effect approaches.
PMID- 24925589
TI - Pulmonary, renal and neurological comorbidities in patients with ankylosing
spondylitis; implications for clinical practice.
AB - Ankylosing spondylitis (AS) is associated with several comorbidities which
contribute significantly to morbidity and mortality and add to the complexity of
management. In addition to the well known extra-articular manifestations and
increased cardiovascular risk, several pulmonary, renal, and neurological
complications which have been associated with AS deserve equal attention. Whereas
a clear link has been established for some manifestations, the evidence for other
associations is less clear. Interstitial lung disease, apical fibrosis, secondary
infection, and ventilatory restriction from reduced chest wall movement are well
known pulmonary complications; more recently an association with sleep apnoea has
been suggested. Renal amyloidosis and IgA nephropathy remain a treatment
challenge which may respond to anti-TNF therapy. Atlanto axial subluxation and
vertebral fractures can result in serious neurological complications and are
notoriously difficult to diagnose unless a high level of suspicion is maintained.
Despite several reports linking AS with demyelination a true link remains to be
proved. This review discusses the prevalence, pathophysiology, and management of
pulmonary, renal, and neurological complications, and implications for clinical
practice.
PMID- 24925591
TI - Seasonal characteristics of oxalic acid and related SOA in the free troposphere
of Mt. Hua, central China: implications for sources and formation mechanisms.
AB - PM10 aerosols from the summit of Mt. Hua (2060 m a.s.l) in central China during
the winter and summer of 2009 were analyzed for dicarboxylic acids,
ketocarboxylic acids and alpha-dicarbonyls. Molecular composition of dicarboxylic
acids (C2-C11) in the free tropospheric aerosols reveals that oxalic acid (C2,
399 +/- 261 ng m(-3) in winter and 522 +/- 261 ng m(-3) in summer) is the most
abundant species in both seasons, followed by malonic (C3) and succinic (C4)
acids, being consistent with that on ground levels. Most of the diacids are more
abundant in summer than in winter, but adipic (C6) and phthalic (Ph) acids are
twice lower in summer, suggesting more significant impact of anthropogenic
pollution on the wintertime alpine atmosphere. Moreover, glyoxal (Gly) and
methylglyoxal (mGly) are also lower in summer (12 +/- 6.1 ng m(-3)) than in
winter (22 +/- 13 ng m(-3)). As both dicarbonyls are a major precursor of C2,
their seasonal variation patterns, which are opposite to those of the diacids,
indicate that the mountain troposphere is more oxidative in summer. C2 showed
strong linear correlations with levoglucosan in winter and oxidation products of
isoprene and monoterpene in summer. PCA analysis further suggested that the
wintertime C2 and related SOA in the Mt. Hua troposphere mostly originate from
photochemical oxidations of anthropogenic pollutants emitted from biofuel and
coal combustion in lowland regions. On contrast, the summertime C2 and related
SOA mostly originate from further oxidation of the mountainous isoprene and
monoterpene oxidation products. The AIM model calculation results showed that
oxalic acid concentration well correlated with particle acidity (R(2)=0.60) but
not correlated with particle liquid water content, indicating that particle
acidity favors the organic acid formation because aqueous-phase C2 production is
the primary mechanism of C2 formation in ambient aerosols and is driven by acid
catalyzed oxidation.
PMID- 24925592
TI - Influence of gestational diabetes mellitus on neonatal weight outcome in twin
pregnancies.
AB - AIMS: To evaluate the influence of gestational diabetes mellitus on neonatal
birthweight, macrosomia and weight discrepancy in twin neonates. METHODS: An
observational retrospective study was performed. One hundred and six women with
gestational diabetes and twin pregnancy and 166 twin controls who delivered
viable fetuses > 24 weeks were included. Impact of maternal pre-pregnancy BMI,
smoking habit, method of conception, chorionicity, gestational age at delivery,
mode of delivery and hypertensive complications were also analysed. The effect of
maternal hyperglycaemia and metabolic control in gestational diabetes pregnancies
was assessed. RESULTS: Gestational hypertension and pre-eclampsia were
significantly higher in the group with gestational diabetes (21.5% vs. 6.3%, P =
0.007 and 6.2% vs. 0%, P = 0.025). There were no differences in the incidence of
macrosomia (5.7% vs. 7.2%, P = 0.803), large for gestational age (10.3% vs.
13.2%, P = 0.570), small for gestational age (10.3% vs. 12.0%, P = 0.701),
severely small for gestational age (6.6% vs. 7.8%, P = 0.814) or weight
discrepancy (20.6% vs. 15.2%, P = 0.320) in the group with gestational diabetes
compared with twin pregnancies without diabetes. There were no differences when
comparing insulin-requiring gestational diabetes pregnancies and twins without
diabetes for any of the neonatal weight outcomes. There was no relationship
between third trimester HbA1c and neonatal birthweight or infant birthweight
ratio. CONCLUSION: Gestational diabetes did not increase the risk of macrosomia
or weight discrepancy of twin newborns. Furthermore, glycaemic control did not
influence the rate of any of the weight outcomes in our study population. In twin
pregnancies, gestational diabetes was associated with a higher risk of
gestational hypertension and pre-eclampsia.
PMID- 24925594
TI - Protein intake, chronic liver diseases, and hepatocellular carcinoma.
PMID- 24925593
TI - MRI-guided high-intensity focused ultrasound ablation of bone: evaluation of
acute findings with MR and CT imaging in a swine model.
AB - PURPOSE: To evaluate hyperacute (<1 hour) changes on magnetic resonance (MR) and
computed tomography (CT) imaging following MR-guided high-intensity focused
ultrasound (MRgHIFU) in a swine bone model as a function of sonication number and
energy. MATERIALS AND METHODS: Experimental procedures received approval from the
local Institutional Animal Care and Use Committee. MRgHIFU was used to create
distal and proximal ablations in the right femur of eight pigs. Each target was
dosed with four or six sonications within similar volumes. The energy dosed to
the distal target was higher (419 +/- 19 J) than the proximal target (324 +/- 17
J). The targeted femur and contralateral control were imaged before and after
ablation using MR at 3T. Qualitative changes in signal on T1-weighted, T2
weighted, and T1-weighted postcontrast images were assessed. Ablation dimensions
were calculated from postcontrast MRI. The 64-slice CT images were also obtained
before and after ablation and qualitative changes were assessed. RESULTS: MRgHIFU
bone ablation size measured on average 8.5 * 21.1 * 16.2 mm (transverse *
craniocaudal * anteroposterior). Interestingly, within similar prescribed
volumes, increasing the number of sonications from 4 to 6 increased the depth of
the intramedullary hypoenhanced zone from 2.9 mm to 6.5 mm (P < 0.001). There was
no difference in the appearance of low versus high energy ablations. CT imaging
did not show structural abnormalities. CONCLUSION: The number of MRgHIFU focal
sonications can be used to increase the depth of treatment within the targeted
bone. Unlike CT, T2-weighted and contrast-enhanced MR demonstrated the hyperacute
structural changes in the femur and surrounding soft tissue.
PMID- 24925595
TI - Postoperative serum methylation levels of TAC1 and SEPT9 are independent
predictors of recurrence and survival of patients with colorectal cancer.
AB - BACKGROUND: Serum carcinoembryonic antigen (CEA) is the only marker recommended
for surveillance of colorectal cancer (CRC) recurrence; its sensitivity and
specificity, however, are suboptimal. This study sought to evaluate the values of
postoperative serum methylation levels of 7 genes for prognostication and
especially for recurrence detection after curative resection. METHODS: This
prospective cohort study included 150 patients with stage I-III CRC from whom 3
consecutive blood sampling was taken 1 week before, and 6 months and 1 year after
operation. Methylation levels of 7 genes were evaluated via quantitative
methylation-specific polymerase chain reaction. Serum CEA was measured in
parallel. Univariate and multivariate survival analyses were followed by
construction of receiver operating characteristic curves for recurrence
detection. RESULTS: After a median follow-up of 59 months, 43 patients (28.7%)
developed recurrent lesions. High serum methylation levels of TAC1 in serum at 6
month follow-up (6M-FU), and SEPT9 at 1-year follow-up (1Y-FU) were independent
predictors for tumor recurrence and unfavorable cancer-specific survival (CSS) (P
< .05 in all tests). Serum NELL1 methylation levels were significant alone for
CSS at both 6M-FU and 1Y-FU, but not for disease-free survival. Dynamic changes
of TAC1 and SEPT9 with methylation increment were also independently predictive
for recurrence (P < .05 in all tests). More importantly, TAC1 at 6M-FU and SEPT9
at 1Y-FU exhibited earlier detection of potential recurrences compared with
concurrent serum CEA. CONCLUSIONS: Levels of TAC1 and SEPT9 methylation detected
in postoperative sera of patients with CRC appear to be novel promising
prognostic markers and may probably be considered for monitoring of CRC
recurrence.
PMID- 24925596
TI - Arthrobacter bambusae sp. nov., isolated from soil of a bamboo grove.
AB - A Gram-stain-positive, aerobic, motile by gliding, rod-shaped bacterial strain,
THG-GM18(T), was isolated from soil of a bamboo grove. Strain THG-GM18(T) was
able to grow in the presence of up to 6.0 % (w/v) NaCl, at 4-37 degrees C and at
pH 7.0-10.0 in R2A medium. Based on 16S rRNA gene sequence similarity, strain THG
GM18(T) was closely related to species of the genus Arthrobacter. The most
closely related strains to strain THG-GM18(T) are Arthrobacter ramosus CCM
1646(T) (98.5 % similarity), Arthrobacter nitroguajacolicus G2-1(T) (98.4 %),
Arthrobacter nicotinovorans DSM 420(T) (98.2 %), Arthrobacter aurescens DSM
20116(T) (98.1 %) and Arthrobacter chlorophenolicus A6(T) (98.0 %). Strain THG
GM18(T) possessed chemotaxonomic properties consistent with those of members of
the genus Arthrobacter, such as peptidoglycan type A3alpha (l-Lys-l-Ala-l-Thr-l
Ala), MK-9 as major menaquinone and anteiso- and iso-branched compounds (anteiso
C15 : 0, iso-C16 : 0 and anteiso-C17 : 0) as major cellular fatty acids. The
polar lipid profile contained diphosphatidylglycerol, phosphatidylglycerol, an
unidentified phosphoglycolipid, unidentified phospholipids, unidentified
aminolipids, an unidentified glycolipid and unidentified lipids. The G+C content
of the genomic DNA was 61.0 mol%. The DNA-DNA relatedness values between strain
THG-GM18(T) and its closest phylogenetic neighbours were below 26.0 %. The
results of physiological and biochemical tests allowed the differentiation of
strain THG-GM18(T) from species of the genus Arthrobacter with validly published
names. Arthrobacter bambusae sp. nov. is the proposed name, and the type strain
is THG-GM18(T) ( = KACC 17531(T) = JCM 19335(T)).
PMID- 24925597
TI - Barnettozyma siamensis f.a., sp. nov., a lipid-accumulating ascomycete yeast
species.
AB - Two strains, DMKU-UbN24(1)(T) and DMKU-CPN24(1), of a novel yeast species were
obtained from soil and palm oil fruit, respectively, collected in Thailand by an
enrichment isolation technique using a nitrogen-limited medium containing
glycerol as the sole source of carbon. On the basis of morphological,
biochemical, physiological and chemotaxonomic characteristics and sequence
analysis of the D1/D2 region of the large subunit (LSU) rRNA gene and the
internal transcribed spacer (ITS) region, the two strains were found to represent
a novel species of the genus Barnettozyma although the formation of ascospores
was not observed. The novel species was related most closely to the type strain
of Candida montana but differed by 5.4 % nucleotide substitutions in the D1/D2
region of the LSU rRNA gene and by 10.3-10.5 % nucleotide substitutions in the
ITS region. The name Barnettozyma siamensis f.a., sp. nov. is proposed. The type
strain is DMKU-UbN24(1)(T) ( = BCC 61189(T) = NBRC 109701(T) = CBS 13392(T)).
PMID- 24925598
TI - Papiliotrema siamense f.a., sp. nov., a yeast species isolated from plant leaves.
AB - Two strains representing a novel species were isolated from the external surface
of a sugar cane leaf (DMKU-SP85(T)) and tissue of a rice leaf (DMKU-RE97)
collected in Thailand. On the basis of morphological, biochemical, physiological
and chemotaxonomic characteristics, and sequence analysis of the D1/D2 region of
the large subunit (LSU) rRNA gene and the internal transcribed spacer (ITS)
region, the two strains were determined to represent a novel species of the genus
Papiliotrema although sexual reproduction was not observed. The sequences of the
D1/D2 region of the LSU rRNA gene and ITS region of the two strains were
identical, but differed from those of the type strain of Cryptococcus nemorosus
by 0.6 % nucleotide substitutions (four nucleotide substitutions out of 597
nucleotides) in the D1/D2 region of the LSU rRNA gene and 1.8 % nucleotide
substitutions (nine nucleotide substitutions out of 499 nucleotides) in the ITS
region. The name Papiliotrema siamense f.a., sp. nov. is proposed. The type
strain is DMKU-SP85(T)( = BCC 69499(T) = CBS 13330(T)).
PMID- 24925599
TI - Parafilimonas terrae gen. nov., sp. nov., isolated from greenhouse soil.
AB - A Gram-stain-negative, short rod-shaped, non-flagellated, yellow bacterium,
designated strain 5GHs7-2(T), was isolated from a greenhouse soil sample in South
Korea. 16S rRNA gene sequence analysis of strain 5GHs7-2(T) indicated that the
isolate belonged to the family Chitinophagaceae, and exhibited the highest
sequence similarities with members of the genera Terrimonas (89.2-92.6 %),
Sediminibacterium (90.8-91.4 %) and Chitinophaga (89.2-91.7 %), Filimonas lacunae
YT21(T) (91.7 %), members of the genus Segetibacter (90.2-91.6 %),
Parasegetibacter luojiensis RHYL-37(T) (90.9 %) and Flavihumibacter petaseus
T41(T) (91.2 %). Flexirubin-type pigments were present. The major cellular fatty
acids of the novel strain were iso-C15 : 0, iso-C17 : 0 3-OH and iso-C15 : 1 G.
The polar lipid profile consisted of a large amount of phosphatidylethanolamine,
and moderate and small amounts of several unknown aminolipids and lipids. The
only respiratory quinone of strain 5GHs7-2(T) was MK-7, and the DNA G+C content
was 47.6 mol%. On the basis of the evidence presented, it is concluded that
strain 5GHs7-2(T) represents a novel species of a new genus in the family
Chitinophagaceae, for which the name Parafilimonas terrae gen. nov., sp. nov. is
proposed. The type strain of the type species is 5GHs7-2(T) ( = KACC 17343(T) =
DSM 28286(T)).
PMID- 24925600
TI - Flip-angle mapping of 31P coils by steady-state MR spectroscopic imaging.
AB - PURPOSE: Phosphorus ((31)P) MR spectroscopic imaging (MRSI) is primarily applied
with sensitive, surface radiofrequency (RF) coils that provide inhomogeneous
excitation RF field (B1(+)) and rough localization due to their B1(+) and
sensitivity (B1(-)) profiles. A careful and time-consuming pulse adjustment and
an accurate knowledge of flip angle (FA) are mandatory for quantification
corrections. MATERIALS AND METHODS: In this study, a simple, fast, and universal
(31)P B1(+) mapping method is proposed, which requires fast steady-state MRSI
(typically one sixth of normal measurement time) in addition to the typical MRSI
acquired within the examination protocol. The FA maps are calculated from the
ratio of the signal intensities acquired by these two measurements and were used
to correct for the influence of B1(+) on the metabolite maps. RESULTS: In vitro
tests were performed on two scanners (3 and 7 Tesla) using a surface and a volume
coil. The calculated FA maps were in good agreement with adjusted nominal FAs and
the theoretical calculation using the Biot-Savart law. The method was
successfully tested in vivo in the calf muscle and the brain of healthy
volunteers (n = 4). The corrected metabolite maps show higher homogeneity
compared with their noncorrected versions. CONCLUSION: The calculated FA maps
helped with B1(+) inhomogeneity corrections of acquired in vivo data, and should
also be useful with optimization and testing of pulse performances, or with the
construction quality tests of new dual-channel (1)H/(31)P coils.
PMID- 24925601
TI - Loop-mediated isothermal amplification of vanA gene enables a rapid and naked-eye
detection of vancomycin-resistant enterococci infection.
AB - Vancomycin-resistant enterococci (VRE) are one of the leading causes of
nosocomial infection at intensive care unit (ICU). A rapid and sensitive
detection of VRE infection is in high demand for timely and suitable antibiotic
treatment. Here, we optimized a distinct DNA-based diagnostic technique, loop
mediated isothermal amplification (LAMP) for a rapid detection of the presence of
vanA gene, a critical component of the gene cluster required for vancomycin
resistance. Amplification efficiency was optimal at 62 degrees C and with 2mM
MgSO4. The detection limit of the DNA template was 80pg and LAMP amplicons were
detected within 40min; thereby suggesting a potential applicability of LAMP as a
sensitive and urgent diagnostic method. Furthermore, positive LAMP reaction was
directly detected with the naked-eye by monitoring the formation of a white
precipitate or the color change induced by hydroxy naphthol blue (HNB) dye.
Finally, 56 clinical isolates were successfully tested for the presence of vanA
gene by LAMP, which was determined to be more sensitive than PCR. Together, our
results clearly demonstrate the usefulness of LAMP for the diagnosis of VRE
infection.
PMID- 24925602
TI - Identification of bacteria pathogenic to or associated with onion (Allium cepa)
based on sequence differences in a portion of the conserved gyrase B gene.
AB - We have developed a method for the identification of Gram-negative bacteria,
particularly members of the Enterobacteriaceae, based on sequence variation in a
portion of the gyrB gene. Thus, we identified, in most cases to species level,
over 1000 isolates from onion bulbs and leaves and soil in which onions were
grown.
PMID- 24925603
TI - Cyclooxygenase-2 expression in pigs infected experimentally with Mycoplasma
hyopneumoniae.
AB - Porcine enzootic pneumonia, primarily caused by Mycoplasma hyopneumoniae (Mh), is
a contagious disease characterized by catarrhal bronchointerstitial pneumonia.
Previous studies have evaluated immunohistochemically the distribution of Mh,
different cellular populations and cytokines during Mh-induced pneumonia.
Cyclooxygenase (COX)-2 is overexpressed during inflammatory responses by
different cell types in the lung. The aim of this study was to elucidate the
possible role of COX-2 in the pathogenesis of porcine enzootic pneumonia. COX-2
protein was detected by immunohistochemistry in formalin-fixed, paraffin wax
embedded lung tissues from 10 pigs infected experimentally with Mh. Ten pigs were
inoculated intranasally with Mh and killed in pairs weekly from 1 to 5 weeks post
inoculation. Three Mh-free pigs were taken as controls. Bronchial and bronchiolar
epithelial cells, bronchial submucosal glands and a small number of macrophages
in the bronchoalveolar exudate expressed COX-2. COX-2 protein was always
associated with areas of pneumonia and expression was minimal in lungs from
control pigs. These results suggest that COX-2 plays a role in the pathogenesis
of Mh-infection.
PMID- 24925604
TI - BDNF Val66Met polymorphism in primary adult-onset dystonia: a case-control study
and meta-analysis.
AB - BACKGROUND: A polymorphism in brain-derived neurotrophic factor (BDNF) (Val66Met)
has been reported as a risk factor in primary dystonia. However, overall the
results have been inconclusive. Our aim was to clarify the association of
Val66Met with primary dystonia, and with the most prevalent clinical subtypes,
cervical dystonia and blepharospasm. METHODS: We conducted a Spanish multicenter
case-control study (including 680 primary dystonia patients and 788 healthy
controls) and performed a meta-analysis integrating our study and six previously
published studies (including a total of 1,936 primary dystonia patients and 2,519
healthy controls). RESULTS: We found no allelic or genotypic association with
primary dystonia, cervical dystonia, or blepharospasm risks, for the allele A
(Met) from a BDNF Val66Met polymorphism in our case-control study. This was
confirmed by results from our meta-analysis in white and mixed ethnic populations
in any genetic model. CONCLUSION: We did not find any evidence supporting the
association of the BDNF Val66Met polymorphism with primary dystonia.
PMID- 24925605
TI - Chocolate frogs do not increase completion of parent survey: randomised study.
AB - Four months into a year-long, national survey assessing parents' experiences of a
child's diagnosis of autism spectrum disorder, our response fraction was only
23%. We aimed to determine whether including a chocolate incentive in the postal
survey would increase the response fraction. Families enrolled between 15 March
and 25 May 2012 were randomised to receive a chocolate frog versus no chocolate
frog. Both groups received a written reminder and replacement survey 2 weeks
after the survey was posted and up to two telephone reminders thereafter. We
analysed the effect of the incentive using chi(2) tests for the categorical
response variable and t-tests for the continuous reminder and length of response
variables at the end of (i) randomisation and (ii) the study (1 November 2012). A
total of 137 families were randomised in the 6-week period. Parents who received
an incentive were more likely to return a completed survey in the 6 weeks than
those who did not (21% vs. 6%, P = 0.009). This effect faded by the end of the
study (53% vs. 42%, P = 0.4). There were no differences between groups at either
follow-up in the number of reminders that parents received or the number of days
it took parents to return the survey. Including a chocolate-based incentive does
not significantly increase response rate in a postal survey over and above
standard reminder techniques like posting follow-up survey packs or phoning
families.
PMID- 24925607
TI - Shifting of undernutrition to overnutrition and its determinants among women of
reproductive ages in the 36 low to medium income countries.
AB - OBJECTIVE: Objectives are to examine the shift away from undernutrition towards
overnutrition for low to medium income countries (LMIC) and investigate the
potential determinants of this shift using the nationally representative survey
data. DESIGN AND SUBJECTS: We analysed cross-sectional, representative samples of
540,290 women aged 20-49 years drawn from the Demographic and Health Surveys
(DHS) at two time points in 36 LMIC. The ratio of overweight-to-underweight at
earliest and latest survey was calculated for each country to illustrate the
relative magnitude of the shifting of underweight to overweight. Potential
determinants of underweight (BMI < 18.5) and overweight (BMI >= 25) were
examined. RESULTS: In the latest DHS compared to the earliest DHS (mean duration
10 years), the prevalence of underweight significantly declined for one in two
countries and the prevalence of overweight significantly increased for 80% of the
listed countries. The annual increase of overweight was two folds higher than
annual decline of underweight (6.4% vs. 3.3%). Although higher socio-demographic
factors were associated with shifting of underweight towards overweight, over
time, the risk of the highly educated, wealthy, and urban women being overweight
was weakening. CONCLUSIONS: Findings of this study suggest that among women of
child-bearing age there was a large shift away from undernutrition to
overnutrition for most of the LMIC. Overtime, the contribution of higher
education, wealth and urbanisation to being overweight was decreasing in the
LMIC.
PMID- 24925606
TI - Antipsychotic-induced parkinsonism is associated with working memory deficits in
schizophrenia-spectrum disorders.
AB - In view of the significant cognitive deficits in schizophrenia and their impact
on patients' social and occupational functioning, and considering that the
influence potential influence of antipsychotic-induced extrapyramidal symptoms on
cognition in schizophrenia remains poorly understood, the current study sought to
identify the clinical, socio-demographic and neurologic predictors of the
cognitive performance of schizophrenia patients. Eighty-two schizophrenia
spectrum (DSM-IV criteria) outpatients were recruited. Psychiatric symptoms were
evaluated with the Positive And Negative Syndrome Scale and the Calgary
Depression Scale for Schizophrenia. Extrapyramidal symptoms were evaluated with
the Extrapyramidal Symptoms Rating Scale, while spatial working, planning
abilities and visual paired associates learning were evaluated with the CAmbridge
Neuropsychological Tests Automated Battery. The Stroop test was also
administered. Multivariate hierarchic linear regression analyses were performed.
We found that negative symptoms were associated with cognitive flexibility,
planning, visual learning and working memory performance in schizophrenia. Age,
sex, number of hospitalizations and antipsychotic type also emerged as
significant predictors. More importantly, we found a significant association
between antipsychotic-induced parkinsonism and working memory performance. The
fact that negative symptoms and socio-demographic variables predicted cognitive
performance in schizophrenia is consistent with the previous literature on the
topic. The finding of an association between parkinsonism and working memory may
have clinical implications, since working memory deficits are considered putative
endophenotypes of schizophrenia and are known to impair patients' social and
occupational functioning. Our results will need to be replicated in longitudinal
studies involving larger samples of patients.
PMID- 24925608
TI - Colour perception in cows.
AB - Cows of the Lowland Black-and-White breed were studied by the method of
discrimination conditioning according to the differentiation of seven colours in
Oswald's scale (yellow no. 2, pink no. 5, red no. 7, violet no. 12, blue no. 15,
green no. 21 and yellowish-green no. 23) from 16 shades of grey in Hering's
scale. The high percentage of choices made leads to the conclusion that cows see
colours as distinct entities. It was found from the percentage of positive
reactions obtained to a given colour that a sequence exists in the cows'
differentiation of colours. In connection with this the relativelability to
distinguish the different colours listed above was established and estimated
statistically (by t-test). Emphasis has also been laid on ethological variations
with respect to differences in temperament and social status of the cows and the
result of colour differentiation learning.
PMID- 24925609
TI - Memory of a choice direction in a T maze as measured by spontaneous alternation
in mice: Effects of intertrial interval and reward.
AB - Spontaneous alternation in a T maze was studied as a one trial learning paradigm
in mice of the BALB/c strain. In the first experiment the combined effects of
time interval between the first and second trial (intertrial interval: ITI), food
deprivation and feeding given during the first trial, were shown to affect
performance. Thus, on the one hand, the percentage of spontaneous alternation
decreased as ITI increased; on the other hand, food reward dramatically improved
spontaneous alternation for the 24-h ITI, but had no significant effect for 30
sec and 1-h ITI. Since the effect of feeding might be due either to an increase
of arousal, thus favoring input of informations associated with the first choice,
or to an improvement in memory consolidation, a second experiment was aimed at
testing the effect of food given after the first trial. It was shown that, as in
the first experiment, post-trial feeding improved spontaneous alternation on the
second trial given 24 hours later with a temporal gradient of effect less than 30
min. These results clearly showed that the reinforcement of run to one side
(first trial) increased the tendency to go to the other side 24 hours later. It
is concluded that reinforcement might have two distinct effects: (i) according to
SR theory, reinforcement increases conditioned responses and (ii), as shown here,
acts on memory processes by preventing memory traces from fading. The fact that
this last effect was only observed for long ITI suggests that short-term or
transient memory and long-term memory are two relatively independent processes.
PMID- 24925610
TI - Correlations between meals and inter-meal intervals in Japanese quail and their
significance in the control of feeding.
AB - Significant correlations (P<0.05) between meals and preceding intervals were
shown more often by Japanese quail when fed on diluted mash (40% cellulose) than
with undiluted mash or pellets. They showed significant correlations between
meals and succeeding intervals with about the same frequency on all three foods.
Most of the correlation coefficients were low, but experiments in which interval
length and meal size were manipulated artificially confirmed that close
relationships between meals and intervals can occur, and appear to verify the
existence of short-term hunger and satiety mechanisms. Possible explanations for
the low correlation coefficients shown by several bird species are discussed, and
it is concluded that meal-eating is controlled by a very flexible system. There
is no evidence that the timing of meals depends on fixed set points, and it is
suggested instead that degrees of hunger and satiety may determine the
probabilities of a meal starting or stopping, such a system being associated with
emptying and filling of parts of the digestive tract.
PMID- 24925611
TI - A comparison of the effects of social rearing and social training on approach
responding of Gallus chicks.
AB - It has been shown in an earlier paper that chicks that were housed and trained in
pairs demonstrated a greater resistance to extinction of the neonatal approach
response than did chicks that were housed and trained in isolation. The purpose
of the present study was to attempt to replicate these results and to determine
whether housing or training is the more important factor in generating the
previous finding. Eighty-three, white Leghorn chicks were tested in an experiment
employing a 2 * 2 factorial design comparing social vs isolate housing and social
vs isolate imprinting training on resistance to extinction of a socially
reinforced running response. The data were consistent with the earlier findings
and also revealed that the housing condition is more important in producing
subsequent social searching than is the training condition.
PMID- 24925612
TI - Sex and strain comparisons of tonic immobility ("Righting time") in the domestic
fowl and the effects of various methods of induction.
AB - Tonic immobility was induced in adult laying hens using different methods and
substrates, factors which have shown great variety of response in previous work.
The fact that topic immobility was induced in every situation confirms the robust
nature of this phenomenon. Placing hens on their backs and restraining them in a
U-shaped wooden cradle covered with cloth was the most reliable and consistent
method. Not only was the duration of response greatest when this method was used,
but less handling, with its possible stressful effects, was required to induce
it. There were no significant differences in the latency to the first head
movement or in the duration of immobility between adolescent 'T' (Rhode Island
Red * Light Sussex) and 'S' (White Leghorn) lines but both these characteristics
were considerably shorter in the 'J' line birds (Brown Leghorn). There were no
significant sex differences in the duration of tonic immobility but male 'T' and
'S' line birds showed greater latencies to the first head movement and fewer
subsequent alert head movements than females. These results are discussed in
terms of sex and strain differences in fearfulness. The varied nomenclature used
to describe the immobility reaction is discussed and an alternative term
"righting time" is proposed. It is further suggested that the immobility response
consists of an inhibitory and an alert stage.
PMID- 24925613
TI - Pair formation and reproductive output in domestic pigeons.
AB - Mate selection is a conceivable mechanism whereby an animal could increase its
reproductive success. The present study investigates the relationship between
mate selection and reproductive output in domestic pigeons. Pairs allowed to form
spontaneously, in a situation permitting a certain degree of choice, were
compared with pairs randomly assigned to each other. The prediction was that
spontaneously formed pairs should on average achieve a better reproductive output
than pairs randomly formed. In a reproduction test the spontaneously formed pairs
began egglaying earlier, laid a greater number of eggs and had a larger number of
fertilized eggs. It is suggested that the difference between the groups affected
such important reproductive variables that it could have a substantial effect on
the birds reproductive success.
PMID- 24925614
TI - Effects of female behavior on wing display and courtship of male Drosophila
simulans and Drosophila melanogaster.
AB - Corrolations between female rejection behaviors and male wing display were
calculated for both Drosophila simulans and Drosophila melanogaster intraspicific
pair-matings. No significant correlations were found for D. melanogaster, but in
D. simulans flicking by the female appeared to be associated with a shift in male
wing display pattern resulting in higher levels of vibration. Flicking did not
appear to discourage courtship by males in either species.
PMID- 24925623
TI - (18)F-FDG PET and vascular inflammation: time to refine the paradigm?
PMID- 24925624
TI - Prognostic value of normal stress myocardial perfusion imaging in diabetic
patients: a meta-analysis.
AB - BACKGROUND: The prognostic value of normal stress myocardial perfusion single
photon emission computed tomography (MPS) in patients with diabetes has only been
evaluated in single-center studies of relatively limited sample size. We
performed a meta-analysis of published studies, including diabetic patients with
known or suspected coronary artery disease (CAD), to assess the predictive value
for adverse cardiac ischemic events of normal stress MPS. METHODS AND RESULTS:
Studies published between January 1990 and December 2013 were identified by
database search. We included studies using stress MPS to evaluate diabetic
patients with known or suspected CAD and providing data on clinical outcomes of
non-fatal myocardial infarction or cardiac death with a follow-up time >=12
months. A total of 14 studies were finally included, recruiting 13,493 patients.
The negative predictive value (NPV) for non-fatal myocardial infarction and
cardiac death of normal MPS was 94.92% (95% confidence interval 93.67-96.05),
during a weighted mean follow-up of 36.24 months, resulting in estimated event
rate after a negative test equal to 5.08% (95% confidence interval 3.95-6.33).
The corresponding annualized event rate after a negative test was 1.60% (95%
confidence interval 1.21-2.04). CONCLUSIONS: Stress MPS has a high NPV for
adverse cardiac events in diabetic patients with known or suspected CAD leading
to define a "relatively low-risk" patients category.
PMID- 24925626
TI - Photocatalytic hydrogen evolution by oleic acid-capped CdS, CdSe, and
CdS0.75Se0.25 alloy nanocrystals.
AB - Photocatalytic generation of hydrogen by using oleic acid-capped CdS, CdSe, and
CdS(0.75)Se(0.25) alloy nanocrystals (quantum dots) has been investigated under
visible-light irradiation by employing Na(2)S and Na(2)SO(3) as hole scavengers.
Highly photostable CdS(0.75)Se(0.25) alloy nanocrystals gave the highest hydrogen
evolution rate (1466 MUmol h(-1) g(-1)), which was about three times higher than
that of CdS and seven times higher than that of CdSe.
PMID- 24925627
TI - What would happen to education if we take education evidence seriously?
AB - Educational practice and educational research are not aligned with each other.
Current educational practice heavily relies on information transmission or
content delivery to learners. Yet evidence shows that delivery is only a minor
part of learning. To illustrate the directions we might take to find better
educational strategies, six areas of educational evidence are briefly reviewed.
The flipped classroom idea is proposed to shift our expenditure and focus in
education. All information delivery could be web distributed, thus creating more
time for other more expensive educational strategies to support the learner. In
research our focus should shift from comparing one curriculum to the other, to
research that explains why things work in education and under which conditions.
This may generate ideas for creative designers to develop new educational
strategies. These best practices should be shared and further researched. At the
same time attention should be paid to implementation and the realization that
teachers learn in a way very similar to the people they teach. If we take the
evidence seriously, our educational practice will look quite different to the way
it does now.
PMID- 24925628
TI - The controversy over the minimum quantum requirement for oxygen evolution.
AB - During the early- to mid-twentieth century, a bitter controversy raged among
researchers on photosynthesis regarding the minimum number of light quanta
required for the evolution of one molecule of oxygen. From 1923 until his death
in 1970, Otto Warburg insisted that this value was about three or four quanta.
Beginning in the late 1930s, Robert Emerson and others on the opposing side
consistently obtained a value of 8-12 quanta. Warburg changed the protocols of
his experiments, sometimes in unexplained ways, yet he almost always arrived at a
value of four or less, except eight in carbonate/bicarbonate buffer, which he
dismissed as "unphysiological". This paper is largely an abbreviated form of the
detailed story on the minimum quantum requirement of photosynthesis, as told by
Nickelsen and Govindjee (The maximum quantum yield controversy: Otto Warburg and
the "Midwest-Gang", 2011); we provide here a scientific thread, leaving out the
voluminous private correspondence among the principal players that Nickelsen and
Govindjee (2011) examined in conjunction with their analysis of the principals'
published papers. We explore the development and course of the controversy and
the ultimate resolution in favor of Emerson's result as the phenomenon of the two
light-reaction, two-pigment-system scheme of photosynthesis came to be
understood. In addition, we include a brief discussion of the discovery by Otto
Warburg of the requirement for bicarbonate in the Hill reaction.
PMID- 24925629
TI - Aortopathy in Marfan syndrome: an update.
AB - Marfan syndrome (MFS) is an inherited autosomal dominant multisystem disease
caused by mutations in the FBN1 gene encoding fibrillin-1, an extracellular
matrix glycoprotein widely distributed in mesenchymal-derived tissues that
provide a scaffold for elastin deposition. MFS is characterized by variable
clinical manifestations, including skeletal, ocular, and cardiovascular
abnormalities; ascending aortic aneurysm with ensuing dissection and rupture is
the main life-threatening cardiovascular manifestation of MFS. Histological
aspects of MFS aortopathy include a medial degeneration from disarray and
fragmentation of elastic fibers and accumulation of basophilic ground substance
areas depleted of smooth muscle cells (SMCs). Transmission electron microscopy
well evidences the high number of interruptions and the thick appearance of the
elastic lamellae and the accumulation of abundant extracellular glycosaminoglycan
rich material, sometimes SMCs showing a prevalent synthetic phenotype. The
aberrant signaling of transforming growth factor-beta (TGF-beta) as the
consequence of the altered structure of fibrillin-1 induces activation and the
overexpression of Smad-dependent profibrotic signaling pathway and ERK1/2
mediated increased synthesis of matrix metalloproteinases. In addition, MFS is
accompanied by an impaired aortic contractile function and aortic endothelial
dependent relaxation, which is caused by an enhancement of the oxidative stress
and increased reactive oxygen species during the progression of the disease. Many
studies are currently evaluating the contribution of TGF-beta-mediated
biomolecular pathways to the progression of MFS aortopathy and aneurysm
development, in order to discover new targets for pharmacological strategies
aimed to counteract aortic dilation.
PMID- 24925630
TI - Pheromonal control: reconciling physiological mechanism with signalling theory.
AB - Pheromones are intraspecific chemical signals. They can have profound effects on
the behaviour and/or physiology of the receiver, and it is still common to hear
pheromones described as controlling of the behaviour of the receiver. The
discussion of pheromonal control arose initially from a close association between
hormones and pheromones in the comparative physiological literature, but the
concept of a controlling pheromone is at odds with contemporary signal evolution
theory, which predicts that a manipulative pheromonal signal negatively affecting
the receiver's fitness should not be stable over evolutionary time. Here we
discuss the meaning of pheromonal control, and the ecological circumstances by
which it might be supported. We argue that in discussing pheromonal control it is
important to differentiate between control applied to the effects of a pheromone
on a receiver's physiology (proximate control), and control applied to the
effects of a pheromone on a receiver's fitness (ultimate control). Critically, a
pheromone signal affecting change in the receiver's behaviour or physiology need
not necessarily manipulate the fitness of a receiver. In cases where pheromonal
signalling does lead to a reduction in the fitness of the receiver, the
signalling system would be stable if the pheromone were an honest signal of a
social environment that disadvantages the receiver, and the physiological and
behavioural changes observed in the receiver were an adaptive response to the new
social circumstances communicated by the pheromone.
PMID- 24925631
TI - Video presentation of the second stage of a two-stage repair for proximal
hypospadias: a safe and reproducible technique.
AB - OBJECTIVE: The aims of proximal hypospadias repair are good cosmetic outcomes
with low rates of complication, with a low number of operative exposures, before
the age of genital difference realisation. Neo-urethral fistula and stenosis are
well recognised complications; with international rates of 3.8-16% and 1.3-15.6%
respectively. We present the key steps of the second of a two staged repair in
video format. METHOD: Video recording of the procedure performed on an 18-month
old with mid-penile hypospadias is presented. The steps are described; the
importance of tension free tubularisation, layered urethral closure, suture
technique, vascularised prepucal graft technique, optimal glanuloplasty, and
penile shaft skin repair are highlighted. RESULT: The child was brought back to
the day ward for catheter removal at 7 days; follow-up in clinic showed good
postoperative appearance. This technique has been performed on 31 boys with a
fistula rate and stenosis rate favourable to the literature. CONCLUSION: We
demonstrate a two-stage technique by video format for proximal hypospadias which
is reproducible and gives results comparable to the literature.
PMID- 24925632
TI - Circumcision on the web: a comparison of quality, content, and bias online.
AB - OBJECTIVE: In 2012, the American Academy of Pediatrics (AAP) newborn circumcision
policy statement expressed that although benefits outweigh risks, final decisions
lie with parents. Although health information on the Internet is plentiful, the
quality and availability of information on circumcision, including dissemination
of AAP and AUA policy statements, is unknown. We analyzed English and Spanish
circumcision websites to evaluate their overall quality, detail, accuracy, and
bias. METHODS: In April 2013, three search engines were queried for English and
Spanish circumcision websites, which were analyzed utilizing the DISCERN Plus
scale for content quality as well as additional study-specific criteria. RESULTS:
We analyzed 214 websites (141 English, 73 Spanish). Most websites in both
languages had very good content quality and were neutral regarding circumcision.
Regardless of language, only 21% of sites mentioned the updated AAP guidelines.
Surprisingly, the AUA circumcision policy statement did not appear in the top
results. Spanish sites were more likely to give good descriptions of circumcision
procedures than English sites (p < 0.04), less likely to cite sources (p < 0.01),
and more likely to describe benefits (p = 0.02).. CONCLUSIONS: Newborn
circumcision information on the Internet is of very good quality, but different
English and Spanish characteristics possibly reflect cultural bias, which may
explain the disparate rates of circumcision between different groups in the USA.
The AAP's circumcision policy statement was referenced by a minority (20%) of
websites, and AUA's policy statement was not even part of the top results. The
AUA should have a more active role in providing accurate and comprehensive online
information to parents regarding circumcision.
PMID- 24925633
TI - Decreased "ineffective erythropoiesis" preserves polycythemia in mice under long
term hypoxia.
AB - Hypoxia induces innumerable changes in humans and other animals, including an
increase in peripheral red blood cells (polycythemia) caused by the activation of
erythropoiesis mediated by increased erythropoietin (EPO) production. However,
the elevation of EPO is limited and levels return to normal ranges under normoxia
within 5-7 days of exposure to hypoxia, whereas polycythemia continues for as
long as hypoxia persists. We investigated erythropoiesis in bone marrow and
spleens from mouse models of long-term normobaric hypoxia (10 % O2) to clarify
the mechanism of prolonged polycythemia in chronic hypoxia. The numbers of
erythroid colony-forming units (CFU-E) in the spleen remarkably increased along
with elevated serum EPO levels indicating the activation of erythropoiesis during
the first 7 days of hypoxia. After 14 days of hypoxia, the numbers of CFU-E
returned to normoxic levels, whereas polycythemia persisted for >140 days. Flow
cytometry revealed a prolonged increase in the numbers of TER119-positive cells
(erythroid cells derived from pro-erythroblasts through mature erythrocyte
stages), especially the TER119 (high) CD71 (high) population, in bone marrow. The
numbers of annexin-V-positive cells among the TER119-positive cells particularly
declined under chronic hypoxia, suggesting that the numbers of apoptotic cells
decrease during erythroid cell maturation. Furthermore, RT-PCR analysis showed
that the RNA expression of BMP-4 and stem cell factor that reduces apoptotic
changes during erythroid cell proliferation and maturation was increased in bone
marrow under hypoxia. These findings indicated that decreased apoptosis of
erythroid cells during erythropoiesis contributes to polycythemia in mice during
chronic exposure to long-term hypoxia.
PMID- 24925634
TI - TRB3 mediates renal tubular cell apoptosis associated with proteinuria.
AB - Proteinuria may contribute to progressive renal damage by inducing
tubulointerstitial inflammation, fibrosis and tubular cell apoptosis, but the
underlying mechanisms remain largely unknown. TRB3 is a kinase-like molecule that
can modify cellular survival and interfere with signal transduction pathways. We
seek to determine the role of TRB3 in renal tubular cell apoptosis associated
with proteinuria. Herein, we reported that in a rat tubular cell line, high
concentration of albumin augmented TRB3 expression and induced apoptosis, while
TRB3 silencing with special small interference RNA significantly attenuated
apoptosis. In addition, we found that albumin-induced apoptosis was related to
inhibition of Akt phosphorylation, which was, however, partially reversed by TRB3
silencing, indicating that TRB3 worked through Akt pathway in this apoptotic
signaling cascade. In vivo, we observed increased TRB3 expression in kidneys of
streptozotocin-induced diabetic nephropathy model and albumin-overload
nephropathy model, both of which showed overt proteinuria. Notably, proteinuria
induced apoptosis in renal tubules, which was less severe after genetically
inhibition of TRB3. Taken together, these results suggest that TRB3 mediates
renal tubular cell apoptosis induced by protein overload, broadening our
understanding of the pathogenesis of progressive proteinuric kidney diseases.
PMID- 24925635
TI - Nucleophosmin1 associated with drug resistance and recurrence of bladder cancer.
AB - Drug resistance and recurrence are the major obstacles to bladder cancer
chemotherapy. Our laboratory had reported that nucleophosmin1 was one of the
differentially expressed proteins between bladder cancer cell lines PUMC-91 and
PUMC-91/1.0ADM based on 2D-PAGE proteomics approaches. In this study, we want to
explore the relationship among nucleophosmin1, drug resistance, and recurrence of
bladder cancer, using normal bladder epithelia cell line SV-HUC-1, bladder cancer
cell lines PUMC-91, PUMC-91 against gradient doses of adriamycin (0.3, 0.6, and
1.0 MUg/ml), and bladder cancer tissue samples. The bladder cancer tissue samples
were divided into two groups according to the interval of recurrence (<6 months
and >2 years). The differences were detected by Western blotting and
immunohistochemistry. The protein of nucleophosmin1 was differentially expressed
with each other in SV-HUC-1, PUMC-91, PUMC-91/0.3ADM, and PUMC-91/1.0ADM (p <
0.05). Nucleophosmin1 was less expressed in later recurring (>2 years) bladder
cancer tissue samples compared with samples that recurred <6 months (p = 0.035).
The expression of nucleophosmin1 was independently associated with gradient drug
resistance and recurrent frequency of bladder cancer. Nucleophosmin1 was a key
regulator in either a drug-resistant bladder cancer or bladder cancer recurrence
model. It may be possible to think nucleophosmin1 can provide more helpful
information for clinical drug treatment of bladder cancer patients and frequently
recurred ones.
PMID- 24925636
TI - TSH levels are associated with vitamin D status and seasonality in an adult
population of euthyroid adults.
AB - A circannual periodicity in thyrotropin (TSH) secretion has been reported but the
causes of these phenomenon are still undefined. Vitamin D exerts a direct
influence on pituitary axes including the hypothalamus-pituitary-thyroid axis.
Aims of the present study were to investigate the presence of a seasonal
variability of TSH secretion and to study the association between vitamin D
status and TSH levels in a population of euthyroid adults. For this purpose, we
recruited 294 euthyroid adults (M/F 133/161, 48.5 +/- 12.4 years). Study
participants underwent clinical examination and routine biochemistry assessment.
Vitamin D deficiency was diagnosed for serum 25(OH) vitamin D <25 nmol/l.
Significantly higher TSH levels were found in subjects who underwent blood
sampling during the Autumn-Winter compared with individuals evaluated in Spring
Summer (2.3 +/- 1.3 vs. 1.8 +/- 1.1 MUIU/ml, p = 0.03). Vitamin D deficiency was
strongly associated with higher TSH levels (p = 0.01) after adjusting for sex,
age, and sample's season. Although vitamin D deficiency was also associated with
metabolic syndrome and its components, the association between TSH levels and
vitamin D status persisted also considering these confounders. These data reveal
the occurrence of seasonal variability of serum TSH concentration in euthyroid
subjects and provide evidence for the first time that an association exists
between vitamin D status and serum TSH levels.
PMID- 24925637
TI - Effects of interleukin-10 gene deficiency on hepatic biochemical metabolism in
mice.
AB - The aim of this study was to investigate the effect of interleukin-10 (IL-10)
gene deficiency on mouse liver function. The experimental mice were divided into
wild-type and IL-10 knockout groups. Serological biomarkers for liver functions
were detected by the automatic biochemical analyzer AU5400. The pathological
changes were assessed by the light microscope. The levels of inducible nitric
oxide synthase (iNOS) and interleukin-1beta (IL-1beta) in liver tissues were
determined by quantitative real-time PCR and enzyme-linked immunosorbent assay.
Compared with the wild type, the serum levels of albumin (ALB), total protein,
total bilirubin and direct bilirubin in IL-10-deficient mice were significantly
decreased (P < 0.05). No obvious pathological changes including liver necrosis
and inflammatory cell infiltration were found. The expression of iNOS and IL
1beta genes, the serum levels of iNOS and IL-1beta were significantly higher in
IL-10-deficient mice than in wild-type mice (P < 0.05). The absence of IL-10 gene
can significantly decrease serum ALB and bilirubin. The effect may be related to
the upregulation of iNOS and IL-1beta.
PMID- 24925638
TI - Leukotriene enhanced allergic lung inflammation through induction of chemokine
production.
AB - The leukotrienes (LTs) enhance allergen- and interleukin (IL)-13-dependent
allergic lung inflammatory disease. However, the precise requirement of LTs and
the mechanism by which they elicit allergic lung responses remain uncertain. To
clarify the involvement of LTs in respiratory allergen- and IL-13-induced
experimental asthma and elucidate the underlying mechanisms of LTs-mediated
enhanced allergic asthma, we investigated the role of LTs in two models of
allergic inflammation: intranasal Aspergillus protease allergen and recombinant
IL-13-induced T helper type 2 (Th2) cell-mediated inflammation, and also examined
Th2-related chemokines downstream of LTs signaling. 5-Lipoxygenase (5-LO)
deficient mice exposed to short-term intranasal Aspergillus protease allergen
showed attenuated airway inflammation, decreased airway hyper-responsiveness and
reduced bronchoalveolar eosinophilia when compared to wild-type mice. However,
this phenotype was less apparent using long exposure to the same allergen. 5-LO
deficient mice exposed to intranasal rIL-13 also showed attenuated phenotypes of
allergic asthma via significant reduction in Th2-specific chemokines, CCL7 and
CCL17 production and decreased Th2 cells recruitment to the lungs. Addition of
leukotriene B4 (LTB4) and LTC4 to the airways of 5-LO-deficient mice resulted in
the rescue of rIL-13-induced experimental asthma. Furthermore, LTs addition to
rIL-13 synergistically enhanced the production of Th2-specific chemokines in the
lung and inflammatory responses. Therefore, our findings suggest that LTs
complement allergens and their downstream cytokine (e.g., IL-13) induced Th2
inflammation by enhancing the induction of Th2 chemokines.
PMID- 24925639
TI - LC-MS/MS analysis of plasma polyunsaturated fatty acids in patients with
homozygous sickle cell disease.
AB - The aim of this study was to determine circulating omega-6, omega-3
polyunsaturated fatty acids and prostaglandin E2 (PGE2) levels in steady state
sickle cell disease (SCD) patients. Blood was collected from healthy hemoglobin
volunteers and steady state homozygous HbSS patients who had not received blood
transfusions in the last 3 months. Plasma levels of arachidonic acid (AA, C20:4n
6), dihomo-gamma-linolenic acid (DGLA, C20:3n-6), eicosapentaenoic acid (EPA,
C20:5n-3) and docosahexaenoic acid (DHA, C22:6n-3) were determined by an
optimized multiple reaction monitoring method using ultrafast liquid
chromatography coupled with tandem mass spectrometry. PGE2 was measured in serum
samples by enzyme immunoassay. Plasma AA and DGLA were significantly increased
while EPA and DHA were significantly decreased in SCD plasma compared to control.
Serum PGE2 levels, AA/DHA and AA/EPA ratio was significantly higher in SCD
patients when compared to control group. The significant increase in PGE2 levels,
AA/EPA and AA/DHA ratio confirms the presence of a proinflammatory state in SCD
patients.
PMID- 24925640
TI - Telomere length in non-neoplastic colonic mucosa in ulcerative colitis (UC) and
its relationship to the severe clinical phenotypes.
AB - Telomere shortening occurs with human aging in many organs and tissues and is
accelerated by rapid cell turnover and oxidative injury. To clarify the clinical
importance of telomere shortening in colonic mucosa in ulcerative colitis (UC),
we measured average telomere length using quantitative real-time PCR in non
neoplastic colonic mucosa in UC patients and assessed its relationship to various
clinical subtypes. Relative telomere length in genomic DNA was measured in
colonic biopsies obtained from rectal inflammatory mucosa from 86 UC patients as
well as paired non-inflammatory proximal colonic mucosae from 10 patients. Data
were correlated with various clinical phenotypes. In paired samples, average
relative telomere length of rectal inflammatory mucosa was shortened compared to
normal appearing proximal colon in eight out of ten cases (p = 0.01). Telomere
length shortening was significantly associated with more severe Mayo endoscopic
subscore (p < 0.0001) and cases needing surgery due to toxic megacolon or cancer
occurrence (p = 0.043). When the severe clinical phenotype was defined as having
at least one of following phenotypes, more than two times of hospitalization,
highest Mayo endoscopic subscore, steroid dependent, refractory, or needing
operation, average relative telomere length was significantly shortened in the
same phenotypes than the others (p = 0.003). Telomere shortening is associated
with more severe clinical phenotypes of UC, reflecting severe inflammatory state
in the colonic mucosa.
PMID- 24925641
TI - Influencial factors of the performance of interferon-gamma release assays in the
diagnosis of childhood tuberculosis.
AB - Diagnosis of active tuberculosis (TB) in children remains difficult. This study
aimed at evaluating the ability of interferon-gamma release assays (IGRAs) in the
detection of active TB in human immunodeficiency virus-negative children
vaccinated with Bacille Calmette-Guerin and investigating the effect of
prednisolone treatment on the IGRAs performance. Among the 162 children with
suspected TB disease recruited in China, 60 were tested with QuantiFERON-TB Gold
In Tube (QFT-GIT) and 102 were tested with T-SPOT.TB. QFT-GIT presented a
sensitivity of 83.9 % (95 % CI 66.9-93.4 %) and a specificity of 88.5 % (95 % CI
70.2-96.8 %), while T-SPOT.TB had a sensitivity of 75.9 % (95 % CI 63.4-85.2 %)
and a specificity of 94.7 % (95 % CI 81.8-99.5 %). The positive predictive value
was high in both assays, 92.9 % for QFT-GIT and 95.7 % for T-SPOT.TB. In total of
these two kinds of IGRAs, false negative rate was significantly higher in
children receiving systemic prednisolone (1 mg/kg/day) therapy for >1 week (two
tested with T-SPOT.TB and five tested with QFT-GIT) than in those with <=1 week
of prednisolone therapy and without prednisolone therapy (57.1 vs. 18.3 %, p =
0.035). There was no significant difference of the positive rate of both tests in
children <5 years old compared with those >=5 years old. Both types of IGRAs
showed good diagnostic values in detecting childhood TB before microbiological
evidence was available. Glucocorticoids had a significant negative influence on
IGRAs if treated for >1 week. Age made no difference on the performance of these
tests in children.
PMID- 24925643
TI - Soluble expression of human glycoprotein Ibalpha in Escherichia coli through
replacement of the N-terminal capping domain.
AB - Glycoprotein Ibalpha (GpIbalpha), a family of LRR (leucine-rich repeat) proteins,
is a membrane protein on the platelet, and plays an important role in
atherothrombotic events. The complex formation of GpIbalpha with the von
Willebrand Factor (vWF) has been revealed to lead to acute coronary syndrome
(ACS) or stroke. A considerable attention has been paid to understand the
biological functions of GpIbalpha and its regulation. However, difficulty with
the soluble expression of human GpIbalpha in bacteria has hampered the relevant
research. Herein, we present a soluble expression of GpIbalpha in Escherichiacoli
by replacing the N-terminal capping domain of GpIbalpha with that of Internalin B
using a computational approach. The resulting protein was expressed as a soluble
form in E. coli, maintaining its structural feature and binding property for vWF.
The present approach can be broadly used for the soluble expression of human LRR
proteins in E. coli.
PMID- 24925642
TI - Effects of chronic hepatitis C genotype 1 and 4 on serum activins and follistatin
in treatment naive patients and their correlations with interleukin-6, tumour
necrosis factor-alpha, viral load and liver damage.
AB - The importance of activins and follistatin in liver diseases has recently
emerged. The aim of the present study was to measure the influence of chronic
infection with viral hepatitis C (CHC) genotype 1 and 4 on serum levels of
activin-A, activin-B and follistatin, and to determine their correlations with
viral load, liver damage, interleukin-6 (IL-6) and tumour necrosis factor (TNF)
alpha. Sera samples collected from 20 male and 20 female treatment naive CHC
genotype 1 and 4 Saudi patients (ten males and ten females for each genotype),
and 40 gender- and age-matched healthy participants were analysed for activin-A,
activin-B and follistatin using enzyme-linked immunosorbent assay and their
levels were correlated with IL-6, TNF-alpha, viral load and AST platelet ratio
index (APRI). Serum activin-A, activin-B, IL-6 and TNF-alpha were significantly
increased, while serum follistatin was significantly decreased, in both genders
of CHC patients compared with control subjects, In both viral genotypes, activin
A was strongly and positively correlated with the viral load, APRI, IL-6 and TNF
alpha, and negatively with albumin (P < 0.01). Activin-B showed the same
correlations of activin-A only in CHC genotype 1 patients, but it was weaker than
activin-A. No correlation was detected with follistatin. Serum activins,
particularly activin-A, and follistatin are significantly altered by CHC genotype
1 and 4. This dysregulation of activins/follistatin axis may be associated with
viral replication, host immune response and liver injury. Further studies are
needed to illustrate the definite role(s) and clinical value of activins and
follistatin in CHC.
PMID- 24925644
TI - Over-expression in E. coli and purification of functional full-length murine
small C-terminal domain phosphatase (SCP1, or Golli-interacting protein).
AB - During myelination in the central nervous system, proteins arising from the gene
in the oligodendrocyte lineage (golli) participate in diverse events in signal
transduction and gene regulation. One of the interacting partners of the Golli
isoform BG21 was discovered by yeast-2-hybrid means and was denoted the Golli
interacting-protein (GIP). In subsequent in vitro studies of recombinant murine
GIP, it was not possible to produce a full-length version of recombinant murine
rmGIP in functional form under native conditions, primarily because of solubility
issues, necessitating the study of a hexahistidine-tagged, truncated form DeltaN
rmGIP. This protein is an acidic phosphatase belonging to the family of RNA
polymerase-2, small-subunit, C-terminal phosphatases (SCP1), and studies of the
human ortholog hSCP1 have also been performed on truncated forms. Here, a new
SUMO-expression and purification protocol has been developed for the preparation
of a functional, full-length mSCP1/GIP (our nomenclature henceforth), with no
additional purification tags. Both full-length mSCP1/GIP and the truncated murine
form (now denoted DeltaN-rmSCP1/GIP) had similar melting temperatures, indicating
that the integrity of the catalytic core per se was minimally affected by the N
terminus. Characterization of mSCP1/GIP activity with the artificial substrate p
NPP (p-nitrophenylphosphate) yielded kinetic parameters comparable to those of
DeltaN-rmSCP1/GIP and the truncated human ortholog DeltaN-hSCP1. Similarly,
mSCP1/GIP dephosphorylated a more natural CTD-peptide substrate (but not protein
kinase C-phosphorylated BG21) with comparable kinetics to DeltaN-hSCP1. The
successful production of an active, full-length mSCP1/GIP will enable future
evaluation of the functional role of its N-terminus in protein-protein
interactions (e.g., BG21) that regulate its phosphatase activity.
PMID- 24925645
TI - Expression, purification and refolding of active durum wheat (Triticum durum
Desf.) secretory phospholipase A2 from inclusion bodies of Escherichia coli.
AB - Recently, a durum wheat (Triticum durum Desf.) secretory phospholipase A2
(TdsPLA2III) was identified in leaves as potentially involved in plant responses
to conditions of limiting water supply. Therefore, to allow future functional
studies on TdsPLA2III and shed further light on the involvement of sPLA2 isoforms
in specific plant functions, here we report a protocol for the overexpression of
TdsPLA2III in Escherichia coli in the form of inclusion bodies, and for its
purification and refolding. The use of the Gateway system (Invitrogen) allows the
expression of a large quantity of the mature form (without the signal peptide) of
TdsPLA2III with an N-terminal 6*His-tag, for purification using Ni-affinity
chromatography. The purified recombinant 6*His-TdsPLA2III fusion protein is then
refolded using a step-wise dialysis approach. About 40mg purified and active
protein was obtained from 1L of cell culture. This recombinant 6*His-TdsPLA2III
protein shows PLA2 activity, as it can hydrolyze linoleate from the sn-2 position
of 1-palmitoyl-2-linoleoyl-sn-glycero-3-phosphocholine. Moreover, it has some
features that are typical of other known plant sPLA2s: Ca(2+)-dependence,
inhibition by the disulfide bond reducing agent dithiothreitol, and resistance to
high temperature.
PMID- 24925647
TI - Mise en evidence d'effects grand-maternels sur les conduites maternelles de la
souris.
AB - Continuous recording of locomotor activity and time spent in the nest were
performed on mice during the five first days postpartum. We compared mice of the
C57BL/6 inbred strain to mice of the two reciprocal F2's derived from the C57BL/6
and BALB/c parental strains. All animals reared foster pups of the C57BL/6
strain. The results did not show any difference between the three groups for the
total amount of time spent in the nest ; this parameter decreases with days. No
difference appeared between the C57BL/6 group and the F2 B6CxCB6 group (having a
grandmother of the C57BL/6 strain), whereas each of these groups differed
significantly from F2 CB6xB6C group (having a grandmother of the BALB/c strain)
for the following indices : locomotor activity, mean duration of a stay in the
nest, mean duration of an absence from the nest and percentage of nocturnal
activity. These results are discussed in terms of grandmaternal effects as far as
the variation in the strain of the grandmother is the only factor which can
account for these differences in behavior.
PMID- 24925646
TI - ERK/Egr-1 signaling pathway is involved in CysLT2 receptor-mediated IL-8
production in HEK293 cells.
AB - The CysLT2 receptor is involved in myocardial ischemia/reperfusion injury,
differentiation of colorectal cancers, bleomycin-induced pulmonary inflammation
and fibrosis. However, the signal transduction of cysteinyl leukotriene receptor
2 (CysLT2) in inflammatory responses remains to be clarified. In HEK293 cells
stably expressing hCysLT1, hCysLT2 and rGPR17, we determined the signaling
pathways for interleukin-8 (IL-8) production after CysLT2 receptor activation.
HEK293 cells were stably transfected with the recombinant plasmids of pcDNA3.1(+)
hCysLT1, pcDNA3.1(+)-hCysLT2 and pcDNA3.1-rGPR17. Leukotriene C4 (LTC4) and LTD4
were used as the agonists to induce IL-8 production and the related changes in
signal molecules. We found that LTC4 and LTD4 significantly induced IL-8 promoter
activation in the HEK293 cells stably expressing hCysLT2, but not in those
expressing hCysLT1 and rGPR17. In hCysLT2-HEK293 cells, LTC4 induced elevation of
intracellular calcium, ERK1/2 phosphorylation and Egr-1 expression, and
stimulated IL-8 expression and release. These responses were blocked by the
selective CysLT2 receptor antagonist HAMI3379. The ERK1/2 inhibitor U0126
inhibited Egr-1 and IL-8 expression as well as IL-8 release, but the JNK and p38
inhibitors did not have the inhibitory effects. Down-regulation of Egr-1 by RNA
interference with its siRNA inhibited the LTC4-induced IL-8 expression and
release. In conclusion, these findings indicate the ERK-Egr-1 pathway of CysLT2
receptors mediates IL-8 production induced by the pro-inflammatory mediators LTC4
and LTD4.
PMID- 24925648
TI - Behavioral contrast in rats when qualitatively different reinforcers are used.
AB - Behavioral contrast was studied during multiple schedules which provided
qualitatively different reinforcers in the two components. Five rats responded on
a baseline schedule in which both components delivered food reinforcers (food
food), and then on a contrast schedule in which one component delivered food and
the other delivered water (food-water). Following this, baseline was recovered.
Five other rats responded on a baseline schedule in which both components
delivered water reinforcers (water-water), then on a food-water schedule, and
then on the baseline, water- water, schedule. Contrast was not observed when
relatively low rates of reinforcement were use but it was sometimes observed when
high rates of reinforcement were used. The rate of responding for a constant
water reinforcer decreased when food replaced water in the other component. The
rate of responding for a constant food reinforcer did not change when a water
reinforcer replaced food in the other component. These results are similar to
those reported by Ettinger and McSweeney (1981) when pigeons served as subjects.
PMID- 24925649
TI - Self-control choice with electrical stimulation of the brain as a reinforcer.
AB - In a discrete-trials procedure, rats chose between a small reinforcer (a low
frequency of electrical stimulation of the brain) and a larger reinforcer (a
higher frequency of stimulation). The small reinforcer was delivered after a
delay that was constant within a condition but varied across conditions. The
delay for the large reinforcer was increased or decreased many times a session in
order to estimate an indifference point--a delay at which the two alternatives
were chosen about equally often. When the indifference points from several
conditions were plotted as a function of the delay for the small reinforcer, the
resultant "indifference curves" had positive y-intercepts and slopes greater than
1.0. These results are similar to those obtained in previous studies with pigeons
as subjects and food as the reinforcer, and they suggest that a hyperbolic
equation describes the relation between a reinforcer's delay and its value or
effectiveness. The fact that a large reinforcer delayed several seconds was
chosen over a small reinforcer delivered almost immediately after a response
provides further evidence against a simple reciprocal relation between delay and
value.
PMID- 24925650
TI - Total recovery of response to novelty after ectopic pituitary isografts in Snell
Dwarf mice (dw/dw).
AB - The effects of ectopic pituitary isografts on response to novelty were studied in
adult Snell Dwarf mice. Because of anterohypophyseal deficiency, these animals
exhibit dwarfism, sterility and marked neurochemical and behavioural deficits.
When given the opportunity to move around freely in simultaneously presented
novel and familiar environments, grafted dwarf (GRAFT Dw) and sham-grafted
control (SHAM C) mice showed a significant novelty preference while sham-grafted
dwarf (SHAM Dw) mice exhibited no preference. Both SHAM C and GRAFT Dw mice
frequently reared and covered the familiar compartment more quickly than the
novel compartment, while SHAM Dw mice reared infrequently and covered the two
compartments at equal speed. These data reveal in the mutant a total indifference
toward its environment and show a total recovery of neotic behaviour after
grafting. The literature is very vague concerning the hormonal changes induced
after ectopic pituitary grafting but subsequent specific hormonal treatments and
biochemical manipulations would facilitate identification of the hypophyseal
hormones and/or the growth factors involved in the behavioural changes.
PMID- 24925651
TI - Radial maze performance under food and water deprivation.
AB - The performance of food and water-deprived rats was examined on two eight-arm
radial mazes. Accurate performance developed rapidly under both deprivation
conditions. On an open maze, where extra-maze visual cues were readily available,
water-deprived, but not food-deprived, rats tended to adopt a response strategy
of choosing adjacent arms. On an enclosed maze, which reduced extra-maze visual
information, both groups showed this response pattern. Tolman (1948), in
presenting his "cognitive map" hypothesis to account for the performance of rats
on spatial problems, suggested that under some conditions animals will utilize
narrow strip maps rather than broad comprehensive maps. The variables
establishing these conditions include amount of training, the availability of
environmental cues, and degree of deprivation. The findings reported here are
examined in terms of Tolman's cognitive map approach to spatial representation.
PMID- 24925652
TI - The effects of inbreeding and ventilation on mating behavior in Drosophila
pseudoobscura.
AB - Two outbred and two inbred lines of Drosophila pseudoobscura were used in mating
behavior studies. For each line, mating rates were compared under both ventilated
and nonventilated conditions. Under nonventilated conditions courtship and mating
were earlier, not following a random rate of occurence, and females mated after
fewer courtships. Flies from inbred lines tended to court and mate earlier. Under
all conditions a high proportion of matings occured on the first courtship
attempt.
PMID- 24925653
TI - Suppression by reinforcement, a model for multiple-schedule behavioral contrast.
AB - The present paper argues that multiple-schedule behavioral contrast occurs
because delayed reinforces suppress behavior. According to this idea, some
reinforcers delivered in the second component of a multiple schedule suppress
responding during the first component because they follow that responding after a
delay. Removing second-component reinforcers removes suppression from the first
component and response rates rise, creating positive contrast. Adding second
component reinforcers adds suppression and response rates fall, creating negative
contrast. The paper shows that this idea is consistent with the major findings in
the contrast literature.
PMID- 24925654
TI - Behavioural dominance: Some elementary probabilistic considerations and their
behavioural implications.
AB - Despite near ubiquity of behavioural dominance, its nature and implications
remain uncertain. Criteria commonly used to detect and assess dominance are not
easily referred to random expectation, and may be behaviourally inappropriate.
Use of the final score , Wins to A:Wins to B, is insufficient on both counts, and
does not consider temporal succession. Successive wins and losses for an
individual can be scored as positive (p) and negative (q) units, whose sum at any
encounter is the cumulative score (S). This indicates lead (+S), lag (-S) or
equality (S=0), sums all prior experience in a single present state, and may then
be of direct behavioural relevance. Lead so measured is appropriate to assessment
of the overall direction of dominance, and allows distinction between 'reversals'
which do or do not alter this. Exact random probabilities of all possible values
of SN at encounter N, and of all possible win-loss sequences leading to these can
be specified by reference to the arcsine probability distribution. Calculations
of such expectations for situations of particular behavioural interest reveal
counter-intuitively high degrees of consistency in lead (dominance). The need to
establish reliable null hypotheses to avoid erroneous detection of behavioural
dominance is stressed.
PMID- 24925655
TI - Urine odours and marking patterns in territorial laboratory mice (Mus musculus ).
AB - Responses of subordinate male mice in an open field, half of which was spotted
with water and half with urine collected from other males were analysed. Test
mice avoided the urine of dominant mice which held territories in a "free range"
room, but not of caged dominants or of singly housed males. They spent more time
in the urine half of the open field when it was spotted with the urine of free
range subordinates. Locomotor activity was depressed in the presence of caged
subordinate urine. The urine marking patterns of the different categories of
males were investigated in a separate experiment. Subordinate males tend to
deposit their urine in relatively few large pools mainly at the edge of a test
arena, whilst dominant and singly-housed animals produce large numbers of tiny
spots. Dominant animals urine-mark evenly over the entire area, whereas singly
housed animals mark more intensely at the periphery than at the centre. It is
concluded that only territorial males produce in their urine a factor that deters
other (at least subordinate) males from investigating areas marked with it, and
that they actively distribute it over a wide area.
PMID- 24925656
TI - Dissociation of instrumental and Pavlovian contingencies in a discriminated
instrumental procedure.
AB - Four pigeons were exposed to an experimental procedure in which the presentation
of a stimulus at one intelligence panel in a longbox signaled the opportunity to
obtain response-dependent food contingent upon withdrawal from the stimulus to
the other end of the box. Four other pigeons in addition received unsignaled,
response-independent food during the intertrial interval. Both groups of subjects
learned to withdraw from the stimulus during stimulus presentations, but the
subjects who received response-independent food during the intertrial interval
learned to do so faster than the subjects in the other group. Subjects who
received response-independent food in the absence of the stimulus hardly ever
contacted the stimulus upon its presentation, whereas subjects in the other group
(food only presented upon withdrawal from the stimulus) contacted the stimulus
frequently. Withdrawal behavior from the stimulus decreased when it was no longer
reinforced, while random presentations of the stimulus and response-independent
food eliminated stimulus contact behavior.
PMID- 24925657
TI - Choice behaviour of red-winged blackbirds (Agelaius phoeniceus ) searching for
food: The role of certain variables in stay and shift strategies.
AB - This experiment examined the extent to which the food searching strategies of Red
winged Blackbirds are influenced by the age of the birds and by information about
the food supply gained from a previously visited location. Birds entered a maze
and were given a choice between the three parallel, foraging locations. After
making its choice, each bird was either allowed to consume all of the food
present at the site (depletion condition) or only some of it (non-depletion
condition). All birds were tested under both conditions. After its first choice,
each bird was subsequently re-tested to determine if it returned to the
previously visited site or whether it chose an alternate site. Subjects were more
likely to choose an alternate location after experiencing the depletion
condition. Under the non-depletion condition, adult birds showed a strong
predisposition to return to the initially chosen sites while immature birds only
returned to those sites randomly. The results were related to the current theory
of staying/shifting dichotomy.
PMID- 24925658
TI - Effects of systemically and intrastriatally injected haloperidol and apomorphine
on grooming, feeding and locomotion in the rat.
AB - The effects of haloperidol and apomorphine on unconditioned behaviour of rats
were tested in an open field. Systemically injected, the dopamine-antagonist
haloperidol (0.01-0.3 mg/kg i.p.) decreased feeding and locomotion, but the
average bout-length of grooming was increased at higher doses. Intrastriatally
injected haloperidol (2.5 MUg/0.5 MUl) markedly increased grooming and to a
lesser extent feeding. Locomotion remained unaffected. Thus, the sedative effects
of peripherally administered haloperidol on locomotion and feeding are not
mediated by dopamine in the antero-dorsal striatum. It is suggested that under
haloperidol the behaviour of the animals is preferentially guided by
exteroceptive stimuli, e.g. they show feeding and grooming while spontaneous
behaviours which under control conditions may compete with feeding and grooming
are suppressed. The dopamine-agonist apomorphine (0.05-2.0 mg/kg s.c.),
systemically injected, suppressed grooming, feeding and locomotion.
Intrastriatally injected apomorphine (5 MUg/0.5 MUl) only inhibited grooming.
Thus, the inhibitory effects of peripherally administered apomorphine on feeding
and locomotion are not mediated by dopamine at this site of the striatum. The
inhibition of grooming is opposite to the effect of haloperidol and may indicate
that dopamine in the antero-dorsal striatum is at least partly involved in a
direct control of grooming.
PMID- 24925659
TI - Comparative study of the rheotaxis in the cave salamander Proteus anguinus and
his epigean relative Necturus maculosus (Proteidae, Urodela).
AB - The reaction to different water currents of the cave salamander Proteus anguinus
and his epigean relative Necturus maculosus has been tested in a choice chamber.
Both species showed a positive reaction which started in P. anguinus with a
current of 35 cm/min and in N. maculosus 60 cm/min. These thresholds are within
the range measured for different species of fish in the absence of visual
stimuli. In addition, the rheotactic behaviour is less steady in N. maculosus .
It seems that the differences found are not sufficient to be explained by
adaptation to cave life.
PMID- 24925660
TI - Rate of responding as a function of ratio requirement when to supplemental
feedings are given.
AB - Pigeons pecked keys or pressed treadles on variable ratio schedules in which they
earned their total daily ration of food. Ratio requirements varied in five steps
from 15 to 240 responses per reinforcer. Results were generally similar for
pecking and pressing. Rates of responding increased with increases in the ratio
requirement, but decreased with increases in the obtained rates of reinforcement.
The amount of food obtained per session also decreased with increases in the
ratio requirement. These results are generally consistent with Hursh's behavioral
economics model and with Allison's conservation theory. They are not consistent
with theories, such as Herrnstein's, which predict that rates of responding will
vary as a direct function of rates of reinforcement.
PMID- 24925661
TI - Preference for less segmented over more segmented reinforcement schedules:
Stimulus and response factors.
AB - A concurrent-chain procedure was employed to examine choice between a pair of
differentially segmented schedules of reinforcement of equal interreinforcement
interval ( 15 s). To assess the effect of response segmentation on choice, two
conditions had schedules of same stimulus conditions but of different response
conditions. The effect of stimulus segmentation was also evaluated in two other
conditions by comparing schedules of same response conditions but of different
stimulus conditions. With one exception, a schedule segmented by less events was
always preferred to one that was segmented by more events. It was suggested that,
in addition to the stimulus change, the response requirement in a segmented
chained schedule may have also contributed to the preference shift from that
schedule.
PMID- 24925662
TI - Differential Reinforcement of Response Duration (DRRD) in weanling rats: A
comparison with adult subjects.
AB - Weanling (21-day) and adult (3-month-old) albino rats were reinforced for lever
press durations of 0.5, 1.0, 1.5, 2.0 and 2.5 seconds. Six sessions were
performed at DRRD 0.5 seconds, 12 at the other values, at a pace of 3 (at DRRD
0.5 seconds) or 6 sessions a day. Adult rats emit lower response rates, higher
median response durations and earn more reinforcements than weanling subjects.
The effect of age on the coefficient of variation of the response duration
distribution is not significant, but this measure is, on average, higher for the
weanling rats. Age-related differences are discussed in relationship to general
activity, food motivation, schedule control and timing mechanisms. Methodological
variables and the relationship of these results to the laws of temporal
differentiation are also evaluated.
PMID- 24925663
TI - Observations on creche behaviour in suckler calves.
AB - The creche behaviour of 16 Charolais-sired Hereford x Friesian calves and 12
Hereford-sired Friesian x Hereford calves was studied in a hill pasture over a 4
month period from June to September when the calves were 3-6 months old. Before
being put onto the hill pasture in early May the calves had been penned indoors,
from birth with their dams, in two groups. The number of hours of observation in
each month varied from 30-36. Scan sampling and focal animal sampling were
carried out. From the observations it was concluded that a creche was a group of
calves each of which was lying within 20 m of its nearest neighbour. The distance
between nearest neighbours was found to change with age. Big creches tended to be
formed in the early morning while creches formed later in the day tended to be
smaller in size except in the case of 5 and 6 month-old calves. The calves did
not appear to choose any special place for lying except in bad weather. Analysis
showed that calves tended to lie with calves from the same original group and
that there was a preference to lie with calves of their own cross. Females were
more sociable than males and calves with a Charolais sire were more sociable than
those with a Hereford sire.
PMID- 24925669
TI - Electronic structure of Zr-Ni-Sn systems: role of clustering and nanostructures
in half-Heusler and Heusler limits.
AB - Half-Heusler and Heusler compounds have been of great interest for several
decades for thermoelectric, magnetic, half-metallic and many other interesting
properties. Among these systems, Zr-Ni-Sn compounds are interesting
thermoelectrics which can go from semiconducting half-Heusler (HH) limit, ZrNiSn,
to metallic Heusler (FH) limit, ZrNi2Sn. Recently Makongo et al (2011 J. Am.
Chem. Soc. 133 18843) found that dramatic improvement in the thermoelectric power
factor of HH can be achieved by putting excess Ni into the system. This was
attributed to an energy filtering mechanism due to the presence of FH
nanostructures in the HH matrix. Using density functional theory we have
investigated clustering and nanostructure formation in ZrNi1+xSn (0 ? x ? 1)
systems near the HH (x = 0) and FH (x = 1) ends and have found that excess Ni
atoms in HH tend to stay close to each other and form nanoclusters. On the other
hand, there is competing interaction between Ni-vacancies occupying different
sites in FH which prevents them from forming vacancy nanoclusters. Effects of
nano-inclusions on the electronic structure near HH and FH ends are discussed.
PMID- 24925671
TI - Comparison of four different categories of prosthetic feet during ramp ambulation
in unilateral transtibial amputees.
AB - BACKGROUND: Comparative effectiveness of prosthetic feet during ramp ambulation
in unilateral transtibial amputees, who function at different Medicare Functional
Classification Levels, has not been published. OBJECTIVE: To determine
differences in symmetry in external work between four categories of prosthetic
feet in K-Level-2 and K-Level-3 unilateral transtibial amputees during ramp
ascent and descent. STUDY DESIGN: Randomized repeated-measures trial. METHODS:
Ten subjects completed six testing sessions during which symmetry in external
work was calculated using F-scan in-sole sensors. Between testing sessions 1 and
2, subjects received standardized functional prosthetic training. In Sessions 3
6, subjects tested four feet--solid ankle cushion heel, stationary attachment
flexible endoskeleton, Talux (categories K1, K2, and K3, respectively), and
Proprio-Foot (microprocessor ankle)--using a study socket and had a 10- to 14-day
accommodation period with each foot. RESULTS: During ramp descent, K-Level-2
subjects demonstrated higher symmetry in external work values with Talux and
Proprio-Foot compared to the solid ankle cushion heel foot. K-Level-3 subjects
also had higher symmetry in external work values with the Talux foot than the
solid ankle cushion heel foot. Ramp ascent symmetry in external work values were
not significantly different between feet. CONCLUSIONS: Prosthetic foot category
appears to influence symmetry in external work more during decline walking than
incline walking. K-Level-2 unilateral transtibial amputees achieve greater
symmetry from K3 dynamic response prosthetic feet with J-shaped ankle and
microprocessor ankles while descending ramps. CLINICAL RELEVANCE: The findings
suggest that K-Level-2 unilateral transtibial amputees benefit from K3 dynamic
response prosthetic feet with J-shaped ankle. These results support the
prescription of K3 feet for K-Level-2 amputees who frequently negotiate ramps.
PMID- 24925672
TI - Effect of post-trochanteric groove support on stance control associated with the
pelvic-lumbar system: A preliminary study.
AB - BACKGROUND: Many stroke and neuromuscular patients with paraplegia or severe
hemiparesis cannot control trunk balance. OBJECTIVE: To support the pelvis/hip of
paresis patients, a new pelvic/hip support system was developed bearing a convex
pressing member placed over the post-trochanteric groove, a cutaneous landmark
sited on the lateral portion of the gluteus maximus muscle and indicating the
posterior aspect of the greater trochanter. STUDY DESIGN: Preliminary study.
METHODS: Stance control differences in two paretic patients (Guillain-Barre
syndrome and stroke sequelae) with or without post-trochanteric groove support
were examined. The contact pressure on the post-trochanteric groove was examined
in eight healthy volunteers using an impact force sensor. The pelvic-lumbar
movement was also examined using three-dimensional motion analysis, and the
gluteus muscles activity was evaluated using surface electromyography. RESULTS:
Without post-trochanteric groove support, total three-dimensional displacement of
the sacral marker was longer in the paresis patients than in normal controls,
while post-trochanteric groove support decreased this distance. Post-trochanteric
groove support provided compression pressure on the post-trochanteric groove, and
all subjects showed a more upright trunk position, providing more anterior pelvic
tilting. Six of eight subjects showed increased lumbar lordosis. Five of eight
subjects showed gluteus maximus and/or gluteus medius muscle activation.
CONCLUSION: The mechanisms of post-trochanteric groove support were suggested to
be spino-pelvic coordination and gluteal muscle activation. CLINICAL RELEVANCE:
The post-trochanteric groove is a cutaneous landmark located behind the
pelvis/hip joint. Applying pressure to the post-trochanteric groove from behind
pushes the trunk to adopt a more upright position, leading to improved stance
control. Underlining mechanisms appear to be spino-pelvic coordination and
gluteal muscle activation.
PMID- 24925673
TI - Androgen receptor CAG repeat polymorphism and risk of TMPRSS2:ERG-positive
prostate cancer.
AB - BACKGROUND: The androgen receptor (AR) is an essential gene in prostate cancer
pathogenesis and progression. Genetic variation in AR exists, including a
polymorphic CAG repeat sequence that is inversely associated with transcriptional
activity. Experimental data suggest that heightened AR activity facilitates
formation of TMPRSS2:ERG, a gene fusion present in approximately 50% of tumors of
patients with prostate cancer. METHODS: We undertook a nested case-control study
to investigate the hypothesis that shorter CAG repeat length would be associated
with prostate cancer risk defined by TMPRSS2:ERG status. The study included 291
men with prostate cancer (147 ERG-positive) and 1,221 cancer-free controls. ORs
and 95% confidence intervals (CI) were calculated using logistic regression.
RESULTS: Median CAG repeat length (interquartile range) among controls was 22 (20
24). Men with shorter CAG repeats had an increased risk of ERG-positive (OR, 1.07
per 1 repeat decrease; 95% CI, 1.00-1.14), but not ERG-negative prostate cancer
(OR, 0.99 per 1 repeat decrease; 95% CI, 0.93-1.05). CONCLUSIONS: These data
suggest that shorter CAG repeats are specifically associated with development of
TMPRSS2:ERG-positive prostate cancer. IMPACT: Our results provide supportive
evidence that androgen signaling underlies the development of prostate tumors
that harbor TMPRSS2:ERG. Moreover, these results suggest that TMPRSS2:ERG may
represent a unique molecular subtype of prostate cancer with an etiology distinct
from TMPRSS2:ERG-negative disease.
PMID- 24925674
TI - Validation of plasma proneurotensin as a novel biomarker for the prediction of
incident breast cancer.
AB - BACKGROUND: High fasting plasma proneurotensin concentration was associated with
the development of breast cancer in the Malmo Diet and Cancer Study (MDCS). Here,
we aimed at replicating the initial finding in an independent second cohort.
METHODS: The Malmo Preventive Project (MPP) is a population study and comprised
18,240 subjects when examined in 2002-2006. Of women without history of breast
cancer at examination, we included all who developed breast cancer during follow
up (n = 130) until December 31, 2010, and a random sample of women without breast
cancer until the end of follow-up (n = 1,439) for baseline plasma proneurotensin
assessment (mean age, 70.0 +/- 4.4 years). Proneurotensin was measured in fasting
plasma samples and was related to the risk of later breast cancer development
using multivariate logistic regression. RESULTS: Proneurotensin [odds ratio (OR)
per standard deviation (SD) increment of LN-transformed proneurotensin] was
significantly related to incident breast cancer [OR, 2.09; 95% confidence
interval (CI), 1.79-2.44; P < 0.001; adjusted for age, body mass index (BMI),
smoking, and hormone replacement therapy]. The effect estimate in the MPP was
larger than in the discovery cohort (MDCS), with the main difference between the
two cohorts being that women of the MPP study were on the average about 10 years
older and follow-up time was shorter than that of the MDCS. CONCLUSION: As
initially found in the MDCS, fasting plasma proneurotensin was significantly
associated with the development of breast cancer in the MPP study as well.
IMPACT: Measurement of plasma proneurotensin warrants further investigation as a
blood-based marker for early breast cancer detection.
PMID- 24925675
TI - Make your own cigarettes: toxicant exposure, smoking topography, and subjective
effects.
AB - BACKGROUND: Despite considerable use of make your own (MYO) cigarettes worldwide
and increasing use in the United States, relatively little is known about how
these cigarettes are smoked and the resultant toxicant exposure. METHODS: In a
laboratory study, we compared two types of MYO cigarettes-roll your own (RYO) and
personal machine made (PMM)-with factory-made (FM) cigarettes in three groups of
smokers who exclusively used RYO (n = 34), PMM (n = 23), or FM (n = 20). Within
each group, cigarettes were smoked in three conditions: (i) after confirmed
overnight tobacco abstinence; (ii) in an intense smoking paradigm; and (iii)
without restrictions. All cigarettes were smoked ad lib through a smoking
topography unit. RESULTS: Plasma nicotine significantly increased after
cigarettes in all conditions except PMM in the intense smoking paradigm. Puff
volume, puff duration, total puff volume, and puff velocity did not differ
between cigarette types but the puffs per cigarette and time to smoke were
significantly smaller for RYO compared with PMM and FM. Regardless of the
cigarette, participants consumed the first three puffs more vigorously than the
last three puffs. CONCLUSIONS: Despite the belief of many of their consumers,
smoking MYO cigarettes is not a safe alternative to FM cigarettes. Like FM, MYO
cigarettes expose their users to harmful constituents of tobacco smoke. Despite
differences in size and design their puffing profiles are remarkably similar.
IMPACT: These data are relevant to health and regulatory considerations on the
MYO cigarettes.
PMID- 24925676
TI - Risk of hospitalization for survivors of childhood and adolescent cancer.
AB - BACKGROUND: Childhood cancer survivors may be at increased risk of
hospitalization because of cancer-related late effects. METHODS: Using data from
population-based research resources in Utah, we identified childhood and
adolescent cancer survivors who were diagnosed from 1973 to 2005 (N = 2,571). We
selected a comparison cohort based on birth year and sex (N = 7,713).
Hospitalizations from 1996 to 2010, excluding pregnancy and delivery, were
determined from discharge records. Multivariable regressions were used to
evaluate hospitalization admissions, length of stay, and diagnosis for survivors
starting five years from diagnosis versus the comparison cohort. RESULTS: When
follow-up began in 1996, there were N = 1,499 survivors and N = 7,219 comparisons
who were alive and eligible for follow-up. Average follow-up for survivors was
13.5 years (SD = 8.5) and for the comparison 14.0 years (SD = 8.7; P = 0.05).
Survivors were hospitalized, on average, 1.62 (SD = 3.37) times contrasted to
0.79 (SD = 1.73) for the comparison cohort. In multivariable analyses, the hazard
ratio (HR) of any hospitalization since 1996 was higher for survivors than the
comparison cohort [HR, 1.52, 95% confidence interval (CI), 1.31-1.66]. Survivors
experienced a higher hospital admission rate [rate ratio (RR) = 1.67; 95% CI,
1.58-1.77] than the comparison cohort. The number of hospitalizations was highest
for neuroblastoma (RR = 2.21; 95% CI, 1.84-2.66) and bone tumors (RR = 2.55; 95%
CI, 2.14-3.02) in reference to the comparison cohort. Survivors were hospitalized
because of blood disorders more often (HR, 14.2; 95% CI, 6.3-32.0). CONCLUSIONS:
The risk of hospitalization and lengths of stay are elevated among childhood
cancer survivors. IMPACT: Research to identify strategies to prevent and manage
survivors' health problems in outpatient settings is needed.
PMID- 24925677
TI - Teriflunomide (Aubagio(r)) for the treatment of multiple sclerosis.
AB - Teriflunomide (Aubagio(r)) is a once-daily oral immunomodulatory disease
modifying therapy (DMT) presently approved in several regions, including Europe,
North America, Latin America and Australia, for the treatment of relapsing forms
of multiple sclerosis (RMS; RRMS). The therapeutic mode of action of
teriflunomide in MS continues to be investigated. This review summarizes the main
efficacy and safety results of the clinical trial program leading to
teriflunomide's approval, highlights a number of practical clinical
considerations, and overviews its presumed therapeutic mode of action (MOA) based
on pharmacokinetic and pharmacodynamic observations and the growing body of
teriflunomide-related in vitro, pre-clinical (animal model), and in vivo human
studies.
PMID- 24925678
TI - Axonal dysfunction with voltage gated potassium channel complex antibodies.
AB - OBJECTIVE: Although autoantibodies targeted against voltage-gated potassium
channel (VGKC)-associated proteins have been identified in limbic encephalitis
(LE) and acquired neuromyotonia (aNMT), the role of these antibodies in disease
pathophysiology has not been elucidated. The present study investigated axonal
function across the spectrum of VGKC-complex antibody associated disorders.
METHODS: Peripheral axonal excitability studies were undertaken in a cohort of
patients with LE (N=6) and aNMT (N=11), compared to healthy controls (HC; N=20).
RESULTS: Patients with LE demonstrated prominent abnormalities in peripheral
axonal excitability during the acute phase, with reduced threshold change in
threshold electrotonus (depolarizing 10-20 LE: 58.5+/-3.1%; HC: 67.4+/-0.9%;
P<.005; S2 accommodation LE: 17.2+/-1.4%; HC: 22.2+/-0.6%; P<=.005) and in
recovery cycle parameters (superexcitability LE: -16.0+/-0.9%; HC: -23.4+/-1.1%;
P<.01; subexcitability LE: 8.5+/-1.2%; HC: 13.8+/-0.7%; P<=.005). The pattern of
change in LE patients was dissimilar to the effects of antiepileptic medications,
suggesting that these factors did not underlie excitability changes in LE.
Normalization of excitability parameters was associated with recovery (TEd peak
correlation coefficient=.868; P=.002), suggesting that peripheral excitability
studies may provide a marker associated with clinical improvement. In contrast,
patients with aNMT demonstrated no significant changes at the site of
stimulation. CONCLUSIONS: The lack of prominent excitability abnormalities in
patients with aNMT likely reflects a distal origin of hyperexcitability, expected
to be at the motor nerve terminal, while the prominent changes observed in
patients with LE likely represent a complex disturbance at the level of the
axonal membrane, combined with electrolyte imbalance and adaptive change.
PMID- 24925679
TI - Potato Production, Usage, and Nutrition--A Review.
AB - Potato is an economically important staple crop prevailing all across the world
with successful large-scale production, consumption, and affordability with easy
availability in the open market. Potatoes provide basic nutrients such as
carbohydrates, dietary fiber (skin), several vitamins, and minerals (e.g.,
potassium, magnesium, iron). On occasion exposures to raw and cooked potatoes
impart allergic reactions. Dietary intake of potatoes, especially colored
potatoes, play an important role in the production of antioxidant defense system
by providing essential nutrient antioxidants, such as vitamins, beta-carotene,
polyphenols, and minerals. This may help lower the incidence of wide range of
chronic and acute disease processes (like hypertension, heart diseases, cancer,
neurodegenerative, and other diseases). However, retention of nutrients in
potatoes is affected by various cooking and processing methods. Cooking at
elevated temperature also produces acrylamide-a suspected carcinogen. Independent
and/or collaborative studies have been conducted and reported on the various
pathways leading to the formation of acrylamide in heat processed foods. This
article reviews the latest research on potato production, consumption, nature of
phytochemicals and their health benefits, and allergic reactions to children.
Also included is the discovery of acrylamide in processed starch-rich foods
including potatoes, mechanism of formation, detection methodologies, and
mitigation steps to reduce acrylamide content in food.
PMID- 24925680
TI - Skewer: a fast and accurate adapter trimmer for next-generation sequencing paired
end reads.
AB - BACKGROUND: Adapter trimming is a prerequisite step for analyzing next-generation
sequencing (NGS) data when the reads are longer than the target DNA/RNA
fragments. Although typically used in small RNA sequencing, adapter trimming is
also used widely in other applications, such as genome DNA sequencing and
transcriptome RNA/cDNA sequencing, where fragments shorter than a read are
sometimes obtained because of the limitations of NGS protocols. For the newly
emerged Nextera long mate-pair (LMP) protocol, junction adapters are located in
the middle of all properly constructed fragments; hence, adapter trimming is
essential to gain the correct paired reads. However, our investigations have
shown that few adapter trimming tools meet both efficiency and accuracy
requirements simultaneously. The performances of these tools can be even worse
for paired-end and/or mate-pair sequencing. RESULTS: To improve the efficiency of
adapter trimming, we devised a novel algorithm, the bit-masked k-difference
matching algorithm, which has O(kn) expected time with O(m) space, where k is the
maximum number of differences allowed, n is the read length, and m is the adapter
length. This algorithm makes it possible to fully enumerate all candidates that
meet a specified threshold, e.g. error ratio, within a short period of time. To
improve the accuracy of this algorithm, we designed a simple and easy-to-explain
statistical scoring scheme to evaluate candidates in the pattern matching step.
We also devised scoring schemes to fully exploit the paired-end/mate-pair
information when it is applicable. All these features have been implemented in an
industry-standard tool named Skewer (https://sourceforge.net/projects/skewer).
Experiments on simulated data, real data of small RNA sequencing, paired-end RNA
sequencing, and Nextera LMP sequencing showed that Skewer outperforms all other
similar tools that have the same utility. Further, Skewer is considerably faster
than other tools that have comparative accuracies; namely, one times faster for
single-end sequencing, more than 12 times faster for paired-end sequencing, and
49% faster for LMP sequencing. CONCLUSIONS: Skewer achieved as yet unmatched
accuracies for adapter trimming with low time bound.
PMID- 24925681
TI - In response to Redefining successful therapy in obstructive sleep apnea: a call
to arms.
PMID- 24925682
TI - Chemical space networks: a powerful new paradigm for the description of chemical
space.
AB - The concept of chemical space is playing an increasingly important role in many
areas of chemical research, especially medicinal chemistry and chemical biology.
It is generally conceived as consisting of numerous compound clusters of varying
sizes scattered throughout the space in much the same way as galaxies of stars
inhabit our universe. A number of issues associated with this coordinate-based
representation are discussed. Not the least of which is the continuous nature of
the space, a feature not entirely compatible with the inherently discrete nature
of chemical space. Cell-based representations, which are derived from coordinate
based spaces, have also been developed that facilitate a number of chemical
informatic activities (e.g., diverse subset selection, filling 'diversity voids',
and comparing compound collections).These representations generally suffer the
'curse of dimensionality'. In this work, networks are proposed as an attractive
paradigm for representing chemical space since they circumvent many of the issues
associated with coordinate- and cell-based representations, including the curse
of dimensionality. In addition, their relational structure is entirely compatible
with the intrinsic nature of chemical space. A description of the features of
these chemical space networks is presented that emphasizes their statistical
characteristics and indicates how they are related to various types of network
topologies that exhibit random, scale-free, and/or 'small world' properties.
PMID- 24925683
TI - Electrochemical synthesis of sulfonamide derivatives based on the oxidation of
2,5-diethoxy-4-morpholinoaniline in the presence of arylsulfinic acids.
AB - Some new sulfonamide derivatives were synthesized in aqueous solutions via anodic
oxidation of 2,5-diethoxy-4-morpholinoaniline in the presence of arylsulfinic
acids using a commercial carbon anode. In addition, the formation mechanism of
the products was discussed. The obtained data show that the electrogenerated
quinone diimine undergoes a Michael-type addition reaction with arylsulfinic
acids to yield the respective sulfonamide derivatives. In this work, two
different types of products (mono- and disulfone derivatives) in the same
precursor could be isolated just by controlling the exerted potentials.
PMID- 24925684
TI - Determination of melanin types and relative concentrations: an observational
study using a non-invasive inverse skin reflectance analysis.
AB - OBJECTIVE: Melanin is a major skin colour pigment that is made up of eumelanin
(the dark brown-black colour) and pheomelanin (the light red-yellow colour)
pigments. Skin-whitening products typically contain depigmentation agents that
reduce the level of pigmentation by changing the pheomelanin-eumelanin
production. Similarly, in skin pigment treatment of skin disorders, the melanin
production is managed accordingly. To assess and improve treatment efficacy, it
is important to have a measurement tool that is capable of determining the
melanin types objectively. So far, the efficacy assessment is subjective. In this
study, an inverse skin reflectance pigmentation analysis system that determines
eumelanin and pheomelanin content is developed and evaluated in an observational
study involving 36 participants with skin photo type IV. METHODS: The reflectance
spectra of the left forearms of participants were analysed by the pigmentation
analysis system to determine their skin parameters--pheomelanin and eumelanin
concentrations, melanosome volume fraction, and epidermal thickness. The
determined skin parameters are then inputted into the realistic skin model (RSM)
of the Advanced Systems Analyses Program (asap(r)) to generate the ground truth
reflectance spectra for the given skin parameters to validate the system.
RESULTS: The developed pigmentation analysis system is found to be accurate with
a spectral error of 0.0163 +/- 0.009 between measured reflectance and the
reflectance output of the analysis system and RSM. The regression analysis shows
a strong linear relationship (R(2) = 0.994) indicating good precision. The
relative concentrations of pheomelanin (38.23 +/- 15.04) and eumelanin (1.68 +/-
0.91) analysed by the system gives a ratio of pheomelanin to eumelanin of 0.048
+/- 0.029; this value is consistent with previously reported figure of 0.049.
CONCLUSION: The proposed pigmentation analysis system is able to determine
melanin types and their relative concentrations. It has the potential to assess
the efficacy of the skin-whitening and pigmentation treatments objectively in a
non-invasive manner.
PMID- 24925685
TI - The eCollaborative: using a quality improvement collaborative to implement the
National eHealth Record System in Australian primary care practices.
AB - QUALITY PROBLEM: The new national patient-controlled electronic health record is
an important quality improvement, and there was a pressing need to pilot its use
in Australian primary care practices. Implementation of electronic health records
in other countries has met with mixed success. INITIAL ASSESSMENT: New work was
required in general practices participating in the national electronic health
record. National implementers needed to engage with small private general
practices to test the changes before general introduction. CHOICE OF SOLUTION:
The National E-health Transition Authority contracted the Improvement Foundation
Australia to conduct a quality improvement collaborative based on 9 years of
experience with the Australian Primary Care Collaborative Program.
IMPLEMENTATION: Aims, measures and change ideas were addressed in a collaborative
programme of workshops and supported activity periods. Data quality measures and
numbers of health summaries uploaded were collected monthly. Challenges such as
the delay in implementation of the electronic health summary were met.
EVALUATION: Fifty-six practices participated. Nine hundred and twenty-nine
patients registered to participate, and 650 shared health summaries were
uploaded. Five hundred and nineteen patient views occurred. Four hundred and
twenty-one plan/do/study/act cycles were submitted by participating practices.
LESSONS LEARNED: The collaborative methodology was adapted for implementing
innovation and proved useful for engaging with multiple small practices,
facilitating low-risk testing of processes, sharing ideas among participants,
development of clinical champions and development of resources to support wider
use. Email discussion between participants and system designers facilitated
improvements. Data quality was a key challenge for this innovation, and quality
measures chosen require development. Patient participants were partners in
improvement.
PMID- 24925686
TI - Effects of diphenyl diselenide on behavioral and biochemical changes induced by
amphetamine in mice.
AB - Diphenyl diselenide (PhSe)2, an organoselenium compound, has been studied as a
potential pharmacological agent in different in vitro and in vivo models, mainly
due to its antioxidant properties. However, there are few studies concerning the
effects of (PhSe)2 on dopaminergic system. Thus, the purpose of the present study
was to evaluate the effects of acute and sub-chronic treatment of (PhSe)2 on
amphetamine-induced behavioral and biochemical parameters. In acute protocol,
mice were pre-treated with 5 or 10 mg/kg of (PhSe)2 and 30 min after, amphetamine
was administered. In sub-chronic protocol, mice were pre-treated with 5 or 10
mg/kg of (PhSe)2 during 7 days and 24 h after, amphetamine was administered.
Twenty-five minutes after amphetamine administration, behavioral (crossing,
rearing, time of stereotypy and immobility) and biochemical (MAO activity, DCFH
DA oxidation, protein and non-protein thiol groups) parameters were analyzed.
Amphetamine increased the number of crossing and rearing and (PhSe)2 prevented
only the increase in the number of crossings when acutely administered to mice.
Furthermore, amphetamine increased stereotypy and time of immobility in mice.
(PhSe)2, at 10 mg/kg, increased per se the stereotypy and time of immobility when
sub-chronically administered. (PhSe)2, at 10 mg/kg, potentiated the stereotypy
caused by amphetamine in both protocols. Sub-chronic treatment with (PhSe)2
either alone (5 and 10 mg/kg) or in combination (10 mg/kg) with amphetamine
decreased brain MAO-B activity. Oxidative stress parameters were not modified by
(PhSe)2 and/or amphetamine treatments. In conclusion, sub-chronic administration
of (PhSe)2 can promote a behavioral sensitization that seems to be, at least in
part, dependent of MAO-B inhibition.
PMID- 24925687
TI - Impact of adrenalectomy and dexamethasone treatment on testicular morphology and
sperm parameters in rats: insights into the adrenal control of male reproduction.
AB - Here we investigated the hypothesis that normal levels of glucocorticoids, a
class of adrenal steroid hormones, are required for normal testicular and
epididymal functions. We examined the effects of the manipulation of
glucocorticoid plasma levels by bilateral adrenalectomy (1, 2, 7 and 15 days)
alone or in combination with daily treatment with the synthetic glucocorticoid
dexamethasone (DEX; 5 MUg/kg, i.p., 6 days) on the morphology of the testis and
sperm parameters in rats. We showed that adrenalectomy led to a reduction in
testicular sperm count and daily sperm production starting 2 days after surgery
and a differential decrease in sperm count in the epididymis, according to the
region and time post-adrenalectomy analysed. In parallel, testes from 7-day
adrenalectomized (ADX) rats displayed a higher frequency of damaged seminiferous
tubules and the presence of elongated spermatids retained in the basal epithelial
compartment in stages IX-XVII, which is indicative of defective spermiation. The
alkaline comet assay revealed a late effect of adrenalectomy on epididymal sperm
DNA fragmentation, which was increased only 15 days after surgery. DEX treatment
prevented the changes in testicular and epididymal sperm count observed in 7-day
ADX rats, but failed to protect the testis from ADX-induced morphological
abnormalities. Thus, our results indicated that glucocorticoids may be involved
in events related to the maintenance of spermatogenesis and sperm maturation
during adulthood. These findings provide new insights into the importance of
adrenal steroids to male fertility.
PMID- 24925688
TI - Opposing roles for Smad2 and Smad3 in peritoneal fibrosis in vivo and in vitro.
AB - Peritoneal fibrosis is a major cause of ultrafiltration failure in patients
receiving continuous ambulatory peritoneal dialysis. Transforming growth factor
(TGF)-beta1 is an important mediator in this process; however, its signaling
mechanisms had not been explored. Thus, we examined TGF-beta1/Smad signaling in
human peritoneal biopsy specimens associated with continuous ambulatory
peritoneal dialysis. We found that TGF-beta/Smad2/3 signaling was highly
activated in patients with increased collagen deposition and thickening of the
peritoneal membrane who were receiving continuous ambulatory peritoneal dialysis.
Long-term exposure of wild-type mice to 4.25% peritoneal dialysis solution for 30
days induced significant peritoneal fibrosis with impaired peritoneal
equilibrium, which was prevented in Smad3 knockout mice. In contrast, conditional
Smad2 gene deletion in the peritoneum exacerbated peritoneal fibrosis and
dysfunction. The contrasting roles of Smad2 and Smad3 in peritoneal fibrosis were
also examined in vitro. Cultured mesothelial cells from Smad3 knockout mice were
resistant to TGF-beta1-induced collagen I production and the transition toward a
myofibroblast phenotype as seen in wild-type cells, whereas Smad2 deficiency in
mesothelial cells failed to modulate the profibrotic response to TGF-beta1. In
conclusion, this study found activation of TGF-beta/Smad signaling in peritoneal
fibrosis in patients receiving continuous ambulatory peritoneal dialysis and
identifies opposing roles for Smad2 and Smad3 in peritoneal dialysis-associated
peritoneal fibrosis. These findings provide a mechanistic basis for future
therapies targeting TGF-beta/Smad signaling in peritoneal fibrosis.
PMID- 24925689
TI - Uterine sarcoma dissemination during myomectomy: if not "acceptable collateral
damage," is it possible to mitigate the risk?
PMID- 24925690
TI - Evaluating reporting heterogeneity in self-rated health among adults aged 50
years and above in India: an anchoring vignettes analytic approach.
AB - OBJECTIVE: To use anchoring vignettes to evaluate reporting heterogeneity (RH) in
self-rated mobility and cognition in older adults. METHOD: We analyzed vignettes
and self-rated mobility and cognition in 2,558 individuals aged 50 years and
above. We tested for assumptions of vignette equivalence (VE) and response
consistency (RC). We used a joint hierarchical ordered probit (HOPIT) model to
evaluate self-rating responses for RH. RESULTS: The assumption of VE was met
except for "learning" vignettes. Higher socioeconomic status (SES) and education
significantly lowered thresholds for cognition ratings. After correction for RH,
women, lower SES, and older respondents were significantly more likely to report
greater difficulty in mobility. The influence of age, SES, and education on
thresholds was less apparent for cognition. DISCUSSION: Our study provides strong
evidence of RH in self-rated mobility and cognition. We highlight the need to
formally test basic assumptions before using vignettes to adjust self-rating
responses for RH.
PMID- 24925691
TI - Dental care utilization and unmet dental needs in older Korean Americans.
AB - OBJECTIVE: The study explored predictors of dental care utilization and unmet
dental needs in older Korean Americans, considering predisposing, enabling
(dental insurance, acculturation, and family network), and need (self-rated oral
health) variables. METHOD: Multivariate regression models were used to evaluate
the data from 209 Korean Americans (aged >= 60) surveyed in Central Texas.
RESULTS: Participants with strong family networks and fair/poor self-ratings of
oral health reported higher numbers of dental visits in the past year. The
likelihood of having an unmet dental need increased when participants had less
education, a shorter stay in the United States, no dental insurance coverage,
lower levels of acculturation, more limited family networks, fair/poor self
ratings of oral health, and fewer numbers of dental visits. DISCUSSION: Our
findings underscore the vulnerability of individuals who are culturally and
linguistically isolated and lack family resources, and they highlight the
importance of incorporating social and cultural factors in intervention efforts.
PMID- 24925694
TI - The association between Helicobacter pylori gastritis and lymphoid aggregates,
lymphoid follicles and intestinal metaplasia in gastric mucosa of children.
AB - AIMS: The aim of the study was to determine the topographic prevalence of
lymphoid follicles, lymphoid aggregates, gastric glandular atrophy and intestinal
metaplasia among children with chronic abdominal pain. The association between
these lesions and age, type of gastritis and Helicobacter pylori density was also
assessed. METHODS: A total of 358 patients (mean age: 10, 18 +/- 3, 26 years;
male : female ratio: 0.92) with chronic abdominal pain who had upper
gastrointestinal endoscopy were included in the study. The endoscopic and
histopathological findings were documented. The prevalence of lymphoid follicles,
lymphoid aggregates, atrophy and intestinal metaplasia according to the type of
gastritis and their relation with H. pylori density were determined. RESULTS: H.
pylori was detected in 214 (59.8%) patients. H. pylori- positive patients were
found to be significantly older than H. pylori-negative patients (P < 0.01). The
endoscopy revealed that the most common finding observed was antral nodularity in
H. pylori-positive patients and normal mucosal appearance in H. pylori-negative
patients. Panmucosal gastritis both in the corpus and antrum and the prevalence
of lymphoid follicles and lymphoid aggregates were more frequent in the H. pylori
positive group (P < 0.01). None of the patients had atrophy, whereas 11 patients
had intestinal metaplasia. Although positive correlation was obtained between
lymphoid lesions and H. pylori density, no significant relation was established
between intestinal metaplasia, lymphoid lesions and H. pylori density.
CONCLUSION: Lymphoid follicles and lymphoid aggregates in gastric mucosa
involving both antrum and corpus significantly correlated with H. pylori
infection, H. pylori density and type of gastritis in children.
PMID- 24925693
TI - Impaired response or insufficient dosage? Examining the potential causes of
"inadequate response" to allopurinol in the treatment of gout.
AB - OBJECTIVES: Gout is one of the most common forms of arthritis. It is well
established that urate-lowering therapy that aims for a serum urate less than at
least 0.36 mmol/l (6 mg/dl) is required for the successful management of gout.
Allopurinol, a xanthine oxidase (XO) inhibitor, is the most commonly used urate
lowering therapy. However, many patients fail to achieve the target serum urate
on allopurinol; these patients can be considered to have "inadequate response" to
allopurinol. Herein, we examine the potential mechanisms and implications of
inadequate response to allopurinol. METHODS: The literature was reviewed for
potential causes for failure to reach target serum urate in patients receiving
allopurinol. RESULTS: The two most common causes of inadequate response to
allopurinol are poor adherence and under-dosing of allopurinol. Adherent patients
who fail to achieve target serum urate on standard doses of allopurinol form a
group that could be considered to be "partially resistant" to allopurinol. There
are four potential mechanisms for partial allopurinol resistance: decreased
conversion of allopurinol to oxypurinol; increased renal excretion of oxypurinol;
abnormality in XO structure and/or function such that oxypurinol is rendered less
effective and/or drug interactions. CONCLUSIONS: It is important to determine the
reasons for failure to achieve treatment targets with allopurinol, particularly
as newer agents become available. The knowledge of the mechanisms for inadequate
response may help guide the clinician towards making a therapeutic choice that is
more likely to result in achieving the serum urate target.
PMID- 24925695
TI - Eutrophication as a driver of r-selection traits in a freshwater fish.
AB - This study tested whether eutrophication could influence life-history traits of a
cyprinid, Chanodichthys erythropterus, in 10 Chinese lakes. Using the von
Bertalanffy growth model, the asymptotic length (Linfinity ) and the growth
performance index (IGRO ) were significantly affected by eutrophication. The
gonado-somatic index (IG ) and relative fecundity (FR ) were significantly lower
in mesotrophic lakes than in eutrophic and hypertrophic lakes. These results
indicate that increasing eutrophication affects the life-history tactics of a
freshwater fish.
PMID- 24925692
TI - Health Assessment Questionnaire disability progression in early rheumatoid
arthritis: systematic review and analysis of two inception cohorts.
AB - OBJECTIVE: The Health Assessment Questionnaire is widely used for patients with
inflammatory polyarthritis (IP) and its subset, rheumatoid arthritis (RA). In
this study, we evaluated the progression of HAQ scores in RA (i) by
systematically reviewing the published literature on the methods used to assess
changes in functional disability over time and (ii) to study in detail HAQ
progression in two large prospective observational studies from the UK. METHODS:
Data from two large inception cohorts, ERAS and NOAR, were studied to determine
trajectories of HAQ progression over time by applying latent class growth models
(LCGMs) to each dataset separately. Age, sex, baseline DAS28, symptom duration,
rheumatoid factor, fulfilment of the 1987 ACR criteria and socio-economic status
(SES) were included as potential predictors of HAQ trajectory subgroup
membership. RESULTS: The literature search identified 49 studies showing that HAQ
progression has mainly been based on average changes in the total study
population. In the HAQ progression study, a LCGM with four HAQ trajectory
subgroups was selected as providing the best fit in both cohorts. In both the
cohorts, older age, female sex, longer symptom duration, fulfilment of the 1987
ACR criteria, higher DAS28 and lower SES were associated with increased
likelihood of membership of subgroups with worse HAQ progression. CONCLUSION:
Four distinct HAQ trajectory subgroups were derived from the ERAS and NOAR
cohorts. The fact that the subgroups identified were nearly identical supports
their validity. Identifying distinct groups of patients who are at risk of poor
functional outcome may help to target therapy to those who are most likely to
benefit.
PMID- 24925696
TI - Whole-cell double oxidation of n-heptane.
AB - Biocascades allow one-pot synthesis of chemical building blocks omitting
purification of reaction intermediates and expenses for downstream processing.
Here we show the first whole cell double oxidation of n-heptane to produce chiral
alcohols and heptanones. The concept of an artificial operon for co-expression of
a monooxygenase from Bacillus megaterium (P450 BM3) and an alcohol dehydrogenase
(RE-ADH) from Rhodococcus erythropolis is reported and compared to the widely
used two-plasmid or Duet-vector expression systems. Both catalysts are co
expressed on a polycistronic constructs (single mRNA) that reduces recombinant
DNA content and metabolic burden for the host cell, therefore increasing growth
rate and expression level. Using the artificial operon system, the expression of
P450 BM3 reached 81mgg(-1) cell dry weight. In addition, in situ cofactor
regeneration through the P450 BM3/RE-ADH couple was enhanced by coupling to
glucose oxidation by E. coli. Under optimized reaction conditions the artificial
operon system displayed a product formation of 656mgL(-1) (5.7mM) of reaction
products (heptanols+heptanones), which is 3-fold higher than the previously
reported values for an in vitro oxidation cascade. In conjunction with the high
product concentrations it was possible to obtain ee values of >99% for (S)-3
heptanol. Coexpression of a third alcohol dehydrogenase from Lactobacillus brevis
(Lb-ADH) in the same host yielded complete oxidation of all heptanol isomers.
Introduction of a second ADH enabled further to utilize both cofactors in the
host cell (NADH and NADPH) which illustrates the simplicity and modular character
of the whole cell oxidation concept employing an artificial operon system.
PMID- 24925697
TI - Functional limitations due to fatigue among independently ambulant stroke
survivors in Osun, South-Western Nigeria.
AB - BACKGROUND AND PURPOSE: Functional limitations in stroke survivors are sometimes
associated with fatigue. This study assessed the functional limitations due to
fatigue in community-dwelling stroke survivors undergoing physiotherapy. The
differences in functional limitations due to fatigue were determined between
sexes, stroke types, sides of affectation, age categories and levels of
disability. Relationships between functional limitation due to fatigue and these
variables were also determined. METHODS: This was a cross-sectional study
involving 63 stroke survivors (35 male survivors and 28 female survivors) with
ages ranging from 45 to 79 years (mean = 53.68 +/- 10.95 years). Functional
limitation due to fatigue was assessed with the modified fatigue impact scale
(MFIS). Modified Rankin scale was used to categorize the disability of the
participants. Data were analysed using descriptive (mean, standard deviation,
percentage and frequency) and inferential (Mann-Whitney U-test and Spearman
correlation analysis) statistics. Significance was set at 0.05 alpha level.
RESULTS/FINDINGS: The mean MFIS score was 31.74 +/- 12.39. Many participants
(58.7%) had moderate functional limitations due to fatigue. The result of Mann
Whitney U-test showed significant difference in functional limitation due to
fatigue between participants with slight disability and those with moderate
disability (p = 0.000), with participants with moderate disability having higher
MFIS scores (more functional limitations). There was also a significant
correlation between functional limitation due to fatigue and level of disability
(rho = 0.625, p = 0.000). DISCUSSION: Functional limitation due to fatigue occurs
frequently in stroke survivors and is related to level of disability. Functional
limitations due to fatigue should be assessed frequently in all stroke survivors
with varying degrees of disability and the outcome should be considered during
rehabilitation and retraining of physical function.
PMID- 24925698
TI - On the impact of physiological noise in spinal cord functional MRI.
AB - Functional magnetic resonance imaging (fMRI) techniques are widely exploited for
the study of brain activation. In recent years, similar approaches have been
attempted for the study of spinal cord function; however, obtaining good
functional images of spinal cord still represents a technical and scientific
challenge. Some of the main limiting factors can be classified under the broad
category of "physiological noise," and are related to 1) the cerebrospinal fluid
(CSF) flux in the subarachnoid space surrounding the spinal cord; 2) the cord
motion itself; and 3) the small area of the cord, which makes it critical to have
a high image resolution. In addition, the different magnetic susceptibility
properties of tissues surrounding the spinal cord reduce the local homogeneity of
the static magnetic field, causing image distortion, reduction of the effective
resolution, and signal loss, all effects that are modulated by motion. For these
reasons, a number of methods have been developed for the purpose of denoising
spinal cord fMRI time series. In this work, after a short introduction on the
relevant features of the spinal cord anatomy, we review the main sources of
physiological noise in spinal cord fMRI and discuss the main approaches useful
for its mitigation.
PMID- 24925699
TI - Validation of an innovative method of shoulder range-of-motion measurement using
a smartphone clinometer application.
AB - BACKGROUND: An accurate and reliable measurement of shoulder range of motion
(ROM) is important in the evaluation of the shoulder. A smartphone digital
clinometer application is a potentially simpler method for measuring shoulder
ROM. The goal of this study was to establish the reliability and validity of
shoulder ROM measurements among varying health care providers using a smartphone
clinometer application in healthy and symptomatic adults. METHODS: An attending
surgeon, fellow, resident, physician assistant, and student served as examiners.
Bilateral shoulders of 24 healthy subjects were included. Fifteen postoperative
patients served as the symptomatic cohort. Examiners measured ROM of each
shoulder, first using visual estimation and then using a goniometer and
smartphone clinometer in a randomized fashion. RESULTS: The interobserver
reliability among examiners showed significant correlation, with average
intraclass correlation coefficient [ICC(2,1)] values of 0.61 (estimation), 0.69
(goniometer), and 0.80 (smartphone). All 5 examiners had substantial agreement
with the gold standard in healthy subjects, with average ICC(2,1) values ranging
from 0.62 to 0.79. The interobserver reliability in symptomatic patients showed
significant correlation, with average ICC(2,1) values of 0.72 (estimation), 0.79
(goniometer), and 0.89 (smartphone). Examiners had excellent agreement with the
gold standard in symptomatic patients, with an average ICC(2,1) value of 0.98.
CONCLUSION: The smartphone clinometer has excellent agreement with a goniometer
based gold standard for measurement of shoulder ROM in both healthy and
symptomatic subjects. There is good correlation among different skill levels of
providers for measurements obtained using the smartphone. A smartphone-based
clinometer is a good resource for shoulder ROM measurement in both healthy
subjects and symptomatic patients.
PMID- 24925700
TI - Does the timing of surgery for proximal humeral fracture affect inpatient
outcomes?
AB - BACKGROUND: Delayed surgical treatment of hip fractures is associated with
adverse medical outcomes, but it is unclear whether the same is true for proximal
humeral fractures. The purpose of this study was to evaluate the relationship
between surgical delay for proximal humeral fracture and inpatient adverse
events, in-hospital death, prolonged postoperative stay, and nonroutine
discharge. METHODS: Of the more than 70,000 patients with an operatively treated
proximal humeral fracture identified in the Nationwide Inpatient Sample between
2008 and 2011, 87% underwent surgery within 2 days of admission and 13% underwent
surgery 3 days or more after admission. Multivariable logistic regression
analyses were performed to evaluate the effect of surgical delay on inpatient
outcomes and to identify risk factors associated with late surgery. RESULTS:
Surgery 3 days or more after admission for fracture of the proximal humerus had
no influence on in-hospital death but was independently associated with inpatient
adverse events (odds ratio [OR], 2.1; 95% confidence interval [CI], 2.0-2.2),
prolonged postoperative stay (OR, 1.7; 95% CI, 1.7-1.9), and increased nonroutine
discharge (OR, 2.7; 95% CI, 2.6-2.9). Risk factors for surgery 3 days or more
after admission included advanced age, male sex, Elixhauser comorbidity score,
polytrauma, Hispanic race or black race, no insurance coverage, low household
income, and weekend admission. CONCLUSIONS: Even when comorbidities and
complexity are controlled for, delaying surgery for proximal humeral fracture is
likely to increase inpatient morbidity, postoperative length of stay, and
nonroutine discharge. It appears that avoiding nonmedical delays is advantageous.
PMID- 24925701
TI - Biomechanical analysis of the modified Bristow procedure for anterior shoulder
instability: is the bone block necessary?
AB - BACKGROUND: Anterior shoulder instability with bone loss can be treated
successfully with the modified Bristow procedure. Opinions vary regarding the
role of the soft-tissue sling created by the conjoined tendon after transfer.
Therefore, the aim of this study was to determine the effect of the modified
Bristow procedure and conjoined tendon transfer on glenohumeral translation and
kinematics after creating anterior instability. METHODS: Eight cadaveric
shoulders were tested with a custom shoulder testing system. Range-of-motion,
translation, and kinematic testing was performed in 60 degrees of glenohumeral
abduction in the scapular and coronal planes under the following conditions:
intact joint, Bankart lesion with 20% glenoid bone loss, modified Bristow
procedure, and soft tissue-only conjoined tendon transfer. RESULTS: A Bankart
lesion with 20% bone loss resulted in significantly increased external rotation
and translation compared with the intact condition (P < .05), as well as an
anterior shift of the humeral head apex at all points of external rotation. Both
the modified Bristow procedure and soft-tissue Bristow procedure maintained the
increase in external rotation but resulted in significantly decreased translation
(P < .05). There was no difference in translation between the 2 reconstructions.
CONCLUSIONS: The increase in external rotation suggests that the modified Bristow
procedure does not initially restrict joint motion. Translational stability can
be restored in a 20% bone loss model without a bone block, suggesting the
importance of the soft-tissue sling.
PMID- 24925702
TI - Increased horizontal shoulder abduction is associated with an increase in
shoulder joint load in baseball pitching.
AB - BACKGROUND: Soft tissues of the shoulder undergoes substantial stresses due to
humeral head movement, and this may contribute to throwing shoulder injuries in
baseball pitchers. Prevention and management of throwing shoulder injuries
critically rely on reduction of shear force at the shoulder joint. However, the
amount and direction of the force applied to the shoulder during the throwing
motion have not been clarified. The purpose of this study was to analyze forces
applied to the shoulder during a baseball pitch. METHODS: We performed
biomechanical analysis of 213 baseball pitchers of various ages and skill levels.
Throwing motion was analyzed with a 3-dimensional motion capture system. The
Euler angle sequence was adopted to describe angular values of the upper arm
relative to the trunk for shoulder rotation, and inverse dynamics was used to
estimate the resultant joint forces at the shoulder. RESULTS: There was a
significant relation between horizontal abduction/adduction angle and resultant
anterior/posterior force at the point of maximum external rotation (MER) (r =
0.63, P < .01), whereby increased horizontal abduction was associated with
increased resultant anterior force. There was a significant but weak correlation
between abduction/adduction angle and superior/inferior force at MER (r = 0.24, P
< .01). Comparison among the groups with variable ages and skill levels showed
larger horizontal abduction and smaller external rotation angles at MER in the
adult amateur player group, whereas normalized compression force and internal
rotation torque values at MER were smaller in the junior high school- and
elementary school-aged groups. DISCUSSION: These results suggest that excessive
horizontal abduction at MER increases anterior shear force in the shoulder and
may lead to shoulder injuries. Focusing on reducing horizontal abduction at MER
in the throwing motion may be key to preventing and managing shoulder injuries in
baseball pitchers.
PMID- 24925703
TI - Clinical advantages of cartilage palisades over temporalis fascia in type I
tympanoplasty.
AB - OBJECTIVE: To compare the post-operative outcomes in using temporalis fascia and
full thickness broad cartilage palisades as graft in type I tympanoplasty.
METHODS: This study, conducted at a tertiary referral institute, included 90
consecutive patients with mucosal type chronic otitis media requiring type I
tympanoplasty with a 60/30 distribution of cases with fascia and cartilage
palisades, respectively. The fascia group consisted of primary cases in adults
and excluded revision cases, near-total or total perforations and pediatric
cases. The cartilage group included pediatric, revision cases and near-total or
total perforations. The fascia group utilized the underlay technique for
grafting, whereas the cartilage group used tragal full thickness broad cartilage
palisades with perichondrium attached on one side placed in an underlay or over
underlay manner. Post-operative graft take-up and hearing outcomes were evaluated
after 6 months and 1 year with subjective assessment and pure tone audiometry.
RESULTS: The graft take-up rate was 83.3% in the fascia group and 90% in the
cartilage palisade group. The mean pure tone air-bone gaps pre- and post
operatively in the fascia group were 30.43 +/- 5.75 dB and 17.5 +/- 6.94 dB,
respectively, whereas for the cartilage group, these values were 29 +/- 6.21 dB
and 7.33 +/- 3.88 dB, respectively. CONCLUSION: Cartilage grafting with full
thickness palisades is more effective than fascia as graft material, particularly
in "difficult" tympanoplasties fraught with higher failure rates otherwise.
PMID- 24925704
TI - Modified radical neck dissection for residual neck disease after radiotherapy of
nasopharyngeal carcinoma.
AB - OBJECTIVE: Although radical neck dissection is proposed as a standard salvage
procedure for the management of radiotherapy-resistant nasopharyngeal carcinoma
of the neck, modified radical neck dissection may be appropriate for select
patients. This study was designed to evaluate the efficacy of individualized neck
dissections based on preoperative imaging studies and intraoperative exploration
for the management of radiotherapy-resistant nasopharyngeal carcinoma of the
neck. METHODS: The study included 42 consecutive patients who failed radiotherapy
or chemo-radiotherapy for nasopharyngeal carcinoma of the neck and underwent a
total of 46 radical neck dissections or modified radical neck dissections.
Selection of the proper type of neck dissection was based on preoperative imaging
studies and intraoperative exploration. The patients' clinical features,
pathologic characteristics, complications, and treatment outcome were estimated
and analyzed. RESULTS: Radical neck dissection and modified radical neck
dissection were performed on 19 and 27 necks, respectively. Thirty-three necks
(71.7%) had multiple node metastases. Nineteen necks (41.3%) had node metastases
at two or more levels. The overall morbidity rate was 11.9%. The 5-year neck
control rate (NCR) was 79.1% for 46 necks. The 5-year overall survival and
disease free survival for 42 patients were 58.0% and 44.0%, respectively. No
statistically significant differences were found in comparing OS, DFS, NCR
between the MRND and RND groups. CONCLUSIONS: Individualized neck dissection
based on preoperative imaging studies and intraoperative exploration is
appropriate for the management of radiotherapy-resistant nasopharyngeal carcinoma
of the neck.
PMID- 24925706
TI - The spatial distribution of errors made by rats in Hebb-Williams type mazes in
relation to the spatial properties of the blind alleys.
AB - The various configurations in series of Hebb-Williams type of mazes, which are
used to measure problem solving behaviour in rats, differ markedly in structure.
The relationship between error behaviour and spatial maze structure in control
rats tested in a number of pharmacological experiments is described in this
paper. The spatial structure of error behaviour of rats was found to correlate
with maze structure. Knowledge of these correlations can be used to predict error
patterns in new mazes. Furthermore, aspecific experience acquired by running in a
number of different configurations, affected error behaviour in a particular test
configuration. This effect of aspecific experience was different from that of
specific experience acquired in the same test configuration. In studies
investigating effects of (e.g. pharmacological) treatments on maze behaviour of
rats, changes in the structure of errors may be useful to determine the nature of
the behavioural alterations.
PMID- 24925705
TI - High-fat diet induces early-onset diabetes in heterozygous Pax6 mutant mice.
AB - BACKGROUND: Type 2 diabetes is caused by interactions between genetic and
environmental factors. Our previous studies reported that paired box 6 mutation
heterozygosity (Pax6(m/+)) led to defective proinsulin processing and subsequent
abnormal glucose metabolism in mice at 6 months of age. However, high-fat diet
exposure could be an important incentive for diabetes development. In this study,
we aimed to develop a novel diabetic model imitating human type 2 diabetes by
exposing Pax6(m/+) mice to high-fat diet and to explore the underlying mechanism
of diabetes in this model. METHODS: Over 300 Pax6(m/+) and wild-type male
weanling mice were randomly divided into two groups and were fed an high-fat diet
or chow diet for 6-10 weeks. Blood glucose and glucose tolerance levels were
monitored during this period. Body weights, visceral adipose weights, blood lipid
profiles and insulin sensitivity (determined with an insulin tolerance test) were
used to evaluate obesity and insulin resistance. Proinsulin processing and
insulin secretion levels were used to evaluate pancreatic beta cell function.
RESULTS: After 6 weeks of high-fat diet exposure, only the Pax6(m/+) mice showed
dramatic postloading hyperglycaemia. These mice exhibited significant high-fat
diet-induced visceral obesity and insulin resistance and displayed defective
prohormone convertase 1/3 production, an increased proinsulin:total insulin ratio
and impaired early-phase insulin secretion, because of the Pax6 mutation.
Hyperglycaemia worsened progressively over time with the high-fat diet, and most
Pax6(m/+) mice on high-fat diet developed diabetes or impaired glucose tolerance
after 10 weeks. Furthermore, high-fat diet withdrawal partly improved blood
glucose levels in the diabetic mice. CONCLUSIONS: By combining the Pax6(m/+)
genetic background with an high-fat diet environment, we developed a novel
diabetic model to mimic human type 2 diabetes. This model is characterized by
impaired insulin secretion, caused by the Pax6 mutation, and high-fat diet
induced insulin resistance and therefore provides an ideal tool for research on
type 2 diabetes pathogenesis and therapies.
PMID- 24925707
TI - Role of dam and pups in the absence of nursing in CPB-B rats (rattus norvegicus).
AB - In rats of the CPB-B strain, the female shows normal parturition behaviour and
normal capacity of milk secretion, but the young - all born alive - die within
few days of birth. Here, we report that this postnatal mortality is eliminated
when CPB-B neonates are crossfostered to mothers of the Wu:Cpb strain;
conversely, Wu:Cpb neonates (which are successfully reared by dams of their own
strain) die within few days of birth when crossfostered to newly parturient CPB-B
rats. It is suggested that pup mortality in CPB-B rats is due to inadequate
maternal care resulting, supposedly, from susceptibility of the mother to
disturbing environmental stimuli.
PMID- 24925708
TI - Social and spatial structure in brook chars (Salvelinus fontinalis) under
competition for food and shelter/shade.
AB - Salmonids, outside their reproductive period, are seen to have two types of
territory called "territorial mosaic" and "partial territory". The first aspect
of this research aimed at identifying the type of territory established by mature
brook chars in artificial streams. After this, the biological value of spacing
out was studied with regard to two resources: food, and shelter/shade which gives
protection. Three 5 X 1 X 1 m artificial streams were built on the edge of a
natural brook which provided a continuous water supply. One hundred and fifty
mature brook chars (Salvelinus fontinalis) taken from that brook were distributed
into 30 colonies with 5 members apiece. Three experimental conditions were
created, and 10 colonies were submitted to each of these. In the first
experimental condition, the quality of shelter/shade differed in 3 sectors of the
artificial streams, whereas the quantity of food remained the same for all 3. In
the second experimental condition, the quality of shelter/shade was identical,
while the quantity of food differed in the 3 sectors. In the last condition,
conflict was created: the fish had to choose between an area which offered
excellent shelter/shade but no food, one which provided ample nourishment but no
shelter/shade, and one in which all those resources were present at intermediate
levels. Observation of the 30 colonies revealed "partial territory" in all cases.
These corresponded to more or less complete aggressive-dominance hierarchies.
Almost every alpha established territory, and the number of territorial
individuals progressively decreased throughout inferior ranks. Alphas had
exclusive use of their territory. Lower-ranking individuals successfully defended
their territory against their subordinates, but were unable to drive away higher
ranking conspecifics. Overall results also indicated that the highest- ranking
brook chars in the aggressive-dominance hierarchies more frequently established
their territories in sectors of the streams with good shelter/shade than in
sectors with good alimentary conditions.
PMID- 24925709
TI - Ethanol effects on freezing and conspecific attack in rats previously exposed to
a cat.
AB - Male rats under saline or three levels of ethanol were briefly exposed to a cat
or to a control stimulus and then presented with a male conspecific in the same
ssituation. Cat exposure produced prolonged freezing and a suppression of
aggression for the saline group. However, while groups receiving ethanol showed a
systematic and reliable reduction in freezing as a function of increasing dose
levels, male attack behavior did not show a corresponding (opposite) set of
changes: Although freezing was reliably reduced at 0.6 and 1.2 g/kg ethanol an
increase in offensive behavior at the 0.6 dose level was not reliable, while
offense reliably declined at the higher level. Correlations between freezing
changes and offense were significant only for the 0.3 g/kg dose level groups, for
which neither freezing nor attack was reliably different from the saline level.
The partial disassociation of freezing and the suppression of male attack on a
conspecific is not congruent with a view that the effects of ethanol on
aggression are mediated by an ethanol-based suppression of fear. However, these
results do suggest that the offense and fear-related changes may be linked at
very low ethanol doses.
PMID- 24925710
TI - Short-term and long-term habituation of exploration in rats, hamsters and
gerbils.
AB - Short-term (within-session) and long-term (between-session) habituation of
exploratory behavior was studied in rats, hamsters and gerbils. Subjects were
observed in an open field containing four different objects during three 15-min
sessions with an 8-14 h interval between each session. Their exploratory activity
was measured by the numbers of contacts they made with these objects. The three
species differed from each other in both their long-term and short-term
habituation of exploration. Rats showed disrupted between-session habituation
because of an important initial burst of activity at the beginning of each
session. In contrast, hamsters and gerbils displayed between-session habituation,
but within-session habituation occured during the first session only. These
results are discussed in relation both to the adaptive value of exploration, and
to the natural habitat of each species.
PMID- 24925711
TI - Acquisition and social communication of stimulus recognition by Fish.
AB - In fish, behavior commonly designated as Pavlovian and/or instrumental
conditioning, imprinting, and social learning often appears to reflect a
phylogenetically adapted operation of a single underlying learning mechanism, a
version of stimulus substitution. In this mechanism, a releasing stimulus comes
to share control over the release of behavior with a previously neutral stimulus.
In addition, control over the direction of released responses is simultaneously
transferred. The outcome, as supported by review of the literature, is that
recognition learning in fish seems to involve primarily the extension to new
stimuli of control over the elicitation of preorganized unlearned responses.
PMID- 24925712
TI - Lack of a clinically important pharmacokinetic interaction between sofosbuvir or
ledipasvir and hormonal oral contraceptives norgestimate/ethinyl estradiol in HCV
uninfected female subjects.
AB - This study evaluated the potential for a drug-drug interaction between HCV direct
acting antivirals sofosbuvir or ledipasvir and oral hormonal contraceptive (OC)
norgestimate/ethinyl estradiol (norgestimate 0.18/0.215/0.25 mg with ethinyl
estradiol 25 MUg). This was a 112-day, open-label, fixed-sequence pharmacokinetic
(PK) study in healthy female subjects that included a lead-in cycle (OC only; N =
21), cycle 1 (OC only; N = 15), cycle 2 (OC + sofosbuvir; N = 15), and cycle 3
(OC + ledipasvir; N = 15). Administration of sofosbuvir with OC did not alter PK
of norelgestromin (primary norgestimate metabolite) or ethinyl estradiol. Small
increases in norgestrel (secondary norgestimate metabolite) AUC(tau) (19%) and
C(tau) (23%) with sofosbuvir were noted. Ledipasvir did not impact PK of
norelgestromin or norgestrel but modestly increased ethinyl estradiol C(max)
(40%). Sofosbuvir, GS- 331007 (predominant circulating metabolite of SOF), and
ledipasvir PK were similar to historical data. Pharmacodynamic markers
luteinizing hormone, follicle-stimulating hormone, and progesterone values were
generally comparable in all cycles. No loss in contraceptive efficacy is expected
upon administration of sofosbuvir or ledipasvir/sofosbuvir with oral
contraceptives containing norgestimate and ethinyl estradiol. The use of
sofosbuvir or ledipasvir/sofosbuvir FDC with oral contraceptives is permitted.
PMID- 24925714
TI - What do Australian Women Experiencing Intimate Partner Abuse Want From Family and
Friends?
AB - We analyzed the views of a diverse sample of women (N = 254) living in the state
of Victoria, Australia, who were experiencing fear of an intimate partner. We
explored the women's views about their interactions with their family and friends
to examine what women who have experienced fear of a partner or ex-partner want
from their family and friends. The themes identified provide potentially useful
guidance for what might be helpful and unhelpful communication strategies and
behaviors for families and friends. Women experiencing intimate partner abuse
find informal support invaluable, provided it is delivered in a helpful fashion.
Helpful support is affirming, encouraging, validating, and understanding, and
delivered with positive regard, empathy, and respect. Social contact and
interaction are particularly appreciated, as is instrumental support such as
financial help, housing, and child care. Women value both support that is
directly related to abuse and support related to other areas of life.
PMID- 24925713
TI - Effect of docosahexaenoic acid-enriched fish oil supplementation in pregnant
women with Type 2 diabetes on membrane fatty acids and fetal body composition-
double-blinded randomized placebo-controlled trial.
AB - AIMS: To test if docosahexaenoic acid-enriched fish oil supplementation rectifies
red cell membrane lipid anomaly in pregnant women with Type 2 diabetes and their
neonates, and alters fetal body composition. METHODS: Women with Type 2 diabetes
(n = 88; 41 fish oil, 47 placebo) and healthy women (n = 85; 45 fish oil, 40
placebo) were supplemented from the first trimester until delivery. Blood fatty
acid composition, fetal biometric and neonatal anthropometric measurements were
assessed. RESULTS: A total of 117 women completed the trial. The women with Type
2 diabetes who took fish oil compared with those who received placebo had higher
percentage of docosahexaenoic acid in red cell phosphatidylethanolamine in the
third trimester (12.0% vs. 8.9%, P = 0.000) and at delivery (10.7% vs. 7.4%, P =
0.001). Similarly, the neonates of the women with Type 2 diabetes supplemented
with the fish oil had increased docosahexaenoic acid in the red cell
phosphatidylethanolamine (9.2% vs. 7.7%, P = 0.027) and plasma
phosphatidylcholine (6.1% vs. 4.7%, P = 0.020). Docosahexaenoic acid-rich fish
oil had no effect on the body composition of the fetus and neonates of the women
with Type 2 diabetes. CONCLUSIONS: A daily dose of 600 mg of docosahexaenoic acid
was effective in ameliorating red cell membrane docosahexaenoic acid anomaly in
pregnant women with Type 2 diabetes and neonates, and in preventing the decline
of maternal docosahexaenoic acid during pregnancy. We suggest that the provision
of docosahexaenoic acid supplement should be integrated in the antenatal care of
pregnant women with Type 2 diabetes.
PMID- 24925716
TI - Reply: To PMID 24715615.
PMID- 24925717
TI - Economic feasibility study for improving drinking water quality: a case study of
arsenic contamination in rural Argentina.
AB - Economic studies are essential in evaluating the potential external investment
support and/or internal tariffs available to improve drinking water quality. Cost
benefit analysis (CBA) is a useful tool to assess the economic feasibility of
such interventions, i.e. to take some form of action to improve the drinking
water quality. CBA should involve the market and non-market effects associated
with the intervention. An economic framework was proposed in this study, which
estimated the health avoided costs and the environmental benefits for the net
present value of reducing the pollutant concentrations in drinking water. We
conducted an empirical application to assess the economic feasibility of removing
arsenic from water in a rural area of Argentina. Four small-scale methods were
evaluated in our study. The results indicated that the inclusion of non-market
benefits was integral to supporting investment projects. In addition, the
application of the proposed framework will provide water authorities with more
complete information for the decision-making process.
PMID- 24925718
TI - Are post-trial observational studies useful?
PMID- 24925720
TI - Renal ApoA-1 amyloidosis with Glu34Lys mutation and intra-amyloid lipid
accumulation.
AB - Apolipoprotein A-1 (ApoA-1) amyloidosis occurs as a nonhereditary condition in
atherosclerotic plaques, but it can also manifest as a hereditary disorder caused
by mutations of the APOA1 gene. Hereditary ApoA-1 amyloidosis presents with
diverse organ involvement based on the position of the mutation. We describe a
case of ApoA-1 amyloidosis with a Glu34Lys mutation; testicular, conjunctival,
and renal involvement; and the notable finding of lipid deposition within the
amyloid deposits.
PMID- 24925719
TI - Predictors of autosomal dominant polycystic kidney disease progression.
AB - Autosomal dominant polycystic kidney disease is a genetic disorder associated
with substantial variability in its natural course within and between affected
families. Understanding predictors for rapid progression of this disease has
become increasingly important with the emergence of potential new treatments.
This systematic review of the literature since 1988 evaluates factors that may
predict and/or effect autosomal dominant polycystic kidney disease progression.
Predicting factors associated with early adverse structural and/or functional
outcomes are considered. These factors include PKD1 mutation (particularly
truncating mutation), men, early onset of hypertension, early and frequent gross
hematuria, and among women, three or more pregnancies. Increases in total kidney
volume and decreases in GFR and renal blood flow greater than expected for a
given age also signify rapid disease progression. Concerning laboratory markers
include overt proteinuria, macroalbuminuria, and perhaps, elevated serum copeptin
levels in affected adults. These factors and others may help to identify patients
with autosomal dominant polycystic kidney disease who are most likely to benefit
from early intervention with novel treatments.
PMID- 24925721
TI - Sphingomyelinase-like phosphodiesterase 3b expression levels determine podocyte
injury phenotypes in glomerular disease.
AB - Diabetic kidney disease (DKD) is the most common cause of ESRD in the United
States. Podocyte injury is an important feature of DKD that is likely to be
caused by circulating factors other than glucose. Soluble urokinase plasminogen
activator receptor (suPAR) is a circulating factor found to be elevated in the
serum of patients with FSGS and causes podocyte alphaVbeta3 integrin-dependent
migration in vitro. Furthermore, alphaVbeta3 integrin activation occurs in
association with decreased podocyte-specific expression of acid sphingomyelinase
like phosphodiesterase 3b (SMPDL3b) in kidney biopsy specimens from patients with
FSGS. However, whether suPAR-dependent alphaVbeta3 integrin activation occurs in
diseases other than FSGS and whether there is a direct link between circulating
suPAR levels and SMPDL3b expression in podocytes remain to be established. Our
data indicate that serum suPAR levels are also elevated in patients with DKD.
However, unlike in FSGS, SMPDL3b expression was increased in glomeruli from
patients with DKD and DKD sera-treated human podocytes, where it prevented
alphaVbeta3 integrin activation by its interaction with suPAR and led to
increased RhoA activity, rendering podocytes more susceptible to apoptosis. In
vivo, inhibition of acid sphingomyelinase reduced proteinuria in experimental DKD
but not FSGS, indicating that SMPDL3b expression levels determined the podocyte
injury phenotype. These observations suggest that SMPDL3b may be an important
modulator of podocyte function by shifting suPAR-mediated podocyte injury from a
migratory phenotype to an apoptotic phenotype and that it represents a novel
therapeutic glomerular disease target.
PMID- 24925722
TI - Renal outcomes in patients with type 1 diabetes and macroalbuminuria.
AB - Macroalbuminuria, defined as urine albumin excretion rate (AER)>=300 mg/d, has
long been considered a stage of irreversible kidney damage that leads reliably to
GFR loss. We examined the long-term renal outcomes of persons with type 1
diabetes who developed incident macroalbuminuria during the Diabetes Control and
Complications Trial (DCCT)/Epidemiology of Diabetes Interventions and
Complications (EDIC) study. One hundred fifty-nine participants developed
incident macroalbuminuria and were subsequently followed for a median duration of
9 years (maximum of 25 years). At the time of macroalbuminuria diagnosis, mean
(SD) age was 37 (9) years, mean (SD) duration of diabetes was 17 (5) years,
median AER was 524 mg/d, and mean (SD) eGFR was 108 (20) ml/min per 1.73 m(2).
Ten years after macroalbuminuria diagnosis, the cumulative incidence of a
sustained reduction in AER to <300 mg/d was 52%, mostly but not entirely under
treatment with renin-angiotensin system inhibitors. The cumulative incidence of
impaired GFR (sustained eGFR<60 ml/min per 1.73 m(2)) 10 years after
macroalbuminuria diagnosis was 32%, including 16% who developed ESRD. Lower
hemoglobin A1c and BP and regression to AER<300 mg/d were associated with reduced
risk of developing impaired GFR. In conclusion, people with type 1 diabetes who
develop macroalbuminuria are at high risk of progressive kidney disease. However,
through at least 10 years of follow-up, AER could often be controlled, and GFR
frequently remained in the normal range.
PMID- 24925723
TI - Neighborhood socioeconomic status, race, and mortality in young adult dialysis
patients.
AB - Young blacks receiving dialysis have an increased risk of death compared with
whites in the United States. Factors influencing this disparity among the young
adult dialysis population have not been well explored. Our study examined the
relation of neighborhood socioeconomic status (SES) and racial differences in
mortality in United States young adults receiving dialysis. We merged US Renal
Data System patient-level data from 11,027 black and white patients ages 18-30
years old initiating dialysis between 2006 and 2009 with US Census data to obtain
neighborhood poverty information for each patient. We defined low SES
neighborhoods as those neighborhoods in U.S. Census zip codes with >=20% of
residents living below the federal poverty level and quantified race differences
in mortality risk by level of neighborhood SES. Among patients residing in low
SES neighborhoods, blacks had greater mortality than whites after adjusting for
baseline demographics, clinical characteristics, rurality, and access to care
factors. This difference in mortality between blacks and whites was significantly
attenuated in higher SES neighborhoods. In the United States, survival between
young adult blacks and whites receiving dialysis differs by neighborhood SES.
Additional studies are needed to identify modifiable factors contributing to the
greater mortality among young adult black dialysis patients residing in low SES
neighborhoods.
PMID- 24925724
TI - Integrative biology identifies shared transcriptional networks in CKD.
AB - A previous meta-analysis of genome-wide association data by the Cohorts for Heart
and Aging Research in Genomic Epidemiology and CKDGen consortia identified 16
loci associated with eGFR. To define how each of these single-nucleotide
polymorphisms (SNPs) could affect renal function, we integrated GFR-associated
loci with regulatory pathways, producing a molecular map of CKD. In kidney biopsy
specimens from 157 European subjects representing nine different CKDs, renal
transcript levels for 18 genes in proximity to the SNPs significantly correlated
with GFR. These 18 genes were mapped into their biologic context by testing
coregulated transcripts for enriched pathways. A network of 97 pathways linked by
shared genes was constructed and characterized. Of these pathways, 56 pathways
were reported previously to be associated with CKD; 41 pathways without prior
association with CKD were ranked on the basis of the number of candidate genes
connected to the respective pathways. All pathways aggregated into a network of
two main clusters comprising inflammation- and metabolism-related pathways, with
the NRF2-mediated oxidative stress response pathway serving as the hub between
the two clusters. In all, 78 pathways and 95% of the connections among those
pathways were verified in an independent North American biopsy cohort. Disease
specific analyses showed that most pathways are shared between sets of three
diseases, with closest interconnection between lupus nephritis, IgA nephritis,
and diabetic nephropathy. Taken together, the network integrates candidate genes
from genome-wide association studies into their functional context, revealing
interactions and defining established and novel biologic mechanisms of renal
impairment in renal diseases.
PMID- 24925727
TI - Interplay of disorder and antiferromagnetism in TlFe(1.6+delta)(Se(1-x)S(x))2
probed by neutron scattering.
AB - The effect of selenium substitution by sulphur on the structural and physical
properties of antiferromagnetic TlFe1.6+deltaSe2 has been investigated via
neutron, x-ray and electron diffraction, and transport measurements. The
?5a*?5a*c super-cell related to the iron vacancy ordering found in the pure
TlFe1.6Se2 selenide is also present in the S-doped TlFe1.6+delta(Se1-xSx)2
compounds. Neutron scattering experiments show the occurrence of the same long
range magnetic ordering in the whole series i.e. the 'block checkerboard'
antiferromagnetic structure. In particular, this is the first detailed study
where the crystal structure and the ?5a*?5a antiferromagnetic structure is
characterized by neutron powder diffraction for the pure TlFe1.6+deltaS2 sulphide
over a large temperature range. We demonstrate the strong correlation between
occupancies of the crystallographic iron sites, the level of iron vacancy
ordering and the occurrence of block antiferromagnetism in the sulphur series.
Introducing S into the Se sites also increases the Fe content in
TlFe1.6+delta(Se1-xSx)2 which in turn leads to the disappearance of the Fe
vacancy ordering at x = 0.5 +/- 0.15. However, by reducing the nominal Fe
content, the same ?5a*?5a*c vacancy ordering and antiferromagnetic order can be
recovered also in the pure TlFe1.6+deltaS2 sulphide with a simultaneous reduction
in the Neel temperature from 435 K in the selenide TlFe1.75Se2 to 330 K in the
sulphide TlFe1.5S2. The magnetic moment remains high at low temperature
throughout the full substitution range, which contributes to the absence of
superconductivity in these compounds.
PMID- 24925725
TI - Lupus nephritis susceptibility loci in women with systemic lupus erythematosus.
AB - Lupus nephritis is a manifestation of SLE resulting from glomerular immune
complex deposition and inflammation. Lupus nephritis demonstrates familial
aggregation and accounts for significant morbidity and mortality. We completed a
meta-analysis of three genome-wide association studies of SLE to identify lupus
nephritis-predisposing loci. Through genotyping and imputation, >1.6 million
markers were assessed in 2000 unrelated women of European descent with SLE (588
patients with lupus nephritis and 1412 patients with lupus without nephritis).
Tests of association were computed using logistic regression adjusting for
population substructure. The strongest evidence for association was observed
outside the MHC and included markers localized to 4q11-q13 (PDGFRA, GSX2;
P=4.5*10(-7)), 16p12 (SLC5A11; P=5.1*10(-7)), 6p22 (ID4; P=7.4*10(-7)), and
8q24.12 (HAS2, SNTB1; P=1.1*10(-6)). Both HLA-DR2 and HLA-DR3, two well
established lupus susceptibility loci, showed evidence of association with lupus
nephritis (P=0.06 and P=3.7*10(-5), respectively). Within the class I region,
rs9263871 (C6orf15-HCG22) had the strongest evidence of association with lupus
nephritis independent of HLA-DR2 and HLA-DR3 (P=8.5*10(-6)). Consistent with a
functional role in lupus nephritis, intra-renal mRNA levels of PDGFRA and
associated pathway members showed significant enrichment in patients with lupus
nephritis (n=32) compared with controls (n=15). Results from this large-scale
genome-wide investigation of lupus nephritis provide evidence of multiple
biologically relevant lupus nephritis susceptibility loci.
PMID- 24925728
TI - Eleven-year trends in gender differences of treatments and mortality in ST
elevation acute myocardial infarction in northern Italy, 2000 to 2010.
AB - The aim of this study was to assess recent trends in hospital mortality and in
the treatment techniques for patients with ST-segment elevation myocardial
infarction according to gender. Data on hospitalizations for ST-segment elevation
myocardial infarction from 2000 to 2010 were extracted from hospital discharge
record databases (International Classification of Diseases, Ninth Revision,
Clinical Modification, codes) in the Lombardy Region of Italy. The impact of
female gender on in-hospital mortality was assessed by multivariable regression
after adjusting for invasive approach use (i.e., coronary angiography,
angioplasty or coronary artery bypass graft), age, and co-morbidities. A total of
89,562 patients, men (66.5%) and women (33.5%), were enrolled. The use of an
invasive approach increased over time in both sexes although it was higher in men
(from 54.9% in 2000 to 91.9% in 2010 in men; from 36.8% in 2000 to 72.0% in 2010
in women). This pattern was driven by the subgroup of patients aged >=75 years,
whereas differences between sexes were not observed in patients <65 years and
were small in patients aged 65 to 74 years. In-hospital mortality presented a
small decrease from 7.6% in 2000 to 6.2% in 2010 in men (p for trend = 0.004),
whereas it remained higher and substantially constant over time in women (16.6%
in 2000, 15.5% in 2010, p for trend = 0.09). At multivariable regression, female
gender did not emerge as an independent predictor of mortality (p = 0.13).
However, a significant gender-age interaction was found, with female gender being
a significant predictor of increased mortality in patients aged >=75 years (odds
ratio [OR] 1.33) while predicting a reduced mortality in patients aged <75 years
(OR 0.93, p for interaction <0.0001). The use of an invasive approach was an
independent predictor of mortality (OR 0.23, p <0.0001), the magnitude of
mortality reduction being higher in men than in women and in patients aged <75
years than in those aged >=75 years. In conclusion, a weak temporal trend in
mortality reduction is observed in men only, which is driven by patients aged
>=75 years. In-hospital mortality remains higher in women than in men, although
female gender is not a significant predictor of mortality. Despite temporal
increases in the use of an invasive approach, women are more often treated
conservatively.
PMID- 24925729
TI - Susceptibility-weighted imaging in pediatric neuroimaging.
AB - Susceptibility-weighted imaging (SWI) has become a key MR sequence in pediatric
neuroimaging. The usage of SWI has significantly expanded recently. The strength
of SWI lies not just in its ability to identify hemorrhage, calcium or nonheme
iron by virtue of its susceptibility artifact, but also more importantly, the
blood oxygen level dependent venography principle whereby several diseases can be
diagnosed earlier. We are continuing to harness the power of SWI in the field of
pediatric neuroimaging. In this paper, we will make a comprehensive review and
discuss the utility of SWI in pediatric neuroimaging in establishing the
diagnosis, differential diagnosis, and also understanding the pathomechanism of
various pediatric brain pathologies.
PMID- 24925726
TI - Regulated cell death in AKI.
AB - AKI is pathologically characterized by sublethal and lethal damage of renal
tubules. Under these conditions, renal tubular cell death may occur by regulated
necrosis (RN) or apoptosis. In the last two decades, tubular apoptosis has been
shown in preclinical models and some clinical samples from patients with AKI.
Mechanistically, apoptotic cell death in AKI may result from well described
extrinsic and intrinsic pathways as well as ER stress. Central converging nodes
of these pathways are mitochondria, which become fragmented and sensitized to
membrane permeabilization in response to cellular stress, resulting in the
release of cell death-inducing factors. Whereas apoptosis is known to be
regulated, tubular necrosis was thought to occur by accident until recent work
unveiled several RN subroutines, most prominently receptor-interacting protein
kinase-dependent necroptosis and RN induced by mitochondrial permeability
transition. Additionally, other cell death pathways, like pyroptosis and
ferroptosis, may also be of pathophysiologic relevance in AKI. Combination
therapy targeting multiple cell-death pathways may, therefore, provide maximal
therapeutic benefits.
PMID- 24925730
TI - A robust molecular pattern for myelodysplastic syndromes in two independent
cohorts investigated by next-generation sequencing can be revealed by comparative
bioinformatic analyses.
PMID- 24925731
TI - Photoreceptor layer thinning in parkinsonian syndromes.
PMID- 24925732
TI - Calcium binding protein calretinin (29kD) localization in the forebrain of the
cichlid fish: An immunohistochemical study.
AB - Ionic regulation is essential for the metabolism and cellular function. For many
physiological processes, ionic calcium (Ca(+2)) is important for example muscle
contractions, nerve signaling, membrane permeability, cell division and hormone
release. In nerve cells, the excess intracellular concentration of Ca(+2) causes
cell death. It has been shown that certain calcium binding proteins (CaBPs) are
essential for Ca(+2) homeostasis and protect neurons from excess Ca(+2) influx.
We are for the first time showing an unusual calretinin (CR) expression and
significant differences in its occurrence in the forebrain of the cichlid fish
(Cynotilapia sp.) compared to other teleosts. CR labeled neurons were seen in the
dorsal and lateral part of the dorsal telencephalic area, entopeduncular nucleus
(EN), nucleus preopticus (NPO), diffuse nucleus of lateral torus (NDTL), ventral
hypothalamic nucleus (VH), preglomerular nucleus (NPG) and optic tectum.
Surprisingly, large numbers of CR immunoreactive perikarya were noted in the
optic chiasma (Oc). These neurons were oval with elongated processes and forming
a huge fiber network in the Oc. Enormously CR stained fibers were seen in the
lateral and medial olfactory tract. Widespread distributions of strongly CR
labeled fibers were observed around the EN projecting dorsally into the
telencephalon, Oc and optic nerve. Presence of CR in the NPO suggests that it may
be involved in the hormonal regulation by the pituitary. As in vertebrates EN
plays an important role in sensory functions, massive localization CR in the EN
may suggests role of CR in sensory functions of the cichlid fish.
PMID- 24925733
TI - A retrospective descriptive study of oral azole antifungal agents in patients
with patch test-negative head and neck predominant atopic dermatitis.
AB - BACKGROUND: Head and neck dermatitis is a subtype of atopic dermatitis driven by
Malassezia yeast. OBJECTIVE: We sought to evaluate the response of these patients
to systemic azole antifungals. METHODS: We queried the electronic medical records
from our institution for patients that were referred for allergy patch testing,
were ultimately given the diagnosis of head and neck dermatitis, and were treated
with oral azole antifungals over a 2-year period. RESULTS: Twenty-four patients
met inclusion criteria and were analyzed. Most patients noted their
characteristic flare beginning during their teenage, young adult, or adult years.
All were noted to have some involvement of the head and neck, and 17 responded to
treatment. The mean time taking an azole antifungal medication was 8 months, with
a mean overall follow-up of 10 months. LIMITATIONS: This was a retrospective
descriptive study, from a single institution, of a limited number of patients,
and did not use a validated scoring system. CONCLUSION: Itraconazole and other
azole antifungals were an effective treatment for more than two-thirds of adult
patients with head and neck predominant atopic dermatitis.
PMID- 24925734
TI - Spectrum and impact of health problems during deployment: a prospective,
multicenter study of French soldiers operating in Afghanistan, Lebanon and Cote
d'Ivoire.
AB - BACKGROUND: More than 15 000 French soldiers are continuously deployed abroad.
Along with combat-related injuries, they are exposed to non-combat-related
diseases with an underestimated burden. Our objectives were to assess the
incidence and impact of health problems on their operating capacity. METHODS: A
prospective multicenter study was conducted over more than three months in
Lebanon, Cote d'Ivoire and Afghanistan including exclusively French soldiers.
RESULTS: We collected 4349 consultations (Afghanistan {n = 719}, Lebanon {n =
1401} and Cote d'Ivoire {n = 2229}) encompassing 4600 health problems. Injuries
(21%), diarrhea (19%), dermatoses (17.5%) and respiratory tract infections
(10.45%) were the most frequent health issues. Infectious diseases represented
41% of all health problems. Almost nine out of ten patients were managed as
outpatients. Ten combat-related deaths were observed. We reported 68 (1.5%)
medical repatriations of which 28 and 26 were psychiatric and trauma cases
respectively. Partial or complete incapacity was estimated 724 days/1000
men/month. Etiological spectrum was similar in all three countries however, the
incidence of diarrhea (p < 0.05) as well as inpatient management and medical
evacuation rates were higher (p < 0.0001) in Afghanistan. CONCLUSIONS: There was
a wide spectrum of health problems occurring during military deployments with a
predominance of common infections. Non-combat-related pathology represented an
important burden for the loss of operating capacity.
PMID- 24925735
TI - Oral behaviour following forebrain ablations in Gallus Domesticus.
AB - The oral behaviour of adult Brown Leghorn hens was recorded in response to oral
stimulation with water, 2 M sodium chloride, 2 M acetic acid and 0.1 M quinine
hydrochloride before and after surgical ablation of either the anterior
telencephalon or the entire telencephalon and/or the diencephalon. It was found
that beak wiping behaviour could be abolished by the removal of the anterior
telencephalon. Head shaking behaviour was abolished only by the complete removal
of the forebrain (telencephalon and diencephalon) whereas beak and tongue
movements persisted after forebrain removal. Although these three behaviour
patterns occur together in response to the stimulus, they appear to be controlled
in different areas of the brain. The results are discussed in relation to the
current work on the control of oral behaviour in mammals.
PMID- 24925736
TI - The assessment of fear in domestic hens exposed to a looming human stimulus.
AB - The behavioural and physiological responses of hens exposed to a slowly
approaching human being were assessed using remote observation and radio
telemetry of heart rate. Forty isolated hens of two strains and from two rearing
environments were used. The sequence of behavioural changes included looking
around, ceasing feeding, head shaking or complete withdrawal and finally
crouching or escape behaviour. The heart rate rose from a mean level of 303
beats/min when the birds were at rest, to 465 beats/min when the cage was opened
and the bird caught and held at the conclusion of the approach. The light hybrid
strain showed more pronounced behavioural responses and a greater proportional
rise in heart rate in the course of the approach than the medium hybrid birds,
consistent with the induction of a higher level of fear. An environmental effect
was also observed, pen-reared birds were more disturbed than caged ones. The
similarity of the time course of the physiological and behavioural measures
supports the concept of fear as an intervening variable which has simultaneous
effects on heart rate and behaviour. Both may be effective in assessing fear, and
they should be regarded as complementary rather than alternative measures.
PMID- 24925737
TI - The effects of regular handling on fear responses in the domestic chick.
AB - Reduction of fear in the domestic chick by regular handling was assessed and an
attempt was made to determine whether such an effect was due specifically to
reduced fear of human beings or to a general reduction in fearfulness. Regular
handling decreased the tonic immobility response, a fear-potentiated phenomenon,
and increased approach to a human being, but had no effect on approach towards an
inanimate object. These findings were common to three strains (two layer, one
broiler), and suggest that handling does not depress general fearfulness, but
specifically reduces fear of human beings, presumably through habituation.
PMID- 24925738
TI - Effects of basolateral or corticomedial amygdaloid lesions on grooming,
consummatory, and locomotor behaviours in rats.
AB - Grooming behaviour in rats was induced by limited water access and by water spray
before and after corticomedial or basolateral amygdaloid lesions or control
operations. Corticomedial lesions produced some attenuation of grooming induced
by limited water access but increased grooming induced by water spray.
Basolateral lesions did not consistently affect grooming. There was an increase
in ambulation time following basolateral lesions and a relative decrease in
feeding time following corticomedial lesions. There were no effects of either
lesion on drinking or rearing. Detailed examination of both grooming and non
grooming behaviours provided little evidence for lesion induced disruption of
response sequencing.
PMID- 24925739
TI - Attempts to determine the status of electroshock-induced attack in male
laboratory mice.
AB - An attempt was made using a combination of simple experimental manipulations and
videotape recorder (VTR) analysis of bite targets employed to determine whether
electroshock-induced attack on anosmic opponents in laboratory mice was an
offensive or a defensive behaviour. VTR analysis suggested that ventral surface
biting was more evident in this form of attack than in social conflict.
Individually- and group-housed males showed similar levels of fighting on
exposure to electroshock, but dominant males from pairs showed greater attack
than their subordinate partners. Zinc sulphate-induced anosmia, 36 h of food
deprivation, castration and lithium chloride treatment reduced electroshock
induced attack. Although significant changes were not obtained, there was some
evidence that acute treatment with dexamethasone or ACTH augmented this
behaviour. The direction of these changes is similar to that seen with social
conflict, and it is suggested that electroshock-induced attack in the mouse
(unlike the rat) is largely an aggressive offensive behaviour. The high incidence
of ventral surface biting may be a consequence of the upright postures assumed on
subjecting the animals to electroshock.
PMID- 24925744
TI - The social structure and ecology of elephant shrews Galen B. Rathbun. Paul Parey,
Hamburg, 1979. 88 pp., DM. 49.00.
PMID- 24925753
TI - Femtosecond and temperature-dependent picosecond dynamics of ultrafast excited
state proton transfer in water-dioxane mixtures.
AB - Synthetic flavylium salts like the 7-hydroxy-4-methylflavylium (HMF) cation have
been used as prototypes to study the chemistry and photochemistry of
anthocyanins, the major group of water-soluble pigments in the plant kingdom. In
this work, a combination of fluorescence upconversion with femtosecond time
resolution and time-correlated single photon counting (TCSPC) with picosecond
time resolution have been employed to investigate in details the excited-state
proton transfer (ESPT) of HMF in water and in binary water/1,4-dioxane mixtures.
TCSPC measurements as a function of temperature provide activation parameters for
all of the individual rate constants involved in the proton transfer, including
those for dissociation and recombination of the geminate excited base-proton pair
(A*...H(+)) that can be detected in the water/dioxane mixtures (but not in
water). Unlike the other rate constants, the deprotonation rate constant kd shows
a non-Arrhenius dependence on temperature in both water and water/dioxane
mixtures. At low temperatures kd is close to the dielectric relaxation rate of
the solvent with a barrier of ca. 8 kJ mol(-1), suggesting that the solvent
reorganization is the rate-limiting step. At higher temperatures (>30 degrees C)
the proton transfer process is nearly barrierless and solvent-dependent.
Fluorescence upconversion results in H2O, D2O, and water/dioxane mixtures confirm
the two-step model for the ESPT of HMF and provide additional details of the
early events prior to the onset of proton transfer, attributed to conformational
relaxation and solvent reaccommodation around the initially formed excited state.
The results are consistent with DFT calculations that indicate that charge
redistribution occurs after rather than prior to the onset of the ESPT process.
PMID- 24925752
TI - Effects of Saskatoon berry powder on monocyte adhesion to vascular wall of leptin
receptor-deficient diabetic mice.
AB - HYPOTHESIS: Atherosclerotic cardiovascular complications are the leading cause of
death in diabetic patients. Monocyte adhesion is an early event for
atherogenesis. Previous studies demonstrated that dark-skin berries had
cardiovascular protective effects. We hypothesize that Saskatoon berry (SB)
powder may reduce monocyte adhesion in leptin receptor-deficient (db/db) diabetic
mice. METHODS: Wild-type and db/db mice were fed with chow or supplemented with
SB powder. Anthocyanins in SB powder were identified using mass spectrometry.
Mouse monocytes were incubated with mouse aorta. Monocyte adhesion was counted
under microscopy. Inflammatory or metabolic markers in blood or tissue were
analyzed using immunological or biochemical methods. RESULTS: SB powder
significantly reduced monocyte adhesion to aorta from diabetic db/db mice
compared to regular chow. The increased monocyte adhesion to aorta was normalized
in db/db mice treated with >=5% of SB powder for 4 weeks. Increased contents of
Nicotinamide adenine dinucleotide phosphate oxidase (NADPH) oxidase-4, heat shock
factor-1, monocyte chemotactic protein (MCP)-1, intracellular adhesion molecule
(ICAM)-1, P-selectin, tumor necrosis factor-alpha, plasminogen activator
inhibitor (PAI)-1 and urokinase plasminogen activator in aorta or heart apex,
elevated plasma PAI-1 and MCP-1 were detected in db/db mice on chow compared to
wild-type mice on the same diet; 5% SB powder inhibited the increases of
inflammatory, fibrinolytic or stress regulators in aorta or heart apex of db/db
mice. Monocyte adhesion positively correlated with blood glucose, cholesterol,
body weight, heart MCP-1, PAI-1 or ICAM-1. CONCLUSION: The findings suggest that
SB powder attenuated monocyte adhesion to aorta of db/db mice, which was
potentially mediated through inhibiting the inflammatory, stress and/or
fibrinolyic regulators.
PMID- 24925755
TI - Psychometric properties and Dutch norm data of the PedsQL Multidimensional
Fatigue Scale for Young Adults.
AB - PURPOSE: The aim of this study was to assess internal consistency and construct
validity (known-groups validity) and to provide Dutch norm data for the Dutch
Pediatric Quality of Life Inventory Multidimensional Fatigue Scale for Young
Adults ages 18-30 years (PedsQL fatigue_YA). METHODS: A Dutch sample of 649 young
adults completed online a sociodemographic questionnaire and the PedsQL
fatigue_YA including three subscales: general fatigue, sleep/rest fatigue and
cognitive fatigue (0-100: Higher scores indicate less fatigue symptoms). RESULTS:
The PedsQL fatigue_YA showed satisfactory to good internal consistency
(Cronbach's alpha = .70-.94), except for one scale (.68). The mean scale scores
were 68.23 (SD 19.15) for 'general fatigue,' 67.04 (SD 15.54) for 'sleep/rest
fatigue' and 74.62 (SD 19.02) for 'cognitive fatigue.' Men reported significantly
higher scores on 'general fatigue' and 'sleep/rest fatigue' than women. The
PedsQL fatigue_YA distinguished between healthy young adults and young adults
with chronic health conditions, with higher scores on all scales in healthy young
adults than in those with a chronic health condition. CONCLUSION: The results
demonstrate good psychometric properties of the PedsQL fatigue_YA in a sample of
Dutch young adults. With the current norms available, it is possible to evaluate
fatigue in the Netherlands from childhood to adulthood with the PedsQL
Multidimensional Fatigue Scale.
PMID- 24925754
TI - Prospectively measured 10-year changes in health-related quality of life and
comparison with cross-sectional estimates in a population-based cohort of adult
women and men.
AB - PURPOSE: To prospectively assess changes in health-related quality of life
(HRQOL) over 10 years, by age and sex, and to compare measured within-person
change to estimates of change based on cross-sectional data. METHODS:
Participants in the Canadian Multicentre Osteoporosis Study completed the 36-item
short form (SF-36) in 1995/1997 and 2005/2007. Mean within-person changes for
domain and summary components were calculated for men and women separately,
stratified by 10-year age groups. Projected changes based on published age- and
sex-stratified cross-sectional data were also calculated. Mean differences
between the two methods were then estimated, along with the 95 % credible
intervals of the differences. RESULTS: Data were available for 5,569/9,423 (59.1
%) of the original cohort. Prospectively collected 10-year changes suggested that
the four physically oriented domains declined in all but the youngest group of
men and women, with declines in the elderly men exceeding 25 points. The four
mentally oriented domains tended to improve over time, only showing substantial
declines in vitality and role emotional in older women, and all four domains in
older men. Cross-sectional estimates identified a similar pattern of change but
with a smaller magnitude, particularly in men. Correspondence between the two
methods was generally high. CONCLUSIONS: Changes in HRQOL may be minimal over
much of the life span, but physically oriented HRQOL can decline substantially
after middle age. Although clinically relevant declines were more evident in
prospectively collected data, differences in 10-year age increments of cross
sectional data may be a reasonable proxy for longitudinal changes, at least in
those under 65 years of age. Results provide additional insight into the natural
progression of HRQOL in the general population.
PMID- 24925756
TI - Evaluation of circulating levels of inflammatory and bone formation markers in
axial spondyloarthritis.
AB - Studies have demonstrated the important role of bone remodelling and
osteoimmunology in the progression of inflammatory lesions in axial
spondyloarthritis (SpA) disease. This study was conducted to evaluate the
inflammatory response by analysis of the serum levels of pro-inflammatory and new
bone formation markers in patients with axial SpA who were treated or not treated
with anti-tumour necrosis factor-alpha (anti-TNF-alpha) or non-steroidal drugs
(NSAIDs) and to identify whether these drugs modify the activity and severity of
the disease. The serum levels of myeloperoxidase (MPO), adenosine deaminase
(ADA), nitric oxide metabolites (NOx), bone alkaline phosphatase (BAP), Dickkopf
1 (DKK-1), and osteoprotegerin (OP) were measured in 52 SpA patients who were
treated or not with anti-TNF-alpha or NSAIDs and in 26 healthy controls using
colourimetric and enzyme immunoassay tests. The activity and the severity of
illness in patients with SpA were assessed using questionnaires (Bath Ankylosing
Spondylitis Metrology Index (BASMI), Bath Ankylosing Spondylitis Functional Index
(BASFI), and Bath Ankylosing Spondylitis Disease Activity Index (BASDAI)). A
significant difference between the controls and the patients without medication
was observed in relation to NOx, BAP, and OP (p<0.01). When the patients were
compared with regard to their treatment, there were no clinically significant
differences between the groups (p>0.05). In conclusion, The NOx, BAP, and OP are
emerging as important inflammatory pathways in axial SpA. Also the anti-TNF-alpha
or non-steroidal drugs reduce the inflammation and destructions, however these
treatments do not modify the serum levels of these biomarkers.
PMID- 24925757
TI - Linalool attenuates lung inflammation induced by Pasteurella multocida via
activating Nrf-2 signaling pathway.
AB - Pasteurellosis caused by Pasteurella multocida manifest often as respiratory
infection in farmed small ruminants. Although the incidence of pasteurellosis due
to P. multocida mainly takes the form of pneumonia, there is limited information
on host factors that play a role in disease pathogenesis in the milieu of host
pathogen interactions. Nuclear factor-erythroid 2 related factor 2 (Nrf-2), a
critical regulator for various inflammatory and immune responses by controlling
oxidative stress, may play an important role in the processes of inflammation
induced by P. multocida. In this study, linalool, a natural compound of the
essential oils in several aromatic plant species, elevated nuclear Nrf-2 protein
translocation in the A549 lung cell line and in vivo. The P. multocida-induced
pro-inflammatory cytokines expression was abrogated by Nrf-2 siRNA. Postponed
treatment with linalool decreased lung neutrophil accumulation and enhanced
clearance of P. multocida. Furthermore, linalool significantly increased the
expression of antioxidant enzymes regulated by Nrf-2 and diminished lung tissue
levels of several pro-inflammatory cytokines, including tumor necrosis factor
alpha (TNF-alpha) and interleukin (IL)-6. In addition, animals treated with
linalool had a marked improvement in survival. These findings have uncovered that
linalool acts as a novel Nrf-2 activator for a novel therapeutic strategy in
pathogen-mediated lung inflammation.
PMID- 24925758
TI - Cloning and characterization of cytoplasmic dynein intermediate chain in
Fenneropenaeus chinensis and its essential role in white spot syndrome virus
infection.
AB - To investigate the role of cytoplasmic dynein in white spot syndrome virus (WSSV)
infection, the full-length cDNA of cytoplasmic dynein intermediate chain
(FcDYNCI) was cloned in Fenneropenaeus chinensis, which consists of 2582 bp and
encodes a polypeptide of 660 amino acids. Sequence analysis and multiple sequence
alignment displayed that FcDYNCI was a member of cytoplasmic dynein 1 family. The
FcDYNCI mRNA was most highly expressed in hemocytes, which was significantly up
regulated post WSSV infection. At 12 h post infection (hpi), confocal microscopic
observation showed that WSSV could be co-localized with cytoplasmic dynein in
hemocytes. After silencing by specific FcDYNCI dsRNA, the FcDYNCI mRNA level and
the protein amount of FcDYNCI in hemocytes both exhibited a significant
reduction, and the expression levels of three WSSV genes ie1, wsv477 and vp28 all
exhibited the greatest decreases at 24 hpi. These results suggested that
cytoplasmic dynein was involved in WSSV infection.
PMID- 24925759
TI - Molecular characterization of heat shock protein 70 (HSP 70) promoter in Japanese
flounder (Paralichthys olivaceus), and the association of Pohsp70 SNPs with heat
resistant trait.
AB - Ambient temperature is one of the major abiotic environmental factors determining
the main parameters of fish vital activity. HSP70 plays an essential role in heat
response. In this investigation, the promoter and structure of Paralichthys
olivaceus hsp70 (Pohsp70) gene was cloned and predicted. 2558 bp upstream
regulatory region of Pohsp70 was annotated with four potential promoter elements
and four putative binding sites of transcription factors heat shock elements
(HSE, nGAAn) in the upstream of the transcription start site. In addition, one
intron with 454 bp in the 5'-noncoding region was found. Quantitative Real Time
PCR analysis indicated that the transcript level of Pohsp70 was raised markedly
after 1 h by heat shocked. Furthermore, 25 SNPs were identified in Pohsp70 by
resequencing, seven of which was associated with heat resistance. In addition,
two of the seven SNPs, namely SNP14 and SNP16, were observed in strong linkage
disequilibrium. The haplotype with association analysis showed TAGGAG haplotype
was more represented in heat susceptible group while (DEL/T) GAATA haplotype was
more frequent in heat resistant group. The heat resistant SNPs and haplotype
could be candidate markers potentially serving for selective breeding programs of
Japanese flounder aimed at improving anti-stress and production.
PMID- 24925760
TI - Mechanisms involved in apoptosis of carp leukocytes upon in vitro and in vivo
immunostimulation.
AB - During inflammation leukocyte activity must be carefully regulated, as high
concentrations and/or prolonged action of pro-inflammatory mediators e.g.
reactive oxygen species (ROS) can be detrimental not only for pathogens but also
for host tissues. Programmed cell death - apoptosis is a most effective
regulatory mechanism for down regulation of leukocyte activity, but little is
known about this process in fish. We aimed to reveal the mechanisms of initiation
and regulation of apoptosis in carp neutrophilic granulocytes and macrophages.
During zymosan-induced peritonitis in carp, activated inflammatory neutrophilic
granulocytes and monocytes/macrophages died by apoptosis. This correlated with a
strong production of ROS, but pretreatment of the fish with NADPH oxidase
inhibitor only slightly decreased late apoptosis. Interestingly in vitro
incubation with zymosan or phorbol ester, but not lipopolisaccharide and poli I:C
induced apoptosis of head kidney neutrophilic granulocytes. This coincided with
loss of mitochondrial membrane potential. Moreover, in zymosan-stimulated
neutrophilic granulocytes NADPH oxidase inhibitor not only reduced the production
of ROS but also apoptosis. A similar effect was not observed in cells stimulated
with phorbol ester, where DPI reduced ROS production, but not apoptosis. In PMA
stimulated neutrophilic granulocytes both the respiratory burst and apoptosis
were reduced by protein kinase inhibitor. Furthermore, a short neutrophil
stimulation either with PMA or with zymosan did induce caspase-independent
apoptosis. These results show that in carp, apoptosis is an important regulatory
process during in vitro and in vivo immunostimulation. In neutrophils, protein
kinase, but not NADPH oxidase, is involved in PMA-induced apoptosis while
apoptosis induced by zymosan is ROS-dependent.
PMID- 24925761
TI - Immunostimulatory effects of artificial feed supplemented with a Chinese herbal
mixture on Oreochromis niloticus against Aeromonas hydrophila.
AB - The effects of a Chinese herbal mixture (CHM) composed of astragalus, angelica,
hawthorn, Licorice root and honeysuckle on immune responses and disease resistant
of Nile tilapia (Oreochromis niloticus GIFT strain) were investigated in present
study. Fish were fed diets containing 0 (control), 0.5%, 1.0%, 1.5% or 2.0% CHM
(w/w) for 4 weeks. And series of immune parameters including lysozyme, cytokine
genes TNF-alpha and IL-1beta, superoxide dismutase (SOD), peroxidase (POD),
malondialdehyde (MDA) were measured during test period. After four weeks of
feeding, fish were infected with Aeromonas hydrophila and mortalities were
recorded. Results of this study showed that feeding Nile tilapia with CHM
supplementation diet stimulated lysozyme activity, SOD activity and POD activity
in serum, induced TNF-alpha and IL-1beta mRNA expression in head kidney and
spleen, but decreased serum MDA content. All CHM-supplemental groups showed
reduced mortalities following A. hydrophila infection compared with the group fed
the control diet. These results suggested that this CHM can be applied as a
tilapia feed supplement to elevate fish immunity and disease resistance against
A. hydrophila.
PMID- 24925762
TI - Dietary effect of Sargassum wightii fucoidan to enhance growth, prophenoloxidase
gene expression of Penaeus monodon and immune resistance to Vibrio
parahaemolyticus.
AB - The polysaccharide fucoidan from brown seaweed Sargassum wightii was extracted
and it was incorporated with pellet diets at three concentrations (0.1, 0.2 &
0.3%). The fucoidan incorporated diets were fed to shrimp Penaeus monodon for 60
days and the growth performance was assessed. The weight gain and SGR of control
group was 6.83 g and 9.72%, respectively, but the weight gain and SGR of various
concentrations (0.1-0.3%) of fucoidan incorporated diets fed groups of shrimp was
increased from 7.30 to 8.20 g and 9.83 to 10.03%, respectively. After 60 days of
feeding experiment, the relative quantification of prophenoloxidase gene of
experimental groups over control group was analysed by RT-PCR and it was ranged
between 2.13 and 7.95 fold increase within 33.52-34.61 threshold cycles,
respectively at 0.1-0.3% concentrations of fucoidan. After 60 days of feeding
experiment, the P. monodon were challenged with shrimp pathogen Vibrio
parahaemolyticus and the mortality percentage was recorded daily up to 21 days.
The reduction in mortality percentage of experimental groups over control group
was recorded from 44.56 to 72.79%, respectively in 0.1-0.3% of fucoidan
incorporated diets fed groups. During challenge experiment, all the immunological
parameters such as THC, prophenoloxidase activity, respiratory burst activity,
superoxide dismutase activity, phagocytic activity, bactericidal activity and
bacterial clearance ability of experimental groups were significantly (P < 0.05)
increased than control group. The V. parahaemolyticus load was enumerated from
the infected shrimp at every 10 days intervals during challenge experiment. In
control group, the Vibrio load was increased in hepatopancreas and muscle tissues
from 10th to 21st days of challenge test. But in the experimental groups, the
Vibrio load in both the tissues decreased positively from 10th to 21st days of
challenge duration. It is concluded that the S. wightii fucoidan had enhanced the
innate immunity and increased resistance to V. parahaemolyticus infection in P.
monodon.
PMID- 24925763
TI - Protective efficacies and immune responses induced by recombinant HCD, atpD and
gdhA against bacterial cold-water disease in ayu (Plecoglossus altivelis).
AB - Protective efficacies of three antigenic proteins (3-hydroxyacyl-CoA
dehydrogenase (HCD), ATP synthase beta subunit (atpD), and glutamate
dehydrogenase (gdhA)) against Flavobacterium psychrophilum were investigated in
ayu (Plecoglossus altivelis). Recombinant proteins of HCD, atpD, and gdhA were
expressed in Escherichia coli BL21 cells. Ayu were then vaccinated with
inactivated cells via the intraperitoneal route. Compared with the empty BL21-
and PBS-injected groups, the vaccinated group had a significantly longer survival
time after challenge with F. psychrophilum. The antibody titers against each
recombinant protein were significantly higher in serum from vaccinated fish,
compared with serum from control fish. Results of indirect immunofluorescence
assays using serum indicated that the HCD, atpD, and gdhA proteins are located on
the surface of F. psychrophilum. These results suggest that these three surface
proteins are protective antigens and are good candidates for development of
vaccines against bacterial cold-water disease in ayu.
PMID- 24925764
TI - Acetone-based cellulose solvent.
AB - Acetone containing tetraalkylammonium chloride is found to be an efficient
solvent for cellulose. The addition of an amount of 10 mol% (based on acetone) of
well-soluble salt triethyloctylammonium chloride (Et3 OctN Cl) adjusts the
solvent's properties (increases the polarity) to promote cellulose dissolution.
Cellulose solutions in acetone/Et3 OctN Cl have the lowest viscosity reported for
comparable aprotic solutions making it a promising system for shaping processes
and homogeneous chemical modification of the biopolymer. Recovery of the polymer
and recycling of the solvent components can be easily achieved.
PMID- 24925766
TI - L.U.St: a tool for approximated maximum likelihood supertree reconstruction.
AB - BACKGROUND: Supertrees combine disparate, partially overlapping trees to generate
a synthesis that provides a high level perspective that cannot be attained from
the inspection of individual phylogenies. Supertrees can be seen as meta
analytical tools that can be used to make inferences based on results of previous
scientific studies. Their meta-analytical application has increased in popularity
since it was realised that the power of statistical tests for the study of
evolutionary trends critically depends on the use of taxon-dense phylogenies.
Further to that, supertrees have found applications in phylogenomics where they
are used to combine gene trees and recover species phylogenies based on genome
scale data sets. RESULTS: Here, we present the L.U.St package, a python tool for
approximate maximum likelihood supertree inference and illustrate its application
using a genomic data set for the placental mammals. L.U.St allows the calculation
of the approximate likelihood of a supertree, given a set of input trees,
performs heuristic searches to look for the supertree of highest likelihood, and
performs statistical tests of two or more supertrees. To this end, L.U.St
implements a winning sites test allowing ranking of a collection of a-priori
selected hypotheses, given as a collection of input supertree topologies. It also
outputs a file of input-tree-wise likelihood scores that can be used as input to
CONSEL for calculation of standard tests of two trees (e.g. Kishino-Hasegawa,
Shimidoara-Hasegawa and Approximately Unbiased tests). CONCLUSION: This is the
first fully parametric implementation of a supertree method, it has clearly
understood properties, and provides several advantages over currently available
supertree approaches. It is easy to implement and works on any platform that has
python installed. AVAILABILITY: bitBucket page - https://afro
juju@bitbucket.org/afro-juju/l.u.st.git. CONTACT: Davide.Pisani@bristol.ac.uk.
PMID- 24925765
TI - Correlates of low testosterone in men with chronic spinal cord injury.
AB - Although high rates of serum testosterone deficiency have been reported in men
with spinal cord injury (SCI), its determinants and attributes are not yet
established. The aim of this study was to recognize, among putative determinants
and attributes of androgen deficiency, those significantly associated to low
testosterone after adjustment for confounders recognizable in men with chronic
SCI. A biochemical androgen deficiency (total testosterone <300 ng/dL) was
exhibited by 18 of 51 patients (35.3%). Significant correlates of testosterone
levels were as follows: weekly leisure time physical activity (LTPA) explored by
the LTPA Questionnaire for people with SCI, body mass index (BMI), homeostatic
model assessment of insulin resistance (HOMA-IR), triglycerides and sexual
symptoms, explored by the aging males' symptom (AMS) questionnaire. At the
multiple linear regression analysis, among putative determinants of low
testosterone, only weekly LTPA and BMI exhibited a significant association with
testosterone levels, explaining 54.2 and 9.0% of testosterone variability
respectively. At the linear regression models, among various putative attributes
of androgen deficiency, only lower sexual desire and, at a lesser extent, higher
HOMA-IR, exhibited significant associations with lower testosterone levels, after
adjustment for BMI, age, comorbidities and weekly LTPA. In conclusion, poor LTPA,
high BMI and low sexual desire are independent predictors of low testosterone in
men with chronic SCI. This is relevant to clinical practice, as all these
features are routinely assessed in rehabilitation settings for SCI. As poor LTPA
and high BMI are modifiable life-style related risk factors, prospective studies
could clarify whether life-style modification could increase the level of
testosterone and improve the low sexual desire, relevant clinical attribute of
low testosterone in men with SCI.
PMID- 24925767
TI - Stem cell therapy for heart disease: truly beneficial?
PMID- 24925768
TI - L-carnitine protects against testicular dysfunction caused by gamma irradiation
in mice.
AB - This study was conducted on mice to evaluate the radioprotective role of L
carnitine against gamma-ray irradiation-induced testicular damage. Adult male
mice were exposed to whole body irradiation at a total dose of 1 Gy. Radiation
exposure was continued 24 h a day (0.1 Gy/day) throughout the 10 days exposure
period either in the absence and/or presence of L-carnitine at an i.p. dose of 10
mg/kg body weight/day. Results revealed that gamma-rays irradiation suppressed
the expression of ABP and CYP450SCC mRNA, whereas treatment with L-carnitine
prior and throughout gamma-rays irradiation exposure inhibited this suppression.
Treatment with gamma-ray irradiation or L-carnitine down-regulated expression of
aromatase mRNA. With combined treatment, L-carnitine significantly normalized
aromatase expression. gamma-Ray irradiation up-regulated expression of FasL and
Cyclin D2 mRNA, while L-carnitine inhibited these up-regulations. Results also
showed that gamma-ray-irradiation up-regulated TNF-alpha, IL1-beta and IFN-gamma
mRNA expressions compared to either controls or the L-carnitine treated group.
Moreover, gamma-irradiation greatly reduced serum testosterone levels, while L
carnitine, either alone or in combination with irradiation, significantly
increased serum testosterone levels compared to controls. In addition, gamma
irradiation induced high levels of sperm abnormalities (43%) which were decreased
to 12% in the presence of L-carnitine. In parallel with these findings,
histological examination showed that gamma-irradiation induced severe tubular
degenerative changes, which were reduced by L-carnitine pre-treatment. These
results clarified the immunostimulatory effects of L-carnitine and its
radioprotective role against testicular injury.
PMID- 24925769
TI - Retrotransposons and piRNA: the missing link in central nervous system.
AB - From times when the whole genome were not available to the present explosion of
genome knowledge, the biology of non-coding RNA molecules are an unknown ocean of
gems. One among them are PIWI-interacting RNAs (piRNAs) that restrict the
mobility of various retrotransposons. PIWI proteins and piRNAs once thought to be
germline specific was now explored to be expressed in different somatic cells.
Emerging proofs of piRNAs from central nervous system has raised serious
questions regarding the role of retrotransposons and its silencing mechanism. In
this review, we have focused on the existing knowledge of retrotransposons and
piRNAs in the central nervous system and have provided future insights. Meta
analysis of retrotransposons in various mammalian genomes and piRNA targets
showcased the abundance of LINE transposon and the possibility of piRNA mediated
retrotransposon expression. Thus, understanding the retrotransposons-piRNA
pathway will provide a new vision for the study of development, physiology and
pathology of the central nervous system.
PMID- 24925770
TI - Detection of infragenual arterial disease using non-contrast-enhanced MR
angiography in patients with diabetes.
AB - PURPOSE: To evaluate the diagnostic performance of a newly developed non-contrast
enhanced MR angiography (NCE-MRA) technique using flow-sensitive dephasing (FSD)
prepared steady-state free precession (SSFP) for detecting calf arterial disease
in patients with diabetes. MATERIALS AND METHODS: Forty-five patients with
diabetes who underwent routine contrast-enhanced MR angiography (CE-MRA) of lower
extremities were recruited for NCE-MRA at the calf on a 1.5 Tesla MR system.
Image quality evaluated on a 4-point scale and diagnostic performance for
detecting more than 50% arterial stenosis were statistically analyzed, using CE
MRA as the standard of reference. RESULTS: A total of 264 calf arterial segments
were obtained in the 45 patients with 88 legs. The percentage of diagnostic
arterial segments was all 98% for NCE- and CE-MRA. The image quality, SNR, CNR
was 3.3, 177, 138, and 3.5, 103, 99, for NCE-MRA and CE-MRA, respectively. The
average sensitivity, specificity, positive predictive value, negative predictive
value, and accuracy of NCE-MRA were 97%, 96%, 90%, 99%, and 96%, respectively on
a per-segment basis and 90%, 84%, 82%, 91%, and 87%, respectively, on a per
patients basis. CONCLUSION: The NCE-MRA technique demonstrates adequate image
quality in the delineation of calf arteries and consistent diagnostic performance
for detecting significant stenosis with CE-MRA in patients with diabetes.
PMID- 24925771
TI - Longevity and mortality of cats attending primary care veterinary practices in
England.
AB - Enhanced knowledge on longevity and mortality in cats should support improved
breeding, husbandry, clinical care and disease prevention strategies. The
VetCompass research database of primary care veterinary practice data offers an
extensive resource of clinical health information on companion animals in the UK.
This study aimed to characterise longevity and mortality in cats, and to identify
important demographic risk factors for compromised longevity. Crossbred cats were
hypothesised to live longer than purebred cats. Descriptive statistics were used
to characterise the deceased cats. Multivariable linear regression methods
investigated risk factor association with longevity in cats that died at or after
5 years of age. From 118,016 cats attending 90 practices in England, 4009 cats
with confirmed deaths were randomly selected for detailed study. Demographic
characterisation showed that 3660 (91.7%) were crossbred, 2009 (50.7%) were
female and 2599 (64.8%) were neutered. The most frequently attributed causes of
mortality in cats of all ages were trauma (12.2%), renal disorder (12.1%), non
specific illness (11.2%), neoplasia (10.8%) and mass lesion disorders (10.2%).
Overall, the median longevity was 14.0 years (interquartile range [IQR] 9.0-17.0;
range 0.0-26.7). Crossbred cats had a higher median longevity than purebred cats
(median [IQR] 14.0 years [9.1-17.0] vs 12.5 years [6.1-16.4]; P <0.001), but
individual purebred cat breeds varied substantially in longevity. In cats dying
at or after 5 years (n = 3360), being crossbred, having a lower bodyweight, and
being neutered and non-insured were associated with increased longevity. This
study described longevity in cats and identified important causes of mortality
and breed-related associations with compromised longevity.
PMID- 24925772
TI - Attention to Automatic Movements in Parkinson's Disease: Modified Automatic Mode
in the Striatum.
AB - We investigated neural correlates when attending to a movement that could be made
automatically in healthy subjects and Parkinson's disease (PD) patients. Subjects
practiced a visuomotor association task until they could perform it
automatically, and then directed their attention back to the automated task.
Functional MRI was obtained during the early-learning, automatic stage, and when
re-attending. In controls, attention to automatic movement induced more
activation in the dorsolateral prefrontal cortex (DLPFC), anterior cingulate
cortex, and rostral supplementary motor area. The motor cortex received more
influence from the cortical motor association regions. In contrast, the pattern
of the activity and connectivity of the striatum remained at the level of the
automatic stage. In PD patients, attention enhanced activity in the DLPFC,
premotor cortex, and cerebellum, but the connectivity from the putamen to the
motor cortex decreased. Our findings demonstrate that, in controls, when a
movement achieves the automatic stage, attention can influence the attentional
networks and cortical motor association areas, but has no apparent effect on the
striatum. In PD patients, attention induces a shift from the automatic mode back
to the controlled pattern within the striatum. The shifting between controlled
and automatic behaviors relies in part on striatal function.
PMID- 24925777
TI - Behavioural strategies of aggressive and non-aggressive male mice in active shock
avoidance.
AB - The hypothesis, partly based on findings in social interactions, that aggressive
mice generally adopt an active behavioural strategy (cf. fight-flight) in
threatening situations, while non-aggressive ones generally assume a passive
strategy (cf. conservation-withdrawal) was tested using a two-way active shock
avoidance paradigm. Overall, aggressive mice were found to be better active shock
avoiders than non-aggressive animals; a finding that is consistent with our
hypothesis. However, within the non-aggressive mice a clear dichotomy in high and
low avoidance individuals was found. The high intertrial activity in the superior
avoidance groups and the low activity in the poor avoidance group was interpreted
as another indication of an active versus passive strategy respectively.
Accordingly, it was concluded that not all non-aggressive mice assume a passive
strategy, but that some mice adopt an active strategy, like all aggressive males.
PMID- 24925778
TI - Correspondances entre la variabilite des conduites maternelles et la
diversification des comportements de la progeniture chez la souris.
AB - Maternal behaviour may be used as a tool for hereditary transmission of
behavioural characters in mammals. The maternal behaviour of mice from three
different origins which reared foster pups of the same inbred strain was
observed. Multivariate analysis revealed differences in maternal style related
either to genotype effects or to grandmaternal influences. The behavioural
development of the pups was studied at days 10, 14 and 17 for neuromotor
coordination and spontaneous activity, at day 21, 40 and 75 for locomotor
activity and food hoarding in a semi natural environment and at day 120 of age
for water escape learning performance. Body weights were noted at the same ages.
Results showed both maternal effects (differences between pups reared by an
inbred or hybrid mother) and great-grandmaternal effects (differences between
pups reared by two reciprocal F2's). An analysis of correspondences between
mother and pup behaviour permitted the description of a large panel of
correlations suggesting causal relations between maternal activities and pups
characteristics. These maternal activities may be considered primarily as agents
of hereditary transmission.
PMID- 24925773
TI - Impaired Facilitatory Mechanisms of Auditory Attention After Damage of the
Lateral Prefrontal Cortex.
AB - There is growing evidence that auditory selective attention operates via distinct
facilitatory and inhibitory mechanisms enabling selective enhancement and
suppression of sound processing, respectively. The lateral prefrontal cortex
(LPFC) plays a crucial role in the top-down control of selective attention.
However, whether the LPFC controls facilitatory, inhibitory, or both attentional
mechanisms is unclear. Facilitatory and inhibitory mechanisms were assessed, in
patients with LPFC damage, by comparing event-related potentials (ERPs) to
attended and ignored sounds with ERPs to these same sounds when attention was
equally distributed to all sounds. In control subjects, we observed 2 late
frontally distributed ERP components: a transient facilitatory component
occurring from 150 to 250 ms after sound onset; and an inhibitory component
onsetting at 250 ms. Only the facilitatory component was affected in patients
with LPFC damage: this component was absent when attending to sounds delivered in
the ear contralateral to the lesion, with the most prominent decreases observed
over the damaged brain regions. These findings have 2 important implications: (i)
they provide evidence for functionally distinct facilitatory and inhibitory
mechanisms supporting late auditory selective attention; (ii) they show that the
LPFC is involved in the control of the facilitatory mechanisms of auditory
attention.
PMID- 24925779
TI - Comparative effects of food and water deprivation on movement patterns in the
pigeon (Columba Livia).
AB - Groups of naive pigeons were either food or water deprived and exposed to
response-independent fixed time schedules of reinforcement. Reinforcers were
always appropriate to the deprivation state. After 15 Phase One sessions under
the original deprivation state, an additional 15 Phase Two sessions were run
under the alternate deprivation regimen. The Phase One results indicated that the
frequency of movement over time, as measured by floorboard panels, had a
characteristic distribution depending on deprivation state. After state-switch in
Phase Two, both groups exhibited changes in frequency distribution over time, but
the new distributions were different from those produced in subjects without any
deprivation history. There appeared to be sustained effects on movement patterns
due to prior exposure to alternate deprivation and periodic feeding regiments,
results which confirm earlier experimental work. The findings are discussed in
light of research on the effect of prior response-independent schedule training,
the matching law, and the effects of contextual conditioning.
PMID- 24925780
TI - Intradimensional and extradimensional shifts in conditional discrimination.
AB - Two groups of pigeons learned a two key conditional discrimination. Color was the
conditional stimulus and form the choice stimulus for subjects in one group. Form
was the conditional stimulus and color the choice stimulus in the other group.
Half the subjects in each group then underwent an intradimensional shift: The
conditional stimulus dimension and choice stimulus dimension were unchanged but
the correct and incorrect stimulus compounds were reversed. The remaining
subjects underwent an extra-dimensional shift in which the conditional stimulus
dimension and choice stimulus dimension were reversed. Subjects which experienced
an intradimensional shift learned the new conditional discrimination more
quickly. It was concluded that subjects followed rules to solve conditional
discriminations but also learned the functions of each stimulus dimension.
PMID- 24925781
TI - Extraction of melodies in behavioural sequences.
AB - A method is proposed that extracts a set of phrases, or "melodies", from a
behavioural sequence, using a technique for extracting and compressing chains
based on Information Theory. These melodies are validated by reference to a
statistical criterion. An application of this method to the analysis of the
behavioural sequences of two groups of mice, the first observed during the day,
the second during the night, is described. The advantages and the limitations of
the method are discussed.
PMID- 24925782
TI - Intermittent stimulation and delay of puberty by urinary chemosignals and social
contact in wild stock female house mice (Mus Domesticus).
AB - A sequence of six experiments using wild stock house mouse (Mus domesticus)
tested the effects of intermittent stimulation with either the urinary
chemosignal released by grouped female mice or social contact from grouped
females on the age of first vaginal oestrus in young females. Weanling female
mice were exposed to bedding soiled by grouped females or cages containing
grouped females for 15 min periods, then removed for a prescribed period, and
placed again in a cage with soiled bedding or grouped females. The nature of the
exposure to the puberty delaying effect, the number of total exposures each day,
the total length of exposure to the stimulus, and the total time period over
which the exposures occurred were varied. None of the treatment regimes employed
here with soiled bedding from grouped females resulted in delays in the onset of
first oestrus in test females. Young females exposed to grouped females for 6 or
8 exposures in a 4 h period, 6 or 8 exposures in an 8 h period, or 8 exposures in
a 12 h period were significantly delayed in attaining puberty relative to control
females that were exposed to cages containing clean bedding. These results are in
contrast to earlier findings involving chemosignals that accelerate first oestrus
wherein young females exhibited the capacity to accumulate the exposures to the
urinary chemosignals from males, females in oestrus and pregnant or lactating
females. Direct exposure to the grouped females on an intermittent basis can
provide stimulation that is cummulative and results in delays in the onset of
first oestrus.
PMID- 24925783
TI - Lack of spontaneous alternation in favor of perseveration in domestic fowls and
pigeons.
AB - When allowed successive free choices of the arms of a T-maze, domestic fowls and
pigeons repeated rather than alternated entries of the two alternatives. Such
perseveration was less when one arm was black and the other white than when both
were grey. Perseveration increased between the beginning and the end of the
experiment and was unaffected by confinement to a chosen arm for 30 or 60
seconds. Removal from a chosen arm may have provided relief from an aversive
situation and thus reinforced subsequent re-entries of the same arm. The results
were therefore accounted for by the adoption of a win-stay escape strategy.
PMID- 24925784
TI - Comportements maternels chez la souris: Telle mere telle fille?
AB - Relations between mother' and daughter' maternal behaviour were surched by
continuous recording of locomotor activity and time spent in the nest during the
first six days postpartum in two isogenic generations of mice. The first
generation was composed of either heterozygous Fl or inbred C57BL/6 (=B6) dams,
both giving birth after ovarian graft to B6 pups. In each litter the maternal
behaviour of a female was studied in the same conditions as for her mother. Even
in developing in quite different environments, both groups of B6 daughters did
not differ for the behavioural patterns under study. Links between mother' and
daughter' activity during the nursing period were shown in one group by important
negative correlations suggesting a feedbak regulation mechanism. This illustrate
a possible way of passive hereditary transmission of acquired behavioural
characteristics.
PMID- 24925785
TI - Immobility reactions in socially behaving rabbits: Relation to hippocampal
electrical activity.
AB - The presence of an intruder conspecific of the same sex caused a series of
conflict reactions in rabbits living in a semi-natural environment. In some
animals, defensive responses were predominant and two immobility reactions were
observed. One consisted of freezing when the intruder was exploring at a
distance; the other consisted of subdued posture in close contact with the
intruder. Hippocampal EEG, recorded by telemetry, showed two different profiles.
During freezing, rhythmic slow activity prevailed and during subdued posture an
irregular pattern was predominant. Frequency increased when the intruder was in
close contact with the resident. Aspects such as distance or behaviour of the
intruder were found to affect both behavioural strategies and hippocampal
electrical responses.
PMID- 24925786
TI - The causal and functional organization of mating behaviour in Gammarus pulex
(Amphipoda).
AB - The causal and functional organization of mating behaviour in male Gammarus pulex
was investigated using Principal Components Analysis. Males were presented with
1) unmoulted novel females and 2) moulted females ready for insemination.
Composite measures of behaviour were derived from an array of often correlated
behavioural measures and activities. Three factors describe Precopulatory
behaviour and are labelled according to the activities which define them as;
"decisiveness", "courtship" and "tenacity". Three factors describe Copulatory
behaviour; "decisiveness", "preparation" and "copulation". These factors reflect
the internal organization of male behaviour and motivational state. In addition,
they allow for investigation of the functional significance of behaviour.
Correlation analysis showed clear relationships between Precopula behaviour and
female reproductive quality. As the time from moult of offered females decreased,
males became more "decisive" in their actions and were more "tenacious" towards
females, whereas the "courtship" component remained constant. No aspect of
Copulatory behaviour was influenced by the absolute or relative size of
copulating animals. These results are discussed with reference to activities
displayed by other Gammarus spp. and compared with other studies that employ
factor analyses.
PMID- 24925787
TI - Effect of type of opponent on aggression in male mice with particular reference
to studies with antihormones.
AB - The potential influence of the type of opponent used in intermale aggression
encounters to assess the actions of drugs was examined. Two experiments were
carried out, one with the antiandrogen Cyproterone Acetate and the other with the
antioestrogen CI-680 (both administered every three days over 25 days). In both
experiments the antihormone-treated subjects encountered different opponents,
namely: a) an antihormone-treated male, b) a non aggressive anosmic male or c) a
vehicle-treated male. Vehicle-treated subjects also confronted a vehicle-treated
or an anosmic opponent. The behaviour displayed by antihormone-treated subjects
varied according to the characteristics of the partner, suggesting that the
effects of drugs might be interpreted differently depending on the type of animal
employed as an adversary. In fact, some of the apparently contradictory results
reported in the literature seem to be consequences of the utilisation of
different kinds of opponents. It is concluded that the choice of the opponent is
of paramount importance in the study of drug actions on intermale aggression
tests and that using more than one type of opponent can provide more complete
information about the actions of particular drugs.
PMID- 24925788
TI - Experience of defeat increases the susceptibility to catatonic - like state in
mice.
AB - The ability to develop the catatonic-like state (reflex immobility reaction -
RIR) due to stimulation of the skin at the scruff was investigated in mice of two
strains - C57BL/6J and CBA/Lac. The total time of immobility and number of
paroxysms during test were measured. It has been shown that the number of
paroxysms was significantly fewer and the total time of immobility was
significantly longer in CBA/Lac strain than in C57BL/6J. In each strain group
housed animals as well as submissive ones with successive experience of defeats
demonstrated a more expressed immobility than individually housed or aggressive
males with successive experience of victories, respectively. Changing the social
status in aggressive animals as a consequence of confrontation with aggressive
males resulted in the increased immobility in CBA/Lac but not in C57BL/6J mice.
The results suggest that the experience of defeat in submissive males is
connected with increased ability to develop RIR.
PMID- 24925789
TI - Constraints on the process of interresponse-time reinforcement as the explanation
of variable-interval performance.
AB - Computer modelling was used to investigate the adequacy of the principle of
reinforcement of single interresponse-times (IRTs) as an explanation of variable
interval performance. Variations in three components of models employing IRT
reinforcement were simulated; these were (i) rules for selecting previously
reinforced IRTs from the IRT memory as "candidates" for output (acceptance
rules), (ii) processes involving the transformation of previously-reinforced IRTs
to generate behavioural variability, and (iii) the role of the minimum IRT value
permitted by the models. In general, simulation output resembled experimental
data only when (i) acceptance rules discriminated strongly against long, and in
favour of short, IRTs; and (ii) when IRTs were transformed by distributions in
which standard deviation was a large fraction (50-125%) of the mean, thus
generating substantial IRT variability. Simulation results were analyzed by means
of Herrnstein's hyperbolic equation, and in general, it was found that the output
of IRT reinforcement models was insensitive to the rate of reinforcement
received, as well as conforming less well to Herrnstein's equation than did
experimental data. Overall, therefore, it was concluded that models of the
reinforcement of single IRTs could provide an adequate simulation of VI
performance only when the processes within them operated within narrow
constraints. Even then, models involving the reinforcement of single IRTs could
adequately simulate only those VI data in which response rate was insensitive to
reinforcement rate.
PMID- 24925790
TI - Schedules of reinforcement as regulators of dispersion patterns.
AB - An eight-rat eight-station operant conditioning arena was used to study the
spatial structure and temporal stability of foraging dispersion patterns. Food
was obtained by bar pressing as the population was exposed to an ascending series
of the fixed and variable aspects of ratio and interval schedules of
reinforcement. Dispersion patterns, defined by the number of rats simultaneously
foraging at each of the eight stations, and the temporal changes in these
patterns, were the dependent variables. Both variables exhibited a unique
relationship to each schedule type and value. The absence of such relationships
when either food supply or response costs were examined suggests that these
factors were not the determinants of spatio-temporal structure. An account is
provided of how schedules may interact with behavioral foraging chains to explain
dispersion patterns.
PMID- 24925791
TI - Response selection in operant learning.
AB - We show that simple, contiguity-based, nonassociative response-selection process
provides a qualitative account for both anomalous and nonanomalous properties of
operant conditioning. The process can easily be extended to permit associative
effects; it may therefore represent the initial processing stage for all
conditioning in higher vertebrates.
PMID- 24925792
TI - Feeding and emigration of the ant Tapinoma erraticum (Formicidae Dolichoderinae).
Experimental analysis of the use of space.
AB - Visits to feeding sites and potential nesting sites by several colonies of
Tapinoma erraticum was studied in the laboratory. During the feeding phase ant
use of space was significantly asymmetrical (different visit frequencies to
identical sites) and reflects environmental structuring. Such asymmetrical
patterns of space use may have influenced subsequent orientation of nest search
and nest site selection after experimental disturbance. When the colony was
disturbed, i.e when the water source and the opaque nest cover were removed
activity remained mainly diurnal. There was relative increase in frequency of
visits to the subsequently chosen nesting site as compared with visits to feeding
sites. Selected nests could be in a zone visited frequently before disturbance,
for example close to a feeding source, but other parameters must be considered to
account for colony choice. The question of a collective decision making is
discussed.
PMID- 24925793
TI - [Duplex ultrasound detection of type II endoleaks after endovascular aneurysm
repair: Interest of contrast enhancement. Another point of view].
PMID- 24925794
TI - [Imaging of pulmonary artery involvement in Takayasu disease].
AB - OBJECTIVES: Illustrate imaging aspects of pulmonary artery involvement in
Takayasu's arteritis. PATIENTS AND METHODS: Retrospective study of six patients
among 28 patients with Takayasu arteritis whose disease involved the pulmonary
arteries and to review their clinical and computed tomography data. RESULTS: Mean
patient age among those with pulmonary artery involvement was 34 years. All
patients exhibited extensive lesions of systemic arteries. The most common
computed tomography angiography sign was wall thickening. Dilatation of the
pulmonary artery trunk was observed in one-third of cases. CONCLUSION: Pulmonary
arterial involvement in Takayasu's disease is not uncommon. Computed tomography
is a reliable imaging technique to establish the diagnosis.
PMID- 24925795
TI - Metabolic syndrome and sex-specific socio-economic disparities in childhood and
adulthood: the Korea National Health and Nutrition Examination Surveys.
AB - AIMS: To examine whether adulthood and/or childhood sex-specific socio-economic
disparities are associated with metabolic syndrome and its components in a
developed non-Western setting. METHODS: Based on the Fourth Korea National Health
and Nutrition Examination Surveys, 14 888 people aged >= 20 years were analysed
to evaluate the effect of adult and childhood socio-economic status on metabolic
syndrome. Metabolic syndrome was defined according to the National Cholesterol
Education Program Adult Treatment Panel III guidelines. Logistic regression
analyses were conducted to calculate the odds ratios for metabolic syndrome and
each component of metabolic syndrome in later life. RESULTS: The age-standardized
prevalence of metabolic syndrome was 26.6% for men and 21.3% for women. Compared
with the highest level of education, men with the lowest education level were
significantly less likely to have metabolic syndrome (odds ratio 0.76, 95% CI
0.60-0.96), whereas the opposite association was found in women (odds ratio 3.29,
95% CI 2.45-4.42). Men who were manual labourers and economically inactive had a
lower prevalence of metabolic syndrome compared with those with non-manual jobs
(odds ratio 0.82, 95% CI 0.69-0.98 and odds ratio 0.79, 95% CI 0.64-0.99,
respectively), but the reverse association was observed in women (odds ratio
1.34, 95% CI 1.04-1.73 and odds ratio 1.40, 95% CI 1.09-1.81, respectively). A
significant interaction between combined adulthood and childhood socio-economic
status on the presence of metabolic syndrome was observed (P < 0.05).
CONCLUSIONS: Our findings suggest that sex-specific socio-economic disparities in
childhood and adulthood have differential effects on the prevalence of metabolic
syndrome and its individual components in Korea.
PMID- 24925796
TI - Libman-sacks endocarditis exclusively involving the tricuspid valve in a patient
with systemic lupus erythematosus.
AB - Libman-Sacks endocarditis, characterized by sterile verrucous vegetations, is a
rare but typical cardiac manifestation of systemic lupus erythematosus. It
primarily leads to lesions of the mitral and aortic valves, but isolated
tricuspid valve involvement is exceptional. We report the case of a 40-year-old
woman with large tricuspid valve vegetations, thickening, and regurgitation.
Clinical findings and laboratory tests confirmed the diagnosis of systemic lupus
erythematosus. The patient successfully recovered following tricuspid valve
replacement. Echocardiography is the definitive imaging modality for assessing
cardiac valvular involvement, choosing appropriate therapy, and evaluating the
prognosis of Libman-Sacks endocarditis in systemic lupus erythematosus. (c) 2014
Wiley Periodicals, Inc. J Clin Ultrasound 43:265-267, 2015.
PMID- 24925797
TI - Activation of the developmental pathway neurogenin-3/microRNA-7a regulates
cholangiocyte proliferation in response to injury.
AB - The activation of the biliary stem-cell signaling pathway hairy and enhancer of
split 1/pancreatic duodenal homeobox-1 (Hes-1/PDX-1) in mature cholangiocytes
determines cell proliferation. Neurogenin-3 (Ngn-3) is required for pancreas
development and ductal cell neogenesis. PDX-1-dependent activation of Ngn-3
initiates the differentiation program by inducing microRNA (miR)-7 expression.
Here we investigated the role Ngn-3 on cholangiocyte proliferation. Expression
levels of Ngn-3 and miR-7 isoforms were tested in cholangiocytes from normal and
cholestatic human livers. Ngn-3 was knocked-down in vitro in normal rat
cholangiocytes by short interfering RNA (siRNA). In vivo, wild-type and Ngn-3
heterozygous (+/-) mice were subjected to 3,5-diethoxycarbonyl-1,4
dihydrocollidine (DDC) feeding (a model of sclerosing cholangitis) or bile duct
ligation (BDL). In the liver, Ngn-3 is expressed specifically in cholangiocytes
of primary sclerosing cholangitis (PSC) patients and in mice subjected to DDC or
BDL, but not in normal human and mouse livers. Expression of miR-7a-1 and miR-7a
2 isoforms, but not miR-7b, was increased in DDC cholangiocytes compared to
normal ones. In normal rat cholangiocytes, siRNA against Ngn-3 blocked the
proliferation stimulated by exendin-4. In addition, Ngn-3 knockdown neutralized
the overexpression of insulin growth factor-1 (IGF1; promitotic effector)
observed after exposure to exendin-4, but not that of PDX-1 or VEGF-A/C.
Oligonucleotides anti-miR-7 inhibited the exendin-4-induced proliferation in
normal rat cholangiocytes, but did not affect Ngn-3 synthesis. Biliary
hyperplasia and collagen deposition induced by DDC or BDL were significantly
reduced in Ngn-3(+/-) mice compared to wild-type. CONCLUSION: Ngn-3-dependent
activation of miR-7a is a determinant of cholangiocyte proliferation. These
findings indicate that the reacquisition of a molecular profile typical of organ
development is essential for the biological response to injury by mature
cholangiocytes.
PMID- 24925799
TI - Human immunoglobulin 10 % with recombinant human hyaluronidase: replacement
therapy in patients with primary immunodeficiency disorders.
AB - Human immunoglobulin is an established replacement therapy for patients with
primary immunodeficiency disorders (PIDs). Recombinant human hyaluronidase
(rHuPH20) is a spreading factor that temporarily digests hyaluronan in the skin
interstitium enabling large volumes of fluid or drug solutions to be infused and
absorbed subcutaneously. HyQvia(r) (IGHy) is a new combination product whereby
rHuPH20 is injected subcutaneously, followed by human immunoglobulin 10 % infused
through the same needle. Thus, IGHy can be administered at a reduced frequency
compared with non-facilitated subcutaneous injection of human immunoglobulin, and
with a lower frequency of infusion reactions than with intravenous
administration. Home-based administration of IGHy is also feasible for adequately
trained patients. IGHy was compared with intravenous human immunoglobulin 10 % in
a non-randomized, open-label, phase 3 study in patients aged >=2 years with PIDs
who were receiving human immunoglobulin replacement therapy (n = 87). In this
study, trough IgG concentrations, acute serious bacterial infection rates
(primary endpoint) and occurrences of adverse events during the IGHy treatment
period were generally similar to those observed during an intravenous treatment
period. IGHy was associated with a numerically lower rate of systemic adverse
events and a numerically higher rate of localized adverse events than those
observed with intravenous treatment. Compared with intravenous administration,
IGHy was administered at a significantly higher maximum flow rate and at a
similar frequency. Most patients preferred IGHy over intravenous administration.
IGHy offers a new method for subcutaneous delivery of human immunoglobulin
replacement therapy in patients with PIDs.
PMID- 24925798
TI - A comprehensive obstetric patient safety program reduces liability claims and
payments.
AB - Begun in 2003, the Yale-New Haven Hospital comprehensive obstetric safety program
consisted of measures to standardize care, improve teamwork and communication,
and optimize oversight and quality review. Prior publications have demonstrated
improvements in adverse outcomes and safety culture associated with this program.
In this analysis, we aimed to assess the impact of this program on liability
claims and payments at a single institution. We reviewed liability claims at a
single, tertiary-care, teaching hospital for two 5-year periods (1998-2002 and
2003-2007), before and after implementing the safety program. Connecticut statute
of limitations for professional malpractice is 36 months from injury.
Claims/events were classified by event-year and payments were adjusted for
inflation. We analyzed data for trends as well as differences between periods
before and after implementation. Forty-four claims were filed during the 10-year
study period. Annual cases per 1000 deliveries decreased significantly over the
study period (P < .01). Claims (30 vs 14) and payments ($50.7 million vs $2.9
million) decreased in the 5-years after program inception. Compared with before
program inception, median annual claims dropped from 1.31 to 0.64 (P = .02), and
median annual payments per 1000 deliveries decreased from $1,141,638 to $63,470
(P < .01). Even estimating the monetary awards for the 2 remaining open cases
using the median payments for the surrounding 5 years, a reduction in the median
monetary amount per case resulting in payment to the claimant was also
statistically significant ($632,262 vs $216,815, P = .046). In contrast, the
Connecticut insurance market experienced a stable number of claims and markedly
increased cost per claim during the same period. We conclude that an obstetric
safety initiative can improve liability claims exposure and reduce liability
payments.
PMID- 24925800
TI - Diffusion-tensor MRI and tractography of the esophageal wall ex vivo.
AB - PURPOSE: To demonstrate the feasibility of diffusion-tensor magnetic resonance
imaging (MRI) and tractography as a means of evaluating the individual layers of
the normal esophageal wall by using esophageal specimens containing carcinoma.
MATERIALS AND METHODS: Twelve esophageal specimens each containing a carcinoma
that were preserved in formalin were studied with a 7.0-T small-bore MR system
equipped with a four-channel phased-array surface coil. Diffusion-tensor MR
images were obtained with a field of view of 50-60 * 25-30 mm, matrix of 256 *
128, section thickness of 1 mm, b value of 1000 sec/mm(2) , and motion-probing
gradient in seven noncollinear directions. The diffusion-weighted images,
apparent diffusion coefficient (ADC) maps, fractional anisotropy (FA) maps,
direction-encoded color FA maps, and tractographic images in the 12 esophageal
specimens were compared with the histopathologic findings, which served as the
gold standard. RESULTS: The diffusion-weighted images, ADC maps, FA maps, and
direction-encoded color FA maps depicted the normal esophageal wall in all 12
specimens (100%) as consisting of eight layers, which clearly corresponded to the
tissue layers of the esophageal wall. The ADC, FA, lambda1 , lambda2 , and
lambda3 values of each layer of the normal esophageal wall were significantly
different from the corresponding values of the adjacent layer or layers of the
esophageal wall. Diffusion-tensor tractographic images were able to selectively
display the layers of the normal esophageal wall. CONCLUSION: By looking at the
normal part of esophageal specimens containing carcinoma, we have demonstrated
that diffusion-tensor MRI and tractography are capable of depicting the
individual tissue layers of the normal esophageal wall.
PMID- 24925802
TI - Effect of left ventricular assist device implantation and heart transplantation
on habitual physical activity and quality of life.
AB - The present study defined the short- and long-term effects of left ventricular
assist device (LVAD) implantation and heart transplantation (HT) on physical
activity and quality of life (QoL). Forty patients (LVAD, n = 14; HT, n = 12; and
heart failure [HF], n = 14) and 14 matched healthy subjects were assessed for
physical activity, energy expenditure, and QoL. The LVAD and HT groups were
assessed postoperatively at 4 to 6 weeks (baseline) and 3, 6, and 12 months. At
baseline, LVAD, HT, and HF patients demonstrated low physical activity, reaching
only 15%, 28%, and 51% of that of healthy subjects (1,603 +/- 302 vs 3,036 +/-
439 vs 5,490 +/- 1,058 vs 10,756 +/- 568 steps/day, respectively, p <0.01). This
was associated with reduced energy expenditure and increased sedentary time (p
<0.01). Baseline QoL was not different among LVAD, HT, and HF groups (p = 0.44).
LVAD implantation and HT significantly increased daily physical activity by 60%
and 52%, respectively, from baseline to 3 months (p <0.05), but the level of
activity remained unchanged at 3, 6, and 12 months. The QoL improved from
baseline to 3 months in LVAD implantation and HT groups (p <0.01) but remained
unchanged afterward. At any time point, HT demonstrated higher activity level
than LVAD implantation (p <0.05), and this was associated with better QoL. In
contrast, physical activity and QoL decreased at 12 months in patients with HF (p
<0.05). In conclusion, patients in LVAD and HT patients demonstrate improved
physical activity and QoL within the first 3 months after surgery, but physical
activity and QoL remain unchanged afterward and well below that of healthy
subjects. Strategies targeting low levels of physical activity should now be
explored to improve recovery of these patients.
PMID- 24925803
TI - Transradial percutaneous coronary interventions in acute coronary syndrome.
AB - Transradial access (TRA) is becoming increasingly used worldwide for percutaneous
coronary intervention (PCI) after acute coronary syndromes (ACS). TRA compared
with transfemoral access has been noted to improve clinical outcomes in clinical
trials and large registry cohort studies. However, much of the benefits of TRA
PCI are noted in patients with ST elevation myocardial infarction (STEMI)
undergoing primary PCI, where TRA PCI has been associated with reductions in
major bleeding events and potentially lower short- and long-term mortality rates.
Although much less data exist for TRA PCI in unstable angina and/or non-ST
elevation myocardial infarction, similar reductions in bleeding and mortality
have not been consistently described. Differences in outcome benefit with TRA PCI
among various ACS subtypes may be attributable to the potentially increased
inherent risk of periprocedural bleeding in STEMI compared with unstable angina
and/or non-ST elevation myocardial infarction. Pre- and intra-procedural factors
associated with STEMI treatment, such as use of pharmacoinvasive therapy and
aggressive antithrombotic regimens likely increase bleeding risk in patients. In
conclusion, this review describes the evidence for TRA PCI across the spectrum of
ACS and highlights why differences in clinical benefit may exist among ACS
subtypes.
PMID- 24925801
TI - Anticoagulant and antiplatelet therapy in patients with atrial fibrillation
undergoing percutaneous coronary intervention.
AB - The prevalence, intensity, safety, and efficacy of oral anticoagulation (OAC) in
addition to dual antiplatelet therapy (DAPT) in "real-world" patients with atrial
fibrillation (AF) undergoing percutaneous coronary intervention (PCI) have not
yet been fully evaluated. In the Coronary REvascularization Demonstrating Outcome
Study in Kyoto registry cohort-2, a total of 1,057 patients with AF (8.3%) were
identified among 12,716 patients undergoing first PCI. Cumulative 5-year
incidence of stroke was higher in patients with AF than in no-AF patients (12.8%
vs 5.8%, p <0.0001). Although most patients with AF had CHADS2 score >=2 (75.2%),
only 506 patients (47.9%) received OAC with warfarin at hospital discharge.
Cumulative 5-year incidence of stroke in the OAC group was not different from
that in the no-OAC group (13.8% vs 11.8%, p = 0.49). Time in therapeutic range
(TTR) was only 52.6% with an international normalized ratio of 1.6 to 2.6, and
only 154 of 409 patients (37.7%) with international normalized ratio data had TTR
>=65%. Cumulative 5-year incidence of stroke in patients with TTR >=65% was
markedly lower than that in patients with TTR <65% (6.9% vs 15.1%, p = 0.01). In
a 4-month landmark analysis in the OAC group, there was a trend for higher
cumulative incidences of stroke and major bleeding in the on-DAPT (n = 286) than
in the off-DAPT (n = 173) groups (15.1% vs 6.7%, p = 0.052 and 14.7% vs 8.7%, p =
0.10, respectively). In conclusion, OAC was underused and its intensity was
mostly suboptimal in real-world patients with AF undergoing PCI, which lead to
inadequate stroke prevention. Long-term DAPT in patients receiving OAC did not
reduce stroke incidence.
PMID- 24925804
TI - Reply to: Gray et al.
PMID- 24925805
TI - Optimization of a whole blood phenotyping assay for enumeration of peripheral
blood leukocyte populations in multicenter clinical trials.
AB - Vaccination with viral vectors or adjuvants can induce early changes in
circulating peripheral blood leukocytes that are predictive of a protective
immune response. In this study, we define an 11-color whole blood antibody
staining Trucount Panel (TP1) to enumerate and phenotype the major leukocyte
populations in a human vaccine experimental medicine trial setting. TP1 can be
prepared up to 8weeks prior to use, enabling bulk preparation at a central
laboratory and distribution to clinical sites. Cells in whole blood must be
stained within 4h of draw to accurately detect the major cell populations.
Staining of cells with TP1 followed by storage and shipping at -80 degrees C to a
central laboratory has little to no effect on the cell concentrations observed.
We also present data from an HIV vaccine multicenter clinical trial obtained
using the optimized TP1 assay protocol and show that the data produced accurately
correlates with complete blood count (CBC) data. Taken together, these data
indicate the optimized TP1 panel assay can be used in a multicenter clinical
trial setting to increase our understanding of systemic responses to vaccination
or disease.
PMID- 24925806
TI - Applying caspase-1 inhibitors for inflammasome assays in human whole blood.
AB - Caspase-1 processes pro-IL-1beta and pro-IL-18 into bioactive forms. Caspase-1
activity is regulated by a multiprotein complex known as an inflammasome.
Multiple danger and damage associated signals drive inflammasome formation.
Currently, evaluation of inflammasome activity is of particular interest as its
role in chronic and acute inflammatory pathologies becomes evident. Specific
inhibitors are therefore required to evaluate the contributions of the
inflammasome and IL-1beta to these disease processes. While several inhibitors
are available for caspase-1 blocking experiments, in this study we show effects
of two commonly used caspase inhibitors: z-VAD-fmk and ac-YVAD-cmk on secretion
of pro-inflammatory cytokines: IL-1beta, TNFalpha, IL-8 and IL-6 in whole blood
stimulated with LPS. We demonstrate ac-YVAD-cmk is a specific caspase-1 inhibitor
resulting in pronounced decreases in IL-1beta and less suppression of TNFalpha,
IL-6 and IL-8, while pan-caspase inhibitor, z-VAD-fmk, only weakly suppressed Il
1beta while acting strongly on the other three cytokines. Furthermore, we
demonstrated that simultaneous treatment of whole blood cultures with inhibitor
and LPS fails to attenuate the IL-1beta response. In contrast, pretreatment with
inhibitors prior to LPS stimulation is required to achieve marked decreases in IL
1beta production. Thereby also demonstrating IL-1beta release by cells in whole
blood culture stimulated with LPS is a rapid response. Thus studying
inflammasome/caspase-1/IL-1beta axis requires appropriate selection and
application of inhibitors.
PMID- 24925807
TI - A rapid ELISA-based method for screening Bordetella pertussis strain production
of antigens included in current acellular pertussis vaccines.
AB - INTRODUCTION: Despite extensive vaccinations, there have been pertussis epidemics
in many countries including the Netherlands, the UK, Australia and the USA.
During these epidemics Bordetella pertussis strains not producing the vaccine
antigen pertactin (Prn) are emerging and increasing in numbers. However, methods
for confirming PRN production of B. pertussis isolates are combined PCR or PCR
based sequencing tests and western blotting. Furthermore, data about production
of pertussis toxin (PT) and filamentous hemagglutinin (FHA) of these isolates are
scarce. Fimbriae (Fim) production is usually determined by agglutination and
reported as serotype. In this study we developed an easy, accurate and rapid
method for screening PT and FHA production. Methods for Prn and Fim production
have been published earlier. METHODS: We analyzed altogether 109 B. pertussis
strains, including 103 Finnish B. pertussis strains collected during 2006-2013,
international strain Tohama I, French strains FR3496 (PT-negative), FR3693 (Prn
negative) and FR4624 (FHA-negative) and Fim-serotype reference strains S1
(producing only Fim2) and S3 (producing only Fim3). An indirect ELISA with whole
bacterial cells as coating antigen was developed and used for rapid screening of
the B. pertussis strains. Production of different antigens (PT, FHA, Prn, Fim2
and Fim3) was detected with specific monoclonal antibodies (mAbs). RESULTS: From
the 103 Finnish B. pertussis strains tested, all were positive for PT, FHA and
Fim. Four were found negative for Prn, and they were isolated during 2011-2013.
CONCLUSIONS: The newly developed method proved to be useful and simple for rapid
screening of different antigen production of B. pertussis isolates.
PMID- 24925808
TI - A highly relevant and efficient single step method for simultaneous depletion and
isolation of human regulatory T cells in a clinical setting.
AB - Regulatory T cells (Tregs) are pivotal in preventing autoimmunity. They play a
major but still ambiguous role in cancer and viral infections. Functional studies
of human Tregs are often hampered by numerous technical difficulties arising from
imperfections in isolating and depleting protocols, together with the usual low
cell number available from clinical samples. We standardized a simple procedure
(Single Step Method, SSM), based on magnetic beads technology, in which both
depletion and isolation of human Tregs with high purities are simultaneously
achieved. SSM is suitable when using low cell numbers either fresh or frozen from
both patients and healthy individuals. It allows simultaneous Tregs isolation and
depletion that can be used for further functional work to monitor suppressive
function of isolated Tregs (in vitro suppression assay) and also effector IFN
gamma responses of Tregs-depleted cell fraction (OX40 assay). To our knowledge,
there is no accurate standardized method for Tregs isolation and depletion in a
clinical context. SSM could thus be used and easily standardized across different
laboratories.
PMID- 24925810
TI - Nutrition and the brain: what advice should we give?
AB - The knowledge base of nutrition and the brain is steadily expanding. Much of the
research is aimed at ways to protect the brain from damage. In adults, the major
causes of brain damage are aging and dementia. The most prominent dementia, and
the condition that grabs the most public attention, is Alzheimer's disease. The
assumption in the field is that possibly some change in nutrition could protect
the brain and prevent, delay, or minimize Alzheimer's disease damage. Presented
here is a framework for understanding the implications of this research. There is
a gap between publishing research results and change in public nutrition
behavior. Several influencing elements intervene. These include regulatory
agencies and all the organizations and people who advise the public, all with
their own perspectives. In considering what advice to give, advisors may consider
effectiveness, research model, persuasiveness, and risks, among other factors.
Advice about nutrition and Alzheimer's disease today requires several caveats.
PMID- 24925811
TI - Choriocapillaris breakdown precedes retinal degeneration in age-related macular
degeneration.
AB - This work presents a combined light and electron microscopical approach to
investigate the initial breakdown of the retinal pigment epithelium (RPE) and
choriocapillaris (CC) in age-related macular degeneration (AMD). Perimacular
sections of 12 dry and wet AMD eyes (82 +/- 15 years) and 7 age-matched controls
(75 +/- 10 years) without retinal pathology were investigated. Disease
progression was classified into 5 stages of retinal degeneration to investigate
the concurrent CC breakdown. Special emphasis was laid on transitions where
intact CC-RPE-retina complexes went over into highly atrophied areas. AMD
sections showed elevated loss of photoreceptors, RPE and CC (p < 0.01), and
thickened Bruch's membrane with increased basal laminar and linear deposits
compared with controls. Up to 27% of the CC was lost in controls although RPE and
retina were still intact. This primary loss of CC further increased with AMD (up
to 100%). The data implicate that CC breakdown already occurs during normal aging
and precedes degeneration of the RPE and retina with AMD, defining AMD as a
vascular disease. Particular attention should be given to the investigation of
early AMD stages and transitional stages to the late stage that reveal a possible
sequence of degenerative steps with aging and AMD.
PMID- 24925812
TI - Territoriality and reproductive behaviour in the goldsinny, Ctenolabrus rupestris
L.
AB - Territoriality and reproductive behaviour of the Goldsinny, Ctenolabrus
rupestris, was studied in the waters around Tjarno on the Swedish west coast.
Observations were made by SCUBA-diving and from an observation raft and in
aquaria. Patrolling, foraging, courtship and aggression were defined and
quantified throughout the reproductive season and after. Spawning activity was
also examined and it has been found that one male spawns with several females.
During spawning accesory males may be present at fertilisation and their role is
discussed. Both sinking and floating eggs were recorded, which are discussed.
After spawning some females became territorial as well as some subadults. The
territories showed a consistency according to their boundaries and occupants from
year to year.
PMID- 24925809
TI - Management of Italian patients with advanced non-small-cell lung cancer after
second-line treatment: results of the longitudinal phase of the LIFE
observational study.
AB - INTRODUCTION/BACKGROUND: Patients with advanced NSCLC who experience disease
progression after second-line therapy might receive further active treatment.
LIFE was an Italian cohort multicenter observational study composed of a cross
sectional and a longitudinal phase. PATIENTS AND METHODS: In the longitudinal
phase, described here, the primary aim was to determine the proportion of
patients receiving third-line therapy among those who received second-line active
treatment according to clinical practice. The proportion of patients receiving
further treatment lines was also estimated. RESULTS: The longitudinal phase was
conducted between January and August 2012. Of 464 patients who began second-line
therapy outside of clinical trials within the baseline evaluation, 56 (12.1%)
were still receiving second-line therapy at the end of the observation period and
17 (3.7%) withdrew during or after second-line therapy. Of the remaining 391
patients, 158 (40.4%) received third-line treatment outside of clinical trials:
93 received a third-line chemotherapy and 65 a targeted agent. The main reason
for interrupting third-line treatment was disease progression or death. During
the same observation period, 25 of 113 patients who completed a third-line
therapy received a fourth line of treatment. From diagnosis of NSCLC to the end
of observation, biomarkers were tested in 323 patients (59.7%): epidermal growth
factor receptor mutations in 315 (58.2%), Kirsten rat sarcoma 2 viral oncogene
homolog (KRAS) mutations in 83 (15.3%) and Anaplastic lymphoma kinase (ALK)
translocation in 84 (15.5%). CONCLUSION: In Italian clinical practice, the
proportion of patients with advanced NSCLC receiving more than 2 treatment lines
of therapy is not negligible.
PMID- 24925813
TI - A comparative study of auditory sensitivity in the genus Bufo (amphibia).
AB - Multi-unit response from the torus semicircularis were recorded to obtain neural
audiograms for five different species, subspecies and races of the genus
Bufo:Bufo b.bufo, Bufo b. spinosus, two races of Bufo viridis, and Bufo
americanus. All of the audiograms were clearly bimodal, with a low frequency peak
and a high frequency peak. A less pronounced but distinguishable intermediate
peak seems to be an indication of trimodality. In the low-frequency range, the
audiograms of the five groups differed little or not at all. There were
pronounced differences, however, in the high-frequency range. This frequency band
includes the frequencies of the conspecific mating calls. The best matching
between call frequency and auditory best frequency was found in the Bufo viridis
group. While it is likely that factors other than the signal characteristics in
the vocal repertoire may shape the sensitivity of the auditory system, it is
notable that those anuran species in which the mating call clearly facilitates
reproduction have a more pronounced sensitivity peak at the frequencies in their
mating calls.
PMID- 24925814
TI - Early development of aggression in chickens: The relative importance of pecking
and leaping.
AB - A longitudinal study (employing a multiple separation technique) of chicks'
social behavior over the first postnatal month indicated systematic changes in
their mode of physical interactions. During the first week or so, intersubject
pecking in same-sex pairs was frequent, and was more likely in birds with a home
cage advantage (i.e., a prior resident effect). However, there were no sex
differences in any of the forms of social pecking (head, body, or feet of the
opponent), and all types showed significant decreases over the period of the
study. On the contrary, there was a strong effect for sex on rates of aggressive
leaping, with males exhibiting more leaps than females. Further, there was a
clear increase in leaping over the first weeks of testing, and something of a
decline thereafter. These data suggest that in this species the definitive
expression of aggressive or dominance behavior may be leaping. Early social
pecking (during a period in which this behavior was at its maximum rate) did not
predict the subsequent leaping behavior of individuals, but later "leap orders"
were highly associated with later "peck orders".
PMID- 24925815
TI - Pup retrieval and maternal attraction to canine amniotic fluids.
AB - Three purebred female beagles were observed with both their first and second
litters. Dams were given three separate simultaneous-choice retrieval tests: In
addition, the maternal response to amniotic fluids was observed when one pup in
the litter was treated with amniotic fluids and all other pups were treated with
water. There was no evidence to suggest that pups were retrieved preferentially
on the basis of their sex. One female retrieved her own but not alien pups,
whereas another female made no such discrimination and readily retrieved alien
pups in addition to her own. Retrieval behavior developed at the time of each
whelping and normally lasted until the pups were about 5 days old, although a
bitch would retrieve younger (alien) pups up to 14 days post partum. Maternal
bitches were strongly attracted towards amniotic fluids: they investigated pups
daubed with amniotic fluids to a significantly greater extent than control pups
treated with water. The attraction of maternal females towards amniotic fluids
developed at the time of each whelping and persisted for up to 30 days, well
beyond the time that a whelping bitch would normally be exposed to her own fetal
fluids. A possible role for amniotic fluids in the development of maternal
behaviour and the establishment of the maternal/puppy bond is discussed.
PMID- 24925816
TI - Running response reinforcement by food-hoarding in the golden hamster.
AB - Partial reinforcement (PR) effects on animal locomotor behavior were studied in
the golden hamster, using food-hoarding activity as a reinforcer. The first
experiment demonstrated that hoarding reinforces a running response towards the
goal section of a straight-alley runway, and that no such learning occurs when
sated hamsters were not allowed to hoard food. However, a second experiment using
various partial reinforcement schedules and a continuous reinforcement schedule
did not give any evidence for the existence of a partial reinforcement
acquisition effect (PRAE). The third experiment confirmed these results with an
extended training procedure and showed a slight partial reinforcement extinction
effect (PREE) mainly in the first sessions of the extinction phase.
PMID- 24925817
TI - Genetic predisposition to catatonic behaviour and methylphenidate sensitivity in
rats.
AB - To study the relationship between three animal models of schizophrenia, i.e.
genetically determined akinetic catatonia, stereotypies induced by amphetamine
like psychostimulators, and behavioural changes in chronic intoxication with such
stimulators, the frequency of different types of reactions to a functional
amphetamine analogue, methylphenidate, was studied in wild Norway rats, non
selected Wistar rats, and Wistar rats bred for predisposition to akinetic
catatonia. A positive relationship between the predisposition to catatonia and
the level of stereotypies in a single methylphenidate administration was found in
wild rats, but not in Wistar bred for catatonia (the latter differed from the non
selected rats in a higher frequency of "hyperactive" reactions). A closer study
of catatonia in laboratory rats permitted subdivision into several types
occurring in selected and non-selected rats both naturally and as a result of
chronic intoxication with amphetamines. It was found in non-selected Wistar rats
that there is a positive relationship between some of these types and an
increased stereotypy level in repeated methylphenidate administration. It is
concluded that the natural akinetic catatonia and the chronic intoxication with
amphetamines are two homologous varieties of the same model of schizophrenia,
while the stereotypies are characteristics of this model. Studies of monoamine
oxidase (MAO) activity imply a cortical component in the predisposition to
akinetic catatonia.
PMID- 24925818
TI - The effect of social isolation of the rat on open field activity and emergence.
AB - It has been suggested that naive isolated rats show more "fear responses" than
group-housed controls. However, in contrast to previous studies, dark conditions
and low noise levels were used to evaluate the latency to emerge from a small
chamber into an open field and the subsequent ambulation and rearing behaviour of
isolated and group-housed rats. The prediction that these conditions would be
conducive to the rapid development of hyperactivity in isolates was confirmed,
but there were no significant differences in emergence latency.
PMID- 24925819
TI - An assessment of an HIV prevention intervention among people who inject drugs in
the states of Manipur and Nagaland, India.
AB - BACKGROUND: The present study describes an assessment of a large-scale
intervention, "Avahan", using an evaluation framework that assesses the program
coverage, changes in injection patterns, condom use, and STI and HIV prevalence
among People Who Inject Drugs (PWID) in two states of India - Manipur and
Nagaland. METHODS: Program monitoring data and results from two rounds of a cross
sectional biological and behavioural surveys in 2006 (Round 1) and 2009 (Round 2)
were used. The sample included 839 and 860 PWIDs from Manipur and 821 and 829
PWIDs from Nagaland in Round 1 and Round 2 respectively for current analysis.
Bivariate and multivariate analyses were done to measure the changes in
behavioural and biological outcomes between the two rounds and to examine the
association between programme exposure and behavioural outcomes. RESULTS: In
Manipur, about 77% of the PWIDs were contacted by the peer educators/outreach
workers every month and about 18% of the PWIDs visited the clinic every month by
March 2010. In Nagaland, however, the proportion of PWIDs visiting the clinic
monthly remained low (11% in March 2010). PWIDs in both states were more likely
to report 'consistent safe injection practice in the last six months' in Round 2
compared to Round 1 (Manipur: adjusted odds ratio (aOR): 1.88, 95% confidence
intervals (CI): 1.46-2.43; Nagaland: aOR: 2.35, 95% CI: 1.86-2.80) PWIDs were
also more likely to report consistent condom use with regular partners in Round
2. The prevalence of Hepatitis B virus (HBV) increased in Round 2 in Manipur (11%
vs 6%, p<0.001) and Nagaland (8% vs 6%, p=0.05). The prevalence of Hepatitis C
virus (HCV) was high and did not change, either in Manipur (67.3% vs 69.9%,
p=0.42) and Nagaland (14.7% vs 15.1%, p=0.82). Similarly, the prevalence of HIV
did not change significantly between the two Rounds either in Manipur (27.8% in
Round 1 vs 29.2% in Round 2, p=0.59) or in Nagaland (1.2% in Round 1 and 1.6% in
Round 2 of the IBBA, p=0.82). CONCLUSION: Improvements in safe injection
practices and consistent condom use with regular partners suggest effectiveness
of prevention efforts. However, increase in HBV prevalence and non-decline in HCV
and HIV prevalence in both the states also underscore the need to continue and
intensify targeted interventions (such as Hepatitis B vaccination, needle
exchange programmes, condom distribution) for long term risk reduction among PWID
population.
PMID- 24925820
TI - Perceived risk of methamphetamine among Chinese methamphetamine users.
AB - BACKGROUND: Methamphetamine use has grown considerably in China in recent years.
Information about perceptions of risk on methamphetamine is important to
facilitate health promotion efforts. METHODS: Using both survey data and
qualitative interview data, the authors evaluate the perceived risk of
methamphetamine use among Chinese users using a mixed-methods approach. Through
Respondent Driven Sampling, the authors recruited a sample of 303 methamphetamine
users in Changsha, China. RESULTS: A majority (59.1%) perceive that infrequent
methamphetamine use poses no risk to the user, while 11.2% perceive at least
moderate risk for light use. A majority (56.7%) perceived at least moderate risk
associated with regular methamphetamine use. Most (82.2%) also perceive
methamphetamine to be easily obtainable. A path model indicates that perceived
risk shapes intentions to use and expectations of future use, as does perceived
availability. Qualitatively, while addiction was the most common risk discussed
by users, they differed on whether they perceived the drug addictive. Other
concerns raised by interviewees included impaired cognition, mental health
problems, physical harm, and social dysfunction. CONCLUSION: While some users
identify significant risks with methamphetamine, others do not perceive its use
to be problematic. Collectively, these findings indicate that intervening upon
perceptions of risk among Chinese methamphetamine users may be a means to
influence intentions to use.
PMID- 24925821
TI - The effect of obstructive sleep apnea syndrome and snoring severity to intima
media thickening of carotid artery.
PMID- 24925823
TI - Problems with data quality in the reconstruction of evolutionary relationships in
the Drosophila melanogaster species group: Comments on Yang et al. (2012).
PMID- 24925822
TI - Risk assessment for obstructive sleep apnea and anxiety in a Pakistani population
with coronary artery disease.
AB - PURPOSE: Previous studies in the western world have reported the possible
association of obstructive sleep apnea (OSA) with anxiety and cardiovascular
diseases. However, the data from developing countries such as Pakistan remains
scarce in this regard. The main aim of this study was to investigate the
prevalence of coronary artery disease (CAD) patients who are at high risk of OSA
and to determine the association between the risk of OSA and levels of anxiety.
METHODS: The sample population consisted of 400 participants including 200
patients, who had been previously diagnosed with CAD, and 200 healthy controls.
The 200 CAD patients were approached for inclusion in the study on their follow
ups after their acute symptoms had subsided. The patients were interviewed after
a mean time period of 13 weeks post event. All patients with lung disease or
respiratory infection were excluded from the study. The risk of OSA was
determined using Berlin questionnaire, while the levels of anxiety were measured
by Beck anxiety inventory scale (BAI). RESULTS: More than half (n = 104) of the
CAD patients were at high risk of OSA while majority (n = 168) of the healthy
controls were at low risk. The high risk of OSA was significantly (P < 0.0001)
more prevalent in CAD patients compared with controls. It was also observed that
the patients who were at high risk of OSA, among both cases and controls, had
significantly (P values <0.001) higher levels of anxiety. CONCLUSION: A
significant proportion of CAD patients are at high risk of OSA in our region.
Moreover, OSA is also associated with greater levels of anxiety in both healthy
people and CAD patients.
PMID- 24925824
TI - Young adult type 1 diabetes care in the West of Ireland: an audit of hospital
practice.
AB - BACKGROUND: It is well recognised that management of young adults with type 1
diabetes (T1DM) poses difficult challenges for physicians and health care
organisations as a whole. In Ireland and in particular the west of Ireland there
has been little audit or research on young adults with T1DM and the services
available to them. DESIGN: In 2011 a retrospective review of this patient
population in our territory referral centre was carried out. RESULTS: The average
glycaemic control in this population was poor at 81mmols/mol and diabetes related
complications were present in 32%. Engagement by this population with services
was poor with an average of 3 missed clinic appointments over a 24 month period.
CONCLUSION: These results have prompted a re think of how health care
professionals can deliver a service that better suits the needs of this
challenging patient group.
PMID- 24925825
TI - Response: the index of suspicion for tuberculous pleural effusion should always
be high.
PMID- 24925826
TI - Development of a liquid chromatography tandem mass spectrometry method for the
simultaneous determination of zearalenone, deoxynivalenol and their metabolites
in pig serum.
AB - A sensitive and selective liquid chromatography tandem mass spectrometry method
using negative electrospray ionisation (LC-ESI-MS/MS) was developed for the
simultaneous determination of zearalenone (ZEN), deoxynivalenol (DON) and their
metabolites alpha-zearalenol, beta-zearalenol, zearalanone, alpha-zearalanol,
beta-zearalanol and de-epoxy-deoxynivalenol in pig serum. For method development,
different sample preparation columns were tested for their suitability for
extraction and clean up. Finally, preparation of serum samples was carried out
using OasisTM HLB solid-phase extraction (SPE) columns. The analyte
concentrations were determined by the use of isotopically labelled internal
standards (IS). The method was in-house validated for all analytes. Calibration
graphs (0.3-480 ng/ml) were prepared and high degree of linearity was achieved (r
>= 0.99). Results for method precision ranged between 2.7 and 21.5 % for inter
day and between 1.1 and 11.1 % for intra-day. The recoveries were in the range of
82-131 %. Limits of detection and quantification ranged 0.03-0.71 and 0.08-2.37
ng/ml, respectively. The method has been successfully used for quantitative
determination of ZEN, DON and their metabolites in pig serum from a feeding trial
with practically relevant ZEN and DON concentrations. This method is precise and
reproducible and can be used as a multi-biomarker method to assess animal
exposure to these mycotoxins and for diagnosis of intoxications.
PMID- 24925827
TI - Reduction of aflatoxins by Rhizopus oryzae and Trichoderma reesei.
AB - This study evaluated the ability of the microorganisms Rhizopus oryzae (CCT7560)
and Trichoderma reesei (QM9414), producers of generally recognized as safe (GRAS)
enzymes, to reduce the level of aflatoxins B1, B2, G1, G2, and M1. The variables
considered to the screening were the initial number of spores in the inoculum and
the culture time. The culture was conducted in contaminated 4 % potato dextrose
agar (PDA) medium, and the residual mycotoxins were determined every 24 h by HPLC
FL. The fungus R. oryzae has reduced aflatoxins B1, B2, and G1 in the 96 h and
aflatoxins M1 and G2 in the range of 120 h of culture by approximately 100 %. The
fungus T. reesei has reduced aflatoxins B1, B2, and M1 in the 96 h and aflatoxin
G1 in the range of 120 h of culture by approximately 100 %. The highest reduction
occurred in the middle of R. oryzae culture.
PMID- 24925828
TI - Japanese shrine captures Roman goddess!
PMID- 24925829
TI - Derivation of an oral reference dose (RfD) for the plasticizer, di-(2
propylheptyl)phthalate (Palatinol(r) 10-P).
AB - Di-(2-propylheptyl) phthalate (DPHP) is a high molecular weight polyvinyl
chloride plasticizer. Since increasing production volume and broad utility may
result in human exposure, an oral reference dose (RfD) was derived from
laboratory animal data due to the lack of human data. In addition to liver and
kidney, target organs were the thyroid, pituitary and adrenal glands in rats,
recognizing that reproductive performance was not altered in two successive
generations of DPHP-exposed rats. DPHP caused a reduction in pup and maternal
body weights but not developmental or testicular effects typical of "phthalate
syndrome." DPHP was not genotoxic. Due to the lack of carcinogenicity data, there
is inadequate information to assess carcinogenic potential. The RfD of 0.1mg/kg
day was derived from the human equivalent BMDL10 of 10mg/kg-day for thyroid
hypertrophy/hyperplasia in male F1 adults from the two-generation study. While in
utero exposure did not alter sensitivity to thyroid lesions compared to
subchronic exposures beginning at 6weeks of age, F1 adult males were the longest
term exposed population. The total uncertainty factor of 100x was comprised of
intraspecies (10x), study duration (3x), and database (3x) factors but not an
interspecies factor since rodents are more sensitive than humans to thyroid gland
effects.
PMID- 24925830
TI - Prevalence and predictors of concomitant low sexual desire/interest and new-onset
erectile dysfunction - a picture from the everyday clinical practice.
AB - Prevalence and risk factors of concomitant primary low sexual desire/interest
(LSD/I) and subsequent new-onset erectile dysfunction (ED) in men have been only
partially investigated. We looked at the sociodemographic and clinical predictors
of the concomitant condition of primary LSD/I - defined as the reduction in the
usual level of SD/I which precedes ED or another sexual dysfunction - and new
onset ED (LSD/I + ED) in a cohort of consecutive Caucasian-European patients
seeking their first medical help for sexual dysfunction at a single outpatient
clinic in the everyday clinical practice setting. Data from 439 sexually active
patients were analysed. Health-significant comorbidities were scored with the
Charlson Comorbidity Index (CCI). Patients' LSD/I were evaluated according to the
findings of a comprehensive sexual history. Moreover, patients completed the
International Index of Erectile Function (IIEF). Descriptive statistics and
logistic regression models tested the prevalence and predictors of LSD/I + ED as
compared with ED only. Of the 439 men, LSD/I + ED was observed in 33 (4.2%)
individuals. One of three men with LSD/I + ED was younger than 40 years. Patients
complaining of LSD/I + ED or ED alone did not differ in terms of hormonal milieu.
No significant differences emerged between groups in terms of sexual orientation,
rates of stable sexual relationships, educational status, recreational habits and
comorbid sexual dysfunctions. Patients with LSD/I + ED had significantly lower
IIEF-sexual desire and IIEF-overall satisfaction scores than ED-only individuals
(all p <= 0.003). At multivariable analysis younger age and severe CCI scores
emerged as independent predictors of LSD/I + ED (all p <= 0.04). These findings
showed that primary LSD/I is concomitant with new-onset ED in less than 5% of men
seeking first medical help. Younger age and severe CCI emerged as independent
predictors of LSD/I + ED. Patients with both conditions reported an impaired
overall sexual satisfaction.
PMID- 24925831
TI - Editorial comment.
PMID- 24925832
TI - Reply: To PMID 24925831.
PMID- 24925833
TI - Anatomic complexity quantitated by nephrometry score is associated with prolonged
warm ischemia time during robotic partial nephrectomy.
AB - OBJECTIVE: To assess the association between nephrometry score (NS) and prolonged
warm ischemia time (WIT) in patients undergoing robotic partial nephrectomy (RPN)
for clinically localized renal masses. METHODS: We queried our prospectively
maintained kidney cancer database to identify all patients undergoing RPN for
localized tumors from 2007-2012. Patient and tumor characteristics were compared
between complexity groups using analysis of variance and chi square tests.
Multivariate logistic regression models were used to examine the relationship
between NS complexity and warm ischemia >30 minutes. RESULTS: Three hundred
seventy-five patients (mean age, 59 +/- 11 years; mean Charlson comorbidity
index, 1.0 +/- 1.3) undergoing RPN under warm ischemia for clinically localized
renal tumors (mean tumor size, 3.1 +/- 1.5 cm; mean NS, 6.8 +/- 1.8) met
inclusion criteria and had NS available. Stratified by complexity, groups
differed with respect to age at surgery, tumor size, proximity to the hilum,
collecting system entry, estimated blood loss, and operative time (all P values
<=.05). Significant differences in mean WIT were observed when comparing low
(19.4 +/- 12.1 minutes), intermediate (28.6 +/- 12.8 minutes), and high (36.1 +/-
13.7 minutes) NS complexity groups (P <.0001). Adjusting for confounders,
patients with intermediate (odds ratio, 2.1; confidence interval, 1.2-3.9) and
high (odds ratio, 3.7; confidence interval, 1.1-11.8) NS complexity were more
likely to require prolonged WIT when compared with patients with low complexity
tumors. CONCLUSION: In our large institutional cohort, quantification of anatomic
complexity using the NS is associated with WIT >30 minutes in patients undergoing
RPN for localized renal tumors. This provides further evidence that standardized
reporting of tumor anatomic complexity affords meaningful outcome comparisons.
PMID- 24925835
TI - Mechanism of host-guest complex formation and identification of intermediates
through NMR titration and diffusion NMR spectroscopy.
AB - The formation of host-guest (H-G) complexes between 1,8
bis[(diethylgallanyl)ethynyl]anthracene (H) and the N-heterocycles pyridine and
pyrimidine (G) was studied in solution using a combination of NMR titration and
diffusion NMR experiments. For the latter, diffusion coefficients of potential
host-guest structures in solution were compared with those of tailor-made
reference compounds of similar shape (synthesized and characterized by NMR, HRMS,
and in part XRD). Highly dynamic behavior was observed in both cases, but with
different host-guest species and equilibria. With increasing concentrations of
the pyridine guest, the equilibrium H2?H2kappa(1)-G1?HG2 is observed (in the
second step a host dimer coordinates one guest molecule); for pyrimidine the
equilibrium H2->H1kappa(2)-G1?HG2 is observed (the formation of a 1:1 aggregate
is the second step).
PMID- 24925834
TI - Prostate-specific antigen density toward a better cutoff to identify better
candidates for active surveillance.
AB - OBJECTIVE: To investigate the impact of prostate-specific antigen density (PSAD)
on existing prostate cancer (PCa) active surveillance (AS) protocols. METHODS:
Prospectively maintained database on men with PCa who underwent radical
prostatectomy was reviewed retrospectively. Demographic data and pathologic
characteristics of patients who fulfilled the AS inclusion criteria under the
National Comprehensive Cancer Network (NCCN), Prostate Cancer Research
International Active Surveillance (PRIAS), and University of California, San
Francisco (UCSF) guidelines were examined. RESULTS: Of 930 patients, 231, 280,
and 325 fulfilled the NCCN, PRIAS, and UCSF AS criteria, respectively. The
frequencies of advanced disease on surgical pathology (upstaging and/or
upgrading) were 31.6% (NCCN), 35.4% (PRIAS), and 34.2% (UCSF) of the study
cohorts. PSAD was significantly higher in patients with advanced disease compared
with that in patients with nonadvanced disease in all 3 AS schemas. Modifying the
PRIAS and UCSF criteria using the NCCN's lower PSAD cutoff of 0.15 ng/mL(2)
decreased the rates of the advanced disease significantly to 33.5% and 31.4%,
respectively. Using the receiver operating characteristic curve analysis, the
optimal PSAD cutoff level for the prediction of advanced disease was 0.085
ng/mL(2) (sensitivity/specificity of 76.7%/50.6% in NCCN and 75.6%/49.7% in
PRIAS). CONCLUSION: Among patients with low-risk PCa who underwent radical
prostatectomy, PSAD is a predictor of advanced disease at the time of surgery.
Adopting a lower PSAD threshold of 0.085 ng/mL(2) decreased the risk of the
advanced disease to 17.5%-21.7%. Therefore, PSAD should be part of all AS
guidelines.
PMID- 24925836
TI - Candidate lesion-based criteria for defining a positive sacroiliac joint MRI in
two cohorts of patients with axial spondyloarthritis.
AB - OBJECTIVE: To determine candidate lesion-based criteria for a positive sacroiliac
joint (SIJ) MRI based on bone marrow oedema (BMO) and/or erosion in non
radiographic axial spondyloarthritis (nr-axSpA); to compare the performance of
lesion-based criteria with global evaluation by expert readers. METHODS: Two
independent cohorts A/B of 69/88 consecutive patients with back pain aged <=50
years, with median symptom duration 1.3/10.0 years, were referred for suspected
SpA (A) or acute anterior uveitis plus back pain (B). Patients were classified
according to rheumatologist expert opinion based on clinical examination, pelvic
radiography and laboratory values as having nr-axSpA (n=51), ankylosing
spondylitis (n=34) or non-specific back pain (n=72). Four blinded readers
assessed SIJ MRI, recording the presence/absence of SpA by concomitant global
evaluation of T1-weighted spin echo (T1SE) and short tau inversion recovery
(STIR) scans and, thereafter, whether BMO and/or erosion were present/absent in
each SIJ quadrant of each MRI slice. We derived candidate lesion-based criteria
based on the number of SIJ quadrants with BMO and/or erosion and calculated mean
sensitivity and specificity for SpA. RESULTS: For both cohorts A/B, global
assessment showed high specificity (0.95/0.83) compared with the Assessment in
SpondyloArthritis international Society (ASAS) definition (0.76/0.74). BMO >=3
(0.89/0.84) or >=4 (0.92/0.87) showed comparably high specificity to global
assessment. Erosion >=2 and/or BMO >=3 or >=4 were associated with comparably
high sensitivity to global assessment without affecting specificity. These
combined criteria showed both higher sensitivity and specificity than the ASAS
definition. CONCLUSIONS: Lesion-based criteria for a positive SIJ MRI based on
both BMO and/or erosion performed best for classification of axial SpA,
reflecting the contextual information provided by T1SE and STIR sequences.
PMID- 24925837
TI - HCV-associated cryoglobulinaemic vasculitis: triple/dual antiviral treatment
and/or rituximab?
PMID- 24925838
TI - Comparison of analytical and numerical analysis of the reference region model for
DCE-MRI.
AB - This study compared three methods for analyzing DCE-MRI data with a reference
region (RR) model: a linear least-square fitting with numerical analysis (LLSQ
N), a nonlinear least-square fitting with numerical analysis (NLSQ-N), and an
analytical analysis (NLSQ-A). The accuracy and precision of estimating the
pharmacokinetic parameter ratios KR and VR, where KR is defined as a ratio
between the two volume transfer constants, K(trans,TOI) and K(trans,RR), and VR
is the ratio between the two extracellular extravascular volumes, ve,TOI and
ve,RR, were assessed using simulations under various signal-to-noise ratios
(SNRs) and temporal resolutions (4, 6, 30, and 60s). When no noise was added, the
simulations showed that the mean percent error (MPE) for the estimated KR and VR
using the LLSQ-N and NLSQ-N methods ranged from 1.2% to 31.6% with various
temporal resolutions while the NLSQ-A method maintained a very high accuracy
(<1.0*10(-4) %) regardless of the temporal resolution. The simulation also
indicated that the LLSQ-N and NLSQ-N methods appear to underestimate the
parameter ratios more than the NLSQ-A method. In addition, seven in vivo DCE-MRI
datasets from spontaneously occurring canine brain tumors were analyzed with each
method. Results for the in vivo study showed that KR (ranging from 0.63 to 3.11)
and VR (ranging from 2.82 to 19.16) for the NLSQ-A method were both higher than
results for the other two methods (KR ranging from 0.01 to 1.29 and VR ranging
from 1.48 to 19.59). A temporal downsampling experiment showed that the averaged
percent error for the NLSQ-A method (8.45%) was lower than the other two methods
(22.97% for LLSQ-N and 65.02% for NLSQ-N) for KR, and the averaged percent error
for the NLSQ-A method (6.33%) was lower than the other two methods (6.57% for
LLSQ-N and 13.66% for NLSQ-N) for VR. Using simulations, we showed that the NLSQ
A method can estimate the ratios of pharmacokinetic parameters more accurately
and precisely than the NLSQ-N and LLSQ-N methods over various SNRs and temporal
resolutions. All simulations were validated with in vivo DCE MRI data.
PMID- 24925840
TI - Responding initiated by pecks on a start key.
AB - Four pigeons were exposed to various fixed-interval (FI) 30-s conditions which
included a standard FI30-s condition. In all remaining conditions, a red "start"
key light began the interval. Completion of various fixed ratios (FR) on the
start key lit a green "work" key light. In Experiment 1, one peck on the start
key lit the work key light. Mean postreinforcement pause (PRP) lengthened only
slightly when the start-key contingency was imposed. In Experiment 2, the start
key requirement ranged from FR 1 to FR 50. As the FR requirement increased, the
pause on the work key, called the poststart pause (PSP), decreased for three
birds at FR 2 but, for all four birds, the PRP and interreinforcement interval
(IRI) did not lengthen until FR 50. These results support the hypothesis that the
PRP is a function of the IRI and both are refractory to change, while the PSP is
seen as a variable that adjusts to different schedule constraints.
PMID- 24925841
TI - Group foraging in little egrets (Egretta garzetta): From field evidence to
experimental investigation.
AB - Results from both field and experimental foraging studies of little egrets
(Egretta garzetta) are used to discuss the relationship between flocking, prey
density and foraging efficiency. In the field, the latter was affected by group
size. The two parameters studied, striking efficiency (percentage of successful
pecks) and the number of captures per minute improved in large groups. On the
other hand, only in one of two field sites did the results reveal an improvement
under the form of a reduced variance in striking efficiency, of birds in a small
group as compared to solitary birds. During the experiments, the number of
captures per minute was higher in a pool with high prey density than in situation
with low prey density, while differences were not significant when comparing
medium with low or high prey density. Group size had no effect on capture rate.
Striking efficiency, searching effort and prey selectivity were not affected by
flocking or prey density. The possible benefits of flock feeding are discussed.
PMID- 24925839
TI - Feasibility of fat-saturated T2-weighted magnetic resonance imaging with slice
encoding for metal artifact correction (SEMAC) at 3T.
AB - BACKGROUND AND PURPOSE: Fluid-sensitive MR imaging in postoperative evaluation is
important, however, metallic artifacts is inevitable. The purpose is to
investigate the feasibility of fat-saturated slice encoding for metal artifact
correction (SEMAC)-corrected T2-weighted magnetic resonance (MR) at 3T in
patients with spinal prostheses. METHODS: Following institutional review board
approval, 27 SEMAC-encoded spinal MRs between September 2012 and October 2013 in
patients with spinal metallic prostheses were analyzed. The MR images were
scanned on a 3T MR system including SEMAC-corrected and uncorrected fast spin
echo (FSE) T2-weighted MR images with fat-saturation. Two musculoskeletal
radiologists compared the image sets and qualitatively analyzed the images using
a five-point scale in terms of artifact reduction around the prosthesis,
visualization of the prosthesis and pedicle, and intervertebral neural foramina.
Quantitative assessments were performed by calculating the ratio of signal
intensity from the fixated vertebra and that from upper level vertebra. For
statistical analyses, paired t-test was used. RESULTS: Fat-saturated SEMAC
corrected T2-weighted MR images enabled significantly improved metallic artifact
reduction (P<0.05). Quantitative evaluation of the signal intensity ratio of
screw-fixated vertebra and upper level vertebra showed a significantly lower
ratio on fat-saturated SEMAC images (P<0.05), however, the high signal intensity
of signal pile-up could be not completely corrected. CONCLUSION: SEMAC correction
in fat-suppressed T2-weighted MR images can overcome the signal loss of metallic
artifacts and provide improved delineation of the pedicle screw and peri
prosthetic region. Signal pile-up, however, could not be corrected completely,
therefore readers should be cautious in the evaluation of marrow around the
prosthesis.
PMID- 24925842
TI - Seasonality in the flight activity of the tropical bat Rhinopoma hardwickei under
natural photoperiod.
AB - The activity cycle of a colony of about 1500 bats Rhinopoma hardwickei was
studied in 1978-1979 in Madurai, India. Variations in the times of emergence of
foraging paralleled variations in sunset and return to the roosting paralleled
sunrise. The releasing stimulus for departure of the first outflyer on clear
evenings is the crossing of a lower threshold value of its relative decrease.
Return to the roost usually occurs at a lower light intensity than the departure.
In summer the nightly rate of emergence is relatively higher than in winter. The
seasonal changes of phase angle differences of onset (Psi0) and end (Psie) of
activities are roughly mirror images. The time of midpoint of foraging activity
however, stays relatively constant.
PMID- 24925843
TI - Decrease in cow-calf attachment after weaning.
AB - Experiments were undertaken to measure attraction of suckler calves to their dams
after separation for abrupt weaning. On days 0, 2, 7 and 20 after weaning in
experiment 1 and on days 1, 9, 16, 24 and 35 after weaning in experiment 2,
calves were observed in a pen where they could stay either near the dam or near
another familiar cow or calf. On days 0, 2, 7, 20 and 35, calves and their dams
were reunited for 2 min. Calves showed a preference for the dam to another cow up
to day 24 and to a calf up to day 9 only. From day 20, cows rejected their calves
at suckling. It is concluded that the calves remained attracted by their dams for
at least three weeks after weaning while the cows already rejected them. In
addition, attraction between calves increased after weaning.
PMID- 24925844
TI - Influence de l'environnement pre-imaginal et precoce dans le choix du site de
nidification de Pachycondyla (=Neoponera) villosa (Fabr.) (formicidae,
ponerinae).
AB - In the Biosphera Reserve of Sian Ka'an, State of Quintana Roo in Mexico, it was
observed that Pachycondyla villosa nest almost exclusively in Bromeliad epiphytes
Aechmea bracteata. It is possible that winged females seek this plant to build
their society. From this fact two hypothesis may be formulated. Two lots
comprising workers and winged females were tested. In each case, the lot
controlled comprised of individuals found in nature (societies settled on
Aechmea). The tested lots were produced and bred in laboratory. The first lot was
put in contact with a piece of Schomburgkia tibicinis, the second lot had no
contact with a plant. At the time of the experiment, each lot was faced with a
choice between two tubes. One contained a piece of Aechmea, the other was empty
(1st series of tests), or contained a piece of Schomburgkia (2nd series of
tests). The first series of tests permits to show that controls (individuals that
have contact with Aechmea in nature) settle in tubes containing a piece of
Aechmea whereas individuals from the other two lots settle indiscriminately in
either of the tubes. The second series of tests permits to show that controls
also settle in the tube containing Aechmea, that individuals raised in contact
with Schomburgkia choose the tube containing this plant and that individuals
raised in the absence of plant contact settle indiscriminately in either of the
tubes. The influence of the original environmental influence on individuals in
the choice between two types of tubes is thus demonstrated. This influence is due
to an imprinting during larval life, and strenghened at the beginning of imago
life.
PMID- 24925845
TI - Behavioural strategies of aggressive and non-aggressive male mice in response to
inescapable shock.
AB - The effect of exposure to inescapable long-duration shocks of moderate intensity
on intershock activity and on subsequent escape or avoidance performance was
studied in aggressive and non-aggressive male mice. The activity of the non
aggressive mice was severely suppressed during the inescapable shock session,
while that of the aggressive males was hardly influenced. The decremental effect
of prior shock exposure on subsequent response latency and activity in an active
two-way escape or avoidance task was greater in the non-aggressive than in the
aggressive mice. There was no evidence that learned inactivity or learned
helplessness (an associative deficit) could explain the results. Instead,
individual differences in behavioural strategy in response to threatening
situations appeared to account for the effects of inescapable shock. Aggressive
male mice predominantly adopted an active behavioural strategy in challenging
situations, which resulted in persistent attempts to exercise control over the
external situation and hence in a sustained tendency to initiate responses. Non
aggressive mice primarily assumed a passive strategy; their tendency to exercise
control was low, which readily resulted in a reduced tendency to initiate
responses.
PMID- 24925846
TI - Responses to a novel food acquisition task in three species of lemurs.
AB - In order to study learning and social processes in lemurs, a food acquisition
task was presented to three groups: Lemur macaco, L. fulvus and L. catta. The lid
of a box had to be pivoted horizontally in order to expose figs contained
therein. Individuals in all three groups learned the task, but they showed only
limited comprehension. In L. macaco, two adult females learned, with one female
showing increasing aggressive monopolization of the new food-source. In L.
fulvus, two adult males learned, and one of them increasingly prevented the other
from approaching. In L. catta, the adult female frequently threatened away the
most efficient opener - a young adult male. The simultaneous presentation of two
boxes led to a more equal distribution of the figs. Social processes revealed in
the test situation included female dominance in L. macaco and L. catta, and an
intermale dominance relationship in L. fulvus. The experimental situation also
highlighted the privileged position of the offspring of the dominant female in L.
macaco, reduced competitiveness of females with very young infants in L. fulvus,
and a general tolerance towards others in L. fulvus.
PMID- 24925847
TI - The role of shifting in choice behavior of pigeons on a two-armed bandit.
AB - Pigeons (Columba livia) were confronted with a problem in choice known as the two
armed bandit (TAB): two concurrent discrete trials random-ratio schedules in
which the good side changes randomly from day to day. In the first experiment a
substantial proportion of the birds' choices were contrary to those predicted by
immediate maximization. Under a variety of parameter settings the pigeons chose
the bad side more often than expected by immediate maximization. The hypothesis
was advanced that shifting, that is, the tendency to avoid the most recently
visited location, was responsible for limiting the number of choices on the good
side of the TAB. Experiments 2-4 examined this hypothesis. The first of these
experiments compared the accuracy on win-shift vs win-stay trials, and lose-shift
vs lose-stay. When the response keys were at opposite sides of the operant
chamber (keys-far), as in the first TAB experiment, there was a significant
tendency to shift. This tendency was not present when the keys were close
together (keys-close), in Experiment 3. Experiment 4 compared TAB performance in
the original keys-far situation with that in the keys-close chamber. The
proportion of choices on the good side of the TAB increased faster and attained a
higher level for the keys-close group, and the proportion of choices which were
shifts from one side to the other was smaller. A higher proportion of individual
choices in the keys-close condition could be accounted for by immediate
maximization.
PMID- 24925848
TI - Hamsters (Mesocricetus auratus ) use spatial memory in foraging for food to
hoard.
AB - We have collected evidence that a hoarding species of rodent, the Syrian golden
hamster (Mesocricetus auratus), uses spatial memory in foraging for food to
hoard. Before each trial, we baited the same four arms of a modified seven arm
radial maze with sunflower seeds. During the trial we gave each hamster 15-min
access to the maze and observed its behaviour. Typically their behaviour
comprised two phases: Upon entering the maze the hamsters frequently explored the
arms of the maze, not eating seeds nor storing seeds in their cheek pouches. This
exploration was followed by food gathering. In doing this, the hamsters generally
visited the baited arms in succession, placing all the seed that they found in an
arm into their cheek pouches. While gathering food, the hamsters seldom revisited
depleted arms, suggesting that they remembered the locations of depleted food
sites.
PMID- 24925849
TI - Undermatching, melioration and the discrimination of local reinforcer rates.
AB - Melioration theory suggests that performance in choice situations arises from a
process in which subjects allocate more time to alternatives that provide the
higher local rate of reinforcers. If this process is unconstrained (as in
concurrent aperiodic schedules), melioration predicts a strict equality between
molar time-allocation (and, as a result, response-allocation) ratios and molar
ratios of overall obtained reinforcers on concurrent variable-interval schedules.
The available data, however, suggest that log behavior measures are nearer to
indifference (called undermatching that predicted by this theory. The
modification of melioration theory suggested here shows that, if animals cannot
discriminate local reinforcer-rate differences below a fixed threshold,
undermatching is predicted, and the degree of undermatching depends on the
absolute size of the threshold. It also predicts the finding that the sensitivity
of behavior ratios to changes in reinforcer ratios falls with decreasing overall
reinforcer rates.
PMID- 24925850
TI - Effects of a response-reinforcer relation on elicited pecking.
AB - Three pigeons were exposed for 22 sessions to a variant of a multiple variable
time 1-min extinction reinforcement schedule in the presence of a white key. A
1.5-s stimulus change (red) preceded each 1-min component during which food was
delivered and a 1.5-s stimulus change (green) preceded each extinction component.
The variable-time 1-min schedule was then changed to a variable-interval 1-min
schedule for several sessions and then returned to variable time 1-min. During
the first exposure to noncontingent reinforcer delivery, two of the three birds
pecked frequently during the 1.5-s red stimulus but not during the other
components. The third bird did not keypeck. The addition of a response-reinforcer
relation resulted in all three birds pecking during the red stimulus as well as
during the white stimuli. Pecking rates were usually highest during red. Response
rates during green remained low. The subsequent removal of the response
contingency resulted in a decrease in responding during white, and for two of the
three birds, a decrease in responding during the red stimulus. The results
support an interpretation of signal key pecking in stimulus separation procedures
as elicited key pecking controlled by the stimulus-reinforcer relation.
PMID- 24925854
TI - Identification and characterization of progesterone- and estrogen-regulated
MicroRNAs in mouse endometrial epithelial cells.
AB - In endometrial epithelial cells, progesterone (P4) functions in regulating the
cell structure and opposing the effects of estrogen. However, the mechanisms of
P4 that oppose the effects of estrogen remain unclear. MicroRNAs (miRNAs) are
important posttranscriptional regulators that are involved in various
physiological and pathological processes. Whether P4 directly induces miRNA
expression to antagonize estrogen in endometrial epithelium is unclear. In this
study, total RNAs were extracted from endometrial epithelium of ovariectomized
mice, which were treated with estrogen alone or a combination of estrogen and P4.
MicroRNA high-throughput sequencing with bioinformatics analysis was used to
identify P4-induced miRNAs, predict their potential target genes, and analyze
their possible biological functions. We observed that 146 mature miRNAs in
endometrial epithelial cells were significantly upregulated by P4. These miRNAs
were extensively involved in multiple biological processes. The miRNA-145a
demonstrated a possible function in the antiproliferative action of P4 on
endometrial epithelial cells.
PMID- 24925855
TI - Paricalcitol, a vitamin d receptor activator, inhibits tumor formation in a
murine model of uterine fibroids.
AB - We examined the antitumor and therapeutic potentials of paricalcitol, an analog
of 1,25-dihydroxyvitamin D3 with lower calcemic activity, against uterine
fibroids using in vitro and in vivo evaluations in appropriate uterine fibroid
cells and animal models. We found that paricalcitol has potential to reduce the
proliferation of the immortalized human uterine fibroid cells. For the in vivo
study, we generated subcutaneous tumors by injecting the Eker rat-derived uterine
leiomyoma cell line (ELT-3) rat uterine fibroid-derived cell line in athymic nude
mice supplemented with estrogen pellets. These mice were administered with
vehicle versus paricalcitol (300 ng/kg/d) or 1,25-dihydroxyvitamin D3 (500
ng/kg/d) for 4 consecutive weeks, and the data were analyzed. We found that while
both paricalcitol and 1,25-dihydroxyvitamin D3 significantly reduced fibroid
tumor size, the shrinkage was slightly higher in the paricalcitol-treated group.
Together, our results suggest that paricalcitol may be a potential candidate for
effective, safe, and noninvasive medical treatment option for uterine fibroids.
PMID- 24925856
TI - Transabdominal ultrasound-guided injection of methotrexate in the treatment of
ectopic interstitial pregnancies.
AB - PURPOSE: In a retrospective observational study, we evaluated the feasibility and
safety of medical therapy with transabdominal ultrasound-guided injection of
methotrexate (MTX) into the gestational sac (GS) in patients with interstitial
ectopic pregnancies. METHODS: Fourteen interstitial ectopic pregnancies were
treated with transabdominal ultrasound-guided injection of MTX (25 mg). All
patients were hemodynamically stable. In all patients, the 10-cm distance between
the GS and vaginal fornices was >=10 cm, making transvaginal injection difficult.
To evaluate feasibility and safety of the procedure, we assessed complications
clinically and with imaging during a 1-year follow-up. RESULTS: In all 14
patients, MTX injected locally into the GS successfully terminated the
interstitial pregnancy, thereby avoiding surgery. There was no complications
during follow-up. CONCLUSIONS: The successful outcome in our patients suggests
that the transabdominal route is feasible and safe as a nonsurgical option for
terminating an ectopic interstitial pregnancy in patients in whom the
transvaginal route is contraindicated or difficult, provided the patients are
properly selected and operators have sufficient experience with the technique.
PMID- 24925857
TI - In vivo chemical exchange saturation transfer imaging of creatine (CrCEST) in
skeletal muscle at 3T.
AB - PURPOSE: To characterize the chemical exchange saturation transfer (CEST)-based
technique to measure free creatine (Cr), a key component of muscle energy
metabolism, distribution in skeletal muscle with high spatial resolution before
and after exercise at 3T. MATERIALS AND METHODS: CrCEST saturation parameters
were empirically optimized for 3T. CEST, T2 , magnetization transfer ratio (MTR),
and (31) P magnetic resonance spectroscopy (MRS) acquisitions of the lower leg
were performed before and after mild plantar flexion exercise on a 3T whole-body
MR scanner on six healthy volunteers. RESULTS: The feasibility of imaging Cr
changes in skeletal muscle following plantar flexion exercise using CrCEST was
demonstrated at 3T. This technique exhibited good spatial resolution and was able
to differentiate differences in muscle use among subjects. The CrCEST results
were compared with (31) P MRS results, showing good agreement in the Cr and PCr
recovery kinetics. A relationship of 0.45% CrCESTasym /mM Cr was observed across
all subjects. CONCLUSION: It is demonstrated that the CrCEST technique could be
applied at 3T to measure dynamic changes in creatine in muscle in vivo. The
widespread availability and clinical applicability of 3T scanners has the
potential to clinically advance this method.
PMID- 24925858
TI - The accuracy of the out-of-field dose calculations using a model based algorithm
in a commercial treatment planning system.
AB - The out-of-field dose can be clinically important as it relates to the dose of
the organ-at-risk, although the accuracy of its calculation in commercial
radiotherapy treatment planning systems (TPSs) receives less attention. This
study evaluates the uncertainties of out-of-field dose calculated with a model
based dose calculation algorithm, anisotropic analytical algorithm (AAA),
implemented in a commercial radiotherapy TPS, Varian Eclipse V10, by using Monte
Carlo (MC) simulations, in which the entire accelerator head is modeled including
the multi-leaf collimators. The MC calculated out-of-field doses were validated
by experimental measurements. The dose calculations were performed in a water
phantom as well as CT based patient geometries and both static and highly
modulated intensity-modulated radiation therapy (IMRT) fields were evaluated. We
compared the calculated out-of-field doses, defined as lower than 5% of the
prescription dose, in four H&N cancer patients and two lung cancer patients
treated with volumetric modulated arc therapy (VMAT) and IMRT techniques. The
results show that the discrepancy of calculated out-of-field dose profiles
between AAA and the MC depends on the depth and is generally less than 1% for in
water phantom comparisons and in CT based patient dose calculations for static
field and IMRT. In cases of VMAT plans, the difference between AAA and MC is
<0.5%. The clinical impact resulting from the error on the calculated organ doses
were analyzed by using dose-volume histograms. Although the AAA algorithm
significantly underestimated the out-of-field doses, the clinical impact on the
calculated organ doses in out-of-field regions may not be significant in practice
due to very low out-of-field doses relative to the target dose.
PMID- 24925859
TI - In vitro and in vivo anti-angiogenic effects of hydroxyurea.
AB - Hydroxyurea (HU), or hydroxycarbamide, is used for the treatment of some
myeloproliferative and neoplastic diseases, and is currently the only drug
approved by the FDA for use in sickle cell disease (SCD). Despite the relative
success of HU therapy for SCD, a genetic disorder of the hemoglobin beta chain
that results in red-cell sickling, hemolysis, vascular inflammation and recurrent
vasoocclusion, the exact mechanisms by which HU actuates remain unclear. We
hypothesized that HU may modulate endothelial angiogenic processes, with
important consequences for vascular inflammation. The effects of HU (50-200 MUM;
17-24 h) on endothelial cell functions associated with key steps of angiogenesis
were evaluated using human umbilical vein endothelial cell (HUVEC) cultures.
Expression profiles of the HIF1A gene and the miRNAs 221 and 222, involved in
endothelial function, were also determined in HUVECs following HU administration
and the direct in vivo antiangiogenic effects of HU were assessed using a mouse
Matrigel-plug neovascularization assay. Following incubation with HU, HUVECs
exhibited high cell viability, but displayed a significant 75% inhibition in the
rate of capillary-like-structure formation, and significant decreases in
proliferative and invasive capacities. Furthermore, HU significantly decreased
HIF1A expression, and induced the expression of miRNA 221, while downregulating
miRNA 222. In vivo, HU reduced vascular endothelial growth factor (VEGF)-induced
vascular development in Matrigel implants over 7 days. Findings indicate that HU
is able to inhibit vessel assembly, a crucial angiogenic process, both in vitro
and in vivo, and suggest that some of HU's therapeutic effects may occur through
novel vascular mechanisms.
PMID- 24925861
TI - Tissue damage modeling in gene electrotransfer: the role of pH.
AB - Optimal gene electrotransfer (GET) requires a compromise between maximum
transgene expression and minimal tissue damage. GET in skeletal muscle can be
improved by pretreatment with hyaluronidase which contributes to maximize
transgene uptake and expression. Nevertheless, tissue damage remains severe close
to the electrodes, with a concomitant loss of GET efficiency. Here we analyze the
role of pH in tissue damage in GET protocols through in vivo modeling using a
transparent chamber implanted into the dorsal skinfold of a mouse (DSC) and
intravital microscopy, and in silico modeling using the Poisson-Nernst-Planck
equations for ion transport. DSC intravital microscopy reveals the existence of
pH fronts emerging from both electrodes and that these fronts are immediate and
substantial thus giving rise to tissue necrosis. Theoretical modeling confirms
experimental measurements and shows that in GET protocols whether with or without
hyaluronidase pretreatment, pH fronts are the principal cause of muscle damage
near the electrodes. It also predicts that an optimal efficiency in GET
protocols, that is a compromise between obtaining maximum electroporated area and
minimal tissue damage, is achieved when the electric field applied is near 183
V/cm in a GET protocol and 158 V/cm in a hyaluronidase+GET protocol.
PMID- 24925862
TI - Blood-stained colostrum and human milk during pregnancy and early lactation.
AB - Blood-stained colostrum occurs occasionally during pregnancy and lactation due to
a conspicuous increase in lobuloalveolar growth. We report on a case of bilateral
frank blood-stained colostrum secreted during pregnancy and early postpartum,
emphasizing the transitory nature of this condition and the need to reinforce
breastfeeding.
PMID- 24925863
TI - Development of responsiveness to the individual maternal "clucking" by domestic
chicks (Gallus gallus domesticus).
AB - Sixty-nine chicks, brooded by hens, were given the choice of approaching the
clucking sound of the familiar hen or another clucking source. The effects of age
and prenatal experience of clucking on the responsiveness to their hen's clucking
were also investigated. Eighty-three percent of all chicks tested chose the
familiar hen clucking after a certain age. By 4 days of age, 29% of artificially
incubated chicks approached the clucking of a familiar hen. Seventy-four percent
of naturally incubated chicks and 89% of chicks artificially incubated until
pipping and then naturally incubated, showed this discrimination. This
corresponds well to the natural situation, in which the hen remains in the nest
with the chicks for up to 3 days after hatching. The results also indicate that
late prenatal experience of the maternal call is of importance for the later
responsiveness to this call.
PMID- 24925864
TI - The effect of the type of opponent in tests of murine aggression.
AB - The interactions between isolated resident mice and anosmic, juvenile, submissive
and dominant intruders were studied; the responses of intruder mice to being
attacked and the distribution of bites on these subjects were investigated.
Anosmic, juvenile and (trained) submissive intruders did not attack residents;
they did, however, show differences both in thir responses to being attacked and
their ability to avoid bites to particular regions of their body surface. These
differences are likely to make it difficult to extrapolate between tests that
employ different types of intruder. Trained dominant intruders showed substantial
attack on resident mice and a very different pattern of attack was generated by
this type of encounter. The study confirms that, while "standard opponents" are
useful means of assessing aggressive motivation, the pattern of fighting they
produce differs depending on their background.
PMID- 24925865
TI - Effects of lateral amygdala lesions on the responses to novelty in mice.
AB - Bilateral electrolytic lesions restricted to the lateral, basolateral and
basomedial amygdaloid nuclei in mice produced a lack of avoidance responses
towards novel stimuli. While controls showed avoidance and burying reactions to a
novel object introduced into their familiar environment, lesioned mice displayed
a high number of approach responses and a low level of burying reactions.
Furthermore, when given the opportunity to move around freely in simultaneously
presented novel and familiar places, control mice at first exhibited avoidance
reactions to the novel environment before showing novelty preference. There were
no avoidance reactions in lesioned mice. These data are consistent with the
hypothesis that amygdala plays a specific role in the regulation of defensive
reactions.
PMID- 24925866
TI - Nest-box choice in the laboratory mouse: Preferences for nest-boxes differing in
design (size and/or shape) and composition.
AB - The purpose of this paper is to introduce two experimental paradigms used for a
laboratory approach to spatial choice behaviour in the mouse. The experimental
procedure involves a free-choice spatial situation which allows the development
of a long-term behavioural process. The animal is placed in an arena containing
two or more nest-boxes to be chosen as nest-sites. These may differ in certain
characteristics, such as size, shape, or composition. The experimental procedures
are: (1) a series of independent successive choice tests, or "paired
comparisons"; (2) a dependent successive choice test, or "forced progressive
elimination ranking". Both procedures have been used to study nest-box choice in
mice according to spatial parameters. In Experiment I, "paired comparisons" were
used to evaluate the strength of individual preferences for nest-boxes differing
in design (size and/or shape), according to whether nest-boxes were open or
closed. In Experiment II, the second method was used to analyse individual
preferential ranking patterns with series of nest-boxes differing in design or
composition. The results of the two types of experiment were complementary
regarding the design (size and shape) of the nest-boxes: highest in order of
preference were small narrow-sided nest-boxes, followed by small square ones,
then the small circular one, and last the big circular ones. Experiment I proved
in particular the role of closure in reinforcing the strength of preferences. In
Experiment II, on the other hand, the constituent materials did not appear to
have any clear influence on preferential ranking patterns for the nest-boxes
differing in design; nevertheless, a positive correlation was noted between the
classification of objects made of different materials, and the degree of darkness
afforded by these materials.
PMID- 24925874
TI - Retinoid signaling is necessary for, and promotes long-term memory formation
following operant conditioning.
AB - Retinoic acid, a metabolite of vitamin A, is proposed to play an important role
in vertebrate learning and memory, as well as hippocampal-dependent synaptic
plasticity. However, it has not yet been determined whether retinoic acid plays a
similar role in learning and memory in invertebrates. In this study, we report
that retinoid signaling in the mollusc Lymnaea stagnalis, is required for long
term memory formation following operant conditioning of its aerial respiratory
behaviour. Animals were exposed to inhibitors of the RALDH enzyme (which
synthesizes retinoic acid), or various retinoid receptor antagonists. Following
exposure to these inhibitors, neither learning nor intermediate-term memory
(lasting 2 h) was affected, but long-term memory formation (tested at either 24
or 72 h) was inhibited. We next demonstrated that various retinoid receptor
agonists promoted long-term memory formation. Using a training paradigm shown
only to produce intermediate-term memory (lasting 2 h, but not 24 h) we found
that exposure of animals to synthetic retinoids promoted memory formation that
lasted up to 30 h. These findings suggest that the role of retinoids in memory
formation is ancient in origin, and that retinoid signaling is also important for
the formation of implicit memories, in addition to its previously demonstrated
role in hippocampal-dependent memories.
PMID- 24925875
TI - A multi-component two-phase flow algorithm for use in landfill processes
modelling.
AB - This paper describes the finite difference algorithm that has been developed for
the flow sub-model of the University of Southampton landfill degradation and
transport model LDAT. The liquid and gas phase flow components are first
decoupled from the solid phase of the full multi-phase, multi-component landfill
process constitutive equations and are then rearranged into a format that can be
applied as a calculation procedure within the framework of a three dimensional
array of finite difference rectangular elements. The algorithm contains a source
term which accommodates the non-flow landfill processes of degradation, gas
solubility, and leachate chemical equilibrium, sub-models that have been
described in White and Beaven (2013). The paper includes an illustration of the
application of the flow sub-model in the context of the leachate recirculation
tests carried out at the Beddington landfill project. This illustration
demonstrates the ability of the sub-model to track movement in the gas phase as
well as the liquid phase, and to simulate multi-directional flow patterns that
are different in each of the phases.
PMID- 24925876
TI - Experimental evidence of fluid secretion of rabbit lacrimal gland duct
epithelium.
AB - PURPOSE: To investigate the osmotic water permeability of lacrimal gland (LG)
duct epithelium by means of calculation of filtration permeability and to
investigate LG ductal fluid secretion. METHODS: Experiments were performed on
isolated rabbit LG duct segments maintained in short-term culture. Osmotically
determined fluid movement or fluid secretion into the closed intraluminal space
of cultured LG interlobular ducts was analyzed using video microscopic technique.
RESULTS: The end of the LG ducts sealed after overnight incubation forming a
closed luminal space. For the calculation of osmotic water permeability, ducts
were initially perfused with isotonic HEPES buffered solution, and then with
hypotonic HEPES buffered solution. Filtration permeability was calculated from
the initial slope of the relative volume increase. Secretory responses to
carbachol or to forskolin stimulation were also investigated. Forskolin
stimulation resulted in a rapid and sustained secretory response in both
solutions. Forskolin-stimulated fluid secretion was completely inhibited by
bumetanide both in HEPES buffered and in HCO3 (-)/CO2 buffered solutions,
suggesting the central role of Na(+)-K(+)-2Cl(-) cotransporter type 1 (NKCC1).
Administration of carbachol initiated a rapid but short secretory response in
both HEPES buffered and in HCO3 (-)/CO2 buffered solutions. Atropine completely
abolished the carbachol-evoked fluid secretion. CONCLUSIONS: A new method was
introduced to investigate LG duct function. Water permeability of rabbit LG duct
epithelium was measured by calculating filtration permeability. Fluid secretion
of LG duct cells induced by carbachol or forskolin was also demonstrated. These
results provide calculated values of lacrimal duct osmotic permeability and
direct experimental evidence of LG duct fluid secretion.
PMID- 24925877
TI - Blue light-induced oxidative stress in human corneal epithelial cells: protective
effects of ethanol extracts of various medicinal plant mixtures.
AB - PURPOSE: To investigate the effects of visible light on human corneal epithelial
cells and the impact of natural antioxidants on oxidative stress produced by
overexposure to light. METHODS: Light-emitting diodes with various wavelengths
(410-830 nm) were used to irradiate human corneal epithelial cells, and cell
viability was assessed. The production of reactive oxygen species (ROS) was
analyzed using 2',7'-dichlorodihydrofluorescein diacetate (DCF-DA). Ethyl alcohol
(EtOH) extracts were prepared from mixtures of medicinal plants. After
application of the EtOH extracts, the free radical scavenging activity was
measured using a 2,2-diphenyl-1-picrylhydrazyl (DPPH) radical scavenging assay.
The induction of antioxidant enzymes including heme oxygenase-1 (HO-1),
peroxiredoxin-1 (Prx-1), catalase (CAT), and superoxide dismutase-2 (SOD-2) by
the extracts was evaluated by reverse transcription-polymerase chain reaction and
Western blotting. The ability of the extracts to inhibit ROS was also analyzed
using DCF-DA. RESULTS: The viability of corneal epithelial cells was diminished
after irradiation of blue light (above 10 J at 410 nm and 50 J at 480 nm).
Reactive oxygen species production was induced by irradiation at 410 and 480 nm
at doses of 5 J/cm(2) and higher. Ethyl alcohol extracts had potent radical
scavenging activity. Application of the extracts not only increased the
expression of HO-1, Prx-1, CAT, and SOD-2, but it also attenuated the ROS
production induced by blue light in a dose-dependent manner. CONCLUSIONS:
Overexposure to blue light (410-480 nm) may have a harmful effect on human
corneal epithelial cells compared with other visible light wavelengths. Medicinal
plant extracts can have potent protective effects on blue light-induced oxidative
stress.
PMID- 24925878
TI - British Ocular Syphilis Study (BOSS): 2-year national surveillance study of
intraocular inflammation secondary to ocular syphilis.
AB - PURPOSE: The British Ocular Syphilis Study (BOSS) is the first national
prospective epidemiological study of intraocular syphilis (IOS) in light of the
global increase in early syphilis (ES). The aims were to ascertain the UK
incidence, demographics, clinical features, laboratory data, and posttreatment
visual outcomes of patients with IOS. METHODS: Prospective study of IOS, reported
through the national reporting system (British Ocular Surveillance Unit) from
2009 to 2011. Case definition was any adult presenting with intraocular
inflammation in ES. RESULTS: A total of 41 new cases (63 eyes) of IOS were
reported, giving an annual incidence of 0.3 per million UK adult population. Mean
age was 48.7 years (range, 20.6-75.1); 90.2% were male. All had RPR/VDRL titers
of >=1:16. Bilateral ocular involvement occurred in 56%; in unilateral cases, the
left eye was more commonly affected (P = 0.009). Mean presenting logMAR visual
acuity was 0.52 (20/63 Snellen; range, -0.2 to 2.30 logMAR). Panuveitis was the
commonest diagnosis, seen in 41.3%, and isolated anterior uveitis was uncommon
(9.5%). Subgroup analysis between HIV-positive and -negative patients found no
significant differences in terms of proportion of bilateral disease, presenting
or post treatment acuity. HIV-positive patients had higher rates of panuveitis.
At final follow-up, 92.1% had visual acuity >= 0.3 logMAR (20/40 Snellen) after
antibiotic therapy. CONCLUSIONS: This study is the largest prospective series of
ocular syphilis in the post-penicillin era. It confirms good visual outcomes for
treated IOS, irrespective of HIV status or time to presentation. The study
identified an unexpected preponderance for left eye involvement in uniocular
cases; which is unexplained.
PMID- 24925879
TI - Full-field chromatic pupillometry for the assessment of the postillumination
pupil response driven by melanopsin-containing retinal ganglion cells.
AB - PURPOSE: The postillumination pupil response (PIPR) is produced by intrinsically
photosensitive retinal ganglion cells (ipRGCs). We aimed to refine the testing
conditions for PIPR by investigating whether a greater PIPR can be induced using
full-field light stimuli of shorter duration and lower intensity than that
produced by existing protocols that use central-field stimuli. METHODS: Pupil
response was recorded with an eye tracker in 10 visually-normal subjects. Red and
blue light stimuli were presented using a Ganzfeld system. In Experiment 1
(intensity trials), PIPR was induced using 1-second full-field stimuli of
increasing intensities from 0.1 to 400 cd/m(2) (11 steps). For comparison, PIPR
also was induced using a 60 degrees * 90 degrees central-field blue stimulus of
400 cd/m(2). In Experiment 2 (duration trials), PIPR was induced using 100 and
400 cd/m(2) full-field stimulus of increasing duration from 4 to 1000 ms (10
steps). RESULTS: Results indicated that PIPR increased monotonically with
increasing stimulus intensity. Full-field stimulation using blue light at 400
cd/m(2) intensity induced significantly more sustained PIPR than central-field
stimulation (P = 0.001). In addition, PIPR increased as the stimulus duration
increased from 4 to 200 ms; however, no further increase in PIPR was observed
when the duration increased from 400 to 1000 ms. CONCLUSIONS: Compared to
existing central-field protocols, larger PIPR can be induced with a full-field
stimulus with lower intensity and shorter duration, indicating that PIPR is a
function of stimulus intensity, stimulus duration, and retinal area stimulated.
The testing protocol can be refined with this new knowledge to target particular
clinical populations.
PMID- 24925882
TI - Reply: 99mTc-MAA-based dosimetry for liver cancer treated using 90Y-loaded
microspheres: known proof of effectiveness.
PMID- 24925881
TI - Pain at multiple body sites and health-related quality of life in older adults:
results from the North Staffordshire Osteoarthritis Project.
AB - OBJECTIVES: Number of pain sites (NPS) is a potentially important marker of
health-related quality of life (HRQoL) but remains unexplored in older people.
This cross-sectional study investigated whether, in older people including the
oldest old, NPS was independently associated with poorer mental and physical
HRQoL and if the association was moderated by age. METHODS: A postal
questionnaire sent to a population sample of adults aged >=50 years in North
Staffordshire, UK, included the 12-item Short Form Health Survey (SF-12) mental
component summary (MCS) and physical component summary (PCS), a blank body pain
manikin, socio-demographic, health behaviour and morbidity questions.
Participants shaded sites of pain lasting >=1 day in the past 4 weeks on the
manikin. OA consultation data were obtained for participants consenting to
medical records review. RESULTS: A total of 13 986 individuals (adjusted response
70.6%) completed a questionnaire, of which 12 408 provided complete pain data.
The median NPS reported was 4 [interquartile range (IQR) 0-8]. General linear
models showed that an increasing NPS was significantly associated with poorer MCS
(beta = -0.43, 95% CI -0.46, -0.40) and PCS (beta = -0.87, 95% CI -0.90, -0.84).
Adjustment for covariates attenuated the associations but they remained
significant ( MCS: beta = -0.28, 95% CI -0.31, -0.24; PCS: beta = -0.63, 95% CI
0.66, -0.59). The association between NPS and MCS or PCS was moderated by age,
but the strongest associations were not in the oldest old. CONCLUSION: NPS
appears to be a potentially modifiable target for improving physical and mental
HRQoL in older people. Future analyses should investigate the influence of NPS on
HRQoL over time in older people.
PMID- 24925883
TI - 99mTc-MAA-based dosimetry for liver cancer treated using 90Y-loaded microspheres:
known proof of effectiveness.
PMID- 24925884
TI - Correlation between in vivo 18F-FDG PET and immunohistochemical markers of
glucose uptake and metabolism in pheochromocytoma and paraganglioma.
AB - Pheochromocytomas and paragangliomas (PPGLs) can be localized by (18)F-FDG PET.
The uptake is particularly high in tumors with an underlying succinate
dehydrogenase (SDH) mutation. SDHx-related PPGLs are characterized by compromised
oxidative phosphorylation and a pseudohypoxic response, which mediates an
increase in aerobic glycolysis, also known as the Warburg effect. The aim of this
study was to explore the hypothesis that increased uptake of (18)F-FDG in SDHx
related PPGLs is reflective of increased glycolytic activity and is correlated
with expression of different proteins involved in glucose uptake and metabolism
through the glycolytic pathway. METHODS: Twenty-seven PPGLs collected from
patients with hereditary mutations in SDHB (n = 2), SDHD (n = 3), RET (n = 5),
neurofibromatosis 1 (n = 1), and myc-associated factor X (n = 1) and sporadic
patients (n = 15) were investigated. Preoperative (18)F-FDG PET/CT studies were
analyzed; mean and maximum standardized uptake values (SUVs) in manually drawn
regions of interest were calculated. The expression of proteins involved in
glucose uptake (glucose transporters types 1 and 3 [GLUT-1 and -3,
respectively]), phosphorylation (hexokinases 1, 2, and 3 [HK-1, -2, and -3,
respectively]), glycolysis (monocarboxylate transporter type 4 [MCT-4]), and
angiogenesis (vascular endothelial growth factor [VEGF], CD34) were examined in
paraffin-embedded tumor tissues using immunohistochemical staining with
peroxidase-catalyzed polymerization of diaminobenzidine as a read-out. The
expression was correlated with corresponding SUVs. RESULTS: Both maximum and mean
SUVs for SDHx-related tumors were significantly higher than those for sporadic
and other hereditary tumors (P < 0.01). The expression of HK-2 and HK-3 was
significantly higher in SDHx-related PPGLs than in sporadic PPGLs (P = 0.022 and
0.025, respectively). The expression of HK-2 and VEGF was significantly higher in
SDHx-related PPGLs than in other hereditary PPGLs (P = 0.039 and 0.008,
respectively). No statistical differences in the expression were observed for
GLUT-1, GLUT-3, and MCT-4. The percentage anti-CD 34 staining and mean vessel
perimeter were significantly higher in SDHx-related PPGLs than in sporadic tumors
(P = 0.050 and 0.010, respectively). Mean SUVs significantly correlated with the
expression of HK-2 (P = 0.027), HK-3 (P = 0.013), VEGF (P = 0.049), and MCT-4 (P
= 0.020). CONCLUSION: The activation of aerobic glycolysis in SDHx-related PPGLs
is associated with increased (18)F-FDG accumulation due to accelerated glucose
phosphorylation by hexokinases rather than increased expression of glucose
transporters.
PMID- 24925886
TI - Radial dose distributions from protons of therapeutic energies calculated with
Geant4-DNA.
AB - Models based on the amorphous track structure approximation have been successful
in predicting the biological effects of heavy charged particles. Development of
such models remains an active area of research that includes applications to
hadrontherapy. In such models, the radial distribution of the dose deposited by
delta electrons and directly by the particle is the main characteristic of track
structure. We calculated these distributions with Geant4-DNA Monte Carlo code for
protons in the energy range from 10 to 100 MeV. These results were approximated
by a simple formula that combines the well-known inverse square distance
dependence with two factors that eliminate the divergence of the radial dose
integral at both small and large distances. A clear physical interpretation is
given to the asymptotic behaviour of the radial dose distribution resulting from
these two factors. The proposed formula agrees with the Monte Carlo data within
10% for radial distances of up to 10 MUm, which corresponds to a dose range
covering over eight orders of magnitude. Differences between our results and
those of previously published analytical models are discussed.
PMID- 24925885
TI - Individual-reader diagnostic performance and between-reader agreement in
assessment of subjects with Parkinsonian syndrome or dementia using 123I
ioflupane injection (DaTscan) imaging.
AB - Establishing an early, accurate diagnosis is fundamental for appropriate clinical
management of patients with movement disorders or dementia. Ioflupane (123)I
Injection (DaTscan, (123)I-ioflupane) is an important adjunct to support the
clinical diagnosis. Understanding individual-reader diagnostic performance of
(123)I-ioflupane in a variety of clinical scenarios is essential. METHODS:
Sensitivity, specificity, interreader, and intrareader data from 5 multicenter
clinical studies were reviewed. The different study designs offered an assortment
of variables to assess the effects on the diagnostic performance of (123)I
ioflupane: on-site versus 3-5 blinded image readers, number of image evaluations,
early/uncertain versus late/confirmed clinical diagnosis as reference standard,
and subjects with movement disorders versus dementia. RESULTS: Eight hundred
eighteen subjects had individual-reader efficacy data available for analysis. In
general, sensitivity and specificity were high and comparable between on-site
versus blinded independent readers. In subjects with dementia, when the clinical
diagnosis was made at month 12 versus baseline, specificity improved from 77.4%
91.2% to 81.6%-95.0%. In subjects with movement disorders, this effect was
observed to an even greater extent, when diagnostic performance using month-18
diagnosis as a reference standard (sensitivity, 67.0%-73.7%; specificity, 75.0%
83.3%) was compared versus month-36 diagnosis (77.5%-80.3% and 90.3%-96.8%,
respectively). Diagnostic performance was similar in subjects with dementia
(74.4%-89.9% and 77.4%-95.0%, respectively) and subjects with movement disorders
(67.0%-97.9% and 71.4%-98.4%, respectively). In most of the comparisons, between
reader agreement was very good (almost perfect), with kappa ranging from 0.81 to
1.00. Within-reader agreement, measured in 1 study, was 100% for 3 blinded
readers. CONCLUSION: Individual-reader diagnostic performance, as assessed by
measuring sensitivity and specificity of (123)I-ioflupane to detect the presence
or absence of striatal dopaminergic deficit, using the clinical diagnosis as a
reference standard, was high in subjects with either movement disorders or
dementia and was similar in on-site readers versus blinded analyses. Between- and
within-reader agreements were very good (almost perfect). Longer follow-up
between imaging and clinical diagnosis improved the diagnostic accuracy, most
likely due to improvement in the clinical diagnosis reference standard, rather
than changes in reader accuracy.
PMID- 24925887
TI - Tests for equivalence of two survival functions: Alternative to the tests under
proportional hazards.
AB - For either the equivalence trial or the non-inferiority trial with survivor
outcomes from two treatment groups, the most popular testing procedure is the
extension (e.g., Wellek, A log-rank test for equivalence of two survivor
functions, Biometrics, 1993; 49: 877-881) of log-rank based test under
proportional hazards model. We show that the actual type I error rate for the
popular procedure of Wellek is higher than the intended nominal rate when
survival responses from two treatment arms satisfy the proportional odds survival
model. When the true model is proportional odds survival model, we show that the
hypothesis of equivalence of two survival functions can be formulated as a
statistical hypothesis involving only the survival odds ratio parameter. We
further show that our new equivalence test, formulation, and related procedures
are applicable even in the presence of additional covariates beyond treatment
arms, and the associated equivalence test procedures have correct type I error
rates under the proportional hazards model as well as the proportional odds
survival model. These results show that use of our test will be a safer
statistical practice for equivalence trials of survival responses than the
commonly used log-rank based tests.
PMID- 24925888
TI - Diminished role for dopamine D1 receptors in cocaine addiction?
PMID- 24925889
TI - Blunted dopamine release as a biomarker for vulnerability for substance use
disorders.
PMID- 24925890
TI - Association of genes involved in calcium and potassium pathways with opioid
dependence.
PMID- 24925891
TI - Digital anthropomorphic phantoms of non-rigid human respiratory and voluntary
body motion for investigating motion correction in emission imaging.
AB - The development of methods for correcting patient motion in emission tomography
has been receiving increased attention. Often the performance of these methods is
evaluated through simulations using digital anthropomorphic phantoms, such as the
commonly used extended cardiac torso (XCAT) phantom, which models both
respiratory and cardiac motion based on human studies. However, non-rigid body
motion, which is frequently seen in clinical studies, is not present in the
standard XCAT phantom. In addition, respiratory motion in the standard phantom is
limited to a single generic trend. In this work, to obtain a more realistic
representation of motion, we developed a series of individual-specific XCAT
phantoms, modeling non-rigid respiratory and non-rigid body motions derived from
the magnetic resonance imaging (MRI) acquisitions of volunteers. Acquisitions
were performed in the sagittal orientation using the Navigator methodology.
Baseline (no motion) acquisitions at end-expiration were obtained at the
beginning of each imaging session for each volunteer. For the body motion
studies, MRI was again acquired only at end-expiration for five body motion poses
(shoulder stretch, shoulder twist, lateral bend, side roll, and axial slide). For
the respiratory motion studies, an MRI was acquired during free/regular
breathing. The magnetic resonance slices were then retrospectively sorted into 14
amplitude-binned respiratory states, end-expiration, end-inspiration, six
intermediary states during inspiration, and six during expiration using the
recorded Navigator signal. XCAT phantoms were then generated based on these MRI
data by interactive alignment of the organ contours of the XCAT with the MRI
slices using a graphical user interface. Thus far we have created five body
motion and five respiratory motion XCAT phantoms from the MRI acquisitions of six
healthy volunteers (three males and three females). Non-rigid motion exhibited by
the volunteers was reflected in both respiratory and body motion phantoms with a
varying extent and character for each individual. In addition to these phantoms,
we recorded the position of markers placed on the chest of the volunteers for the
body motion studies, which could be used as external motion measurement. Using
these phantoms and external motion data, investigators will be able to test their
motion correction approaches for realistic motion obtained from different
individuals. The non-uniform rational B-spline data and the parameter files for
these phantoms are freely available for downloading and can be used with the XCAT
license.
PMID- 24925892
TI - Can we distinguish pneumonia from wheezy diseases in tachypnoeic children under
low-resource conditions? A prospective observational study in four Indian
hospitals.
AB - BACKGROUND: Acute respiratory infections are the commonest cause of mortality and
morbidity in children worldwide. A quarter of all deaths occur in India alone. In
order to reduce this disease burden, there is a need for better diagnostic
criteria, particularly ones allowing early detection of high-risk children.
METHODS: We enrolled 516 under 5 year olds, in four Indian hospitals, who met WHO
age-dependent tachypnoea criteria for pneumonia at presentation. Patients
underwent a protocolised examination assessing 29 items, including history,
examination, O2 saturation, plus scores for chest X-ray, auscultation and
conscious level. Treatment was determined by the emergency room (ER) physician.
All children were reviewed at day 4 by a paediatrician and placed into four
diagnostic categories: pneumonia, wheezy disease, mixed and non-respiratory.
RESULTS: The majority had wheezy diseases (42.8%). The remainder had pneumonia
(35.9%), mixed disease (18.6%) and non-respiratory (2.7%). Best diagnostic
predictors for wheezy disease were (auscultation/previous similar episodes) and
for pneumonia (auscultation/CXR score). Mortality was 1.6%. Best disease severity
predictors were conscious level, weight/age z score and respiratory/pulse rates.
INTERPRETATION: Current tachypnoea-based algorithms significantly overdiagnose
pneumonia in children and underdiagnose wheezy diseases. Diagnostic accuracy can
be improved by various combinations of clinical variables, but the best single
diagnostic predictor is auscultation. Simple criteria can also be defined that
reliably detect which tachypnoeic children are at high risk of death or
deterioration. Management plans based on these protocols could reduce unnecessary
antibiotic use, improve the management of wheezy diseases and reduce mortality by
earlier identification of high-risk children.
PMID- 24925893
TI - Knowledge and skills retention following Emergency Triage, Assessment and
Treatment plus Admission course for final year medical students in Rwanda: a
longitudinal cohort study.
AB - AIM: To determine whether, after the Emergency Triage, Assessment and Treatment
plus Admission (ETAT+) course, a comprehensive paediatric life support course,
final year medical undergraduates in Rwanda would achieve a high level of
knowledge and practical skills and if these were retained. To guide further
course development, student feedback was obtained. METHODS: Longitudinal cohort
study of knowledge and skills of all final year medical undergraduates at the
University of Rwanda in academic year 2011-2012 who attended a 5-day ETAT+
course. Students completed a precourse knowledge test. Knowledge and clinical
skills assessments, using standardised marking, were performed immediately
postcourse and 3-9 months later. Feedback was obtained using printed
questionnaires. RESULTS: 84 students attended the course and re-evaluation.
Knowledge test showed a significant improvement, from median 47% to 71% correct
answers (p<0.001). For two clinical skills scenarios, 98% passed both scenarios,
37% after a retake, 2% failed both scenarios. Three to nine months later,
students were re-evaluated, median score for knowledge test 67%, not
significantly different from postcourse (p>0.1). For clinical skills, 74% passed,
with 32% requiring a retake, 8% failed after retake, 18% failed both scenarios, a
significant deterioration (p<0.0001). CONCLUSIONS: Students performed well on
knowledge and skills immediately after a comprehensive ETAT+ course. Knowledge
was maintained 3-9 months later. Clinical skills, which require detailed
sequential steps, declined, but most were able to perform them satisfactorily
after feedback. The course was highly valued, but several short courses and more
practical teaching were advocated.
PMID- 24925894
TI - Systematic review and meta-analysis of behavioral interventions for fecal
incontinence with constipation.
AB - BACKGROUND: Multiple treatments exist for fecal incontinence. However, the
relative and additive influence of commonly used behavioral approaches remains
unclear. OBJECTIVE: We conducted a systematic review of randomized controlled
trials to synthesize the effects of behavioral treatment of fecal incontinence
with constipation in children aged 4-18 years. Mixed treatment comparisons (MTCs)
and random effects models were used to analyze outcomes. Risk of bias and quality
of evidence were rated. RESULTS: Although 10 studies were identified for MTCs,
results did not yield reliable or valid estimates. Four studies were retained for
random effects pooled outcome analysis. Results indicated that behavioral
intervention was more effective than control conditions for author-defined
success and soiling frequency. CONCLUSION: Although evidence supports behavioral
treatments for fecal incontinence with constipation in children, available
evidence is limited. More and higher-quality trials are needed to better
understand the relative effects of different treatments, including behavioral
strategies.
PMID- 24925895
TI - Surgical resident evaluations of portable laparoscopic box trainers incorporated
into a simulation-based minimally invasive surgery curriculum.
AB - INTRODUCTION: Box trainers have been shown to be an effective tool for teaching
laparoscopic skills; however, residents are challenged to find practice time.
Portable trainers theoretically allow for extended hands on practice out of the
hospital. We aimed to report resident experience with laparoscopic home box
trainers. METHODS: Over 2 years, all residents rotating through a minimally
invasive service were given a portable trainer and access to a surgical
simulation lab for practice. Each trainer contained a collapsible frame, a webcam
with USB port, trocars, and laparoscopic instruments (needle driver, shears,
Maryland and straight dissecting graspers) as well as Fundamentals of
Laparoscopic Surgery skills testing materials. Residents were asked to log hours,
usages, and their experience anonymously. RESULTS: Twenty-three residents
received a portable trainer. Fifty percent of the participants found the trainer
useful or very useful, 25% said it was not useful, and 25% did not access the
trainer. Those that used the trainer during their rotation did so 3.1 +/- 3.0
times for 2.9 +/- 3.0 hours/week. After completing their rotation, 5 of 12
residents used their trainer for an average of 10.2 +/- 9.4 hours. Forty-two
percent of the responders liked the accessibility of the home box trainers, while
25% criticized the camera-computer interface. CONCLUSIONS: Portable box trainers
are useful and can effectively supplement a laboratory-based surgical simulation
curriculum; however, personal possession of a portable simulator does not result
in voluntarily long-term practice.
PMID- 24925896
TI - An emerging understanding of the Janus face of the human microbiome: enhancement
versus impairment of cancer therapy.
PMID- 24925880
TI - The prevalence and incidence of epiretinal membranes in eyes with inactive
extramacular CMV retinitis.
AB - PURPOSE: To determine the prevalence and incidence of epiretinal membranes (ERM)
in eyes with inactive extramacular cytomegalovirus (CMV) retinitis in patients
with acquired immune deficiency syndrome (AIDS). METHODS: A case-control report
from a longitudinal multicenter observational study by the Studies of the Ocular
Complications of AIDS (SOCA) Research Group. A total of 357 eyes of 270 patients
with inactive CMV retinitis and 1084 eyes of 552 patients with no ocular
opportunistic infection (OOI) were studied. Stereoscopic views of the posterior
pole from fundus photographs were assessed at baseline and year 5 visits for the
presence of macular ERM. Generalized estimating equations (GEE) logistic
regression was used to compare the prevalence and 5-year incidence of ERM in eyes
with and without CMV retinitis at enrollment. Crude and adjusted logistic
regression was performed adjusting for possible confounders. Main outcome
measures included the prevalence, incidence, estimated prevalence, and incidence
odds ratios. RESULTS: The prevalence of ERM at enrollment was 14.8% (53/357) in
eyes with CMV retinitis versus 1.8% (19/1084) in eyes with no OOI. The incidence
of ERM at 5 years was 18.6% (16/86) in eyes with CMV retinitis versus 2.4%
(6/253) in eyes with no OOI. The crude odds ratio (OR) (95% confidence interval,
CI) for prevalence was 9.8 (5.5-17.5) (P < 0.01). The crude OR (95% CI) for
incidence was 9.4 (3.2-27.9) (P < 0.01). CONCLUSIONS: A history of extramacular
CMV retinitis is associated with increased prevalence and incidence of ERM
formation compared to what is seen in eyes without ocular opportunistic
infections in AIDS patients.
PMID- 24925897
TI - Prevalence of Trichomonas vaginalis, Mycoplasma genitalium and Ureaplasma
urealyticum in men with urethritis attending an urban sexual health clinic.
AB - We conducted a study to determine the prevalence of Trichomonas vaginalis (TV),
Mycoplasma genitalium (MG) and Ureaplasma urealyticum (UU) in men with
urethritis, attending an urban sexual health clinic, in order to inform screening
and treatment policies. Men attending an urban sexual health clinic between June
2011 and January 2012 were evaluated. Urine samples were collected from men with
urethritis and tested for Chlamydia trachomatis (CT), Neisseria gonorrhoeae (GC)
and TV using transcription-mediated amplification and for MG and UU using
polymerase chain reaction. Eighty-three samples were analysed. The prevalence of
CT was 33.7% (28/83), GC was 16.8% (14/83), TV was 3.6% (3/83), MG was 12.0%
(10/83) and UU was 4.8% (4/83). Fifteen men had recurrent urethritis. Of these,
three were found to have had TV, five to have had MG and none to have had UU, at
initial presentation. Given the prevalence of MG in this study, there is an
urgent need for further larger studies looking at optimal treatment regimens and
screening strategies in urethritis.
PMID- 24925898
TI - Therapeutic efficacy of a H4 receptor antagonist in humans: a milestone in
histamine research.
PMID- 24925899
TI - Spectral fluence of neutrons generated by radiotherapeutic linacs.
AB - Spectral fluences of neutrons generated in the heads of the radiotherapeutic
linacs Varian Clinac 2100 C/D and Siemens ARTISTE were measured by means of the
Bonner spheres spectrometer whose active detector of thermal neutrons was
replaced by an activation detector, i.e. a tablet made of pure manganese.
Measurements with different collimator settings reveal an interesting dependence
of neutron fluence on the area defined by the collimator jaws. The determined
neutron spectral fluences were used to derive ambient dose equivalent rate along
the treatment coach. To clarify at which components of the linac neutrons are
mainly created, the measurements were complemented with MCNPX calculations based
on a realistic model of the Varian Clinac.
PMID- 24925900
TI - Cytogenetic studies for a group of people living in Japan 1 year after the
Fukushima nuclear accident.
AB - In order to understand the potential health effect of radiation from Fukushima
nuclear disaster, a group of people living in Japan during and after the accident
were investigated 1 y after the accident. The venous blood samples were extracted
in tune from 156 tested persons living in Tokyo and Niigata with average age of
42.4 +/- 10.2 y old as well as 87 controls living in Beijing with similar age and
sex proportion. Conventional chromosome culture and cytochalasin B micronucleus
methods were applied. The unstable chromosome aberrations of 200 cells and
micronuclei (MN) and micronuclei cells (MNC) of 1000 binucleated lymphocytes were
analysed for each examined subject. The results showed that the frequencies +/-
SE (*100) of the dicentrics plus rings were 0.17 +/- 0.024% and 0.13 +/- 0.028%
in the tested and control populations (p > 0.05), respectively. The frequencies
of the extra acentrics were 0.21 +/- 0.026% and 0.06 +/- 0.018% in the tested and
control groups (p < 0.01), respectively. The total chromosomal aberration
frequencies of the tested and control groups were 0.40 +/- 0.036% and 0.20 +/-
0.034% (p < 0.01), respectively. The MN and MNC frequencies of the tested group
were 29.25 +/- 3.96 0/00 and 23.85 +/- 4.23 0/00, and 25.30 +/- 6.45 0/00 and
21.56 +/- 3.99 0/00 for control group (p < 0.01). With the exception of
dicentrics, there were significant differences (p < 0.01) between two groups in
frequencies of chromosome aberration and MN. Generally, 1 y after the Fukushima
nuclear accident, the dicentric frequencies had not increased in the 156 persons
investigated in this study. The increase in chromatid aberrations, chromosomal
acentrics and MN was induced but could not be directly linked to radiation
exposures, as an excess of dicentric frequency is linked. However, the observed
higher frequency of chromosomal alterations might be related to exposure to the
low doses of ionising in this cohort. Consequently, it is recommended to assess
the long-term health effects in this population.
PMID- 24925901
TI - Protein biomarkers for enhancement of radiation dose and injury assessment in
nonhuman primate total-body irradiation model.
AB - Development and validation of early-response radiation injury biomarkers are
critical for effective triage and medical management of irradiated individuals.
Plasma protein and haematological profiles were evaluated using multivariate
linear-regression analysis to provide dose-response calibration curves for photon
radiation dose assessment in 30 rhesus macaques total-body-irradiated to 1-8.5 Gy
with (60)Co gamma rays (0.55 Gy min(-1)). Equations for radiation dose received
were established based on different combinations of protein biomarkers [i.e. C
reactive protein (CRP), serum amyloid A (SAA), interleukin 6 (IL-6) and Flt3
Ligand (Flt3L)] at samples collection time-points 6 h, 1, 2, 3, 4 and 7 d post
total-body irradiation. Dynamic changes in the levels of CRP, SAA, IL-6 and Flt3L
may function as prognostic indicators of the time course and severity of acute
radiation sickness (ARS). The combination of protein biomarkers provides greater
accuracy for early radiation assessment than any one biomarker alone.
PMID- 24925902
TI - Influence of clouds on the cosmic radiation dose rate on aircraft.
AB - Flight missions were made in Brazilian territory in 2009 and 2011 with the aim of
measuring the cosmic radiation dose rate incident on aircraft in the South
Atlantic Magnetic Anomaly and to compare it with Monte Carlo simulations. During
one of these flights, small fluctuations were observed in the vicinity of the
aircraft with formation of Cumulonimbus clouds. Motivated by these observations,
in this work, the authors investigated the relationship between the presence of
clouds and the neutron flux and dose rate incident on aircraft using
computational simulation. The Monte Carlo simulations were made using the MCNPX
and Geant4 codes, considering the incident proton flux at the top of the
atmosphere and its propagation and neutron production through several vertically
arranged slabs, which were modelled according to the ISO specifications.
PMID- 24925903
TI - Alterations in the cerebellar (Phospho)proteome of a cyclic guanosine
monophosphate (cGMP)-dependent protein kinase knockout mouse.
AB - The cyclic nucleotide cyclic guanosine monophosphate (cGMP) plays an important
role in learning and memory, but its signaling mechanisms in the mammalian brain
are not fully understood. Using mass-spectrometry-based proteomics, we evaluated
how the cerebellum adapts its (phospho)proteome in a knockout mouse model of cGMP
dependent protein kinase type I (cGKI). Our data reveal that a small subset of
proteins in the cerebellum (~3% of the quantified proteins) became substantially
differentially expressed in the absence of cGKI. More changes were observed at
the phosphoproteome level, with hundreds of sites being differentially
phosphorylated between wild-type and knockout cerebellum. Most of these
phosphorylated sites do not represent known cGKI substrates. An integrative
computational network analysis of the data indicated that the differentially
expressed proteins and proteins harboring differentially phosphorylated sites
largely belong to a tight network in the Purkinje cells of the cerebellum
involving important cGMP/cAMP signaling nodes (e.g. PDE5 and PKARIIbeta) and
Ca(2+) signaling (e.g. SERCA3). In this way, removal of cGKI could be linked to
impaired cerebellar long-term depression at Purkinje cell synapses. In addition,
we were able to identify a set of novel putative (phospho)proteins to be
considered in this network. Overall, our data improve our understanding of
cerebellar cGKI signaling and suggest novel players in cGKI-regulated synaptic
plasticity.
PMID- 24925904
TI - Maternal mindfulness and anxiety during pregnancy affect infants' neural
responses to sounds.
AB - Maternal anxiety during pregnancy has been consistently shown to negatively
affect offspring neurodevelopmental outcomes. However, little is known about the
impact of positive maternal traits/states during pregnancy on the offspring. The
present study was aimed at investigating the effects of the mother's mindfulness
and anxiety during pregnancy on the infant's neurocognitive functioning at 9
months of age. Mothers reported mindfulness using the Freiburg Mindfulness
Inventory and anxiety using the Symptom Checklist (SCL-90) at +/- 20.7 weeks of
gestation. Event-related brain potentials (ERPs) were measured from 79 infants in
an auditory oddball paradigm designed to measure auditory attention-a key aspect
of early neurocognitive functioning. For the ERP responses elicited by standard
sounds, higher maternal mindfulness was associated with lower N250 amplitudes (P
< 0.01, eta(2) = 0.097), whereas higher maternal anxiety was associated with
higher N250 amplitudes (P < 0.05, eta(2) = 0.057). Maternal mindfulness was also
positively associated with the P150 amplitudes (P < 0.01, eta(2) = 0.130). These
results suggest that infants prenatally exposed to higher levels of maternal
mindfulness devote fewer attentional resources to frequently occurring irrelevant
sounds. The results show that positive traits and experiences of the mother
during pregnancy may also affect the unborn child. Emphasizing the beneficial
effects of a positive psychological state during pregnancy may promote healthy
behavior in pregnant women.
PMID- 24925905
TI - A computational framework for heparan sulfate sequencing using high-resolution
tandem mass spectra.
AB - Heparan sulfate (HS) is a linear polysaccharide expressed on cell surfaces, in
extracellular matrices and cellular granules in metazoan cells. Through non
covalent binding to growth factors, morphogens, chemokines, and other protein
families, HS is involved in all multicellular physiological activities. Its
biological activities depend on the fine structures of its protein-binding
domains, the determination of which remains a daunting task. Methods have
advanced to the point that mass spectra with information-rich product ions may be
produced on purified HS saccharides. However, the interpretation of these complex
product ion patterns has emerged as the bottleneck to the dissemination of these
HS sequencing methods. To solve this problem, we designed HS-SEQ, the first
comprehensive algorithm for HS de novo sequencing using high-resolution tandem
mass spectra. We tested HS-SEQ using negative electron transfer dissociation
(NETD) tandem mass spectra generated from a set of pure synthetic saccharide
standards with diverse sulfation patterns. The results showed that HS-SEQ rapidly
and accurately determined the correct HS structures from large candidate pools.
PMID- 24925907
TI - Comparison of susceptibility of cystic-fibrosis-related and non-cystic-fibrosis
related Pseudomonas aeruginosa to chlorine-based disinfecting solutions:
implications for infection prevention and ward disinfection.
AB - Multidrug-resistant (MDR) Pseudomonas aeruginosa isolated from cystic fibrosis
(CF) sputum was shown to be more tolerant to the most commonly used chlorine
based disinfecting agent in the UK, with approximately 7 out of 10 isolates
surviving a residual free chlorine (RFC) concentration of 500 p.p.m., when
compared with antibiotic-sensitive invasive P. aeruginosa from a non-CF blood
culture source, where 8 out of 10 isolates were killed at a RFC concentration of
100 p.p.m. All CF isolates were killed at 1000 p.p.m. chlorine. Additional
studies were performed to examine factors that influenced the concentration of
RFC from chlorine-based (sodium dichloroisocyanurate) disinfecting agents in
contact with CF sputum and their components (bacterial cells, glycocalyx) to
assess the reduction of the bactericidal activity of such disinfecting agents.
Pseudomonas glycocalyx had a greater inhibitory effect of chlorine deactivation
than bacterial cells. Calibration curves demonstrated the relative deactivating
capacity on RFC from clinical soils, in the order pus>CF sputum>wound discharge
fluid/synovial fluid>ascites fluid>bile, where quantitatively each 1 % (w/v) CF
sputum reduced the RFC by 43 p.p.m. Sublethal stressing of P. aeruginosa with
chlorine resulted in lowered susceptibility to colistin (P = 0.0326) but not to
meropenem, tobramycin or ciprofloxacin. In conclusion, heavy contamination of
healthcare fomites with CF sputum containing MDR P. aeruginosa may result in
exhaustion of RFC, and this, combined with an increased resistance to chlorine
with such strains, may lead to their survival and increased antibiotic resistance
in such environments. CF infection prevention strategies in such scenarios should
therefore target interventions with increased concentrations of chlorine to
ensure the eradication of MDR P. aeruginosa from the CF healthcare environment.
PMID- 24925906
TI - Identification of a novel neurotrophic factor from primary retinal Muller cells
using stable isotope labeling by amino acids in cell culture (SILAC).
AB - Retinal Muller glial cells (RMGs) have a primary role in maintaining the
homeostasis of the retina. In pathological situations, RMGs execute protective
and regenerative effects, but they can also contribute to neurodegeneration. It
has recently been recognized that cultured primary RMGs secrete pro-survival
factors for retinal neurons for up to 2 weeks in culture, but this ability is
lost when RMGs are cultivated for longer durations. In our study, we investigated
RMG supernatants for novel neuroprotective factors using a quantitative proteomic
approach. Stable isotope labeling by amino acids in cell culture (SILAC) was used
on primary porcine RMGs. Supernatants of RMGs cultivated for 2 weeks were
compared with supernatants from cells that had already lost their protective
capacity. Using this approach, we detected established neurotrophic factors such
as transferrin, osteopontin, and leukemia inhibitory factor and identified C-X-C
motif chemokine 10 (CXCL10) as a novel candidate neuroprotective factor. All
factors prolonged photoreceptor survival in vitro. Ex vivo treatment of retinal
explants with leukemia inhibitory factor or CXCL10 demonstrated a neuroprotective
effect on photoreceptors. Western blots on CXCL10- and leukemia inhibitory factor
stimulated explanted retina and photoreceptor lysates indicated activation of pro
survival signal transducer and activator of transcription signaling and B-cell
lymphoma pathways. These findings suggest that CXCL10 contributes to the
supportive potential of RMGs toward retinal neurons.
PMID- 24925908
TI - The Bentall procedure with a biological valved conduit: substitute options and
techniques.
AB - As originally described by Bentall and De Bono, aortic root replacement with
reimplantation of the coronary arteries using a composite valved conduit
represents the gold standard intervention in patients with aneurysmal disease or
dissection involving the aortic root. Over the last decade, the number of Bentall
procedures performed using biological valved conduit has dramatically expanded
mainly due to the increased incidence of aortic disease in the aging population.
Here, we sought to describe the commercially available biological composite
grafts and the techniques that, to the best of our knowledge, are most frequently
used in this setting.
PMID- 24925909
TI - Neurodevelopment. Parasympathetic neurons originate from nerve-associated
peripheral glial progenitors.
AB - The peripheral autonomic nervous system reaches far throughout the body and
includes neurons of diverse functions, such as sympathetic and parasympathetic.
We show that the parasympathetic system in mice--including trunk ganglia and the
cranial ciliary, pterygopalatine, lingual, submandibular, and otic ganglia--arise
from glial cells in nerves, not neural crest cells. The parasympathetic fate is
induced in nerve-associated Schwann cell precursors at distal peripheral sites.
We used multicolor Cre-reporter lineage tracing to show that most of these
neurons arise from bi-potent progenitors that generate both glia and neurons.
This nerve origin places cellular elements for generating parasympathetic neurons
in diverse tissues and organs, which may enable wiring of the developing
parasympathetic nervous system.
PMID- 24925911
TI - Quantum computations on a topologically encoded qubit.
AB - The construction of a quantum computer remains a fundamental scientific and
technological challenge because of the influence of unavoidable noise. Quantum
states and operations can be protected from errors through the use of protocols
for quantum computing with faulty components. We present a quantum error
correcting code in which one qubit is encoded in entangled states distributed
over seven trapped-ion qubits. The code can detect one bit flip error, one phase
flip error, or a combined error of both, regardless on which of the qubits they
occur. We applied sequences of gate operations on the encoded qubit to explore
its computational capabilities. This seven-qubit code represents a fully
functional instance of a topologically encoded qubit, or color code, and opens a
route toward fault-tolerant quantum computing.
PMID- 24925910
TI - Feedback control of chromosome separation by a midzone Aurora B gradient.
AB - Accurate chromosome segregation during mitosis requires the physical separation
of sister chromatids before nuclear envelope reassembly (NER). However, how these
two processes are coordinated remains unknown. Here, we identified a conserved
feedback control mechanism that delays chromosome decondensation and NER in
response to incomplete chromosome separation during anaphase. A midzone
associated Aurora B gradient was found to monitor chromosome position along the
division axis and to prevent premature chromosome decondensation by retaining
Condensin I. PP1/PP2A phosphatases counteracted this gradient and promoted
chromosome decondensation and NER. Thus, an Aurora B gradient appears to mediate
a surveillance mechanism that prevents chromosome decondensation and NER until
effective separation of sister chromatids is achieved. This allows the correction
and reintegration of lagging chromosomes in the main nuclei before completion of
NER.
PMID- 24925912
TI - Neurodevelopment. Parasympathetic ganglia derive from Schwann cell precursors.
AB - Neural crest cells migrate extensively and give rise to most of the peripheral
nervous system, including sympathetic, parasympathetic, enteric, and dorsal root
ganglia. We studied how parasympathetic ganglia form close to visceral organs and
what their precursors are. We find that many cranial nerve-associated crest cells
coexpress the pan-autonomic determinant Paired-like homeodomain 2b (Phox2b)
together with markers of Schwann cell precursors. Some give rise to Schwann cells
after down-regulation of PHOX2b. Others form parasympathetic ganglia after being
guided to the site of ganglion formation by the nerves that carry preganglionic
fibers, a parsimonious way of wiring the pathway. Thus, cranial Schwann cell
precursors are the source of parasympathetic neurons during normal development.
PMID- 24925913
TI - Superconductivity. Fermi arcs in a doped pseudospin-1/2 Heisenberg
antiferromagnet.
AB - High-temperature superconductivity in cuprates arises from an electronic state
that remains poorly understood. We report the observation of a related electronic
state in a noncuprate material, strontium iridate (Sr2IrO4), in which the
distinct cuprate fermiology is largely reproduced. Upon surface electron doping
through in situ deposition of alkali-metal atoms, angle-resolved photoemission
spectra of Sr2IrO4 display disconnected segments of zero-energy states, known as
Fermi arcs, and a gap as large as 80 millielectron volts. Its evolution toward a
normal metal phase with a closed Fermi surface as a function of doping and
temperature parallels that in the cuprates. Our result suggests that Sr2IrO4 is a
useful model system for comparison to the cuprates.
PMID- 24925914
TI - Single-cell RNA-seq highlights intratumoral heterogeneity in primary
glioblastoma.
AB - Human cancers are complex ecosystems composed of cells with distinct phenotypes,
genotypes, and epigenetic states, but current models do not adequately reflect
tumor composition in patients. We used single-cell RNA sequencing (RNA-seq) to
profile 430 cells from five primary glioblastomas, which we found to be
inherently variable in their expression of diverse transcriptional programs
related to oncogenic signaling, proliferation, complement/immune response, and
hypoxia. We also observed a continuum of stemness-related expression states that
enabled us to identify putative regulators of stemness in vivo. Finally, we show
that established glioblastoma subtype classifiers are variably expressed across
individual cells within a tumor and demonstrate the potential prognostic
implications of such intratumoral heterogeneity. Thus, we reveal previously
unappreciated heterogeneity in diverse regulatory programs central to
glioblastoma biology, prognosis, and therapy.
PMID- 24925915
TI - Lipids in cell biology: how can we understand them better?
AB - Lipids are a major class of biological molecules and play many key roles in
different processes. The diversity of lipids is on the same order of magnitude as
that of proteins: cells express tens of thousands of different lipids and
hundreds of proteins to regulate their metabolism and transport. Despite their
clear importance and essential functions, lipids have not been as well studied as
proteins. We discuss here some of the reasons why it has been challenging to
study lipids and outline technological developments that are allowing us to begin
lifting lipids out of their "Cinderella" status. We focus on recent advances in
lipid identification, visualization, and investigation of their biophysics and
perturbations and suggest that the field has sufficiently advanced to encourage
broader investigation into these intriguing molecules.
PMID- 24925916
TI - CFTR: cystic fibrosis and beyond.
AB - Cystic fibrosis (CF) remains the most common fatal hereditary lung disease. The
discovery of the cystic fibrosis transmembrane conductance regulator (CFTR) gene
25 years ago set the stage for: 1) unravelling the molecular and cellular basis
of CF lung disease; 2) the generation of animal models to study in vivo
pathogenesis; and 3) the development of mutation-specific therapies that are now
becoming available for a subgroup of patients with CF. This article highlights
major advances in our understanding of how CFTR dysfunction causes chronic mucus
obstruction, neutrophilic inflammation and bacterial infection in CF airways.
Furthermore, we focus on recent breakthroughs and remaining challenges of novel
therapies targeting the basic CF defect, and discuss the next steps to be taken
to make disease-modifying therapies available to a larger group of patients with
CF, including those carrying the most common mutation DeltaF508-CFTR. Finally, we
will summarise emerging evidence indicating that acquired CFTR dysfunction may be
implicated in the pathogenesis of chronic obstructive pulmonary disease,
suggesting that lessons learned from CF may be applicable to common airway
diseases associated with mucus plugging.
PMID- 24925917
TI - Blood eosinophil guided prednisolone therapy for exacerbations of COPD: a further
analysis.
PMID- 24925918
TI - Reliance on end-expiratory wedge pressure leads to misclassification of pulmonary
hypertension.
AB - Current guidelines recommend measurement of pulmonary artery wedge pressure
(PAWP) at end-expiration. However, this recommendation is not universally
followed and may not be physiologically appropriate. We investigated the
performance of end-expiratory PAWP in the evaluation of precapillary pulmonary
hypertension patients. 329 spontaneously breathing patients undergoing right
heart catheterisation were retrospectively classified as having a precapillary,
post-capillary or mixed phenotype based on standardised clinical criteria.
Tracings were reviewed to compare end-expiratory PAWP with PAWP averaged
throughout the respiratory cycle; these values were correlated with the clinical
classifications. Predictors of large respirophasic variation in PAWP were
determined. Elevated end-expiratory PAWP (>15 mmHg) occurred in 29% of subjects
with precapillary phenotype. There were no differences in demographics or
clinical history between those with elevated and normal end-expiratory PAWP.
Those with elevated end-expiratory PAWP had greater right atrial pressure and
respirophasic PAWP variation. Among all subjects, the magnitude of respirophasic
variation in PAWP was positively correlated with body mass index and
respirophasic variation in left ventricular end-diastolic pressure. A significant
proportion of precapillary pulmonary hypertension patients have end-expiratory
PAWP >15 mmHg. Spontaneous positive end-expiratory intrathoracic pressure may
contribute; in those cases, PAWP averaged throughout respiration may be a more
accurate measurement.
PMID- 24925920
TI - Inhaled antibiotics for stable non-cystic fibrosis bronchiectasis: a systematic
review.
AB - We conducted a meta-analysis of randomised trials to evaluate the efficacy and
safety of inhaled antibiotics in patients with stable non-cystic fibrosis (CF)
bronchiectasis. We searched the Cochrane Airways Group Register of Trials from
inception until March 2014. 12 trials with 1264 adult patients were included, of
which five were unpublished studies. Eight trials on 590 patients contributed
data to the meta-analysis. Amikacin, aztreonam, ciprofloxacin, gentamicin,
colistin or tobramycin were used for 4 weeks to 12 months. Inhaled antibiotics
were more effective than placebo or symptomatic treatment in reducing sputum
bacterial load (five trials; weighted mean difference -2.65 log10 CFU . g(-1),
95% CI -4.38- -0.92 log10 CFU . g(-1)), eradicating the bacteria from sputum (six
trials; risk ratio 4.2, 95% CI 1.66-10.64) and reducing the risk of acute
exacerbations (five trials; risk ratio 0.72, 95% CI 0.55-0.94). Bronchospasm
occurred in 10% of patients treated with inhaled antibiotics compared with 2.3%
in the control group (seven trials; risk ratio 2.96, 95% CI 1.30-6.73), but the
two groups had the same withdrawal rate due to adverse events (12.2%). Inhaled
antibiotics may provide an effective suppressive antibiotic therapy with an
acceptable safety profile in adult patients with stable non-CF bronchiectasis and
chronic bronchial infection.
PMID- 24925921
TI - Interleukin-17 cytokine signalling in patients with asthma.
AB - Asthma remains a global health problem and, therefore, more effective
pharmacotherapy is needed. This is particularly true for chronic and severe
asthma. In these clinical phenotypes, chronic inflammation involving neutrophils
is likely to play a pathogenic role, making it interesting to target cytokine
signalling involved in the accumulation of neutrophils. Therefore, it is of
interest that the archetype T-helper 17 cell cytokine interleukin (IL)-17A,
perhaps also IL-17F, controls neutrophil accumulation, mucus secretion,
macrophage mobilisation and smooth muscle reactivity in various experimental
airway models. However, much less is known about the involvement of signalling
via IL-17 cytokines in humans with asthma. Existing evidence suggests that these
cytokines are released from several types of immune cells in asthma and, for IL
17A, there is a local increase associated with disease severity, with the
mobilisation of neutrophils and smooth muscle cells locally in the airways. Even
though the causative role of IL-17 cytokines remains unclear, there is potential
for clinical utility in targeting IL-17A specifically in patients with moderate
to-severe asthma and high reversibility. There is a need for new and well-powered
clinical investigations of signalling via IL-17 cytokines in this clinical
phenotype.
PMID- 24925923
TI - Surgical and nonsurgical approaches to small-size nonsmall cell lung cancer.
AB - Lobectomy and systematic nodal dissection are still the standard for small-size
(<3 cm) nonsmall cell lung cancer. There is growing interest in more parenchyma
sparing surgery, so-called sublobar resections (wedge resection or
segmentectomy). Indeed, nonrandomised trials suggest that a segmentectomy may
result in local control rates that are similar to lobectomy. Nonsurgical
approaches, such as stereotactic ablative radiotherapy, consistently result in
local control rates of ~ 90% and survival rates that are comparable to lobectomy.
Therefore, we are moving towards an era in which several therapeutic
possibilities are available, that are probably equivalent from an oncological
point of view. Further trials are needed to define the optimal therapy for
individual patients.
PMID- 24925924
TI - COPD detected with screening: impact on patient management and prognosis.
AB - It is uncertain whether screening of older persons for chronic obstructive
pulmonary disease (COPD) is worthwhile because the effects on patient management
and prognosis are unknown. We aimed to assess the short-term consequences of
detecting COPD in frail elderly subjects with dyspnoea, considering pulmonary
drug use, hospitalisations and all-cause mortality. Community-dwelling frail
elderly subjects, aged 65 years and older, with dyspnoea, participating in a
screening study on COPD and heart failure were included. Final diagnoses were
assigned by an expert panel based on all data from the screening strategy,
including spirometry. Follow-up data were collected from the general
practitioners. Of the 386 patients, 84 (21.8%) were received a new diagnosis of
COPD. Overall, changes in pulmonary drug prescription during 6 months of follow
up were infrequent (n = 53, 13.7%; among new cases of COPD, 15 (17.9%) out of
84). Of all participants, 25.9% were hospitalised in the first year of follow-up,
with the highest rate in patients with newly detected COPD (32.1%). Many new
cases of COPD could be detected by screening frail elderly subjects with
dyspnoea, but the impact on patient management seems limited. Our study
underlines the importance of obtaining follow-up data to assess the true impact
of a (screen-detected) diagnosis of COPD on patient management and outcome.
PMID- 24925922
TI - Pathophysiology of human ventilatory control.
AB - We review the substantial recent progress made in understanding the underlying
mechanisms controlling breathing and the applicability of these findings to
selected human diseases. Emphasis is placed on the sites of central respiratory
rhythm and pattern generation as well as newly described functions of the carotid
chemoreceptors, the integrative nature of the central chemoreceptors, and the
interaction between peripheral and central chemoreception. Recent findings that
support critical contributions from cortical central command and muscle afferent
feedback to exercise hyperpnoea are also reviewed. These basic principles, and
the evidence supporting chemoreceptor and ventilatory control system plasticity
during and following constant and intermittent hypoxaemia and stagnant hypoxia,
are applied to: 1) the pathogenesis, consequences and treatment of obstructive
sleep apnoea; and 2) exercise hyperpnoea and its control and limitations with
ageing, chronic obstructive pulmonary disease and congestive heart failure.
PMID- 24925925
TI - Detecting concerted demographic response across community assemblages using
hierarchical approximate Bayesian computation.
AB - Methods that integrate population-level sampling from multiple taxa into a single
community-level analysis are an essential addition to the comparative
phylogeographic toolkit. Detecting how species within communities have
demographically tracked each other in space and time is important for
understanding the effects of future climate and landscape changes and the
resulting acceleration of extinctions, biological invasions, and potential surges
in adaptive evolution. Here, we present a statistical framework for such an
analysis based on hierarchical approximate Bayesian computation (hABC) with the
goal of detecting concerted demographic histories across an ecological
assemblage. Our method combines population genetic data sets from multiple taxa
into a single analysis to estimate: 1) the proportion of a community sample that
demographically expanded in a temporally clustered pulse and 2) when the pulse
occurred. To validate the accuracy and utility of this new approach, we use
simulation cross-validation experiments and subsequently analyze an empirical
data set of 32 avian populations from Australia that are hypothesized to have
expanded from smaller refugia populations in the late Pleistocene. The method can
accommodate data set heterogeneity such as variability in effective population
size, mutation rates, and sample sizes across species and exploits the
statistical strength from the simultaneous analysis of multiple species. This
hABC framework used in a multitaxa demographic context can increase our
understanding of the impact of historical climate change by determining what
proportion of the community responded in concert or independently and can be used
with a wide variety of comparative phylogeographic data sets as biota-wide DNA
barcoding data sets accumulate.
PMID- 24925919
TI - Integrated care pathways for airway diseases (AIRWAYS-ICPs).
AB - The objective of Integrated Care Pathways for Airway Diseases (AIRWAYS-ICPs) is
to launch a collaboration to develop multi-sectoral care pathways for chronic
respiratory diseases in European countries and regions. AIRWAYS-ICPs has
strategic relevance to the European Union Health Strategy and will add value to
existing public health knowledge by: 1) proposing a common framework of care
pathways for chronic respiratory diseases, which will facilitate comparability
and trans-national initiatives; 2) informing cost-effective policy development,
strengthening in particular those on smoking and environmental exposure; 3)
aiding risk stratification in chronic disease patients, using a common strategy;
4) having a significant impact on the health of citizens in the short term
(reduction of morbidity, improvement of education in children and of work in
adults) and in the long-term (healthy ageing); 5) proposing a common simulation
tool to assist physicians; and 6) ultimately reducing the healthcare burden
(emergency visits, avoidable hospitalisations, disability and costs) while
improving quality of life. In the longer term, the incidence of disease may be
reduced by innovative prevention strategies. AIRWAYSICPs was initiated by Area 5
of the Action Plan B3 of the European Innovation Partnership on Active and
Healthy Ageing. All stakeholders are involved (health and social care, patients,
and policy makers).
PMID- 24925927
TI - Women's experiences of polycystic ovary syndrome diagnosis.
AB - BACKGROUND: Polycystic ovary syndrome (PCOS) is a common and complex endocrine
condition affecting women across the lifespan. Diagnosis experience may impact on
physical and emotional well-being and engagement with evidence-based management
and treatment. OBJECTIVE: To explore the perceived experience of PCOS diagnosis,
prior to development of an evidence-based guideline for PCOS assessment and
management. METHODS: Cross-sectional study, involving devised questionnaires
completed by a national, community-based sample of 210 women with a previous
medical diagnosis of PCOS, aged 18-45 years, in Australia. Main outcome measures
included time to diagnosis, number of health professionals seen and information
provision. RESULTS: Mean age (+/- standard deviation) was 31 (+/-5.8) years and
median body mass index (interquartile range) was 30 (12) kg/m(2). For 24% of
women, PCOS diagnosis took >2 years and 39% saw three or more health
professionals before diagnosis was made. The majority (60%) reported they were
not given or referred to information sources at time of diagnosis, 20% reported
receiving information and 20% were given information but felt it was inadequate.
Of those who reported provision of information at diagnosis, 62% felt
dissatisfied with or indifferent to information provided about PCOS, 79% reported
being provided with information about lifestyle management, 89% reported being
provided with information about medical therapy, 83% about long-term
complications and 95% about potential infertility. CONCLUSIONS: PCOS diagnosis
experience can be lengthy, involve many health professionals and leave unmet
information needs. The current findings inform the need for evidence-based PCOS
resources for women and health professionals.
PMID- 24925926
TI - Genome-wide transcript profiling reveals the coevolution of plastid gene
sequences and transcript processing pathways in the fucoxanthin dinoflagellate
Karlodinium veneficum.
AB - Plastids utilize a complex gene expression machinery, which has coevolved with
the underlying genome sequence. Relatively, little is known about the genome-wide
evolution of transcript processing in algal plastids that have undergone complex
endosymbiotic events. We present the first genome-wide study of transcript
processing in a plastid acquired through serial endosymbiosis, in the fucoxanthin
containing dinoflagellate Karlodinium veneficum. The fucoxanthin dinoflagellate
plastid has an extremely divergent genome and utilizes two unusual transcript
processing pathways, 3'-poly(U) tail addition and sequence editing, which were
acquired following the serial endosymbiosis event. We demonstrate that poly(U)
addition and sequence editing are widespread features across the Karl. veneficum
plastid transcriptome, whereas other dinoflagellate plastid lineages that have
arisen through independent serial endosymbiosis events do not utilize either RNA
processing pathway. These pathways constrain the effects of divergent sequence
evolution in fucoxanthin plastids, for example by correcting mutations in the
genomic sequence that would otherwise be deleterious, and are specifically
associated with transcripts that encode functional plastid proteins over
transcripts of recently generated pseudogenes. These pathways may have
additionally facilitated divergent evolution within the Karl. veneficum plastid.
Transcript editing, for example, has contributed to the evolution of a novel C
terminal sequence extension on the Karl. veneficum AtpA protein. We furthermore
provide the first complete sequence of an episomal minicircle in a fucoxanthin
dinoflagellate plastid, which contains the dnaK gene, and gives rise to
polyuridylylated and edited transcripts. Our results indicate that RNA processing
in fucoxanthin dinoflagellate plastids is evolutionarily dynamic, coevolving with
the underlying genome sequence.
PMID- 24925928
TI - Profile of French general practitioners providing opportunistic primary
preventive care--an observational cross-sectional multicentre study.
AB - BACKGROUND: Preventive services provided opportunistically by GPs are
insufficient. Reasons are most often gathered through GPs' self-reports, rather
than through independent observation. OBJECTIVE: To assess with passive
observers, the degree to which French GPs opportunistically perform primary
preventive care during routine consultation. METHODS: Observational cross
sectional multicentre ancillary study of the French ECOGEN study. The study
period extended from 28 November 2011 to 30 April 2012. The inclusion criteria
were patients seen by GPs at surgery and home consultations in non-randomized pre
determined half-day blocks per week. The non-inclusion criteria were patient's
refusal and consultations initially focused on primary prevention in response to
patient's request (ancillary study's specific criterion). Using passive
observers, data were collected based on the second version of International
Classification of Primary Care. Preventive consultations were defined if at least
one problem/diagnosis was considered by consensus as definitely related to
primary prevention. For each one of the 128 participating GPs, aggregation of
data was performed from all his/her consultations. Determinants of the proportion
of preventive consultations per GP were assessed by multivariate linear
regression. RESULTS: Considering 19003 consultations, the median proportion of
preventive consultations per GP was 14.9% (range: 0-78.3%). It decreased with
increased proportion of patients aged 18 or less (P = 0.006), with increased
proportion of home visits (P = 0.008) and with increased proportion of
consultations lasting under 10 minutes (P = 0.02). None of the GPs' personal
characteristics were significantly associated. CONCLUSION: Primary preventive
care activity was related to the characteristics of GPs' patients and practice
organizational markers and not to GPs' personal characteristics.
PMID- 24925929
TI - Balancing the risks and benefits of parenteral nutrition for preterm infants: can
we define the optimal composition?
AB - Nutrient intakes in preterm infants are frequently inadequate and are associated
with worse neuro-developmental outcome. Preterm infants take time to establish
enteral intakes, and parenteral nutrition (PN) is now an integral component of
care. Despite this, the evidence base for PN intakes is extremely limited. There
remains uncertainty over safe initial and maximum amounts of macronutrients, and
the optimal amino acid and lipid composition. Studies have tended to focus on
short-term growth measures and there are few studies with long-term follow-up.
There may be a tradeoff between improving cognitive outcomes while minimising
metabolic harm that means determining the optimal regimen will require long-term
follow-up. Given the importance of appropriate nutrition for long-term metabolic
and cognitive health, and the associated healthcare costs, optimising the
composition of PN deserves to be seen as a research priority in neonatal
medicine.
PMID- 24925930
TI - The family physician of the future.
PMID- 24925932
TI - Family physicians can champion sport-related concussion management: it's about
time.
PMID- 24925933
TI - Importance of education in managing type 2 diabetes during Ramadan.
PMID- 24925934
TI - Pandemic of idiopathic multimorbidity.
PMID- 24925937
TI - NACI update on pertussis vaccination in pregnancy.
PMID- 24925938
TI - Response.
PMID- 24925939
TI - Response to letters about vitamin B12.
PMID- 24925940
TI - Powerful tool with manageable risks.
PMID- 24925941
TI - Office management of mild head injury in children and adolescents.
AB - OBJECTIVE: To provide family physicians with updated, practical, evidence-based
information about mild head injury (MHI) and concussion in the pediatric
population. SOURCES OF INFORMATION: MEDLINE (1950 to February 2013), the Cochrane
Database of Systematic Reviews (2005 to 2013), the Cochrane Central Register of
Controlled Trials (2005 to 2013), and DARE (2005 to 2013) were searched using
terms relevant to concussion and head trauma. Guidelines, position statements,
articles, and original research relevant to MHI were selected. MAIN MESSAGE:
Trauma is the main cause of death in children older than 1 year of age, and
within this group head trauma is the leading cause of disability and death. Nine
percent of reported athletic injuries in high school students involve MHI. Family
physicians need to take a focused history, perform physical and neurologic
examinations, use standardized evaluation instruments (Glasgow Coma Scale; the
Sport Concussion Assessment Tool, version 3; the child version of the Sport
Concussion Assessment Tool; and the Balance Error Scoring System), instruct
parents how to monitor their children, decide when caregivers are not an
appropriately responsible resource, follow up with patients promptly, guide a
safe return to play and to learning, and decide when neuropsychological testing
for longer-term follow-up is required. CONCLUSION: A thorough history, physical
and neurologic assessment, the use of validated tools to provide an objective
framework, and periodic follow-up are the basis of family physician management of
pediatric MHI.
PMID- 24925942
TI - Approach to patients with unexplained multimorbidity with sensitivities.
AB - OBJECTIVE: To explore the underlying causation of unexplained multimorbidity with
sensitivities and to discuss the management of patients who present with this
perplexing condition. SOURCES OF INFORMATION: Medical and scientific literature
was used from MEDLINE (PubMed), several books, toxicology and allergy journals,
conference proceedings, government publications, and environmental health
periodicals. MAIN MESSAGE: Multimorbidity with sensitivities has become an
increasingly common and confusing primary care dilemma. Escalating numbers of
debilitated individuals are now presenting to family physicians and specialists
with multisystem health complaints, including sensitivities and fatigue, with no
obvious causation, a paucity of laboratory findings, and a lack of
straightforward solutions. In the recent scientific literature, there is
discussion of sensitivity-related illness, an immune-mediated disorder that
frequently manifests with multisystem symptoms, commonly including sensitivities
and fatigue. This condition appears to be originally caused by adverse
environmental exposures and toxicant bioaccumulation-an increasingly prevalent
and well-documented problem in contemporary culture. CONCLUSION: Various toxic
exposures and their bioaccumulation within the body frequently manifest as
sensitivity-related illness. In clinical settings, patients with this disorder
often present with otherwise unexplained multimorbidity and sensitivities. The
health status of patients with this condition can be ameliorated by removing
triggers (eg, scented products), optimizing biochemistry, removing further
sources of toxicant exposures, and eliminating the internal dose of persistent
toxicants.
PMID- 24925943
TI - Using nitrofurantoin while breastfeeding a newborn.
AB - QUESTION: My patient has a urinary tract infection and is currently
breastfeeding. Her son is only 3 weeks old. Is nitrofurantoin a safe antibiotic
for treatment? ANSWER: The use of nitrofurantoin in breastfeeding mothers is
generally safe, as only small amounts transfer into the breast milk. Despite the
lack of documented reports, there is a risk of hemolytic anemia in all newborns
exposed to nitrofurantoin owing to their glutathione instability, especially in
infants with glucose-6-phosphate dehydrogenase deficiency. Although some suggest
that nitrofurantoin be avoided in infants younger than 1 month, studies have
noted that glutathione stability might be established by the eighth day of life.
In infants younger than 1 month, an alternative antibiotic might be preferred;
however, if an alternative were not available, the use of nitrofurantoin would
not be a reason to avoid breastfeeding. In any such case the suckling infant
should be monitored by his or her physician.
PMID- 24925944
TI - Treating to target: ready, fire, aim.
PMID- 24925945
TI - Final days at home.
PMID- 24925946
TI - Chronic urticaria as the first sign of sarcoidosis.
PMID- 24925947
TI - Do family physicians, emergency department physicians, and pediatricians give
consistent sport-related concussion management advice?
AB - OBJECTIVE: To identify differences and gaps in recommendations to patients for
the management of sport-related concussion among FPs, emergency department
physicians (EDPs), and pediatricians. DESIGN: A self-administered, multiple
choice survey was e-mailed to FPs, EDPs, and pediatricians. The survey had been
assessed for content validity. SETTING: Two community teaching hospitals in the
greater Toronto area in Ontario. PARTICIPANTS: Two hundred seventy physicians,
including FPs, EDPs, and pediatricians, were invited to participate. MAIN OUTCOME
MEASURES: Identification of sources of concussion management information,
usefulness of concussion diagnosis strategies, and whether physicians use common
terminology when explaining cognitive rest strategies to patients after sport
related concussions. RESULTS: The response rate was 43.7%. Surveys were completed
by 70 FPs, 23 EDPs, and 11 pediatricians. In total, 49% of FP, 52% of EDP, and
27% of pediatrician respondents reported no knowledge of any consensus statements
on concussion in sport, and 54% of FPs, 86% of EDPs, and 78% of pediatricians
never used the Sport Concussion Assessment Tool, version 2. Only 49% of FPs, 57%
of EDPs, and 36% of pediatricians always advised cognitive rest. CONCLUSION: This
study identified large gaps in the knowledge of concussion guidelines and
implementation of recommendations for treating patients with sport-related
concussions. Although some physicians recommended physical and cognitive rest, a
large proportion failed to consistently advise this strategy. Better knowledge
transfer efforts should target all 3 groups of physicians.
PMID- 24925948
TI - Victor Johnston, Murray Stalker, and the revival of general practice.
PMID- 24925949
TI - More than just the T-shirt: reflections from first-time participants in global
health service.
PMID- 24925950
TI - Nelab alingary MD.
PMID- 24925952
TI - W. Wayne Weston MD CCFP FCFP.
PMID- 24925954
TI - Transdisciplinary understandings and training on research: successfully building
research capacity in primary health care.
PMID- 24925955
TI - Research and Education Foundation: celebrating 20 years of success: Supporting
family medicine for a healthy Canada.
PMID- 24925957
TI - Looking back and moving forward.
PMID- 24925960
TI - Continuity in new models of care.
PMID- 24925965
TI - Premature return to play and return to learn after a sport-related concussion:
physician's chart review.
AB - OBJECTIVE: To determine what proportion of patients experience an exacerbation of
their symptoms as a result of premature return to play (RTP) and return to learn
(RTL) following sport-related concussions. DESIGN: Retrospective study of
electronic medical records from the office-based practice of one family and sport
medicine physician who had systematically provided recommendations for cognitive
and physical rest based on existing consensus recommendations. Two blinded
authors independently reviewed each chart, which included Sport Concussion
Assessment Tool (SCAT) and SCAT2 symptom self-report forms to determine whether
an athlete had returned to play or learn prematurely. If there was a discrepancy
between the 2 reviewers then a third author reviewed the charts. SETTING: A sport
medicine and family practice in Ontario. The physician assessed sport-related
concussions after self-referral or referral from other primary care physicians,
teams, and schools. PARTICIPANTS: A total of 170 charts of 159 patients were
assessed for sport-related concussion during a 5-year period (April 2006 to March
2011). All participants were students who were participating in sports at the
time of injury. There were 41 concussions in elementary students, 95 concussions
in high school students, and 34 concussions in college or university students.
MAIN OUTCOME MEASURES: Premature RTP and RTL were defined as chart records
documenting the recurrence or worsening of symptoms that accompanied the
patients' RTP or RTL. Measures were compared using the earliest available SCAT
forms and self-reporting. RESULTS: In 43.5% of concussion cases, the patient
returned to sport too soon and in 44.7% of concussion cases, the patient returned
to school too soon. Patients with a history of previous concussion required more
days of rest before being permitted to participate in any physical activity than
those patients without a previous history of concussion. Elementary school
students required fewer days of rest before being permitted to return to any
physical activity compared with high school students and college or university
students. CONCLUSION: Currently, physicians recommend restrictions on mental and
physical activity following sport-related concussion. This is done without clear
guidelines as to what cognitive rest entails for students. Further research is
required to determine how to implement a management plan for student athletes to
facilitate complete recovery after concussion.
PMID- 24925966
TI - Educational role of nurse practitioners in a family practice centre: perspectives
of learners and nurses.
AB - OBJECTIVE: To examine the role of nurse practitioners (NPs) as educators of
family medicine residents in order to better understand the interprofessional
educational dynamics in a clinical teaching setting. DESIGN: A qualitative
descriptive approach, using purposive sampling. SETTING: A family practice centre
that is associated with an academic department of family medicine and is based in
an urban area in southern Ontario. PARTICIPANTS: First-year (8 of 9) and second
year (9 of 10) family medicine residents whose training program was based at the
family practice centre, and all NPs (4 of 4) who worked at the centre. METHODS:
Semistructured interviews were conducted, which were audiotaped and transcribed.
An iterative approach was used for coding and analysis. Data management software
guided organization and analysis of the data. MAIN FINDINGS: Four interconnected
themes were identified: role clarification, professional identity formation,
factors that enhance the educational role of NPs, and factors that limit the
educational role of NPs. Although residents recognized NPs' value in team
functioning and areas of specialized knowledge, they were unclear about NPs'
scope of practice. Depending on residents' level of training, residents tended to
respond differently to teaching by NPs. More of the senior residents believed
they needed to think like physicians and preferred clinical teaching from
physician teachers. Junior residents valued the step-by-step instructional
approach used by NPs, and they had a decreased sense of vulnerability when being
taught by NPs. Training in teaching skills was helpful for NPs. Barriers to
providing optimal education included opportunity, time, and physician attitudes.
CONCLUSION: The lack of an intentional orientation of family medicine residents
to NPs' scope of practice and educational role can lead to difficulties in
interprofessional education. More explicit recognition of the evolving
professional identity of family medicine residents might decrease resistance to
teaching by NPs and ensure that interprofessional teaching and learning
strategies are effective. Faculty development opportunities for all educators are
required to manage these issues, both to ensure teaching competencies and to
reinforce positive interprofessional collaboration.
PMID- 24925967
TI - Social isolation in older adults who are frequent users of primary care services.
AB - OBJECTIVE: To describe older adults who are frequent users of primary care
services and to explore associations between the number of primary care visits
per year and multiple dimensions that define social isolation. DESIGN: Mailed,
cross-sectional survey. SETTING: An urban academic primary care practice in
Kingston, Ont. PARTICIPANTS: Forty patients aged 70 years and older who attended
12 or more appointments in the previous year with residents, physicians, nurses,
nurse practitioners, or registered practical nurses. MAIN OUTCOME MEASURES:
Social isolation (size of close social network, loneliness, satisfaction with
social participation, frequency of social participation), past and future need
for health services related to social issues, and health and functional
variables. RESULTS: The participants reported relatively low levels of
loneliness, with a mean (SD) score of 4.1 (1.3) out of 9. Overall, 18.9% of
participants reported having a small close social network, 45.9% of participants
wanted to do more social activities, and 57.5% of participants were isolated
according to at least 1 indicator. Some participants (23.1%) had received primary
care services related to social issues, and most participants (54.5%) wanted
these services in the future, including receiving information about other health
services or community resources, or having discussions about loneliness,
relationships, or social activities. Number of primary care visits was not
associated with any of the 4 indicators of social isolation. CONCLUSION: Social
isolation in older, frequent users of primary care services might be more common
than previously thought, particularly the aspect of dissatisfaction with social
participation. Expanded primary care services and referrals to other services
might help to address this population's desires for services related to social
issues. Future research could examine the social needs of older primary care
attenders and the feasibility of providing related interventions in primary care
settings.
PMID- 24925969
TI - Stromal cell-derived factor-1alpha/C-X-C chemokine receptor type 4 axis promotes
endothelial cell barrier integrity via phosphoinositide 3-kinase and Rac1
activation.
AB - OBJECTIVE: Although stromal cell-derived factor (SDF)-1alphais well known to
modulate the mobilization of hematopoietic stem cells and endothelial progenitor
cells, its effects on some pre-existing vascular functions remain unknown. We
have investigated here the role of SDF-1alphasignaling in endothelial barrier
function. APPROACH AND RESULTS: Treatment with SDF-1alpha elevated
transendothelial electrical resistance and inhibited the dextran
hyperpermeability elicited by thrombin in bovine aortic endothelial cells, both
indicating an increase in endothelial barrier function. SDF-1alpha binds to 2
receptors, C-X-C chemokine receptor types 4 and 7 (CXCR4 and CXCR7). Pretreatment
with a CXCR4 antagonist or CXCR4 gene depletion by small interfering RNA (siRNA)
eliminated SDF-1alpha-induced endothelial barrier enhancement. In contrast, CXCR7
antagonist or CXCR7 gene depletion by siRNA did not influence SDF-1alpha-induced
barrier enhancement. Pretreatment with a Gi-protein inhibitor, a phosphoinositide
3-kinase (PI3K) inhibitor, or PI3K p110gammasubunit gene depletion by siRNA also
inhibited SDF-1alpha-induced barrier enhancement significantly. Western blot
analysis revealed that SDF-1alpha phosphorylated Akt(Ser473) in endothelial
cells, suggesting PI3K activation. Immunostaining showed that treatment with SDF
1alphaformed a cortical actin rim, which was accompanied by Rac1 activation. In
vivo, SDF-1alphainhibited croton oil-induced vascular leakage indexed by dye
extravasation, which is attenuated by a pretreatment with a CXCR4 antagonist.
CONCLUSIONS: We have identified SDF-1alpha as a novel suppressor of endothelial
permeability. Specifically, SDF-1alpha stimulates the CXCR4/PI3K/Rac1 signaling
pathway and the subsequent cytoskeletal rearrangement.
PMID- 24925971
TI - A common LPA null allele associates with lower lipoprotein(a) levels and coronary
artery disease risk.
AB - OBJECTIVE: Increased levels of lipoprotein(a) are a highly heritable risk factor
for coronary artery disease (CAD). The genetic determinants of lipoprotein(a)
levels are mainly because of genetic variation in the apolipoprotein(a) gene
(LPA). We have tested the association of a relatively common null allele of LPA
with lipoprotein(a) levels and CAD risk in a large case-control cohort. We have
also examined how null allele genotyping complements apolipoprotein(a) isoform
typing to refine the relationship between LPA isoform size and circulating
lipoprotein(a) levels. APPROACH AND RESULTS: The LPA null allele (rs41272114) was
genotyped in the PROCARDIS (Precocious Coronary Artery Disease) case-control
cohort (4073 CAD cases and 4225 controls). Lipoprotein(a) levels were measured in
909 CAD cases and 922 controls; apolipoprotein(a) isoform size was estimated
using sodium dodecyl sulfate-agarose gel electrophoresis and a high-throughput
quantitative polymerase chain reaction-based method. Null carriers are common
(null allele frequency, 3%) and have significantly lower circulating
lipoprotein(a) levels (P=2.1*10(-10)) and reduced CAD risk (odds ratio, 0.79
[0.66-0.97]; P=0.023) compared with noncarriers. An additive allelic model of
apolipoprotein(a) isoform size, refined by null allele genotype and quantitative
polymerase chain reaction values, showed a sigmoid relationship with
lipoprotein(a) levels, with baseline levels for longer isoform alleles and
progressively higher levels of lipoprotein(a) for shorter isoform alleles.
CONCLUSIONS: The LPA null allele (rs41272114) is associated with decreased
circulating lipoprotein(a) levels and decreased CAD risk. Incorporating
rs41272114 refined apolipoprotein(a) isoform size typing obtained by
immunoblotting and quantitative polymerase chain reaction. A joint genomic and
isoform analysis revealed details of the relationship between apolipoprotein(a)
isoform size and circulating lipoprotein(a) level consistent with a threshold
effect on lipoprotein secretion.
PMID- 24925970
TI - Phosphodiesterase type 4 blockade prevents platelet-mediated neutrophil
recruitment at the site of vascular injury.
AB - OBJECTIVE: Platelet-neutrophil interactions play a key role in cardiovascular
disease and inflammatory processes. Src family kinases mediate P-selectin
glycoprotein ligand-1-Mac-1 cross talk necessary for firm platelet-neutrophil
adhesion. Because Src family kinase activity can be regulated by cAMP-dependent
pathways, in this work, we evaluated the role of phosphodiesterases in the
signaling events that are required to sustain platelet-neutrophil interactions
and neutrophil recruitment at the site of vascular injury. APPROACH AND RESULTS:
In neutrophils exposed to P-selectin, selective phosphodiesterase 4 (PDE4)
inhibition prevented Src family kinase-mediated phosphorylation of the proline
rich tyrosine kinase 2 on Tyr579/Tyr580. The effects of PDE4 inhibition required
protein kinase A, likely through protein kinase A-mediated activation of COOH
terminal Src kinase, a major negative regulator of Src family kinases. PDE4, but
not other phosphodiesterase inhibitors, reduced platelet-neutrophil conjugates as
well as neutrophil firm adhesion on spread platelets under flow conditions. The
effect of PDE4 inhibition on neutrophil adhesion was primarily mediated by
downregulation of P-selectin-induced activation of Mac-1. In a murine model of
endovascular injury, selective inhibition of PDE4 significantly reduced
neutrophil recruitment at the site of vascular damage. CONCLUSIONS: This study
identifies PDE4 as a central node in the signaling network that mediates platelet
neutrophil adhesion and suggests that pharmacological inhibition of PDE4 may
represent a novel therapeutic avenue for the treatment of cardiovascular disease.
PMID- 24925972
TI - Receptor tyrosine kinases endocytosis in endothelium: biology and signaling.
AB - Receptor tyrosine kinases are involved in regulation of key processes in
endothelial biology, including proliferation, migration, and angiogenesis. It is
now generally accepted that receptor tyrosine kinase signaling occurs
intracellularly and on the plasma membrane, although many important details
remain to be worked out. Endocytosis and subsequent intracellular trafficking
spatiotemporally regulate receptor tyrosine kinase signaling, whereas signaling
endosomes provide a platform for the compartmentalization of signaling events.
This review summarizes recent advances in our understanding of endothelial
receptor tyrosine kinase endocytosis and signaling using vascular endothelial
growth factor receptor-2 as a paradigm.
PMID- 24925973
TI - Dietary intake of calcium and phosphorus and serum concentration in relation to
the risk of coronary artery calcification in asymptomatic adults.
AB - OBJECTIVE: The current data regarding the association between calcium and
phosphorus and cardiovascular disease are lacking. The aim of this study was to
explore whether dietary calcium and phosphorus intake and their serum levels are
associated with the prevalence of coronary artery calcification (CAC) using
cardiac computed tomography in asymptomatic participants without a history of
chronic kidney disease or cardiovascular disease. APPROACH AND RESULTS: A cross
sectional study was performed in 23 652 Korean participants (40.8+/-7.3 years,
male 83.5%) without chronic kidney disease (estimated glomerular filtration
rate>=60 mL/min per 1.73 m(2)) or clinically overt cardiovascular disease, who
underwent cardiac computed tomographic estimation of CAC scores as part of a
general health checkup in addition to completing a self-administered food
frequency questionnaire. We assessed the relationship of dietary calcium and
phosphorus intake and serum levels with CAC scores using both multivariate
adjusted Tobit models and multinomial logistic regression models. Neither dietary
calcium nor phosphorus intake was consistently associated with CAC scores.
However, the serum calcium, phosphorus, and calcium-phosphorus product levels
were significantly associated with the CAC score ratios. In multivariable
adjusted models, the CAC score ratios (95% confidence intervals) comparing the
highest quartiles of serum calcium, phosphorus, and calcium-phosphorus product
levels to the lowest quartiles were 1.89 (1.36-2.64), 3.33 (2.55-4.35), and 3.98
(3.00-5.28), respectively (P for trend <0.001). CONCLUSIONS: Elevated serum
levels of calcium, phosphorus, and calcium-phosphorus product, but not dietary
consumption, are associated with increased CAC scores. Our findings should be
explored in further research.
PMID- 24925974
TI - Lim domain binding 2: a key driver of transendothelial migration of leukocytes
and atherosclerosis.
AB - OBJECTIVE: Using a multi-tissue, genome-wide gene expression approach, we
recently identified a gene module linked to the extent of human atherosclerosis.
This atherosclerosis module was enriched with inherited risk for coronary and
carotid artery disease (CAD) and overlapped with genes in the transendothelial
migration of leukocyte (TEML) pathway. Among the atherosclerosis module genes,
the transcription cofactor Lim domain binding 2 (LDB2) was the most connected in
a CAD vascular wall regulatory gene network. Here, we used human genomics and
atherosclerosis-prone mice to evaluate the possible role of LDB2 in TEML and
atherosclerosis. APPROACH AND RESULTS: mRNA profiles generated from blood
macrophages in patients with CAD were used to infer transcription factor
regulatory gene networks; Ldlr(-/-)Apob(100/100) mice were used to study the
effects of Ldb2 deficiency on TEML activity and atherogenesis. LDB2 was the most
connected gene in a transcription factor regulatory network inferred from TEML
and atherosclerosis module genes in CAD macrophages. In Ldlr(-/-)Apob(100/100)
mice, loss of Ldb2 increased atherosclerotic lesion size ~2-fold and decreased
plaque stability. The exacerbated atherosclerosis was caused by increased TEML
activity, as demonstrated in air-pouch and retinal vasculature models in vivo, by
ex vivo perfusion of primary leukocytes, and by leukocyte migration in vitro. In
THP1 cells, migration was increased by overexpression and decreased by small
interfering RNA inhibition of LDB2. A functional LDB2 variant (rs10939673) was
associated with the risk and extent of CAD across several cohorts. CONCLUSIONS:
As a key driver of the TEML pathway in CAD macrophages, LDB2 is a novel candidate
to target CAD by inhibiting the overall activity of TEML.
PMID- 24925975
TI - Targeting glycoprotein VI and the immunoreceptor tyrosine-based activation motif
signaling pathway.
AB - Coronary artery thrombosis and ischemic stroke are often initiated by the
disruption of an atherosclerotic plaque and consequent intravascular platelet
activation. Thus, antiplatelet drugs are central in the treatment and prevention
of the initial, and subsequent, vascular events. However, novel pharmacological
targets for platelet inhibition remain an important goal of cardiovascular
research because of the negative effect of existing antiplatelet drugs on primary
hemostasis. One promising target is the platelet collagen receptor glycoprotein
VI. Blockade or antibody-mediated depletion of this receptor in circulating
platelets is beneficial in experimental models of thrombosis and thrombo
inflammatory diseases, such as stroke, without impairing hemostasis. In this
review, we summarize the importance of glycoprotein VI and (hem)immunoreceptor
tyrosine-based activation motif signaling in hemostasis, thrombosis, and thrombo
inflammatory processes and discuss the targeting strategies currently under
development for inhibiting glycoprotein VI and its signaling.
PMID- 24925976
TI - Nitric oxide prevents aortic neointimal hyperplasia by controlling macrophage
polarization.
AB - OBJECTIVE: Nitric oxide synthase 3 (NOS3) prevents neointima hyperplasia by still
unknown mechanisms. To demonstrate the significance of endothelial nitric oxide
in the polarization of infiltrated macrophages through the expression of matrix
metalloproteinase (MMP)-13 in neointima formation. APPROACH AND RESULTS: After
aortic endothelial denudation, NOS3 null mice show elevated neointima formation,
detecting increased mobilization of LSK (lineage-negative [Lin]-stem-cell antigen
1 [SCA1]+KIT+) progenitor cells, and high ratios of M1 (proinflammatory) to M2
(resolving) macrophages, accompanied by high expression of interleukin-5,
interleukin-6, MCP-1 (monocyte chemoattractant protein), VEGF (vascular
endothelial growth factor), GM-CSF (granulocyte-macrophage colony stimulating
factor), interleukin-1beta, and interferon-gamma. In conditional c-Myc knockout
mice, in which M2 polarization is defective, denuded aortas showed extensive wall
thickening as well. Conditioned medium from NOS3-deficient endothelium induced
extensive repolarization of M2 macrophages to an M1 phenotype, and vascular
smooth muscle cells proliferated and migrated faster in conditioned medium from
M1 macrophages. Among the different proteins participating in cell migration, MMP
13 was preferentially expressed by M1 macrophages. M1-mediated vascular smooth
muscle cell migration was inhibited when macrophages were isolated from MMP-13
deficient mice, whereas exogenous administration of MMP-13 to vascular smooth
muscle cell fully restored migration. Excess vessel wall thickening in mice
lacking NOS3 was partially reversed by simultaneous deletion of MMP-13,
indicating that NOS3 prevents neointimal hyperplasia by preventing MMP-13
activity. An excess of M1-polarized macrophages that coexpress MMP-13 was also
detected in human carotid samples from endarterectomized patients. CONCLUSIONS:
These findings indicate that at least M1 macrophage-mediated expression of MMP-13
in NOS3 null mice induces neointima formation after vascular injury, suggesting
that MMP-13 may represent a new promising target in vascular disease.
PMID- 24925977
TI - Proinflammatory phenotype of perivascular adipocytes.
AB - Perivascular adipose tissue (PVAT) directly abuts the lamina adventitia of
conduit arteries and actively communicates with the vessel wall to regulate
vascular function and inflammation. Mounting evidence suggests that the
biological activities of PVAT are governed by perivascular adipocytes, a unique
class of adipocyte with distinct molecular and phenotypic characteristics.
Perivascular adipocytes surrounding human coronary arteries (pericoronary
perivascular adipocytes) exhibit a reduced state of adipogenic differentiation
and a heightened proinflammatory state, secreting <=50-fold higher levels of the
proinflammatory cytokine monocyte chemoattractant peptide-1 compared with
adipocytes from other regional depots. Thus, perivascular adipocytes may
contribute to upregulated inflammation of PVAT observed in atherosclerotic human
blood vessels. However, perivascular adipocytes also secrete anti-inflammatory
molecules such as adiponectin, and elimination of PVAT in rodent models has been
shown to augment vascular disease, suggesting that some amount of PVAT is
required to maintain vascular homeostasis. Evidence in animal models and humans
suggests that inflammation of PVAT may be modulated by environmental factors,
such as high-fat diet and tobacco smoke, which are relevant to atherosclerosis.
These findings suggest that the inflammatory phenotype of PVAT is diverse
depending on species, anatomic location, and environmental factors and that these
differences are fundamentally important in determining a pathogenic versus
protective role of PVAT in vascular disease. Additional research into the
mechanisms that regulate the inflammatory balance of perivascular adipocytes may
yield new insight into, and treatment strategies for, cardiovascular disease.
PMID- 24925978
TI - Changing the paradigm for myoglobin: a novel link between lipids and myoglobin.
AB - Myoglobin (Mb) is an oxygen-binding muscular hemeprotein regulated via Ca(2+)
signaling pathways involving calcineurin (CN), with Mb increases attributed to
hypoxia, exercise, and nitric oxide. Here, we show a link between lipid
supplementation and increased Mb in skeletal muscle. C2C12 cells were cultured in
normoxia or hypoxia with glucose or 5% lipid. Mb assays revealed that lipid
cohorts had higher Mb than control cohorts in both normoxia and hypoxia, whereas
Mb Western blots showed lipid cohorts having higher Mb than control cohorts
exclusively under hypoxia. Normoxic cells were compared with soleus tissue from
normoxic rats fed high-fat diets; whereas tissue sample cohorts showed no
difference in CO-binding Mb, fat-fed rats showed increases in total Mb protein
(similar to hypoxic cells), suggesting increases in modified Mb. Moreover, Mb
increases did not parallel CN increases but did, however, parallel oxidative
stress marker augmentation. Addition of antioxidant prevented Mb increases in
lipid-supplemented normoxic cells and mitigated Mb increases in lipid
supplemented hypoxic cells, suggesting a pathway for Mb regulation through redox
signaling independent of CN.
PMID- 24925979
TI - Maximal exercise limitation in functionally overreached triathletes: role of
cardiac adrenergic stimulation.
AB - Functional overreaching (F-OR) induced by heavy load endurance training programs
has been associated with reduced heart rate values both at rest and during
exercise. Because this phenomenon may reflect an impairment of cardiac response,
this research was conducted to test this hypothesis. Thirty-five experienced male
triathletes were tested (11 control and 24 overload subjects) before overloading
(Pre), immediately after overloading (Mid), and after a 2-wk taper period (Post).
Physiological responses were assessed during an incremental cycling protocol to
volitional exhaustion, including catecholamines release, oxygen uptake (Vo2),
arteriovenous O2 difference, cardiac output (Q), and systolic (SBP) and diastolic
blood pressure (DBP). Twelve subjects of the overload group developed signs of F
OR at Mid (decreased performance with concomitant high perceived fatigue), while
12 others did not [acute fatigue group (AF)]. Vo2max was reduced only in F-OR
subjects at Mid. Lower Q and SBP values with greater arteriovenous O2 difference
were reported in F-OR subjects at all exercising intensities, while no
significant change was observed in the control and AF groups. A concomitant
decrease in epinephrine excretion was reported only in the F-OR group. All values
returned to baseline at Post. Following an overload endurance training program
leading to F-OR, the cardiac response to exhaustive exercise is transiently
impaired, possibly due to reduced epinephrine excretion. This finding is likely
to explain the complex process of underperformance syndrome experienced by F-OR
endurance athletes during heavy load programs.
PMID- 24925980
TI - Autonomic dysfunction affects dynamic cerebral autoregulation during Valsalva
maneuver: comparison between healthy and autonomic dysfunction subjects.
AB - The role of autonomic nervous system (ANS) in adapting cerebral blood flow (CBF)
to arterial blood pressure (ABP) fluctuations [cerebral autoregulation (CA)] is
still controversial. We aimed to study the repercussion of autonomic failure (AF)
on dynamic CA during the Valsalva maneuver (VM). Eight AF subjects with familial
amyloidotic polineuropahty (FAP) were compared with eight healthy controls. ABP
and CBF velocity (CBFV) were measured continuously with Finapres and transcranial
Doppler, respectively. Cerebrovascular response was evaluated by cerebrovascular
resistance index (CVRi), critical closing pressure (CrCP), and resistance-area
product (RAP) changes. Dynamic CA was derived from continuous estimates of
autoregulatory index (ARI) [ARI(t)]. During phase II of VM, FAP subjects showed a
more pronounced decrease in normalized CBFV (78 +/- 19 and 111 +/- 16%; P =
0.002), ABP (78 +/- 19 and 124 +/- 12%; P = 0.0003), and RAP (67 +/- 17 and 89 +/
17%; P = 0.019) compared with controls. CrCP and CVRi increased similarly in
both groups during strain. ARI(t) showed a biphasic variation in controls with
initial increase followed by a decrease during phase II but in FAP this response
was blunted (5.4 +/- 3.0 and 2.0 +/- 2.9; P = 0.033). Our data suggest that
dynamic cerebral autoregulatory response is a time-varying phenomena during VM
and that it is disturbed by autonomic dysfunction. This study also emphasizes the
fact that RAP + CrCP model allowed additional insights into understanding of
cerebral hemodynamics, showing a higher vasodilatory response expressed by RAP in
AF and an equal CrCP response in both groups during the increased intracranial
and intrathoracic pressure, while classical CVRi paradoxically suggests a
cerebral vasoconstriction.
PMID- 24925981
TI - Lung region and racing affect mechanical properties of equine pulmonary
microvasculature.
AB - Exercise-induced pulmonary hemorrhage is a performance-limiting condition of
racehorses associated with severe pathology, including small pulmonary vein
remodeling. Pathology is limited to caudodorsal (CD) lung. Mechanical properties
of equine pulmonary microvasculature have not been studied. We hypothesized that
regional differences in pulmonary artery and vein mechanical characteristics do
not exist in control animals, and that racing and venous remodeling impact
pulmonary vein mechanical properties in CD lung. Pulmonary arteries and veins
[range of internal diameters 207-386 +/- 67 MUm (mean +/- SD)] were harvested
from eight control and seven raced horses. With the use of wire myography, CD and
cranioventral (CV) vessels were stretched in 10-MUm increments. Peak wall tension
was plotted against changes in diameter (length). Length-tension data were
compared between vessel type, lung region, and horse status (control and raced).
Pulmonary veins are stiffer walled than arteries. CD pulmonary arteries are
stiffer than CV arteries, whereas CV veins are stiffer than CD veins. Racing is
associated with increased stiffness of CD pulmonary veins and, to a lesser
extent, CV arteries. For example, at 305 MUm, tension in raced and control CD
veins is 27.74 +/- 2.91 and 19.67 +/- 2.63 mN/mm (means +/- SE; P < 0.05,
Bonferroni's multiple-comparisons test after two-way ANOVA), and 16.12 +/- 2.04
and 15.07 +/- 2.47 mN/mm in raced and control CV arteries, respectively. This is
the first report of an effect of region and/or exercise on mechanical
characteristics of small pulmonary vessels. These findings may implicate
pulmonary vein remodeling in exercise-induced pulmonary hemorrhage pathogenesis.
PMID- 24925982
TI - Lung arginase expression and activity is increased in cystic fibrosis mouse
models.
AB - The activity of arginase is increased in airway secretions of patients with
cystic fibrosis (CF). Downstream products of arginase activity may contribute to
CF lung disease. We hypothesized that pulmonary arginase expression and activity
would be increased in mouse models of CF and disproportionally increased in CF
mice with Pseudomonas aeruginosa pneumonia. Expression of arginase isoforms in
lung tissue was quantified with reverse transcriptase-PCR in naive cystic
fibrosis transmembrane conductance regulator (Cftr)-deficient mice and beta
epithelial sodium channel-overexpressing [beta-ENaC-transgenic (Tg)] mice. An
isolated lung stable isotope perfusion model was used to measure arginase
activity in Cftr-deficient mice before and after intratracheal instillation of
Pseudomonas aeruginosa. The expression of arginase-2 in lung was increased in
adult Cftr-deficient animals and in newborn beta-ENaC-Tg. Arginase-1 lung
expression was normal in Cftr-deficient and in newborn beta-ENaC-Tg mice, but was
increased in beta-ENaC-Tg mice at age 1, 3, and 6 wk. Arginase activity was
significantly higher in lung (5.0 +/- 0.7 vs. 3.2 +/- 0.3 nmol.(-1).h(-1), P =
0.016) and airways (204.6 +/- 49.8 vs. 79.3 +/- 17.2 nmol.(-1).h(-1), P = 0.045)
of naive Cftr-deficient mice compared with sex-matched wild-type littermate
controls. Infection with Pseudomonas aeruginosa resulted in a far greater
increase in lung arginase activity in Cftr-deficient mice (10-fold) than in wild
type controls (6-fold) (P = 0.01). This is the first ex vivo characterization of
arginase expression and activity in CF mouse lung and airways. Our data show that
pulmonary arginase expression and activity is increased in CF mice, especially
with Pseudomonas aeruginosa infections.
PMID- 24925983
TI - Buprenorphine detection in urine using liquid chromatography-high-resolution mass
spectrometry: comparison with cloned enzyme donor immunoassay (ThermoFisher) and
homogeneous enzyme immunoassay (immunalysis).
AB - A sensitive liquid chromatographic-high-resolution mass spectrometric (LC-HR-MS)
assay for buprenorphine and its urinary metabolites has been developed that
requires minimal sample preparation. The results obtained have been compared with
those given by (i) cloned enzyme donor immunoassay (CEDIA) and (ii) homogeneous
enzyme immunoassay (HEIA) in the analysis of patient urines submitted for
buprenorphine analysis. Centrifuged urine (100 uL) was diluted with internal
standard solution (25 uL) + LC eluent (875 uL), and 50 uL of the prepared sample
were analyzed (Accucore Phenyl-Hexyl column). MS detection was in alternating
positive and negative mode using heated electrospray ionization (ThermoFisher Q
Exactive). Intra- and inter-assay accuracy and precision were 104-128 and <11%,
respectively, at 5 ug/L. Limits of detection were 1.3 ug/L (buprenorphine,
norbuprenorphine and buprenorphine glucuronide) and 2.5 ug/L (norbuprenorphine
glucuronide). Immunoassay sensitivity and selectivity were 97 and 100% (HEIA) and
99 and 84% (CEDIA), respectively, compared with LC-HR-MS. In 120 patient urines,
norbuprenorphine glucuronide was easily the most abundant analyte except when
adulteration with buprenorphine had occurred. The median immunoreactive
buprenorphine species present (unhydrolysed urine) were 7.5 and 13% for HEIA and
CEDIA, respectively. However, codeine, dihydrocodeine, morphine and morphine-3
glucuronide did not interfere in the HEIA assay.
PMID- 24925984
TI - Aripiprazole once-monthly for treatment of schizophrenia: double-blind,
randomised, non-inferiority study.
AB - BACKGROUND: Long-acting injectable formulations of antipsychotics are treatment
alternatives to oral agents. AIMS: To assess the efficacy of aripiprazole once
monthly compared with oral aripiprazole for maintenance treatment of
schizophrenia. METHOD: A 38-week, double-blind, active-controlled, non
inferiority study; randomisation (2:2:1) to aripiprazole once-monthly 400 mg,
oral aripiprazole (10-30 mg/day) or aripiprazole once-monthly 50 mg (a dose below
the therapeutic threshold for assay sensitivity). ( TRIAL REGISTRATION:
clinicaltrials.gov, NCT00706654.) RESULTS: A total of 1118 patients were
screened, and 662 responders to oral aripiprazole were randomised. Kaplan-Meier
estimated impending relapse rates at week 26 were 7.12% for aripiprazole once
monthly 400 mg and 7.76% for oral aripiprazole. This difference (-0.64%, 95% CI
5.26 to 3.99) excluded the predefined non-inferiority margin of 11.5%. Treatments
were superior to aripiprazole once-monthly 50 mg (21.80%, P < or = 0.001).
CONCLUSIONS: Aripiprazole once-monthly 400 mg was non-inferior to oral
aripiprazole, and the reduction in Kaplan-Meier estimated impending relapse rate
at week 26 was statistically significant v. aripiprazole once-monthly 50 mg.
PMID- 24925985
TI - Gains in employment status following antidepressant medication or cognitive
therapy for depression.
AB - BACKGROUND: Depression can adversely affect employment status. AIMS: To examine
whether there is a relative advantage of cognitive therapy or antidepressant
medication in improving employment status following treatment, using data from a
previously reported trial. METHOD: Random assignment to cognitive therapy (n =
48) or the selective serotonin reuptake inhibitor paroxetine (n = 93) for 4
months; treatment responders were followed for up to 24 months. Differential
effects of treatment on employment status were examined. RESULTS: At the end of
28 months, cognitive therapy led to higher rates of full-time employment (88.9%)
than did antidepressant medication among treatment responders (70.8%), chi(2) 1 =
5.78, P = 0.02, odds ratio (OR) = 5.66, 95% CI 1.16-27.69. In the shorter-term,
the main effect of treatment on employment status was not significant following
acute treatment (chi(2) 1 = 1.74, P = 0.19, OR = 1.77, 95% CI 0.75-4.17);
however, we observed a site*treatment interaction (chi(2) 1 = 6.87, P = 0.009)
whereby cognitive therapy led to a higher rate of full-time employment at one
site but not at the other. CONCLUSIONS: Cognitive therapy may produce greater
improvements in employment v. medication, particularly over the longer term.
PMID- 24925987
TI - Economic suicides in the Great Recession in Europe and North America.
AB - There has been a substantial rise in 'economic suicides' in the Great Recessions
afflicting Europe and North America. We estimate that the Great Recession is
associated with at least 10 000 additional economic suicides between 2008 and
2010. A critical question for policy and psychiatric practice is whether these
suicide rises are inevitable. Marked cross-national variations in suicides in the
recession offer one clue that they are potentially avoidable. Job loss, debt and
foreclosure increase risks of suicidal thinking. A range of interventions, from
upstream return-to-work programmes through to antidepressant prescriptions may
help mitigate suicide risk during economic downturn.
PMID- 24925988
TI - Time to speak up for research.
PMID- 24925986
TI - Effect of polygenic risk scores on depression in childhood trauma.
AB - BACKGROUND: Research on gene * environment interaction in major depressive
disorder (MDD) has thus far primarily focused on candidate genes, although
genetic effects are known to be polygenic. AIMS: To test whether the effect of
polygenic risk scores on MDD is moderated by childhood trauma. METHOD: The study
sample consisted of 1645 participants with a DSM-IV diagnosis of MDD and 340
screened controls from The Netherlands. Chronic or remitted episodes (severe MDD)
were present in 956 participants. The occurrence of childhood trauma was assessed
with the Childhood Trauma Interview and the polygenic risk scores were based on
genome-wide meta-analysis results from the Psychiatric Genomics Consortium.
RESULTS: The polygenic risk scores and childhood trauma independently affected
MDD risk, and evidence was found for interaction as departure from both
multiplicativity and additivity, indicating that the effect of polygenic risk
scores on depression is increased in the presence of childhood trauma. The
interaction effects were similar in predicting all MDD risk and severe MDD risk,
and explained a proportion of variation in MDD risk comparable to the polygenic
risk scores themselves. CONCLUSIONS: The interaction effect found between
polygenic risk scores and childhood trauma implies that (1) studies on direct
genetic effect on MDD gain power by focusing on individuals exposed to childhood
trauma, and that (2) individuals with both high polygenic risk scores and
exposure to childhood trauma are particularly at risk for developing MDD.
PMID- 24925993
TI - Remote sensing. Carbon-mapping satellite will monitor plants' faint glow.
PMID- 24925994
TI - Infectious diseases. Polio eradicators struggle to prevent the next outbreak.
PMID- 24925995
TI - Genetics. Racing for disaster?
PMID- 24925996
TI - Cell biology. STAP cells succumb to pressure.
PMID- 24925997
TI - Paleontology. Dinosaur metabolism neither hot nor cold, but just right.
PMID- 24925998
TI - South America. Legal highs make Uruguay a beacon for marijuana research.
PMID- 24925999
TI - Mission to MERS.
PMID- 24926000
TI - A touch of the random.
PMID- 24926001
TI - Quantum mechanics. The quantum nondemolition derby.
PMID- 24926002
TI - Molecular biology. Molecular basis of transcription pausing.
PMID- 24926003
TI - Oceans. A salty start to modern ocean circulation.
PMID- 24926004
TI - Epidemiology. It helps to be well connected.
PMID- 24926005
TI - Public health. Measuring the path toward malaria elimination.
PMID- 24926006
TI - Retrospective. Gary Becker (1930-2014).
PMID- 24926007
TI - Making waves about spreading weeds.
PMID- 24926008
TI - Making waves about spreading weeds--response.
PMID- 24926010
TI - Virology. Comment on "Specific and nonhepatotoxic degradation of nuclear
hepatitis B virus cccDNA".
AB - Lucifora et al. (Research Articles, 14 March 2014, p. 1221) report that the
hepatitis B virus (HBV) transcriptional template, a long-lived covalently closed
circular DNA (cccDNA) molecule, is degraded noncytolytically by agents that up
regulate APOBEC3A and 3B. If these results can be independently confirmed, they
would represent a critical first step toward development of a cure for the 400
million patients who are chronically infected by HBV.
PMID- 24926011
TI - Virology. Response to Comment on "Specific and nonhepatotoxic degradation of
nuclear hepatitis B virus cccDNA".
AB - Chisari et al. challenge our central conclusion that the hepatitis B virus (HBV)
persistent form, the covalently closed circular DNA (cccDNA), is degraded in a
noncytotoxic and specific fashion in the nucleus of infected hepatocytes.
Specificity of the assays used, exclusion of cell division or death, and activity
of APOBEC3 deaminases in the nucleus, however, were addressed in the paper.
PMID- 24926012
TI - Paleoceanography. Onset of Mediterranean outflow into the North Atlantic.
AB - Sediments cored along the southwestern Iberian margin during Integrated Ocean
Drilling Program Expedition 339 provide constraints on Mediterranean Outflow
Water (MOW) circulation patterns from the Pliocene epoch to the present day.
After the Strait of Gibraltar opened (5.33 million years ago), a limited volume
of MOW entered the Atlantic. Depositional hiatuses indicate erosion by bottom
currents related to higher volumes of MOW circulating into the North Atlantic,
beginning in the late Pliocene. The hiatuses coincide with regional tectonic
events and changes in global thermohaline circulation (THC). This suggests that
MOW influenced Atlantic Meridional Overturning Circulation (AMOC), THC, and
climatic shifts by contributing a component of warm, saline water to northern
latitudes while in turn being influenced by plate tectonics.
PMID- 24926014
TI - Quantum nonlocality. Detecting nonlocality in many-body quantum states.
AB - Intensive studies of entanglement properties have proven essential for our
understanding of quantum many-body systems. In contrast, much less is known about
the role of quantum nonlocality in these systems because the available
multipartite Bell inequalities involve correlations among many particles, which
are difficult to access experimentally. We constructed multipartite Bell
inequalities that involve only two-body correlations and show how they reveal the
nonlocality in many-body systems relevant for nuclear and atomic physics. Our
inequalities are violated by any number of parties and can be tested by measuring
total spin components, opening the way to the experimental detection of many-body
nonlocality, for instance with atomic ensembles.
PMID- 24926013
TI - Neuronal repair. Asynchronous therapy restores motor control by rewiring of the
rat corticospinal tract after stroke.
AB - The brain exhibits limited capacity for spontaneous restoration of lost motor
functions after stroke. Rehabilitation is the prevailing clinical approach to
augment functional recovery, but the scientific basis is poorly understood. Here,
we show nearly full recovery of skilled forelimb functions in rats with large
strokes when a growth-promoting immunotherapy against a neurite growth-inhibitory
protein was applied to boost the sprouting of new fibers, before stabilizing the
newly formed circuits by intensive training. In contrast, early high-intensity
training during the growth phase destroyed the effect and led to aberrant fiber
patterns. Pharmacogenetic experiments identified a subset of corticospinal fibers
originating in the intact half of the forebrain, side-switching in the spinal
cord to newly innervate the impaired limb and restore skilled motor function.
PMID- 24926015
TI - Quantum gases. Observation of many-body dynamics in long-range tunneling after a
quantum quench.
AB - Quantum tunneling is at the heart of many low-temperature phenomena. In strongly
correlated lattice systems, tunneling is responsible for inducing effective
interactions, and long-range tunneling substantially alters many-body properties
in and out of equilibrium. We observe resonantly enhanced long-range quantum
tunneling in one-dimensional Mott-insulating Hubbard chains that are suddenly
quenched into a tilted configuration. Higher-order tunneling processes over up to
five lattice sites are observed as resonances in the number of doubly occupied
sites when the tilt per site is tuned to integer fractions of the Mott gap. This
forms a basis for a controlled study of many-body dynamics driven by higher-order
tunneling and demonstrates that when some degrees of freedom are frozen out,
phenomena that are driven by small-amplitude tunneling terms can still be
observed.
PMID- 24926016
TI - Earth's interior. Dehydration melting at the top of the lower mantle.
AB - The high water storage capacity of minerals in Earth's mantle transition zone
(410- to 660-kilometer depth) implies the possibility of a deep H2O reservoir,
which could cause dehydration melting of vertically flowing mantle. We examined
the effects of downwelling from the transition zone into the lower mantle with
high-pressure laboratory experiments, numerical modeling, and seismic P-to-S
conversions recorded by a dense seismic array in North America. In experiments,
the transition of hydrous ringwoodite to perovskite and (Mg,Fe)O produces
intergranular melt. Detections of abrupt decreases in seismic velocity where
downwelling mantle is inferred are consistent with partial melt below 660
kilometers. These results suggest hydration of a large region of the transition
zone and that dehydration melting may act to trap H2O in the transition zone.
PMID- 24926017
TI - Dinosaur physiology. Evidence for mesothermy in dinosaurs.
AB - Were dinosaurs ectotherms or fast-metabolizing endotherms whose activities were
unconstrained by temperature? To date, some of the strongest evidence for
endothermy comes from the rapid growth rates derived from the analysis of fossil
bones. However, these studies are constrained by a lack of comparative data and
an appropriate energetic framework. Here we compile data on ontogenetic growth
for extant and fossil vertebrates, including all major dinosaur clades. Using a
metabolic scaling approach, we find that growth and metabolic rates follow
theoretical predictions across clades, although some groups deviate. Moreover,
when the effects of size and temperature are considered, dinosaur metabolic rates
were intermediate to those of endotherms and ectotherms and closest to those of
extant mesotherms. Our results suggest that the modern dichotomy of endothermic
versus ectothermic is overly simplistic.
PMID- 24926018
TI - Nonhuman genetics. Strong male bias drives germline mutation in chimpanzees.
AB - Germline mutation determines rates of molecular evolution, genetic diversity, and
fitness load. In humans, the average point mutation rate is 1.2 * 10(-8) per base
pair per generation, with every additional year of father's age contributing two
mutations across the genome and males contributing three to four times as many
mutations as females. To assess whether such patterns are shared with our closest
living relatives, we sequenced the genomes of a nine-member pedigree of Western
chimpanzees, Pan troglodytes verus. Our results indicate a mutation rate of 1.2 *
10(-8) per base pair per generation, but a male contribution seven to eight times
that of females and a paternal age effect of three mutations per year of father's
age. Thus, mutation rates and patterns differ between closely related species.
PMID- 24926020
TI - Interactions between RNA polymerase and the "core recognition element" counteract
pausing.
AB - Transcription elongation is interrupted by sequences that inhibit nucleotide
addition and cause RNA polymerase (RNAP) to pause. Here, by use of native
elongating transcript sequencing (NET-seq) and a variant of NET-seq that enables
analysis of mutant RNAP derivatives in merodiploid cells (mNET-seq), we analyze
transcriptional pausing genome-wide in vivo in Escherichia coli. We identify a
consensus pause-inducing sequence element, G-10Y-1G(+1) (where -1 corresponds to
the position of the RNA 3' end). We demonstrate that sequence-specific
interactions between RNAP core enzyme and a core recognition element (CRE) that
stabilize transcription initiation complexes also occur in transcription
elongation complexes and facilitate pause read-through by stabilizing RNAP in a
posttranslocated register. Our findings identify key sequence determinants of
transcriptional pausing and establish that RNAP-CRE interactions modulate
pausing.
PMID- 24926021
TI - Disease ecology. Ecological and evolutionary effects of fragmentation on
infectious disease dynamics.
AB - Ecological theory predicts that disease incidence increases with increasing
density of host networks, yet evolutionary theory suggests that host resistance
increases accordingly. To test the combined effects of ecological and
evolutionary forces on host-pathogen systems, we analyzed the spatiotemporal
dynamics of a plant (Plantago lanceolata)-fungal pathogen (Podosphaera
plantaginis)relationship for 12 years in over 4000 host populations. Disease
prevalence at the metapopulation level was low, with high annual pathogen
extinction rates balanced by frequent (re-)colonizations. Highly connected host
populations experienced less pathogen colonization and higher pathogen extinction
rates than expected; a laboratory assay confirmed that this phenomenon was caused
by higher levels of disease resistance in highly connected host populations.
PMID- 24926019
TI - Human genetics. The genetics of Mexico recapitulates Native American substructure
and affects biomedical traits.
AB - Mexico harbors great cultural and ethnic diversity, yet fine-scale patterns of
human genome-wide variation from this region remain largely uncharacterized. We
studied genomic variation within Mexico from over 1000 individuals representing
20 indigenous and 11 mestizo populations. We found striking genetic
stratification among indigenous populations within Mexico at varying degrees of
geographic isolation. Some groups were as differentiated as Europeans are from
East Asians. Pre-Columbian genetic substructure is recapitulated in the
indigenous ancestry of admixed mestizo individuals across the country.
Furthermore, two independently phenotyped cohorts of Mexicans and Mexican
Americans showed a significant association between subcontinental ancestry and
lung function. Thus, accounting for fine-scale ancestry patterns is critical for
medical and population genetic studies within Mexico, in Mexican-descent
populations, and likely in many other populations worldwide.
PMID- 24926022
TI - Comparative behavior. Anxiety-like behavior in crayfish is controlled by
serotonin.
AB - Anxiety, a behavioral consequence of stress, has been characterized in humans and
some vertebrates, but not invertebrates. Here, we demonstrate that after exposure
to stress, crayfish sustainably avoided the aversive illuminated arms of an
aquatic plus-maze. This behavior was correlated with an increase in brain
serotonin and was abolished by the injection of the benzodiazepine anxiolytic
chlordiazepoxide. Serotonin injection into unstressed crayfish induced avoidance;
again, this effect was reversed by injection with chlordiazepoxide. Our results
demonstrate that crayfish exhibit a form of anxiety similar to that described in
vertebrates, suggesting the conservation of several underlying mechanisms during
evolution. Analyses of this ancestral behavior in a simple model reveal a new
route to understanding anxiety and may alter our conceptions of the emotional
status of invertebrates.
PMID- 24926023
TI - The fun of science.
PMID- 24926025
TI - Controlling the HIV epidemic with antiretrovirals: moving from consensus to
implementation.
AB - The second Controlling the HIV With Antiretrovirals evidence summit was held 22
24 September 2013, in London, England. This preface summarizes the summit's
background and key themes, and is an introduction to a series of articles written
by select summit faculty and featured in this supplement. In many respects, the
supplement can serve as a roadmap for how to move from general consensus around
to wider scale implementation of a comprehensive menu of interventions to control
the HIV epidemic.
PMID- 24926024
TI - Stem cell plasticity. Plasticity of epithelial stem cells in tissue regeneration.
AB - Tissues rely upon stem cells for homeostasis and repair. Recent studies show that
the fate and multilineage potential of epithelial stem cells can change depending
on whether a stem cell exists within its resident niche and responds to normal
tissue homeostasis, whether it is mobilized to repair a wound, or whether it is
taken from its niche and challenged to de novo tissue morphogenesis after
transplantation. In this Review, we discuss how different populations of
naturally lineage-restricted stem cells and committed progenitors can display
remarkable plasticity and reversibility and reacquire long-term self-renewing
capacities and multilineage differentiation potential during physiological and
regenerative conditions. We also discuss the implications of cellular plasticity
for regenerative medicine and for cancer.
PMID- 24926026
TI - A paradigm shift: focus on the HIV prevention continuum.
AB - The human immunodeficiency virus (HIV) prevention continuum is a framework that
illustrates the interconnectedness of each step in the spectrum of prevention
services, while emphasizing that all steps are needed to decrease HIV acquisition
and transmission. This continuum, similar to the HIV care continuum, begins with
HIV testing followed by linkage of HIV-uninfected persons to prevention services,
retention in such services, and adherence to prevention interventions with
repeated HIV testing to monitor for HIV acquisition. To advance the global goal
of zero new HIV infections, individuals must receive the entire continuum of
prevention services, and no partial credit can be given to achievement of one
step in isolation of all steps in the continuum.
PMID- 24926027
TI - Modeling scenarios for the end of AIDS.
AB - At the end of 2012, 3 decades after the human immunodeficiency virus (HIV) was
first identified, neither a cure nor a fully preventive vaccine was available.
Despite multiple efforts, the epidemic remains an exceptional public health
challenge. At the end of 2012, it was estimated that, globally, 35 million people
were living with HIV, 2.3 million had become newly infected, and 1.6 million had
died from AIDS-related causes. Despite substantial prevention efforts and
increases in the number of individuals on highly active antiretroviral therapy
(HAART), the epidemic burden continues to be high. Here, we provide a brief
overview of the epidemiology of HIV transmission, the work that has been done to
date regarding HIV modeling in different settings around the world, and how to
finance the response to the HIV epidemic. In addition, we suggest discussion
topics on how to move forward with the prevention agenda and highlight the role
of treatment as prevention (TasP) in curbing the epidemic.
PMID- 24926029
TI - Who pays and why? Costs, effectiveness, and feasibility of HIV treatment as
prevention.
AB - Treatment as prevention (TasP) has been added to the toolbox of human
immunodeficiency virus (HIV) prevention technologies, and countries are at
different stages of TasP deployment. In this article we review some of the cost
implications and summarize effectiveness data from different settings. Also, we
reflect on the affordability and feasibility of programmatic deployment as well
as the multiple challenges of maintaining service quality while HIV treatment
programs grow in size and complexity. We conclude that in low-resource settings,
TasP progress will be very incremental with progressively earlier treatment
initiation while working within the capacity and resource constraints of the
respective healthcare systems. In the long-term, feasibility will rely on
complementary interventions to reduce new HIV infections, such as male
circumcision, and on demand creation for early treatment uptake as well as
adherence. TasP holds potential for moving us closer to the global goal of ending
AIDS.
PMID- 24926028
TI - Addressing the Achilles' heel in the HIV care continuum for the success of a test
and-treat strategy to achieve an AIDS-free generation.
AB - Mathematical models and recent data from ecological, observational, and
experimental studies show that antiretroviral therapy (ART) is effective for both
treatment and prevention of HIV, validating the treatment as prevention (TasP)
approach. Data from a variety of settings, including resource-rich and -limited
sites, show that patient attrition occurs at each stage of the human
immunodeficiency virus (HIV) treatment cascade, starting with the percent unaware
of their HIV infection in a population and linkage to care after diagnosis,
assessment of ART readiness, receipt of ART, and finally long-term virologic
suppression. Therefore, in order to implement TasP, we must first define
practical and effective linkage to care, acceptability of treatment, and
adherence and retention monitoring strategies, as well as the cost-effectiveness
of such strategies. Ending this pandemic will require the combination of
political will, resources, and novel effective interventions that are not only
feasible and cost effective but also likely to be used in combination across
successive steps on the HIV treatment cascade.
PMID- 24926030
TI - Leveraging HIV treatment to end AIDS, stop new HIV infections, and avoid the cost
of inaction.
AB - We have the tools at our disposal to significantly bend AIDS-related morbidity
and mortality curves and reduce human immunodeficiency virus (HIV) incidence. It
is thus essential to redouble our efforts to reach the goal of placing 15 million
people on life-saving and -enhancing antiretroviral therapy (ART) by 2015. In
reaching this milestone, we can write a new chapter in the history of global
health, demonstrating that a robust, multidimensional response can succeed
against a complex pandemic that presents as many social and political challenges
as it does medical ones. This milestone is also critical to advance our ultimate
goal of ending AIDS by maximizing the therapeutic and preventive effects of ART,
which translates into a world in which AIDS-related deaths and new HIV infections
are exceedingly rare.
PMID- 24926031
TI - Bioethical challenges with HIV treatment as prevention.
AB - To best realize the opportunities afforded by treatment as prevention, important
ethical challenges must be addressed, including those related to acceptability,
safety, and effectiveness, as well as alternatives. Absent universal access to
quality antiretroviral treatment, safety, fairness, and allocation must also be
considered.
PMID- 24926032
TI - Treatment as prevention: arriving at community consensus.
AB - At a satellite meeting preceding the 2013 Controlling the HIV Epidemic With
Antiretrovirals evidence summit in London, England, a group of organizations and
advocates discussed and formulated the final draft of a document, the Community
Consensus Statement on the Use of Antiretroviral Therapy in Preventing HIV
Transmission, that succinctly outlines a set of principles that should be
followed in the provision of antiretroviral therapy to people with living with
human immunodeficiency virus (HIV) for the purposes of preventing HIV,
particularly as a public health measure. The satellite meeting's conclusions were
subsequently outlined in a presentation and panel discussion at the evidence
summit.
PMID- 24926034
TI - Antiretroviral chemoprophylaxis: state of evidence and the research agenda.
AB - Oral antiretroviral preexposure prophylaxis (PrEP) has been shown to decrease
human immunodeficiency virus (HIV) incidence in studies of men who have sex with
men, heterosexual men and women, and injecting drug users. One study of
pericoital tenofovir gel demonstrated that it reduced HIV incidence in South
African women. However, other studies of African women failed to demonstrate
protection with either oral tenofovir or tenofovir-emtricitabine, or daily
tenofovir gel. The magnitude of PrEP protection appears to be highly correlated
with medication adherence. New studies are evaluating whether different
antiretrovirals, including dapivirine, rilpivirine, maraviroc, and new integrase
inhibitors. Different formulations are also being evaluated, including gels,
films, vaginal rings, and injectable medication. Although PrEP efficacy has been
demonstrated, and several normative bodies (eg, the US Food and Drug
Administration) have approved PrEP for clinical use, uptake has been slow.
Reasons may include lack of sufficient provider and consumer education, residual
concerns about costs, potential long-term toxicities, and behavioral
disinhibition. Additional work is under way to determine how to best educate
consumers and providers about optimal adherence and to use PrEP in conjunction
with risk mitigation.
PMID- 24926033
TI - Biomedical prevention: state of the science.
AB - Preexposure prophylaxis (PrEP) and treatment as prevention (TasP) involve the use
of antiretroviral (ARV) drugs by human immunodeficiency virus (HIV)-negative and
positive individuals to reduce HIV acquisition and transmission, respectively.
Clinical science has delivered a consistently high effect size for TasP and a
range from 0%-73% reduction in incidence across placebo-controlled PrEP trials.
However, the quality of evidence for PrEP compares favorably with evidence for
postexposure prophylaxis (PEP). It is clear from treatment programs and PrEP
trials that daily adherence presents challenges to a large proportion of the
population. Although there are factors associated with inconsistent use (ie,
younger age), they do not assist clinicians at the point of care. There are
additional provider concerns about PrEP (covering cost of drug and delivery,
undermining condom promotion, and facilitating resistant strains) that have
delayed widespread acceptance. These issues need to be addressed in order to
realize the full public health potential of antiretrovirals.
PMID- 24926035
TI - Pharmacology lessons from chemoprophylaxis studies.
AB - Pharmacological studies in the context of preexposure prophylaxis (PrEP) for
human immunodeficiency virus (HIV) are fundamental to inform on different drug
pharmacokinetics, pharmacodynamics, and pharmacogenetics in view of the absence
of easily measurable surrogate markers of efficacy. Although the combination of
tenofovir and emtricitabine is the only PrEP agent that was studied and showed
efficacy in preventing HIV transmission, prospective randomized clinical trials
have reported varying efficacy due to poor adherence to the drug. Importantly,
this could be overcome by the introduction of long-acting injectable PrEP agents,
which may be administered monthly and ensure optimal and prolonged drug exposure
in HIV target tissues. Notably, clinical pharmacology studies play a central role
in interpreting drug concentration-responses and optimal drug exposure
achievement.
PMID- 24926036
TI - Adherence to preexposure prophylaxis: current, emerging, and anticipated bases of
evidence.
AB - Despite considerable discussion and debate about adherence to preexposure
prophylaxis (PrEP) for human immunodeficiency virus (HIV), scant data are
available that characterize patterns of adherence to open-label PrEP. The current
evidence base is instead dominated by research on adherence to placebo-controlled
investigational drug by way of drug detection in active-arm participants of large
randomized controlled trials (RCTs). Important differences between the context of
blinded RCTs and open-label use suggest caution when generalizing from study
product adherence to real-world PrEP use. Evidence specific to open-label PrEP
adherence is presently sparse but will expand rapidly over the next few years as
roll-out, demonstration projects, and more rigorous research collect and present
findings. The current evidence bases established cannot yet predict uptake,
adherence, or persistence with open-label effective PrEP. Emerging evidence
suggests that some cohorts could execute better adherence in open-label use vs
placebo-controlled research. Uptake of PrEP is presently slow in the United
States; whether this changes as grassroots and community efforts increase
awareness of PrEP as an effective HIV prevention option remains to be determined.
As recommended by multiple guidelines for PrEP use, all current demonstration
projects offer PrEP education and/or counseling. PrEP support approaches
generally fall into community-based, technology, monitoring, and integrated
sexual health promotion approaches. Developing and implementing research that
moves beyond simple correlates of either study product use or open-label PrEP
adherence toward more comprehensive models of sociobehavioral and socioecological
adherence determinants would greatly accelerate progress. Intervention research
is needed to identify effective models of support for open-label PrEP adherence.
PMID- 24926037
TI - Global response to HIV: treatment as prevention, or treatment for treatment?
AB - The concept of "treatment as prevention" has emerged as a means to curb the
global HIV epidemic. There is, however, still ongoing debate about the evidence
on when to start antiretroviral therapy in resource-poor settings. Critics have
brought forward multiple arguments against a "test and treat" approach, including
the potential burden of such a strategy on weak health systems and a presumed
lack of scientific support for individual patient benefit of early treatment
initiation. In this article, we highlight the societal and individual advantages
of treatment as prevention in resource-poor settings. We argue that the available
evidence renders the discussion on when to start antiretroviral therapy
unnecessary and that, instead, efforts should be aimed at offering treatment as
soon as possible.
PMID- 24926038
TI - A versatile model of open-fracture infection : a contaminated segmental rat femur
defect.
AB - OBJECTIVES: The purpose of this study was to refine an accepted contaminated rat
femur defect model to result in an infection rate of approximately 50%. This
threshold will allow examination of treatments aimed at reducing infection in
open fractures with less risk of type II error. METHODS: Defects were created in
the stablised femurs of anaethetised rats, contaminated with Staphylococcus
aureus and then debrided and irrigated six hours later. After 14 days, the bone
and implants were harvested for separate microbiological analysis. This basic
model was developed in several studies by varying the quantity of bacterial
inoculation, introducing various doses of systemic antibiotics with and without
local antibiotics. RESULTS: The bacterial inoculation associated with a 50%
infection rate was established as 1 * 10(2) colony forming units (CFU). With an
initial bacterial inoculum of 1 * 10(5) CFU, the dose of systemic antibiotics
associated with 50% infection was 5 mg/Kg of cafazolin injected sub-cutaneously
every 12 hours, starting at the time of the first debridment and continuing for
72 hours (seven doses). The systemic dose of cafazolin was lowered to 2 mg/Kg
when antibiotic polymethyl methacrylate beads were used concurrently with the
same amount of bacterial inoculation. CONCLUSION: This model of open fracture
infection has been further refined with potential for local and systemic
antibiotics. This is a versatile model and with the concepts presented herein, it
can be modified to evaluate various emerging therapies and concepts for open
fractures. Cite this article: Bone Joint Res 2014;3:187-92.
PMID- 24926039
TI - Processed and unprocessed red meat consumption and risk of heart failure:
prospective study of men.
AB - BACKGROUND: Epidemiological studies of red meat consumption in relation to risk
of heart failure (HF) are scarce. We examined the associations of unprocessed and
processed red meat consumption with HF incidence and mortality in men. METHODS
AND RESULTS: The population-based prospective Cohort of Swedish Men included 37
035 men, aged 45 to 79 years, with no history of HF, ischemic heart disease, or
cancer at baseline. Meat consumption was assessed with a self-administered
questionnaire in 1997. During a mean follow-up of 11.8 years, 2891 incidences and
266 deaths from HF were ascertained. Consumption of processed meat was
statistically significant positively associated with risk of HF in both age- and
multivariable-adjusted models. Men who consumed >=75 g/d processed meat compared
with those who consumed <25 g/d had a 1.28 (95% confidence interval, 1.10-1.48, P
trend=0.01) higher risk of HF incidence and 2.43 (95% confidence interval, 1.52
3.88, P trend<0.001) higher risk of HF mortality. The consumption of unprocessed
meat was not associated with increased risk of incidence of HF or mortality from
HF. CONCLUSIONS: Findings from this prospective study of men with low to moderate
red meat consumption indicate that processed red meat consumption, but not
unprocessed red meat, is associated with an increased risk of HF.
PMID- 24926040
TI - A peer-led, community-based rapid HIV testing intervention among untested men who
have sex with men in China: an operational model for expansion of HIV testing and
linkage to care.
AB - OBJECTIVES: To examine outcomes of a peer-led, community-based intervention
providing rapid HIV testing and case management for linkage to care for untested
men who have sex with men (MSM) in China. METHODS: Rapid HIV testing was
performed by trained peer volunteers of a community-based organisation (CBO) in
three cities of Jiangsu province at MSM-oriented venues. MSM screened positive
were referred and accompanied to local government health agencies (Centres for
Disease Control and Prevention (CDC)) for confirmatory HIV testing and provided
social support for up to 1 month. Data for the programme were compared with
sentinel surveillance surveys of MSM conducted by the national and provincial CDC
in the province during the same year to assess differences in the populations
reached, in HIV positivity, and linkage to HIV care. RESULTS: A total of 512
previously untested MSM were tested by the CBO programme in 6 months. Compared
with those in the surveillance surveys, MSM tested by the CBO were significantly
more likely to be younger, single, non-resident of the province, more educated
and used condoms less frequently. Higher proportions of HIV-positive MSM screened
by the CBO received their confirmatory test results (98.1% vs 72.6%, p<0.001) and
linked to care (90.4% vs 42.0%, p<0.001). CONCLUSIONS: Trained peers providing
rapid HIV testing with social support and case management through the early
period following diagnosis can efficiently expand HIV testing and improve linkage
to care among MSM in China.
PMID- 24926041
TI - Sex on demand: geosocial networking phone apps and risk of sexually transmitted
infections among a cross-sectional sample of men who have sex with men in Los
Angeles County.
AB - BACKGROUND: Geosocial networking applications (GSN apps) used for meeting sexual
partners have become increasingly popular with men who have sex with men (MSM)
since 2009. The current study aimed to determine if self-identified HIV-negative,
MSM clinic attendees who used GSN apps have an increased incidence of sexually
transmitted infections (STI) compared to self-identified HIV-negative, MSM
attendees who met sexual partners via in-person venues, such as bars or clubs or
through MSM-specific hook-up websites. METHODS: Data were collected between
August 2011 and January 2013 on all self-identified HIV-negative, MSM clients
visiting the L.A. Gay & Lesbian Center for STI screening. A total of 7184
individuals tested for STIs and self-reported behaviours on drug use and social
networking methods to meet sexual partners. Multivariate logistic regression
models were used to analyse the results. RESULTS: Individuals who used GSN apps
for meeting sexual partners had greater odds of testing positive for gonorrhoea
(OR: 1.25; 95% CI 1.06 to 1.48) and for chlamydia (OR: 1.37; 95% CI 1.13 to 1.65)
compared to individuals who met partners through in-person methods only. There
were no significant differences in syphilis and HIV incidence between those who
met partners via in-person venues only, on the internet or through GSN apps.
CONCLUSIONS: The present study concludes that sexual health clinic MSM attendees
who are meeting on GSN apps are at greater risk for gonorrhoea and chlamydia than
MSM attendees who meet in-person or on the internet. Future interventions should
explore the use of these novel technologies for testing promotion, prevention and
education.
PMID- 24926042
TI - Complete Genome Sequence of Bacillus cereus Sensu Lato Bacteriophage Bcp1.
AB - Bacillus cereus sensu lato organisms are an ecologically diverse group that
includes etiologic agents of food poisoning, periodontal disease, and anthrax.
The recently identified Bcp1 bacteriophage infects B. cereus sensu lato and is
being developed as a therapeutic decontamination agent and diagnostic
countermeasure. We announce the complete genome sequence of Bcp1.
PMID- 24926043
TI - Identification of a Novel HIV-1 Circulating Recombinant Form (CRF72_BF1) in Deep
Sequencing Data from Blood Donors in Southeastern Brazil.
AB - We report the identification of a novel HIV-1 circulating recombinant form
(CRF72_BF1) in deep sequencing data from peripheral blood mononuclear cells
(PBMC) of five blood donors in southeastern Brazil. Detection of this circulating
recombinant form (CRF) confirms the need for effective surveillance to monitor
the prevalence and distribution of HIV variants in a variety of settings in
Brazil.
PMID- 24926044
TI - Yersinia pseudotuberculosis ST42 (O:1) Strain Misidentified as Yersinia pestis by
Mass Spectrometry Analysis.
AB - We report here the draft sequence of strain CEB14_0017, alias HIAD_DUP, recovered
from a human patient and initially identified as Yersinia pestis by mass
spectrometry analysis. Genotyping based on tandem repeat polymorphism assigned
the strain to Yersinia pseudotuberculosis sequence type 42 (ST42). The total
assembly length is 4,894,739 bp.
PMID- 24926045
TI - Draft Genome Sequences of Two Clinical Isolates of Streptococcus mutans.
AB - We report the draft genome sequences of PKUSS-HG01 and PKUSS-LG01, two clinical
isolates of Streptococcus mutans from human dental plaque. The genomics
information will facilitate the study of the mechanisms of pathogenicity and
evolution of S. mutans.
PMID- 24926046
TI - Draft Genome Sequence of Insecticidal Streptomyces sp. Strain PCS3-D2, Isolated
from Mangrove Soil in Philippines.
AB - A draft genome sequence of a Streptomyces sp. isolated from mangrove soil in
Cebu, Philippines, is described here. This isolate produced compounds with
contact insecticidal activity against important corn pests. The genome contains
7,479,793 bp (in 27 scaffolds), 6,297 predicted genes, and 29 secondary
metabolite biosynthetic gene clusters.
PMID- 24926047
TI - Genome sequences of porcine epidemic diarrhea virus: in vivo and in vitro
phenotypes.
AB - Since the outbreak of porcine epidemic diarrhea virus (PEDV) in May 2013, U.S.
swine producers have lost almost five million baby pigs. In an attempt to
understand the evolution of PEDV in the United States and possibly develop a
control strategy, we compared the genome sequences of a PEDV strain isolated from
an infected piglet against its in vitro adapted version. The original PEDV strain
was grown in Vero cells and passed 10 times serially in a MARC145 cell line. The
sequence analysis of the native PEDV strain and in vitro passaged virus shows
that the cell culture adaptation specifically modifies PEDV spike protein whereas
the open reading frame 1a/b (ORF1a/b)-encoded polyprotein, the nucleoprotein,
NS3B (ORF3), and membrane and envelope proteins remain unchanged.
PMID- 24926048
TI - Complete Genome Sequence of Foot-and-Mouth Disease Virus Type A Circulating in
Bangladesh.
AB - The complete genome sequence of a foot-and-and mouth disease virus (FMDV) type A
strain (BAN/GA/Sa-197/2013), isolated from Gazipur in Bangladesh, revealed an 84
nucleotide insertion within the 5'-untranslated region (UTR), a lengthened
poly(C) tract, and amino acid substitutions at the VP1 region compared to the
available genome sequence of the vaccine strain (GenBank accession no. HM854025).
PMID- 24926049
TI - Genome Sequence of SCB34, a Sequence Type 131 Multidrug-Resistant Escherichia
coli Isolate Causing Neonatal Early-Onset Sepsis.
AB - SCB34 is a sequence type 131, highly invasive, multidrug-resistant Escherichia
coli isolate that produced neonatal bacteremia. Whole-genome sequencing was
performed using a 250-bp library on the Illumina MiSeq platform; 5,910,264 reads
were assembled de novo using the A5 assembly pipeline. The total contig length
was 5,227,742 bp; the RAST server was used for annotation.
PMID- 24926050
TI - Genome Sequence of the epsilon-Poly-l-Lysine-Producing Strain Streptomyces
albulus NK660, Isolated from Soil in Gutian, Fujian Province, China.
AB - We determined the complete genome sequence of a soil bacterium, Streptomyces
albulus NK660. It can produce epsilon-poly-l-lysine, which has antimicrobial
activity against a spectrum of microorganisms. The genome of S. albulus NK660
contains a 9,360,281-bp linear chromosome and a 12,120-bp linear plasmid.
PMID- 24926051
TI - Draft Genome Sequence of Photobacterium halotolerans S2753, Producer of Bioactive
Secondary Metabolites.
AB - We report here the whole draft genome sequence of marine isolate Photobacterium
halotolerans S2753, which produces the known antibiotic holomycin and also
ngercheumicins and solonamides A and B, which interfere with virulence of
methicillin-resistant Staphylococcus aureus strains by interacting with the
quorum-sensing system.
PMID- 24926052
TI - Draft Genome Sequence of a Rare Smut Relative, Tilletiaria anomala UBC 951.
AB - The draft genome sequence of the smut fungus Tilletiaria anomala UBC 951
(Basidiomycota, Ustilaginomycotina) is presented. The sequenced genome size is
18.7 Mb, consisting of 289 scaffolds and a total of 6,810 predicted genes. This
is the first genome sequence published for a fungus in the order
Georgefisheriales (Exobasidiomycetes).
PMID- 24926053
TI - Draft Genome Sequence of Colletotrichum sublineola, a Destructive Pathogen of
Cultivated Sorghum.
AB - Colletotrichum sublineola is a filamentous fungus that causes anthracnose disease
on sorghum. We report a draft whole-genome shotgun sequence and gene annotation
of the nuclear genome of this fungus using Illumina sequencing.
PMID- 24926054
TI - Draft Genome Sequences of Two Genetic Variant Strains of Edwardsiella piscicida,
JF1305 and RSB1309, Isolated from Olive Flounder (Paralichythys olivaceus) and
Red Sea Bream (Pagrus major) Cultured in Japan, Respectively.
AB - Edwardsiella piscicida is a new species discovered within the group of organisms
traditionally classified as Edwardsiella tarda. We present draft genome sequences
of two variant strains of E. piscicida, JF1305 and RSB1309. Differences in
protein-coding sequence between these isolates are associated with virulence,
disease, and defense, suggesting differences in pathogenicity.
PMID- 24926055
TI - Genome Sequence of Thermoanaerobaculum aquaticum MP-01T, the First Cultivated
Member of Acidobacteria Subdivision 23, Isolated from a Hot Spring.
AB - Thermoanaerobaculum aquaticum MP-01(T) is currently the only cultivated and
described member of Acidobacteria subdivision 23. Here, we report the genome
sequence for this novel microorganism that was isolated from a hot spring.
PMID- 24926056
TI - Draft Genome Sequence of Aeromonas hydrophila Strain Ae34, Isolated from a
Septicemic and Moribund Koi Carp (Cyprinus carpio koi), a Freshwater Aquarium
Fish.
AB - Aeromonas hydrophila is an important opportunistic pathogen that infects a
variety of aquatic and terrestrial animals, including humans. We report here the
draft genome sequence of A. hydrophila Ae34, a multidrug-resistant isolate from
the kidney of a moribund koi carp (Ciprinus carpio koi) with signs of hemorrhagic
septicemia.
PMID- 24926057
TI - Complete Genome Sequence of Amino Acid-Utilizing Eubacterium acidaminophilum al-2
(DSM 3953).
AB - Eubacterium acidaminophilum is a strictly anaerobic, Gram-positive, rod-shaped
bacterium which belongs to cluster XI of the Clostridia. It ferments amino acids
by a Stickland reaction. The genome harbors a chromosome (2.25 Mb) and a
megaplasmid (0.8 Mb). It contains several gene clusters coding for selenocysteine
containing, glycine-derived, and amino acid-degrading reductases.
PMID- 24926058
TI - Complete genome sequence of pronghorn virus, a pestivirus.
AB - The complete genome sequence of pronghorn virus, a member of the Pestivirus genus
of the family Flaviviridae, was determined here. The virus, originally isolated
from a pronghorn antelope, has a genome of 12,273 nucleotides, with a single open
reading frame of 11,694 bases encoding 3,897 amino acids.
PMID- 24926059
TI - Draft Genome Sequence of Stenotrophomonas maltophilia Strain M30, Isolated from a
Chronic Pressure Ulcer in an Elderly Patient.
AB - Stenotrophomonas maltophilia is an emerging opportunistic pathogen with an
increasing prevalence of multidrug-resistant strains. Here, we report the draft
genome sequence of S. maltophilia strain M30, isolated from a pressure ulcer in
an elderly patient.
PMID- 24926060
TI - Genome Sequence of Aeromonas taiwanensis LMG 24683T, a Clinical Wound Isolate
from Taiwan.
AB - Aeromonas taiwanensis was first described in 2010 on the basis of one clinical
wound isolate (strain LMG 24683(T) = A2-50(T) = CECT 7403(T)) from Taiwan. We
present here the genome sequence of A. taiwanensis LMG 24683(T), which carries
several genes encoding virulence determinants and Ambler class C and D beta
lactamases.
PMID- 24926061
TI - Draft Genome Sequences of Nine Enteropathogenic Escherichia coli Strains from
Kenya.
AB - We report here the draft genome sequences of nine enteropathogenic Escherichia
coli (EPEC) strains isolated from children in Kenya who died during
hospitalization with diarrhea. Each of the isolates possess the EPEC adherence
factor (EAF) plasmid encoding the bundle-forming pilus, which is characteristic
of EPEC. These isolates represent diverse serogroups and EPEC phylogenomic
lineages.
PMID- 24926062
TI - Genome Sequences of Strain ATCC 29281 and Pin and Northern Red Oak Isolates of
Lonsdalea quercina subsp. quercina.
AB - Two bacteria identified as Lonsdalea quercina subsp. quercina were isolated from
oak trees showing symptoms of drippy blight. Here, we present their draft genome
assemblies, as well as that of the type strain of this species. To our knowledge,
these are the first published genome sequences of this subspecies of Lonsdalea
quercina.
PMID- 24926063
TI - Genetic Characterization of HIV-1 Subtype D Near-Full-Length Proviral Genomes by
Illumina Massively Parallel Sequencing Technology.
AB - This study describes the near-full-length genome deep sequencing of two HIV-1
subtype D strains identified in blood donors in Rio de Janeiro, Brazil, in what
seems to have been a small restricted subtype D epidemic in the country.
PMID- 24926064
TI - Draft Genome Sequence of Bacillus pumilus 7P, Isolated from the Soil of the
Tatarstan Republic, Russia.
AB - Here, we present a draft genome sequence of Bacillus pumilus strain 7P. This
strain was isolated from soil as an extracellular RNase-producing microorganism.
The RNase of B. pumilus 7P is considered to be a potential antiviral and
therapeutic antitumor agent, and it might be appropriate for agriculture and
academic synthesis of oligoribonucleotides.
PMID- 24926065
TI - Paving the road to MRD-guided treatment in CLL.
PMID- 24926066
TI - An unsung runt 6e isoform for HSC expansion.
PMID- 24926067
TI - Symptom burden in hematologic malignancies.
PMID- 24926068
TI - Validation is a dish oft served cold.
PMID- 24926070
TI - In search of the source of factor VIII.
PMID- 24926071
TI - JAK inhibitors: a home run for GVHD patients?
PMID- 24926069
TI - Erythroid DAMPs drive inflammation in SCD.
PMID- 24926075
TI - Acupuncture for treatment of secondary osteoporosis in patients with spinal cord
injury: a controlled study.
AB - OBJECTIVE: We explored the effect of adjunctive acupuncture on secondary
osteoporosis in patients with spinal cord injury (SCI). METHODS: Patients with
subacute SCI were recruited and divided into two groups by patient choice: group
1 patients received standard combination therapy and group 2 patients received
combination therapy plus acupuncture for 3 months. The concentrations of IgG, IgM
and tumour necrosis factor alpha (TNFalpha) in serum and the bone mineral density
were measured before and after treatment. RESULT: The decrease in the
concentration of TNFalpha and IgM in patients in group 2 compared with those in
group 1 was statistically significant. The IgG level showed no significant change
in either group. Bone mineral density increased more after adjunctive
acupuncture, but the difference was not significant. CONCLUSIONS: Further
research is needed to determine whether acupuncture as an adjunct to combination
therapy can reduce osteoporosis in patients with subacute SCI. TRIAL REGISTRATION
NUMBER: P153-2008-36.
PMID- 24926077
TI - Team-based learning.
PMID- 24926076
TI - A critical role for the vascular endothelium in functional neurovascular coupling
in the brain.
AB - BACKGROUND: The functional modulation of blood flow in the brain is critical for
brain health and is the basis of contrast in functional magnetic resonance
imaging. There is evident coupling between increases in neuronal activity and
increases in local blood flow; however, many aspects of this neurovascular
coupling remain unexplained by current models. Based on the rapid dilation of
distant pial arteries during cortical functional hyperemia, we hypothesized that
endothelial signaling may play a key role in the long-range propagation of
vasodilation during functional hyperemia in the brain. Although well
characterized in the peripheral vasculature, endothelial involvement in
functional neurovascular coupling has not been demonstrated. METHODS AND RESULTS:
We combined in vivo exposed-cortex multispectral optical intrinsic signal imaging
(MS-OISI) with a novel in vivo implementation of the light-dye technique to
record the cortical hemodynamic response to somatosensory stimulus in rats before
and after spatially selective endothelial disruption. We demonstrate that
discrete interruption of endothelial signaling halts propagation of stimulus
evoked vasodilation in pial arteries, and that wide-field endothelial disruption
in pial arteries significantly attenuates the hemodynamic response to stimulus,
particularly the early, rapid increase and peak in hyperemia. CONCLUSIONS:
Involvement of endothelial pathways in functional neurovascular coupling provides
new explanations for the spatial and temporal features of the hemodynamic
response to stimulus and could explain previous results that were interpreted as
evidence for astrocyte-mediated control of functional hyperemia. Our results
unify many aspects of blood flow regulation in the brain and body and prompt new
investigation of direct links between systemic cardiovascular disease and neural
deficits.
PMID- 24926078
TI - Do not assume. Information flow and the electronic medical record.
PMID- 24926079
TI - Pathology consultation on prostate-specific antigen testing.
AB - OBJECTIVES: To provide clarity on the pros and cons of using prostate-specific
antigen (PSA) as a screening tool for prostate cancer. METHODS: Case scenarios
and a literature review of recently published clinical trial data are presented
to provide evidence of the controversy. RESULTS: PSA is a sensitive biomarker for
detecting diseases of the prostate, but it is limited in its ability to
distinguish cancerous from noncancerous conditions or aggressive from indolent
cancers and has resulted in a considerable amount of overdiagnosis and
overtreatment. CONCLUSIONS: The analytical methodology for total PSA testing is
both reliable and cost-effective, but patients should be encouraged to talk to
their providers to understand the benefits and harms associated with this
testing.
PMID- 24926080
TI - The effects of under 6 hours of formalin fixation on hormone receptor and HER2
expression in invasive breast cancer: a systematic review.
AB - OBJECTIVES: A systematic review of the literature was performed to identify
whether minimum formalin fixation time may be reduced for reliable
immunohistochemical assessment of estrogen receptor (ER), progesterone receptor
(PR), and human epidermal growth factor receptor 2 (HER2). METHODS: PubMed,
EMBASE, and the Cochrane Library were systematically searched for studies
addressing effects of brief tissue fixation (<6 hours) on the analysis of ER, PR,
or HER2 expression in patients with breast cancer. RESULTS: Five publications
reported effects of brief fixation on ER, PR, or HER2 expression. Four studies
showed similar receptor expression of short fixation compared with recommended
fixation time (6-72 hours). One publication found that a minimum fixation time of
6 to 8 hours is necessary for reliable ER results. CONCLUSIONS: Available data on
the effect of brief fixation on receptor status are limited. However, brief
fixation of very highly expressing breast cancers does not seem to alter ER, PR,
and HER2 status. Nevertheless, scoring inconsistencies have been observed.
Further research is required in larger study populations with more low-expressing
cases for future validation.
PMID- 24926081
TI - Team-based learning in a pathology residency training program.
AB - OBJECTIVES: Team-based learning (TBL) has been integrated into undergraduate and
medical education curricula in many institutions. However, TBL has not been
widely introduced into postgraduate medical education. Our study aimed to measure
the effect of TBL on promoting learning and teamwork in the setting of pathology
residency training. METHODS: Four TBL sessions were held and individual and group
readiness assurance tests (IRAT/GRATs) were performed; scores were compared using
Wilcoxon matched-pairs signed rank tests. Residents completed 18-item validated
team performance surveys measuring the quality of team interactions on a scale of
0 (none of the time) to 6 (all of the time). Mean and standard deviation were
calculated for each item. RESULTS: Scores on the IRAT vs GRAT were significantly
different (P < .05). The team performance survey received mean scores ranging
from 5.3 +/- 1.1 to 6.0 +/- 0.0. CONCLUSIONS: The use of TBL promotes teamwork
and learning in a pathology residency program. Residents scored higher on the
readiness assurance tests when working in teams, demonstrating the effectiveness
of team learning and achievement. In addition, the Accreditation Council for
Graduate Medical Education competencies of professionalism and interpersonal and
communication skills were further enhanced by incorporating TBL into pathology
residency training.
PMID- 24926083
TI - Laboratory turnaround times in response to an abrupt increase in specimen testing
after a natural disaster.
AB - OBJECTIVES: Understanding how key indicators change during extreme circumstances
could help laboratories maintain high standards when responding to disasters. We
assessed the effects of an earthquake on turnaround times (TATs) at a hospital
laboratory. METHODS: We examined TATs for 709,786 potassium tests and 196,795
urine cultures from February 2010 to January 2013. Hospital and community data
were evaluated separately and compared during the transport, registration
(accessioning), and analysis time phases. RESULTS: After the earthquake, the
laboratory undertook approximately 70% of the nonacute community specimen
testing. Initially, community transport times increased by 20 to 27 hours and
remained 2 to 3 hours above prequake levels. Registration time increased by 10 to
20 minutes (hospital) and 30 to 45 minutes (community) for a short period. During
the initial few months, community urine culture analysis time increased by more
than 50 hours. CONCLUSIONS: The increase in specimen numbers affected short- and
long-duration test TATs differently. Streamlining and automating processes
reduced registration and analysis times. Increased transport time was outside the
control of the laboratory.
PMID- 24926082
TI - Evaluation of anti-PLA2R1 as measured by a novel ELISA in patients with
idiopathic membranous nephropathy: a cohort study.
AB - OBJECTIVES: Autoantibodies against the M-type phospholipase A2 receptor 1 (anti
PLA2R1) have been demonstrated to be very specific for idiopathic membranous
nephropathy (MN). We studied a novel enzyme-linked immunosorbent assay (ELISA)
and compared results with results obtained using an indirect immunofluorescence
(IIF) and a Western blotting test (WB). METHODS: One-hundred nine patients with
idiopathic MN were recruited between November 1979 and March 2011. The control
cohort comprised serum samples from patients with secondary MN (n = 16) and
nephrotic controls (n = 17). The presence of anti-PLA2R1 in serum samples
obtained at the time of renal biopsy was determined using ELISA, IIF, and WB.
RESULTS: With similar specificity (>= 97%), sensitivity varied from 68% (IIF) to
72% (ELISA, WB). Remarkably, patients who were seronegative for anti-PLA2R1 more
often entered spontaneous remission (P = .038), whereas seropositive patients
were more frequently treated with immunosuppressive agents (P < .001).
CONCLUSIONS: ELISA performs excellently in differentiating idiopathic from
secondary MN. Furthermore, ELISA shared high agreement with WB and IIF.
PMID- 24926084
TI - Clinical performance of the BD Onclarity HPV assay using an adjudicated cohort of
BD SurePath liquid-based cytology specimens.
AB - OBJECTIVES: To compare the performance of the BD Onclarity HPV Assay (BD
Diagnostics, Sparks, MD) in BD SurePath liquid-based cytology media with that of
Hybrid Capture 2 (HC2, Qiagen, Germantown, MD) samples co-collected in specimen
transport medium in an adjudicated patient cohort. METHODS: The performance of
the BD Onclarity HPV Assay using BD SurePath media was compared with that of HC2
samples co-collected in specimen transport medium using 541 archived samples from
a multicenter US clinical trial with histologically adjudicated cervical biopsy
specimens. RESULTS: The sensitivity for cervical intraepithelial neoplasia (CIN)
2 positivity (n - 104) was 90.4% (95% confidence interval [CI], 83-95) and 93.3%
(95% CI, 87-97) and specificity was 76.9% (95% CI, 73-81) and 77.8% (95% CI, 74
82) for the BD assay and HC2, respectively. Nine cases of CIN 2+ had results
discordant with the high-risk HPV assay. All were found to have been correctly
classified with the BD assay using a novel WAVE denaturing high-performance
liquid chromatography double-stranded DNA sequencing method. CONCLUSIONS: The
clinical performance of The BD Onclarity HPV Assay with respect to histology end
points was similar to HC2. Moreover, discordant analysis revealed improved
performance of the BD assay with respect to ability to provide extended
genotyping information and lack of cross-reactivity with low-risk HPV types
associated with cellular abnormalities. The relative risks for CIN 3 disease for
HPV 31 and HPV 33/58 (combined) were comparable to that of HPV 18 in this
population, suggesting that these genotypes may warrant monitoring in future
studies.
PMID- 24926086
TI - Are amended surgical pathology reports getting to the correct responsible care
provider?
AB - OBJECTIVES: Amended reports (AmRs) need to follow patients to treating
physicians, to avoid erroneous management based on the original diagnosis. This
study was undertaken to determine if AmRs followed the patient appropriately.
METHODS: AmRs with diagnostic changes and discrepancies between ordering and
treating physicians were tracked. Chart reviews, electronic medical report (EMR)
reviews, and interviews were conducted to establish receipt of the AmR by the
correct physician. RESULTS: Seven of 60 AmRs had discrepancies between the
ordering and treating physicians, all with malignant diagnoses. The AmR was
present in the treating physician's chart in only one case. Ordering physicians
indicated that AmRs were not forwarded to treating physicians when corrected
results arrived after patient referral, under the assumption that the new
physician was automatically forwarded pathology updates. No harm was documented
in any of our cases. In one case with a significant amendment, the correct
information was entered in the patient chart based on a tumor board discussion. A
review of two electronic health record systems uncovered significant shortcomings
in each delivery system. CONCLUSIONS: AmRs fail to follow the patient's chain of
referrals to the correct care provider, and EMR systems lack the functionality to
address this failure and alert clinical teams of amendments.
PMID- 24926085
TI - APOA1 mRNA expression in ovarian serous carcinoma effusions is a marker of longer
survival.
AB - OBJECTIVES: We previously described the overexpression of APOA1 and GPX3 in
ovarian/peritoneal serous carcinoma compared with breast carcinoma effusions
using gene expression array analysis. The objective of the present study was to
validate this finding and to analyze the association between these genes and
clinicopathologic parameters, including survival, in advanced-stage ovarian
serous carcinoma. METHODS: APOA1 and GPX3 mRNA expression using quantitative
reverse transcriptase polymerase chain reaction (qRT-PCR) was analyzed in 121
effusions (101 ovarian, 20 breast carcinomas) and 85 solid ovarian carcinoma
specimens (43 primary carcinomas, 42 metastases). RESULTS: APOA1 and GPX3
transcript levels were significantly higher in ovarian carcinoma at all anatomic
sites compared with breast carcinoma effusions (P < .001). GPX3 mRNA levels were
significantly higher in primary carcinomas and solid metastases from patients who
received neoadjuvant chemotherapy compared with chemo-naive tumors (P = .016).
APOA1 and GPX3 mRNA levels in the entire effusion series were unrelated to
clinicopathologic parameters. However, higher APOA1 mRNA levels in primary
diagnosis pre-chemotherapy effusions were significantly related to better overall
survival (P = .045), a finding that retained its significance in Cox multivariate
analysis (P = .016). CONCLUSIONS: APOA1 and GPX3 mRNA levels on qRT-PCR
effectively differentiate ovarian from breast carcinoma. APOA1 may be a novel
prognostic marker in metastatic serous carcinoma.
PMID- 24926087
TI - Semiquantitative GATA-3 immunoreactivity in breast, bladder, gynecologic tract,
and other cytokeratin 7-positive carcinomas.
AB - OBJECTIVES: To evaluate GATA-3 immunohistochemical expression semiquantitatively
in breast, gynecologic, gastric, pancreatic-biliary tract, urothelial, and
vulvar/cervical squamous cell carcinomas. METHODS: GATA-3 expression was
evaluated by immunohistochemistry in 198 invasive breast carcinomas on tissue
microarrays. Tissue microarrays of other tissues included 144 gynecologic tumors,
28 bladder carcinomas, 63 cholangiocarcinomas, 20 pancreatic carcinomas, and 62
gastric carcinomas. Full tissue sections of 10 invasive squamous cell carcinomas
were also stained. GATA-3 expression was semiquantitatively scored using an H
score method. H-score greater than 10 was considered a positive result. RESULTS:
Of 186 breast carcinomas, 95% were positive (mean H-score of 217). GATA-3
expression was uncommon in 139 nonsquamous gynecologic tumors, with often weak
reactivity (mean H-score <50) seen in 18% of endocervical, 7% of endometrial, and
10% of ovarian tumors. Six (60%) of 10 squamous cell carcinomas expressed GATA-3
(mean H-score of 102). Of 22 urothelial carcinomas, 95% expressed GATA-3 (mean H
score of 170). A few cholangiocarcinomas (3%), pancreatic adenocarcinomas (10%),
and gastric carcinomas (2%) weakly expressed GATA-3 (mean H-score <50).
CONCLUSIONS: Strong GATA-3 expression is a reliable marker of primary breast
carcinoma in the appropriate clinical context. GATA-3 reactivity in around 70% of
triple-negative breast carcinomas is also clinically useful. Significant
reactivity in gynecologic squamous cell carcinomas suggests that GATA-3 alone
cannot reliably distinguish these tumors from urothelial carcinoma.
PMID- 24926088
TI - Risk factors for quantity not sufficient sweat collection in infants 3 months or
younger.
AB - OBJECTIVES: The purpose is to identify demographic characteristics associated
with a quantity not sufficient (QNS) sweat collection in infants 3 months or
younger. METHODS: History of premature birth, infant race and sex, gestational
age at delivery, and weight of the infant were compared with QNS collection.
RESULTS: Of 221 sweat collections from 197 infants, 25 were QNS. Infant weight
less than 3 kg and history of prematurity were associated with QNS collection (P
< .001). Thirteen (30.2%) of 43 infants weighing less than 3 kg had QNS
collections compared with 12 (7.9%) of 151 infants 3 kg or more. Twelve (46.2%)
premature infants had QNS collections compared with 13 (7.6%) term infants. Lower
birth gestational age and corrected gestational age were associated with QNS
collections. Six (86%) of seven infants who weighed less than 3 kg, had a history
of prematurity, and were more than 54 days old at testing had a QNS result. Sex
and race did not correlate with QNS collections. CONCLUSIONS: Weight less than 3
kg and history of prematurity are associated with an increased chance of QNS
sweat collections.
PMID- 24926089
TI - Relatively restricted migration of polyclonal IgG4 may mimic a monoclonal
gammopathy in IgG4-related disease.
AB - OBJECTIVES: IgG4-related disease (IgG4-RD) is an increasingly recognized syndrome
of unknown etiology that can affect a wide variety of organs. The commonly shared
features include tumor-like swelling of the involved organs, a lymphoplasmacytic
infiltrate enriched with polyclonal IgG4-positive plasma cells, variable degree
of fibrosis, and elevated serum concentrations of polyclonal IgG4. METHODS: In a
qualitative retrospective study, the electrophoretic characteristics of serum
from patients with increased polyclonal IgG4 were studied to see if a
reproducible pattern could be identified. RESULTS: We demonstrate that a
characteristic focal band bridging the beta and gamma fraction by serum protein
electrophoresis may be a first serologic indication for IgG4-RD. We further
demonstrate that significant kappa:lambda skewing can occur in the polyclonal
IgG4 fraction. CONCLUSIONS: The focal band detected by electrophoresis in sera
from patients with IgG4-RD can be confirmed as polyclonal by immunofixation or
immunosubtraction. Because these bands may be predominately of one light chain
isotype, they could be misinterpreted as monoclonal gammopathies.
PMID- 24926090
TI - Carbonic anhydrase IX (CAIX) does not differentiate between benign and malignant
mesothelium.
AB - OBJECTIVES: To examine carbonic anhydrase IX (CAIX), a marker of renal cell
carcinoma that recently has been described in malignant effusions. METHODS:
Pleural and peritoneal fluids with the following diagnoses-reactive (n = 23),
carcinoma (n = 17), and "suspicious for mesothelioma" (n = 4)-were immunostained
for CAIX, calretinin, Ber-EP4, and MOC31. A tissue microarray of epithelioid (n =
27) and sarcomatoid (n = 8) mesotheliomas and three cases of benign mesothelium
were also immunostained for CAIX. RESULTS: Mesothelial cells in both reactive
(18/23) and malignant effusions (18/21) were positive for CAIX (P > .05). In
carcinomatous effusions, CAIX expression was restricted to the mesothelial cells.
Agreement between CAIX and calretinin expression was present in 89% of cases. In
tissues, CAIX was positive in 100% of benign and 91% of malignant mesothelium.
CONCLUSIONS: CAIX can be a useful ancillary marker for identifying mesothelial
cells. There is no difference in CAIX expression between benign and malignant
mesothelium. Caution should be exercised while evaluating for metastasis from
renal cell carcinoma.
PMID- 24926091
TI - Utility of CD279/PD-1 immunohistochemistry in the evaluation of benign and
neoplastic T-cell-rich bone marrow infiltrates.
AB - OBJECTIVES: CD279 expression is used to help identify angioimmunoblastic T-cell
lymphoma (AITL) or other T-cell lymphomas of T-follicular helper (TFH) cell
origin; however, its utility in assessing lymphoid infiltrates in the bone marrow
(BM) is not well established. METHODS: Immunohistochemistry for CD279 was
performed on normal staging BM and in BM with benign lymphoid aggregates (LAs),
AITLs, and other T-cell lymphomas. RESULTS: Seven of 10 staging BMs demonstrated
scattered, usually weakly CD279+ cells. Thirty-four of 38 BMs had scattered
weakly/variably intense CD279+ cells within LAs, but only four contained 11% to
25% CD279+ cells. Three of four AITLs were strongly CD279+, but one contained
only around 10% CD279+ cells. Eleven of the other 38 T-cell lymphomas were
CD279+, including five possible AITLs; four peripheral T-cell lymphomas, not
otherwise specified; and two T-cell large granular lymphocytic leukemias.
CONCLUSIONS: Although useful in assessing selected BM lymphoid infiltrates, CD279
expression may be limited in AITLs, is not specific for TFH lymphomas, and can be
seen in benign lymphoid infiltrates, although without extensive strong
positivity.
PMID- 24926092
TI - The medium, not the message. How tattoos correlate with early mortality.
AB - OBJECTIVES: At autopsy, tattoos are recorded as part of the external examination.
An investigation was undertaken to determine whether negative messages that are
tattooed on a decedent may indicate a predisposition to certain fatal outcomes.
METHODS: Tattooed and nontattooed persons were classified by demography and
forensics. Tattoos with negative or ominous messages were reviewed. Statistical
comparisons were made. RESULTS: The mean age of death for tattooed persons was 39
years, compared with 53 years for nontattooed persons (P = .0001). There was a
significant contribution of negative messages in tattoos associated with
nonnatural death (P = .0088) but not with natural death. However, the presence of
any tattoo was more significant than the content of the tattoo. CONCLUSIONS:
Persons with tattoos appear to die earlier than those without. There may be an
epiphenomenon between having tattoos and risk-taking behavior such as drug or
alcohol use. A negative tattoo may suggest a predisposition to violent death but
is eclipsed by the presence of any tattoo.
PMID- 24926094
TI - Erythrocyte fatty acids as potential biomarkers in the diagnosis of advanced lung
adenocarcinoma, lung squamous cell carcinoma, and small cell lung cancer.
AB - OBJECTIVES: To analyze the fatty acid profiles of erythrocyte total lipids from
patients with advanced squamous cell lung carcinoma (SCC), lung adenocarcinoma
(ADC), and small cell lung cancer (SCLC) and benign lung diseases (chronic
obstructive pulmonary disease [COPD] and asthma) to reveal the fatty acids that
could be used as lung cancer biomarkers. METHODS: Thirty, 20, 15, 17, and 19
patients with SCC, ADC, SCLC, COPD, and asthma, respectively, and 55 healthy
participants were enrolled in our study. Fatty acid profiles were investigated
using gas chromatography/mass spectrometry followed by receiver operating
characteristic (ROC) curve analysis. Sialic acid (SA) and cytokeratins were
measured by the thiobarbituric acid and immunoradiometric methods, respectively.
RESULTS: At least one of the main fatty acids might be used as a biomarker for
every type of lung cancer: arachidonic (20:4n6), linoleic (18:2n6), and stearic
(18:0) acids for ADC, SCC, and SCLC, respectively. These fatty acids showed
diagnostic yields and operating characteristics similar to or higher than the
commonly used SA or cytokeratin markers. CONCLUSIONS: Fatty acids from
erythrocyte total lipids might be used as diagnostic biomarkers of lung ADC, SCC,
and SCLC. Their use in different aspects of the disease process needs to be
explored.
PMID- 24926093
TI - Assessment of correlation between p16INK4a staining, specific subtype of human
papillomavirus, and progression of LSIL/CIN1 lesions: first comparative study.
AB - OBJECTIVES: To study and compare the effectiveness of p16(INK4a) staining and
specific human papillomavirus (HPV) subtypes as a prognostic marker in cervical
intraepithelial neoplasia grade 1 (CIN1; low-grade squamous intraepithelial
lesions). METHODS: Sixty-four cervical samples diagnosed as CIN1 and stained with
p16(INK4a), with HPV status assessed by polymerase chain reaction-direct
sequencing. RESULTS: Of the 34 p16(INK4a)-negative biopsy specimens, 26
regressed, seven persisted, and one progressed. Of the 20 p16(INK4a) diffusely
positive biopsy specimens, seven regressed, eight persisted, and five progressed.
Ten biopsy specimens stained positive only in the lower one-third of the sample,
of which seven regressed and three persisted. p16(INK4a) diffusely positive CIN1
lesions were associated with only high-risk HPV subtypes, with the exception of
one HPV-negative biopsy specimen. Three different high-risk HPV subtypes and one
low-risk HPV subtype (HPV66) were identified in the six CIN1 lesions that
progressed. CONCLUSIONS: There is a significant relationship between p16(INK4a)
immunostaining and follow-up (P = .002). p16(INK4a)-negative specimens or
positivity in the lower one-third of CIN1 lesions seldom progress to a CIN2-3
lesion.
PMID- 24926095
TI - High expression of the mismatch repair protein MSH6 is associated with poor
patient survival in melanoma.
AB - OBJECTIVES: The outcome of patients with primary melanoma (PM) cannot be
completely explained based on currently adopted clinical-histopathologic
criteria. In this study, we evaluated the potential prognostic value of mismatch
repair protein expression in PMs. METHODS: We examined the immunohistochemical
staining of mismatch repair proteins in 18 benign nevi and 101 stage I to III PMs
and investigated their association with tumor clinicopathologic variables and
melanoma mortality. RESULTS: Expression of MSH2, MLH1, and PMS2 was high in
benign nevi and reduced in a subset of PMs. Conversely, MSH6 expression was
absent or extremely low in benign nevi and increased in a subset of PMs. In the
multivariate analysis, including sex, age, Breslow thickness, and ulceration,
high MSH6 expression in PMs (ie, immunostaining in >20% of tumor cells) was
significantly associated with an increased risk of melanoma mortality (relative
risk, 3.76; 95% confidence interval, 1.12-12.70). CONCLUSIONS: MSH6 protein
expression can be a valuable marker to improve prognosis assessment in PMs.
PMID- 24926098
TI - A bisected pupil for studying single-molecule orientational dynamics and its
application to three-dimensional super-resolution microscopy.
AB - A phase mask design that we term a "bisected pupil" (BSP) provides several
advantages for single-molecule optical imaging. When using the BSP with a dual
polarization optical Fourier processing system, both the position and dipole
orientation of individual fluorescent molecules may be measured from a single
camera image. In the context of single-molecule super-resolution microscopy, this
technique permits one to diagnose, and subsequently to remove imaging artifacts
resulting from orientation-induced localization errors. If the molecules labeling
a structure are rotationally mobile, thus mitigating dipole orientation errors,
this technique enables super-resolution imaging in three dimensions. We present
simulations and experimental verification.
PMID- 24926097
TI - Analysis of ultra-high sensitivity configuration in chip-integrated photonic
crystal microcavity bio-sensors.
AB - We analyze the contributions of quality factor, fill fraction, and group index of
chip-integrated resonance microcavity devices, to the detection limit for bulk
chemical sensing and the minimum detectable biomolecule concentration in
biosensing. We analyze the contributions from analyte absorbance, as well as from
temperature and spectral noise. Slow light in two-dimensional photonic crystals
provide opportunities for significant reduction of the detection limit below 1 *
10-7 RIU (refractive index unit) which can enable highly sensitive sensors in
diverse application areas. We demonstrate experimentally detected concentration
of 1 fM (67 fg/ml) for the binding between biotin and avidin, the lowest reported
till date.
PMID- 24926099
TI - Extraction of diffuse correlation spectroscopy flow index by integration of Nth
order linear model with Monte Carlo simulation.
AB - Conventional semi-infinite solution for extracting blood flow index (BFI) from
diffuse correlation spectroscopy (DCS) measurements may cause errors in
estimation of BFI (alphaDB ) in tissues with small volume and large curvature. We
proposed an algorithm integrating Nth-order linear model of autocorrelation
function with the Monte Carlo simulation of photon migrations in tissue for the
extraction of alphaDB . The volume and geometry of the measured tissue were
incorporated in the Monte Carlo simulation, which overcome the semi-infinite
restrictions. The algorithm was tested using computer simulations on four tissue
models with varied volumes/geometries and applied on an in vivo stroke model of
mouse. Computer simulations shows that the high-order (N >= 5) linear algorithm
was more accurate in extracting alphaDB (errors < +/-2%) from the noise-free DCS
data than the semi-infinite solution (errors: -5.3% to -18.0%) for different
tissue models. Although adding random noises to DCS data resulted in alphaDB
variations, the mean values of errors in extracting alphaDB were similar to those
reconstructed from the noise-free DCS data. In addition, the errors in extracting
the relative changes of alphaDB using both linear algorithm and semi-infinite
solution were fairly small (errors < +/-2.0%) and did not rely on the tissue
volume/geometry. The experimental results from the in vivo stroke mice agreed
with those in simulations, demonstrating the robustness of the linear algorithm.
DCS with the high-order linear algorithm shows the potential for the inter
subject comparison and longitudinal monitoring of absolute BFI in a variety of
tissues/organs with different volumes/geometries.
PMID- 24926100
TI - Strategic sophistication of individuals and teams. Experimental evidence.
AB - Many important decisions require strategic sophistication. We examine
experimentally whether teams act more strategically than individuals. We let
individuals and teams make choices in simple games, and also elicit first- and
second-order beliefs. We find that teams play the Nash equilibrium strategy
significantly more often, and their choices are more often a best response to
stated first order beliefs. Distributional preferences make equilibrium play less
likely. Using a mixture model, the estimated probability to play strategically is
62% for teams, but only 40% for individuals. A model of noisy introspection
reveals that teams differ from individuals in higher order beliefs.
PMID- 24926101
TI - Lead-free solder alloys: Thermodynamic properties of the (Au + Sb + Sn) and the
(Au + Sb) system.
AB - The thermodynamic properties of liquid (Au-Sb-Sn) alloys were studied with an
electromotive force (EMF) method using the eutectic mixture of KCl/LiCl with
addition of SnCl2 as a liquid electrolyte. Activities of Sn in the liquid alloys
were measured at three cross-sections with constant molar ratios of Au:Sb = 2:1,
1:1, and 1:2 with tin in the concentration range between 5 at.% and 90 at.% from
the liquidus of the samples up to 1073 K. The integral Gibbs excess energies and
the integral enthalpies at 873 K were calculated by Gibbs-Duhem integration.
Additionally liquid Au-Sb alloys have been measured at 913 K with the EMF method
as no reliable data for the Gibbs excess energies have been found in literature.
The eutectic mixture of KCl/LiCl with addition of SbCl3 has been used as an
electrolyte for the measurements. The Gibbs excess energies from the (Au + Sb)
system were necessary for the integration of the thermodynamic properties of the
ternary (Au + Sb + Sn) system.
PMID- 24926102
TI - Calorimetric study of the entropy relation in the NaCl-KCl system.
AB - The heat capacity of one Na-rich and two K-rich samples of the NaCl-KCl (halite
sylvite) crystalline solution was investigated between 5 and 300 K. It deviated
positively from ideal behaviour with a maximum at 40 K. The thereby produced
excess entropy at 298.15 K was described by a symmetric Margules mixing model
yielding [Formula: see text] = 8.73 J/mol/K. Using enthalpy of mixing data from
the literature and our data on the entropy, the solvus was calculated for a
pressure of 105 Pa and compared with the directly determined solvus. The
difference between them can be attributed to the effect of Na-K short range
ordering (clustering).
PMID- 24926103
TI - The vibrational and configurational entropy of alpha-brass.
AB - The heat capacities of two samples of a fcc Cu-Zn alloy with the composition
CuZn15 and CuZn34 were measured from T = 5 K to 573 K using relaxation and
differential scanning calorimetry. Below ~90 K, they are characterised by
negative excess heat capacities deviating from ideal mixing by up to -0.20 and
0.44 J . mol-1 . K-1 for CuZn15 and CuZn34, respectively. The excess heat
capacities produce excess vibrational entropies, which are less negative compared
to the excess entropy available from the literature. Since the literature entropy
data contain both, the configurational and the vibrational part of the entropy,
the difference is attributed to the excess configurational entropy. The
thermodynamics of different short-range ordered samples was also investigated.
The extent of the short-range order had no influence on the heat capacity below T
= 300 K. Above T = 300 K, where the ordering changed during the measurement, the
heat capacity depended strongly on the thermal history of the samples. From these
data, the heat and entropy of ordering was calculated. The results on the
vibrational entropy of this study were also used to test a relationship for
estimating the excess vibrational entropy of mixing.
PMID- 24926104
TI - On Ramsey's conjecture.
AB - Studying a one-sector economy populated by finitely many heterogeneous households
that are subject to no-borrowing constraints, we confirm a conjecture by Frank P.
Ramsey according to which, in the long run, society would be divided into the set
of patient households who own the entire capital stock and impatient ones without
any physical wealth. More specifically, we prove (i) that there exists a unique
steady state equilibrium that is globally asymptotically stable and (ii) that
along every equilibrium the most patient household owns the entire capital of the
economy after some finite time. Furthermore, we prove that despite the presence
of the no-borrowing constraints all equilibria are efficient. Our results are
derived for the continuous-time formulation of the model that was originally used
by Ramsey, and they stand in stark contrast to results that - over the last three
decades - have been found in the discrete-time version of the model.
PMID- 24926105
TI - Amos-type bounds for modified Bessel function ratios.
AB - We systematically investigate lower and upper bounds for the modified Bessel
function ratio [Formula: see text] by functions of the form [Formula: see text]
in case [Formula: see text] is positive for all [Formula: see text], or
equivalently, where [Formula: see text] or [Formula: see text] is a negative
integer. For [Formula: see text], we give an explicit description of the set of
lower bounds and show that it has a greatest element. We also characterize the
set of upper bounds and its minimal elements. If [Formula: see text], the minimal
elements are tangent to [Formula: see text] in exactly one point [Formula: see
text], and have [Formula: see text] as their lower envelope. We also provide a
new family of explicitly computable upper bounds. Finally, if [Formula: see text]
is a negative integer, we explicitly describe the sets of lower and upper bounds,
and give their greatest and least elements, respectively.
PMID- 24926106
TI - On Components, Latent Variables, PLS and Simple Methods: Reactions to Rigdon's
Rethinking of PLS.
AB - Rigdon (2012) suggests that partial least squares (PLS) can be improved by
killing it, that is, by making it into a different methodology based on
components. We provide some history on problems with component-type methods and
develop some implications of Rigdon's suggestion. It seems more appropriate to
maintain and improve PLS as far as possible, but also to freely utilize
alternative models and methods when those are more relevant in certain data
analytic situations. Huang's (2013) new consistent and efficient PLSe2
methodology is suggested as a candidate for an improved PLS.
PMID- 24926107
TI - Aponogeton pollen from the Cretaceous and Paleogene of North America and West
Greenland: Implications for the origin and palaeobiogeography of the genus.
AB - The fossil record of Aponogeton (Aponogetonaceae) is scarce and the few reported
macrofossil findings are in need of taxonomic revision. Aponogeton pollen is
highly diagnostic and when studied with light microscopy (LM) and scanning
electron microscopy (SEM) it cannot be confused with any other pollen types. The
fossil Aponogeton pollen described here represent the first reliable Cretaceous
and Eocene records of this genus worldwide. Today, Aponogeton is confined to the
tropics and subtropics of the Old World, but the new fossil records show that
during the late Cretaceous and early Cenozoic it was thriving in North America
and Greenland. The late Cretaceous pollen record provides important data for
future phylogenetic and phylogeographic studies focusing on basal monocots,
especially the Alismatales. The Eocene pollen morphotypes from North America and
Greenland differ in morphology from each other and also from the older Late
Cretaceous North American pollen morphotype, indicating evolutionary trends and
diversification within the genus over that time period. The presence of
Aponogeton in the fossil record of North America and Greenland calls for a
reconsideration of all previous ideas about the biogeographic history of the
family.
PMID- 24926108
TI - Concise Synthesis of Functionalized Benzocyclobutenones.
AB - A concise approach to access functionalized benzocyclobutenones from 3-halophenol
derivatives is described. This modified synthesis employs a [2+2] cycloaddition
between benzynes generated from dehydrohalogenation of aryl halides using LiTMP
and acetaldehyde enolate generated from n-BuLi and THF, followed by oxidation of
the benzocyclobutenol intermediates to provide benzocyclobutenones. The [2+2]
reaction can be run on a 10-gram scale with an increased yield. A number of
functional groups including alkenes and alkynes are tolerated. Coupling of
benzynes with ketene silyl acetals to give 8-substituted benzocyclobutenones is
also demonstrated.
PMID- 24926109
TI - A novel route to organonitrites by Pd-catalyzed cross-coupling of sodium nitrite
and potassium organotrifluoroborates.
AB - Microwave irradiated palladium-catalyzed cross-coupling reaction of potassium
styryltrifluoroborates and sodium nitrite gives the corresponding styryl nitrites
in high yields. Potassium aryltrifluoroborates also furnish aryl nitrites under
same reaction condition. This unprecedented cross-coupling is an interesting
development and has the potential to lead to new nitration protocols.
PMID- 24926110
TI - "Translating" between survey answer formats.
AB - Survey research remains the most popular source of market knowledge, yet
researchers have not yet established one consistent technique for measuring
responses. Some market research companies offer respondents two answer options;
others five or seven. Some answer formats use middle points on the answer scales,
others do not. Some formats verbalize all answer options, some only the
endpoints. The wide variety of answer formats that market research companies and
academic researchers use makes comparing results across studies virtually
impossible. This study offers guidance for market researchers by presenting
empirical translations for the answer formats they most commonly use, thus
enabling easier comparisons of results.
PMID- 24926111
TI - Risk-taking in social settings: Group and peer effects.
AB - We investigate experimentally the effect of consultation (unincentivized advice)
on choices under risk in an incentivized investment task. We compare consultation
to two benchmark treatments: one with isolated individual choices, and a second
with group choice after communication. Our benchmark treatments replicate
findings that groups take more risk than individuals in the investment task;
content analysis of group discussions reveals that higher risk-taking in groups
is positively correlated with mentions of expected value. In our consultation
treatments, we find evidence of peer effects: decisions within the peer group are
significantly correlated. However, average risk-taking after consultation is not
significantly different from isolated individual choices. We also find that risk
taking after consultation is not affected by adding a feedback stage in which
subjects see the choices of their consultation peers.
PMID- 24926112
TI - Economic probes of mental function and the extraction of computational
phenotypes.
AB - Economic games are now routinely used to characterize human cognition across
multiple dimensions. These games allow for effective computational modeling of
mental function because they typically come equipped with notions of optimal
play, which provide quantitatively prescribed target functions that can be
tracked throughout an experiment. The combination of these games, computational
models, and neuroimaging tools open up the possibility for new ways to
characterize normal cognition and associated brain function. We propose that
these tools may also be used to characterize mental dysfunction, such as that
found in a range of psychiatric illnesses. We describe early efforts using a
multi-round trust game to probe brain responses associated with healthy social
exchange and review how this game has provided a novel and useful
characterization of autism spectrum disorder. Lastly, we use the multi-round
trust game as an example to discuss how these kinds of games could produce novel
bases for representing healthy behavior and brain function and thus provide
objectively identifiable subtypes within a broad spectrum of mental function.
PMID- 24926113
TI - Individual based and mean-field modeling of direct aggregation.
AB - We introduce two models of biological aggregation, based on randomly moving
particles with individual stochasticity depending on the perceived average
population density in their neighborhood. In the first-order model the location
of each individual is subject to a density-dependent random walk, while in the
second-order model the density-dependent random walk acts on the velocity
variable, together with a density-dependent damping term. The main novelty of our
models is that we do not assume any explicit aggregative force acting on the
individuals; instead, aggregation is obtained exclusively by reducing the
individual stochasticity in response to higher perceived density. We formally
derive the corresponding mean-field limits, leading to nonlocal degenerate
diffusions. Then, we carry out the mathematical analysis of the first-order
model, in particular, we prove the existence of weak solutions and show that it
allows for measure-valued steady states. We also perform linear stability
analysis and identify conditions for pattern formation. Moreover, we discuss the
role of the nonlocality for well-posedness of the first-order model. Finally, we
present results of numerical simulations for both the first- and second-order
model on the individual-based and continuum levels of description.
PMID- 24926114
TI - Accurate Prediction of Stress in Fibers with Distributed Orientations Using
Generalized High-Order Structure Tensors.
AB - The orientation of collagen fibers plays an important role on the mechanics of
connective tissues. Connective tissues have fibers with different orientation
distributions. The angular integration formulation used to model the mechanics of
fibers with distributed orientation is accurate, but computationally expensive
for numerical methods such as finite elements. This study presents a formulation
based on pre-integrated Generalized High-Order Structure Tensors (GHOST) which
greatly improves the accuracy of the predicted stress. Simplifications of the
GHOST formulation for transversely-isotropic and planar fiber distributions are
also presented. Additionally, the GHOST and the angular integration formulations
are compared for different loading conditions, fiber orientation functions,
strain energy functions and degrees of fiber non-linearity. It was found that the
GHOST formulation predicted the stress of the fibers with an error lower than 10%
for uniaxial and biaxial tension. Fiber non-linearity increased the error of the
GHOST formulation; however, the error was reduced to negligible values by
considering higher order structure tensors. The GHOST formulation produced lower
errors when used with an elliptical fiber density function and a binomial strain
energy function. In conclusion, the GHOST formulation is able to accurately
predict the stress of fibers with distributed orientation without requiring
numerous integral calculations. Consequently, the GHOST formulation may reduce
the computational effort needed to analyze the mechanics of fibrous tissues with
distributed orientations.
PMID- 24926115
TI - European Union energy policy integration: A case of European Commission policy
entrepreneurship and increasing supranationalism.
AB - Focusing on gas, this article explores the role of the European Commission in the
process of European Union energy security policy development, and the extent to
which the policy area is becoming increasingly supranational. Situating the
article within the literature on agenda-setting and framing, it is argued that a
policy window was opened as a result of: enlargement to include more energy
import dependent states, a trend of increasing energy imports and prices, and gas
supply disruptions. From the mid-2000s, the Commission contributed to a shift in
political norms, successfully framing import dependency as a problem requiring an
EU-level solution, based on the institution's pre-existing preferences for a
diversified energy supply and internal energy market. Whilst Member States retain
significant sovereignty, the Commission has achieved since 2006 creeping
competencies in the internal, and to a lesser extent external, dimensions of EU
energy policy.
PMID- 24926116
TI - Barriers and incentives to the production of bioethanol from cereal straw: A farm
business perspective.
AB - The EU renewable energy directive stipulates a requirement for 10% of transport
fuels to be derived from renewable sources by 2020. Second generation biofuels
offer potential to contribute towards this target with cereal straw representing
a potentially large feedstock source. From an on-farm survey of 240 arable
farmers, timeliness of crop establishment and benefits of nutrient retention from
straw incorporation were cited as reasons for straw incorporation. However, two
thirds (one-third) of farmers would supply wheat (barley) straw for bioenergy.
The most popular contract length and continuous length of straw supply was either
1 or 3 years. Contracts stipulating a fixed area of straw supply for a fixed
price were the most frequently cited preferences, with L50 t-1 the most
frequently cited minimum contract price that farmers would find acceptable.
Arable farmers in England would be willing to sell 2.52 Mt of cereal straw for
bioenergy purposes nationally and 1.65 Mt in the main cereal growing areas of
Eastern England. Cereal straw would be diverted from current markets or on-farm
uses and from straw currently incorporated into soil. Policy interventions may be
required to incentivise farmers to engage in this market, but food and fuel
policies must increasingly be integrated to meet societal goals.
PMID- 24926117
TI - Lessons from first generation biofuels and implications for the sustainability
appraisal of second generation biofuels.
AB - AIMS: The emergence of second generation (2G) biofuels is widely seen as a
sustainable response to the increasing controversy surrounding the first
generation (1G). Yet, sustainability credentials of 2G biofuels are also being
questioned. Drawing on work in Science and Technology Studies, we argue that
controversies help focus attention on key, often value-related questions that
need to be posed to address broader societal concerns. This paper examines
lessons drawn from the 1G controversy to assess implications for the
sustainability appraisal of 2G biofuels. SCOPE: We present an overview of key 1G
sustainability challenges, assess their relevance for 2G, and highlight the
challenges for policy in managing the transition. We address limitations of
existing sustainability assessments by exploring where challenges might emerge
across the whole system of bioenergy and the wider context of the social system
in which bioenergy research and policy are done. CONCLUSIONS: Key lessons arising
from 1G are potentially relevant to the sustainability appraisal of 2G biofuels
depending on the particular circumstances or conditions under which 2G is
introduced. We conclude that sustainability challenges commonly categorised as
either economic, environmental or social are, in reality, more complexly
interconnected (so that an artificial separation of these categories is
problematic).
PMID- 24926118
TI - Sub-lethal metal stress response of larvae of Aedes aegypti.
AB - Aedes aegypti (Diptera: Culicidae) has adapted to urban environments; the
urbanisation process provides suitable habitats for this disease vector
subsequently increasing the probability of the transmission of pathogens in high
density environments. Urban environments provide metal stressed larval habitats.
However, little is known about the physiological cost of metal stress or how this
might affect the performance of this mosquito species. This study aims to
characterise the sub-lethal physiological consequences of metal stress in Aedes
aegypti. Various parameters of mosquito physiology under larval metal stress are
assessed including larval metallothionein expression and the effects of larval
metal stress on adult performance and their progeny. Results show that
environmentally relevant larval metal stress compromises larval and adult
development and performance, and results in larval metal tolerance along with an
increase in lipid consumption. These performance costs are coupled to a dramatic
increase in metallothionein expression in the midgut. Metal stress results in
lowered adult body mass and neutral storage lipids at emergence, starvation
tolerance, fecundity and starvation tolerance of offspring compared to non-metal
stressed individuals. Ironically, larval metal stress results in increased adult
longevity. Together, these findings indicate that even low levels of
environmentally relevant larval metal stress have considerable physiological
consequences for this important disease vector.
PMID- 24926119
TI - Biomechanical analysis of stair descent in patients with knee osteoarthritis.
AB - [Purpose] The purposes of this study were to investigate the lower extremity
joint kinematics and kinetics of patients with the knee osteoarthritis (knee OA)
during stair descent and clarify the biomechanical factors related to their
difficulty in stair descent. [Subjects and Methods] Eight healthy elderly persons
and four knee OA patients participated in this study. A 3-D motion analysis
system and force plates were employed to measure lower extremity joint angles,
ranges of motion, joint moments, joint powers, and ratios of contribution for the
joint powers while descending stairs. [Results] Knee joint flexion angle,
extension moment, and negative power during the early stance phase in the knee OA
group were smaller than those in the healthy subjects group. However, no
significant changes in these parameters in the ankle joint were observed between
the two subject groups. [Conclusion] Knee OA patients could not use the knee
joint to absorb impact during the early stance phase of stair descent. Hence,
they might compensate for the roles played by the intact knee joint by mainly
using ipsilateral ankle kinematics and kinetics.
PMID- 24926120
TI - The influence of neuromuscular electrical stimulation on the heart rate
variability in healthy subjects.
AB - [Purpose] The purpose of this study was to examine the effect of neuromuscular
electrical stimulation (NMES) on heart rate variability (HRV). [Subjects and
Methods] Ten healthy subjects participated in the study. All subjects received
NMES with a pulse duration of 300 us and frequency of 30 Hz at the vastus
lateralis and vastus medialis for 15 minutes. The stimulation intensity was
adjusted in the range of 20 to 30 mA. HRV using a pulse oximeter was measured in
the sitting position before and after NMES. [Results] After the NMES, all HRV
data slightly increased, but there was no significance between before and after
data. [Conclusion] We suggest that strengthening exercises using NMES may be
undertaken safely.
PMID- 24926121
TI - Effect of Togu-exercise on Lumbar Back Strength of Women with Chronic Low Back
Pain.
AB - [Purpose] The present study analyzed the effect of the Togu exercise program on
the lumbar back strength of middle-aged women who did not perform regular
exercise, and presents an effective exercise method for middle-aged women with
chronic low back pain symptom. [Subjects] The subjects were 16 women with chronic
low back pain attending N University, Chungcheong-do, Korea. [Methods] Height,
weight, body fat, and body mass index (BMI) were measured using a body
composition analyzer (Inbody 720, Biospace, Korea), which utilizes bioelectrical
impedance analysis. Using isokinetic lumbar muscle strength measurement equipment
[Isomed 2000, Back system, (Germany)], peak torque/body weight, total work and
average power of flexion and extension of the lumbar region were measured. For
lumbar stabilization exercises, an air cushion (Germany), jumper (Germany), and
aero step (Germany) were employed. First, warm-up exercise was conducted for 10
minutes, followed by 10 sets of the 3 main exercises using the above tools with
10-second rest intervals. The main exercise was done for 40 minutes in total.
[Results] The paired sample t-test showed significant in the Togu exercise group
peak torque of flexion, peak torque of extension, total work of flexion, total
work of extension, average power of flexion, average power of extension, after
the exercise showed a significant difference in the Togu exercise group.
[Conclusion] The trunk muscle actiation program for middle-aged women had a
significant effect on muscle strength and low back pain. Therefore the trunk
muscle activation program is effective at increasing muscular strength of middle
aged women, and the results suggest improve muscle strength is effectively
stabilizaties the lumbar region.
PMID- 24926122
TI - Correlation of the Y-Balance Test with Lower-limb Strength of Adult Women.
AB - [Purpose] The purpose of this study was to elucidate the relationship between Y
balance test (YBT) distance and the lower-limb strength of adult women.
[Subjects] Forty women aged 45 to 80 years volunteered for this study. [Methods]
The participants were tested for maximal muscle strength of the lower limbs (hip
extensors, hip flexors, hip abductors, knee extensors, knee flexors, and ankle
dorsiflexors) and YBT distances in the anterior, posteromedial, and
posterolateral directions. Pearson's correlation coefficient was used to quantify
the linear relationships between YBT distances and lower-limb strength. [Results]
Hip extensor and knee flexor strength were positively correlated with YBT
anterior distance. Hip extensor, hip abductor, and knee flexor strength were
positively correlated with the YBT posteromedial distance. Hip extensor and knee
flexor strength were positively correlated with YBT posterolateral distance.
[Conclusion] There was a weak correlation between lower-limb strength (hip
extensors, hip abductors, and knee flexors) and dynamic postural control as
measured by the YBT.
PMID- 24926123
TI - Effect of Exercise Speed and Isokinetic Feedback on the Middle and Lower Serratus
Anterior Muscles during Push-up Exercises.
AB - [Purpose] This study assessed the use of different exercise speeds and isokinetic
feedback on the middle and lower serratus anterior muscles during push-up
exercises. [Subjects] Ten male workers voluntarily consented to participate in
the study. [Methods] The subjects performed push-up exercises under three
conditions. Surface electrodes were placed on the dominant right side of the
middle and lower serratus anterior muscles. [Results] The middle and lower SA
muscle activities under condition 2 were significantly decreased when compared
with those under conditions 1 and 3. The middle SA activity under condition 3 was
significantly increased when compared with that under condition 1. [Conclusion]
This study suggests that proper selection of push-up exercise speed may be
necessary for selective strengthening of the SA and that isokinetic feedback
information obtained using an accelerator can help in selective strengthening of
the middle SA.
PMID- 24926124
TI - Pulsed and Continuous Ultrasound Increase Chondrogenesis through the Increase of
Heat Shock Protein 70 Expression in Rat Articular Cartilage.
AB - [Purpose] The present study was aimed to investigate the effects of pulsed and
continuous ultrasound (US) irradiation on heat shock protein (HSP) 70 and mRNA
levels of chondrogenesis-related gene expression in rat tibial articular
cartilage. [Subjects and Methods] Forty-eight rats with body weights of 200-250 g
were randomly divided into three groups. In the control (CON) group, three rats
were treated with sham sonication. The pulsed US irradiation group was irradiated
with a pulse rate of 20%, a frequency of 1 MHz, and an intensity of 1.5 W/cm(2)
for 10 minutes. The continuous US irradiation group was continuously with a
frequency of 1 MHz and an intensity of 1.5 W/cm(2) for 10 minutes.
Immunohistochemistry for evaluation of HSP 70 and RT-PCR for expression of the
chondrogenesis-related mRNA were used. [Results] The expression of HSP70 protein
was increased in the pulsed and continuous US groups. The increase in the
continuous US group was more prominent than in the pulsed US group. In addition,
pulsed and continuous US irradiation increased the expression of Mustn1 and Sox9.
[Conclusion] The results of this study show that US increases chondrogenesis via
the increase of HSP 70 and chondrogenesis-related mRNA expressions in rat
articular cartilage.
PMID- 24926125
TI - Effects of a Community-based Fall Prevention Exercise Program on Activity
Participation.
AB - This study was conducted to identify the effects of a fall-prevention exercise
program on the participation and static balance of elderly persons in daily life
roles. [Subjects] Ten participants over 65 years of age (75.29+/-2.93) who were
healthy community-dwellers (two men and eight women) were recruited. [Methods]
The participants exercised three times a week for eight weeks. The exercise
program was based on the fitness and mobility exercise (FAME) protocol. The
outcome measures were changes in activity participation level and the fall index.
[Results] After the exercise, the activity participation level significantly
increased, and the fall index significantly decreased. [Conclusion] A fall
prevention exercise program can have a positive effect on participation and
static balance in older adults.
PMID- 24926126
TI - Effects of sling exercise therapy on trunk muscle activation and balance in
chronic hemiplegic patients.
AB - Weakening of trunk muscles in stroke patients hinders functional ability, safety
and balance. To confirm whether strengthening trunk muscles could facilitate
rehabilitation of stroke patients, we investigated the effectiveness of sling
exercise therapy (SET) using closed kinetic chain exercises to activate trunk
muscles and improve balance in stroke patients. [Subjects and Methods] Twenty
stroke patients with chronic hemiplegia were equally divided into 2 groups, a SET
group and a control group that performed regular exercises on a mat with the
assistance of a table. Patients in both groups exercised for 30 min, three times
per week for 4 weeks. Trunk muscle activity was measured using surface
electromyography, whereas balance was measured using the Berg Balance Scale,
Frailty and Injuries Cooperative Studies of Intervention Technique, Timed Up & Go
test, and BioRescue before and after the 4-week experimental period. [Results]
Trunk muscle activity and balance before and after intervention in both groups
were significantly different. However, no significant differences were observed
between the 2 groups. [Conclusion] Although SET was not more effective than
regular exercise, significant improvement was observed before and after SET.
Therefore, SET can be considered effective in strengthening trunk muscles in
stroke patients with chronic hemiplegia.
PMID- 24926127
TI - Relationship between Lower Extremity Tightness and Star Excursion Balance Test
Performance in Junior High School Baseball Players.
AB - [Purpose] The purpose of this study was to examine the relationship between lower
extremity tightness and lower extremity balance, measured by the Star Excursion
Balance Test (SEBT), in junior high school baseball players. [Subjects] Thirty
three male students belonging to baseball clubs in 2 junior high schools
participated in this study. [Methods] For the SEBT, we chose to examine the
anterior (ANT), posterior (POS), lateral (LAT), and medial (MED) directions.
Regarding muscle tightness measurement, the angle of each joint of the bilateral
iliopsoas, quadriceps, hamstring, gastrocnemius, hip internal rotator, and hip
external rotator was measured. [Results] The ANT direction of the SEBT was
significantly negatively correlated with gastrocnemius tightness. The MED
direction of the SEBT was significantly positively correlated with hip internal
rotator tightness and hamstrings tightness and significantly negatively
correlated with gastrocnemius tightness. The LAT direction of the SEBT was
significantly negatively correlated with iliopsoas tightness and gastrocnemius
tightness. [Conclusion] Since the rate of upper extremity injury is high in these
subjects and this could be due to tightness and instability of the lower
extremity from a kinetic viewpoint, the SEBT could be used as a standard
evaluation test when examining upper extremity injuries in young baseball
players.
PMID- 24926128
TI - Prevalence of Work-related Musculoskeletal Symptoms and Their Associations with
Job Stress in Female Caregivers Living in South Korea.
AB - [Purpose] The purpose of this study was to assess the work-related
musculoskeletal system symptoms and the extent of job stress in female
caregivers, as well as the interrelationship between these factors. [Subjects and
Methods] Korea Occupational Safety and Health Agency (KOSHA) Code H-43 of the
Guidelines for the Examination of Elements Harmful to the Musculoskeletal System
was used as a tool to measure musculoskeletal symptoms. Caregiver job stress was
assessed from the Korean Occupational Stress Scale short form. [Results] The
level of symptoms in the hand/wrist/finger and leg/foot regions had some relation
to job stress. Job stress scores were mainly shown to be high when pain was
reported. On the other hand, it was shown that the degree of musculoskeletal
symptoms by body part was unrelated to conflicts in relationships, job
instability, or workplace culture. [Conclusion] As for the correlations between
musculoskeletal symptoms and job stress, it was shown that as job requirements
increased, most musculoskeletal symptoms also increased.
PMID- 24926129
TI - Effect of muscle vibration on spatiotemporal gait parameters in patients with
Parkinson's disease.
AB - [Purpose] The purpose of this study was to investigate the effect of muscle
vibration in the lower extremities in patients with Parkinson's disease (PD)
during walking. [Subjects] Nine patients with PD participated in this study and
were tested with and without vibration (vibration at 60 Hz). [Methods] Eight
oscillators of vibration were attached to the muscle bellies (tibialis anterior,
gastrocnemius, biceps femoris, and rectus femoris) on both sides of the lower
extremities with adhesive tape in this study. Spatiotemporal gait parameters were
measured using a motion analysis system. [Results] Stride length and walking
speed with vibration were significantly increased compared with those without
vibration in PD patients. [Conclusion] These results suggest that the application
of vibration to lower extremity muscles in patients with PD may improve the
parkinsonian gait pattern.
PMID- 24926130
TI - The Effects on Muscle Activation of Flatfoot during Gait According to the
Velocity on an Ascending Slope.
AB - [Purpose] This study determined the difference between flatfeet and normal feet
in humans on an ascending slope using electromyography (EMG). [Subjects] This
study was conducted on 30 adults having normal feet (n=15) and flatfeet (n=15),
all of whom were 21 to 30 years old. [Methods] A treadmill (AC5000M, SCIFIT,) was
used to analyze kinematic features during gait. These features were analyzed at
slow, normal, and fast gait velocities on an ascending slope. A surface
electromyogram (TeleMyo 2400T, Noraxon Co., USA) was used to measure muscle
activity changes. [Results] The activities of most muscles in the subjects with
flatfeet were significantly different from the muscle activities in the subjects
with normal feet at different gait velocities on an ascending slope. There were
significant differences in the vastus medialis and abductor hallucis muscles.
[Conclusion] Because muscle activation of the vastus medialis in relation to
stability of the lower extremity has a tendency to increase with an increase in
gait velocity on an ascending slope, we hypothesized that higher impact transfer
to the knee joints occurs in subjects with flatfeet due to the lack of a medial
longitudinal arch and that the abductor halluces muscles, which provide dynamic
stability to the medial longitudinal arches, do not activate well when they are
needed in subjects with flatfeet.
PMID- 24926131
TI - Effects of tai chi on pain and muscle activity in young males with acute low back
pain.
AB - [Purpose] This study was to examine the effects of tai chi on low back pain in
young males. [Subjects and Methods] Forty males in their 20s with low back pain
were randomly assigned to two groups. Tai chi was applied to one group, and
stretching was applied to the other group. The subjects conducted exercise for
one hour, three times per week for four weeks. They performed warm-up exercises
for 10 min at the beginning and end of the sessions and conducted the main
exercise for 40 minutes. Wireless surface electromyography (sEMG) and a visual
analogue scale (VAS) were employed to measure muscle activity and pain,
respectively. [Results] There were significant differences between the two groups
in pain and muscle activity. The tai chi group's VAS decreased from 3.1 to 2.1,
and its muscle activity decreased from 21.5% maximum voluntary isomeric
contraction (MVIC) to 16.4% MVIC. The stretching group's VAS decreased from 3.4
to 2.8, and its muscle activity decreased from 24.1% MVIC to 22.1% MVIC.
[Conclusion] Tai chi is more effective for low back pain in males in their 20s
than stretching. Tai chi can be considered an effective method to reduce low back
pain in males in their 20s.
PMID- 24926132
TI - Postural Stability and Subsequent Sports Injuries during Indoor Season of
Athletes.
AB - [Purpose] The aim of this study was to analyze stabilometry in athletes during an
indoor season in order to determine whether injured athletes show different
stabilometric values before injury than non-injured athletes in two different
training periods (volume and pre-competition periods). [Subjects] The subjects
were 51 athletes from Unicaja athletic club who trained regularly. [Methods] At
the end of the preseason and volume periods, athletes were subjected to bipodal
and monopodal stabilometry. In addition, all injuries happening in the periods
after performing stabilometry (volume and pre-competition periods) were tracked.
[Results] Variance analysis of bipodal stabilometric measurements taken at the
end of the preseason period showed that athletes with higher values for the
center-of-pressure spread variables suffered injuries during the volume period.
The right-leg monopodal stabilometric measurements taken at the end of the volume
period showed that athletes with higher values in the center-of-pressure position
variables suffered injuries during the pre-competition period. [Conclusion]
Athletes showing the worst values for center-of-pressure spread variables are
more prone to sports injuries in the subsequent training period. In monopodal
measurements, athletes with poorer mediolateral stability were more prone to
injuries in the subsequent training period.
PMID- 24926133
TI - Correlation of shoulder and elbow injuries with muscle tightness, core stability,
and balance by longitudinal measurements in junior high school baseball players.
AB - [Purpose] The present study longitudinally investigated injury occurrences and
the risk factors for muscle tightness, core stability, and dynamic standing
balance among junior high school student baseball players. [Subjects] Thirty-nine
male students, belonging to baseball clubs at 2 junior high schools, participated
in this study. [Methods] Study measurements were obtained twice, once in the
early stage of the baseball season (March) and once at the end of the season
(July). All subjects underwent muscle tightness testing, the Star Excursion
Balance Test (SEBT), and trunk endurance testing during each measurement session.
[Results] Fifteen players experienced episodes of elbow or shoulder pain while
throwing. Players in the pain group demonstrated a significant increase in the
tightness of their shoulder internal rotators, axis-leg quadriceps, and axis-leg
hamstrings. There was no clear evidence of differences of changes in core
stability and dynamic standing balance between the groups. [Conclusion] The
results of this study suggest that lower extremity muscle tightness early in a
season and the subsequent decline in the flexibility of the axis-leg quadriceps
and hamstrings during the season may be due to an increased upper extremity load
while throwing, thus producing shoulder and elbow pain.
PMID- 24926134
TI - Factors increasing physical activity levels in diabetes mellitus: a survey of
patients after an inpatient diabetes education program.
AB - [Purpose] The aim of this study was to understand the factors involved in
increasing physical activity levels in type 2 diabetes mellitus patients for
improved glycemic control. [Subjects] The subjects were 101 type 2 diabetes
mellitus patients who had completed an inpatient diabetes education program.
[Methods] The survey evaluated physical activity levels on the basis of the
International Physical Activity Questionnaire and a questionnaire listing
physical and psychosocial factors. [Results] Four variables-participation or non
participation in farm work, presence or absence of a job, stage of change in
attitude toward exercise behavior, and social support-accounted for 34% of
physical activity levels in these diabetes mellitus patients. The Spearman's rank
correlation coefficient between physical activity level and HbA1c was -0.31.
[Conclusion] Intervention in terms of practical use of living environments,
promotion of exercise behavior, and social support may be effective in helping to
improve glycemic control.
PMID- 24926135
TI - Effect of rotational axis position of wheelchair back support on shear force when
reclining.
AB - [Purpose] The purpose of this study was to investigate the influence of the
rotational axis position of a reclining wheelchair's back support on fluctuations
in the shear force applied to the buttocks while the back support is reclined.
[Subjects] The subjects were 12 healthy adult men. [Methods] The shear force
applied to the buttocks was measured using a force plate. This study used two
different experimental conditions. The rotational axis of the back support was
positioned at the joint between the seat and the back support for the rear-axis
condition, and was moved 13 cm forward for the front-axis condition. [Results]
With the back support fully reclined, the shear forces were 11.2 +/- 0.8%BW and
14.1 +/- 2.5%BW under the rear-axis and front-axis conditions, respectively. When
returned to an upright position, the shear forces were 17.1 +/- 3.1%BW and 13.8
+/- 1.7%BW under the rear-axis and front-axis conditions, respectively.
Significant differences appeared between the two experimental conditions (p <
0.01). [Conclusion] These results suggest that the shear force value could be
changed by altering the position of the back support's rotational axis during
reclining.
PMID- 24926136
TI - The effects of aquatic exercise on pulmonary function in patients with spinal
cord injury.
AB - [Purpose] The purpose of this study was to determine the effects of aquatic
exercise on pulmonary function of patients with spinal cord injury. [Subjects]
The subjects were randomly allocated to an aqua group (n=10) and a land group
(n=10). [Methods] Both groups trained for 60 minutes, 3 times a week for 8 weeks.
Pulmonary function was assessed by measuring the forced vital capacity (FVC),
forced expiratory flow rate (FER), force expiratory volume at one second (FEV1)
and force expiratory volume at one second/forced vital capacity (FEV1/FVC).
[Results] Following the intervention, the aqua group showed significant changes
in FVC, FER, FEV1, and FEV1/FVC. The land group showed only significant
differences FER. [Conclusion] The results of this study suggest the effects on
the aqua group were significantly higher than those on the land group in patients
with spinal cord injury.
PMID- 24926137
TI - Effects of therapeutic ultrasound on range of motion and stretch pain.
AB - [Purpose] This study aimed to clarify the effects of therapeutic ultrasound on
range of motion and stretch pain and the relationships between the effects.
[Subjects] The subjects were 15 healthy males. [Methods] Subjects performed all
three interventions: (1) ultrasound (US group), (2) without powered ultrasound
(placebo group), and (3) rest (control group). Ultrasound was applied at 3 MHz
with an intensity of 1.0 W/cm(2) and a 100% duty cycle for 10 minutes. The
evaluation indices were active and passive range of motion (ROM), stretch pain
(visual analog scale; VAS), and skin surface temperature (SST). The experimental
protocol lasted a total of 40 minutes; this was comprised of 10 minutes before
the intervention, 10 minutes during the intervention (US, placebo, and control),
and 20 minutes after the intervention. [Results] ROM and SST were significantly
higher in the US group than in the placebo and control groups for the 20 minutes
after ultrasound, though there was no change in stretch pain. [Conclusion] The
effects of ultrasound on ROM and SST were maintained for 20 minutes after the
intervention. The SST increased with ultrasound and decreased afterwards.
Additionally, the SST tended to return to baseline levels within 20 minutes after
ultrasound exposure. Therefore, these effects were caused by a combination of
thermal and mechanical effects of the ultrasound.
PMID- 24926138
TI - The Influence of Dual Pressure Biofeedback Units on Pelvic Rotation and Abdominal
Muscle Activity during the Active Straight Leg Raise in Women with Chronic Lower
Back Pain.
AB - [Purpose] This study was performed to assess the influence of applying dual
pressure biofeedback units (DPBUs) on the angle of pelvic rotation and abdominal
muscle activity during the active straight leg raise (ASLR). [Subjects] Seventeen
patients with low-back pain (LBP) participated in this study. [Methods] The
subjects were asked to perform an active straight leg raise (ASLR) without a PBU,
with a single PBU, and with DPBUs. The angles of pelvic rotation were measured
using a three-dimensional motion-analysis system, and the muscle activity of the
bilateral internal oblique abdominis (IO), external oblique abdominis (EO), and
rectus abdominis (RA) was recorded using surface electromyography (EMG). One-way
repeated-measures ANOVA was performed to determine the rotation angles and muscle
activity under the three conditions. [Results] The EMG activity of the
ipsilateral IO, contralateral EO, and bilateral RA was greater and pelvic
rotation was lower with the DPBUs than with no PBU or a single PBU. [Conclusion]
The results of this study suggest that applying DPBUs during ASLR is effective in
decreasing unwanted pelvic rotation and increasing abdominal muscle activity in
women with chronic low back pain.
PMID- 24926139
TI - Functionality in Women with Breast Cancer: The Use of International
Classification of Functioning, Disability and Health (ICF) in Clinical Practice.
AB - [Purpose] The aim of this study was to evaluate the functionality of women
diagnosed with breast cancer according to the International Classification of
Functioning, Disability and Health (ICF). [Subjects and Methods] This was a cross
sectional study. We applied instruments consistent with the summary of ICF codes
for breast cancer: quality of life questionnaire (WHOQOL), upper limb symptoms
and function (DASH), social support, physical examination and functional medical
record data. [Results] The study included 105 women who were 55 years old and
subjected to surgical treatment within an average of 1.63 year previously. The
'function' component considered in the WHOQOL, the DASH and physical examination.
There were high prevalences of positive responses for most codes, and only b130,
d430, d445, d640, d650, d920 and codes of environmental factors considered by the
Social Support Questionnaire showed high prevalences of negative responses
(47.6%, 61%, 43.8%, 63.8%, 56.2%, 52.4%, and 35.2%, respectively). [Conclusion]
There was a lower prevalence of disability, with the exception of issues related
to strenuous activity and load. Some findings showed conflicting results between
different instruments that measure the same code, and studies that propose more
accurate tools and are able to consider the ICF codes specific to this pathology
are necessary.
PMID- 24926140
TI - The effects of inaccessible visual feedback used concurrently or terminally.
AB - [Purpose] Concurrent feedback is more detrimental for long-term retention of
motor skills because learners depend on accessible visual information provided in
parallel with movements. However, visual information is not always accessible.
Furthermore, the effects of concurrent feedback vary with aspects of the task
being performed. We investigated the effects of inaccessible visual feedback used
concurrently or terminally, focusing on aspects of movement. [Subjects and
Methods] Fourteen subjects were quasi-randomly assigned to either a concurrent
feedback group or a terminal feedback group. They practiced a task that involved
right shoulder flexion with a specific acceleration. Learning achievements were
assessed by measurement of errors in movement duration, peak timing, and
strength. [Results] Regarding errors in movement duration, the concurrent
feedback group was superior to the terminal feedback group during the midterm and
final sessions. Regarding errors in peak timing, learning occurred in the
concurrent feedback group, but not in the terminal feedback group because the
improvement in performance during practice was inadequate. Regarding errors in
peak strength, learning occurred in both groups. [Conclusion] Concurrent visual
feedback that is used inaccessibly has learning effects that either equal or
surpass those of terminal feedback that is used with inaccessible visual
information for all parameters.
PMID- 24926141
TI - Kinetic Relationships between the Hip and Ankle Joints during Gait in Children
with Cerebral Palsy: A Pilot Study.
AB - [Purpose] The purpose of this study was to evaluate kinetic relationships between
the ankle and hip joints during gait, in the late stance, in children with
spastic cerebral palsy (CP). [Subjects] The subjects were 3 ambulant children
with spastic hemiplegic CP (aged 10, 13, and 14: CP group) and 3 typically
developing children with the same ages (control). [Methods] A three-dimensional
gait analysis including force data was performed to compare the peak moment,
power, and ankle/hip power ratio between the hemiplegic (uninvolved and
hemiplegic) and the control groups. In the statistical analysis, mean values from
5 gait cycles for each of 3 conditions (uninvolved, hemiplegic and control) were
used. The three conditions were compared by performing a Kruskal-Wallis test and
Steel-Dwass multiple comparisons. [Results] The peak moments of ankle plantar
flexors in the 10-year-old case, were significantly lower on the uninvolved and
hemiplegic sides compared with the control group, respectively. The peak flexion
moments of the hip on the hemiplegic side were significantly higher compared with
the control in the 14- and 13-year-old cases. The peak of ankle power generation
(A2) in the 13- and 10-year-old cases were significantly lower on the uninvolved
and hemiplegic sides, respectively, compared with the control. The peaks of hip
flexor power generation (H3) in the 14- and 13-year-old cases were significantly
higher on the uninvolved and hemiplegic sides, respectively. The A2/H3 ratios
were significantly lower on the uninvolved and hemiplegic sides compared with the
control, and the ratio for the hemiplegic side was lower than that for the
uninvolved side. [Conclusion] This study shows that propulsion of walking is
generated by hip, rather than the ankle, on both the hemiplegic and involved
sides.
PMID- 24926142
TI - Motor Learning in the Community-dwelling Elderly during Nordic Backward Walking.
AB - [PURPOSE] THE AIMS OF THIS STUDY WERE: 1) to confirm the motion learning process
of Nordic backward walking (NBW) in older adult community-dwelling volunteers
and, 2) to check the change in psychological condition resulting from NBW. This
study examined whether the learning process and psychological condition become
more efficient after repeated sessions of NBW. [Methods] The subjects were 19
community-dwelling elderly individuals between the ages of 64 and 78 years.
[Results] Significant differences in walking speed during NBW were only found
between the first and second sessions and between the second and third sessions.
The walking speed in the sixth session, measured one hour after the fifth
session, was decreased in comparison with that in the fifth session. Significant
differences in stride length during NBW were only found between the first and
second sessions and between the second and third sessions. The stride length in
the sixth session, measured one hour after the fifth session, was decreased in
comparison with that in the fifth session. Significant differences in VAS score
(sense of fear) after NBW were found for each session. VAS score for the sixth
session, measured one hour after the fifth session, was decreased in comparison
with that for the fifth session. [Conclusions] The findings in the present study
suggest that NBW is indeed a novel task and that motor learning occurs as a
result of practice, leading to a more efficient recruitment of motor units.
PMID- 24926143
TI - Effect of isometric quadriceps exercise on muscle strength, pain, and function in
patients with knee osteoarthritis: a randomized controlled study.
AB - [Purpose] The aim of present study was to investigate the effects of isometric
quadriceps exercise on muscle strength, pain, and function in knee
osteoarthritis. [Subjects and Methods] Outpatients (N=42, 21 per group; age range
40-65 years; 13 men and 29 women) with osteoarthritis of the knee participated in
the study. The experimental group performed isometric exercises including
isometric quadriceps, straight leg raising, and isometric hip adduction exercise
5 days a week for 5 weeks, whereas the control group did not performed any
exercise program. The outcome measures or dependent variables selected for this
study were pain intensity, isometric quadriceps strength, and knee function.
These variables were measured using the Numerical Rating Scale (NRS), strength
gauge device, and reduced WOMAC index, respectively. All the measurements were
taken at baseline (week 0) and at the end of the trial at week 5. [Results] In
between-group comparisons, the maximum isometric quadriceps strength, reduction
in pain intensity, and improvement in function in the isometric exercise group at
the end of the 5th week were significantly greater than those of the control
group (p<0.05). [Conclusion] The 5-week isometric quadriceps exercise program
showed beneficial effects on quadriceps muscle strength, pain, and functional
disability in patients with osteoarthritis of the knee.
PMID- 24926145
TI - Comparison of Cervical Range of Motion and Cervical FRR between Computer Users in
Their Early and Late 20s in Korea.
AB - [Purpose] This study compared the cervical range of motion and cervical FRR
between computer users in their early and late 20s in Korea. [Subjects] Eleven
male and 7 female computer users in their early 20s and 10 male and 6 female
computer users in their late 20s participated in this study. [Methods] All
cervical ROM measurements were taken with a Cervical Range of Motion Instrument.
Electromyographic (EMG) data were obtained for analyzing the FR ratio. [Results]
Cervical extension, right and left lateral flexion, and right and left rotation
in the late 20s computer users were significantly lower compared with the
cervical motions in the early 20s computer users. The cervical FRR in the late
20s computer users was significantly lower compared with the cervical FRR in the
early 20s computer users. [Conclusion] This study was conducted to be prepared
that the possibility for young computer generations in Korea could easily develop
chronic neck pain.
PMID- 24926144
TI - Electromyographic Activities of Trunk Muscles Due to Different Exercise
Intensities during Pulley-based Shoulder Exercises on an Unstable Surface.
AB - [Purpose] This study examined the relationship between core stability and
exercise intensity during a pulley-based shoulder exercise (PBSE) on an unstable
support surface. [Subjects] Twenty healthy college students enrolled in this
study. [Methods] Surface EMG was carried out in twenty healthy adult men. The
electromyographic activities of the rectus abdominis (RA), erector spinae (ES),
exercises with 14 kg or 26 kg of resistance and external oblique (EO) muscles
during pulley-based shoulder on an unstable support surface (USS) were compared.
[Results] The EMG signals of the RA, ES, and EO did not increase with increasing
exercise resistance. [Conclusion] Increasing the exercise intensity to increase
the core stability during PBSE on a USS may be ineffective.
PMID- 24926146
TI - A 3-month Follow-up Study of the Long-term Effects of Direct Stretching of the
Tensor Fasciae Latae Muscle in Patients with Acute Lumbago Using a Single-case
Design.
AB - [Purpose] A 3-month follow-up study was conducted on a patient diagnosed with
acute nonspecfic lumbago. Direct stretching (DS) of the tensor fasciae latae
muscle (TFLM) was performed, and an immediate effect was confirmed. [Subjects]
The case subject was a 60-year-old woman diagnosed with acute nonspecific
lumbago. [Methods] We used a single-case study design and an AB-type study
structure, in which the leg was placed in positions that relieved the back pain
in period A and DS of the TFLM was performed in period B. The evaluation indices
were the visual analog scale (VAS), finger-to-floor distance (FFD), and posterior
lumbar flexibility (PLF), which were analyzed using the binomial test. [Results]
The VAS, FFD, and PLF in period B showed significant improvement when compared
with period A. Additionally, complaints of lower back pain ceased after 2 weeks,
and the results of the follow-up study showed no recurrence of back pain during
the 3 months. [Conclusion] The results of this study suggest that DS of the TFLM
has an immediate effect on acute nonspecific lumbago in addition to long-term
pain relief effects.
PMID- 24926147
TI - Effects of pelvic adjustment on female university students' gait variables.
AB - [Purpose] The purpose of this study was to examine the effects of pelvic
adjustment using Gonstead techniques on posture in female university students.
[Subjects] In this study, 30 female university students were selected and divided
into a pelvic adjustment group of 15 subjects as an experimental group and a
stretching group of 15 subjects as a control group. [Methods] Step length
difference (SLD), stance phase difference (STPD), swing phase difference (SWPD),
single support difference (SSD), and step time difference (STD) were evaluated in
the subjects using an OptoGait. [Results] Whereas the adjustment group showed
statistically significant differences in SLD, STPD, SWPD, SSD, and STD, the
stretching group did not show any statistically significant differences in any of
the items. [Conclusion] Pelvic adjustment can be applied using Gonstead
techniques as a method of reducing differences in normal gait variables between
the left and right sides in adults.
PMID- 24926148
TI - The effect of ankle taping and balance exercises on postural stability indices in
healthy women.
AB - [Purpose] The purpose of this study was to compare the effect of ankle taping and
balance exercises on postural stability indices in healthy women. [Subjects and
Methods] Thirty healthy female students were randomly assigned into two equal
groups: ankle taping and balance exercise. The balance exercise group performed
balance exercises for 6 weeks, with 3 sessions per week and each session lasting
40 minutes. Ankle joint taping was performed for 6 weeks and was renewed three
times a week. Before and after the interventions, overall, anteroposterior, and
mediolateral stability indices were measured with a Biodex Balance System in
bilateral and unilateral stance positions with the eyes open and closed.
[Results] In the taping group during bilateral standing with the eyes closed, the
overall stability index changed from 6+/-1.4 to 4.8+/-1.3, anteroposterior
stability index changed from 4.2+/-1.27 to 3.4+/-0.97, and mediolateral stability
index changed from 3.2+/-0.75 to 2.7+/- 0.7. In the balance exercise group during
bilateral standing with the eyes closed, the overall stability index changed from
5.7+/-1.69 to 4.5+/-1.94, anteroposterior stability index changed from 4.1+/-1.61
to 3+/-1.21, and mediolateral stability index changed from 3.5+/-1.4 to 2.2+/-
1.3. No significant difference was seen between the two groups regarding any
study variables. [Conclusion] The results showed that compared with the taping
technique, balance training increases postural stability in the majority of the
studied balance situations.
PMID- 24926149
TI - Clinical Reasoning of Physical Therapists regarding In-hospital Walking
Independence of Patients with Hemiplegia.
AB - [Purpose] Physical therapists must often determine whether hemiparetic patients
can walk independently. However, there are no criteria, so decisions are often
left to individual physical therapists. The purpose of this study was to explore
how physical therapists determine whether a patient with hemiplegia can walk
independently in a ward. [Methods] The subjects were 15 physical therapists with
experience of stroke patients' rehabilitation. We interviewed them using semi
structured interviews related to the criteria of the states of walking in the
ward of hemiparetic patients. The interviews were transcribed in full, and the
texts were analyzed by coding and grouping. [Results] From the results of the
interviews, PTs determined patients' independence of walking in hospital by
observation of behavior during walking or treatment. The majority of PTs focused
on the patients' state during walking, higher brain function, and their ability
to balance. In addition, they often asked ward staff about patients' daily life,
and self-determination. [Conclusions] We identified the items examined by
physical therapists when determining the in-hospital walking independence of
stroke patients. Further investigation is required to examine which of these
items are truly necessary.
PMID- 24926150
TI - Optimal and Maximal Loads during Hip Adduction Exercise by Asymptomatic People.
AB - [Purpose] The purpose of this study was to provide data for decision making
regarding the optimal and maximal hip adduction loads for clinical and fitness
purposes, respectively. [Subjects] Forty-eight (24 males, 24 females)
asymptomatic adults participated in this study. [Methods] Subjects performed
optimal and maximal hip adduction loads. Regarding the gender, body weight and
height variables, a stepwise multiple regression analysis was used to identify
the most informative variables for predicting the optimal and maximal loads
during the hip adduction exercise. [Results] The regression model for optimal hip
adduction load (kg) was: 34.3 + 0.4 * weight - 0.27 * height (r(2)= 0.77); and
the regression model for maximal hip adduction load (kg) was: 39.5 + 0.5 * weight
- 0.3 * height (r(2)= 0.75). [Conclusion] These models can aid in deciding the
optimal and maximal hip adduction loads for clinical and fitness purposes,
respectively. Thus, the optimal hip adduction load model can be used to
strengthen the hip adductor muscles or enhance core stability in clinical
settings.
PMID- 24926151
TI - A Cross-sectional Study of Resting Cardio-respiratory and Metabolic Changes in
Pregnant Women.
AB - [Purpose] We examined cardiorespiratory and metabolic changes across the 1st
(G1), 2nd (G2) and 3rd (G3) trimesters in pregnant women. [Subjects and Methods]
Forty-two healthy, active, non-smoking, pregnant women participated in this
study. They were divided into G1, G2 and G3 groups depending on their mean
gestational ages at the time of testing which were 10.5 +/-2.9, 19.2 +/-3.4, and
33.3 +/-2.4 weeks of gestation, respectively. Cardio-respiratory and metabolic
variables, VO2 (oxygen consumption), VCO2 (carbon dioxide production), and VE
(minute ventilation), were measured using indirect calorimetry (IC, gas analyser)
to estimate ventilatory equivalents of oxygen (VE/VO2) and carbon dioxide
(VE/VCO2), RER (respiratory exchange ratio) and REE (resting energy expenditure).
[Results] Women in the late pregnancy period had higher resting VCO2 and RER,
whereas the VE/VCO2 ratio was significantly lower than in G1 and in G2. Even
though the values of VO2 and REE increased throughout the course of pregnancy, no
significant differences were found. [Conclusion] In pregnant women, resting
cardiorespiratory and metabolic variables continuously changed throughout the 3
trimesters. Changes in VE/VCO2 and RER indicate shifting metabolic energy
substrates. In addition, changes in cardiorespiratory variables, in parallel with
gas exchange, indicate a better gas exchange process.
PMID- 24926152
TI - Comparison of the Symmetry of Right and Left Lateral Cervical Flexion and
Rotation and the Cervical FRR in Young Computer Workers.
AB - [Purpose] This study compared the symmetry of right and left lateral cervical
flexion and rotation, and the cervical flexion-relaxation ratio (FRR) in young
computer workers in Korea. [Subjects and Methods] Twenty computer workers (14
males and 6 females) participated in this study. We measured their right and left
lateral cervical flexion, rotation, and FRR. [Results] Right and left lateral
flexion and right and left rotation showed no significant differences between the
sides. The left cervical FRR was significantly lower than the right cervical FRR.
[Conclusion] The cervical FRR, expressed as a numerical value, is a more
sensitive marker for measuring neuromuscular changes associated with mild
asymmetry than CROM.
PMID- 24926153
TI - Changes in neck muscle thickness due to differences in intermittent cervical
traction force measured by ultrasonography.
AB - [Purpose] Many patients receive intermittent cervical traction in a daily
treatment setting. However, unified settings for traction force, duration, and
direction have yet to be determined. Therefore, an objective index is required to
determine changes in traction conditions or to indicate its effectiveness.
[Subjects] Fifteen healthy males volunteers participated in this study. [Methods]
The thickness of the trapezius and splenius capitis muscles before and during
traction were measured using ultrasonography at three traction forces: 5, 8 and
11 kg. [Results] Significant differences in muscle thickness were observed at 11
kg in the trapezius, and at 8 kg and 11 kg in the splenius capitis muscles. The
muscle thickness ratio of the trapezius muscle showed a significant difference
between 11 kg and 5 and 8 kg, and between 5 kg and 8 and 11 kg, as well as
between 8 kg and 11 kg for the splenius capitis muscles. [Conclusion] Differences
in muscle direction between the trapezius and splenius capitis muscles may
account for the contrasting results obtained at the 8 kg traction force. This
finding suggests that cervical traction must be performed considering the effects
on different neck regions.
PMID- 24926154
TI - A Review of Signal Transduction of Endothelin-1 and Mitogen-activated Protein
Kinase-related Pain for Nanophysiotherapy.
AB - [Purpose] An understanding of pain is very important in the study of
nanophysiotherapy. In this review, we summarize the mechanisms of endothelin-1
(ET-1)- and mitogen-activated protein kinase (MAPK)-related pain, and suggest
their applications in pain physiotherapy. [Method] This review focuses on the
signal transduction of pain and its mechanisms. [Results] Our reviews show that
mechanisms of ET-1- and MAPK-related pain exist. [Conclusions] In this review
article, we carefully discuss the signal transduction in ET-1- and MAPK-related
pain with reference to pain nanophysiotherapy from the perspective of
nanoparticle-associated signal transduction.
PMID- 24926155
TI - Non-surgical Intervention of Knee Flexion Contracture in Children with Spina
Bifida: Case Report.
AB - [Purpose] The purpose of this case report is to describe for the first time, the
use of serial casting in the management of knee joint flexion contracture for a
young child with spina bifida. [Case Description] The child was 6 years old, and
had L3-L4 spina bifida level lesion with quadriceps muscle strength grade 3 +.
The child had previously received weekly physiotherapy including stretching for
knee flexion contracture on both lower limbs, but without improvement. [Results]
The knee flexion contracture, which was not corrected with passive stretching,
improved with casting from -40 degrees knee extension to -5 degrees knee
extension as measured by a standard goniometer over a period of 4 weeks. Careful
measures were taken to ensure skin integrity. At follow up after one-year, the
child could ambulate independently with the help of walking aids. [Conclusion]
The outcome indicates that using serial casting and follow-up with the use of
bracing may be useful for enhancing the walking ability of a young child with
spina bifida with knee flexion contractures. Further investigations of serial
casting as well as investigation of serial casting with other interventions are
warranted.
PMID- 24926156
TI - The use of propensity scores to assess the generalizability of results from
randomized trials.
AB - Randomized trials remain the most accepted design for estimating the effects of
interventions, but they do not necessarily answer a question of primary interest:
Will the program be effective in a target population in which it may be
implemented? In other words, are the results generalizable? There has been very
little statistical research on how to assess the generalizability, or "external
validity," of randomized trials. We propose the use of propensity-score-based
metrics to quantify the similarity of the participants in a randomized trial and
a target population. In this setting the propensity score model predicts
participation in the randomized trial, given a set of covariates. The resulting
propensity scores are used first to quantify the difference between the trial
participants and the target population, and then to match, subclassify, or weight
the control group outcomes to the population, assessing how well the propensity
score-adjusted outcomes track the outcomes actually observed in the population.
These metrics can serve as a first step in assessing the generalizability of
results from randomized trials to target populations. This paper lays out these
ideas, discusses the assumptions underlying the approach, and illustrates the
metrics using data on the evaluation of a schoolwide prevention program called
Positive Behavioral Interventions and Supports.
PMID- 24926157
TI - An analysis of functional shoulder movements during task performance using
Dartfish movement analysis software.
AB - PURPOSE: Video-based movement analysis software (Dartfish) has potential for
clinical applications for understanding shoulder motion if functional measures
can be reliably obtained. The primary purpose of this study was to describe the
functional range of motion (ROM) of the shoulder used to perform a subset of
functional tasks. A second purpose was to assess the reliability of functional
ROM measurements obtained by different raters using Dartfish software. MATERIALS
AND METHODS: Ten healthy participants, mean age 29 +/- 5 years, were videotaped
while performing five tasks selected from the Disabilities of the Arm, Shoulder
and Hand (DASH). Video cameras and markers were used to obtain video images
suitable for analysis in Dartfish software. Three repetitions of each task were
performed. Shoulder movements from all three repetitions were analyzed using
Dartfish software. The tracking tool of the Dartfish software was used to obtain
shoulder joint angles and arcs of motion. Test-retest and inter-rater reliability
of the measurements were evaluated using intraclass correlation coefficients
(ICC). RESULTS: Maximum (coronal plane) abduction (118 degrees +/- 16 degrees )
and (sagittal plane) flexion (111 degrees +/- 15 degrees ) was observed during
'washing one's hair;' maximum extension (-68 degrees +/- 9 degrees ) was
identified during 'washing one's own back.' Minimum shoulder ROM was observed
during 'opening a tight jar' (33 degrees +/- 13 degrees abduction and 13
degrees +/- 19 degrees flexion). Test-retest reliability (ICC = 0.45 to 0.94)
suggests high inter-individual task variability, and inter-rater reliability (ICC
= 0.68 to 1.00) showed moderate to excellent agreement. CONCLUSION: KEY FINDINGS
INCLUDE: 1) functional shoulder ROM identified in this study compared to similar
studies; 2) healthy individuals require less than full ROM when performing five
common ADL tasks 3) high participant variability was observed during performance
of the five ADL tasks; and 4) Dartfish software provides a clinically relevant
tool to analyze shoulder function.
PMID- 24926158
TI - Oxford shoulder score in a normal population.
AB - BACKGROUND: The function of the asymptomatic normal shoulder may differ according
to gender and could also deteriorate with age. This may result in a disparity in
the normal Oxford shoulder score (OSS) according to these variables. If a
difference were to exist an adjusted OSS, for age and gender, could be calculated
from the raw score using the expected normal score. AIM: The aim of this study
was to define a normal OSS in an asymptomatic population according to age and
gender. MATERIALS AND METHODS: During the study period 202 patients aged from 20
years to 99 years with subjectively asymptomatic shoulders completed an OSS.
These patients presented to the study center during a 1 week period for
management of disorders out with their shoulder girdle. Patients with a known
prior shoulder pathology, injury, or polyarthropathy were excluded. RESULTS: The
mean OSS varied according age and gender. There was a significant correlation
between age and the OSS, with an increasing score (worse) being associated with
older age (r = 0.62, P < 0.0001). The mean OSS for females was 18.8 (12-42, SD
5.4) and for males was 16.3 (12-30, SD 4.5), this difference was significant (P =
0.0001). We propose that a normalized OSS could be calculated as a percentage by
the using the expected normal for that patient's age and gender as demonstrated
in this study ((raw score/normal score) * 100). CONCLUSION: Our study provides
normal data for an urban population presenting to orthopedic services and allows
for a relative OSS to be calculated from the raw score.
PMID- 24926159
TI - Single-row, double-row, and transosseous equivalent techniques for isolated
supraspinatus tendon tears with minimal atrophy: A retrospective comparative
outcome and radiographic analysis at minimum 2-year followup.
AB - PURPOSE: The purpose of this study was to measure and compare the subjective,
objective, and radiographic healing outcomes of single-row (SR), double-row (DR),
and transosseous equivalent (TOE) suture techniques for arthroscopic rotator cuff
repair. MATERIALS AND METHODS: A retrospective comparative analysis of
arthroscopic rotator cuff repairs by one surgeon from 2004 to 2010 at minimum 2
year followup was performed. Cohorts were matched for age, sex, and tear size.
Subjective outcome variables included ASES, Constant, SST, UCLA, and SF-12
scores. Objective outcome variables included strength, active range of motion
(ROM). Radiographic healing was assessed by magnetic resonance imaging (MRI).
Statistical analysis was performed using analysis of variance (ANOVA), Mann -
Whitney and Kruskal - Wallis tests with significance, and the Fisher exact
probability test <0.05. RESULTS: Sixty-three patients completed the study
requirements (20 SR, 21 DR, 22 TOE). There was a clinically and statistically
significant improvement in outcomes with all repair techniques (ASES mean
improvement P = <0.0001). The mean final ASES scores were: SR 83; (SD 21.4); DR
87 (SD 18.2); TOE 87 (SD 13.2); (P = 0.73). There was a statistically significant
improvement in strength for each repair technique (P < 0.001). There was no
significant difference between techniques across all secondary outcome
assessments: ASES improvement, Constant, SST, UCLA, SF-12, ROM, Strength, and MRI
re-tear rates. There was a decrease in re-tear rates from single row (22%) to
double-row (18%) to transosseous equivalent (11%); however, this difference was
not statistically significant (P = 0.6). CONCLUSIONS: Compared to preoperatively,
arthroscopic rotator cuff repair, using SR, DR, or TOE techniques, yielded a
clinically and statistically significant improvement in subjective and objective
outcomes at a minimum 2-year follow-up. LEVEL OF EVIDENCE: Therapeutic level 3.
PMID- 24926160
TI - Bone properties of the humeral head and resistance to screw cutout.
AB - Surgical treatment of fractures involving the proximal humeral head is hampered
by complications. Screw cutout is the major pitfall seen in connection with rigid
plating. We have exploited a bony explanation for this phenomenon. MATERIALS AND
METHODS: We examined the convex surface of the humeral head looking at the
density and the topographical strength of the subchondral bone using mechanical
testing of bone cylinders harvested from the humeral head. We also studied the
osseous architecture of the subchondral bone and thickness of the boneplate of
the humeral head using a 3-dimensional serial sectioning technique. RESULTS: The
bone strength and bone density correlated well and revealed large regional
variations across the humeral head. Bone strength and stiffness of the trabecular
bone came to a maximum in the most medial anterior and central parts of the
humeral head, where strong textural anisotropy was also found. We found in
particular a lower bone strength and density in the posterior and inferior
regions of the humeral head. A rapid decline in bone strength within a few mm
below a relatively thin subchondral plate was also reported. CLINICAL RELEVANCE:
We have in this paper explored some of the most important factors connected with
screw stability at the cancellous bone level. We discovered large variations in
bone density and bone strength across the joint surface rendering certain areas
of the humeral head less suitable for screw placement. The use of rigid plate
constructs with divergent screw directions will predictably place screws in areas
of the humeral head comprising low density and low strength cancellous bone. New
concepts of plates and plating techniques for the surgical treatment of complex
fractures of the proximal humerus should take bone distribution, strength, and
architecture into account.
PMID- 24926161
TI - Combination of a floating clavicle and a posterior shoulder dislocation: An
"Unhappy Triad" of the shoulder girdle.
AB - We present a case of a 31-year-old man who suffered from a floating clavicle in
combination with a reverse Hill-Sachs lesion of his right shoulder girdle after a
bicycle accident. Operative treatment was performed using minimal-invasive and
arthroscopically assisted techniques. We strongly recommend an early CT scan with
later 3-dimensional reconstruction to detect and fully understand these complex
injuries.
PMID- 24926162
TI - Recurrent Fusobacterium pyogenic myositis of the rotator cuff A case report of
recurrent Fusobacterium pyogenic myositis of the rotator cuff.
AB - Pyogenic myositis is uncommon. It normally affects the large muscle groups in the
lower limb or trunk and the most common causative organism is Staphylococcus
aureus. We present a case of an immunocompetent man who, unusually, had a
recurring form of the disease in subscapularis and teres minor. The causative
organism was also highly unusual (Fusobacterium).
PMID- 24926163
TI - Distal humerus shear-fractures: "Built-on" surgical technique.
AB - When treating a distal humeral shear fracture, comminution of the lateral column
may preclude the reconstruction of the lateral articular fragments. In this
article a new strategy for the management lateral column comminuted shear
fractures (LCCSF) is presented, called the "built-on" surgical technique. Three
goals are obtained by this technique: (1) Restoration of the lateral column bone
stock; (2) Provision of a solid scaffold for the repair of the lateral ulnar
collateral ligament (LUCL); and (3) Provision of a sable platform for the
reconstruction and fixation of the articular fragments. We will obtain these
goals through the following surgical steps: 1/ Reconstruction of the lateral
trochlea. 2/ Reconstruction of the lateral column 3/ Fixation of the Capitellum
4/ Reconstruction of the LUCL.
PMID- 24926164
TI - State of the globe: hepatitis a virus - return of a water devil.
PMID- 24926165
TI - Investigating a community-wide outbreak of hepatitis a in India.
AB - BACKGROUND: There was an outbreak of acute hepatitis in Mylapore village, Kollam
district, Kerala, southern India during February to June 2013. An outbreak
investigation was initiated with the objective of describing the epidemiological
features of the hepatitis outbreak. MATERIALS AND METHODS: House-to-house visits
were undertaken to identify symptomatic cases. The outbreak was described in
terms of person, place and time. Hypothesis was generated based on findings from
descriptive study, laboratory investigation of water samples, and environmental
observations. A case-control study was designed to test the hypothesis. Chi
square test, univariate analysis, and logistic regression to identify the risk
factors associated with hepatitis A infection were done. RESULTS: Line list
generated consisted of 45 cases. Attack rate was the highest among the age group
15-24 years (4.6%) followed by 5-14 years (3.1%). The geographical distribution
of the cases suggested a clustering around the water supply through the pipeline
and epidemic curve showed a sharp rise in cases suggestive of a common source
outbreak. Water samples collected form pipeline showed evidence of fecal
contamination and absence of residual chlorine. In the case-control study, having
consumed water from the pipeline (odds ratio: 9.01 [95% confidence interval: 2.16
37.61]) was associated with the hepatitis A cases. CONCLUSION: The time frame of
disease occurrence, environmental observations, anecdotal evidences, laboratory
results and results of the analytical study indicated the possibility of
occurrence of hepatitis A outbreak as a result of pipe water contamination
supplied from a bore well. The study warrants establishment of an efficient water
quality surveillance system.
PMID- 24926167
TI - Acute pneumococcal myelitis in an adult patient.
AB - Pneumococcal meningitis represents the most severe community-acquired bacterial
meningitis. The disease is frequently associated with various complications. We
present a case of pneumococcal meningitis in an immunocompetent adult patient
treated with hypothermia. The disease course was complicated with severe myelitis
and consequent paraplegia which is an extremely rare complication of pneumococcal
disease.
PMID- 24926166
TI - Hepatic and biliary ascariasis.
AB - Ascariasis mainly contributes to the global helminthic burden by infesting a
large number of children in the tropical countries. Hepato-biliary ascariasis
(HBA) is becoming a common entity now than in the past owing to the frequent
usage of ultrasonograms and endoscopic diagnostic procedures in the clinical
practice. There are a variety of manifestations in HBA and diagnosis depends on a
high index of suspicion in endemic areas coupled with subsequent confirmation by
sonographic or endoscopic demonstration of the worm. Most of them present with
acute abdomen and jaundice. Oriental or recurrent pyogenic cholangiopathy is
possibly the result of HBA, commonly encountered in South-East Asian countries.
Conservative treatment with anthelminthic agents is used in the majority. Failure
to respond to medical therapy usually indicates the need for endoscopic or
surgical interventions. Overall, mortality is low and prognosis is good, but many
epidemiological and immunological aspects of Ascaris infection are unclear,
meaning our understanding the disease and infection still remains incomplete.
Therefore, it is difficult to definitely put down a fixed modality of treatment
for HBA. This underscores the need for further studies as ascariasis has the
potential to adversely affect the national socio-economy by compromising the
health of children and adults alike with its sheer number.
PMID- 24926168
TI - Acute pancreatitis complicating severe dengue.
AB - Dengue is an arthropod borne viral infection endemic in tropical and subtropical
continent. Severe dengue is life threatening. Various atypical presentations of
dengue have been documented. But we present a rare and fatal complication of
severe dengue in form of acute pancreatitis. A 27-year-old male had presented
with severe dengue in decompensated shock and with pain in abdomen due to
pancreatitis. The pathogenesis of acute pancreatitis in dengue is not clearly
understood, but various mechanisms are postulated. The awareness and timely
recognition of this complication is very important for proper management.
PMID- 24926169
TI - Acute arthritis in crimean-congo hemorrhagic Fever.
AB - Crimean-Congo hemorrhagic fever is a severe viral disease caused by a Nairovirus.
An atypical manifestation in the form of acute arthritis was found in a confirmed
Crimean-Congo hemorrhagic fever virus Kosova-Hoti strain positive patient. Acute
arthritis in Crimean-Congo hemorrhagic fever (CCHF) may be as a result of immune
mechanisms or the bleeding disorder underlying CCHF.
PMID- 24926170
TI - Intravenous immunoglobulin in the treatment of severe clostridium difficile
colitis.
AB - Intravenous immunoglobulin (IVIG) has been utilized in patients with recurrent
and refractory Clostridium difficile colitis. It is increasingly being used in
patients with initial clinical presentation of severe colitis. Herein, we report
a case of severe C. Difficile colitis successfully treated with IVIG with a
review of the medical literature to identify the optimal timing and clinical
characteristics for this treatment strategy.
PMID- 24926171
TI - Splenic infarct due to scrub typhus.
AB - Scrub typhus is a mite borne infectious disease that has the potential to involve
multiple organs and can be fatal. Involvement of the abdomen in the form of
hepatitis, gastric ulcerations and pancreatitis are well-documented, the
pathology being disseminated vasculitis. However involvement of the spleen in
scrub typhus is extremely rare and is reported only in a few autopsy studies. We
report the case of a 50-year-old lady who presented with fever and left upper
quadrant abdominal pain due to a splenic infarct due to scrub typhus.
PMID- 24926172
TI - Tuberculous optochiasmatic arachnoiditis.
PMID- 24926173
TI - Antimicrobial Susceptibility Pattern of Burkholderia cepacia Isolates from
Patients with Malignancy.
PMID- 24926174
TI - Primary Multi Drug Resistant Extra-pulmonary Tuberculosis Presenting as Cervical
Lymphadenitis.
PMID- 24926175
TI - Energy Transfer of CdSe/ZnS Nanocrystals Encapsulated with Rhodamine-Dye
Functionalized Poly(acrylic acid).
AB - Energy transfer between a CdSe/ZnS nanocrystal (NC) donor and a rhodamine
isothiocyanate (RITC) acceptor has been achieved via a functionalized
poly(acrylic acid) (PAA) encapsulating layer over the surface of the NC. The
modification of PAA with both N-octylamine (OA) and 5-amino-1-pentanol (AP), [PAA
OA-AP], allows for the simultaneous water-solubilization and functionalization of
the NCs, underscoring the ease of synthesizing NC-acceptor conjugates with this
strategy. Photophysical studies of the NC-RITC constructs showed that energy
transfer is efficient, with kFRET approaching 108 s-1. The ease of the covalent
conjugation of molecules to NCs with PAA-OA-AP coating, together with efficient
energy transfer, makes the NCs encapsulated with PAA-OA-AP attractive candidates
for sensing applications.
PMID- 24926176
TI - New method for fixation point of tibialis posterior tendon transfer.
AB - BACKGROUND: The transfer of the tibialis posterior tendon to the dorsum of foot
can restore the function of theparalyzed dorsiflexor muscles of the foot and
ankle. In order to reduce the wound complication in the insertionsite of tendon
to bone by a plantar knop we used a new method of fixation by an absorbable screw
inserted dorsally. METHODS: we performed this operation on 15 patients in a 3
years period. All patients had drop foot deformitydue to irreversible lesions of
the peroneal nerve. The inclusion criteria was the peroneal nerve palsy that
wasnot improved after 18 months even by using nerve releasing or nerve repairing.
All patients were evaluatedafter 6 months for ankle function and wound
complications. RESULT: Of 15 patients one was excluded from study. At 6 month ten
patients got excellent score (66%) and 4good score (26%) further ankle function.
There was no wound complication at insertion site. CONCLUSION: This simple
modification for insertion site fixation had good result in restoring ankle
functionwhiles eliminated the possibility of plantar pressure sores caused by
fixation knop.
PMID- 24926177
TI - Trend of smoking among students of Tehran University of Medical Sciences: results
from four consecutive surveys from 2006 to 2009.
AB - BACKGROUND: Smoking within students community of the medical sciences can
negatively impact the attitudesof the society in future. The objectives of this
study were to assess the prevalence and trends of smoking amongstudents of Tehran
University of Medical Sciences. METHOD: This study was conducted in four
consecutive years from 2006 to 2009. The study sample was takenfrom the first- to
fourth-year, undergraduate and graduate (doctorate) students of Tehran University
of MedicalSciences. Census was applied for sampling. Structured questionnaires
were distributed to students of each class.The study was anonymous and self
administered. RESULTS: From 2006 to 2009, a total of 1568 to 1761 students
participated in the study each year. Over thestudy period, i.e. 2006-2009, the
prevalence of cigarette smoking was decreased (the overall prevalence was12.5% in
2006, 12.9% in 2007, 10.8% in 2008, and 10.5% in 2009). The corresponding values
for the onemonth prevalence were 8.2%, 7.8%, 6.1%, and 5.8%, while those for the
prevalence of daily smoking were3.4%, 4.0%, 2.9%, and 1.8%, respectively. The
decreasing trend was particularly more significant for femalestudents.
CONCLUSION: The findings suggest that the prevalence of smoking among students of
Tehran University ofMedical Sciences was in the lower end of the spectrum, in
comparison to other universities in Iran and othercountries. Additionally,
similar to the pattern observed in the developed world, this trend was
decreasing, particularlyamong girls. Implementing preventive measures for
accelerating the decreasing trend, as well as continuousmonitoring is
recommended.
PMID- 24926178
TI - Trend of diseases among Iranian pilgrims during five consecutive years based on a
Syndromic Surveillance System in Hajj.
AB - BACKGROUND: Every year more than 2 million people depart from Iran to Saudi
Arabia for Hajj ritual whichcan be faced with some different diseases. There are
not much information about frequencies and trend of diseasesin Hajj. The main
objective of this study was to determine the trend of prevalent diseases during
five consecutiveHajj rituals among Iranian pilgrims. METHODS: We established a
specific surveillance system for all Iranian pilgrims who had participated in
Hajjfrom 2004 to 2008. We monitored the pilgrims' health status before departure,
through their journey. The understudieddiseases were 19 selected types of
diseases in the Hajj. The occurrences of diseases were recorded on aresearchers
made questionnaire. We used chi-square test for analysis with the alpha lower
than 5% to reject thenull hypothesis. RESULTS: During 5 consecutive periods, a
total of 254,823 of Iranian pilgrims were monitored for more commondiseases with
this system. The most prevalent diseases were as follows: at least one type of
respiratory involvement(71.26%), common cold like syndrome (47.15%), and
musculoskeletal disorders (18.67%), The frequencyof respiratory involvement was
lower in 2006 than other years (p <0.001).There were statistically
significantdifferences between the numbers of hospitalization and patients who
were referred back to Iran with theyear of Hajj (p <0.001). CONCLUSION: Health
managers should be informed about trend and frequency of more prevalent diseases
inHajj. Easy access to health information via such surveillance system can be
possible.
PMID- 24926179
TI - Sellar reconstruction algorithm in endoscopic transsphenoidal pituitary surgery:
experience with 240 cases.
AB - BACKGROUND: Proposing a strategy for sellar reconstruction in endoscopic
transsphenoidal transsellar approachfor pituitary adenoma. METHODS: 240 patients
with pituitary adenoma underwent pure endoscopic endonasal transsphenoidal
surgery.Intra-operative CSF leaks were classified as grade 0, no observable leak;
grade 1, CSF dripping through anarachnoid membrane defect of less than 1 mm; and
grade 2, CSF flowing through an arachnoid defect of morethan 1 mm. Sellar
reconstruction was performed according to our staging system; in stage I, the
defect was coveredwith oxidized cellulose and sphenoid sinus filled up with
Gelfoam. In stage II, a layer of fat was appliedon the defect and fascia lata
placed epidurally. In stage III, one or two layers of fascia were used with
addingsurgical glue and/or lumbar drainage. Mucosa of sphenoid sinuses was kept
intact as much as possible and approximatedat the end of procedure. RESULT: intra
operative CSF leaks grade 0, 1 and 2 resulted in 133(55.4%), 78 (32.5%) and
29(12.1%) patients,respectively. Stage I of reconstruction was used in 126
patients (52.5%) with no intra-operative CSF leak orsever prolapse of arachnoid
membrane. Stage II was performed in 80 patients (33.3%) with either leak grade
1(73 patients) or grade 0 with severe prolapse of the suprasellar components
induced in the sella (2 cases) or inwhom extra-pseudocapsular dissection
performed (5 cases). Stage III was performed in 34 cases (14.2%) witheither CSF
leak grade 2 (29 patients) or grade 1 with simultaneous severe destruction or
removal of sellar floorlaterally, superiorly or inferiorly (5 patients) which
made it impossible to place the fascia underlay to the bone.A minimum of 18
months follow-up showed development of 2 CSF leaks (0.8%), one pneumocephalus
(0.4%)and 2 meningitis (0.8%) cases. CONCLUSION: Given the low postoperative CSF
leak rate, we demonstrated that our adopted sellar reconstructionstrategy
focusing mostly on the adopted intra-operative CSF leak grading system is safe
and useful forovercoming devastating complications like postoperative CSF leaks.
PMID- 24926180
TI - Effects of ageing on speed and temporal resolution of speech stimuli in older
adults.
AB - BACKGROUND: According to previous studies, most of the speech recognition
disorders in older adults are theresults of deficits in audibility and auditory
temporal resolution. In this paper, the effect of ageing on timecompressedspeech
and auditory temporal resolution by word recognition in continuous and
interrupted noisewas studied. METHODS: A time-compressed speech test (TCST) was
conducted on 30 young and 32 older adults with normalhearing thresholds. Lists of
monosyllabic words were used at three time compression ratios. Auditory
temporalresolution was determined by measuring the monosyllabic word recognition
score (WRS), in the presence ofcontinuous and interrupted noise, at three signal
to-noise ratios (S/Ns). RESULTS: There was a significant difference in TCST
scores at the three compression ratios within and betweenyoung and older adult
none (p< 0.001). Similar results were obtained in WRSs at the three S/Ns in the
presenceof interrupted and continuous noise (p< 0.001), and in the degree of
auditory temporal resolution(p=0.007). A significant correlation was found
between the level of test difficulty of TCST with WRSs in bothyoung (r = 0.549,
P=0.002) and older adults (r= 0.531, P=0.003). CONCLUSION: Our results showed
that ageing remarkably affects the processing of fast speech stimuli and
temporalresolving ability. These results are more supportive of the effect of
ageing on speech perception than onloss of hearing.
PMID- 24926181
TI - The association between dietary antioxidant intake and semen quality in infertile
men.
AB - BACKGROUND: Oxidative stress is detrimental to semen quality and has a
significant role in the etiology of malesubfertility. METHODS: Dietary intake of
antioxidants were compared between thirty two men with oligolastheno/
teratazoospermic(cases) and 32 normospermic volunteers (controls) attending
fertility clinic in Mirza Koochak-khanHospital in Tehran, Iran. All participants
were nonsmokers and matched according their age and Body MassIndex (BMI).
Nutrient consumption was calculated using a semi- quantitative food frequency
questionnaire.Semen samples were collected and were assessed by measuring volume,
concentration, motility and morphology. RESULTS: infertile subjects had a
significantly lower intake of zinc and folate compare to control ones(p<0.001).
Dietary intake of vitamin C and E was lower than recommended values in 59.4% of
case group thatwas significantly different from control ones (p<0.05). In control
group, 36.4 and 40.9% of participants had insufficientdietary intake of vitamin C
and E, respectively. Significant correlations were found between folate(r=0.5,
p<0.001), zinc (r=0.6, p<0.001) and percentage of motility and also between
vitamin E and morphology(r=0.3, p=0.03), zinc and concentration (r=0.4, p=0.004)
in all participants. CONCLUSION: summary, a low intake of folate, zinc, and
vitamin E were related to poor sperm concentrationand motility.
PMID- 24926182
TI - Treatment of segmental vitiligo with normal-hair follicle autograft.
AB - BACKGROUND: Segmental vitiligo is a small subset albeit persistent form of focal
vitiligo with dermatomal distributionand resistant to medical therapy. In recent
years, surgical therapy as hair follicle autograft transplantationhas been a hot
topic in management of segmental vitiligo. In this study, we evaluated the
efficacy of thismethod in segmental vitiligo lesions. METHODS: The study
recruited 10 patients who suffered from resistant segmental vitiligo to evaluate
the effectof transplantation of pigmented hair follicles on re-pigmentation of
the affected area. In this method, one or twopunched-biopsy skin sample with a
diameter of 5mm were harvested from occipital area of the scalps. Graftswere
trimmed and divided into the follicular segments with at least one follicle in
the interior and then insertedin the depigmented areas. Follow-up plan studies
were scheduled to evaluate presence of pigmentation in theperifollicular areas.
RESULTS: After 2 weeks, re-pigmentation was detectable surrounding the grafted
hair follicles in 60 % of thecases. After 6 months, all of the patients had
detectable re-pigmented area of about 2-9 mm. CONCLUSION: giving the surprising
result of the study, hair follicle autograft transplant is an effective
treatmentoption in the persistent segmental vitiligo.
PMID- 24926183
TI - Marjolin's ulcer: clinical and pathologic features of 83 cases and review of
literature.
AB - BACKGROUND: Marjolin's ulcer is a rare, aggressive condition that arises on
chronic skin lesions and diseases. Inthis article, we will report 83 cases of
this disease. METHODS: Retrospectively, we retrieved 83 records of patients with
cancer arising from chronic skin conditions.Data concerning demography, type of
original skin insult, time interval between original lesion and cancer,cancer
histology, and lymph node involvement were recorded. RESULTS: The mean age was
55.30 years (range: 21-90). There were 51 males (61.5%) and 32 females
(38.5%).Foot was the most prevalent site of primary skin lesion (49.4%) followed
by scalp (15.6%). Original skin insultswere burn (87.9%), osteomyelitis (2.4%),
radiation (2.4%), electrical burn (1.2%), surgical scar (2.4%),pemphigus (1.2%),
bite (1.2%), and bed sore (1.2%). Histologic diagnosis were well differentiated
SCC(38.6%), SCC, differentiation not reported (24.1%), moderately differentiated
SCC (13.2%), BCC (9.6%), poorlydifferentiated SCC (6.0%), melanoma (2.4%),
verrucous carcinoma (2.4%), MFH (1.2%), mucoepidermoidcarcinoma (1.2%), and
leiomyosarcoma (1.2%). Most of the cases occurred more than 20 years after the
initialskin insult. There were 6 (7.2%) cases that developed within 1 year (acute
Marjolin's Ulcer). Forty three patients(69.3%) had palpable regional lymph nodes.
CONCLUSION: Data in this series were in confirmation with many other reports.
Marjoln's ulcer should be consideredas a significant post-skin injury
complication.
PMID- 24926184
TI - Review of the training program of pediatric residents: is it appropriate for
their future careers?
AB - BACKGROUND: Training of pediatric residents is a dynamic process which should be
changed as the nature andepidemiology of pediatric diseases change. In this
study, we compared the training program of the pediatric residentswith the
disorders of the pediatric patients who had referred to pediatric offices.
METHODS: The study was conducted in pediatric offices in Shiraz, South of Iran.
The main complaints of all thepatients who were referred to these offices in the
first 3 days of the four seasons of the year were recorded. Finally,descriptive
statistics was used to determine the frequency of different complaints among
these patients. RESULTS: There are 58 Pediatrics offices in Shiraz and 37 offices
completed the questioners (64%). The mostfrequent complaints of the patients were
related to infections, especially respiratory and gastrointestinal
ones.Nutritional and growth problems were other frequent complaints. Nonetheless,
a high number of the patientswere referred due to skin, eye, or ear problems or
for check up of the baby. CONCLUSION: There is an important training-practice
problem in the curriculum of pediatric residents. Thus, wesuggest decreasing the
periods of inpatient subspecialty training and increasing the period of
outpatient dermatology,ophthalmology, otolaryngology, and well-baby clinics.
PMID- 24926185
TI - Harlequin ichthyosis in a neonate born with assisted reproductive technology: a
case report.
AB - Harlequin ichthyosis is a rare and the most severe form of congenital ichthyosis.
Although prenatal diagnosis isdifficult for this disorder, recently, this
obstacle has markedly improved with the use of DNA-based prenataldiagnosis. Here
in, we presented a neonate with harlequin ichthyosis born by assisted
reproductive technology(ART). In this case, the diagnosis of harlequin ichthyosis
was not established by conventional prenatal screening.
PMID- 24926186
TI - Multiple lumbar vertebral fractures following a single idiopathic seizure in an
otherwise healthy patient; a case report.
AB - It has been reported that fractures are more common in epileptic patients
relative to the general population.Seizures by repeated muscular contractions can
increase fracture risk throughout the skeleton, but the reportedpapers about non
traumatic vertebral fractures following a single episode of seizure are rare and
mostly locatedin thoracic spine with only one or two vertebral fracture. The case
we reported here was a 42 year old otherwisehealthy man who had three vertebral
fractures due to a single idiopathic seizure affected the lumbar region withno
previous history of underlying disease, trauma, or drug use.
PMID- 24926188
TI - Role of matrix metalloproteinases (MMPs) and MMP inhibitors on intracranial
aneurysms: a review article.
AB - Cerebrovascular disease is one of the leading causes of death in the world, and
about one-fourth of cerebrovasculardeaths are due to ruptured cerebral aneurysms
(CA). Hence it is important to find a way to reduce aneurysmformation and its
subsequent morbidity and mortality. Proteolytic activity capable of lysing
gelatin hasbeen shown to be increased in aneurysm tissue and expression of
plasmin, membrane-type matrix metalloproteinase-1(MT1-MMP), and matrix
metalloproteinase-2 (MMP-2) in aneurysmal wall is more than what we observein
normal cerebral arteries. MMP inhibitors such as doxycycline and statins may
prohibit aneurysm formationand growth. MMPs are important in tissue remodeling
associated with various physiological and pathologicalprocesses such as
morphogenesis, angiogenesis, apoptosis and tissue repair. In this article we
review therole of MMPs and MMP inhibitors in formation of aneurysm.
PMID- 24926187
TI - Response-oriented measuring inequalities in Tehran: second round of UrbanHealth
Equity Assessment and Response Tool (Urban HEART-2), concepts and framework.
AB - BACKGROUND: Current evidence consistently confirm inequalities in health status
among socioeconomic none, gender,ethnicity, geographical area and other social
determinants of health (SDH), which adversely influence health ofthe population.
SDH refer to a wide range of factors not limited to social component, but also
involve economic, cultural,educational, political or environmental problems.
Measuring inequalities, improving daily living conditions, andtackling
inequitable distribution of resources are highly recommended by international SDH
commissioners in recentyears to 'close the gaps within a generation'. To measure
inequalities in socio-economic determinants and core healthindicators in Tehran,
the second round of Urban Health Equity Assessment and Response Tool (Urban HEART
2)was conducted in November 2011, within the main framework of WHO Centre for
Health Development (Kobe Centre). METHOD: For 'assessment' part of the project,
65 indicators in six policy domains namely 'physical and infrastructure','human
and social', 'economic', 'governance', 'health and nutrition', and also
'cultural' domain were targetedeither through a population based survey or using
routine system. Survey was conducted in a multistage random
sampling,disaggregated to 22 districts and 368 neighborhoods of Tehran, where
data of almost 35000 households(118000 individuals) were collected. For
'response' part of the project, widespread community based development(CBD)
projects were organized in all 368 neighborhoods, which are being undertaken
throughout 2013. CONCLUSION: Following the first round of Urban HEART project in
2008, the second round was conducted to trackchanges over time, to
institutionalize inequality assessment within the local government, to build up
community participationin 'assessment' and 'response' parts of the project, and
to implement appropriate and evidence-based actionsto reduce health inequalities
within all neighborhoods of Tehran.
PMID- 24926189
TI - Thermoresponsive Polyphosphazene-Based Molecular Brushes by Living Cationic
Polymerization.
AB - A series of polyphosphazenes with molecular brush type structures have been
prepared with controlled molecular weights and narrow polydispersities. The
polymers show lower critical solution temperatures (LCST) between 18 and 90
degrees C, which can be easily tailored by choice of side-substituent to suit the
required application. A temperature triggered self-assembly is observed to give
stable colloidal aggregates with dimensions in the region of 100-300 nm.
PMID- 24926190
TI - Acquisition of Negation and Quantification: Insights From Adult Production and
Comprehension.
AB - Inspired by adult models of language production and comprehension, we investigate
whether children's nonadult interpretation of ambiguous negative quantified
sentences reflects their sensitivity to distributional patterns of language use.
Studies 1 and 2 show that ambiguous negative quantified sentences of the sort
typically used in acquisition studies are strongly avoided in adult production
and are judged as poor alternatives by adults. Corpus Studies 3 and 4 show that
children and adults overwhelmingly use quantifiers and negation in ways that
promote one interpretation of these ambiguous quantified sentences over others.
We argue that these patterns guide children's ambiguity resolution processes and
explain children's interpretations of ambiguous quantified sentences. The origin
of distributional patterns in adult production processes is discussed.
PMID- 24926191
TI - Tear film dynamics with evaporation, wetting, and time-dependent flux boundary
condition on an eye-shaped domain.
AB - We study tear film dynamics with evaporation on a wettable eye-shaped ocular
surface using a lubrication model. The mathematical model has a time-dependent
flux boundary condition that models the cycles of tear fluid supply and drainage;
it mimics blinks on a stationary eye-shaped domain. We generate computational
grids and solve the nonlinear governing equations using the OVERTURE
computational framework. In vivo experimental results using fluorescent imaging
are used to visualize the influx and redistribution of tears for an open eye.
Results from the numerical simulations are compared with the experiment. The
model captures the flow around the meniscus and other dynamic features of human
tear film observed in vivo.
PMID- 24926192
TI - Melanocytoma of the ciliary body misdiagnosed as iridodialysis.
AB - A 62-year-old female presented to our institution with dimness of vision in her
right eye. On examination, her best corrected visual acuity was 20/100 in the
right eye. The intraocular pressures were 14 mmHg in both eyes. Slit-lamp
examination revealed nuclear sclerotic cataracts bilaterally and iridodialysis in
her right eye. Seven days after the first visit, cataract surgery was performed
without any complications. One year later, she presented to our institution with
acute visual loss and ocular pain in the right eye. Best corrected visual acuity
of the right eye was light perception and the intraocular pressure was 44 mmHg.
Slit-lamp examination revealed a ciliary body mass with widespread pigment
dispersion in the anterior segment. Due to no useful vision and uncontrolled
pain, enucleation of the right eye was performed. Histopathologic examination
revealed a melanocytoma of the ciliary body.
PMID- 24926194
TI - Once-weekly albiglutide in the management of type 2 diabetes: patient
considerations.
AB - This review describes the pharmacologic, pharmacokinetic, and pharmacodynamic
properties of albiglutide, as well as its clinical efficacy and safety.
Albiglutide is a novel, once-weekly, injectable glucagon-like peptide-1 receptor
agonist for the treatment of type 2 diabetes. The European Commission recently
granted marketing authorization for the drug in the European Union and on April
15, 2014, the US Food and Drug Administration approved albiglutide (TanzeumTM
[GlaxoSmithKline LLC, Wilmington, DE, USA]) to improve glycemic control in adults
with type 2 diabetes. Albiglutide has been studied in Phase I, II, and III
clinical trials. In the Phase III clinical trials, known as the Harmony series,
weekly dosing of albiglutide demonstrated reductions in fasting plasma glucose,
postprandial plasma glucose, and glycated hemoglobin, and was associated with
weight loss. In all phases of the clinical trials, albiglutide administered once
weekly showed a safety and tolerability profile similar to that of placebo, with
mild gastrointestinal-related complaints and injection site erythema being the
most commonly encountered adverse effects. Compared with pioglitazone and
liraglutide, albiglutide has been shown to be clinically less effective. However,
it offers the benefit of weight loss that pioglitazone does not, with fewer
gastrointestinal side effects than liraglutide. As guidelines continue to
advocate for patient-centered treatment strategies, once-weekly albiglutide will
be an important addition to the growing armamentarium of treatment options for
adults with type 2 diabetes needing target glycemic control.
PMID- 24926195
TI - Social phobia in Parkinson's disease: Prevalence and risk factors.
AB - OBJECTIVE: We aimed to investigate the frequency of social phobia in patients
with Parkinson's disease (PD). In addition, we explored the relationship between
social phobia and the clinical characteristics of PD, and the frequency of
comorbid psychiatric disorders in PD patients. METHODS: This study included 80
consecutive patients with PD admitted to the Parkinson's disease and Movement
Disorders Clinic at the Erenkoy Research and Training Hospital for Neurologic and
Psychiatric Disorders, Istanbul, Turkey and used demographic and clinical data.
The PD patients were evaluated during the "on state", using the Hoehn and Yahr
scale, the Unified Parkinson's Disease Rating Scale, and the Schwab England
Activities of Daily Living Scale. Psychiatric evaluations were conducted using
the Diagnostic and Statistical Manual of Mental Disorders, Fourth Edition
structured clinical interview, the Liebowitz Social Anxiety Scale (LSAS), and the
Hamilton Depression Rating Scale. RESULTS: Social phobia was diagnosed in 42.5%
of PD patients. Social phobia was comorbid with depression in 20 patients
(58.8%), generalized anxiety disorder in 18 patients (52.9%), and panic disorder
in six patients (17.6%). Social phobia was more frequent in males, early-onset
PD, patients with a long duration of disease, the presence of postural
instability, and with the use of a high Levodopa equivalent daily dose. A
logistic regression analysis revealed the predictive factors of social phobia to
be the sex of the patient (more frequent in males) and the presence of postural
instability. There was a statistically significantly negative correlation between
the LSAS score and the age of disease onset (r=-0.503; P=0.002) and a positive
correlation between LSAS score and the duration of disease (r=0.374; P=0.023).
CONCLUSION: Social phobia is frequently observed in PD patients. Therefore, the
assessment of PD patients should always include psychiatric evaluations,
particularly for social phobia. The early detection and treatment of social
phobia in PD patients is very important for the quality of life of patients as
well as caregivers.
PMID- 24926196
TI - Disease progression model for Clinical Dementia Rating-Sum of Boxes in mild
cognitive impairment and Alzheimer's subjects from the Alzheimer's Disease
Neuroimaging Initiative.
AB - BACKGROUND: The objective of this analysis was to develop a nonlinear disease
progression model, using an expanded set of covariates that captures the
longitudinal Clinical Dementia Rating Scale-Sum of Boxes (CDR-SB) scores. These
were derived from the Alzheimer's Disease Neuroimaging Initiative ADNI-1 study,
of 301 Alzheimer's disease and mild cognitive impairment patients who were
followed for 2-3 years. METHODS: The model describes progression rate and
baseline disease score as a function of covariates. The covariates that were
tested fell into five groups: a) hippocampal volume; b) serum and cerebrospinal
fluid (CSF) biomarkers; c) demographics and apolipoprotein Epsilon 4 (ApoE4)
allele status; d) baseline cognitive tests; and e) disease state and
comedications. RESULTS: Covariates associated with baseline disease severity were
disease state, hippocampal volume, and comedication use. Disease progression rate
was influenced by baseline CSF biomarkers, Trail-Making Test part A score,
delayed logical memory test score, and current level of impairment as measured by
CDR-SB. The rate of disease progression was dependent on disease severity, with
intermediate scores around the inflection point score of 10 exhibiting high
disease progression rate. The CDR-SB disease progression rate in a typical
patient, with late mild cognitive impairment and mild Alzheimer's disease, was
estimated to be approximately 0.5 and 1.4 points/year, respectively. CONCLUSIONS:
In conclusion, this model describes disease progression in terms of CDR-SB
changes in patients and its dependency on novel covariates. The CSF biomarkers
included in the model discriminate mild cognitive impairment subjects as
progressors and nonprogressors. Therefore, the model may be utilized for
optimizing study designs, through patient population enrichment and clinical
trial simulations.
PMID- 24926197
TI - Nonepileptic seizures under levetiracetam therapy: a case report of forced
normalization process.
AB - Nonepileptic seizures (NES) apparently look like epileptic seizures, but are not
associated with ictal electrical discharges in the brain. NES constitute one of
the most important differential diagnoses of epilepsy. They have been recognized
as a distinctive clinical phenomenon for centuries, and
video/electroencephalogram monitoring has allowed clinicians to make near-certain
diagnoses. NES are supposedly unrelated to organic brain lesions, and despite the
preponderance of a psychiatric/psychological context, they may have an iatrogenic
origin. We report a patient with NES precipitated by levetiracetam therapy; in
this case, NES was observed during the disappearance of epileptiform discharges
from the routine video/electroencephalogram. We discuss the possible mechanisms
underlying NES with regard to alternative psychoses associated with the
phenomenon of the forced normalization process.
PMID- 24926198
TI - Two cases of emotional disorder after middle cerebral artery infarction showing
distinct responses to antidepressant treatment.
AB - Many emotional disturbances such as post-stroke depression (PSD) and emotional
incontinence (EI) commonly occur following cerebrovascular events. The efficacy
of antidepressants for these conditions has been established but their comorbid
treatment has not been well characterized. In the current study, the authors
describe two cases of post-stroke emotional dysregulation; one case with EI; and
the other with EI complicated by PSD. The authors describe their differential
responses to treatment. Case 1 developed EI after an infarct due to occlusion of
the penetrating branches of the left middle cerebral artery (MCA). Case 2
developed both PSD and EI after right MCA stem occlusion. Both patients were
initially treated with the selective serotonin reuptake inhibitor (SSRI)
paroxetine. Case 1 reacted promptly to SSRI treatment. However, Case 2 had only a
partial response to paroxetine, even after many months of treatment. Adjunctive
therapy with low-dose aripiprazole was eventually added, resulting in complete
improvement of both EI and PSD after 2 additional months of treatment. Thus, Case
2 required a different treatment strategy than Case 1. These findings suggest
that aripiprazole adjunctive therapy could be effective for some complex post
stroke emotional disorders.
PMID- 24926199
TI - Pixantrone for the treatment of adult patients with relapsed or refractory
aggressive non-Hodgkin B-cell lymphomas.
AB - Treatment of patients with relapsed or refractory aggressive non-Hodgkin B-cell
lymphoma remains an unmet clinical need, and the progressive myocardial toxicity
related to cumulative, dose-dependent damage induced by anthracyclines represents
a tricky issue in the planning of therapy. Pixantrone is a promising aza
anthracenedione with reduced cardiotoxicity and significant antineoplastic
activity, and has been investigated in solid and hematologic tumors in several
Phase I, II, and III trials. The aim of this review is to summarize the data
reported so far on pixantrone as a salvage therapy in relapsed/refractory non
Hodgkin B-cell lymphoma.
PMID- 24926200
TI - Cyclic adenosine monophosphate-responsive element-binding protein activation
predicts an unfavorable prognosis in patients with hepatocellular carcinoma.
AB - AIM: To investigate the clinical significance of cyclic adenosine monophosphate
responsive element-binding (CREB) and phosphorylated CREB (pCREB) expression in
human hepatocellular carcinoma (HCC). MATERIALS AND METHODS: Immunohistochemistry
and Western blot analyses were performed to detect the expression and subcellular
localizations of CREB and pCREB proteins in 130 pairs of HCC and adjacent
nonneoplastic liver tissues. RESULTS: Both immunohistochemistry and Western blot
analyses showed that the expression levels of CREB and pCREB proteins in HCC
tissues were significantly higher than those in the adjacent nonneoplastic liver
tissues (both P<0.001). In addition, the combined upregulation of CREB and pCREB
proteins (CREB-high/pCREB-high) was significantly associated with serum alpha
fetoprotein (P=0.02), tumor stage (P<0.001), and tumor grade (P=0.01). Moreover,
HCC patients with CREB-high/pCREB-high expression showed shortest 5-year disease
free survival and 5-year overall survival (both P<0.001). Furthermore, the
multivariate survival analysis found that the combined upregulation of CREB and
pCREB proteins may be an independent unfavorable prognostic factor for both 5
year disease-free survival and 5-year overall survival (both P=0.01) in HCC.
CONCLUSION: Our data indicate for the first time that the activation of the CREB
protein may be associated with tumor progression in HCC, and may serve as a
valuable marker of prognosis for patients with this malignancy.
PMID- 24926201
TI - Regulation of tumor growth and metastasis: the role of tumor microenvironment.
AB - The presence of abnormal cells with malignant potential or neoplastic
characteristics is a relatively common phenomenon. The interaction of these
abnormal cells with their microenvironment is essential for tumor development,
protection from the body's immune or defence mechanisms, later progression and
the development of life-threatening or metastatic disease. The tumor
microenvironment is a collective term that includes the tumor's surrounding and
supportive stroma, the different effectors of the immune system, blood platelets,
hormones and other humoral factors. A better understanding of the interplay
between the tumor cells and its microenvironment can provide efficient tools for
cancer management, as well as better prevention, screening and risk assessment
protocols.
PMID- 24926202
TI - Magnitude of HIV infection among older people in Mufindi and Babati districts of
the Tanzania mainland.
AB - INTRODUCTION: According to the 2011-2012 HIV and Malaria Indicator Survey, the
prevalence of HIV infection in Tanzania is 5.1%, with limited information on its
magnitude among older people, as the community believes that the elderly are not
at risk. Consequently, little attention is given to the fight against HIV and
AIDS in this group. The present study investigated the magnitude of HIV and AIDS
infection among older people in rural and urban areas of the Tanzania mainland.
SUBJECTS AND METHODS: The study was conducted in Mufindi and Babati districts of
Iringa and Manyara regions, respectively, through multistage sampling procedures.
Dried blood spot cards were used to collect blood samples for HIV testing among
consenting participants. HIV testing was done and retested using different enzyme
linked immunosorbent assay kits. RESULTS: A total of 720 individuals, 340 (47.2%)
males and 380 (52.8%) females, were randomly selected, of whom 714 (99.2%)
consented to HIV testing while six (0.8%) refused to donate blood. The age ranged
from 50 to 98 years, with a mean age of 64.2 years. Overall, a total of 56 (7.8%)
participants were HIV-positive. Females had a higher prevalence (8.3%) than males
(7.4%), with Mufindi district recording the higher rate (11.3%) compared to the
3.7% of Babati district. The prevalence was higher in the rural population (9.4%)
compared to 6.4% of their urban counterparts. CONCLUSION: Although HIV/AIDS is
considered a disease of individuals aged 15-49 years, the overall prevalence
among the older people aged 50 years and above for Mufindi and Babati districts
was higher than the national prevalence in the general population. These findings
point to the need to consider strengthening interventions targeting older
populations against HIV/AIDS in these districts while establishing evidence
countrywide to inform policy decisions.
PMID- 24926203
TI - A new device used in the restoration of kinematics after total facet
arthroplasty.
AB - Facet degeneration can lead to spinal stenosis and instability, and often
requires stabilization. Interbody fusion is commonly performed, but it can lead
to adjacent-segment disease. Dynamic posterior stabilization was performed using
a total facet arthroplasty system. The total facet arthroplasty system was
originally intended to restore the natural motion of the posterior stabilizers,
but follow-up studies are lacking due to limited clinical use. We studied the
first 14 cases (long-term follow-up) treated with this new device in our clinic.
All patients were diagnosed with lumbar stenosis due to hypertrophy of the
articular facets on one to three levels (maximum). Disk space was of normal
height. The design of this implant allows its use only at levels L3-L4 and L4-L5.
We implanted nine patients at the L4-L5 level and four patients at level L3-L4.
Postoperative follow-up of the patients was obtained for an average of 3.7 years.
All patients reported persistent improvement of symptoms, visual analog scale
score, and Oswestry Disability Index score. Functional scores and dynamic
radiographic imaging demonstrated the functional efficacy of this new implant,
which represents an alternative technique and a new approach to dynamic
stabilization of the vertebral column after interventions for spine
decompression. The total facet arthroplasty system represents a viable option for
dynamic posterior stabilization after spinal decompression. For the observed
follow-up, it preserved motion without significant complications or apparent
intradisk or adjacent-disk degeneration.
PMID- 24926204
TI - Stability of external and internal implant connections after a fatigue test.
AB - OBJECTIVE: The objective of this study was to compare the torque and detorque
values of screw intermediates of external hexagon, internal hexagon, and Morse
taper implants in single restorations before and after mechanical cycling.
MATERIALS AND METHODS: The study sample was divided into three groups (n = 10) as
follows: group EH - external hexagon implant, group IH - internal hexagon
implant, and group MT - Morse taper implant. Universal abutments were screwed on
the implants, and metal crowns were cemented onto the abutment. The samples were
submitted to a mechanical testing of 1 million cycles, with a frequency of 8
cycles per second under a 400 N load. The application and registration of the
screw torque (T0) and detorque (T1) values of the intermediate were performed
before and after the test. The results were statistically evaluated by analysis
of variance (ANOVA) and Tukey's test (alpha = 0.05). RESULTS: There was no
difference between the values of T0 and T1 in the intra-group samples. However,
the inter-group difference in T0 between the EH (12.8 N cm) and MT (18.6 N cm)
groups and in T1 between the EH (10.4 N cm) and IH (13.8 N cm), EH and MT (19.4 N
cm), and MT and IH (P = 0.001) groups were significant. The MT group showed a
lower variation of T0 and T1. CONCLUSION: The internal implants, particularly MT,
showed better stability in these cases when used for single restorations.
PMID- 24926205
TI - Comparative assessment of marginal accuracy of grade II titanium and Ni-Cr alloy
before and after ceramic firing: An in vitro study.
AB - OBJECTIVE: The aims of the study are to assess the marginal accuracy of base
metal and titanium alloy casting and to evaluate the effect of repeated ceramic
firing on the marginal accuracy of base metal and titanium alloy castings.
MATERIALS AND METHODS: Twenty metal copings were fabricated with each casting
material. Specimens were divided into 4 groups of 10 each representing base metal
alloys castings without (Group A) and with metal shoulder margin (Group B),
titanium castings without (Group C) and with metal shoulder margin (Group D). The
measurement of fit of the metal copings was carried out before the ceramic firing
at four different points and the same was followed after porcelain build-up.
RESULTS: Significant difference was found when Ni-Cr alloy samples were compared
with Grade II titanium samples both before and after ceramic firings. The
titanium castings with metal shoulder margin showed highest microgap among all
the materials tested. CONCLUSIONS: Based on the results that were found and
within the limitations of the study design, it can be concluded that there is
marginal discrepancy in the copings made from Ni-Cr and Grade II titanium. This
marginal discrepancy increased after ceramic firing cycles for both Ni-Cr and
Grade II titanium. The comparative statistical analysis for copings with metal
collar showed maximum discrepancy for Group D. The comparative statistical
analysis for copings without metal-collar showed maximum discrepancy for Group C.
PMID- 24926206
TI - Lateral incisor agenesis, canine impaction and characteristics of supernumerary
teeth in a South European male population.
AB - OBJECTIVE: To assess the prevalence of lateral incisor agenesis impacted canines
and supernumerary teeth in a young adult male population. MATERIALS AND METHODS:
The panoramic radiographs of 1745 military students (mean age: 18.6 +/- 0.52
years) who attended the Center of Aviation Medicine of the Armed Forces of Greece
during the period 1997-2011 were initially analyzed for lateral incisor agenesis
by two observers. After exclusion of the known orthodontic cases, a subgroup of
1636 examinees (mean age: 18.6 +/- 0.44 years) was evaluated for canine impaction
and supernumerary teeth. RESULTS: Twenty-eight missing lateral incisors were
observed in 22 military students, indicating an incidence of 1.3% in the
investigated population. No lateral incisor agenesis was detected in the
mandibular arch. A prevalence rate of 0.8% was determined for canine impaction in
the sample of young adults. The majority of impacted teeth (86.7%) were diagnosed
in the maxillary arch. Thirty-five supernumerary teeth were observed in 24
examinees (prevalence rate: 1.5%). The ratio of supernumerary teeth located in
the maxilla versus the mandible was 2.2:1. The most common type of supernumerary
tooth was the upper distomolar. CONCLUSION: The prevalence of lateral incisor
agenesis, canine impaction, and supernumerary teeth ranged from 0.8 to 1.5% in
the sample of male Greek military students.
PMID- 24926207
TI - Are the low-shrinking composites suitable for orthodontic bracket bonding?
AB - PURPOSE: To evaluate the shear bond strength (SBS), adhesive remnant index (ARI),
and microleakage of low-shrinking and conventional composites used as an
orthodontic bracket bonding adhesive. MATERIALS AND METHODS: A hundred twenty non
caries human premolars, extracted for orthodontic purposes, were used in this
study. Sixty of them were separated into two groups. Brackets were bonded to the
teeth in the test group with Silorane (3M-Espe) and control group with Transbond
XT (3M-Unitek). SBS values of these brackets were recorded in MPa using a
universal testing machine. ARI scores were determined after the failure of
brackets. The remaining 60 teeth were divided into two groups and microleakage
was evaluated by the dye penetration method. Statistical analyses were performed
by Wilcoxon, Pearson Chi-square, and Mann-Whitney U tests at P < 0.05 level.
RESULTS: The mean SBS for Transbond XT was significantly greater than low
shrinking composite (P < 0.001). Significant differences (chi(2) =29.60, P <
0.001) were present between the two groups for the ARI scores. Microleakage
values were lower in low-shrinking composite than in the control group, and this
difference was found to be statistically significant (P < 0.001). CONCLUSIONS:
Although low-shrinking composite produced insufficient SBS and ARI scores,
microleakage values were lower in low-shrinking composite than in the control
group on the etched enamel surfaces, when used as a bracket bonding composite.
PMID- 24926208
TI - Self-etch bonding agent beneath sealant: Bond strength for laser-irradiated
enamel.
AB - OBJECTIVES: This study evaluated the in vitro shear bond strength (SBS) of a
resin-based pit-and-fissure sealant (Fluroshield [F], Dentsply/Caulk) associated
with either an etch-and-rinse (Adper Single Bond 2 [SB], 3M/ESPE) or a two-step
self-etch adhesive system (Adper SE Plus [SE], 3M/ESPE) on Er: YAG laser
irradiated enamel. MATERIALS AND METHODS: Seventeen sound third molar crowns were
embedded in acrylic resin, and the mesial-distal enamel surfaces were flattened.
The enamel sites were irradiated with a 2.94-MUm wavelength Er: YAG laser (120
mJ, 4 Hz, noncontact mode/17 mm, 20 s). The specimens were randomly assigned to
three groups according to the bonding technique: I - 37% phosphoric acid etching
+ SB + F; II - SE + F and III - F applied to acid-etched enamel, without an
intermediate layer of bonding agent. In all of the groups, a 3-mm diameter enamel
bonding site was demarcated and the sealant cylinders were bonded. After 24 hours
in distilled water, the shear bond strength was tested at a crosshead speed of
0.5 mm/minute. The data were analyzed by one-way ANOVA and Tukey's test. The
debonded specimens were examined with a stereomicroscope to assess the failure
modes. RESULTS: The mean SBS values in MPa were I = 6.39 (+/-1.44); II = 9.50 (+/
2.79); and III = 5.26 (+/-1.82). No statistically significant differences were
observed between groups I and III; SE/F presented a significantly higher SBS than
that of the other groups (P = 0.001). With regard to the failure mode, groups I
(65%) and II (75%) presented adhesive failures, while group III showed 50%
adhesive failure. Cohesive failure did not occur. CONCLUSION: The application of
the two-step self-etch bonding agent (Adper SE Plus) beneath the resin pit-and
fissure sealant placement resulted in a significantly higher bond strength for
the Er:YAG laser-irradiated enamel.
PMID- 24926209
TI - Evaluation of number of roots and root anatomy of permanent mandibular third
molars in a Korean population, using cone-beam computed tomography.
AB - OBJECTIVE: The purpose of this study was to investigate the morphology and number
of roots of Korean mandibular third molars, and to evaluate the prevalence of C
shaped, two-rooted, and three-rooted mandibular third molars using cone-beam
computed tomography (CBCT). MATERIALS AND METHODS: Serial axial CBCT images of
the mandibles were gathered from 137 Korean patients. The total number of roots
in the mandibular third molars of these patients was measured, and both the
incidence and the correlations between left- and right-side occurrences, as well
as between males and females, were analyzed. RESULTS: Most of the mandibular
third molars either had two roots (56.5%) or one root (37.9%). There was no
significant difference regarding the incidence of the different types of roots
according to gender (female versus male) or topology (right versus left side). A
higher percentage (80.5%) of the patients had similar root morphology on both
sides. CONCLUSION: The morphology and number of 214 mandibular third molars were
examined using CBCT. There was a high prevalence of two-rooted mandibular and one
rooted mandibular third molars from this Korean population. Even though the
anatomical variations in the mandibular third molars may not be high, these data
regarding the occurrence and morphology of the roots will provide useful
information to dentists performing these procedures.
PMID- 24926210
TI - Quantitative and qualitative analysis of microorganisms in root-filled teeth with
persistent infection: Monitoring of the endodontic retreatment.
AB - OBJECTIVE: The aim of this study was to investigate in vivo microorganisms
detected in root-filled teeth with post-treatment apical periodontitis and
quantify colony-forming units (CFU) during endodontic retreatment. MATERIALS AND
METHODS: Fifteen root-filled teeth had their previous gutta-percha removed and
were randomly instrumented before being divided into three groups and medicated
with either [Ca(OH)2 + 2% CHX gel], [Ca(OH)2 + 0.9% NaCl] or 2% CHX gel. Samples
were taken after removal of gutta-percha (S1), after chemomechanical preparation
using 2% CHX gel (S2), and after inter-appointment dressing (S3) for 7 or 14 days
later. Cultivable bacteria recovered from infected root canals at the three
stages were counted and identified by means of culture and PCR assay (16S rDNA).
Quantitative data were statistically analyzed by using Mann-Whitney test in which
pairs of groups were compared (P < 0.05). RESULTS: CFU counts decreased
significantly from S1 to S2 (P < 0.05). No significant difference was found
between S2 and S3 (P = 0.3093) for all three experimental groups. Chemomechanical
preparation and intra-canal dressing promoted significant median reductions of
99.61% and 99.57%, respectively, in the number of bacteria compared to S1
samples. A total of 110 cultivable isolates were recovered by culture technique
from 32 different species and 7 different genera. Out of the 13 target species
specific primer of bacteria analyzed, 11 were detected during endodontic
retreatment. CONCLUSION: The great majority of taxa found in post-treatment
samples were Gram-positive bacteria, although Gram-negative bacteria were found
by molecular methods. Moreover, our results showed that gutta-percha removal and
chemomechanical preparation are effective for root canal disinfection, whereas
additional intra-canal dressing did not improve disinfection.
PMID- 24926211
TI - Effect of dietary boron on 5-fluorouracil induced oral mucositis in rats.
AB - OBJECTIVE: The aim of this study was to evaluate the effect of boron on 5
fluorouracil (5-FU)-induced oral mucositis in rats. MATERIALS AND METHODS: Sixty
four male Wistar albino rats were injected with 5-FU on days 1 and 3. The right
cheek pouch mucosa was scratched with the tip of an 18-G needle, dragged twice in
a linear movement, on days 3 and 5. The animals were randomly divided into two
groups of 32: boron group (BG) and control group (CG). Rats in the CG did not
receive any treatment, whereas the others were fed boron (3 mg.kg(-1).day(-1)) by
gavage. The animals were sacrificed on day 3 (n = 8), 6 (n = 8), 9 (n = 8), and
12 (n = 8), and the cheek pouch was removed for histopathological analysis.
RESULTS: On day 3, both groups showed necrosis and active inflammation, but the
inflammation was mild in CG and moderate in BG. On day 6, both BG and CG showed
necrosis; in the CG, there was moderate inflammation, and in the BG, there was
severe inflammation and granulation tissue around the necrotic area. On day 9, re
epithelization began in both groups, and there were no differences between
groups. Re-epithelization was complete in both groups on day 12. CONCLUSION: We
found no beneficial effect of boron in healing oral mucositis. Additional
research is warranted to elucidate the pathogenic inflammatory mechanisms
involved in mucositis and the prophylactic and therapeutic roles of antioxidants.
PMID- 24926212
TI - Hybridization quality and bond strength of adhesive systems according to
interaction with dentin.
AB - OBJECTIVE: To evaluate the hybridization quality and bond strength of adhesives
to dentin. MATERIALS AND METHODS: Ten human molars were ground to expose the
dentin and then sectioned in four tooth-quarters. They were randomly divided into
5 groups according to the adhesive used: Two single-step self-etch adhesives -
Adper Prompt (ADP) and Xeno III (XE), two two-step self-etching primer systems -
Clearfil SE Bond (SE) and Adhe SE (ADSE), and one one-step etch-and-rinse system
Adper Single Bond (SB). Resin composite (Filtek Z250) crown buildups were made
on the bonded surfaces and incrementally light-cured for 20 s. The restored tooth
quarters were stored in water at 37 degrees C for 24 h and then sectioned into
beams (0.8 mm(2) in cross-section). Maximal microtensile bond strength (MU-TBS)
was recorded (0.5 mm/min in crosshead speed). The results were submitted to one
way ANOVA and Tukey's test (alpha = 0.05). Thirty additional teeth were used to
investigate the hybridization quality by SEM using silver methenamine or
ammoniacal silver nitrate dyes. RESULTS: SE reached significantly higher MU-TBS
(P < 0.05); no significance was found between ADSE and XE (P > 0.05), and between
SB and ADP (P > 0.05); ADSE and XE were significantly higher than SB and ADP (P <
0.05). The bonding interface of SB showed the most intense silver uptake. SE and
ADSE showed more favorable hybridization quality than that observed for ADP and
XE. CONCLUSIONS: The bond strength and hybridization quality were affected by the
interaction form of the adhesives with dentin. The hybridization quality was
essential to improve the immediate MU-TBS to dentin.
PMID- 24926213
TI - Comparative study of surface microhardness of methacrylate-based composite resins
polymerized with light-emitting diodes and halogen.
AB - OBJECTIVE: The aim of this study was to evaluate the effect of polymerization
with quartz-tungsten-halogen (QTH) and light-emitting diodes (LED) on the surface
microhardness of eight commercially available light-polymerized, methacrylate
based composite resins, with different filler particle composition (microfill,
minifill, nanohybrids, and microhybrids) immediately after polymerization, after
24 hours, and after three months of storage. MATERIALS AND METHODS: Eighty disk
shaped specimens were prepared using a split Teflon mold (6 * 2 mm) and were
irradiated with either the QTH (Elipar 2500; 600 mW/cm(2)) for 20 seconds or an
LED (Bluephase G2; 1,200 mW/cm(2)) for 40 seconds. The microhardness values were
recorded using a Vickers hardness tester at a 300 g load for 15 seconds,
immediately after polymerization, after 24 hours, and after three months of dark
aging in distilled water at 37 degrees C. Statistical analysis was performed
using a two-way analysis of variance (ANOVA) and the Tukey's test. RESULTS: The
baseline values demonstrated a significant effect of the composite and the
interaction composite-LCU on the microhardness (P < 0.05). At 24 hours, only the
composite variable showed a significant effect on the hardness values (P < 0.05).
After three months, the composite, LCU, and the interaction composite-LCU all
demonstrated a significant effect on the microhardness (P < 0.05). CONCLUSIONS:
The effectiveness of polymerization, measured in terms of surface hardness, was
shown to be dependent not only on the type of light curing unit, but also on the
type of composite. Moreover, the choice of composite was shown to affect the
performance of the light curing unit.
PMID- 24926215
TI - The effect of different organic solvents on the degradation of restorative
materials.
AB - OBJECTIVE: To evaluate the solubility of three restorative materials exposed to
the different endodontic solvents. MATERIALS AND METHODS: The organic solvents
eucalyptus oil, xylol, chloroform, and orange oil, with distilled water as the
control group was utilized. The restorative materials light-cured resin (Filtek
Z250/3M ESPE), light-cured-resin-reinforced glass ionomer (Riva Light Cure
LC/Southern Dental Industries SDI]) and resin-modified glass ionomer (Vitremer/3M
ESPE) were analyzed. A total of 50 disks containing specimens (2 mm * 8 mm O)
were prepared for each of the three classes of restorative materials, which were
divided into 10 groups (n = 5) for immersion in eucalyptus oil, xylol,
chloroform, orange oil or distilled water for periods of either 2 min or 10 min.
The means of restorative material disintegration in solvents were obtained by the
difference between the original preimmersion weight and the postimmersion weight
in a digital analytical scale. Data were statistically analyzed by two-way
analysis of variance while the difference between the materials was analyzed by
Student-Newman-Keuls test. The significance level set at 0.05. RESULTS: Vitremer
showed the highest solubility, followed by Riva LC, and these were statistically
different from eucalyptus oil, xylol, chloroform, and distilled water (P < 0.05).
Regarding the immersion time in solvents, there were no significant differences
between the two tested periods (P > 0.05). CONCLUSIONS: The solvents minimally
degraded the composite resin, although they did influence the degradation of both
resin-modified glass ionomer resin and resin reinforced with glass ionomer.
PMID- 24926214
TI - Risk factors and socioeconomic condition effects on periodontal and dental
health: A pilot study among adults over fifty years of age.
AB - OBJECTIVE: Observational studies on the association among systemic/general and
oral cavity indices, tooth loss, periodontal conditions, and socioeconomic
inequalities are to be still performed in the population of Southern Europe. This
study aims to determine the extent of this relationship among Italian healthy
adults 50 years of age and above. MATERIALS AND METHODS: Socioeconomic and
lifestyle characteristics, cardiovascular indicators, and systemic indices were
examined by contrasting the dental indices among adult people of Northern Italy.
Data were processed through correlation analysis, and multivariate analysis was
carried out using seemingly unrelated regressions. RESULTS: A total of 118 adults
50 years of age and above, after anamnesis, underwent systemic and dental
examination. Their socioeconomic status was found to be inversely associated only
with smoking and dental parameters. Unexpected outcomes between lifestyle and
risk factors were detected. The statistical analysis showed an uneven correlation
among dental indices and between those indices and the socioeconomic status, such
as, a periodontal condition, apparently free from influences, unusually became
worse as the socioeconomic status enhanced. CONCLUSIONS: The study outcomes
indicate a relationship between tooth loss and conservative endodontic therapy,
but they result in alternative choices. Nevertheless, the socioeconomic status
has an inverse relationship with tooth loss and conservative endodontic therapy,
but a direct relation with worsening of the periodontal condition. This pilot
study highlights a need for the public health administration to adopt a
socioeconomic assessment not only based on the household income, but also to
accordingly improve its therapeutic course.
PMID- 24926216
TI - The effects of three different mouth rinses in a 4-day supragingival plaque
regrowth study.
AB - OBJECTIVE: This study compares the effects of three different mouth rinses with
respect to reducing Streptococcus mutans (S. mutans) colony counts on the teeth
and tongue surfaces. MATERIALS AND METHODS: In this study, comparison tests using
the alcohol-free 0.1% chlorhexidine mouth rinse, alcohol-containing essential oil
mouth rinse, and alcohol-free essential oil-containing mouth rinse were
conducted. Patients were instructed to avoid mechanical cleaning with either a
toothbrush or toothpick for 4 days. The first samples were collected from teeth
surfaces and the dorsum of the tongue after a professional cleaning, and the
second samples were collected after a 4-day plaque re-growth period. The
supragingival plaque from the buccal surfaces of teeth #11, 14, 31, 34 as well as
samples from the dorsum of the tongue, were assessed using the Dentocult((r))
strips. RESULTS: The Listerine((r)) and Ondrohexidine((r)) groups did not show
any statistically significant differences between the values of the two samples
(P = 0.734, P = 0.307). The MC((r)) group and the control group showed
significantly higher results than the first sample values. The effectiveness of
the mouth rinses on S. mutans colony counts from the teeth surfaces were higher
in the Listerine((r)), Ondrohexidine((r)), and Mouthwash Concentrate((r)) groups.
The difference between the first and second samples of the S. mutans colony
counts from the tongue surface was found to be statistically significant, and S.
mutans colony counts were higher than the first sample (P = 0.015). CONCLUSION:
Alcohol and essential oil-containing Listerine((r)) mouth rinse, alcohol-free
Ondrohexidine((r)), alcohol-free essential oil-containing MC((r)) mouth rinse had
the same effect on S. mutans counts, higher than the 1% alcohol solution on teeth
surface. They had the ability to maintain the S. mutans counts at the same level
for 4 days in patients who did not perform any mechanical oral hygiene regimen.
PMID- 24926217
TI - Fluid flow evaluation of coronal microleakage intraorifice barrier materials in
endodontically treated teeth.
AB - OBJECTIVE: The objective of this study was to compare the coronal microleakage
intraorifice barrier materials, called CoroSeal (CS), fissur sealant (FS),
flowable composite FC, and policarboksilate cement (PC), by using the
computerized fluid filtration method. MATERIALS AND METHODS: Fifty freshly
extracted, single-canal human maxillary central teeth were used in this study.
The teeth were decoronated to a standardized root length of 15 mm. After
preparation and irrigation, all the teeth were obturated with gutta-percha and AH
Plus. In all teeth, the coronal 2 mm of root filling was removed and replaced
with one of the intraorifice barriers. According to intraorifice barriers, teeth
were divided randomly into 4 experimental groups (n = 10) and 2 control groups (n
= 5). Group 1: CS; Group 2: FS; Group 3: FC; and Group 4: PC. POSITIVE CONTROL
GROUP: No barrier material was used. NEGATIVE CONTROL GROUP: Roots were
completely coated with the nail polish, including the orifice. Leakage was
evaluated by using a computerized fluid filtration model. Differences in fluid
filtration among groups were subjected to statistical analysis using the Kruskal
Wallis Test and multiple comparisons test. RESULTS: A value of P < 0.05 was
statistically significant. Statistical analysis has indicated that the CS leaked
significantly less than other groups (P < 0.05). There was a significant
difference between FS and PC (P < 0.05), in contrast there was no significant
difference between FS and FC (P > 0.05). CONCLUSIONS: Using the CS material as an
intraorrifice barrier material reduced amount of microleakage as compared with
FS, FC, and PC.
PMID- 24926218
TI - Subpontic osseous hyperplasia: Three case reports and literature review.
AB - Subpontic osseous hyperplasia (SOH) is an ectopic growth of bone occurring on the
edentulous ridge beneath a fixed partial denture. The aim of this article is to
present three patients with SOH with long-term follow-up and to make a current
overview of the literature. Presented maxillary SOH is the second case in the
dental literature. Intraorally the lesions were bone-hard and painless swellings
in the subpontic space. Radiographically, the maxillary case was a nodular bone
growth having similar radiodensity and trabeculation to the adjacent bone with a
thin radiopaque border. Mandibular cases were bony growths, which were more
radiopaque than the adjacent alveolar ridge. Follow-up radiographs revealed
regression in two of the cases. Clinicians should take care not to cause the
possible etiologies of SOH such as functional stresses and chronic irritation by
the prosthetic treatments and be aware of SOH does not usually require treatment
or a biopsy.
PMID- 24926219
TI - Shallow localized gingival recession defects treated with modified coronally
repositioned flap technique: A case series.
AB - OBJECTIVES: Various coronally repositioned flap (CRF) techniques have been
proposed for coverage of gingival recession defects. Although CRF has several
modifications all of them needs vertical or oblique external releasing incisions
for treatment of localized gingival recession defects. The aim of present article
was to evaluate the effectiveness of a modification of the new CRF procedure
without any releasing incision for treatment of shallow localized gingival
recession defects. CONCLUSION: Shallow localized gingival recession defects can
be treated with modified coronally repositioned flap technique successfully.
PMID- 24926220
TI - Galvanic gold plating for fixed dental prosthesis.
AB - Metal ceramic partial fixed dental prostheses have been commonly used for the
replacement of missing teeth for many years. Because of an increase in the price
of gold, base metal alloys have been the choice of alloy for the fabrication of
metal ceramic restorations in many dental clinics. Some major disadvantages of
base metals are their corrosion and the dark coloration they may cause at the
crown margins. This article describes a galvanic gold-plating technique, which is
used to minimize corrosion and improve the esthetics of metal ceramic
restorations fabricated with Cr-Co base metal alloys. This technique involves the
deposition of a 6 MUm to 8 MUm 24 K gold layer directly onto the Cr-Co cast
prosthesis framework. The technique improves metal surface properties, making
them more biocompatible and usable, however, requires additional equipment and
experienced laboratory technicians. Clinical studies should be performed to
corroborate the long term success of this technique.
PMID- 24926221
TI - Bone regeneration with plasma-rich-protein following enucleation of traumatic
bone cyst.
AB - Traumatic bone cyst is an uncommon non-epithelium lined cavity and is seen
frequently in young individuals. The lesion occurs more commonly in the mandible,
involving the posterior region. It is generally asymptomatic and is diagnosed on
routine radiographic examination. The cystic cavity is usually empty and there is
scanty material for histological examination. Surgical curettage is usually done
and recurrence is rare. A case of traumatic bone cyst occurring in the anterior
region of mandible in a young boy is presented. Following surgical intervention,
plasma-rich-protein was placed in the cystic cavity. The lesion showed
progressive resolution and bone regeneration of the cystic cavity within a short
period of time.
PMID- 24926222
TI - Repair of a fractured implant overdenture gold bar: A clinical and laboratory
technique report.
AB - This clinical report explains a convenient, efficient, yet effective alternative
for management of fractured substructure cast bars for implant-retained
overdentures. The technique allows the fracture to be repaired at low cost and
short time without remaking the substructure and the denture and further allowing
the patient to keep their denture. The report sketches the clinical and
laboratory procedures involved in the repair.
PMID- 24926223
TI - Documenting biomedical research; some bitter aspects.
PMID- 24926224
TI - The Effect of Maternal Depressive Symptoms and Early Maternal Feeding Behavior on
Later Infant Feeding Behavior.
AB - Adaptive maternal feeding behaviors are sensitive and responsive to the infant
and support the infant's participation in feeding. Adaptive infant behaviors help
the infant to participate in the feeding within developmental capacities and to
interact in a positive manner with the mother. Therefore, the purpose of this
study was to explore the contribution of the adaptiveness of early maternal
feeding behavior to the adaptiveness of later infant feeding behavior, accounting
for maternal depressive symptoms and neonatal health. Thirty-seven premature
infants and their mothers were assessed in the special care nursery just before
discharge and in their homes at 4 months postterm age. The adaptive quality of
maternal and infant behavior was assessed using the Parent-Child Early Relational
Assessment. Maternal depressive symptoms were assessed using the Center for
Epidemiological Study-Depression Scale. Infant health was assessed using the
Neonatal Health Index. Linear regression analyses revealed that the adaptiveness
of maternal feeding behavior before special care nursery discharge contributed
significantly to the adaptiveness of infant feeding behavior at 4 months postterm
age, accounting for neonatal health and maternal depressive symptoms. Although
further study of the relationship is needed, findings support development of
interventions to enhance the adaptiveness of mothers' early feeding behaviors.
PMID- 24926225
TI - Mixed Methods Research With Internally Displaced Colombian Gay and Bisexual Men
and Transwomen.
AB - We discuss the use of mixed methods research to further understanding of
displaced Colombian gay and bisexual men and transwomen, a marginalized
population at risk. Within the framework of communicative action, which calls for
social change through egalitarian dialog, we describe how our multinational,
interdisciplinary research team explored the subjective, objective, and social
worlds of participants through life history interviews and surveys. We also
describe the unique Colombian context, conscious efforts to establish egalitarian
relationships among research team members, and efforts to disseminate and reflect
on findings. Through discussion of our research process and results, we aim to
demonstrate how mixed methods research can be utilized to facilitate noncoercive
discourse and contribute to social change.
PMID- 24926226
TI - Electrochemical characterization of sub-micro-gram amounts of organic
semiconductors using scanning droplet cell microscopy.
AB - Scanning droplet cell microscopy (SDCM) uses a very small electrolyte droplet at
the tip of a capillary which comes in contact with the working electrode. This
method is particularly interesting for studies on organic semiconductors since it
provides localized electrochemical investigations with high reproducibility. One
clear advantage of applying SDCM is represented by the very small amounts of
material necessary (less than 1 mg). Organic materials can be investigated
quickly and inexpensively in electrochemical studies with a high throughput. In
the present study, thin layers of poly(3-hexylthiophene) (P3HT), which is one of
the most often used material for organic solar cells, were deposited on ITO/glass
as working electrodes in SDCM studies. The redox reactions in 0.1 M tetra(n
butyl)ammonium hexafluorophosphate (TBAPF6) dissolved in propylene carbonate were
studied by cyclic voltammetry and by electrochemical impedance spectroscopy. Two
reversible, distinct oxidation steps of the P3HT were detected and their kinetics
were studied in detail. The doping of P3HT increased due to the electrochemical
oxidation and had resulted in a decrease of the film resistance by a few orders
of magnitude. Due to localization on the sample various parameter combinations
can be studied quantitatively and reproducibly.
PMID- 24926227
TI - Investigation of the reduction process of dopamine using paired pulse
voltammetry.
AB - The oxidation of dopamine (DA) around +0.6V potential in anodic sweep and its
reduction around -0.1V in cathodic sweep at a relatively fast scanning rate (300
V/s or greater) have been used for identification of DA oxidation in fast-scan
cyclic voltammetry (FSCV). However, compared to the oxidation peak of DA, the
reduction peak has not been fully examined in analytical studies, although it has
been used as one of the representative features to identify DA. In this study,
the reduction process of DA was investigated using paired pulse voltammetry
(PPV), which consists of two identical triangle-shaped waveforms, separated by a
short interval at the holding potential. Especially, the discrepancies between
the magnitude of the oxidation and reduction peaks of DA were investigated based
on three factors: (1) the instant desorption of the DA oxidation product
(dopamine-o-quinone: DOQ) after production, (2) the effect of the holding
potential on the reduction process, and (3) the rate-limited reduction process of
DA. For the first test, the triangle waveform FSCV experiment was performed on DA
with various scanrates (from 400 to 1000 V/s) and durations of switching
potentials of the triangle waveform (from 0.0 to 6.0 ms) in order to vary the
duration between the applied oxidation potential at +0.6V and the reduction
potential at -0.2V. As a result, the ratio of reduction over oxidation peak
current response decreased as the duration became longer. To evaluate the effect
of holding potentials during the reduction process, FSCV experiments were
conducted with holding potential from 0.0V to -0.8V. We found that more negative
holding potentials lead to larger amount of reduction process. For evaluation of
the rate-limited reduction process of DA, PPV with a 1Hz repetition rate and
various delays (2, 8, 20, 40 and 80ms) between the paired scans were utilized to
determine how much reduction process occurred during the holding potential (
0.4V). These tests showed that relatively large amounts of DOQ are reduced to DA
during the holding potential. The rate-limited reduction process was also
confirmed with the increase of reduction in a lower pH environment. In addition
to the mechanism of the reduction process of DA, we found that the differences
between the responses of primary and secondary pulses in PPV were mainly
dependent on the rate-limited reduction process during the holding potential. In
conclusion, the reduction process may be one of the important factors to be
considered in the kinetic analysis of DA and other electroactive species in brain
tissue and in the design of new types of waveform in FSCV.
PMID- 24926228
TI - Spontaneous massive necrosis of hepatocellular carcinoma with narrowing and
occlusion of the arteries and portal veins.
AB - We herein present the case of a 77-year-old man who had fever and right
hypochondriac pain. He visited his doctor and underwent contrast computed
tomography (CT), and he was suspected to have a liver abscess. He received an
antibiotic treatment and his symptoms soon disappeared, but the tumor did not get
smaller and its density on contrast CT image got stronger. He underwent biopsy
and moderately differentiated hepatocellular carcinoma (HCC) was found. Extended
left hepatic and caudate lobectomy was performed. Histological examination showed
moderately differentiated HCC with narrowing and occlusion both in the arteries
and portal veins associated with mild chronic inflammation. The mechanisms of
spontaneous regression of HCC, such as immunological reactions and tumor hypoxia,
have been proposed. In our case, histological examination showed the same
findings. However, the mechanism is complex, and therefore further investigations
are essential to elucidate it.
PMID- 24926229
TI - Obturator hernia with ureteral entrapment.
AB - Obturator hernia of the ureter is uncommon. Computed tomography of a 77-year-old
woman with sudden-onset lower left abdominal pain and urinary symptoms showed an
obturator hernia with ureteral entrapment. Obturator hernia is a diagnostic
challenge because the hernial mass is very insidious. It should be suspected in
emaciated, multiparous, elderly women presenting with unexplained pain in the
groin, hip, thigh or knee. High levels of clinical suspicion of high-risk
patients and recourse to investigation by computed tomography are important, as
delay in diagnosis and treatment is associated with increased morbidity and
mortality.
PMID- 24926230
TI - Phonemic restoration in developmental dyslexia.
AB - The comprehension of fluent speech in one's native language requires that
listeners integrate the detailed acoustic-phonetic information available in the
sound signal with linguistic knowledge. This interplay is especially apparent in
the phoneme restoration effect, a phenomenon in which a missing phoneme is
"restored" via the influence of top-down information from the lexicon and through
bottom-up acoustic processing. Developmental dyslexia is a disorder characterized
by an inability to read at the level of one's peers without any clear failure due
to environmental influences. In the current study we utilized the phonemic
restoration illusion paradigm to examine individual differences in phonemic
restoration across a range of reading ability, from very good to dyslexic
readers. Results demonstrate that restoration occurs less in those who have high
scores on measures of phonological processing. Based on these results, we suggest
that the processing or representation of acoustic detail may not be as reliable
in poor and dyslexic readers, with the result that lexical information is more
likely to override acoustic properties of the stimuli. This pattern of increased
restoration could result from a failure of perceptual tuning, in which unstable
representations of speech sounds result in the acceptance of non-speech sounds as
speech. An additional or alternative theory is that degraded or impaired
phonological processing at the speech sound level may reflect architecture that
is overly plastic and consequently fails to stabilize appropriately for speech
sound representations. Therefore, the inability to separate speech and noise may
result as a deficit in separating noise from the acoustic signal.
PMID- 24926231
TI - Direct reticular projections of trigeminal sensory fibers immunoreactive to CGRP:
potential monosynaptic somatoautonomic projections.
AB - Few trigeminal sensory fibers project centrally beyond the trigeminal sensory
complex, with only projections of fibers carried in its sensory anterior
ethmoidal (AEN) and intraoral nerves described. Fibers of the AEN project into
the brainstem reticular formation where immunoreactivity against substance P and
CGRP are found. We investigated whether the source of these peptides could be
from trigeminal ganglion neurons by performing unilateral rhizotomies of the
trigeminal root and looking for absence of label. After an 8-14 days survival,
substance P immunoreactivity in the trigeminal sensory complex was diminished,
but we could not conclude that the sole source of this peptide in the lateral
parabrachial area and lateral reticular formation arises from primary afferent
fibers. Immunoreactivity to CGRP after rhizotomy however was greatly diminished
in the trigeminal sensory complex, confirming the observations of others.
Moreover, CGRP immunoreactivity was nearly eliminated in fibers in the lateral
parabrachial area, the caudal ventrolateral medulla, both the peri-ambiguus and
ventral parts of the rostral ventrolateral medulla, in the external formation of
the nucleus ambiguus, and diminished in the caudal pressor area. The nearly
complete elimination of CGRP in the lateral reticular formation after rhizotomy
suggests this peptide is carried in primary afferent fibers. Moreover, the
arborization of CGRP immunoreactive fibers in these areas mimics that of direct
projections from the AEN. Since electrical stimulation of the AEN induces
cardiorespiratory adjustments including an apnea, peripheral vasoconstriction,
and bradycardia similar to those seen in the mammalian diving response, we
suggest these perturbations of autonomic behavior are enhanced by direct somatic
primary afferent projections to these reticular neurons. We believe this to be
first description of potential direct somatoautonomic projections to brainstem
neurons regulating autonomic activity.
PMID- 24926232
TI - Simultaneous EEG-fMRI brain signatures of auditory cue utilization.
AB - Optimal utilization of acoustic cues during auditory categorization is a vital
skill, particularly when informative cues become occluded or degraded.
Consequently, the acoustic environment requires flexible choosing and switching
amongst available cues. The present study targets the brain functions underlying
such changes in cue utilization. Participants performed a categorization task
with immediate feedback on acoustic stimuli from two categories that varied in
duration and spectral properties, while we simultaneously recorded Blood
Oxygenation Level Dependent (BOLD) responses in fMRI and electroencephalograms
(EEGs). In the first half of the experiment, categories could be best
discriminated by spectral properties. Halfway through the experiment, spectral
degradation rendered the stimulus duration the more informative cue.
Behaviorally, degradation decreased the likelihood of utilizing spectral cues.
Spectrally degrading the acoustic signal led to increased alpha power compared to
nondegraded stimuli. The EEG-informed fMRI analyses revealed that alpha power
correlated with BOLD changes in inferior parietal cortex and right posterior
superior temporal gyrus (including planum temporale). In both areas, spectral
degradation led to a weaker coupling of BOLD response to behavioral utilization
of the spectral cue. These data provide converging evidence from behavioral
modeling, electrophysiology, and hemodynamics that (a) increased alpha power
mediates the inhibition of uninformative (here spectral) stimulus features, and
that (b) the parietal attention network supports optimal cue utilization in
auditory categorization. The results highlight the complex cortical processing of
auditory categorization under realistic listening challenges.
PMID- 24926233
TI - Targeting GTPases in Parkinson's disease: comparison to the historic path of
kinase drug discovery and perspectives.
AB - Neurological diseases have placed heavy social and financial burdens on modern
society. As the life expectancy of humans is extended, neurological diseases,
such as Parkinson's disease, have become increasingly common among senior
populations. Although the enigmas of Parkinson's diseases await resolution, more
vivid pictures on the cause, progression, and control of the illness are emerging
after years of research. On the molecular level, GTPases are implicated in the
etiology of Parkinson's disease and are rational pharmaceutical targets for their
control. However, targeting individual GTPases, which belong to a superfamily of
proteins containing multiple members with a conserved guanine nucleotide binding
domain, has proven to be challenging. In contrast, pharmaceutical pursuit of
inhibition of kinases, which constitute another superfamily of proteins with more
than 500 members, has been fairly successful. We reviewed the breakthroughs in
the history of kinase drug discovery to provide guidance for the GTPase field. We
summarize recent progress made in the regulation of GTPase activity. We also
present an efficient and cost effective approach to drug screening, which uses
multiplex flow cytometry and mixture-based positional scanning libraries. These
methods allow simultaneous measurements of both the activity and the selectivity
of the screened library. Several GTPase activator clusters were identified which
showed selectivity against different GTPase subfamilies. While the clusters need
to be further deconvoluted to identify individual active compounds, the method
described here and the structure information gathered create a foundation for
further developments to build upon.
PMID- 24926234
TI - Subcircuit-specific neuromodulation in the prefrontal cortex.
AB - During goal-directed behavior, the prefrontal cortex (PFC) exerts top-down
control over numerous cortical and subcortical regions. PFC dysfunction has been
linked to many disorders that involve deficits in cognitive performance,
attention, motivation, and/or impulse control. A common theme among these
disorders is that neuromodulation of the PFC is disrupted. Anatomically, the PFC
is reciprocally connected with virtually all neuromodulatory centers. Recent
studies of PFC neurons, both in vivo and ex vivo, have found that subpopulations
of prefrontal projection neurons can be segregated into distinct subcircuits
based on their long-range projection targets. These subpopulations differ in
their connectivity, intrinsic properties, and responses to neuromodulators. In
this review we outline the evidence for subcircuit-specific neuromodulation in
the PFC, and describe some of the functional consequences of selective
neuromodulation on behavioral states during goal-directed behavior.
PMID- 24926235
TI - Neurotrophins and spinal circuit function.
AB - Work early in the last century emphasized the stereotyped activity of spinal
circuits based on studies of reflexes. However, the last several decades have
focused on the plasticity of these spinal circuits. These considerations began
with studies of the effects of monoamines on descending and reflex circuits. In
recent years new classes of compounds called growth factors that are found in
peripheral nerves and the spinal cord have been shown to affect circuit behavior
in the spinal cord. In this review we will focus on the effects of neurotrophins,
particularly nerve growth factor (NGF), brain derived neurotrophic factor (BDNF)
and neurotrophin-3 (NT-3), on spinal circuits. We also discuss evidence that
these molecules can modify functions including nociceptive behavior, motor
reflexes and stepping behavior. Since these substances and their receptors are
normally present in the spinal cord, they could potentially be useful in
improving function in disease states and after injury. Here we review recent
findings relevant to these translational issues.
PMID- 24926236
TI - Purines released from astrocytes inhibit excitatory synaptic transmission in the
ventral horn of the spinal cord.
AB - Spinal neuronal networks are essential for motor function. They are involved in
the integration of sensory inputs and the generation of rhythmic motor outputs.
They continuously adapt their activity to the internal state of the organism and
to the environment. This plasticity can be provided by different neuromodulators.
These substances are usually thought of being released by dedicated neurons.
However, in other networks from the central nervous system synaptic transmission
is also modulated by transmitters released from astrocytes. The star-shaped glial
cell responds to neurotransmitters by releasing gliotransmitters, which in turn
modulate synaptic transmission. Here we investigated if astrocytes present in the
ventral horn of the spinal cord modulate synaptic transmission. We evoked
synaptic inputs in ventral horn neurons recorded in a slice preparation from the
spinal cord of neonatal mice. Neurons responded to electrical stimulation by
monosynaptic EPSCs (excitatory monosynaptic postsynaptic currents). We used mice
expressing the enhanced green fluorescent protein under the promoter of the glial
fibrillary acidic protein to identify astrocytes. Chelating calcium with BAPTA in
a single neighboring astrocyte increased the amplitude of synaptic currents. In
contrast, when we selectively stimulated astrocytes by activating PAR-1 receptors
with the peptide TFLLR, the amplitude of EPSCs evoked by a paired stimulation
protocol was reduced. The paired-pulse ratio was increased, suggesting an
inhibition occurring at the presynaptic side of synapses. In the presence of
blockers for extracellular ectonucleotidases, TFLLR did not induce presynaptic
inhibition. Puffing adenosine reproduced the effect of TFLLR and blocking
adenosine A1 receptors with 8-Cyclopentyl-1,3-dipropylxanthine prevented it.
Altogether our results show that ventral horn astrocytes are responsible for a
tonic and a phasic inhibition of excitatory synaptic transmission by releasing
ATP, which gets converted into adenosine that binds to inhibitory presynaptic A1
receptors.
PMID- 24926237
TI - Ascending midbrain dopaminergic axons require descending GAD65 axon fascicles for
normal pathfinding.
AB - The Nigrostriatal pathway (NSP) is formed by dopaminergic axons that project from
the ventral midbrain to the dorsolateral striatum as part of the medial forebrain
bundle. Previous studies have implicated chemotropic proteins in the formation of
the NSP during development but little is known of the role of substrate-anchored
signals in this process. We observed in mouse and rat embryos that midbrain
dopaminergic axons ascend in close apposition to descending GAD65-positive axon
bundles throughout their trajectory to the striatum. To test whether such
interaction is important for dopaminergic axon pathfinding, we analyzed
transgenic mouse embryos in which the GAD65 axon bundle was reduced by the
conditional expression of the diphtheria toxin. In these embryos we observed
dopaminergic misprojection into the hypothalamic region and abnormal projection
in the striatum. In addition, analysis of Robo1/2 and Slit1/2 knockout embryos
revealed that the previously described dopaminergic misprojection in these
embryos is accompanied by severe alterations in the GAD65 axon scaffold.
Additional studies with cultured dopaminergic neurons and whole embryos suggest
that NCAM and Robo proteins are involved in the interaction of GAD65 and
dopaminergic axons. These results indicate that the fasciculation between
descending GAD65 axon bundles and ascending dopaminergic axons is required for
the stereotypical NSP formation during brain development and that known guidance
cues may determine this projection indirectly by instructing the pathfinding of
the axons that are part of the GAD65 axon scaffold.
PMID- 24926238
TI - The mouse olfactory peduncle. 3. Development of neurons, glia, and centrifugal
afferents.
AB - The present series of studies was designed to provide a general overview of the
development of the region connecting the olfactory bulb to the forebrain. The
olfactory peduncle (OP) contains several structures involved in processing odor
information with the anterior olfactory nucleus (cortex) being the largest and
most studied. Results indicate that considerable growth occurs in the peduncle
from postnatal day (P)10-P20, with reduced expansion from P20 to P30. No evidence
was found for the addition of new projection or interneurons during the postnatal
period. GABAergic cells decreased in both number and density after P10. Glial
populations exhibited different patterns of development, with astrocytes
declining in density from P10 to P30, and both oligodendrocytes and microglia
increasing through the interval. Myelination in the anterior commissure emerged
between P11 and P14. Dense cholinergic innervation was observed at P10 and
remained relatively stable through P30, while considerable maturation of
serotonergic innervation occurred through the period. Unilateral naris occlusion
from P1 to P30 resulted in about a 30% reduction in the size of the ipsilateral
peduncle but few changes were observed on the contralateral side. The ipsilateral
peduncle also exhibited higher densities of GAD67-containing interneurons and
cholinergic fibers suggesting a delay in normal developmental pruning. Lower
densities of interneurons expressing CCK, somatostatin, and NPY and in myelin
basic protein staining were also observed. Understanding variations in
developmental trajectories within the OP may be an important tool for unraveling
the functions of the region.
PMID- 24926239
TI - Vestibular control of entorhinal cortex activity in spatial navigation.
AB - Navigation in rodents depends on both self-motion (idiothetic) and external
(allothetic) information. Idiothetic information has a predominant role when
allothetic information is absent or irrelevant. The vestibular system is a major
source of idiothetic information in mammals. By integrating the signals generated
by angular and linear accelerations during exploration, a rat is able to generate
and update a vector pointing to its starting place and to perform accurate
return. This navigation strategy, called path integration, has been shown to
involve a network of brain structures. Among these structures, the entorhinal
cortex (EC) may play a pivotal role as suggested by lesion and
electrophysiological data. In particular, it has been recently discovered that
some neurons in the medial EC display multiple firing fields producing a regular
grid-like pattern across the environment. Such regular activity may arise from
the integration of idiothetic information. This hypothesis would be strongly
strengthened if it was shown that manipulation of vestibular information
interferes with grid cell activity. In the present paper we review
neuroanatomical and functional evidence indicating that the vestibular system
influences the activity of the brain network involved in spatial navigation. We
also provide new data on the effects of reversible inactivation of the peripheral
vestibular system on the EC theta rhythm. The main result is that tetrodotoxin
(TTX) administration abolishes velocity-controlled theta oscillations in the EC,
indicating that vestibular information is necessary for EC activity. Since recent
data demonstrate that disruption of theta rhythm in the medial EC induces a
disorganization of grid cell firing, our findings indicate that the integration
of idiothetic information in the EC is essential to form a spatial representation
of the environment.
PMID- 24926240
TI - Acute morphine alters GABAergic transmission in the central amygdala during
naloxone-precipitated morphine withdrawal: role of cyclic AMP.
AB - The central amygdala (CeA) plays an important role in opioid addiction.
Therefore, we examined the effects of naloxone-precipitated morphine withdrawal
(WD) on GABAergic transmission in rat CeA neurons using whole-cell recordings
with naloxone in the bath. The basal frequency of miniature inhibitory
postsynaptic currents (mIPSCs) increased in CeA neurons from WD compared to
placebo rats. Acute morphine (10 MU M) had mixed effects (>=20% change from
baseline) on mIPSCs in placebo and WD rats. In most CeA neurons (64%) from
placebo rats, morphine significantly decreased mIPSC frequency and amplitude. In
32% of placebo neurons, morphine significantly increased mIPSC amplitudes but had
no effect on mIPSC frequency. In WD rats, acute morphine significantly increased
mIPSC frequency but had no effect on mIPSC amplitude in 41% of CeA neurons. In
45% of cells, acute morphine significantly decreased mIPSC frequency and
amplitude. Pre-treatment with the cyclic AMP inhibitor (R)-adenosine, cyclic
3',5'-(hydrogenphosphorothioate) triethylammonium (RP), prevented acute morphine
induced potentiation of mIPSCs. Pre-treatment of slices with the Gi/o G-protein
subunit inhibitor pertussis toxin (PTX) did not prevent the acute morphine
induced enhancement or inhibition of mIPSCs. PTX and RP decreased basal mIPSC
frequencies and amplitudes only in WD rats. The results suggest that inhibition
of GABAergic transmission in the CeA by acute morphine is mediated by PTX
insensitive mechanisms, although PTX-sensitive mechanisms cannot be ruled out for
non-morphine responsive cells; by contrast, potentiation of GABAergic
transmission is mediated by activated cAMP signaling that also mediates the
increased basal GABAergic transmission in WD rats. Our data indicate that during
the acute phase of WD, the CeA opioid and GABAergic systems undergo
neuroadaptative changes conditioned by a previous chronic morphine exposure and
dependence.
PMID- 24926241
TI - Olfactory bulb encoding during learning under anesthesia.
AB - Neural plasticity changes within the olfactory bulb are important for olfactory
learning, although how neural encoding changes support new associations with
specific odors and whether they can be investigated under anesthesia, remain
unclear. Using the social transmission of food preference olfactory learning
paradigm in mice in conjunction with in vivo microdialysis sampling we have shown
firstly that a learned preference for a scented food odor smelled on the breath
of a demonstrator animal occurs under isofluorane anesthesia. Furthermore,
subsequent exposure to this cued odor under anesthesia promotes the same pattern
of increased release of glutamate and gamma-aminobutyric acid (GABA) in the
olfactory bulb as previously found in conscious animals following olfactory
learning, and evoked GABA release was positively correlated with the amount of
scented food eaten. In a second experiment, multiarray (24 electrodes)
electrophysiological recordings were made from olfactory bulb mitral cells under
isofluorane anesthesia before, during and after a novel scented food odor was
paired with carbon disulfide. Results showed significant increases in overall
firing frequency to the cued-odor during and after learning and decreases in
response to an uncued odor. Analysis of patterns of changes in individual neurons
revealed that a substantial proportion (>50%) of them significantly changed their
response profiles during and after learning with most of those previously
inhibited becoming excited. A large number of cells exhibiting no response to the
odors prior to learning were either excited or inhibited afterwards. With the
uncued odor many previously responsive cells became unresponsive or inhibited.
Learning associated changes only occurred in the posterior part of the olfactory
bulb. Thus olfactory learning under anesthesia promotes extensive, but spatially
distinct, changes in mitral cell networks to both cued and uncued odors as well
as in evoked glutamate and GABA release.
PMID- 24926242
TI - Disrutpted resting-state functional architecture of the brain after 45-day
simulated microgravity.
AB - Long-term spaceflight induces both physiological and psychological changes in
astronauts. To understand the neural mechanisms underlying these physiological
and psychological changes, it is critical to investigate the effects of
microgravity on the functional architecture of the brain. In this study, we used
resting-state functional MRI (rs-fMRI) to study whether the functional
architecture of the brain is altered after 45 days of -6 degrees head-down tilt
(HDT) bed rest, which is a reliable model for the simulation of microgravity.
Sixteen healthy male volunteers underwent rs-fMRI scans before and after 45 days
of -6 degrees HDT bed rest. Specifically, we used a commonly employed graph
based measure of network organization, i.e., degree centrality (DC), to perform a
full-brain exploration of the regions that were influenced by simulated
microgravity. We subsequently examined the functional connectivities of these
regions using a seed-based resting-state functional connectivity (RSFC) analysis.
We found decreased DC in two regions, the left anterior insula (aINS) and the
anterior part of the middle cingulate cortex (MCC; also called the dorsal
anterior cingulate cortex in many studies), in the male volunteers after 45 days
of -6 degrees HDT bed rest. Furthermore, seed-based RSFC analyses revealed that
a functional network anchored in the aINS and MCC was particularly influenced by
simulated microgravity. These results provide evidence that simulated
microgravity alters the resting-state functional architecture of the brains of
males and suggest that the processing of salience information, which is primarily
subserved by the aINS-MCC functional network, is particularly influenced by
spaceflight. The current findings provide a new perspective for understanding the
relationships between microgravity, cognitive function, autonomic neural
function, and central neural activity.
PMID- 24926243
TI - Enhancing offspring hypothalamic-pituitary-adrenal (HPA) regulation via
systematic novelty exposure: the influence of maternal HPA function.
AB - In the rat, repeated brief exposures to novelty early in life can induce long
lasting enhancements in adult cognitive, social, emotional, and neuroendocrine
function. Family-to-family variations in these intervention effects on adult
offspring are predicted by the mother's ability to mount a rapid corticosterone
(CORT) response to the onset of an acute stressor. Here, in Long-Evans rats, we
investigated whether neonatal and adulthood novelty exposure, each individually
and in combination, can enhance offspring hypothalamic-pituitary-adrenal (HPA)
regulation. Using a 2 * 2 within-litter design, one half of each litter were
exposed to a relatively novel non-home environment for 3-min (Neo_Novel) daily
during infancy (PND 1-21) and the other half of the litter remained in the home
cage (Neo_Home); we further exposed half of these two groups to early adulthood
(PND 54-63) novelty exposure in an open field and the remaining siblings stayed
in their home cages. Two aspects of HPA regulation were assessed: the ability to
maintain a low level of resting CORT (CORTB) and the ability to mount a large
rapid CORT response (CORTE) to the onset of an acute stressor. Assessment of
adult offspring's ability to regulate HPA regulation began at 370 days of age. We
further investigated whether the novelty exposure effects on offspring HPA
regulation are sensitive to the context of maternal HPA regulation by assessing
maternal HPA regulation similarly beginning 7 days after her pups were weaned. We
found that at the population level, rats receiving neonatal, but not early
adulthood exposure or both, showed a greater rapid CORTE than their home-staying
siblings. At the individual family level, these novelty effects are positively
associated with maternal CORTE. These results suggest that early experience of
novelty can enhance the offspring's ability to mount a rapid response to
environmental challenge and the success of such early life intervention is
critically dependent upon the context of maternal HPA regulation.
PMID- 24926244
TI - The evolution of leader-follower reciprocity: the theory of service-for-prestige.
AB - We describe the service-for-prestige theory of leadership, which proposes that
voluntary leader-follower relations evolved in humans via a process of reciprocal
exchange that generated adaptive benefits for both leaders and followers. We
propose that although leader-follower relations first emerged in the human
lineage to solve problems related to information sharing and social coordination,
they ultimately evolved into exchange relationships whereby followers could
compensate leaders for services which would otherwise have been prohibitively
costly for leaders to provide. In this exchange, leaders incur costs to provide
followers with public goods, and in return, followers incur costs to provide
leaders with prestige (and associated fitness benefits). Because whole groups of
followers tend to gain from leader-provided public goods, and because prestige is
costly for followers to produce, the provisioning of prestige to leaders requires
solutions to the "free rider" problem of disrespectful followers (who benefit
from leader services without sharing the costs of producing prestige). Thus
service-for-prestige makes the unique prediction that disrespectful followers of
beneficial leaders will be targeted by other followers for punitive sentiment
and/or social exclusion. Leader-follower relations should be more reciprocal and
mutually beneficial when leaders and followers have more equal social bargaining
power. However, as leaders gain more relative power, and their high status
becomes less dependent on their willingness to pay the costs of benefitting
followers, service-for-prestige predicts that leader-follower relations will
become based more on leaders' ability to dominate and exploit rather than benefit
followers. We review evidential support for a set of predictions made by service
for-prestige, and discuss how service-for-prestige relates to social neuroscience
research on leadership.
PMID- 24926245
TI - Defining (trained) grapheme-color synesthesia.
PMID- 24926246
TI - The modern search for the Holy Grail: is neuroscience a solution?
AB - Neuroscience has become prevalent in recent years; nevertheless, its value in the
examination of psychological and philosophical phenomena is still a matter of
debate. The examples reviewed here suggest that neuroscientific tools can be
significant in the investigation of such complex phenomena. In this article, we
argue that it is important to study concepts that do not have a clear
characterization and emphasize the role of neuroscience in this quest for
knowledge. The data reviewed here suggest that neuroscience may (1) enrich our
knowledge; (2) outline the nature of an explanation; and (3) lead to substantial
empirical and theoretical discoveries. To that end, we review work on hedonia and
eudaimonia in the fields of neuroscience, psychology, and philosophy. These
studies demonstrate the importance of neuroscientific tools in the investigation
of phenomena that are difficult to define using other methods.
PMID- 24926247
TI - Proprioceptive drift in the rubber hand illusion is intensified following 1 Hz
TMS of the left EBA.
AB - The rubber hand illusion (RHI) is a paradigm used to induce an illusory feeling
of owning a dummy hand through congruent multisensory stimulation. Thus, it can
grant insights into how our brain represents our body as our own. Recent research
has demonstrated an involvement of the extrastriate body area (EBA), an area of
the brain that is typically implicated in the perception of non-face body parts,
in illusory body ownership. In this experiment, we sought causal evidence for the
involvement of the EBA in the RHI. Sixteen participants took part in a sham
controlled, 1 Hz repetitive transcranial magnetic stimulation (rTMS) experiment.
Participants received (RHI condition) or asynchronous (control) stroking and were
asked to report the perceived location of their real hand, as well as the
intensity and the temporal onset of experienced ownership of the dummy hand.
Following rTMS of the left EBA, participants misjudged their real hand's location
significantly more toward the dummy hand during the RHI than after sham
stimulation. This difference in "proprioceptive drift" provides the first causal
evidence that the EBA is involved in the RHI and subsequently in body
representation and further supports the view that the EBA is necessary for
multimodal integration.
PMID- 24926248
TI - Rhythm perception and production predict reading abilities in developmental
dyslexia.
AB - Rhythm organizes events in time and plays a major role in music, but also in the
phonology and prosody of a language. Interestingly, children with developmental
dyslexia-a learning disability that affects reading acquisition despite normal
intelligence and adequate education-have a poor rhythmic perception. It has been
suggested that an accurate perception of rhythmical/metrical structure, that
requires accurate perception of rise time, may be critical for phonological
development and subsequent literacy. This hypothesis is mostly based on results
showing a high degree of correlation between phonological awareness and metrical
skills, using a very specific metrical task. We present new findings from the
analysis of a sample of 48 children with a diagnosis of dyslexia, without
comorbidities. These children were assessed with neuropsychological tests, as
well as specifically-devised psychoacoustic and musical tasks mostly testing
temporal abilities. Associations were tested by multivariate analyses including
data mining strategies, correlations and most importantly logistic regressions to
understand to what extent the different auditory and musical skills can be a
robust predictor of reading and phonological skills. Results show a strong link
between several temporal skills and phonological and reading abilities. These
findings are discussed in the framework of the neuroscience literature comparing
music and language processing, with a particular interest in the links between
rhythm processing in music and language.
PMID- 24926249
TI - Amygdala activation during emotional face processing in adolescents with
affective disorders: the role of underlying depression and anxiety symptoms.
AB - Depressive and anxiety disorders are often first diagnosed during adolescence and
it is known that they persist into adulthood. Previous studies often tried to
dissociate depressive and anxiety disorders, but high comorbidity makes this
difficult and maybe even impossible. The goal of this study was to use
neuroimaging to test what the unique contribution is of depression and anxiety
symptomatology on emotional processing and amygdala activation, and to compare
the results with a healthy control group. We included 25 adolescents with
depressive and/or anxiety disorders and 26 healthy adolescents. Participants
performed an emotional face processing task while in the MRI scanner. We were
particularly interested in the relation between depression/anxiety symptomatology
and patterns of amygdala activation. There were no significant differences in
activation patterns between the control group and the clinical group on whole
brain level and ROI level. However, we found that dimensional scores on an
anxiety but not a depression subscale significantly predicted brain activation in
the right amygdala when processing fearful, happy and neutral faces. These
results suggest that anxiety symptoms are a better predictor for differentiating
activation patterns in the amygdala than depression symptoms. Although the
current study includes a relatively large sample of treatment naive adolescents
with depression/anxiety disorders, results might be influenced by differences
between studies in recruitment strategies or methodology. Future research should
include larger samples with a more equal distribution of adolescents with a
clinical diagnosis of depression and/or anxiety. To conclude, this study shows
that abnormal amygdala responses to emotional faces in depression and anxiety
seems to be more dependent on anxiety symptoms than on depression symptoms, and
thereby highlights the need for more research to better characterize clinical
groups in future studies.
PMID- 24926250
TI - Do we need a theory-based assessment of consciousness in the field of disorders
of consciousness?
PMID- 24926251
TI - Beyond inhibition: a dual-process perspective to renew the exploration of binge
drinking.
AB - Binge drinking is a widespread alcohol-consumption pattern in youth and is linked
to cognitive consequences, mostly for executive functions. However, other crucial
factors remain less explored in binge drinking and notably the emotional
automatic processes. Dual-process model postulates that addictive disorders are
not only due to impaired reflective system (involved in deliberate behaviors),
but rather to an imbalance between under-activated reflective system and over
activated affective-automatic one (involved in impulsive behaviors). This
proposal has been confirmed in alcohol-dependence, but has not been tested in
binge drinking. The observation of comparable impairments in binge drinking and
alcohol-dependence led to the "continuum hypothesis," suggesting similar deficits
across different alcohol-related disorders. In this perspective, applying the
dual-process model to binge drinking might renew the understanding of this
continuum hypothesis. A three-axes research agenda will be proposed, exploring:
(1) the affective-automatic system in binge drinking; (2) the systems'
interactions and imbalance in binge drinking; (3) the evolution of this imbalance
in the transition between binge drinking and alcohol-dependence.
PMID- 24926252
TI - Automated collection of imaging and phenotypic data to centralized and
distributed data repositories.
AB - Accurate data collection at the ground level is vital to the integrity of
neuroimaging research. Similarly important is the ability to connect and curate
data in order to make it meaningful and sharable with other investigators.
Collecting data, especially with several different modalities, can be time
consuming and expensive. These issues have driven the development of automated
collection of neuroimaging and clinical assessment data within COINS
(Collaborative Informatics and Neuroimaging Suite). COINS is an end-to-end data
management system. It provides a comprehensive platform for data collection,
management, secure storage, and flexible data retrieval (Bockholt et al., 2010;
Scott et al., 2011). It was initially developed for the investigators at the Mind
Research Network (MRN), but is now available to neuroimaging institutions
worldwide. Self Assessment (SA) is an application embedded in the Assessment
Manager (ASMT) tool in COINS. It is an innovative tool that allows participants
to fill out assessments via the web-based Participant Portal. It eliminates the
need for paper collection and data entry by allowing participants to submit their
assessments directly to COINS. Instruments (surveys) are created through ASMT and
include many unique question types and associated SA features that can be
implemented to help the flow of assessment administration. SA provides an
instrument queuing system with an easy-to-use drag and drop interface for
research staff to set up participants' queues. After a queue has been created for
the participant, they can access the Participant Portal via the internet to fill
out their assessments. This allows them the flexibility to participate from home,
a library, on site, etc. The collected data is stored in a PostgresSQL database
at MRN. This data is only accessible by users that have explicit permission to
access the data through their COINS user accounts and access to MRN network. This
allows for high volume data collection and with minimal user access to PHI
(protected health information). An added benefit to using COINS is the ability to
collect, store and share imaging data and assessment data with no interaction
with outside tools or programs. All study data collected (imaging and assessment)
is stored and exported with a participant's unique subject identifier so there is
no need to keep extra spreadsheets or databases to link and keep track of the
data. Data is easily exported from COINS via the Query Builder and study portal
tools, which allow fine grained selection of data to be exported into comma
separated value file format for easy import into statistical programs. There is a
great need for data collection tools that limit human intervention and error
while at the same time providing users with intuitive design. COINS aims to be a
leader in database solutions for research studies collecting data from several
different modalities.
PMID- 24926253
TI - Solar elastosis in its papular form: uncommon, mistakable.
AB - Solar elastosis is a degenerative condition of elastic tissue in the dermis due
to prolonged sun exposure. There are a variety of clinical manifestations of
solar elastosis. In its most common form, solar elastosis manifests as yellow,
thickened, coarsely wrinkled skin. We report two uncommon cases of severe solar
elastosis with a papular morphology. Its presentation can closely mimic a host of
cutaneous disorders and thus, although it is helpful to be cognizant of this
entity, it is still crucial to biopsy these lesions to avoid missing a more
sinister condition.
PMID- 24926254
TI - Bullous mastocytosis mimicking congenital epidermolysis bullosa.
AB - A 2-month-old female infant was referred to DebRA Mexico from the Regional
Children's Hospital because of a generalized dermatosis from birth characterized
by multiple blisters and erosions on the trunk, face and limbs, associated with
minor trauma. A skin biopsy showing subepidermal blisters associated with a
dermal infiltrate of Giemsa-positive cells and CD117-positive antibody was
consistent with the diagnosis of bullous mastocytosis. Treatment with oral
antihistamines, topical steroids, and antibiotics was initiated, leading to a
remission of the lesions.
PMID- 24926255
TI - Dermatofibrosarcoma protuberans: a case report.
AB - We present a typical case of dermatofibrosarcoma protuberans with local
recurrence 2 months after surgery and, motivated by this patient, make a review
of the most important aspects. This is a rare tumor and we call special attention
to the fact that its recurrence is extremely frequent, so there is absolute need
to observe these patients periodically after surgery.
PMID- 24926256
TI - Fatal interstitial lung disease after addition of sorafenib to a patient with
lung adenocarcinoma who had failed to improve with erlotinib alone.
AB - Interstitial lung disease (ILD) induced by epidermal growth factor receptor
tyrosine kinase inhibitors has been extensively documented with decreasing
incidence after appropriate patient selection due to increasing awareness over
the years. However, ILD induced by sorafenib was mentioned with lower frequency
only in patients with hepatocellular and renal cell carcinoma living in Japan but
not in patients with other carcinomas or living outside Japan, and it has been
overlooked in clinical practice. In the present case, sorafenib was added to the
treatment of a 60-year-old non-smoking patient with non-small cell lung cancer
(NSCLC). After his failing to improve with erlotinib alone, erlotinib was
continued to be given in combination with sorafenib as a salvage therapy.
Although clinical signs of ILD were observed 2 weeks after the addition of
sorafenib, the radiological diagnosis of ILD was only made 41 days after the
initiation of the combination treatment, and the patient died 56 days after
treatment onset. It was concluded that ILD was indeed induced by sorafenib. This
is the first report of ILD induced by sorafenib in a patient with NSCLC living
outside Japan. Oncologists should be aware of this fatal complication for its
early detection in order to avoid a severe course of ILD leading to a decrease in
the ILD mortality rate.
PMID- 24926257
TI - Long-Term Response in a Patient with del(5q) Myelodysplastic Syndrome Who
Discontinued Lenalidomide and Obtained a Good Response and Tolerance to
Rechallenge.
AB - BACKGROUND: The introduction of the immunomodulatory drug lenalidomide has
revolutionized the treatment of patients with myelodysplastic syndromes (MDS) and
deletion of the long arm of chromosome 5. Treatment with lenalidomide results in
transfusion independence in the majority of patients, but some questions remain
unresolved, among them the duration of treatment. Moreover, a number of
unexpected long-term remissions in patients who stopped lenalidomide for various
reasons have been observed. CASE REPORT: We report the case of a 60-year-old
Caucasian male with deletion of the long arm of chromosome 5 and International
Prognostic Scoring System (IPSS)-defined low-risk MDS who was treated with
lenalidomide, achieving complete cytogenetic remission and erythroid response.
After tapering off and interrupting the treatment, the patient relapsed and
showed a new response by lenalidomide retreatment. Six years after the initial
treatment, we registered a durable erythroid long-term response and good
tolerance, but there was no evidence of a very profound cytogenetic response
compared to using lenalidomide as a first-line treatment. Cytogenetic and
fluorescence in situ hybridization together with hemoglobin level, mean
corpuscular volume (MCV) and vitamin B12 level helped us to monitor the patient
response; during the various phases of lenalidomide treatment, MCV and vitamin
B12 normalization correlated with good response. CONCLUSION: Lenalidomide
interruption and rechallenge in some 5q- MDS patients, with low risk according to
the IPSS, is safe and feasible but does not result in a profound cytogenetic
response.
PMID- 24926258
TI - Bazex syndrome revealing a gastric cancer.
AB - We herein report the case of a 73-year-old woman who developed skin and nail
disorders 2 months before her digestive symptoms started, which lead to the
diagnosis of gastric adenocarcinoma. The lesions were diagnosed as Bazex
syndrome, usually seen in squamous cell carcinoma. Under systemic chemotherapy,
the cutaneous signs improved for some months before worsening when the disease
progressed.
PMID- 24926259
TI - Occurrence and Recurrence of Hepatocellular Carcinoma Were Not Rare Events during
Phlebotomy in Older Hepatitis C Virus-Infected Patients.
AB - The use of phlebotomy is relatively common for 'difficult-to-treat by antiviral
therapies' hepatitis C virus (HCV)-infected patients and for certain patients
having chronic liver diseases with an iron overload of the liver. In the present
study, we retrospectively analyzed patients treated with phlebotomy and their
adverse events. We observed the occurrence and recurrence of hepatocellular
carcinoma, and the appearance of ascites in some patients infected with HCV as
well as the reduction of serum ferritin and alanine aminotransferase levels.
Severe adverse events necessitating a cessation of phlebotomy occurred
independently of alpha-fetoprotein (>10 ng/ml) in patients infected with HCV
according to multivariate logistic regression analysis. These findings may serve
as a basis for phlebotomy especially in older patients with chronic hepatitis C.
PMID- 24926260
TI - Malignant Melanoma with Concurrent BRAF E586K and NRAS Q81K Mutations.
AB - Cutaneous melanoma is an aggressive malignant tumor of melanocytes which accounts
for 80% of skin cancer-related deaths. A number of driver mutations have been
identified in melanoma, with the mutually exclusive BRAF V600E and NRAS Q61A
mutations together accounting for roughly 70% of mutations. Simultaneous BRAF
V600E and NRAS Q61A mutations in melanoma are rare, with evidence suggesting that
up to 2.9% (2/69) of primary cutaneous melanomas carry both mutations. Here we
describe a 42-year-old man with concurrent BRAF E586K and NRAS Q81K driver
mutations. To our knowledge, this is the first description of these driver
mutations occurring simultaneously in primary cutaneous melanoma.
PMID- 24926261
TI - A case of dural arteriovenous fistula presenting as acute subdural hematoma.
AB - Dural arteriovenous fistula (AVF) presenting with subdural hematoma is relatively
rare. We report a case of dural AVF presenting as acute subdural hematoma (ASDH)
and provide a review of the literature. A 56-year-old man presented with
disturbance of consciousness. Computed tomography demonstrated a right ASDH and a
small right occipital subcortical hematoma. Cerebral angiography showed a dural
AVF on the occipital convexity draining into the cortical veins. Emergent
endovascular embolization was immediately performed and the shunt flow
disappeared. Hematoma removal and external decompression were safely conducted.
Combined therapy successfully recovered the patient's consciousness level. This
rare case of dural AVF presenting with ASDH was treated with combined treatments
of endovascular and open surgery.
PMID- 24926262
TI - Vocalization in dementia: a case report and review of the literature.
AB - BACKGROUND: Vocalizations are part of the spectrum of the 'negative' behavioral
and psychological symptoms of dementia (BPSD). We describe a patient with
moderate-stage mixed dementia of Alzheimer's disease and cerebrovascular disease
and a left orbitofrontal lesion exhibiting vocalization. The use of 'redirection'
has been demonstrated to be an effective nonpharmacological means of controlling
BPSD, while reducing caregiver distress. CASE REPORT: A 78-year-old right-handed
African-American female presented with complaints of worsening memory and BPSD,
causing significant caregiver distress. Throughout the evaluation, she constantly
vocalized her son's name and made a continuous grunting noise, correlating with
increased anxiety/agitation. We utilized a redirection technique, which achieved
the immediate reduction of the vocalization symptoms. Caregiver psychoeducation
was provided allowing them to use the redirection technique at home. CONCLUSIONS:
In patients with dementia exhibiting negative symptoms of BPSD, using
nonpharmacologic techniques (i.e. redirection) may be indicated. Psychotropic
medications rarely address negative BPSD symptoms, while simultaneously
decreasing patient's quality of life. Nonpharmacologic approaches are beneficial
as first-line therapy for negative BPSD.
PMID- 24926263
TI - Combined ipsilateral oculomotor nerve palsy and contralateral downbeat nystagmus
in a case of cerebral infarction.
AB - We report a patient with acute cerebral infarction of the left paramedian
thalamus, upper mesencephalon and cerebellum who exhibited ipsilateral oculomotor
nerve palsy and contralateral downbeat nystagmus. The site of the infarction was
considered to be the paramedian thalamopeduncular and cerebellar regions, which
are supplied by the superior cerebellar artery containing direct perforating
branches or both the superior cerebellar artery and the superior mesencephalic
and posterior thalamosubthalamic arteries. Contralateral and monocular downbeat
nystagmus is very rare. Our case suggests that the present downbeat nystagmus was
due to dysfunction of cerebellar-modulated crossed oculovestibular fibers of the
superior cerebellar peduncle or bilateral downbeat nystagmus with one-sided
oculomotor nerve palsy.
PMID- 24926264
TI - Sudden coma from acute bilateral m1 occlusion: successful treatment with
mechanical thrombectomy.
AB - We report a case with acute small infarct of the left middle cerebral artery in a
72-year-old man with atrial fibrillation documented by MRI and MR angiography.
One hour later, he lost consciousness and CT with CT angiography revealed
bilateral hyperdense middle cerebral arteries due to occlusion of the M1
segments. Mechanical thrombectomy of the right middle cerebral artery was
successfully performed. During that time, thrombosis on the left side had
progressed to carotid T occlusion, which was recanalized as well. The patient had
a good outcome with slight aphasia and mild paresis of the left hand and could be
transferred to rehabilitation 2 weeks later.
PMID- 24926265
TI - A rare case of Parkinson's disease with severe neck pain owing to crowned dens
syndrome.
AB - BACKGROUND: Pain is regarded as one of the most common nonmotor symptoms in
Parkinson's disease (PD). In particular, musculoskeletal pain has been reported
as the most common type of PD-associated pain. Crowned dens syndrome (CDS),
related to microcrystalline deposition in the periodontoid process, is the main
cause of acute or chronic cervical pain. CASE PRESENTATION: This report describes
the case of an 87-year-old woman who had severe bradykinesia, muscle rigidity,
gait disturbance and neck pain. Laboratory examination revealed marked elevations
of white blood cells (10,100/ul) and C-reactive protein (CRP; 8.63 mg/dl). She
was primarily diagnosed with severe and untreated PD, corresponding to Hoehn and
Yahr scale score IV, with musculoskeletal pain and urinary tract infection. The
patient was treated with antiparkinsonism drugs, antibiotic agents and
nonsteroidal anti-inflammatory drugs, but they had only limited effects. Cervical
plain computed tomography (CT) scanning detected remarkable crown-like
calcification surrounding the odontoid process. Based on CT findings, the patient
was diagnosed as having CDS with PD, and was immediately treated with
corticosteroid. The severe neck rigidity with pain and the serum CRP level (0.83
mg/dl) of the patient were drastically improved within a week by the additional
corticosteroid therapy. CONCLUSION: Severe neck rigidity and bradykinesia in this
patient might have strengthened the chondrocalcinosis around the odontoid
process. Cervical plain CT scan is necessary and useful for the definitive
diagnosis of CDS. CDS should be considered as a differential diagnosis of a
possible etiology for musculoskeletal pain related to rigidity and bradykinesia
in PD.
PMID- 24926266
TI - Progressive outer retinal necrosis and immunosuppressive therapy in myasthenia
gravis.
AB - INTRODUCTION: Progressive outer retinal necrosis (PORN) is a rare but devastating
infectious retinitis associated with varicella zoster virus (VZV) and responsible
for severe visual loss. CASE REPORT: A 59-year-old man treated for generalized
myasthenia with oral azathioprine and prednisone presented with severe unilateral
necrotizing retinitis. Polymerase chain reaction of the aqueous and vitreous
humors was diagnostic for VZV PORN. CONCLUSION: VZV PORN is a severe potential
ocular complication of immunosuppression, prompting urgent diagnosis and
appropriate treatment.
PMID- 24926267
TI - Like iron in the blood of the people: the requirement for heme trafficking in
iron metabolism.
AB - Heme is an iron-containing porphyrin ring that serves as a prosthetic group in
proteins that function in diverse metabolic pathways. Heme is also a major source
of bioavailable iron in the human diet. While the synthesis of heme has been well
characterized, the pathways for heme trafficking remain poorly understood. It is
likely that heme transport across membranes is highly regulated, as free heme is
toxic to cells. This review outlines the requirement for heme delivery to various
subcellular compartments as well as possible mechanisms for the mobilization of
heme to these compartments. We also discuss how these trafficking pathways might
function during physiological events involving inter- and intra-cellular
mobilization of heme, including erythropoiesis, erythrophagocytosis, heme
absorption in the gut, as well as heme transport pathways supporting embryonic
development. Lastly, we aim to question the current dogma that heme, in toto, is
not mobilized from one cell or tissue to another, outlining the evidence for
these pathways and drawing parallels to other well-accepted paradigms for copper,
iron, and cholesterol homeostasis.
PMID- 24926268
TI - Iron, hepcidin, and the metal connection.
AB - Identification of new players in iron metabolism, such as hepcidin, which
regulates ferroportin and divalent metal transporter 1 expression, has improved
our knowledge of iron metabolism and iron-related diseases. However, from both
experimental data and clinical findings, "iron-related proteins" appear to also
be involved in the metabolism of other metals, especially divalent cations.
Reports have demonstrated that some metals may affect, directly or indirectly,
the expression of proteins involved in iron metabolism. Throughout their lives,
individuals are exposed to various metals during personal and/or occupational
activities. Therefore, better knowledge of the connections between iron and other
metals could improve our understanding of iron-related diseases, especially the
variability in phenotypic expression, as well as a variety of diseases in which
iron metabolism is secondarily affected. Controlling the metabolism of other
metals could represent a promising innovative therapeutic approach.
PMID- 24926269
TI - Analysis of homeobox gene action may reveal novel angiogenic pathways in normal
placental vasculature and in clinical pregnancy disorders associated with
abnormal placental angiogenesis.
AB - Homeobox genes are essential for both the development of the blood and lymphatic
vascular systems, as well as for their maintenance in the adult. Homeobox genes
comprise an important family of transcription factors, which are characterized by
a well conserved DNA binding motif; the homeodomain. The specificity of the
homeodomain allows the transcription factor to bind to the promoter regions of
batteries of target genes and thereby regulates their expression. Target genes
identified for homeodomain proteins have been shown to control fundamental cell
processes such as proliferation, differentiation, and apoptosis. We and others
have reported that homeobox genes are expressed in the placental vasculature, but
our knowledge of their downstream target genes is limited. This review highlights
the importance of studying the cellular and molecular mechanisms by which
homeobox genes and their downstream targets may regulate important vascular
cellular processes such as proliferation, migration, and endothelial tube
formation, which are essential for placental vasculogenesis and angiogenesis. A
better understanding of the molecular targets of homeobox genes may lead to new
therapies for aberrant angiogenesis associated with clinically important
pregnancy pathologies, including fetal growth restriction and preeclampsia.
PMID- 24926270
TI - Impaired adenosine-mediated angiogenesis in preeclampsia: potential implications
for fetal programming.
AB - Preeclampsia is a pregnancy-specific syndrome, defined by such clinical hallmarks
as the onset of maternal hypertension and proteinuria after 20 weeks of
gestation. The syndrome is also characterized by impaired blood flow through the
utero-placental circulation and relative placental ischemia, which in turn, may
generate feto-placental endothelial dysfunction. Endothelial dysfunction in
offspring born from preeclamptic pregnancies has been associated with an
increased risk of cardiovascular disease, including hypertension, later in life.
Interestingly, diminished endothelial function, manifested by low angiogenic
capacity, leads to hypertension in animal studies. Recently, we have shown that
the adenosine receptor A2A/nitric oxide/vascular endothelial growth factor axis
is reduced in human umbilical vein endothelial cells derived from preeclamptic
pregnancies, an effect correlated with gestational age at onset of preeclampsia.
We and others suggested that impaired vascular function might be associated with
high cardiovascular risk in offspring exposed to pregnancy diseases. However, we
are not aware of any studies that examine impaired adenosine-mediated
angiogenesis as a possible link to hypertension in offspring born from
preeclamptic pregnancies. In this review, we present evidence supporting the
hypothesis that reduced adenosine-mediated angiogenesis during preeclamptic
pregnancies might be associated with development of hypertension in the
offspring.
PMID- 24926272
TI - How intent to interact can affect action scaling of distance: reply to Wilson.
PMID- 24926271
TI - A distributed effects perspective of dimensionally defined psychiatric disorders:
and convergent versus core deficit effects in ADHD.
AB - The focus of psychiatric and psychological research has arguably shifted from
brain damage and psychosis to more common forms of psychopathology that reflect
extremes variants of otherwise normal cognitive and behavioral characteristics.
Now, in addition to trying to understand overtly damaged brain-function (flat
tire effects), we are also seeking to understand liabilities associated with non
optimized, but otherwise intact, cognitive and behavioral abilities (poor tuning
effects). This shift has pushed us to evolve our investigational strategies to
more broadly consider whole-brain integrated brain systems, as well as seek to
develop more specific quantifiable indicators of impoverished brain function and
behavior. This paper discusses such challenges in relation to dimensionally
defined psychiatric disorders and presents a novel whole-brain integrated
perspective of ADHD brain function pathology.
PMID- 24926273
TI - MEG evidence for conceptual combination but not numeral quantification in the
left anterior temporal lobe during language production.
AB - The left anterior temporal lobe (LATL) has risen as a leading candidate for a
brain locus of composition in language; yet the computational details of its
function are unknown. Although most literature discusses it as a combinatory
region in very general terms, it has also been proposed to reflect the more
specific function of conceptual combination, which in the classic use of this
term mainly pertains to the combination of open class words with obvious
conceptual contributions. We aimed to distinguish between these two possibilities
by contrasting plural nouns in contexts where they were either preceded by a
color modifier ("red cups"), eliciting conceptual combination, or by a number
word ("two cups"), eliciting numeral quantification but no conceptual
combination. This contrast was chosen because within a production task, it allows
the manipulation of composition type while keeping the physical stimulus
constant: a display of two red cups can be named as "two cups" or "red cups"
depending on the task instruction. These utterances were compared to productions
of two-word number and color lists, intended as non-combinatory control
conditions. Magnetoencephalography activity was recorded during the planning for
production, prior to motion artifacts. As expected on the basis of comprehension
studies, color modification elicited increased LATL activity as compared to color
lists, demonstrating that this basic combinatory effect is strongly crossmodal.
However, numeral quantification did not elicit a parallel effect, suggesting that
the function of the LATL is (i) semantic and not syntactic (given that both color
modification and numeral quantification involve syntactic composition) and (ii)
corresponds more closely to the classical psychological notion of conceptual
combination as opposed to a more general semantic combinatory function.
PMID- 24926274
TI - Gated auditory speech perception: effects of listening conditions and cognitive
capacity.
AB - This study aimed to measure the initial portion of signal required for the
correct identification of auditory speech stimuli (or isolation points, IPs) in
silence and noise, and to investigate the relationships between auditory and
cognitive functions in silence and noise. Twenty-one university students were
presented with auditory stimuli in a gating paradigm for the identification of
consonants, words, and final words in highly predictable and low predictable
sentences. The Hearing in Noise Test (HINT), the reading span test, and the Paced
Auditory Serial Attention Test were also administered to measure speech-in-noise
ability, working memory and attentional capacities of the participants,
respectively. The results showed that noise delayed the identification of
consonants, words, and final words in highly predictable and low predictable
sentences. HINT performance correlated with working memory and attentional
capacities. In the noise condition, there were correlations between HINT
performance, cognitive task performance, and the IPs of consonants and words. In
the silent condition, there were no correlations between auditory and cognitive
tasks. In conclusion, a combination of hearing-in-noise ability, working memory
capacity, and attention capacity is needed for the early identification of
consonants and words in noise.
PMID- 24926275
TI - Conscious and unconscious context-specific cognitive control.
AB - A key feature of the human cognitive system is its ability to deal with an ever
changing environment. One prototypical example is the observation that we adjust
our information processing depending on the conflict-likelihood of a context
(context-specific proportion congruency effect, CSPC, Crump etal., 2006).
Recently, empirical studies started to question the role of consciousness in
these strategic adaptation processes (for reviews, see Desender and Van den
Bussche, 2012; Kunde etal., 2012). However, these studies have not yielded
unequivocal results (e.g., Kunde, 2003; Heinemann etal., 2009; Van Gaal etal.,
2010a; Desender etal., 2013; Reuss etal., 2014). In the present study, we aim at
replicating the experiment of Heinemann etal. (2009) in which the proportion of
congruent and incongruent trials between different contexts was varied in a
masked priming task. Their results showed a reduction of the congruency effect
for the context with more incongruent trials. However, this CSPC effect was only
observed when the prime-target conflict was conscious, rather than unconscious,
suggesting that context-specific control operates within the boundaries of
awareness. Our replication attempt however contrasts these findings. In the first
experiment we found no evidence for a CSPC effect in reaction times (RTs),
neither in the conscious nor in the unconscious condition. The error rate
analysis did show a CSPC effect, albeit not one modulated by consciousness. In
the second experiment we found an overall CSPC effect in RTs, independent of
consciousness. The error rates did not display a CSPC pattern. These mixed
results seem to nuance the findings of Heinemann etal. (2009) and highlight the
need for replication studies in psychology research.
PMID- 24926276
TI - Exploring story grammar structure in the book reading interactions of African
American mothers and their preschool children: a pilot investigation.
AB - The aim of this investigation was to identify the book reading behaviors and book
reading styles of middle class African American mothers engaged in a shared book
reading activity with their preschool children. To this end, the mothers and
their children were videotaped reading one of three books, Julius, Grandfather
and I, or Somewhere in Africa. Both maternal and child behaviors were coded for
the frequency of occurrence of story grammar elements contained in their stories
and maternal behaviors were also coded for their use of narrative eliciting
strategies. In addition, mothers were queried about the quality and quantity of
book reading/story telling interactions in the home environment. The results
suggest that there is a great deal of individual variation in how mothers use the
story grammar elements and narrative eliciting strategies to engage their
children in a shared book reading activity. Findings are discussed in terms of
suggestions for additional research and practical applications are offered on
ways to optimally engage African American preschool children and African American
families from diverse socioeconomic backgrounds in shared book reading
interactions.
PMID- 24926277
TI - Double threshold in bi- and multilingual contexts: preconditions for higher
academic attainment in English as an additional language.
AB - Bi- and multilingualism has been shown to have positive effects on the attainment
of third and additional languages. These effects, however, depend on the type of
bi- and multilingualism and the status of the languages involved (Cenoz, 2003;
Jessner, 2006). In this exploratory trend study, we revisit Cummins' Threshold
Hypothesis (1979), claiming that bilingual children must reach certain levels of
attainment in order to (a) avoid academic deficits and (b) allow bilingualism to
have a positive effect on their cognitive development and academic attainment. To
this end, we examine the attainment of English as an academic language of 16
years-old school children from Hamburg (n = 52). Our findings support the
existence of thresholds for literacy attainment. We argue that language external
factors may override positive effects of bilingualism. In addition, these factors
may compensate negative effects attributable to low literacy attainment in German
and the heritage languages. We also show that low attainment levels in migrant
children's heritage languages preempt high literacy attainment in additional
languages.
PMID- 24926278
TI - The effect of a career choice guidance on self-reported psychological problems.
AB - Late adolescents with career choice problems often have psychological problems as
well. The starting point of this study was the question of career choice
counselors whether potential clients with career choice problems and
psychological problems could be accepted in career choice intervention, or
whether it was better to advise them to seek help for their psychological
problems. We investigated whether a successful career choice intervention reduced
psychological problems, and whether this program was equally effective in
participants with low and with high levels of psychological problems.
Participants were 45 Dutch students (age 17-24) with career choice problems. They
had above average levels of self-reported psychological problems before the start
of the intervention. These problems decreased significantly following the
intervention. With regard to vocational commitment development, the intervention
was equally effective for participants with low or average and with (very) high
levels of psychological problems before the start of the intervention.
PMID- 24926279
TI - Evaluating visual and auditory contributions to the cognitive restoration effect.
AB - It has been suggested that certain real-world environments can have a restorative
effect on an individual, as expressed in changes in cognitive performance and
mood. Much of this research builds on Attention Restoration Theory (ART), which
suggests that environments that have certain characteristics induce cognitive
restoration via variations in attentional demands. Specifically, natural
environments that require little top-down processing have a positive effect on
cognitive performance, while city-like environments show no effect. We
characterized the cognitive restoration effect further by examining (1) whether
natural visual stimuli, such as blue spaces, were more likely to provide a
restorative effect over urban visual stimuli, (2) if increasing immersion with
environment-related sound produces a similar or superior effect, (3) if this
effect extends to other cognitive tasks, such as the functional field of view
(FFOV), and (4) if we could better understand this effect by providing controls
beyond previous works. We had 202 participants complete a cognitive task battery,
consisting of a reverse digit span task, the attention network task, and the FFOV
task prior to and immediately after a restoration period. In the restoration
period, participants were assigned to one of seven conditions in which they
listened to natural or urban sounds, watched images of natural or urban
environments, or a combination of both. Additionally, some participants were in a
control group with exposure to neither picture nor sound. While we found some
indication of practice effects, there were no differential effects of restoration
observed in any of our cognitive tasks, regardless of condition. We did, however,
find evidence that our nature images and sounds were more relaxing than their
urban counterparts. Overall, our findings suggest that acute exposure to relaxing
pictorial and auditory stimulus is insufficient to induce improvements in
cognitive performance.
PMID- 24926280
TI - Implicit learning modulates attention capture: evidence from an item-specific
proportion congruency manipulation.
AB - A host of research has now shown that our explicit goals and intentions can, in
large part, overcome the capture of visual attention by objects that differ from
their surroundings in terms of size, shape, or color. Surprisingly however, there
is little evidence for the role of implicit learning in mitigating capture
effects despite the fact that such learning has been shown to strongly affect
behavior in a host of other performance domains. Here, we employ a modified
attention capture paradigm, based on the work of Theeuwes (1991, 1992), in which
participants must search for an odd-shaped target amongst homogeneous
distracters. On each trial, there is also a salient, but irrelevant odd-colored
distracter. Across the experiments reported, we intermix two search contexts: for
one set of distracters (e.g., squares) the shape singleton and color singleton
coincide on a majority of trials (high proportion congruent condition), whereas
for the other set of distracters (e.g., circles) the shape and color singletons
are highly unlikely to coincide (low proportion congruent condition). Crucially,
we find that observers learn to allow the capture of attention by the salient
distracter to a greater extent in the high, compared to the low proportion
congruent condition, albeit only when search is sufficiently difficult. Moreover,
this effect of prior experience on search behavior occurs in the absence of
awareness of our proportion manipulation. We argue that low-level properties of
the search displays recruit representations of prior experience in a rapid,
flexible, and implicit manner.
PMID- 24926281
TI - French-speaking children's freely produced labels for facial expressions.
AB - In this study, we investigated the labeling of facial expressions in French
speaking children. The participants were 137 French-speaking children, between
the ages of 5 and 11 years, recruited from three elementary schools in Ottawa,
Ontario, Canada. The facial expressions included expressions of happiness,
sadness, fear, surprise, anger, and disgust. Participants were shown one facial
expression at a time, and asked to say what the stimulus person was feeling.
Participants' responses were coded by two raters who made judgments concerning
the specific emotion category in which the responses belonged. 5- and 6-year-olds
were quite accurate in labeling facial expressions of happiness, anger, and
sadness but far less accurate for facial expressions of fear, surprise, and
disgust. An improvement in accuracy as a function of age was found for fear and
surprise only. Labeling facial expressions of disgust proved to be very difficult
for the children, even for the 11-year-olds. In order to examine the fit between
the model proposed by Widen and Russell (2003) and our data, we looked at the
number of participants who had the predicted response patterns. Overall, 88.52%
of the participants did. Most of the participants used between 3 and 5 labels,
with correspondence percentages varying between 80.00% and 100.00%. Our results
suggest that the model proposed by Widen and Russell (2003) is not limited to
English-speaking children, but also accounts for the sequence of emotion labeling
in French-Canadian children.
PMID- 24926282
TI - Group work as an incentive for learning - students' experiences of group work.
AB - Group work is used as a means for learning at all levels in educational systems.
There is strong scientific support for the benefits of having students learning
and working in groups. Nevertheless, studies about what occurs in groups during
group work and which factors actually influence the students' ability to learn is
still lacking. Similarly, the question of why some group work is successful and
other group work results in the opposite is still unsolved. The aim of this
article is to add to the current level of knowledge and understandings regarding
the essence behind successful group work in higher education. This research is
focused on the students' experiences of group work and learning in groups, which
is an almost non-existing aspect of research on group work prior to the beginning
of the 21st century. A primary aim is to give university students a voice in the
matter by elucidating the students' positive and negative points of view and how
the students assess learning when working in groups. Furthermore, the students'
explanations of why some group work ends up being a positive experience resulting
in successful learning, while in other cases, the result is the reverse, are of
interest. Data were collected through a study-specific questionnaire, with
multiple choice and open-ended questions. The questionnaires were distributed to
students in different study programs at two universities in Sweden. The present
result is based on a reanalysis and qualitative analysis formed a key part of the
study. The results indicate that most of the students' experiences involved group
work that facilitated learning, especially in the area of academic knowledge.
Three important prerequisites (learning, study-social function, and organization)
for group work that served as an effective pedagogy and as an incentive for
learning were identified and discussed. All three abstractions facilitate or
hamper students' learning, as well as impact their experiences with group work.
PMID- 24926283
TI - Temporal dynamics of cerebral blood flow, cortical damage, apoptosis, astrocyte
vasculature interaction and astrogliosis in the pericontusional region after
traumatic brain injury.
AB - Traumatic brain injury (TBI) results in a loss of brain tissue at the moment of
impact in the cerebral cortex. Subsequent secondary injury involves the release
of molecular signals with dramatic consequences for the integrity of damaged
tissue, leading to the evolution of a pericontusional-damaged area minutes to
days after in the initial injury. The mechanisms behind the progression of tissue
loss remain under investigation. In this study, we analyzed the spatial-temporal
profile of blood flow, apoptotic, and astrocytic-vascular events in the cortical
regions around the impact site at time points ranging from 5 h to 2 months after
TBI. We performed a mild-moderate controlled cortical impact injury in young
adult mice and analyzed the glial and vascular response to injury. We observed a
dramatic decrease in perilesional cerebral blood flow (CBF) immediately following
the cortical impact that lasted until days later. CBF finally returned to
baseline levels by 30 days post-injury (dpi). The initial impact also resulted in
an immediate loss of tissue and cavity formation that gradually increased in size
until 3 dpi. An increase in dying cells localized in the pericontusional region
and a robust astrogliosis were also observed at 3 dpi. A strong vasculature
interaction with astrocytes was established at 7 dpi. Glial scar formation began
at 7 dpi and seemed to be compact by 60 dpi. Altogether, these results suggest
that TBI results in a progression from acute neurodegeneration that precedes
astrocytic activation, reformation of the neurovascular unit to glial scar
formation. Understanding the multiple processes occurring after TBI is critical
to the ability to develop neuroprotective therapeutics to ameliorate the short
and long-term consequences of brain injury.
PMID- 24926284
TI - Behavioral and neurophysiological effects of transdermal rotigotine in atypical
parkinsonism.
AB - Effective therapies for the so-called atypical parkinsonian syndrome (APS) such
as multiple system atrophy (MSA), progressive supranuclear palsy (PSP), or
corticobasal syndrome (CBS) are not available. Dopamine agonists (DA) are not
often used in APS because of inefficacy and in a minority of case, their side
effects, like dyskinesias, impairment of extrapyramidal symptoms or the
appearance of psychosis, and REM sleep behavioral disorders (RBD). Transdermal
rotigotine (RTG) is a non-ergot dopamine agonist indicated for use in early and
advanced Parkinson's disease with a good tolerability and safety. Moreover, its
action on a wide range of dopamine receptors, D1, D2, D3, unlike other DA, could
make it a good option in APS, where a massive dopamine cell loss is documented.
In this pilot, observational open-label study we evaluate the efficacy and
tolerability of RTG in patients affected by APS. Thirty-two subjects with
diagnosis of APS were treated with transdermal RTG. APS diagnosis was: MSA
parkinsonian type (MSA-P), MSA cerebellar type (MSA-C), PSP, and CBS. Patients
were evaluated by UPDRS-III, neuropsychiatric inventory, mini mental state
examination at baseline, and after 6, 12, and 18 months. The titration schedule
was maintained very flexible, searching the major clinical effect and the minor
possible adverse events (AEs) at each visit. AEs were recorded. APS patients
treated with RTG show an overall decrease of UPDRS-III scores without increasing
behavioral disturbances. Only three patients were dropped out of the study. Main
AEs were hypotension, nausea, vomiting, drowsiness, and tachycardia. The
electroencephalographic recording power spectra analysis shows a decrease of
theta and an increase of low alpha power. In conclusion, transdermal RTG seems to
be effective and well tolerated in APS patients.
PMID- 24926285
TI - The SCFA Receptor GPR43 and Energy Metabolism.
AB - Free fatty acids (FFAs) are essential nutrients and act as signaling molecules in
various cellular processes via binding with FFA receptors. Of these receptors,
GPR43 is activated by short-chain fatty acids (SCFAs; e.g., acetate, propionate,
and butyrate). During feeding, SCFAs are produced by microbial fermentation of
dietary fiber in the gut, and these SCFAs become important energy sources for the
host. The gut microbiota affects nutrient acquisition and energy regulation of
the host and can influence the development of obesity, insulin resistance, and
diabetes. Recently, GPR43 has been reported to regulate host energy homeostasis
in the gastrointestinal tract and adipose tissues. Hence, GPR43 is also thought
to be a potential drug target for metabolic disorders, such as obesity and
diabetes. In this review, we summarize the identification, structure, and
activities of GPR43, with a focus on host energy regulation, and present an
essential overview of our current understanding of its physiological roles in
host energy regulation that is mediated by gut microbiota. We also discuss the
potential for GPR43 as a therapeutic target.
PMID- 24926286
TI - Unraveling the plant microbiome: looking back and future perspectives.
AB - Most eukaryotes develop close interactions with microorganisms that are essential
for their performance and survival. Thus, eukaryotes and prokaryotes in nature
can be considered as meta-organisms or holobionts. Consequently, microorganisms
that colonize different plant compartments contain the plant's second genome. In
this respect, many studies in the last decades have shown that plant-microbe
interactions are not only crucial for better understanding plant growth and
health, but also for sustainable crop production in a changing world. This mini
review acting as editorial presents retrospectives and future perspectives for
plant microbiome studies as well as information gaps in this emerging research
field. In addition, the contribution of this research topic to the solution of
various issues is discussed.
PMID- 24926287
TI - Salty sisters: The women of halophiles.
AB - A history of halophile research reveals the commitment of scientists to
uncovering the secrets of the limits of life, in particular life in high salt
concentration and under extreme osmotic pressure. During the last 40 years,
halophile scientists have indeed made important contributions to extremophile
research, and prior international halophiles congresses have documented both the
historical and the current work. During this period of salty discoveries, female
scientists, in general, have grown in number worldwide. But those who worked in
the field when there were small numbers of women sometimes saw their important
contributions overshadowed by their male counterparts. Recent studies suggest
that modern female scientists experience gender bias in matters such as
conference invitations and even representation among full professors. In the
field of halophilic microbiology, what is the impact of gender bias? How has the
participation of women changed over time? What do women uniquely contribute to
this field? What are factors that impact current female scientists to a greater
degree? This essay emphasizes the "her story" (not "history") of halophile
discovery.
PMID- 24926288
TI - ESCRT-III mediated cell division in Sulfolobus acidocaldarius - a reconstitution
perspective.
AB - In the framework of synthetic biology, it has become an intriguing question what
would be the minimal representation of cell division machinery. Thus, it seems
appropriate to compare how cell division is realized in different microorganisms.
In particular, the cell division system of Crenarchaeota lacks certain proteins
found in most bacteria and Euryarchaeota, such as FtsZ, MreB or the Min system.
The Sulfolobaceae family encodes functional homologs of the eukaryotic proteins
vacuolar protein sorting 4 (Vps4) and endosomal sorting complex required for
transport-III (ESCRT-III). ESCRT-III is essential for several eukaryotic
pathways, e.g., budding of intraluminal vesicles, or cytokinesis, whereas Vps4
dissociates the ESCRT-III complex from the membrane. Cell Division A (CdvA) is
required for the recruitment of crenarchaeal ESCRT-III proteins to the membrane
at mid-cell. The proteins polymerize and form a smaller structure during
constriction. Thus, ESCRT-III mediated cell division in Sulfolobus acidocaldarius
shows functional analogies to the Z ring observed in prokaryotes like Escherichia
coli, which has recently begun to be reconstituted in vitro. In this short
perspective, we discuss the possibility of building such an in vitro cell
division system on basis of archaeal ESCRT-III.
PMID- 24926289
TI - Potential of essential oils for protection of grains contaminated by aflatoxin
produced by Aspergillus flavus.
AB - Aflatoxin B1 (AFB1) is a highly toxic and carcinogenic metabolite produced by
Aspergillus species on food and agricultural commodities. Inhibitory effects of
essential oils of Ageratum conyzoides (mentrasto) and Origanum vulgare (oregano)
on the mycelial growth and aflatoxin B1 production by Aspergillus flavus have
been studied previously in culture medium. The aim of this study was to evaluate
aflatoxin B1 production by Aspergillus flavus in real food systems (corn and
soybean) treated with Ageratum conyzoides (mentrasto) and Origanum vulgare
(oregano) essential oils. Samples with 60 g of the grains were treated with
different volumes of essential oils, 200, 100, 50, and 10 MUL for oregano and 50,
30, 15, and 10 MUL for mentrasto. Fungal growth was evaluated by disk diffusion
method. Aflatoxin B1 production was evaluated inoculating suspensions of A.
flavus containing 1.3 * 10(5) spores/mL in 60 g of grains (corn and soybeans)
after adjusting the water activity at 0.94. Aflatoxin was quantified by
photodensitometry. Fungal growth and aflatoxin production were inhibited by
essential oils, but the mentrasto oil was more effective in soybeans than that of
oregano. On the other hand, in corn samples, the oregano essential oil was more
effective than that of mentrasto. Chemical compositions of the essential oils
were also investigated. The GC/MS oils analysis showed that the main component of
mentrasto essential oil is precocene I and of the main component of oregano
essential oil is 4-terpineol. The results indicate that both essential oils can
become an alternative for the control of aflatoxins in corn and soybeans.
PMID- 24926290
TI - Cytometric fingerprints: evaluation of new tools for analyzing microbial
community dynamics.
AB - Optical characteristics of individual bacterial cells of natural communities can
be measured with flow cytometry (FCM) in high throughput. The resulting data are
visualized in cytometric histograms. These histograms represent individual
cytometric fingerprints of microbial communities, e.g., at certain time points or
microenvironmental conditions. Up to now four tools for analyzing the variation
in these cytometric fingerprints are available but have not yet been
systematically compared regarding application: Dalmatian Plot, Cytometric
Histogram Image Comparison (CHIC), Cytometric Barcoding (CyBar), and FlowFP. In
this article these tools were evaluated concerning (i) the required experience of
the operator in handling cytometric data sets, (ii) the detection level of
changes, (iii) time demand for analysis, and (iv) software requirements. As an
illustrative example, FCM was used to characterize the microbial community
structure of electroactive microbial biofilms. Their cytometric fingerprints were
determined, analyzed with all four tools, and correlated to experimental and
functional parameters. The source of inoculum (four different types of wastewater
samples) showed the strongest influence on the microbial community structure and
biofilm performance while the choice of substrate (acetate or lactate) had no
significant effect in the present study. All four evaluation tools were found
suitable to monitor structural changes of natural microbial communities. The
Dalmatian Plot was shown to be most sensitive to operator impact but nevertheless
provided an overview on community shifts. CHIC, CyBar, and FlowFP showed less
operator dependence and gave highly resolved information on community structure
variation on different detection levels. In conclusion, experimental and
productivity parameters correlated with the biofilm structures and practical
process integration details were available from cytometric fingerprint analysis.
PMID- 24926291
TI - Advances in detection methods for Shiga toxin-producing Escherichia coli (STEC).
PMID- 24926292
TI - Bdellovibrio bacteriovorus directly attacks Pseudomonas aeruginosa and
Staphylococcus aureus Cystic fibrosis isolates.
AB - Bdellovibrio bacteriovorus is a predator bacterial species found in the
environment and within the human gut, able to attack Gram-negative prey. Cystic
fibrosis (CF) is a genetic disease which usually presents lung colonization by
Pseudomonas aeruginosa or Staphylococcus aureus biofilms. Here, we investigated
the predatory behavior of B. bacteriovorus against these two pathogenic species
with: (1) broth culture; (2) "static" biofilms; (3) field emission scanning
electron microscope (FESEM); (4) "flow" biofilms; (5) zymographic technique. We
had the first evidence of B. bacteriovorus survival with a Gram-positive prey,
revealing a direct cell-to-cell contact with S. aureus and a new "epibiotic"
foraging strategy imaged with FESEM. Mean attaching time of HD100 to S. aureus
cells was 185 s, while "static" and "flow" S. aureus biofilms were reduced by 74
(at 24 h) and 46% (at 20 h), respectively. Furthermore, zymograms showed a
differential bacteriolytic activity exerted by the B. bacteriovorus lysates on P.
aeruginosa and S. aureus. The dual foraging system against Gram-negative
(periplasmic) and Gram-positive (epibiotic) prey could suggest the use of B.
bacteriovorus as a "living antibiotic" in CF, even if further studies are
required to simulate its in vivo predatory behavior.
PMID- 24926293
TI - Antigen-Pulsed CpG-ODN-Activated Dendritic Cells Induce Host-Protective Immune
Response by Regulating the T Regulatory Cell Functioning in Leishmania donovani
Infected Mice: Critical Role of CXCL10.
AB - Visceral leishmaniasis (VL), caused by Leishmania donovani, is a systemic
infection of reticulo-endothelial system. There is currently no protective
vaccine against VL and chemotherapy is increasingly limited due to appearance of
drug resistance to first line drugs such as antimonials and amphotericin B. In
the present study, by using a murine model of leishmaniasis we evaluated the
function played by soluble leishmanial antigen (SLA)-pulsed CpG-ODN-stimulated
dendritic cells (SLA-CpG-DCs) in restricting the intracellular parasitic growth.
We establish that a single dose of SLA-CpG-DC vaccination is sufficient in
rendering complete protection against L. donovani infection. In probing the
possible mechanism, we observe that SLA-CpG-DCs vaccination results in the
significant decrease in Foxp3(+)GITR(+)CTLA4(+)CD4(+)CD25(+) regulatory T cells
(Treg) cell population in Leishmania-infected mice. Vaccination with these
antigen-stimulated dendritic cells results in the decrease in the secretion of
TGF-beta by these Treg cells by possible regulation of the SMAD signaling.
Moreover, we demonstrate that a CXC chemokine, IFN-gamma-inducible protein 10 (IP
10; CXCL10), has a direct role in the regulation of CD4(+)CD25(+) Treg cells in
SLA-CpG-DC-vaccinated parasitized mice as Treg cells isolated from IP-10-depleted
vaccinated mice showed significantly increased TGF-beta production and
suppressive activity.
PMID- 24926294
TI - A rapid immunization strategy with a live-attenuated tetravalent dengue vaccine
elicits protective neutralizing antibody responses in non-human primates.
AB - Dengue viruses (DENVs) cause approximately 390 million cases of DENV infections
annually and over 3 billion people worldwide are at risk of infection. No dengue
vaccine is currently available nor is there an antiviral therapy for DENV
infections. We have developed a tetravalent live-attenuated DENV vaccine
tetravalent dengue vaccine (TDV) that consists of a molecularly characterized
attenuated DENV-2 strain (TDV-2) and three chimeric viruses containing the pre
membrane and envelope genes of DENV-1, -3, and -4 expressed in the context of the
TDV-2 genome. To impact dengue vaccine delivery in endemic areas and immunize
travelers, a simple and rapid immunization strategy (RIS) is preferred. We
investigated RIS consisting of two full vaccine doses being administered
subcutaneously or intradermally on the initial vaccination visit (day 0) at two
different anatomical locations with a needle-free disposable syringe jet
injection delivery devices (PharmaJet) in non-human primates. This vaccination
strategy resulted in efficient priming and induction of neutralizing antibody
responses to all four DENV serotypes comparable to those elicited by the
traditional prime and boost (2 months later) vaccination schedule. In addition,
the vaccine induced CD4(+) and CD8(+) T cells producing IFN-gamma, IL-2, and TNF
alpha, and targeting the DENV-2 NS1, NS3, and NS5 proteins. Moreover, vaccine
specific T cells were cross-reactive with the non-structural NS3 and NS5 proteins
of DENV-4. When animals were challenged with DENV-2 they were protected with no
detectable viremia, and exhibited sterilizing immunity (no increase of
neutralizing titers post-challenge). RIS could decrease vaccination visits and
provide quick immune response to all four DENV serotypes. This strategy could
increase vaccination compliance and would be especially advantageous for
travelers into endemic areas.
PMID- 24926295
TI - When supply does not meet demand-ER stress and plant programmed cell death.
AB - The endoplasmic reticulum (ER) is the central organelle in the eukaryotic
secretory pathway. The ER functions in protein synthesis and maturation and is
crucial for proper maintenance of cellular homeostasis and adaptation to adverse
environments. Acting as a cellular sentinel, the ER is exquisitely sensitive to
changing environments principally via the ER quality control machinery. When
perturbed, ER-stress triggers a tightly regulated and highly conserved, signal
transduction pathway known as the unfolded protein response (UPR) that prevents
the dangerous accumulation of unfolded/misfolded proteins. In situations where
excessive UPR activity surpasses threshold levels, cells deteriorate and
eventually trigger programmed cell death (PCD) as a way for the organism to cope
with dysfunctional or toxic signals. The programmed cell death that results from
excessive ER stress in mammalian systems contributes to several important
diseases including hypoxia, neurodegeneration, and diabetes. Importantly,
hallmark features and markers of cell death that are associated with ER stress in
mammals are also found in plants. In particular, there is a common, conserved set
of chaperones that modulate ER cell death signaling. Here we review the elements
of plant cell death responses to ER stress and note that an increasing number of
plant-pathogen interactions are being identified in which the host ER is targeted
by plant pathogens to establish compatibility.
PMID- 24926297
TI - Cell wall remodeling in mycorrhizal symbiosis: a way towards biotrophism.
AB - Cell walls are deeply involved in the molecular talk between partners during
plant and microbe interactions, and their role in mycorrhizae, i.e., the
widespread symbiotic associations established between plant roots and soil fungi,
has been investigated extensively. All mycorrhizal interactions achieve full
symbiotic functionality through the development of an extensive contact surface
between the plant and fungal cells, where signals and nutrients are exchanged.
The exchange of molecules between the fungal and the plant cytoplasm takes place
both through their plasma membranes and their cell walls; a functional
compartment, known as the symbiotic interface, is thus defined. Among all the
symbiotic interfaces, the complex intracellular interface of arbuscular
mycorrhizal (AM) symbiosis has received a great deal of attention since its first
description. Here, in fact, the host plasma membrane invaginates and proliferates
around all the developing intracellular fungal structures, and cell wall material
is laid down between this membrane and the fungal cell surface. By contrast, in
ectomycorrhizae (ECM), where the fungus grows outside and between the root cells,
plant and fungal cell walls are always in direct contact and form the interface
between the two partners. The organization and composition of cell walls within
the interface compartment is a topic that has attracted widespread attention,
both in ecto- and endomycorrhizae. The aim of this review is to provide a general
overview of the current knowledge on this topic by integrating morphological
observations, which have illustrated cell wall features during mycorrhizal
interactions, with the current data produced by genomic and transcriptomic
approaches.
PMID- 24926296
TI - The meiotic transcriptome architecture of plants.
AB - Although a number of genes that play key roles during the meiotic process have
been characterized in great detail, the whole process of meiosis is still not
completely unraveled. To gain insight into the bigger picture, large-scale
approaches like RNA-seq and microarray can help to elucidate the transcriptome
landscape during plant meiosis, discover co-regulated genes, enriched processes,
and highly expressed known and unknown genes which might be important for
meiosis. These high-throughput studies are gaining more and more popularity, but
their beginnings in plant systems reach back as far as the 1960's. Frequently,
whole anthers or post-meiotic pollen were investigated, while less data is
available on isolated cells during meiosis, and only few studies addressed the
transcriptome of female meiosis. For this review, we compiled meiotic
transcriptome studies covering different plant species, and summarized and
compared their key findings. Besides pointing to consistent as well as unique
discoveries, we finally draw conclusions what can be learned from these studies
so far and what should be addressed next.
PMID- 24926298
TI - Production of viable seeds from the seedling lethal mutant ppi2-2 lacking the
atToc159 chloroplast protein import receptor using plastic containers, and
characterization of the homozygous mutant progeny.
AB - Biogenesis of chloroplasts is essential for plant growth and development. A
number of homozygous mutants lacking a chloroplast protein exhibit an albino
phenotype. In general, it is challenging to grow albino Arabidopsis plants on
soil until they set seeds. Homozygous albino mutants are usually obtained as
progenies of heterozygous parents. Here, we describe a method of recovering seeds
from the seedling lethal Arabidopsis mutant ppi2-2, which lacks the atToc159
protein import receptor at the outer envelope membrane of chloroplast. Using
plastic containers, we were able to grow homozygous ppi2-2 plants until these set
seed. Although the germination rate of the harvested seeds was relatively low, it
was still sufficient to allow us to further analyze the ppi2-2 progeny. Using
ppi2-2 homozygous seeds, we were able to analyze the role of plastid protein
import in the light-regulated induction of nuclear genes. We propose that this
method be applied to other seedling lethal Arabidopsis mutants to obtain
homozygous seeds, helping us further investigate the roles of plastid proteins in
plant growth and development.
PMID- 24926299
TI - Allele diversity for abiotic stress responsive candidate genes in chickpea
reference set using gene based SNP markers.
AB - Chickpea is an important food legume crop for the semi-arid regions, however, its
productivity is adversely affected by various biotic and abiotic stresses.
Identification of candidate genes associated with abiotic stress response will
help breeding efforts aiming to enhance its productivity. With this objective, 10
abiotic stress responsive candidate genes were selected on the basis of prior
knowledge of this complex trait. These 10 genes were subjected to allele specific
sequencing across a chickpea reference set comprising 300 genotypes including 211
genotypes of chickpea mini core collection. A total of 1.3 Mbp sequence data were
generated. Multiple sequence alignment (MSA) revealed 79 SNPs and 41 indels in
nine genes while the CAP2 gene was found to be conserved across all the
genotypes. Among 10 candidate genes, the maximum number of SNPs (34) was observed
in abscisic acid stress and ripening (ASR) gene including 22 transitions, 11
transversions and one tri-allelic SNP. Nucleotide diversity varied from 0.0004 to
0.0029 while polymorphism information content (PIC) values ranged from 0.01 (AKIN
gene) to 0.43 (CAP2 promoter). Haplotype analysis revealed that alleles were
represented by more than two haplotype blocks, except alleles of the CAP2 and
sucrose synthase (SuSy) gene, where only one haplotype was identified. These
genes can be used for association analysis and if validated, may be useful for
enhancing abiotic stress, including drought tolerance, through molecular
breeding.
PMID- 24926300
TI - Attachment of Agrobacterium to plant surfaces.
AB - Agrobacterium tumefaciens binds to the surfaces of inanimate objects, plants, and
fungi. These bacteria are excellent colonizers of root surfaces. In addition,
they also bind to soil particles and to the surface of artificial or man-made
substances, such as polyesters and plastics. The mechanisms of attachment to
these different surfaces have not been completely elucidated. At least two types
of binding have been described unipolarpolysaccharide-dependent polar attachment
and unipolar polysaccharide-independent attachment (both polar and lateral). The
genes encoding the enzymes for the production of the former are located on the
circular chromosome, while the genes involved in the latter have not been
identified. The expression of both of these types of attachment is regulated in
response to environmental signals. However, the signals to which they respond
differ so that the two types of attachment are not necessarily expressed
coordinately.
PMID- 24926301
TI - The evolution of the actin binding NET superfamily.
AB - The Arabidopsis Networked (NET) superfamily are plant-specific actin binding
proteins which specifically label different membrane compartments and identify
specialized sites of interaction between actin and membranes unique to plants.
There are 13 members of the superfamily in Arabidopsis, which group into four
distinct clades or families. NET homologs are absent from the genomes of metazoa
and fungi; furthermore, in plantae, NET sequences are also absent from the genome
of mosses and more ancient extant plant clades. A single family of the NET
proteins is found encoded in the club moss genome, an extant species of the
earliest vascular plants. Gymnosperms have examples from families 4 and 3, with a
hybrid form of NET1 and 2 which shows characteristics of both NET1 and NET2. In
addition to NET3 and 4 families, the NET1 and pollen-expressed NET2 families are
found only as independent sequences in Angiosperms. This is consistent with the
divergence of reproductive actin. The four families are conserved across Monocots
and Eudicots, with the numbers of members of each clade expanding at this point,
due, in part, to regions of genome duplication. Since the emergence of the NET
superfamily at the dawn of vascular plants, they have continued to develop and
diversify in a manner which has mirrored the divergence and increasing complexity
of land-plant species.
PMID- 24926302
TI - Mitogen-activated protein kinase signal transduction and DNA repair network are
involved in aluminum-induced DNA damage and adaptive response in root cells of
Allium cepa L.
AB - In the current study, we studied the role of signal transduction in aluminum
(Al(3+))-induced DNA damage and adaptive response in root cells of Allium cepa L.
The root cells in planta were treated with Al(3+) (800 MUM) for 3 h without or
with 2 h pre-treatment of inhibitors of mitogen-activated protein kinase (MAPK),
and protein phosphatase. Also, root cells in planta were conditioned with Al(3+)
(10 MUM) for 2 h and then subjected to genotoxic challenge of ethyl methane
sulfonate (EMS; 5 mM) for 3 h without or with the pre-treatment of the
aforementioned inhibitors as well as the inhibitors of translation,
transcription, DNA replication and repair. At the end of treatments, roots cells
were assayed for cell death and/or DNA damage. The results revealed that Al(3+)
(800 MUM)-induced significant DNA damage and cell death. On the other hand,
conditioning with low dose of Al(3+) induced adaptive response conferring
protection of root cells from genotoxic stress caused by EMS-challenge. Pre
treatment of roots cells with the chosen inhibitors prior to Al(3+)-conditioning
prevented or reduced the adaptive response to EMS genotoxicity. The results of
this study suggested the involvement of MAPK and DNA repair network underlying Al
induced DNA damage and adaptive response to genotoxic stress in root cells of A.
cepa.
PMID- 24926303
TI - The nucleoid as a site of rRNA processing and ribosome assembly.
PMID- 24926304
TI - Across a macro-ecological gradient forest competition is strongest at the most
productive sites.
AB - We tested the hypothesis that the effect of forest basal area on tree growth
interacts with macro-ecological gradients of primary productivity, using a large
dataset of eucalypt tree growth collected across temperate and sub- tropical
mesic Australia. To do this, we derived an index of inter-tree competition based
on stand basal area (stand BA) relative to the climatically determined potential
basal area. Using linear mixed effects modeling, we found that the main effects
of climatic productivity, tree size, and competition explained 26.5% of the
deviance in individual tree growth, but adding interactions to the model could
explain a further 8.9%. The effect of competition on growth interacts with the
gradient of climatic productivity, with negligible effect of competition in low
productivity environments, but marked negative effects at the most productive
sites. We also found a positive interaction between tree size and stand BA, which
was most pronounced in the most productive sites. We interpret these patterns as
reflecting intense competition for light amongst maturing trees on more
productive sites, and below ground moisture limitation at low productivity sites,
which results in open stands with little competition for light. These trends are
consistent with the life history and stand development of eucalypt forests: in
cool moist environments, light is the most limiting resource, resulting in size
asymmetric competition, while in hot, low rainfall environments are open forests
with little competition for light but where the amount of tree regeneration is
limited by water availability.
PMID- 24926305
TI - The smoking paradox: impact of smoking on recanalization in the setting of intra
arterial thrombolysis.
AB - BACKGROUND: The smoking paradox refers to a better outcome in smokers eligible
for thrombolytic treatment in myocardial infarction or ischemic stroke. Recent
findings suggest that current smokers may present higher recanalization rates
after intravenous (IV) thrombolysis with recombinant tissue plasminogen activator
(rt-PA). We evaluated the impact of smoking in a consecutive series of patients
treated with intra-arterial (IA) rt-PA. METHODS: We analyzed data collected
between April 2007 and December 2012 in our prospective registry. All acute
ischemic stroke patients with an arterial occlusion treated by IA rt-PA (+/- IV,
+/- thrombectomy) were included. Arterial status was monitored with conventional
angiography during the IA procedure. The primary study outcome was a complete
recanalization achieved immediately after termination of IA rt-PA infusion.
Secondary outcomes included complete recanalization after the end of the
endovascular therapy (including complete recanalization achieved after adjunctive
thrombectomy), favorable outcome (90-day modified Rankin Score <=2), 90-day all
cause mortality, and any intracerebral hemorrhage. RESULTS: Among the 227
included patients, 18.5% (n = 42) were current smokers and 16.7% (n = 38) former
smokers. Compared with nonsmokers, current smokers were younger, more often men,
had less frequently hypertension, and cardioembolic etiology, whereas former
smokers were more often men and had more frequently hypercholesterolemia. The
rate of complete recanalization was 30% (n = 68) after IA rt-PA infusion and 49%
after adjunctive thrombectomy. A higher complete recanalization rate was found
both in current smokers (45.2%) and former smokers (42.1%) compared to nonsmokers
(22.5%). After adjustment for potential confounders, the adjusted odds ratio (OR)
for complete recanalization associated with ever-smokers was 2.51 [95% confidence
interval (CI) 1.26-4.99; p = 0.009]. A similar adjusted OR was found when the
complete recanalization achieved after thrombectomy was included (OR 2.18, 95% CI
1.13-4.19; p = 0.019). However, smoking status was not independently associated
with favorable outcome (adjusted OR 1.41, 95% CI 0.62-3.22 for former smokers,
and adjusted OR 1.35, 95% CI 0.59-3.05 for current smokers), 90-day all-cause
mortality (adjusted OR 0.68, 95% CI 0.25-1.81 for former smokers, and adjusted OR
1.55, 95% CI 0.54-4.48 for current smokers) or intracerebral hemorrhage (adjusted
OR 0.72, 95% CI 0.29-1.76 for former smokers, and adjusted OR 0.80, 95% CI 0.32
1.96 for current smokers). CONCLUSIONS: IA rt-PA administration was more
effective to achieve complete arterial recanalization in current as well as
former smokers. The characterization of the smoking paradox pathophysiology may
lead to the identification of a patient-target population with a favorable
response to rt-PA therapy. However, the smoking paradox should not be
misinterpreted and not be used to promote smoking.
PMID- 24926306
TI - Aortic arch atherosclerosis in ischaemic stroke of unknown origin affects
prognosis.
AB - BACKGROUND: Cerebral infarction of unknown origin at admission accounts for half
of all cerebral infarction cases in some institutions. However, the factors
associated with cerebral infarction prognosis have not been sufficiently
examined. Here, we investigated whether aortic arch plaques (AAPs) on
transoesophageal echocardiography (TOE) were associated with the prognosis of
cerebral infarction of unknown origin at admission. METHODS: Of 571 patients who
were hospitalised between June 2009 and September 2011, 149 (age: 67 +/- 14
years; 95 men) with cerebral infarctions of unknown origin at admission underwent
TOE and were enrolled in this study. We examined their clinical characteristics,
the incidence of intermittent atrial fibrillation detected on 24-hour
electrocardiography, and the echographic findings of the carotid artery in the
hospital. A poor prognostic outcome was defined as a modified Rankin Scale score
of >=3 after 90 days. RESULTS: In all, 110 patients (74%) showed good prognoses
and 39 patients (26%) showed poor outcomes. A National Institutes of Health
Stroke Scale score of >6 on admission [odds ratio (OR) = 6.77; 95% confidence
interval (CI): 2.59-18.8; p < 0.001] and AAPs of >=4 mm (OR = 2.75; 95% CI: 1.19
6.91; p = 0.024) showed significant associations with a poor prognosis of
cerebral infarction of unknown origin at admission. CONCLUSIONS: Thick AAPs could
be a factor in the prediction of a poor prognosis of cerebral infarction of
unknown origin at admission. The establishment of international standards for
aortogenic brain embolisms is required. Future prospective studies should examine
cerebral infarctions of unknown origin.
PMID- 24926307
TI - Subcortical and Deep Cortical Atrophy in Frontotemporal Dementia due to Granulin
Mutations.
AB - BACKGROUND/AIMS: Parkinsonism is often associated with symptoms of frontotemporal
dementia (FTD), but its pathogenesis has been largely neglected. In genetic
inherited FTD-related granulin (GRN) mutations, parkinsonism is an early sign,
and it is more common than in sporadic disorders. Our aim was to study grey
matter (GM) volume changes in subcortical and deep cortical regions in GRN
related FTD. METHODS: A total of 33 FTD patients (13 carriers of the GRN
mutation, GRN+, and 20 non-carriers, GRN-) and 12 healthy controls (HC) were
included in the study. Each subject underwent an MRI examination (1) for voxel
based morphometry to study GM differences in cortical and subcortical regions,
and (2) for a region of interest approach using a probabilistic atlas of
subcortical regions (caudate nucleus, putamen, thalamus and amygdala) to assess
the regional differences. RESULTS: The GRN+ group showed greater damage in
frontotemporal regions than the GRN- group. The FTD patients had greater GM
atrophy in the caudate nucleus and in the thalamus bilaterally than the HC.
Damage to these subcortical and deep cortical regions was greater in the GRN+
than in the GRN- patients. DISCUSSION: Subcortical and deep cortical involvement
is a key feature of FTD, and more pronounced in GRN-related disease. Damage to
the caudate region in GRN+ patients may explain the parkinsonism frequently
associated since the early stages of the disease.
PMID- 24926308
TI - Decreased motor function is associated with poorer cognitive function in elderly
with type 2 diabetes.
AB - BACKGROUND/AIMS: Impaired motor function has been associated with cognitive
impairment and dementia, but this relationship is poorly understood in elderly
with type 2 diabetes (T2D). We thus investigated it in a large sample (n = 726)
of cognitively normal elderly with T2D. METHODS: In this cross-sectional study,
hierarchical linear regressions assessed correlations of 3 motor measures (timed
walk, grip strength, and self-reported motor difficulties) with episodic memory,
attention/working memory, semantic categorization, executive function, and
overall cognition controlling for demographics. RESULTS: Longer timed walk and
weaker grip strength were associated with poorer performance in all cognitive
domains except episodic memory. CONCLUSIONS: Associations of motor and cognitive
functions in T2D and non-T2D samples are consistent. A lack of association of
motor function with episodic memory may suggest non-Alzheimer's disease-related
underlying mechanisms.
PMID- 24926309
TI - Focal Segmental Glomerulosclerosis Secondary to Juxtaglomerular Cell Tumor during
Pregnancy: A Case Report.
AB - Juxtaglomerular cell tumor is a rare renal neoplasm. Secondary hypertension with
juxtaglomerular cell tumor can be seen in females in their 20s and 30s. We
present a case of juxtaglomerular cell tumor during pregnancy. A 32-year-old
female was hospitalized for refractory hypertension and nephrotic syndrome in the
23rd gestational week. One year before admission, she had been diagnosed with
hypertension; plasma renin activity at that time had been 2.3 ng/ml/h. Her blood
pressure was uncontrolled during pregnancy, and proteinuria was detected in the
12th gestational week despite the administration of antihypertensive medications.
Laboratory data showed proteinuria, hypokalemia, and hypoalbuminemia. In the 25th
gestational week, she underwent surgical termination of the pregnancy because of
congestive heart failure and acute renal injury. After the termination of the
pregnancy and the delivery of a viable fetus, her hypertension and nephrotic
syndrome were found to persist with a high plasma renin activity (13 ng/ml/h).
Ultrasonography showed a 5.5-cm left renal cystic mass with a partially solid
component at the lower renal pole. The left kidney with the renal mass was
excised by laparoscopic nephrectomy. Plasma renin activity normalized the next
day, with a decrease in blood pressure to 120-130/80-90 mm Hg; however,
proteinuria remained at >=3.5 g/day. On the basis of histopathological findings,
the patient was diagnosed with a juxtaglomerular cell tumor and focal segmental
glomerulosclerosis. Juxtaglomerular cell tumor is a rare renin-secreting tumor
associated with refractory hypertension in young females and is a possible cause
of hypertension during pregnancy.
PMID- 24926310
TI - Dialysis and quality of dialysate in southeast asian developing countries.
AB - BACKGROUND: The number of dialysis patients has been increasing in Southeast
Asia, but statistical data about these patients and on the quality of dialysates
in Southeast Asian dialysis facilities are still imprecise. For this study,
dialysis-related statistical data were collected in Southeast Asia. METHODS: A
survey of the quality of dialysates was carried out at 4 dialysis facilities in
Vietnam and Cambodia. The dialysis patient survey included the numbers of
dialysis facilities and patients receiving dialysis, a ranking of underlying
diseases causing the initiation of dialysis, the number of patients receiving
hemodialysis (HD)/on-line hemodiafiltration/continuous ambulatory peritoneal
dialysis, the number of HD monitoring devices installed, the cost of each session
of dialysis (in USD), the percentage of out-of-pocket payments, and the 1-year
survival rates of the dialysis patients (in percent). The dialysate survey
covered the endotoxin (ET) level and bacterial count in tap water, in water
filtered through a reverse osmosis system and in dialysate. RESULTS: In each of
the countries, the most frequent reason for the initiation of dialysis is
diabetes mellitus. HD is usually carried out according to the 'reuse' principle.
The 1-year survival rates are 70% in Myanmar and about 90% in the Philippines and
Malaysia. The ET levels in standard dialysates were satisfactory at 2 facilities.
The bacterial counts in dialysates were not acceptable at any of the facilities
investigated. CONCLUSION: There is an urgent need to teach medical workers
involved in dialysis how to prepare sterile and ET-free dialysates.
PMID- 24926311
TI - Kidney Diseases Enhance Expression of Tetraspanin-8: A Possible Protective Effect
against Tubular Injury.
AB - BACKGROUND/AIMS: TSPAN8 encoding tetraspanin-8 was identified as a candidate gene
for immunoglobulin A nephropathy (IgAN) by a genome-wide association study using
microsatellites in the Japanese population. Tetraspanin-8 is a cell surface
protein that contributes to the migration and invasion of epithelial cells.
METHODS: We performed immunohistochemistry for tetraspanin-8 on human renal
biopsy specimens associated with IgAN, antineutrophil cytoplasmic antibody
associated nephropathy and interstitial nephritis, as well as normal renal
tissue. Furthermore, to study the potential function of tetraspanin-8, we
performed cell migration and invasion assays using human renal tubule cells
transfected with tetraspanin-8. RESULTS: Tetraspanin-8 was often expressed in
vascular smooth muscle cells and occasionally in tubule cells in normal kidney.
In the kidneys of all types of nephropathy, tetraspanin-8 staining in the
arteries was unaffected, but that in the tubules was enhanced. The degree of
tubular staining negatively correlated with the estimated glomerular filtration
rate, independently of the type of nephropathy. Tetraspanin-8-expressing tubule
cells were found predominantly in distal and collecting tubules, identified by
cytokeratin 7 or aquaporin 2 staining. In vitro studies using cultured tubule
cells revealed that tetraspanin-8 promoted migration by 2.7-fold without laminin,
by 2.8-fold with laminin and invasion into Matrigel by 3.5-fold, suggesting that
enhanced tetraspanin-8 may be involved in the repair of tubules. CONCLUSION: The
obtained findings indicate that tetraspanin-8 expression is enhanced in injured
distal tubules, which may be involved in the repair of tubules by facilitating
migration and invasion.
PMID- 24926312
TI - Can Nephrologists Use Ultrasound to Evaluate the Inferior Vena Cava? A Cross
Sectional Study of the Agreement between a Nephrologist and a Cardiologist.
AB - BACKGROUND/AIMS: The costs and the need for a specialist impair the
implementation of ultrasonography for evaluating the inferior vena cava (IVC) to
assess the volemic status in hemodialysis patients. We investigated whether a
nephrologist with limited ultrasound training can accurately assess the IVC in
patients undergoing hemodialysis. METHODS: A cardiologist and a nephrologist
consecutively measured the indexed IVC expiratory diameter (VCDi) and the IVC
collapsibility index (IVCCI) of 52 patients during hemodialysis sessions. In
protocol I, the nephrologist used a regular ultrasound system (RUS) and the
cardiologist used a cardiovascular ultrasound equipment; in protocol II, the
machines were interchanged. Pearson and kappa coefficients and the interexaminer
agreement by the Bland-Altman method were calculated. RESULTS: The VCDi
measurements showed a strong correlation in both protocols (r = 0.88 and 0.84 in
protocols I and II, respectively). The volemic classifications were excellent in
protocol I (kappa = 0.82 and 0.93 by VCDi and IVCCI, respectively) and
substantial in protocol II (kappa = 0.77 and 0.75 by VCDi and IVCCI,
respectively). The interexaminer agreement on the VCDi measurements was very good
in both protocols. CONCLUSIONS: Ultrasound evaluation of the IVC can be performed
by nephrologists using an RUS to assess the volemic status in hemodialysis
patients.
PMID- 24926313
TI - Longevity pathways and memory aging.
AB - The aging process has been associated with numerous pathologies at the cellular,
tissue, and organ level. Decline or loss of brain functions, including learning
and memory, is one of the most devastating and feared aspects of aging. Learning
and memory are fundamental processes by which animals adjust to environmental
changes, evaluate various sensory signals based on context and experience, and
make decisions to generate adaptive behaviors. Age-related memory impairment is
an important phenotype of brain aging. Understanding the molecular mechanisms
underlying age-related memory impairment is crucial for the development of
therapeutic strategies that may eventually lead to the development of drugs to
combat memory loss. Studies in invertebrate animal models have taught us much
about the physiology of aging and its effects on learning and memory. In this
review we survey recent progress relevant to conserved molecular pathways
implicated in both aging and memory formation and consolidation.
PMID- 24926314
TI - Targeting molecular networks for drug research.
AB - The study of molecular networks has recently moved into the limelight of
biomedical research. While it has certainly provided us with plenty of new
insights into cellular mechanisms, the challenge now is how to modify or even
restructure these networks. This is especially true for human diseases, which can
be regarded as manifestations of distorted states of molecular networks. Of the
possible interventions for altering networks, the use of drugs is presently the
most feasible. In this mini-review, we present and discuss some exemplary
approaches of how analysis of molecular interaction networks can contribute to
pharmacology (e.g., by identifying new drug targets or prediction of drug side
effects), as well as list pointers to relevant resources and software to guide
future research. We also outline recent progress in the use of drugs for in vitro
reprogramming of cells, which constitutes an example par excellence for altering
molecular interaction networks with drugs.
PMID- 24926315
TI - Genetic variation in the 3'-UTR of CYP1A2, CYP2B6, CYP2D6, CYP3A4, NR1I2, and
UGT2B7: potential effects on regulation by microRNA and pharmacogenomics
relevance.
AB - INTRODUCTION: Pharmacogenomics research has concentrated on variation in genes
coding for drug metabolizing enzymes, transporters and nuclear receptors.
However, variation affecting microRNA could also play a role in drug response.
This project set out to investigate potential microRNA target sites in 11 genes
and the extent of variation in the 3'-UTR of six selected genes; CYP1A2, CYP2B6,
CYP2D6, CYP3A4, NR1I2, and UGT2B7. METHODS: Fifteen microRNA target prediction
algorithms were used to identify microRNAs predicted to regulate 11 genes. The 3'
UTR of the 6 genes which topped the list of potential microRNA targets was
sequenced in 30 black South Africans. In addition, genetic variants within these
genes were investigated for interference with mRNA-microRNA interactions.
Potential effects of observed variants were determined using in silico prediction
tools. RESULTS: The 11 genes coding for DMEs, transporters and nuclear receptors
were predicted to be targets of microRNAs with CYP2B6, NR1I2 (PXR), CYP3A4, and
CYP1A2, interacting with the most microRNAs. The majority of identified genetic
variants were predicted to interfere with microRNA regulation. For example, the
variant, rs1054190C in NR1I2 was predicted to result in the presence of a binding
site for the microRNA miR-1250-5p, while the variant rs1054191G was predicted to
result in the absence of a recognition site for miR-371b-3p, miR-4258 and miR
4707-3p. Fifteen of the seventeen, novel variants occurred within microRNA target
sequences. CONCLUSION: The 3'-UTR harbors variation that is likely to influence
regulation of specific genes by microRNA. In silico prediction followed by
functional validation could aid in decoding the contribution of variation in the
3'-UTR, to some unexplained heritability that affects drug response.
Understanding the specific role of each microRNA may lead to identification of
markers for targeted therapy and therefore improve personalized drug treatment.
PMID- 24926316
TI - Culturing intestinal stem cells: applications for colorectal cancer research.
AB - Recent advance of sequencing technology has revealed genetic alterations in
colorectal cancer (CRC). The biological function of recurrently mutated genes has
been intensively investigated through mouse genetic models and CRC cell lines.
Although these experimental models may not fully reflect biological traits of
human intestinal epithelium, they provided insights into the understanding of
intestinal stem cell self-renewal, leading to the development of novel human
intestinal organoid culture system. Intestinal organoid culture enabled to expand
normal or tumor epithelial cells in vitro retaining their stem cell self-renewal
and multiple differentiation. Gene manipulation of these cultured cells may
provide an attractive tool for investigating genetic events involved in
colorectal carcinogenesis.
PMID- 24926317
TI - Copulation or sensory cues from the female augment Fos expression in arginine
vasopressin neurons of the posterodorsal medial amygdala of male rats.
AB - BACKGROUND: The posterodorsal part of the medial amygdala is essential for
processing reproductively salient sensory information in rodents. This is the
initial brain structure where information from olfactory system and male hormones
intersect. The neurochemical identity of the neurons participating in the sensory
processing in medial amygdala remains presently undetermined. Many neurons in
this brain structure express arginine vasopressin in a testosterone-dependent
manner, suggesting that this neuropeptide is maintained by the androgenic milieu.
METHOD: Here we use Fos, a protein expressed by recently active neurons, to
quantify activation of arginine vasopressin neurons after exposure to odor from
physically inaccessible female. We compare it to mating with accessible female
and to reproductively innocuous odor. RESULTS: We show that inaccessible female
activate arginine vasopressin neurons in the male posterodorsal medial amygdala.
The magnitude of activation is not further enhanced when physical access with
resultant mating is granted, even though it remains undetermined if same
population of AVP neurons is activated by both inaccessible female and
copulation. We also show that arginine vasopressin activation cannot be fully
accounted for by mere increase in the number of Fos and AVP neurons. CONCLUSION:
These observations posit a role for the medial amygdala arginine vasopressin in
reproductive behaviors, suggesting that these neurons serve as integrative node
between the hormonal status of the animal and the availability of reproductive
opportunities.
PMID- 24926318
TI - The effect of compliance to a Rigo System Cheneau brace and a specific exercise
programme on idiopathic scoliosis curvature: a comparative study: SOSORT 2014
award winner.
AB - BACKGROUND: There is controversy as to whether conservative management that
includes wearing a brace and exercises is effective in stabilising idiopathic
scoliosis curves. A brace only prevents progression of the curve and has been
shown to have favourable outcomes when patients are compliant. So the aim of this
study was to: determine the effect of compliance to the Rigo System Cheneau (RSC)
brace and a specific exercise programme on Idiopathic Scoliosis curvature; and to
compare the Quality of Life (QoL) and psychological traits of compliant and non
compliant subjects. METHODS: A pre/post test study design was used with a post
study comparison between subjects who complied with the management and those who
did not. Fifty one subjects, girls aged 12-16 years, Cobb angles 20-50 degrees
participated in the study. Subjects were divided into two groups, according to
their compliance, at the end of the study. The compliant group wore the brace 20
or more hours a day and exercised three or more times per week. The non-compliant
group wore the brace less than 20 hours a day and exercised less than three times
per week. Cobb angles, vertebral rotation, scoliometer readings, peak flow,
quality of life and personality traits were compared between groups, using the
student's two sample t-test and an analysis of covariance. RESULTS: The compliant
group, wore the brace 21.5 hours per day and exercised four times a week, and
significantly improved in all measures compared to non compliant subjects, who
wore the brace 12 hours per day, exercised 1.7 times a week and significantly
deteriorated (p < 0.0001). The major Cobb angles in the compliant group improved
10.19 degrees (+/-5.5) and deteriorated 5.52 degrees (+/-4.3) in the non
compliant group (p < 0.0001). Compliant subjects had a significantly better QoL
than the non compliant subjects (p = 0.001). The compliant group were
significantly more emotionally mature, stable and realistic than the non
compliant group (p = 0.03). CONCLUSIONS: Good compliance of the RSC brace and a
specific exercise regime resulted in a significant improvement in curvatures,
poor compliance resulted in progression/deterioration. A poorer QoL in the non
compliant group possibly was caused by personality traits of the group, being
more emotionally immature and unstable.
PMID- 24926319
TI - Performance of chromosomal microarray for patients with intellectual
disabilities/developmental delay, autism, and multiple congenital anomalies in a
Chinese cohort.
AB - BACKGROUND: Chromosomal microarray (CMA) is currently the first-tier genetic test
for patients with idiopathic neuropsychiatric diseases in many countries. Its
improved diagnostic yield over karyotyping and other molecular testing
facilitates the identification of the underlying causes of neuropsychiatric
diseases. In this study, we applied oligonucleotide array comparative genomic
hybridization as the molecular genetic test in a Chinese cohort of children with
DD/ID, autism or MCA. RESULTS: CMA identified 7 clinically significant
microduplications and 17 microdeletions in 19.0% (20/105) patients, with size of
aberrant regions ranging from 11 kb to 10.7 Mb. Fourteen of the pathogenic copy
number variant (CNV) detected corresponded to well known microdeletion or
microduplication syndromes. Four overlapped with critical regions of recently
identified genomic syndromes. We also identified a rare de novo 2.3 Mb deletion
at 8p21.3-21.2 as a pathogenic submicroscopic CNV. We also identified two novel
CNVs, one at Xq28 and the other at 12q21.31-q21.33, in two patients (1.9%) with
unclear clinical significance. Overall, the detection rate of CMA is comparable
to figures previously reported for accurately detect submicroscopic chromosomal
imbalances and pathogenic CNVs except mosaicism, balanced translocation and
inversion. CONCLUSIONS: This study provided further evidence of an increased
diagnostic yield of CMA and supported its use as a first line diagnostic tool for
Chinese individuals with DD/ID, ASD, and MCA.
PMID- 24926320
TI - Prevalence and clinical characteristics of lower limb atherosclerotic lesions in
newly diagnosed patients with ketosis-onset diabetes: a cross-sectional study.
AB - BACKGROUND: The clinical features of atherosclerotic lesions in ketosis-onset
diabetes are largely absent. We aimed to compare the characteristics of lower
limb atherosclerotic lesions among type 1, ketosis-onset and non-ketotic type 2
diabetes. METHODS: A cross-sectional study was performed in newly diagnosed
Chinese patients with diabetes, including 53 type 1 diabetics with positive islet
associated autoantibodies, 208 ketosis-onset diabetics without islet-associated
autoantibodies, and 215 non-ketotic type 2 diabetics. Sixty-two subjects without
diabetes were used as control. Femoral intima-media thickness (FIMT), lower limb
atherosclerotic plaque and stenosis were evaluated and compared among the four
groups based on ultrasonography. The risk factors associated with lower limb
atherosclerotic plaque were evaluated via binary logistic regression in patients
with diabetes. RESULTS: After adjusting for age and sex, the prevalence of lower
limb plaque in the patients with ketosis-onset diabetes (47.6%) was significantly
higher than in the control subjects (25.8%, p = 0.013), and showed a higher trend
compared with the patients with type 1 diabetes (39.6%, p = 0.072), but no
difference was observed in comparison to the patients with non-ketotic type 2
diabetes (62.3%, p = 0.859). The mean FIMT in the ketosis-onset diabetics (0.73
+/- 0.17 mm) was markedly greater than that in the control subjects (0.69 +/-
0.13 mm, p = 0.045) after controlling for age and sex, but no significant
differences were found between the ketosis-onset diabetics and the type 1
diabetics (0.71 +/- 0.16 mm, p = 0.373), and the non-ketotic type 2 diabetics
(0.80 +/- 0.22 mm, p = 0.280), respectively. Age and FIMT were independent risk
factors for the presence of lower limb plaque in both the ketosis-onset and non
ketotic type 2 diabetic patients, while sex and age in the type 1 diabetic
patients. CONCLUSIONS: The prevalence and risk of lower limb atherosclerotic
plaque in the ketosis-onset diabetes were remarkably higher than in the control
subjects without diabetes. The features and risk factors of lower limb
atherosclerotic lesions in the ketosis-onset diabetes resembled those in the non
ketotic type 2 diabetes, but different from those in the type 1 diabetes. Our
findings provide further evidences to support the classification of ketosis-onset
diabetes as a subtype of type 2 diabetes rather than idiopathic type 1 diabetes.
PMID- 24926321
TI - Co-benefits of Global Greenhouse Gas Mitigation for Future Air Quality and Human
Health.
AB - Actions to reduce greenhouse gas (GHG) emissions often reduce co-emitted air
pollutants, bringing co-benefits for air quality and human health. Past studies1
6 typically evaluated near-term and local co-benefits, neglecting the long-range
transport of air pollutants7-9, long-term demographic changes, and the influence
of climate change on air quality10-12. Here we simulate the co-benefits of global
GHG reductions on air quality and human health using a global atmospheric model
and consistent future scenarios, via two mechanisms: a) reducing co-emitted air
pollutants, and b) slowing climate change and its effect on air quality. We use
new relationships between chronic mortality and exposure to fine particulate
matter13 and ozone14, global modeling methods15, and new future scenarios16.
Relative to a reference scenario, global GHG mitigation avoids 0.5+/-0.2, 1.3+/
0.5, and 2.2+/-0.8 million premature deaths in 2030, 2050, and 2100. Global
average marginal co-benefits of avoided mortality are $50-380 (ton CO2)-1, which
exceed previous estimates, exceed marginal abatement costs in 2030 and 2050, and
are within the low range of costs in 2100. East Asian co-benefits are 10-70 times
the marginal cost in 2030. Air quality and health co-benefits, especially as they
are mainly local and near-term, provide strong additional motivation for
transitioning to a low-carbon future.
PMID- 24926322
TI - Tc1-like transposable elements in plant genomes.
AB - BACKGROUND: The Tc1/mariner superfamily of transposable elements (TEs) is
widespread in animal genomes. Mariner-like elements, which bear a DDD triad
catalytic motif, have been identified in a wide range of flowering plant species.
However, as the founding member of the superfamily, Tc1-like elements that bear a
DD34E triad catalytic motif are only known to unikonts (animals, fungi, and
Entamoeba). RESULTS: Here we report the identification of Tc1-like elements
(TLEs) in plant genomes. These elements bear the four terminal nucleotides and
the characteristic DD34E triad motif of Tc1 element. The two TLE families (PpTc1,
PpTc2) identified in the moss (Physcomitrella patens) genome contain highly
similar copies. Multiple copies of PpTc1 are actively transcribed and the
transcripts encode intact full length transposase coding sequences. TLEs are also
found in angiosperm genome sequence databases of rice (Oryza sativa), dwarf birch
(Betula nana), cabbage (Brassica rapa), hemp (Cannabis sativa), barley (Hordium
valgare), lettuce (Lactuta sativa), poplar (Populus trichocarpa), pear (Pyrus x
bretschneideri), and wheat (Triticum urartu). CONCLUSIONS: This study extends the
occurrence of TLEs to the plant phylum. The elements in the moss genome have
amplified recently and may still be capable of transposition. The TLEs are also
present in angiosperm genomes, but apparently much less abundant than in moss.
PMID- 24926323
TI - p27 modulates tropism of mesenchymal stem cells toward brain tumors.
AB - Mesenchymal stem cells (MSCs) have inherent tumor-tropic properties in the brain
and seem to be a useful tool for cellular therapy for brain tumors. However, the
mechanisms involved in MSC migration are not fully understood. The tumor
suppressor p27, an inhibitor of cyclin-dependent kinase complexes, not only plays
a crucial role in cell cycle regulation but also has cell cycle-independent
functions, such as differentiation and migration of cells. In fact, p27 has been
alternatively reported to inhibit or stimulate cell migration in cells of
different types. Therefore, in the present study, we investigated whether p27 is
involved in the tumor-tropic activity of MSCs using MSCs from p27-null mice. It
was found that p27-/- MSCs showed a decreased motility in the wound healing assay
and displayed increased numbers of stress fibers. To compare the in vivo
migratory activity of p27-/- and p27+/+ MSCs toward glioma, we injected C6 glioma
cells into one side of the mouse brain and BrdU-labeled p27-/- or p27+/+ MSCs
into the other side. Significantly fewer labeled p27-/- MSCs were observed in the
tumor area compared with p27+/+ MSCs. The present study suggests that p27 works
as a stimulator of the in vitro and in vivo migration process of MSCs toward
tumors. These findings are important when the efficacy of stem cell-based
strategies for glioma therapy is considered.
PMID- 24926324
TI - Pigmented paravenous retinochoroidal atrophy (Review).
AB - Pigmented paravenous retinochoroidal atrophy (PPRCA) is an uncommon disease
characterized by perivenous aggregations of pigment clumps associated with
peripapillary and radial zones of retinochoroidal atrophy that are distributed
along the retinal veins. Patients are usually asymptomatic and the disease
process is non-progressive or slow and subtly progressive. It is commonly
bilateral and symmetric. The cause of the condition may be unknown or idiopathic,
although a dysgenetic, degenerative, hereditary etiology or even an inflammatory
cause has been hypothesized. A non-inflammatory cause is referred to as primary,
while inflammation-associated PPRCA is referred to as secondary or pseudo PPRCA.
The present study reviewed and summarized the features of PPRCA.
PMID- 24926325
TI - Effect of salidroside on lung injury by upregulating peroxisome proliferator
activated receptor gamma expression in septic rats.
AB - Successful drug treatment for sepsis-related acute lung injury (ALI) remains a
major clinical problem. Thus, the aim of the present study was to investigate the
beneficial effects of salidroside on ameliorating cecal ligation and puncture
(CLP)-induced lung inflammation. Rats underwent CLP surgery to induce ALI and 800
mg/kg salidroside (i.v.) was administered 24 h after the CLP challenge.
Subsequently, biochemical changes in the blood and lung tissues, as well as
morphological and histological alterations in the lungs, that were associated
with inflammation and injury were analysed. CLP was shown to significantly
increase the serum levels of plasma tumour necrosis factor-alpha and interleukin
6, -1beta and-10. In addition, CLP increased pulmonary oedema, thickened the
alveolar septa and caused inflammation in the lung cells. These changes were
ameliorated by the administration of 800 mg/kg salidroside (i.v.) 24 h after the
CLP challenge. This post-treatment drug administration also significantly
attenuated the lipopolysaccharide-induced activation of nuclear factor-kappabeta
and increased the release of peroxisome proliferator-activated receptor gamma in
the lung tissue. Therefore, salidroside administered following the induction of
ALI by CLP significantly prevented and reversed lung tissue injuries. The
positive post-treatment effects of salidroside administration indicated that
salidroside may be a potential candidate for the management of lung inflammation
in CLP-induced endotoxemia and septic shock.
PMID- 24926326
TI - Reduction of left ventricular longitudinal global and segmental systolic
functions in patients with hypertrophic cardiomyopathy: Study of two-dimensional
tissue motion annular displacement.
AB - The early detection of abnormal left ventricular systolic functions in patients
with hypertrophic cardiomyopathy (HCM) remains a challenge. The aim of this study
was to identify a novel method for the assessment of left ventricular systolic
function in patients with HCM. A total of 65 patients with HCM were included in
this study. The patients were divided into obstructive HCM (HOCM; 16 cases) and
non-obstructive HCM (NOHCM; 49 cases) groups. The healthy control group comprised
48 participants. Two-dimensional (2D) speckle-tracking technology was used to
measure the left ventricular global and segmental longitudinal strains and mitral
annular displacement (MADs). Compared with healthy control group, the six
segmental strains and the global strain of the left ventricle (LSglobal)
increased while six segmental MADs and MADglobal of the mitral annulus decreased
in the HOCM and NOHCM groups (P<0.05). In addition, the six segmental MADs of the
mitral annulus were significantly negatively correlated with the six segmental
strains of the left ventricle (r=-0.744 to -0.647, P<0.001). MADglobal was
significantly negatively correlated with LSglobal (r=-0.857, P<0.001). The tissue
motion annular displacement (TMAD) at the midpoint was significantly negatively
correlated with LSglobal (r=-0.871, P<0.001). The 2D TMAD technique of measuring
MAD was feasible and practically approachable for rapidly evaluating the left
ventricular longitudinal global and segmental systolic functions of patients with
HCM.
PMID- 24926327
TI - Effect of Cordyceps sinensis and Tripterygium wilfordii polyglycosidium on
podocytes in rats with diabetic nephropathy.
AB - The aim of the present study was to investigate the effects of Cordyceps sinensis
(CS) and Tripterygium wilfordii polyglycosidium (TWP) on podocytes in rats with
diabetic nephropathy (DN). DN rat models were established and divided randomly
into normal control (group A), DN (group B), CS (group C), TWP (group D) and CS
and TWP groups (group E). After 12 weeks, levels of 24-h urinary protein, blood
urea nitrogen (BUN), serum creatinine (SCR), white blood cells, blood glucose
(GLU), aspartate aminotransferase, alanine aminotransferase and kidney weight
(KW)/body weight (BW) were determined. Renal pathological changes were evaluated
using hematoxylin and eosin staining, whereas the structural changes in the
podocytes were observed under a transmission electron microscope. The expression
levels of nephrin and podocin were evaluated using immunofluorescence staining.
Compared with group A, the SCR and BUN levels in group B were higher (P<0.05) and
the GLU, KW/BW and the 24-h urine protein were markedly higher (P<0.01).
Moreover, incidences of glomerular disorders, chronic tubulointerstitial damage
and glomerular podocyte lesions in groups B, C, D and E were observed, compared
with group A. The high cortical expression of nephrin and podocin protein
decreased. Compared with group B, the KW/BW and 24-h urinary protein level in
groups C, D and E were lower (P<0.01). The glomeruli, tubules and podocytes
exhibited pathomorphological improvements and the nephrin and podocin protein
expression levels were higher in the nephridial tissue. A decrease in KW/BW and
the 24-h urinary protein level, as well as improvements in glomerular disorder,
chronic tubulointerstitial damage and glomerular podocyte lesions, were observed
in groups C, D and E. Therefore, the results demonstrated that CS and TWP
exhibited a protective effect on the podocytes of rats with DN. Moreover, CS
combined with TWP increased this protective effect.
PMID- 24926328
TI - Cajan leaf combined with bone marrow-derived mesenchymal stem cells for the
treatment of osteonecrosis of the femoral head.
AB - The aim of the present study was to observe the curative effect of traditional
Chinese cajan leaves, combined with administration of bone marrow-derived
mesenchymal stem cells (BMSCs), on osteonecrosis of the femoral head (ONFH) in
rats and to investigate the underlying mechanisms. A total of 40 rat ONFH models
were established through liquid nitrogen freezing and were subsequently divided
into groups: A, control; B, treated with cajan leaf; C, treated with BMSCs and D,
treated with cajan leaf combined with BMSCs. Samples were obtained 30 days
following treatment, and immunohistochemical staining of vascular endothelial
growth factor (VEGF) and image analysis were performed. Chondrocytes and vascular
endothelial cells were stained as a result of immunohistochemical staining and
group D exhibited markedly deeper staining, and a significantly larger number of
stained cells, compared with group A. Thus, in the present study, cajan leaf
combined with BMSCs was shown to promote VEGF expression and improve ONFH repair.
PMID- 24926329
TI - Krukenberg tumor in a pregnant patient with severe preeclampsia.
AB - Krukenberg tumors accompanied by gestational hypertension are rare and have a
poor patient prognosis. A gravida 1, para 0 patient was submitted to Tianjin
Medical University General Hospital (Tianjin, China) at 32 weeks gestation with
symptoms of nausea, vomiting and hypertension. Diagnosis from the gastroscopic
biopsy was of a gastric ulcer. A unilateral ovarian mass was identified with B
scan ultrasonography and magnetic resonance imaging, but was confirmed
pathologically as a bilateral Krukenberg tumor. Positron emission tomography
computed tomography revealed a high radioactive uptake in the lesser curvature
wall of the stomach, and postoperative pathology revealed poorly differentiated
adenocarcinoma of the stomach. As Krukenberg tumors are difficult to diagnose,
exhibit fast progression and have a poor clinical outcome, developing a greater
understanding of Krukenberg tumors is crucial. Imaging manifestations combined
with serological examination may aid in early detection, which may lead to
improved patient management.
PMID- 24926330
TI - Resolvin E1 reduces hepatic fibrosis in mice with Schistosoma japonicum
infection.
AB - The aim of this study was to investigate whether resolvin E1 (RvE1) protects
against hepatic fibrosis in a murine model of liver fibrosis induced by
Schistosoma japonicum infection. A total of 30 pathogen-free Kunming mice were
randomly and equally divided into three groups: Control (uninfected, untreated),
model (infected, untreated) and RvE1 intervention (infected, RvE1-treated; 100 ng
daily). The mice were infected with Schistosoma japonicum by inoculating the
abdominal skin with 20+/-2 cercariae to induce models of liver fibrosis. The area
and numbers of the granulomas in the livers were assessed through histopathology
after 70 days of treatment. The levels of tumor necrosis factor (TNF)-alpha and
interferon (IFN)-gamma were evaluated in the serum by enzyme-linked immunosorbent
assay (ELISA). The expression levels of TNF-alpha were detected in the hepatic
tissue by reverse transcription-polymerase chain reaction and western blot
analysis. The activity levels of alanine aminotransferase and aspartate
aminotransferase were determined in the serum by ELISA. The expression levels of
laminin (LN), hyaluronic acid (HA), procollagen type III (PC-III) and type IV
collagen (IV-C) were detected in the serum by radioimmunoassays. The results
revealed that the mean area of the granulomas was smaller in the RvE1
intervention group compared with that in the model group. Following RvE1
treatment, the serum levels of TNF-alpha were lower than those in the model
group, while the serum levels of IFN-gamma were higher compared with those in the
model group. The expression levels of TNF-alpha were lower in the hepatic tissue
following RvE1 treatment compared with those in the model group. The indicators
of liver fibrosis, the levels of LN, HA, PC-III and IV-C in the serum, were lower
following RvE1 treatment than those in the model group. In conclusion, RvE1
treatment may reduce the growth of granulomas, thereby slowing the process of
hepatic fibrosis, and this effect may be the result of anti-inflammatory and
immune system adjustment.
PMID- 24926331
TI - Antagonistic effect of protein extracts from Streptococcus sanguinis on
pathogenic bacteria and fungi of the oral cavity.
AB - An antibacterial substance from Streptococcus sanguinis (S. sanguinis) is known
to have an inhibitory effect on putative periodontal pathogens, but its
inhibitory effect on pathogens of oral candidiasis is unknown. In this study,
intracellular and exocrine proteins were extracted from S. sanguinis. The
antagonistic effect of the protein extracts on Prevotella intermedia (P.
intermedia) and Porphyromonas gingivalis (P. gingivalis) was detected by a well
plate technique, and the effects of the protein extracts on biofilms formed by
these bacteria were evaluated by confocal laser scanning microscopy. The
antagonistic effect of the protein extracts on pathogenic fungi was investigated
using Candida albicans (C. albicans) and Candida tropicalis (C. tropicalis). The
growth curves of C. albicans and C. tropicalis were determined from ultraviolet
absorption measurements, their morphological changes following treatment were
observed by optical microscopy and scanning electron microscopy, and the effects
of the protein extracts on the thickness of their biofilms and the distribution
of dead/live bacteria within the biofilms were detected by confocal laser
scanning microscopy. The results showed significant inhibitory effects of the
intracellular proteins extracted from S. sanguinis on pathogenic bacteria (P.
intermedia and P. gingivalis), fungi (C. albicans and C. tropicalis) and the
biofilms formed by them. Furthermore, the growth curves and morphology of C.
albicans and C. tropicalis were altered following treatment with the
intracellular proteins, resulting in disc-like depressions in the surfaces of the
fungal spores and mycelia. By contrast, the exocrine proteins demonstrated no
significant inhibitory effect on the pathogenic bacteria, fungi and the biofilms
formed by them. Thus, it may be concluded that intracellular proteins of S.
sanguinis have antibacterial activity and exert an antagonistic effect on certain
pathogenic bacteria and fungi of the oral cavity.
PMID- 24926332
TI - Analysis of multiple factors involved in acute progressive cerebral infarction
and extra- and intracranial arterial lesions.
AB - In order to identify the potential factors involved in the development of acute
progressive cerebral infarction (PCI), the association between potential risk
factors and extra- and intracranial arterial lesions was investigated. A total of
608 patients underwent cerebral angiography to analyze the morphological
characteristics between the PCI and NPCI groups. In addition, data from numerous
cases of extra- and intracranial arterial lesions were collected and compared
with the control groups, and the associations between the severity of arterial
lesions and the potential influential factors were analyzed. In the blood vessels
responsible for cerebral infarction, various degrees of atherosclerotic plaques
and stenosis were observed. Age, high-density lipoprotein (HDL) levels,
glycosylated hemoglobin and blood pressure affected the degrees of hardening,
plaques and stenosis. Analysis of cerebral artery stenosis revealed that age,
diabetes mellitus and plasma fibrinogen were risk factors for cerebral artery
stenosis, while the HDL/low density lipoprotein ratio was a protective factor.
Therefore, the results of the present study indicate that the lesions of blood
vessels are a major pathological change in PCI and multiple factors are involved
in the pathogenesis.
PMID- 24926333
TI - Prognostic value of brain natriuretic peptide in patients with heart failure and
reserved left ventricular systolic function.
AB - Brain natriuretic peptide (BNP) is used as a prognostic biomarker for patients
with heart failure (HF) in clinical practice, however, the correlation between
BNP levels and the prognosis of HF in patients with reserved left ventricular
systolic function (RLVSF) is not clear. Thus, the aim of the present study was to
evaluate the added value of BNP in the prognosis of HF patients with RLVSF.
Inpatients with cardiovascular disease (mean age, 65.7 years; male, 790; female,
625) admitted to the Division of Cardiology at Jinshan Hospital of Fudan
University (Shanghai, China) between June 2006 and December 2009 underwent follow
up examinations. Plasma BNP levels were analyzed and measurements of the left
ventricular ejection fraction (LVEF) were performed by echocardiography.
Evaluations of the patients with HF were performed according to the New York
Heart Association (NYHA) classification system. The duration of the follow-up
period ranged between 21 and 63 months (average duration, 35.8 months) and key
events included cardiovascular mortality, readmission due to cardiovascular
disease or mortality due to other reasons. Survival times decreased with
increasing BNP levels in all the follow-up patients (Spearman's rho, -0.1877;
P<0.0001). Among the 1,415 patients, 1,312 underwent echocardiographic detection.
A total of 395 patients with NYHA classes II-IV and a LVEF >=45% were selected.
The incidence of compound endpoint events was significantly higher in the
patients that had BNP levels of >100 pg/ml when compared with the patients that
had BNP levels of <=100 pg/ml (37.07 vs. 23.93%; relative risk, 1.55);
consequently the survival times were significantly reduced (P=0.0039). A negative
correlation was identified between the BNP levels and the survival times in these
patients (Spearman's rho, -0.1738; P=0.0005). These results indicated that the
levels of BNP may be used to predict the prognosis of patients with
cardiovascular disease. The prognoses of patients with higher BNP levels were
worse compared with the patients with lower BNP levels. Furthermore, significant
correlations were confirmed in the HF patients with RLVSF.
PMID- 24926334
TI - Salvia miltiorrhiza extracts protect against retinal injury in a rat glaucoma
model.
AB - Glaucoma is a serious progressive degenerative disorder of the eye that leads to
the continuous loss of retinal ganglion cells. Traditional Chinese medicine
provides an important source for new drug screening and identification. The
present study used Salvia miltiorrhiza (Danshen) extracts to examine the
potential neuroprotective effects for the eye in a rat model of experimental
glaucoma. The results of the study indicated that Salvia miltiorrhiza extracts
were unable to prevent intraocular pressure increase in the laser-induced
glaucoma model, but the treatment did reduce cell loss during glaucoma
progression. Therefore, the results provide the basis for the development of a
novel therapeutic agent that exhibits neuroprotective effects against glaucoma.
In the future, further studies are required to purify the extracts and determine
the effective bioactive components of Salvia miltiorrhiza.
PMID- 24926335
TI - Pseudomonas aeruginosa inhibits the growth of pathogenic fungi: In vitro and in
vivo studies.
AB - The aim of the present study was to investigate the inhibitory effect of
Pseudomonas aeruginosa (PA) on pathogenic fungi, including Candida albicans (CA),
Candida tropicalis (CT), Candida glabrata (CG), Candida parapsilosis (CP) and
Candida krusei (CK), in vitro and in vivo. In total, 24 PA strains were collected
from clinical specimens and identified by Gram staining, oxidase production and
the API 20NE system. Cross-streak, disk diffusion and co-culture methods were
used to observe the inhibitory effect of PA. Sodium dodecyl sulfate
polyacrylamide gel electrophoresis was used to analyze differences in the
bacterial proteins of PA. A blood infection model in mice was used to evaluate
the effect of PA on fungi in vivo. The in vitro and in vivo results demonstrated
that a number of PA isolates exhibited a marked inhibitory effect on pathogenic
fungi, including CA, CT, CP, CG and CK, while other PA strains exhibited no
effect. Therefore, PA exhibits an inhibitory effect on pathogenic fungi and this
activity may be important in the treatment of patients. It was hypothesized that
PA secretes various types of proteins to suppress the growth of fungal filaments,
which subsequently inhibits pathogenic fungi.
PMID- 24926336
TI - Malignant lymphoma of the ureter: A case report and literature review.
AB - A 38-year-old male was admitted to Renji Hospital (Shanghai, China) with the
major complaint of back pain due to left hydronephrosis. Imaging analysis
revealed an area of nodular soft-tissue density in the left ureteral wall. The
patient's left kidney was non-functional. Thus, a left nephroureterectomy was
performed for the purpose of pathological diagnosis, and histopathological
examination revealed follicular lymphoma. The patient received R-CHOP
chemotherapy (rituximab, cyclophosphamide, doxorubicin, vincristine and
prednisolone) every three weeks. Following six courses of chemotherapy, positron
emission tomography-computed tomography revealed that the patient was in complete
remission. From this case we showed that in cases where a partial ureteral
stenosis with ureteral wall thickening was observed by imaging analysis, further
histological examination of tissue samples should be assigned as soon as
possible.
PMID- 24926337
TI - A novel animal model of osteonecrosis of the femoral head induced using a
magnetic resonance imaging-guided argon-helium cryotherapy system.
AB - The aim of the present study was to establish a novel animal model of
osteonecrosis of the femoral head (ONFH) using a magnetic resonance imaging (MRI)
guided argon-helium cryotherapy system. A total of 48 rabbits were used to
generate the ONFH models. In group I, the left femoral head of the rabbits
received two cycles of argon-helium freezing-thawing under MRI guidance, while in
group II, the right femoral head of each rabbit received only one cycle of argon
helium freezing-thawing. X-ray and histological examinations were performed. The
percentages of lacunae in the femoral heads of group I at weeks 4, 8 and 12
following surgery (49.75+/-3.17, 62.06+/-4.12 and 48.25+/-2.76%, respectively)
were higher than those in group II (39.13+/-4.48, 50.69+/-3.84 and 37.50+/-3.86%,
respectively). In addition, the percentage of empty lacunae in group I was 62.06%
at week 8 following surgery. Therefore, an animal model of ONFH was successfully
established using an argon-helium cryotherapy system. The percentage of empty
lacunae in group I was higher than that in group II at weeks 4, 8 and 12 after
surgery.
PMID- 24926338
TI - Electroacupuncture attenuates cervical spinal cord injury following cerebral
ischemia/reperfusion in stroke-prone renovascular hypertensive rats.
AB - Cerebral ischemia induces injury, not only in the ischemic core and surrounding
penumbra tissues, but also in remote areas such as the cervical spinal cord. The
aim of the present study was to determine the effects of electroacupuncture (EA)
on cervical spinal cord injury following cerebral ischemia/reperfusion in stroke
prone renovascular hypertensive (RHRSP) rats. The results demonstrated that
neuronal loss, which was assayed by Nissl staining in the cervical spinal cords
of RHRSP rats subjected to transient middle cerebral artery occlusion (MCAO), was
markedly decreased by EA stimulation at the GV20 (Baihui) and GV14 (Dazhui)
acupoints compared with that in rats undergoing sham stimulation. Quantitative
polymerase chain reaction and western blot analysis demonstrated that EA
stimulation blocked the MCAO-induced elevated protein expression levels of glial
fibrillary acidic protein and amyloid precursor protein in the cervical spinal
cord at days 24 and 48. To further investigate the mechanism underlying the
neuroprotective role of EA stimulation, the protein expression levels of Nogo-A
and Nogo-66 receptor-1 (NgR1), two key regulatory molecules for neurite growth,
were recorded in each group. The results revealed that EA stimulation reduced the
MCAO-induced elevation of Nogo-A and NgR1 protein levels at day 14 and 28 in
RHRSP rats. Therefore, the results demonstrated that EA reduced cervical spinal
cord injury following cerebral ischemia in RHRSP rats, indicating that EA has the
potential to be developed as a therapeutic treatment agent for cervical spinal
cord injury following stroke.
PMID- 24926339
TI - Osteogenesis imperfecta type I: A case report.
AB - A 15-year-old male patient was admitted to hospital having experienced repeated
fractures over the previous three years, predominantly due to falling down or
overexertion. The clinical signs and radiological features, such as recurrent
fractures, blue sclera and low bone mineral density (BMD) level, all led to the
diagnosis of a mild form of osteogenesis imperfecta (OI) type I. The patient
began treatment with a regular intake of calcium (1,000 mg/day), an adequate
intake of vitamin D (800 U/day) and intravenous pamidronate (60 mg). Following
four months of treatment, the symptoms and quality of life of the patient
improved. This patient appears to be a rare case of OI type I.
PMID- 24926340
TI - BIIB021, an Hsp90 inhibitor, effectively kills a myelodysplastic syndrome cell
line via the activation of caspases and inhibition of PI3K/Akt and NF-kappaB
pathway proteins.
AB - The novel orally available inhibitor of the molecular chaperone heat shock
protein 90 (Hsp90), BIIB021, induces the apoptosis of various types of tumor cell
in vitro and in vivo. However, the effects and mechanisms of this agent on
myelodysplastic syndrome (MDS) cell lines remain unknown. The aim of this study
was to investigate the effects of BIIB021 on SKM-1 cells (a MDS cell line) and
examine its mechanisms of action. The results showed that BIIB021 inhibited the
growth of SKM-1 cells effectively in vitro. The treatment of SKM-1 cells with
BIIB021 resulted in the inhibition of cell growth through G0/G1-phase cell cycle
arrest and induced apoptosis by activating caspase-3, -8 and -9. Furthermore,
this study also demonstrated that the mechanisms of apoptosis in SKM-1 cells were
associated with the suppression of the phosphatidylinositide 3-kinase/Akt and
nuclear factor-kappaB signaling pathways. Therefore, the findings indicate a
novel approach for the treatment of high-risk MDS.
PMID- 24926341
TI - Septic shock due to community-acquired Pseudomonas aeruginosa necrotizing
fasciitis: A case report and literature review.
AB - Necrotizing fasciitis is a rare but fatal infection, characterized by the rapid
progression of necrosis of the fascia, skin, soft tissue and muscle. The most
common bacteria associated with necrotizing fasciitis is group A streptococcus,
although other pathogens have also been implicated. In the present study, a case
of community-acquired necrotizing fasciitis, complicated with septic shock and
multiple organ dysfunction syndromes due to Pseudomonas aeruginosa, is presented.
Despite intensive medical treatment, the condition of the patient deteriorated
rapidly and the patient subsequently succumbed to multiple organ failure. In view
of the rapid progression and high mortality rate of this disease, early surgery,
as well as novel therapeutic approaches for septic shock are required to improve
the outcome for patients.
PMID- 24926342
TI - Feasibility of using a dual-promoter recombinant baculovirus vector to coexpress
EGFP and GDNF in mammalian cells.
AB - Vectors that are capable of coexpressing two or more exogenous genes for in vitro
and in vivo gene delivery are being increasingly studied. The aim of the present
study was to explore the feasibility of using the pFastBacTM Dual vector, under
the control of two cytomegalovirus (CMV) promoters with opposite directions, to
coexpress enhanced green fluorescent protein (EGFP) and glial cell line-derived
neurotrophic factor (GDNF) in the same mammalian cell. In the study, two
promoters in the pFastBac Dual vector were replaced with CMV-EGFP and CMV-GDNF,
whose directions were consistent with the initial directions. The pFastBac Dual
CMV-EGFP-CMV-GDNF plasmid was constructed and then transfected into human
embryonic kidney (HEK) 293T cells. The recombinant virus, Bac Dual-CMV-EGFP-CMV
GDNF, was generated with the Bac-to-Bac Baculovirus Expression system and used to
transduce HeLa cells. Immunofluorescence was applied to examine the coexpression
of EGFP and GDNF in transfected or transduced mammalian cells, while western blot
analysis was used to confirm the expression of GDNF in transduced HeLa cells. The
recombinant plasmid was constructed and the recombinant baculovirus was
successfully generated. Immunofluorescence observations demonstrated that EGFP
and GDNF were simultaneously expressed in the same transfected HEK 293T cell and
in a single transduced HeLa cell. Western blot analysis revealed that GDNF was
expressed accurately in the transduced cells. Therefore, the pFastBac Dual vector
is an efficient gene transfer vector that is able to coexpress two target
proteins in mammalian cells and serve as a platform for combining reporter or/and
therapy genes used in molecular imaging and dual-gene therapy. Thus, the current
study presents a new coexpression strategy for dual-gene delivery in vitro and in
vivo.
PMID- 24926343
TI - Estrogen preserves split renal function in a chronic complete unilateral ureteral
obstruction animal model.
AB - Estrogen may help to preserve renal function in chronic kidney disease. This
study examined whether estrogen administration or deprivation affected the split
renal function in rats subjected to chronic unilateral ureteral obstruction
(UUO). Fifteen adult female Sprague-Dawley rats were randomly divided into three
groups. Low- and high-estrogen groups were modeled by female castration or
estrogen intraperitoneal injection, respectively, and the rats in the normal
estrogen group were untreated. Intermittent split renal function [glomerular
filtration rate (GFR)] examination was performed on rats on days 2, 6 and 16
after UUO surgery via single-photon emission computed tomography (SPECT/CT).
Routine hematoxylin and eosin (H&E) staining, immunohistochemistry, pathology
examination and electron microscopy were performed to compare the histological
differences. Low-, normal- and high-estrogen groups were successfully established
(P<0.001). In the acute stage, the GFR of the contralateral healthy kidney showed
a greater compensatory rise in the normal- and high-estrogen groups than in the
low-estrogen group (P<0.05). In the chronic stage, the GFR of the obstructed
kidney continued to decrease with the GFR of the high-estrogen group being
significantly better preserved than that of the low-estrogen group (P<0.05). The
GFR of the contralateral kidney compensated to the greatest extent in the high
estrogen group (P=0.01), and the total GFR was significantly superior (P<0.05).
Routine H&E examination showed significant histological changes following
surgery. The low-estrogen group had significant renal interstitial fibrosis
compared with the normal- and high-estrogen groups (P<0.05), as observed by
immunohistochemical (IHC) examination of transforming growth factor-beta (TGF
beta) and alpha-smooth muscle actin (alpha-SMA). Electron-microscopic (EM)
examination also differentiated between groups. In conclusion, estrogen
administration and deprivation significantly affected renal function. Estrogen
may preserve the split renal function (GFR) in rats with chronic UUO.
PMID- 24926344
TI - Optimal treatment of multivessel complex coronary artery disease.
AB - The aim of the present study was to investigate major cardiac events and the
similarities and differences of medical costs among patients with multivessel
complex coronary artery disease (MCCAD) during the three-year follow-up. The
MCCAD patients had undergone single complete revascularization (CR), fractionated
revascularization (FR) or partial revascularization (PR) and the present study
aimed to screen the optimal treatment program. A total of 2,309 MCCAD patients
who had been treated at a single center in the last decade, among which 1,020
cases underwent single CR, 856 cases successively underwent FR and 433 cases only
underwent PR, were followed-up for three years. Major cardiac events, including
all-cause mortality, myocardial infarction, severe heart failure,
rehospitalization and revascularization (coronary artery bypass grafting and
coronary stent reimplantation), were set as the end points. In addition, the
three-year medical costs associated with heart disease were analyzed. The three
year cardiac event rate in the CR group (17%) was significantly lower compared
with the other two groups and the average three-year medical costs in the CR
group (62,100 RMB) were significantly lower than those in the other two groups.
Therefore, under permissive conditions, single CR is the optimal and most
economical treatment strategy for patients with MCCAD.
PMID- 24926345
TI - Correlation between estrogen receptor beta expression and the curative effect of
endocrine therapy in breast cancer patients.
AB - The aim of the present study was to investigate the association between the
expression levels of estrogen receptor (ER)beta and the curative effect of
endocrine therapy in breast cancer patients. Cancer tissues were collected from
583 breast cancer patients between January 2000 and December 2010 and used for
analysis. ERbeta expression levels were determined using immunohistochemical
staining. The Kaplan-Meier method was used for survival analysis and the log-rank
test was conducted for difference analysis between survival times. In addition,
Cox multivariate analysis was performed to analyze prognostic factors for breast
cancer. In the immunohistochemical staining assay, a positive ERbeta expression
rate of <10% was defined as ERbeta low expression, while >10% was defined as
ERbeta high expression. In patients expressing low levels of ERbeta, the median
tumor-free survival time of the patients who received endocrine therapy was
significantly higher compared with that of the patients who did not receive
endocrine therapy. By contrast, in patients with high ERbeta expression levels,
there was no significant difference in the median tumor-free survival time
between the patients who received endocrine therapy and those who did not. In
addition, compared with ERbeta low expression patients, ERbeta high expression
patients had a significantly lower median tumor-free survival time. Furthermore,
ERbeta expression, human epidermal growth factor receptor 2 expression, tumor
size, lymph node metastasis, postoperative chemotherapy, radiotherapy and
endocrine therapy were identified to be independent prognostic factors for breast
cancer. Therefore, high ERbeta expression in breast cancer indicates poor
prognosis for endocrine therapy.
PMID- 24926346
TI - Evaluating the diagnostic value of vWF:Ag, D-D and FDP in patients with acute
cerebral infarction using ROC curves.
AB - Cerebral infarction is usually associated with arteriosclerosis, vascular
endothelial cell injury and blood flow through the vascular system. The
diagnostic value of markers, including von Willebrand factor antigen (vWF:Ag), D
dimer (D-D) and fibrinogen/fibrin degradation product (FDP), have not been
studied in patients with acute cerebral infarction. Thus, the aim of the present
study was to use receiver operating characteristic (ROC) curves to evaluate the
diagnostic significance of vWF:Ag, D-D and FDP in 94 cases of acute cerebral
infarction. The results revealed that vWF:Ag and D-D concentrations were
significantly higher in acute cerebral infarction patients as compared with the
normal controls (P<0.01), whereas no statistically significant difference in FDP
was observed between the groups (P>0.01). Plasma vWF:Ag and D-D concentrations
significantly correlated with the National Institute of Health Stroke Scale
(NIHSS) scores (r=0.625 and 0.582, respectively; P<0.01). In addition, the vWF:Ag
concentration significantly correlated with the D-D concentration (r=0.320;
P<0.01), whereas FDP concentration did not correlate with D-D or vWF:Ag
concentrations or the NIHSS scores (r=0.172, 0.188 and 0.065, respectively;
P>0.05). The area under the ROC curve using vWF:Ag as a diagnostic marker in
patients with acute cerebral infarction was 0.900, while for D-D the area was
0.795 and for FDP the area was 0.465. Logistic regression analysis revealed that
the odds ratios of vWF:Ag and D-D were 16.727 and 2.324, respectively, which were
statistically significant (P<0.001 and 0.023, respectively). These results
indicated that using vWF:Ag as a diagnostic marker is likely to significantly
improve the sensitivity of diagnosing patients with acute cerebral infarction.
The diagnostic value of vWF:Ag concentration was significantly higher compared
with D-D and FDP levels.
PMID- 24926347
TI - Expression of ERCC1, TYMS, TUBB3, RRM1 and TOP2A in patients with esophageal
squamous cell carcinoma: A hierarchical clustering analysis.
AB - The aim of the present study was to investigate the correlation between the
expression levels of excision repair cross complementing 1 (ERCC1), thymidylate
synthase (TYMS), class III beta-tubulin (TUBB3), ribonucleoside-diphosphate
reductase (RRM1) and topoisomerase IIalpha (TOP2A) with the clinical
characteristics of patients with esophageal squamous cell carcinoma (ESCC). A
total of 29 ESCC tissue samples were collected from patients that had not
previously received systematic treatment. The expression levels of ERCC1, TYMS,
TUBB3, RRM1 and TOP2A were determined using a microarray technique, while
Spearman's rank correlation analysis was used to determine the strength of the
correlations between the expression levels of the biomarkers and the pathogenesis
of esophageal cancer. High expression levels of TYMS and TOP2A were observed in
24% of the samples and high expression levels of TUBB3 and RRM1 were identified
in 7% of the samples. Hierarchical clustering analysis of these biomarkers
enabled the samples to be grouped. Group 1 patients exhibited low expression
levels of TYMS, RRM1 and TOP2A and high expression of ERCC1 and TUBB3, while
group 2 samples had low expression levels of ERCC1 and TUBB3 and high expression
levels of TYMS, RRM1 and TOP2A. Analysis using Fisher's exact test demonstrated a
statistically significant difference in the severity of carcinoma invasion
between the two groups (P<0.05), however, no significant differences were
identified with regard to the clinical stage or lymphatic metastasis (P>0.05).
Therefore, hierarchical clustering analysis indicated that the expression levels
of ERCC1, TYMS, TUBB3, RRM1 and TOP2A were closely associated with the clinical
characteristics of patients with ESCC.
PMID- 24926348
TI - Novel, fast and efficient image-based 3D modeling method and its application in
fracture risk evaluation.
AB - Constructing models based on computed tomography images for finite element
analysis (FEA) is challenging under pathological conditions. In the present
study, an innovative method was introduced that uses Siemens syngo(r) 3D software
for processing models and Mimics software for further modeling. Compared with the
slice-by-slice traditional manual margin discrimination, the new 3D modeling
method utilizes automatic tissue margin determination and 3D cutting using syngo
software. The modeling morphologies of the two methods were similar; however, the
3D modeling method was 8-10 times faster than the traditional method,
particularly in cases with osteoporosis and osteophytes. A comparative FEA study
of the lumbar spines of young and elderly patients, on the basis of the models
constructed by the 3D modeling method, showed peak stress elevation in the
vertebrae of elderly patients. Stress distribution was homogeneous in the entire
vertebrae of young individuals. By contrast, stress redistribution in the
vertebrae of the elderly was concentrated in the anterior cortex of the
vertebrae, which explains the high fracture risk mechanism in elderly
individuals. In summary, the new 3D modeling method is highly efficient, accurate
and faster than traditional methods. The method also allows reliable FEA in
pathological cases with osteoporosis and osteophytes.
PMID- 24926349
TI - Effects of differenta mitomycin C concentrations on laser-assisted subepithelial
keratectomy.
AB - The aim of the present study was to determine the effect of different
concentrations of mitomycin C (MMC) administered intraoperatively during laser
assisted subepithelial keratectomy (LASEK) surgery. A total of 261 patients (496
eyes) were randomly divided into two groups: 0.04% MMC treatment group consisting
of 133 patients (245 eyes) and the 0.02% MMC treatment group consisting of 128
patients (251 eyes). The MMC solutions were dropped intraoperatively onto the
ablation region and the duration was dependent on the preoperative refractive
power of the patient's cornea: <=-3.00 diopters (D), 30 sec; between -3.25 D and
6.00 D, 60 sec; between -6.25 D and -9.00 D, 90 sec and >=-9.25 D, 110 sec.
Postoperative observations included haze formation, visual acuity, changes in
refractive power, corneal endothelial cell density and incidence of
complications. The one year postoperative incidence of haze differed
significantly between the groups (P<0.05). The one week and one year comparisons
of postoperative visual acuity also differed significantly between the groups
(P<0.05). Postoperative changes in corneal refractive power at one, six and 12
months following surgery significantly differed between the groups (P<0.05). No
statistically significant difference was identified between the density of
corneal endothelial cells prior to surgery and the density at one, six and 12
months following surgery (P>0.05). Thus, the intraoperative application of 0.04%
MMC solution effectively inhibited haze formation and markedly improved the
efficacy of LASEK surgery, when compared with that of 0.02% MMC.
PMID- 24926350
TI - Intraocular lens implantation for patients with coloboma of the iris.
AB - The aim of this study was to analyze the techniques for intraocular lens (IOL)
implantation in patients with coloboma of the iris. A retrospective cohort study
was used to analyze the degree of iris coloboma and the characteristics of the
crystalline lens in 56 patients with iris coloboma. The patients with a lesser
degree of coloboma of the iris and an intact lens capsule were treated by iris
suture and IOL implantation into the posterior chamber. Patients with an iris
coloboma confined to one quadrant, severe iris atrophy and significant lens
capsule coloboma were treated with an annular suture at the edge of the pupil and
IOL implantation into the anterior chamber. Patients with a greater degree of
iris coloboma and an intact lens capsule were treated with an artificial iris and
IOL implantation. The patients were followed up for between five months and five
years after surgery. Data relating to vision, photophobia, IOL location,
postoperative complications and treatment were also obtained at follow-up. The
vision of the patients was improved to varying degrees following the surgery,
with the exception of those with amblyopia or serious corneal scars. The
photophobia of the patients had also improved. The patients' levels of
satisfaction and comfort were deemed to be satisfactory. Early postoperative
complications included hyphema, increased intraocular pressure and uveitis.
However, serious complications such as corneal decompensation and IOL dislocation
were not observed. Various techniques for IOL implantation were selected based on
the degree of iris and lens capsule coloboma; these techniques were capable of
improving the vision and photophobia of the patients.
PMID- 24926351
TI - Amelioration of insulin resistance in rat cells by Astragalus polysaccharides and
associated mechanisms.
AB - The aim of this study was to investigate the function of Astragalus
polysaccharides (APS) in ameliorating insulin resistance (IR) in rat cells and to
elucidate the associated mechanisms. Fully differentiated, induced 3T3-L1 rat
adipocytes were divided into a control group and three intervention groups. The
intervention groups were incubated in media containing 0.001, 0.1 and 10 MUg/MUl
APS, respectively, for 48 h. Following treatment, levels of interleukin (IL)-6
and adiponectin secreted by the cultured adipocytes were measured using enzyme
linked immunosorbent assay. Levels of adiponectin secreted by the 3T3-L1
adipocytes in the moderate-concentration intervention group were significantly
increased compared with those in the control group (P<0.05), whereas levels of
adiponectin secreted by the 3T3-L1 adipocytes in the low- and high-concentration
intervention groups were decreased compared with those in the control group
(P<0.05 and P>0.05, respectively). Levels of IL-6 secreted by the 3T3-L1
adipocytes in the three intervention groups were lower than those in the control
group (P>0.05, P<0.05 and P<0.05 for the low- moderate- and high-concentration
intervention groups, respectively), and demonstrated APS dose-dependence. The
results indicate that APS are capable of increasing adiponectin secretion and
reducing IL-6 secretion by 3T3-L1 rat adipocytes in a dose-dependent manner.
These findings may identify a potential mechanism for ameliorating IR using APS.
PMID- 24926352
TI - Effects of the combination of methylprednisolone with aminoguanidine on
functional recovery in rats following spinal cord injury.
AB - Methylprednisolone (MP), a synthetic glucocorticoid, has been widely used as a
standard therapeutic agent for the treatment of spinal cord injury (SCI). The
combination of MP and other pharmacological agents aimed at enhancing functional
recovery is desirable as the beneficial effects of MP are controversial, due to a
variety of side-effects. Aminoguanidine (AG), a small water-soluble compound, is
potentially useful in the treatment of acute SCI. The aim of the present study
was to determine the effects of MP and AG, administered in combination, following
SCI in adult rats. In rats with SCI, the combination therapy group treated with
AG (75 mg/kg) and MP (0.75 mg/kg) exhibited significantly reduced levels of
cytokine expression and cell apoptosis compared with those in the control group.
In addition, the data demonstrated that the combination therapy significantly
enhanced the recovery of limb function. These data clearly suggest that treatment
with a combination of MP and AG represents a promising strategy of clinically
applicable pharmacological therapy for the rapid initiation of neuroprotection
following SCI.
PMID- 24926353
TI - Resveratrol reverses multidrug resistance in human breast cancer doxorubicin
resistant cells.
AB - Although its mechanisms remain unidentified, resveratrol (trans-3,4',5
trihydroxystilbene; RES), which is an active, low molecular-weight compound,
possesses a unique antitumor function and is capable of enhancing the
cytotoxicity of doxorubicin (DOX) within solid tumor cells. RES is hypothesized
to exert these effects by reversing the multidrug resistance (MDR) of the cancer
cells in response to chemotherapeutic agents. The aim of the present study was to
investigate the reversal effect of RES on MDR in human breast cancer DOX
resistant (MCF-7/DOX) cells and investigate the underlying mechanisms of RES. The
results demonstrated that RES inhibited the proliferation of MCF-7/DOX and MCF-7
cells in a dose-dependent manner. Moreover, RES enhanced the cytotoxicity of DOX
on MCF-7/DOX cells and the reversal index of RES treatment was demonstrated to be
significantly higher when compared with that of the group without RES treatment.
In addition, RES was observed to reverse the MDR of the MCF-7/DOX cells and
elevate the concentration of DOX in the MCF-7/DOX cells. Furthermore, RES was
identified to significantly downregulate the MDR-1 gene and P-glycoprotein
expression levels. Reversing MDR, via the downregulation of MDR-1 expression, was
concluded to be a mechanism of RES, which enables the unique antitumor function
of this polypeptide. Therefore, the present study indicated that RES may be a
novel MDR reversal agent for the treatment of breast cancer.
PMID- 24926354
TI - Plasma orexin-A and ghrelin levels in patients with chronic obstructive pulmonary
disease: Interaction with nutritional status and body composition.
AB - Orexin-A and ghrelin are two important polypeptides that stimulate food intake,
however, there is a lack of sufficient information concerning their plasma levels
in patients with chronic obstructive pulmonary disease (COPD). The aim of the
present study was to investigate the association between plasma orexin-A and
ghrelin levels with food consumption and body composition in patients with stable
phase COPD. In total, 40 patients (age, 44-80 years; male, 31; female 9) who were
in the stable phase of COPD were included in the study. Blood samples for plasma
orexin-A and ghrelin analysis were collected after 8-12 h of fasting; certain
anthropometric measurements were obtained and a 24-h dietary recall was recorded.
The mean plasma orexin-A levels in the male and female patients were 1.3+/-0.37
and 1.4+/-0.13 ng/ml, respectively, while the mean plasma ghrelin levels were
25.9+/-7.31 and 27.3+/-8.54 ng/ml, respectively. No significant correlation was
observed between the body mass index and plasma orexin-A and ghrelin levels or
between the plasma ghrelin levels and dietary nutrient intake (P>0.05). The
plasma orexin-A levels were demonstrated to be higher in patients with a higher
dietary total fibre intake (r=0.303, P=0.022). A similar correlation was observed
between plasma orexin-A levels and dietary intake of soluble (r=0.033, P=0.029)
and insoluble (r=0.335, P=0.024) fibre, as well as between the daily consumption
of calcium and the levels of plasma orexin-A (r=0.065, P=0.046). Therefore, the
results of the present study indicated that a positive correlation existed
between dietary nutrient intake and plasma orexin-A levels in patients with COPD.
PMID- 24926355
TI - Effects of ulinastatin administered at different time points on the pathological
morphologies of the lung tissues of rats with hyperthermia.
AB - Hyperthermia not only directly induces cell injury of body tissues, but also
causes the body to release large amounts of inflammatory mediators and cells with
extensive biological activities to induce a systemic inflammatory response and
immune dysfunction. Thus, hyperthermia causes systemic inflammatory response
syndrome, aggravating injuries to various organs. This study aimed to observe the
effects of ulinastatin (UTI) administered at different time points on the
cellular morphologies of the lung tissues of rats with systemic hyperthermia. A
total of 40 male Sprague Dawley rats were randomly divided into five groups: The
normal control group (C group), the hyperthermia group without medication (H
group), the hyperthermia and UTI pre-treatment group (HU group), the group
treated with UTI at 1 h after hyperthermia (HU1 group), and the group treated
with UTI at 2 h after hyperthermia (HU2 group). The systemic hyperthermia rat
model was established in a heating chamber with a biological oxygen supply. For
the HU, HU1 and HU2 groups, UTI (5*104 U/kg) was administered at different time
points. For the C and H groups, an equivalent volume of normal saline was
administered. During heating, the respiratory frequency and rectal temperature
were measured and recorded once every 30 min. After 2.5 h of heating, the wet/dry
weight (W/D) ratio of the lung tissues of the rats was measured. Additionally,
the cellular morphologies of the lung tissues were observed under light and
electron microscopes. The respiratory frequencies and lung tissue W/D ratios of
the rats in the various hyperthermia groups were significantly higher than those
of the rats in the C group (all P<0.05). The respiratory frequencies and lung
tissue W/D values of the HU and HU1 groups were significantly lower than those of
the H group (all P<0.05). Under the light microscope, the bronchial surrounding
tissues of the HU and HU1 groups were loose, and the majority of the pulmonary
alveolar structures were normal; the H and HU2 groups presented a number of
changes, including pulmonary interstitial hyperemia, alveolar epithelial swelling
and emphysema. Under the electron microscope, it was observed in the type II
epithelial cells of the pulmonary alveoli of the H group that the mitochondria
were swollen, the cell ridges were shortened, the microvilli were thin and
increased, and the alveolar wall was thickened. Also, an increased number of
infiltrating neutrophils were visible. In addition, the type II epithelial cells
of the HU2 group also presented these changes to different extents and the
changes in the HU and HU1 groups were the mildest. These results indicate that
the early application of UTI relieves edema and the extent of cell injury of the
lung tissue in rats with systemic hyperthermia.
PMID- 24926356
TI - Effects of dexmedetomidine on the deformability of erythrocytes in vitro and in
anesthesia.
AB - The current study aimed to evaluate the impact of clinically relevant
concentrations of dexmedetomidine on the deformability of erythrocytes in vitro
and the effects of dexmedetomidine on the deformability of erythrocytes in
patients undergoing laparoscopic cholecystectomy. Erythrocyte suspensions of
different concentrations were divided into six groups: Control (group C); low,
medium and high concentrations of dexmedetomidine (groups DL, DM and DH,
respectively); yohimbine alone (group Y) and yohimbine mixed with dexmedetomidine
(group YD). The suspensions were incubated in a thermostatic shaking incubator
(50 rpm, 37 degrees C) for 60 min. The nitric oxide (NO) concentrations and
endothelial nitric oxide synthase (eNOS) activities of red blood cells and the
erythrocyte deformability index (EI) were then measured. Patients (n=40)
scheduled for laparoscopic cholecystectomy were randomly divided into a
dexmedetomidine group (group A) and a control group (group B). The induction and
maintenance of anesthesia in the two groups was identical. The EI and hematocrit
(Hct) were assayed prior to anesthesia (T0) and following the surgery (T1). In
the in vitro assay, the EI, the activity of eNOS and the NO concentration of the
erythrocytes were significantly higher in groups DL, DM, DH and YD than in group
C (P<0.05). In addition, the EI, the eNOS activity and NO concentration of the
erythrocytes were higher in group DM than in group YD (P<0.05). In the patients,
the EI value at T1 (0.90+/-0.04) was higher than at T0 (0.81+/-0.06) in group B
(P<0.05). No statistically significant difference between the EI values at T0 and
T1 was identified in group A (P>0.05). Dexmedetomidine treatment is able to
improve the deformability of erythrocytes in vitro and in anesthesia. The
improvement of erythrocyte deformability by dexmedetomidine may be partially
associated with adrenergic receptors through activation of eNOS to enhance the
concentration of NO in red blood cells.
PMID- 24926357
TI - 3,3'-Diindolylmethane inhibits the invasion and metastasis of nasopharyngeal
carcinoma cells in vitro and in vivo by regulation of epithelial mesenchymal
transition.
AB - Nasopharyngeal carcinoma (NPC) is characterized by silent progression and
atypical early symptoms. Early metastasis to the neck lymph nodes is common.
However, conventional chemoradiotherapy is limited and unable to effectively
control cervical lymph node metastasis of NPC. In addition, toxicities caused by
chemoradiotherapy often induce damage to normal tissues and organs. Thus, the aim
of this study was to investigate the ability of 3,3'-diindolylmethane (DIM) to
inhibit the invasion and metastasis of NPC cells in vitro and in vivo. The
migration and invasive abilities of the 5-8F human NPC cell line were detected
using a Transwell assay. Lymph node metastasis in nude mice was observed
following the implantation of xenograft tumors for 8 weeks. In addition, western
blot analysis was used to detect the expression levels of epithelial mesenchymal
transition (EMT)-associated key proteins in NPC cells treated with DIM in vitro
and in vivo. The results demonstrated that DIM effectively inhibited the
migration and invasion of NPC cells in vitro and the effect was concentration
dependent. In addition, DIM significantly delayed and reduced the occurrence of
lymph node metastasis in the animal model. The expression levels of a number of
key proteins associated with EMT were affected by DIM treatment. In the animal
model, there were no signs of toxicity in the vital organs, including the heart,
liver and kidney, of animals fed a diet containing DIM. Therefore, the results of
the present study indicate that DIM affects the expression levels of a number of
EMT-associated key proteins and induces the inhibition of invasion and metastasis
of NPC cells in vitro and in vivo.
PMID- 24926358
TI - Inhibitory effect of sodium houttuyfonate on synovial proliferation in vitro in
cells from a patient with rheumatoid arthritis.
AB - The aim of the present study was to investigate the inhibitory effect of sodium
houttuyfonate (SH) on synovial cell proliferation in vitro. Primary cells were
obtained from the synovial tissue of a patient with rheumatoid arthritis (RA).
The cells were divided into five treatment groups as follows: the control group
(group 1), 25 MUg/ml SH-treated group (group 2), 50 MUg/ml SH-treated group
(group 3), 100 MUg/ml SH-treated group (group 4) and the 200 MUg/ml SH-treated
group (group 5). Following seven days of treatment, the proliferation rate of the
synovial cells was then detected using an MTT assay. The expression level of
proliferative synovial cells markedly decreased in the SH-treated groups in a
dose-dependent manner compared with the control group. In conclusion, the present
study demonstrated that SH was able to inhibit the proliferation of synovial
cells obtained from a patient with RA. These results provide a potential
theoretical basis for the development of a safe and effective treatment against
RA in the future.
PMID- 24926359
TI - Combined electrocardiography, coronary angiography and magnetic resonance imaging
for the diagnosis of viral myocarditis: A case report.
AB - Endomyocardial biopsy is the gold standard for diagnosing viral myocarditis.
However, this method is rarely used as it is more invasive, less sensitive and
has a higher incidence of complications than other methods. With recent
developments in myocarditis research, cardiovascular nuclear magnetic resonance
imaging has been demonstrated to have a marked advantage over endomyocardial
biopsy, specifically regarding the differential diagnosis of acute coronary
syndrome, as it is noninvasive, repeatable, highly sensitive and highly specific
for diagnosing myocarditis. Myocardial edema is characteristic of myocardial
inflammation, myocardial necrosis and myocardial fibrosis. T2-weighted nuclear
magnetic resonance imaging sensitively detects myocardial tissue edema and
additional imaging parameters contribute to the diagnosis of myocarditis.
Therefore, combining these methods with the current sophisticated
electrocardiogram and coronary angiography examination methods may facilitate the
rapid and accurate assessment of viral myocarditis. A 44-year-old male patient
with symptoms of dyspnea and shortness of breath accompanied by dizziness,
through electrocardiography, coronary angiography and magnetic resonance imaging,
was diagnosed viral myocarditis.
PMID- 24926360
TI - Urantide improves atherosclerosis by controlling C-reactive protein, monocyte
chemotactic protein-1 and transforming growth factor-beta expression in rats.
AB - The aim of the present study was to investigate the effects of urantide on the
expression status of C-reactive protein (CRP) and the inflammatory cytokines
monocyte chemotactic protein (MCP)-1 and transforming growth factor (TGF)-beta in
the aortas of rats with atherosclerosis (AS), and to identify its underlying
mechanisms. The effects of urantide in a rat model of AS and in cultured rat
vascular smooth muscle cells (VSMCs) were analyzed via hematoxylin and eosin
staining, immunohistochemical staining and ELISA. The results in vivo
demonstrated that urantide downregulated the expression of inflammatory mediators
CRP and MCP-1 and upregulated the expression of TGF-beta. The results in vitro
indicated that urantide inhibited the proliferation of VSMCs. In addition,
urantide reduced the expression of CRP and downregulated the secretion of TGF
beta in the culture supernatant. In conclusion, urantide ameliorated the arterial
inflammatory damage that was observed in the AS rat model at the cell and tissue
levels by controlling the expression of CRP and the inflammatory cytokines MCP-1
and TGF-beta. Therefore, urantide may be a potential agent for the complementary
treatment of AS.
PMID- 24926362
TI - Effect of erythropoietin loading chitosan-tripolyphosphate nanoparticles on an
IgA nephropathy rat model.
AB - The aim of the present study was to investigate the effect of erythropoietin
(EPO) loading chitosan-tripolyphosphate (CS-TPP) nanoparticles on an
immunoglobulin A nephropathy (IgAN) rat model. CS-TPP nanoparticles were produced
from CS and TPP and EPO was loaded by mixing with the nanoparticles. The IgAN rat
models were randomly divided into three groups: the CS-TPP-EPO group, CS-TPP
group and EPO group. Hemoglobin (Hb), blood urea nitrogen (BUN) and creatinine
(Cr) levels were measured in each group using a Biochemical Analyzer (Hitachi,
Tokyo, Japan). The average size of nanoparticles was 485+/-12 nm and the
encapsulation efficiency of EPO was 78.45%. The EPO release curve in CS-TPP-EPO
nanoparticles exhibited a biphasic distribution in vitro. The levels of BUN and
Cr in the CS-TPP-EPO group were significantly lower compared with the control
group (P<0.05); however, the level of Hb in the CS-TPP-EPO group was higher
compared with the other groups (P<0.05). The changes in Hb, BUN and Cr in the CS
TPP-EPO group were maintained for less than one week following the end of the
treatment with CS-TPP-EPO nanoparticles. In conclusion, the CS-TPP-EPO
nanoparticles had a lower toxicity compared with EPO and CS-TPP treatment.
Furthermore, CS-TPP-EPO may improve the therapeutic effect in the IgAN model.
This suggests that CS-TPP-EPO nanoparticles may be a potential therapeutic drug
for the treatment of patients with IgAN.
PMID- 24926361
TI - Curcumin suppresses tumor necrosis factor-alpha-induced matrix metalloproteinase
2 expression and activity in rat vascular smooth muscle cells via the NF-kappaB
pathway.
AB - The aim of the present study was to investigate the inhibitory effect of curcumin
on tumor necrosis factor (TNF)-alpha-induced cell migration and matrix
metalloproteinase (MMP)-2 expression and activity in rat vascular smooth muscle
cells (VSMCs), in order to identify whether the effects are mediated by the
nuclear factor (NF)-kappaB signaling pathway. The VSMCs cells were pretreated
with curcumin prior to stimulation with TNF-alpha. Reverse transcription
polymerase chain reaction and western blot analysis were used to determine the
MMP-2 mRNA and protein expression levels in TNF-alpha-stimulated VSMCs. Activity
levels of MMP-2 were measured using a gelatin zymography assay. Intracellular
reactive oxygen species (ROS) generation was also analyzed. Curcumin was found to
suppress the TNF-alpha-stimulated migration of VSMCs. In addition, curcumin
inhibited the TNF-alpha-induced induction of MMP-2 activity and expression.
Curcumin also decreased ROS generation in TNF-alpha-stimulated VSMCs. Signal
transduction experiments indicated that TNF-alpha-induced MMP-2 expression in
VSMCs was partly reversed with the application of an NF-kappaB inhibitor (BAY11
7082). In addition, western blot analysis revealed that curcumin reduced NF
kappaB p65 protein expression in TNF-alpha-stimulated VSMCs at the concentration
of 20 and 40 MUM. Therefore, these observations indicated that curcumin
suppressed TNF-alpha-stimulated VSMC migration and partially prevented TNF-alpha
induced MMP-2 expression and activity in VSMCs via the NF-kappaB pathway.
PMID- 24926363
TI - Calcineurin inhibitors may be a reasonable alternative to cyclophosphamide in the
induction treatment of active lupus nephritis: A systematic review and meta
analysis.
AB - Although the accepted standard of care during the induction treatment of active
lupus nephritis (LN) has been cyclophosphamide (CYC), recent trials suggest that
calcineurin inhibitors (CNIs), which include cyclosporine A (CsA) and tacrolimus
(TAC), may be just as, or even more, effective and less toxic than CYC. A
systematic review and meta-analysis were performed to evaluate the clinical
effects of CNIs on active LN compared with those of CYC. In the present study,
clinical trials that compared CNIs with CYC in the induction therapy of active LN
were searched in the Cochrane Library, Ovid and PubMed databases. The clinical
data on renal remission and side-effects were collected and analyzed. The
relative risk (RR) and 95% confidence intervals (CIs) were calculated. As a
result, six controlled trials involving 265 patients were included in the meta
analysis, four of which compared TAC (treatment group) with CYC (control group),
and the other two compared CsA (treatment group) with CYC (control group). CNIs
were superior to CYC for higher complete remission (RR=1.56, 95% CI 1.14-2.15,
Z=2.74, P=0.006) and better overall response/total remission (RR=1.23, 95% CI
1.07-1.42, Z=2.87, P=0.004) and had fewer side-effects. Among the CNIs, TAC
demonstrated more favorable results than CsA. Therefore, it was concluded that
CNIs may be a reasonable alternative to CYC in the induction treatment of active
LN. However, large-scale, multicenter, well-designed clinical trials should be
adopted to further confirm this conclusion.
PMID- 24926364
TI - Study of the correlation between serum ferritin levels and the aggregation of
metabolic disorders in non-diabetic elderly patients.
AB - The present study aimed to explore the correlation between serum ferritin (SF)
levels and the aggregation of metabolic disorders in non-diabetic elderly
patients. A total of 2,600 patients were enrolled in the study. Various
parameters, including blood pressure (BP), height, weight, lipid profiles, blood
glucose (BG), body mass index (BMI), fasting insulin (FINS), serum uric acid
(SUA), the urinary albumin/creatinine ratio (UACR) and SF levels were measured. A
homeostatic model was used to evaluate insulin resistance (HOMA-IR) and beta-cell
function (HOMA-beta). The quantitative insulin sensitivity check index (QUICKI)
and disposition index (DI) were calculated. The QUICKI and DI decreased
significantly and other parameters increased significantly when the number of
metabolic disorders increased. Patients with high triglycerides (TG), high total
cholesterol (TC), high SUA and obesity demonstrated higher SF levels than those
with normal TG, normal TC, normal SUA and normal weight, respectively (P<0.01).
Male patients with metabolic disorders (high TG, high TC, high BP, high SUA and
obesity) had higher SF levels than female patients with the corresponding
disorders (P<0.01). BG, FINS, BMI, TC, TG, SUA, HOMA-IR and HOMA-beta were
positively correlated with SF, while DI and QUICKI were negatively correlated
with SF (P<0.01). Stepwise regression analysis showed that HOMA-IR, BMI, TC, TG
and SUA were risk factors for elevated SF levels. In conclusion, the SF levels in
non-diabetic, elderly individuals with metabolic disorders may be significantly
related to the clustering of the metabolic disorders. Dyslipidemia, obesity,
disorders of purine metabolism and insulin resistance may be important risk
factors for higher SF levels in the elderly.
PMID- 24926365
TI - SB-273005, an antagonist of alphavbeta3 integrin, reduces the production of Th2
cells and cytokine IL-10 in pregnant mice.
AB - Pregnancy is associated with complex immunoreactions. In the present study, the
effect of SB-273005, an antagonist of alphavbeta3 integrin, on the alterations of
T helper (Th) cells and their derived cytokines that occur during pregnancy was
investigated in mice. Five non-pregnant mice were used as a negative control.
Mice were impregnated by co-housing females and males at a ratio of 2:1 overnight
and pregnancy was confirmed by the appearance of vaginal plugs the following
morning. Day 1 (D1) pregnant mice were randomly divided into two groups (n=20)
and were administered either dimethylsulfoxide (mock treatment) or SB-273005 (3
mg/kg) by gavage at D3, D4 and D5. At D8, the levels of Th1 and Th2 cells and
interleukin (IL)-2 and IL-10 in the spleen and peripheral blood were determined
using flow cytometry and enzyme-linked immunosorbent assay. Pregnancy
significantly increased the ratio of Th2:Th1 cells in the spleen compared with
that in non-pregnant mice (P<0.01). However, this increase was significantly
reduced by SB-273005 (P<0.001). Furthermore, whilst pregnancy decreased Th1 cell
produced IL-2 levels and increased Th2 cell-derived IL-10 levels, SB-273005
reversed both processes (P<0.05 for IL-2; P<0.01 for IL-10). The results from the
present study demonstrated that pregnancy induces changes in the spleen,
including a reduction of IL-2 and an increase in IL-10 production by Th1 and Th2
cells, respectively, as well as an upregulation of the Th2:Th1 ratio in the
spleen. These immunological changes are reversed by SB-273005, indicating an
important role for alphavbeta3 integrin in mediating these immunological
alterations.
PMID- 24926366
TI - Effects of ulinastatin on cerebral oxygen metabolism and CRP levels in patients
with severe traumatic brain injury.
AB - The aim of the present study was to investigate the effects of ulinastatin on
cerebral oxygen metabolism and C-reactive protein (CRP) levels in patients with
severe traumatic brain injury (sTBI). A total of 92 patients with sTBI, admitted
to the First Affiliated Hospital of Xinxiang Medical University (Xinxiang,
China), were randomly divided into control and observation groups. The control
group received conventional therapy plus a placebo (0.9% sodium chloride), while
the observation group were administered conventional therapy plus 200,000 units
ulinastatin via intravenous injection twice a day for seven days. Arterial and
jugular venous blood was collected for blood gas analysis. The jugular venous
blood lactate (JVBL), jugular venous bulb oxygen saturation (SjvO2),
arteriovenous oxygen content difference (AVDO2) and cerebral extraction of oxygen
(CEO2) levels were measured on day 1, 3, 5 and 7, as well as the level of CRP in
the peripheral blood. In the control group, the level of JVBL decreased as
compared with the level at day 1, however, no statistically significant
differences were observed (P>0.05). By contrast, the observation group exhibited
a significant reduction in the level of JVBL (P<0.05), which was also
significantly lower compared with the control group (P<0.05). Statistically
significant differences were observed between the two groups with regard to
SjvO2, AVDO2 and CEO2 on day 3, 5 and 7. The CRP levels in the two groups
increased and peaked on day 3. However, the CRP level in the observation group
significantly decreased on day 5 (35.27+/-15.18 mg/l) and day 7 (22.65+/-10.48
mg/l), which was lower compared with the control group (56.19+/-13.24 mg/l and
47.36+/-15.73 mg/l, respectively); statistically significant differences were
observed (P<0.05). Therefore, ulinastatin effectively improved cerebral oxygen
metabolism and reduced the CRP level in patients with sTBI.
PMID- 24926367
TI - Treatment effect of Bushen Huayu extract on postmenopausal osteoporosis in vivo.
AB - Bushen Huayu extract (BSHY), a traditional Chinese medicine, has been
demonstrated to treat postmenopausal osteoporosis, however, the underlying
mechanism remains to be fully elucidated. The aim of the present study was to
investigate the therapeutic effect of BSHY and the mechanisms underlying this
effect in an in vivo postmenopausal osteoporosis animal model. A total of 1 g
BSHY containing 7.12 MUg icariin was prepared. Low-dose BSHY (BSHY-L; 11.1 g/kg),
medium-dose BSHY (BSHY-M; 22.2 g/kg) and high-dose BSHY (BSHY-H; 44.4 g/kg) was
administered to oophorectomized rats using intragastric infusion. Estradiol (E2),
interleukin-6 (IL-6) and serum alkaline phosphatase (ALP) levels, as well as bone
density, were determined. It was found that the levels of serum ALP in the BSHY
L, BSHY-M and BSHY-H groups (197.75+/-41.74, 166.63+/-44.83 and 165.63+/-44.90
IU/l, respectively) were significantly decreased compared with the model group
(299.13+/-45.79 IU/l; P<0.05), whilst the levels of E2 (16.89+/-1.71, 17.95+/
1.40 and 18.34+/-1.43 pg/ml, respectively) increased compared with the model
group (14.54+/-1.61; P<0.05). In addition, the levels of IL-6 decreased in the
BSHY-L, BSHY-M and BSHY-H groups (91.85+/-14.81, 82.99+/-15.65 and 80.54+/-14.61
pg/ml, respectively) compared with the model group (105.93+/-16.50 pg/ml;
P<0.05). Furthermore, it was demonstrated that BSHY increased the bone density in
the BSHY-L, BSHY-M and BSHY-H groups (0.20+/-0.014, 0.22+/-0.016 and 0.22+/-0.017
g/cm2, respectively) compared with the model group (0.19+/-0.011 g/cm2; P<0.05).
BSHY was also found to increase the number of osteoblasts in the BSHY-L, BSHY-M
and BSHY-H groups (25.38+/-2.17, 29.25+/-2.12 and 30.00+/-2.39, respectively),
compared with in the model group (14.75+/-2.38; P<0.05), and decrease the number
of osteoclasts in the BSHY-L, BSHY-M and BSHY-H groups (4.00+/-1.85, 4.25+/-1.39
and 5.75+/-1.49, respectively) compared with 9.50+/-1.60 observed in the model
group (P<0.05). These results suggest that BSHY is a potential therapeutic drug
for the treatment of osteoporosis in vivo. Furthermore, these results suggest
that the mechanism by which BSHY decreases the serum levels of IL-6 may be by
regulating E2.
PMID- 24926368
TI - Inhibition of SENP5 suppresses cell growth and promotes apoptosis in osteosarcoma
cells.
AB - SUMOylation is a dynamic and reversible process. Several SUMO-specific proteases
(SENPs) that remove SUMO from substrates have been shown to be amplified in a
subset of cancers. SENP5 is required for cell division, as well as maintaining
mitochondrial morphology and function. SENP5 has been reported to be
predominantly localized to the cytoplasm of oral squamous cell carcinoma (OSCC)
and is associated with the differentiation of OSCC. Western blot was used to
detect the protein expression of SENP5 in osteosarcoma cells and tissue.
Lentivirus-mediated siRNA was used to silence the expression of SENP5. Cell cycle
distribution was determined by FACS analysis. The present study showed that SENP5
is overexpressed in osteosarcoma cells. In addition, lentivirus-mediated small
interfering RNA (siRNA) of SENP5 significantly inhibited cell growth and induced
apoptosis in osteosarcoma cells. SENP5 inhibition suppressed the growth and
colony formation capacity of two osteosarcoma cell lines, U2OS and Saos-2.
Silencing the expression of SENP5 in serum-starved U2OS and Saos-2 cells induced
an increase in caspase-3/-7 activity and a decrease in cyclin B1 expression.
These observations indicate that SENP5 is required for cell growth and apoptosis
and may therefore be a promising drug target for antiosteosarcoma treatment.
PMID- 24926369
TI - Bitterness intensity prediction of berberine hydrochloride using an electronic
tongue and a GA-BP neural network.
AB - The aim of this study was to predict the bitterness intensity of a drug using an
electronic tongue (e-tongue). The model drug of berberine hydrochloride was used
to establish a bitterness prediction model (BPM), based on the taste evaluation
of bitterness intensity by a taste panel, the data provided by the e-tongue and a
genetic algorithm-back-propagation neural network (GA-BP) modeling method. The
modeling characteristics of the GA-BP were compared with those of multiple linear
regression, partial least square regression and BP methods. The determination
coefficient of the BPM was 0.99965+/-0.00004, the root mean square error of cross
validation was 0.1398+/-0.0488 and the correlation coefficient of the cross
validation between the true and predicted values was 0.9959+/-0.0027. The model
is superior to the other three models based on these indicators. In conclusion,
the model established in this study has a high fitting degree and may be used for
the bitterness prediction modeling of berberine hydrochloride of different
concentrations. The model also provides a reference for the generation of BPMs of
other drugs. Additionally, the algorithm of the study is able to conduct a rapid
and accurate quantitative analysis of the data provided by the e-tongue.
PMID- 24926370
TI - Clinical significance of TLR3 and TLR4 in peripheral blood mononuclear cells from
children with Henoch-Schonlein purpura nephritis.
AB - The aim of the present study was to investigate the expression levels and
clinical significance of Toll-like receptor (TLR) 3 and 4 in peripheral blood
mononuclear cells (PBMCs) collected from children with Henoch-Schonlein purpura
(HSP) nephritis. The randomized controlled trial was conducted between August
2011 and March 2013, and 105 children with a clinical diagnosis of HSP were
enrolled in the study. According to the 24-h urinary protein measurements and the
presence of renal damage, the 105 cases were divided into groups A, B and C as
follows: Group A, children with HSP but without renal damage; group B, children
with HSP nephritis but without proteinuria; group C, children with HSP nephritis
and proteinuria. A total of 30 healthy children were enrolled in the normal
control group (group N). The primary endpoints were the detection of TLR3 and 4
mRNA and protein expression levels in PBMCs by flow cytometry and quantitative
polymerase chain reaction. The mRNA and protein expression levels of TLR4 in the
PBMCs were significantly higher in groups A, B and C when compared with group N.
In addition, the mRNA and protein expression levels of TLR4 in group C were much
higher when compared with groups A and B. A positive correlation was identified
between TLR4 protein expression and 24-h urinary protein levels in group C. The
expression levels of TLR3 did not significantly differ among the groups. Protein
and mRNA expression levels of TLR4 in PBMCs significantly increased and exhibited
a positive correlation with urinary protein excretion. These results indicate
that aberrant activation of TLR4 may be relevant to the development of HSP
nephritis.
PMID- 24926371
TI - Activation of the TLR1/2 pathway induces the shaping of the immune response
status of peripheral blood leukocytes.
AB - Toll-like receptors (TLRs) play an essential role in the activation and
regulation of the innate and adaptive immune responses through the recognition of
specific components of pathogens. TLR1/2 on the cell surface plays an important
role in defending against Gram-positive bacteria. The aim of the present study
was to examine the expressional variation of immunomodulatory molecules in
peripheral blood leukocytes (PBLs) treated with the TLR1/2 agonist, Pam3Cys. The
quantitative polymerase chain reaction result showed dramatically increased
expression of immune-related factors treated with Pam3Cys. Antibody-chip assays
confirmed that activation of TLR1/2 could induce secretion of four important
immune factors [interleukin (IL)-6, IL-8, macrophage inflammatory protein-1alpha
and interferon-beta). Western-blot analysis indicated the upregulation of three
significant signal kinase proteins (phosphorylated signal transducer and
activator of transcription 3, extracellular signal-related kinase and c-Jun N
terminal kinase 2). The study demonstrated that there were numerous molecules
involved in the immune response of PBLs stimulated by the TLR1/2 ligand. Our
future studies will focus on the mechanisms of these molecules in the TLR1/2
agonist-mediated immune response.
PMID- 24926372
TI - Clinical analysis of pulmonary infection in hemodialysis patients.
AB - The present study aimed to investigate the pathogen distribution and drug
resistance of lung infections in hemodialysis to guide clinical empirical
pharmacy. The clinical data of 116 hemodialysis patients with pulmonary infection
were analyzed. The majority of the 82 pathogens isolated from the sputa of
patients were Gram-negative bacteria (accounting for 71.95%). The results of the
drug sensitivity test suggested that Gram-negative bacilli had low resistance
rates to piperacillin and tazobactam, imipenem and amikacin, while Gram-positive
cocci had a low resistance rate to vancomycin. All resistance rates of the
pathogens to other common antimicrobials were >50%. The pathogens resulting in
lung infections in hemodialysis patients were mainly Gram-negative bacteria and
were significantly resistant to various antibacterials. Results of the this study
demonstrate that pathological examination should be performed as early as
possible and effective antimicrobial agents should be chosen according to drug
sensitivity test results.
PMID- 24926373
TI - Renal tuberculosis and iliopsoas abscess: Two case reports.
AB - The urinary system is the second most commonly affected site of extrapulmonary
tuberculosis (TB). Due to the diverse and atypical clinical manifestations of
urinary TB, the disease is easy to misdiagnose. In the present study, two cases
of renal TB are reported, which had completely different clinical manifestations.
The first case is a female who presented with loin pain and fever. Purified
protein derivative (PPD) and TB antibody tests were negative and computed
tomography (CT) scans showed a low density focus in the right kidney with an
iliopsoas abscess. The typical CT findings indicated renal tuberculosis. Anti-TB
drugs were effective proved the diagnosis. The second case is a male who
presented with intermittent gross hematuria. Acid-fast bacilli in urine and TB
antibody tests were positive. CT scans revealed a low density focus in the
unilateral kidney with a slight expansion of the pelvis, calices and ureter. The
patients were treated with the anti-TB drugs and the clinical manifestations
disappeared. The diagnosis of urinary TB is challenging in certain cases; when
there is no response to the usual antibiotics in patients with fever or gross
hematuria, TB should be suspected. CT is the mainstay for investigating possible
urinary TB.
PMID- 24926374
TI - Association between serum angiotensin-converting enzyme 2 levels and
postoperative myocardial infarction following coronary artery bypass grafting.
AB - Myocardial infarction (MI) is a predominant and severe complication in patients
that undergo aortocoronary bypass surgery. Angiotensin-converting enzyme 2 (ACE2)
activation is reportedly a protective mechanism in MI; therefore, in the present
study, the association between serum ACE2 levels and postoperative MI following
coronary artery bypass grafting (CABG) was investigated. Preoperative and
postoperative serum ACE2 levels in 136 subjects undergoing CABG were observed and
the serum ACE2 levels, 1 h post surgery, were divided into quartile categories.
Following adjustment for age, gender, body mass index, hypertension, previous MI,
current smoking status, hyperlipidemia, diabetes mellitus, Gensini score, aortic
clamp time, number of grafts and pre-CABG medications; the risk of developing
postoperative MI following CABG was observed to be significantly higher in the
lowest serum ACE2 level quartile than when compared with the highest quartile
(hazard ratio, 2.94; 95% confidence interval, 1.85-4.16; P=0.009). The subjects
that exhibited a serum ACE2 level <=1.06 ng/ml showed significantly higher rates
of postoperative MI, arrhythmia and reduced cardiac output in addition to
increased instances of in-hospital mortality post CABG, compared with those
exhibiting a serum ACE2 level >1.06 ng/ml. A significant negative correlation was
observed between serum ACE2 and serum cardiac troponin I levels, however, no
significant association was identified between the serum ACE2 level quartiles and
the ACE2 gene polymorphisms. The present study indicated that a low serum ACE2
level, 1 h post CABG was independently associated with an increased risk of
postoperative MI. Thus, the serum ACE2 level may be a potential novel prognostic
factor for postoperative MI following CABG.
PMID- 24926375
TI - Efficacy and safety of a new robot-assisted stereotactic system for
radiofrequency thermocoagulation in patients with temporal lobe epilepsy.
AB - The aim of the present study was to evaluate the efficacy and safety of a newly
developed robot-assisted frameless stereotactic system for deep electrode
implantation and radiofrequency thermocoagulation (RFTC). Deep-electrode
implantation was performed in the bilateral mesial temporal lobes of seven
patients. Following the implantation of the deep electrodes through the monitored
designed path, the epileptogenic zones were determined with the assistance of a
robot system. Deep electrode electroencephalograms were recorded prior to and
following RFTC. Treatment outcomes were evaluated by computed tomography scans
and Engel classification criteria. The procedure was well tolerated by all
patients with no patients suffered from severe permanent complications. After
follow-ups for 34-62 months, four patients achieved Engel class I, including
three patients with Ia classification, two patients were classified as Engel
class IVa and one patient was classified as Engel class IVc. Therefore, robot
assisted frameless stereotaxy for deep electrode implantation and RFTC is
indicated to be a safe and effective method that may be used effectively in
clinical practice.
PMID- 24926376
TI - Immunomodulatory effects of Potentilla indica and Dendrophthoe pentandra on mice
splenocytes and thymocytes.
AB - Immunomodulators are agents that are able to stimulate or inhibit the immune
response. The leaf extracts from Potentilla indica and Dendrophthoe pentandra
were analyzed in vitro for immunomodulatory activity and an MTT colorimetric
assay was conducted to determine the proliferation of mice splenocytes and
thymocytes. A bromodeoxyuridine assay was performed to analyze DNA synthesis and
the Trypan blue exclusion method was conducted to evaluate the changes in total
cell population. The results indicated that treatment with P. indica and D.
pentandra produced a time- and dose-dependent increase in cell viability and
proliferation. Following 72 h of treatment with P. indica and D. pentandra,
thymocyte proliferation was augmented by 18 and 41%, respectively and splenocyte
proliferation increased by 35 and 42%, respectively, when compared with untreated
cells. The present study demonstrated that these extracts may act as potential
immunostimulants and, thus, represent an alternative source of immunomodulatory
compounds for the treatment of human immune-mediated diseases.
PMID- 24926377
TI - Effects of methylthiouracil on the proliferation and apoptosis of rat bone marrow
stromal cells.
AB - The aim of the present study was to investigate the effects of methylthiouracil
(MTU) on the proliferation and apoptosis of rat bone marrow stromal cells
(BMSCs). Rat BMSCs were isolated, cultured in vitro and treated with various
concentrations of MTU. Cell growth curves were determined using the Cell Counting
Kit-8 method and the effect of MTU on BMSCs in a logarithmic growth phase was
observed. BMSC apoptosis following MTU treatment was detected by flow cytometry.
The experimental results demonstrated that the proliferation-inhibition effect
was gradually enhanced with increasing MTU concentrations and the extension of
treatment time. Statistically significant differences were observed between the
treatment and the control groups (P<0.05). In addition, the BMSC apoptosis rate
gradually increased with increasing drug concentrations and treatment time
extension; statistically significant differences were observed between the
treatment and the control groups (P<0.05). Therefore, the results of the present
study demonstrated that MTU inhibited the proliferation of BMSCs and promoted
apoptosis, indicating the cytotoxic effects of MTU on BMSCs.
PMID- 24926378
TI - Protective mechanism of Xuebijing injection against heat stroke in rats.
AB - This study aimed to investigate the protective mechanism of Xuebijing injection
(XBJ) against heat stroke (HS) in rats. Adult male Sprague Dawley rats were
randomly divided into normal control (NC), normal saline-treated HS (NS-HS) and
XBJ-treated HS (XBJ-HS) groups. At 47 and 57 min from the initiation of heat
stress (42.5-43.5 degrees C), the plasma levels of certain cytokines [interleukin
(IL)-1beta, IL-6 and tumor necrosis factor-alpha], biochemical indicators
(creatinine, blood urea nitrogen, aspartate aminotransferase, alanine
aminotransferase and alkaline phosphatase) and coagulation indicators (activated
partial thromboplastin time, prothrombin time, fibrinogen degradation products
and D-dimer) were detected, and microscopy of the liver tissue of the rats was
conducted. At 47 and 57 min after the initiation of the heat stress, the levels
of the cytokines, coagulation indicators and biochemical indicators in the NS-HS
group were significantly higher than those in the NC group (P<0.05). In the NS-HS
group, the levels of the aforementioned indices were significantly reduced
compared with those in the NC and NS-HS groups (P<0.05). In the NS-HS group,
serious liver cell congestion, nuclear swelling and central vein dilation were
visible, along with the appearance of bubbles in the liver tissue. In the XBJ-HS
group, only a small number of congestive liver cells were identified, with
occasional nuclear swelling but no bubbles, which was similar to the observations
in the NC group. Early intervention treatment of HS with XBJ is able to reduce
the systemic inflammatory response and coagulation activity and decrease the
tissue ischemia and injury degree, thus extending the survival time of rats with
HS.
PMID- 24926379
TI - Randomized controlled clinical trial of a combination therapy of vildagliptin
plus an alpha-glucosidase inhibitor for patients with type II diabetes mellitus.
AB - The aim of this study was to assess the efficacy of a combination therapy of
vildagliptin plus an alpha-glucosidase inhibitor for patients with type II
diabetes mellitus. Type II diabetic patients exhibiting poor glycemic control
following alpha-glucosidase inhibitor treatment for at least two months were
selected and randomly distributed into vildagliptin and placebo groups. The body
weight, fasting blood glucose (FBG), postprandial glucose (PPG), glycated
hemoglobin (HBA1c) and blood lipid levels and hepatorenal functions of the
patients were determined before and 12 weeks after the trial. Following the
trial, the FBG, PPG, HbA1c, cholesterol (CHOL) and triglyceride (TG) levels in
the vildagliptin group were significantly decreased compared with the
pretreatment levels (P<0.05), whereas only the PPG level in the placebo group
decreased (P<0.05). The FBG, PPG and HbA1c levels in the vildagliptin group were
markedly lower than those in the placebo group 12 weeks after the trial. A
comparison of the body weights and hepatorenal functions before and after the
trial or between groups did not show statistically significant differences. The
combination therapy of vildagliptin plus an alpha-glucosidase inhibitor
effectively reduced the FBG, PPG and HbA1c levels in patients without inducing
weight gain or hepatorenal dysfunction. However, the therapy may have caused a
reduction in the blood lipid levels.
PMID- 24926380
TI - miR-375 inhibits the proliferation of gastric cancer cells by repressing ERBB2
expression.
AB - MicroRNAs (miRNAs) are small non-coding RNA molecules that regulate the
expression of targeted genes in a post-transcriptional manner. Increasing
evidence indicates that miRNAs play important roles in cancer pathogenesis,
including apoptosis, proliferation and differentiation, as oncogenes or tumor
suppressors. Previously, miR-375 was shown to be involved in human gastric
cancer, however, the mechanism remains poorly understood. In the present study,
miR-375 was shown to be downregulated in gastric cancer tissues, particularly
human epidermal growth factor receptor 2 (ERBB2)-positive gastric cancer tissues.
Identified by dual luciferase assays and western blot analysis, ERBB2 was
demonstrated to be a target gene of miR-375. In addition, miR-375 overexpression
suppressed the proliferation of human gastric cancer cells in vitro and the
suppression effect was restored by ERBB2 overexpression. Thus, the results of the
present study indicate that miR-375 is associated with human gastric
carcinogenesis by targeting ERBB2. Therefore, miR-375 may be used as a potential
clinical classification marker and therapeutic target for human gastric cancer.
PMID- 24926381
TI - Resveratrol affects the expression of glutamate cysteine ligase in the kidneys of
aged rats.
AB - The aim of the present study was to evaluate the effect that a dietary intake of
resveratrol (RSV) had on the expression of glutamate cysteine ligase (GCL) in the
kidneys of aged rats. Young, middle-aged and aged rats were each randomly divided
into two groups. The control groups were fed a controlled diet and the
experimental groups received a controlled diet supplemented with RSV. GCL
activity levels in the kidneys were determined. Protein content and relative gene
expression levels of the two subunits of GCL were evaluated by western blot
analysis and quantitative polymerase chain reaction, respectively. GCL activity
levels significantly increased in the kidneys of aged rats fed the RSV
supplemented diet. In addition, RSV markedly increased the protein content and
relative mRNA expression levels of the GCL subunits in the kidneys of aged rats.
These observations have important implications for the development of therapeutic
agents for the kidneys that may enable the elderly population to combat oxidative
stress.
PMID- 24926382
TI - JWA regulates human esophageal squamous cell carcinoma and human esophageal cells
through different mitogen-activated protein kinase signaling pathways.
AB - The aim of the present study was to investigate whether the JWA gene regulates
the proliferation, migration and invasion of human esophageal squamous cell
carcinoma (ESCC) and normal human esophageal cell lines through mitogen-activated
protein kinase (MAPK) signal transduction pathways. The role of JWA in
proliferation, migration, invasion and apoptosis was investigated in the Eca109
human ESCC and HET-1A normal human esophageal cell lines via transfection with
JWA-small interfering (si)RNA. Western blot analysis was conducted to observe the
effect of JWA on apoptosis and the regulatory effect of JWA on proliferation was
determined using a thiazolyl blue tetrazolium bromide (MTT) assay. Cellular
migration and invasion were analyzed via a Transwell assay. In addition, the
expression levels of extracellular signal-regulated protein kinases 1 and 2
(ERK1/2), c-Jun N-terminal kinase (JNK) and p38 MAPK following JWA-siRNA
transfection were detected by western blot analysis and compared with those of
untreated cells. The downregulation of JWA protein decreased apoptosis and
increased the proliferation, migration and invasion of the Eca109 and HET-1A cell
lines. In the Eca109 cell line, the expression levels of phosphorylated (p)
ERK1/2 and p-JNK, but not those of p-p38, decreased significantly in the JWA
siRNA group compared with those in the control groups. However, in the HET-1A
cell line, JWA-siRNA transfection significantly inhibited the expression of p-p38
and demonstrated no effect on the expression levels of p-ERK1/2 and p-JNK. In
conclusion, the JWA gene may regulate the ESCC and human esophageal cell lines
through MAPK signaling pathways via different regulatory mechanisms.
PMID- 24926383
TI - Combined application of alginate dressing and human granulocyte-macrophage colony
stimulating factor promotes healing in refractory chronic skin ulcers.
AB - The aim of the present study was to evaluate the clinical therapeutic effect of
the combined application of alginate and recombinant human granulocyte-macrophage
colony-stimulating factor (rhGM-CSF) on the healing of refractory chronic skin
ulcers. A single center, three arm, randomized study was performed at Jinan
Central Hospital (Jinan, Shandong, China). A total of 60 patients with refractory
chronic skin ulcers, which persisted for >1 month, were enrolled and randomly
assigned into one of the following three groups: alginate dressing/rhGM-CSF group
(group A), rhGM-CSF only group (group B) and conventional (vaseline dressing)
group (group C). The wound area rate was measured, granulation and color were
observed and pain was evaluated. The data were summarized and statistical
analysis was performed. The results demonstrated that group A exhibited a
significantly faster wound healing rate and lower pain score compared with the
other groups (P<0.01). In conclusion, the combined application of alginate
dressing and rhGM-CSF for the treatment of refractory chronic skin ulcers
demonstrated significant advantages. It promoted the growth of granulation
tissue, accelerated re-epithelialization and also effectively reduced wound pain,
and thus improved the quality of life for the patient. This suggests that the
combined application of alginate and rhGM-CSF may be an effective therapeutic
strategy for the clinical treatment of refractory chronic skin ulcers.
PMID- 24926385
TI - Upregulated expression levels of ADAM10 and EGFR and downregulated expression
levels of E-cadherin in hepatocellular carcinomas.
PMID- 24926384
TI - Understanding the polypharmacological anticancer effects of Xiao Chai Hu Tang via
a computational pharmacological model.
AB - Xiao Chai Hu Tang (XCHT), a traditional herbal formula, is widely administered as
a cancer treatment. However, the underlying molecular mechanisms of its
anticancer effects are not fully understood. In the present study, a
computational pharmacological model that combined chemical space mapping,
molecular docking and network analysis was employed to predict which chemical
compounds in XCHT are potential inhibitors of cancer-associated targets, and to
establish a compound-target (C-T) network and compound-compound (C-C) association
network. The identified compounds from XCHT demonstrated diversity in chemical
space. Furthermore, they occupied regions of chemical space that were the same,
or close to, those occupied by drug or drug-like compounds that are associated
with cancer, according to the Therapeutic Targets Database. The analysis of the
molecular docking and the C-T network demonstrated that the potential inhibitors
possessed the properties of promiscuous drugs and combination therapies. The C-C
network was classified into four clusters and the different clusters contained
various multi-compound combinations that acted on different targets. The study
indicated that XCHT has a polypharmacological role in treating cancer and the
potential inhibitory components of XCHT require further investigation as
potential therapeutic strategies for cancer patients.
PMID- 24926386
TI - A novel on-chip three-dimensional micromachined calorimeter with fully enclosed
and suspended thin-film chamber for thermal characterization of liquid samples.
AB - A microfabricated calorimeter (MU-calorimeter) with an enclosed reaction chamber
is presented. The 3D micromachined reaction chamber is capable of analyzing
liquid samples with volume of 200 nl. The thin film low-stress silicon nitride
membrane is used to reduce thermal mass of the calorimeter and increase the
sensitivity of system. The MU-calorimeter has been designed to perform DC and AC
calorimetry, thermal wave analysis, and differential scanning calorimetry. The MU
calorimeter fabricated with an integrated heater and a temperature sensor on
opposite sides of the reaction chamber allows to perform thermal diffusivity and
specific heat measurements on liquid samples with same device. Measurement
results for diffusivity and heat capacitance using time delay method and thermal
wave analysis are presented.
PMID- 24926387
TI - Long-term microfluidic glucose and lactate monitoring in hepatic cell culture.
AB - Monitoring cellular bioenergetic pathways provides the basis for a detailed
understanding of the physiological state of a cell culture. Therefore, it is
widely used as a tool amongst others in the field of in vitro toxicology. The
resulting metabolic information allows for performing in vitro toxicology assays
for assessing drug-induced toxicity. In this study, we demonstrate the value of a
microsystem for the fully automated detection of drug-induced changes in cellular
viability by continuous monitoring of the metabolic activity over several days.
To this end, glucose consumption and lactate secretion of a hepatic tumor cell
line were continuously measured using microfluidically addressed electrochemical
sensors. Adapting enzyme-based electrochemical flat-plate sensors, originally
designed for human whole-blood samples, to their use with cell culture medium
supersedes the common manual and laborious colorimetric assays and off-line
operated external measurement systems. The cells were exposed to different
concentrations of the mitochondrial inhibitor rotenone and the cellular response
was analyzed by detecting changes in the rates of the glucose and lactate
metabolism. Thus, the system provides real-time information on drug-induced liver
injury in vitro.
PMID- 24926388
TI - Enhanced electrohydrodynamic collapse of DNA due to dilute polymers.
AB - We experimentally demonstrate that addition of small, charge-neutral polymers to
a buffer solution can promote compression of dilute solutions of single
electrophoresing DNA. This phenomenon contrasts with the observed extension of
DNA during capillary electrophoresis in dilute solutions of high molecular weight
polymers. We propose these discrepancies in micron-scale DNA configurations arise
from different nano-scale DNA-polymer collision events, controlled by solute
polymer properties. We build upon theories previously proposed for intermolecular
DNA aggregation in polymer-free solutions to develop scaling theories that
describe trends seen in our data for intramolecular DNA compaction in dilute
polymer solutions.
PMID- 24926389
TI - Single cell kinase signaling assay using pinched flow coupled droplet
microfluidics.
AB - Droplet-based microfluidics has shown potential in high throughput single cell
assays by encapsulating individual cells in water-in-oil emulsions. Ordering
cells in a micro-channel is necessary to encapsulate individual cells into
droplets further enhancing the assay efficiency. This is typically limited due to
the difficulty of preparing high-density cell solutions and maintaining them
without cell aggregation in long channels (>5 cm). In this study, we developed a
short pinched flow channel (5 mm) to separate cell aggregates and to form a
uniform cell distribution in a droplet-generating platform that encapsulated
single cells with >55% encapsulation efficiency beating Poisson encapsulation
statistics. Using this platform and commercially available Sox substrates (8
hydroxy-5-(N,N-dimethylsulfonamido)-2-methylquinoline), we have demonstrated a
high throughput dynamic single cell signaling assay to measure the activity of
receptor tyrosine kinases (RTKs) in lung cancer cells triggered by cell surface
ligand binding. The phosphorylation of the substrates resulted in fluorescent
emission, showing a sigmoidal increase over a 12 h period. The result exhibited a
heterogeneous signaling rate in individual cells and showed various levels of
drug resistance when treated with the tyrosine kinase inhibitor, gefitinib.
PMID- 24926390
TI - Microfluidic dielectrophoretic sorter using gel vertical electrodes.
AB - We report the development and results of a two-step method for sorting cells and
small particles in a microfluidic device. This approach uses a single
microfluidic channel that has (1) a microfabricated sieve which efficiently
focuses particles into a thin stream, followed by (2) a dielectrophoresis (DEP)
section consisting of electrodes along the channel walls for efficient continuous
sorting based on dielectric properties of the particles. For our demonstration,
the device was constructed of polydimethylsiloxane, bonded to a glass surface,
and conductive agarose gel electrodes. Gold traces were used to make electrical
connections to the conductive gel. The device had several novel features that
aided performance of the sorting. These included a sieving structure that
performed continuous displacement of particles into a single stream within the
microfluidic channel (improving the performance of downstream DEP, and avoiding
the need for additional focusing flow inlets), and DEP electrodes that were the
full height of the microfluidic walls ("vertical electrodes"), allowing for
improved formation and control of electric field gradients in the microfluidic
device. The device was used to sort polymer particles and HeLa cells,
demonstrating that this unique combination provides improved capability for
continuous DEP sorting of particles in a microfluidic device.
PMID- 24926391
TI - High-efficiency rare cell identification on a high-density self-assembled cell
arrangement chip.
AB - Detection of individual target cells among a large amount of blood cells is a
major challenge in clinical diagnosis and laboratory protocols. Many researches
show that two dimensional cells array technology can be incorporated into routine
laboratory procedures for continuously and quantitatively measuring the dynamic
behaviours of large number of living cells in parallel, while allowing other
manipulations such as staining, rinsing, and even retrieval of targeted cells. In
this study, we present a high-density cell self-assembly technology capable of
quickly spreading over 300 000 cells to form a dense mono- to triple-layer cell
arrangement in 5 min with minimal stacking of cells by the gentle incorporation
of gravity and peripheral micro flow. With this self-assembled cell arrangement
(SACA) chip technology, common fluorescent microscopy and immunofluorescence can
be utilized for detecting and analyzing target cells after immuno-staining.
Validated by experiments with real human peripheral blood samples, the SACA chip
is suitable for detecting rare cells in blood samples with a ratio lower than
1/100 000. The identified cells can be isolated and further cultured in-situ on a
chip for follow-on research and analysis. Furthermore, this technology does not
require external mechanical devices, such as pump and valves, which simplifies
operation and reduces system complexity and cost. The SACA chip offers a high
efficient, economical, yet simple scheme for identification and analysis of rare
cells. Therefore, potentially SACA chip may provide a feasible and economical
platform for rare cell detection in the clinic.
PMID- 24926392
TI - Paper-based colorimetric enzyme linked immunosorbent assay fabricated by laser
induced forward transfer.
AB - We report the Laser Induced Forward Transfer (LIFT) of antibodies from a liquid
donor film onto paper receivers for application as point-of-care diagnostic
sensors. To minimise the loss of functionality of the active biomolecules during
transfer, a dynamic release layer was employed to shield the biomaterial from
direct exposure to the pulsed laser source. Cellulose paper was chosen as the
ideal receiver because of its inherent bio-compatibility, liquid transport
properties, wide availability and low cost, all of which make it an efficient and
suitable platform for point-of-care diagnostic sensors. Both enzyme-tagged and
untagged IgG antibodies were LIFT-printed and their functionality was confirmed
via a colorimetric enzyme-linked immunosorbent assay. Localisation of the printed
antibodies was exhibited, which can allow the creation of complex 2-d patterns
such as QR codes or letters for use in a final working device. Finally, a
calibration curve was determined that related the intensity of the colour
obtained to the concentration of active antibodies to enable quantitative
assessment of the device performance. The motivation for this work was to
implement a laser-based procedure for manufacturing low-cost, point-of-care
diagnostic devices on paper.
PMID- 24926393
TI - Flow-through electroporation of mammalian cells in decoupled flow streams using
microcapillaries.
AB - We report on reversible electroporation of cells in a flow-through microfluidic
device, whereby the required electric field is delivered through a set of
integrated microcapillaries between a centre stream of cells and side streams of
liquid electrolytes. The electrolytes are applied with a sine wave voltage and
cells flow by the microcapillary openings encounter a burst of ac field with a
duration and strength determined by their average speed and spatial proximity to
the microcapillary openings, respectively. Effectiveness of the approach is
presented through numerical simulations and empirical results on electroporation
efficiency and cell viability against various flow rates (exposure time to the
field) as well as frequencies and root-mean-square (rms) intensities of the
field. High frequencies (80-400 kHz) and high intensities (e.g., 1.6 kV/cm, rms)
are identified with increased electroporation efficiency 61% and viability 86% on
average. These results suggest that the device demonstrated here with a simple
design and robust operation offers a viable platform for flow-through
electroporation.
PMID- 24926395
TI - Establishing community advisory boards for clinical trial research in Malawi:
engendering ethical conduct in research.
AB - In this article I deal with extending the ethical principles of research to
include communities through the establishment of Community Advisory Boards
(CABs). The aim of the project on which this article is based demonstrates the
need for protecting communities that participate in research in order to
stimulate ethical conduct in research in Malawi. In the article, I provide an
overview on the role and functions of CABs. I discuss the establishment of CABs
in Malawi and present descriptions of the processes and challenges involved. I
conclude by sharing experiences of some of the key lessons learnt from the
establishment of CABs.
PMID- 24926394
TI - Barriers and disparities in emergency medical services 911 calls for stroke
symptoms in the United States adult population: 2009 BRFSS Survey.
AB - INTRODUCTION: This study examines barriers and disparities in the intentions of
American citizens, when dealing with stroke symptoms, to call 911. This study
hypothesizes that low socioeconomic populations are less likely to call 911 in
response to stroke recognition. METHODS: The study is a cross-sectional design
analyzing data from the Centers for Disease Control's 2009 Behavioral Risk Factor
Surveillance Survey, collected through a telephone-based survey from 18 states
and the District of Columbia. The study identified the 5 most evident stroke
warning symptoms based on those given by the American Stroke Association. We
conducted appropriate weighting procedures to account for the complex survey
design. RESULTS: A total of 131,988 respondents answered the following question:
"If you thought someone was having a heart attack or a stroke, what is the first
thing you would do?" A majority of those who said they would call 911 were
insured (85.1%), had good health (84.1%), had no stroke history (97.3%), had a
primary care physician (PCP) (81.4%), and had no burden of medical costs (84.9%).
Those less likely to call 911 were found in the following groups: 65 years or
older, men, other race, unmarried, less than or equal to high school degree, less
than $25,000 family income, uninsured, no PCP, burden of medical costs, fair/poor
health, previous history of strokes, or interaction between burden of medical
costs and less than $50,000 family income (p<0.0001 by X(2) tests). The only
factors significantly associated with "would call 911" were age, sex,
race/ethnicity, marital status, and previous history of strokes. CONCLUSION:
Barriers and disparities exist among subpopulations of different socioeconomic
statuses. This study suggests that some potential stroke victims could have
limited access to EMS services. Greater effort targeting certain populations is
needed to motivate citizens to call 911.
PMID- 24926396
TI - Safety assessment in primary Mycobacterium tuberculosis smear microscopy centres
in Blantyre Malawi: a facility based cross sectional survey.
AB - INTRODUCTION: Tuberculosis (TB) is caused by Mycobacterium tuberculosis and is
transmitted mainly through aerosolization of infected sputum which puts
laboratory workers at risk in spite of the laboratory workers' risk of infection
being at 3 to 9 times higher than the general public. Laboratory safety should
therefore be prioritized and optimized to provide sufficient safety to laboratory
workers. OBJECTIVE: To assess the safety for the laboratory workers in TB primary
microscopy centres in Blantyre urban. METHODOLOGY: TB primary microscopy centers
in Blantyre urban were assessed in aspects of equipment availability, facility
layout, and work practice, using a standardized WHO/AFRO ISO 15189 checklist for
the developing countries which sets the minimum safety score at >=80%. Each
center was graded according to the score it earned upon assessment. RESULTS: Only
one (1) microscopy center out nine (9) reached the minimum safety requirement.
Four (4) centers were awarded 1 star level, four (4) centers were awarded 2 star
level and only one (1) center was awarded 3 star level. CONCLUSION: In Blantyre
urban, 89% of the Tuberculosis microscopy centers are failing to provide the
minimum safety to the laboratory workers. Government and other stake holders
should be committed in addressing the safety challenges of TB microscopy centres
in the country to ensure safety for the laboratory workers. RECOMMENDATIONS: It
is recommended that the study be conducted at the regional or national level for
both public and private laboratories in order to have a general picture of safety
in Tb microscopy centres possibly across the country.
PMID- 24926397
TI - Knowledge and perceptions of quality of obstetric and newborn care of local
health providers: a cross-sectional study in three districts in Malawi.
AB - AIM: Quality of service delivery for maternal and newborn health in Malawi is
influenced by human resource shortages and knowledge and care practices of the
existing service providers. We assessed Malawian healthcare providers' knowledge
of management of routine labour, emergency obstetric care and emergency newborn
care; correlated knowledge with reported confidence and previous study or
training; and measured perception of the care they provided. METHODS: This study
formed part of a large-scale quality of care assessment in three districts
(Kasungu, Lilongwe and Salima) of Malawi. Subjects were selected purposively by
their role as providers of obstetric and newborn care during routine visits to
health facilities by a research assistant. Research assistants introduced and
supervised the self-completed questionnaire by the service providers. Respondents
included 42 nurse midwives, 1 clinical officer, 4 medical assistants and 5 other
staff. Of these, 37 were staff working in facilities providing Basic Emergency
Obstetric Care (BEMoC) and 15 were from staff working in facilities providing
Comprehensive Emergency Obstetric Care (CEMoC). RESULTS: Knowledge regarding
management of routine labour was good (80% correct responses), but knowledge of
correct monitoring during routine labour (35% correct) was not in keeping with
internationally recognized good practice. Questions regarding emergency obstetric
care were answered correctly by 70% of respondents with significant variation
depending on clinicians' place of work. Knowledge of emergency newborn care was
poor across all groups surveyed with 58% correct responses and high rates of
potentially life-threatening responses from BEmOC facilities. Reported confidence
and training had little impact on levels of knowledge. Staff in general reported
perception of poor quality of care. CONCLUSION: Serious deficiencies in
providers' knowledge regarding monitoring during routine labour and management of
emergency newborn care were documented. These may contribute to maternal and
neonatal deaths in Malawi. The knowledge gap cannot be overcome by simply
providing more training.
PMID- 24926398
TI - Therapeutic commitment for general nurses in dealing with mental health problems
of people living with HIV/AIDS in Blantyre, Malawi.
AB - INTRODUCTION: Therapeutic commitment of general nurses influences their provision
of mental health care to clients. It is the general nurses' predisposition for
working therapeutically with clients who have mental health problems (MHPs). In
Malawi, general nurses are the majority of health care professionals who care for
people living with HIV/AIDS (PLWHA) and they are expected to deal with the mental
health problems of these patients. The provision of mental health care to PLWHA
is vital because apart from the physical illnesses associated with the virus,
these people are also affected by mental health problems. However, most general
nurses, feel neither confident nor competent when dealing with the mental health
problems of their clients in Malawi. This may negatively influence their
therapeutic commitment in dealing with mental health problems of PLWHA. However,
therapeutic commitment of general nurses in providing mental health care to PLWHA
in Malawi remains unknown. MATERIALS AND METHODS: The study used a quantitative
descriptive survey design. a convenient sample comprising of 136 general nurses
was used and data was collected using Mental Health Problems Perception
Questionnaire. Permission to use the tool in this study was granted by Prof.
Lauder. Ethical approval to conduct the study was granted by Ethics Committees at
University of KwaZulu Natal and University of Malawi. Data were analysed using
Statistical Package for Social Sciences version 15.0. RESULTS: The study findings
revealed that there is a linear relationship between general nurses' levels of
knowledge and skills and their therapeutic commitment (r=.40, n=136, p<.05) to
provide mental health care of PLWHA. CONCLUSION: This study suggests general
nurses' levels of therapeutic commitment in dealing with MHPs of PLWHA vary and
their levels of knowledge and skill to deal with MHPs influence their willingness
to provide mental health care to PLWHA.
PMID- 24926399
TI - Interpreting and dismissing the relevance of the "wait and wipe" finding from the
circumcision studies conducted in Africa.
AB - Some of the secondary findings from the circumcision studies conducted in Africa,
are both interesting and difficult to explain. This paper focuses on the finding
that uncircumcised men who waited for ten minutes after sexual intercourse and
then wiped their penises using a dry cloth, had lower rates of HIV infection
compared to those who cleaned using a wet cloth or those who cleaned within three
minutes of having intercourse. The paper also focuses on the finding on men who
became infected and yet they reported no sexual acts or 100% condom use.
Interpretations that have been provided so far in trying to explain these two
interesting findings are somewhat inadequate. Because of the inadequate
interpretation that has been provided, anti-circumcision lobbyist are presenting
the "wait and wipe strategy" as an alternative to circumcision for HIV
prevention. In this paper, we argue that waiting for ten minutes and wiping with
a dry cloth does not prevent men from becoming infected by HIV. We therefore
attempt to present some alternative views.
PMID- 24926400
TI - Management of diabetic retinopathy.
AB - Sub-Saharan Africa faces an epidemic of diabetes. Visual loss from diabetic
retinopathy (DR) is both preventable and treatable. This article reviews the
epidemiology and clinical features of DR and current evidence-based interventions
in three areas: primary prevention of retinopathy by optimum medical management,
early detection of pre-symptomatic disease and management of established
retinopathy to prevent or mitigate visual loss. There are significant challenges
to DR care in resource poor environments. Appropriate provision of effective
interventions by health services can reduce social and economic costs associated
with patient care.
PMID- 24926401
TI - A 6 year-old boy was referred from a district hospital with congestive cardiac
failure.
PMID- 24926402
TI - "I have always wondered why there is relatively such a large burden of infectious
diseases in our part of Africa and the world..." Dr Chisomo Msefula talks to
Thengo Kavinya on his career.
PMID- 24926403
TI - Cell Therapy Strategies vs. Paracrine Effect in Huntington's Disease.
AB - Huntington's disease (HD) is a genetic neurodegenerative disorder. The most
common symptom of HD is abnormal involuntary writhing movements, called chorea.
Antipsychotics and tetrabenazine are used to alleviate the signs and symptoms of
HD. Stem cells have been investigated for use in neurodegenerative disorders to
develop cell therapy strategies. Recent evidence indicates that the beneficial
effects of stem cell therapies are actually mediated by secretory molecules, as
well as cell replacement. Although stem cell studies show that cell
transplantation provides cellular improvement around lesions in in vivo models,
further work is required to elucidate some issues before the clinical application
of stem cells. These issues include the precise mechanism of action, delivery
method, toxicity and safety. With a focus on HD, this review summarizes cell
therapy strategies and the paracrine effect of stem cells.
PMID- 24926404
TI - The frequency and severity of gastrointestinal symptoms in patients with early
Parkinson's disease.
AB - OBJECTIVE: Although gastrointestinal dysfunctions occur in the majority of
patients with Parkinson's disease (PD), they are often unrecognized because many
patients remain relatively asymptomatic in the early stage. We investigated the
frequency of gastrointestinal symptoms in patients with PD using newly developed
gastrointestinal symptom questionnaires. METHODS: Early PD patients with a
symptom duration not exceeding 3 years were included in this study. All PD
patients were evaluated using a questionnaire, which consisted of three relevant
domains: oropharyngoesophageal (10 items); gastric (3 items); and intestinal
anorectal (7 items). The frequency of symptoms was calculated as a proportion
with an item score >= 2. RESULTS: Of the 54 patients enrolled, 48 patients
(88.9%) responded that bowel symptoms developed before the onset of Parkinsonian
motor symptoms, and four patients reported that the onset of two types of
symptoms (i.e., bowel and neurological) occurred approximately simultaneously,
with only months between them. The frequencies of gastrointestinal symptoms are
as follows: speech disturbance (40.7%), drooling (24.1%), sense of getting stuck
(31.5%), choking (27.8%), globus pharyngis (16.7%), repetitive deglutition
(29.6%), pain during swallowing (5.6%), food regurgitation (3.7%), acid reflux
(7.4%), nausea/vomiting (11.1%), early satiety (16.7%), postprandial fullness
(14.8%), epigastric soreness (9.3%), abdominal pain (3.7%), constipation (46.3%),
excessive strain during defecation (33.3%), fecal incontinence (7.4%), tenesmus
(20.4%), loose stool or diarrhea (3.7%), and difficulty in relaxing anal
sphincter (11.1%). Two patients were scored at zero. CONCLUSIONS: Our findings
confirm that gastrointestinal dysfunction occurs in early PD in relatively high
frequency.
PMID- 24926405
TI - Correlation of sleep disturbance and cognitive impairment in patients with
Parkinson's disease.
AB - OBJECTIVE: Cognitive impairment is a common nonmotor symptom of Parkinson's
disease (PD) and is associated with high mortality, caregiver distress, and
nursing home placement. The risk factors for cognitive decline in PD patients
include advanced age, longer disease duration, rapid eye movement sleep behavior
disorder, hallucinations, excessive daytime sleepiness, and nontremor symptoms
including bradykinesia, rigidity, postural instability, and gait disturbance. We
conducted a cross-sectional study to determine which types of sleep disturbances
are related to cognitive function in PD patients. METHODS: A total of 71 PD
patients (29 males, mean age 66.46 +/- 8.87 years) were recruited. All patients
underwent the Mini- Mental State Examination (MMSE) and the Korean Version of the
Montreal Cognitive Assessments (MoCA-K) to assess global cognitive function.
Sleep disorders were evaluated with the Stanford Sleepiness Scale, Epworth
Sleepiness Scale, Insomnia Severity Index (ISI), Pittsburg Sleep Quality Index,
and Parkinson's Disease Sleep Scale in Korea (PDSS). RESULTS: The ISI was
correlated with the MMSE, and total PDSS scores were correlated with the MMSE and
the MoCA-K. In each item of the PDSS, nocturnal restlessness, vivid dreams,
hallucinations, and nocturnal motor symptoms were positively correlated with the
MMSE, and nocturnal restlessness and vivid dreams were significantly related to
the MoCA-K. Vivid dreams and nocturnal restlessness are considered the most
powerful correlation factors with global cognitive function, because they
commonly had significant correlation to cognition assessed with both the MMSE and
the MoCA-K. CONCLUSIONS: We found a correlation between global cognitive function
and sleep disturbances, including vivid dreams and nocturnal restlessness, in PD
patients.
PMID- 24926406
TI - Stiff-person syndrome: case series.
AB - Stiff-person syndrome (SPS) is a rare disorder, characterized by progressive
fluctuating muscular rigidity and spasms. Glutamic acid decarboxylase (GAD)
antibody is primarily involved in the pathogenesis of SPS and SPS is strongly
associated with other autoimmune disease. Here we report three cases of patients
with classical SPS finally confirmed by high serum level of GAD antibodies. All
of our patients respond favorably to gamma amino butyric acid-enhancing drugs and
immunotherapies.
PMID- 24926407
TI - Giant middle fossa epidermoid presenting as holmes' tremor syndrome.
AB - Intracranial dermoids may gradually reach an enormous size before the onset of
symptoms. Common clinical presentations of intracranial epidermoid include
headache and seizures. We present a case of a 35-year female patient with giant
middle fossa epidermoid that presented with Holmes' tremor syndrome, and we
review the relevant literature. To the best of our knowledge, such a presentation
has not previously been described in the literature.
PMID- 24926408
TI - Rhabdomyolysis related to dyskinesia in Parkinson's disease.
AB - Rhabdomyolysis is a life threatening syndrome. It accounts for an estimated 8% to
15% of cases of acute renal failure and is associated with a mortality rate of
5%. In movement disorders, various causes of rhabdomyolysis have been reported
including status dystonicus, myoclonus, generalized chorea and parkinsonism
hyperprexia syndrome in Parkinson's disease (PD). Levodopa-induced dyskinesia
leading to rhabdomyolysis is a very rare phenomenon in PD. We report a case of 76
years old PD patient with dyskinesia and rhabdomyolysis.
PMID- 24926409
TI - Suppression of myoclonus in corticobasal degeneration by levetiracetam.
AB - Myoclonus in corticobasal degeneration (CBD) has often been associated with
severe and difficult to treat disabilities. Levetiracetam is a new antiepileptic
agent with antimyoclonic effects. Herein, we present a 72-year-old woman with
clinically probable CBD and with spontaneous rhythmic myoclonus in the right
foot, which was markedly ameliorated through treatment with levetiracetam. The
effect of levetiracetam was associated with the decreased amplitude of enlarged
cortical somatosensory evoked potentials. This result suggests that the
antimyoclonic effect of levetiracetam might be mediated through the suppression
of increased cortical excitability.
PMID- 24926410
TI - Chemoselective enrichment for natural products discovery.
AB - Natural products account for a significant proportion of modern day therapeutic
agents. However, the discovery of novel compounds is hindered by the isolation
process, which often relies upon extraction and chromatographic separation
techniques. These methods, which are dependent upon the physicochemical
properties of the compounds, have a limited ability to both purify and
concentrate the minor components of a biological extract. We have devised an
isolation strategy based upon an orthogonal chemical feature, namely, functional
group composition. Development of a functional group-targeted method is expected
to achieve exceptional resolution given the large number of distinct moieties
present in natural product extracts. Here, we describe the generation of
controllably reversible covalent enrichment tags for the chemoselective isolation
of alcohol-containing natural products from complex mixtures.
PMID- 24926411
TI - Resumption of menses in anorexia nervosa during a course of family-based
treatment.
AB - BACKGROUND: The resumption of menses (ROM) is considered an important clinical
marker in weight restoration for patients with anorexia nervosa (AN). The purpose
of this study was to examine ROM in relation to expected body weight (EBW) and
psychosocial markers in adolescents with AN. METHODS: We conducted a
retrospective chart review at The University of Chicago Eating Disorders Program
from September 2001 to September 2011 (N = 225 females with AN). Eighty-four
adolescents (Mean age = 15.1, SD = 2.2) with a DSM-IV diagnosis of AN, presenting
with secondary amenorrhea were identified. All participants had received a course
of outpatient family-based treatment (FBT), i.e., ~20 sessions over 12 months.
Weight and menstrual status were tracked at each therapy session throughout
treatment. The primary outcome measures were weight (percent of expected for sex,
age and height), and ROM. RESULTS: Mean percent EBW at baseline was 82.0 (SD =
6.5). ROM was reported by 67.9% of participants (57/84), on average at 94.9 (SD =
9.3) percent EBW, and after having completed an average of 13.5 (SD = 10.7) FBT
sessions (~70% of standard FBT). Compared to participants without ROM by
treatment completion, those with ROM had significantly higher baseline Eating
Disorder Examination Global scores (p = .004) as well as Shape Concern (p < .008)
and Restraint (p < .002) subscale scores. No other differences were found.
CONCLUSIONS: Results suggest that ROM occur at weights close to the reference
norms for percent EBW, and that high pre-treatment eating disorder
psychopathology is associated with ROM. Future research will be important to
better understand these differences and their implications for the treatment of
adolescents with AN.
PMID- 24926413
TI - Design and implementation of a randomized controlled trial of genomic counseling
for patients with chronic disease.
AB - We describe the development and implementation of a randomized controlled trial
to investigate the impact of genomic counseling on a cohort of patients with
heart failure (HF) or hypertension (HTN), managed at a large academic medical
center, the Ohio State University Wexner Medical Center (OSUWMC). Our study is
built upon the existing Coriell Personalized Medicine Collaborative (CPMC(r)).
OSUWMC patient participants with chronic disease (CD) receive eight actionable
complex disease and one pharmacogenomic test report through the CPMC(r) web
portal. Participants are randomized to either the in-person post-test genomic
counseling-active arm, versus web-based only return of results-control arm. Study
specific surveys measure: (1) change in risk perception; (2) knowledge retention;
(3) perceived personal control; (4) health behavior change; and, for the active
arm (5), overall satisfaction with genomic counseling. This ongoing partnership
has spurred creation of both infrastructure and procedures necessary for the
implementation of genomics and genomic counseling in clinical care and clinical
research. This included creation of a comprehensive informed consent document and
processes for prospective return of actionable results for multiple complex
diseases and pharmacogenomics (PGx) through a web portal, and integration of
genomic data files and clinical decision support into an EPIC-based electronic
medical record. We present this partnership, the infrastructure, genomic
counseling approach, and the challenges that arose in the design and conduct of
this ongoing trial to inform subsequent collaborative efforts and best genomic
counseling practices.
PMID- 24926414
TI - Medicare-Medicaid eligible beneficiaries and potentially avoidable
hospitalizations.
AB - OBJECTIVE: Potentially avoidable hospitalizations have been identified by experts
as leading to poor health outcomes and costly care. Potentially avoidable
hospitalizations are particularly common among full-benefit dual eligible
beneficiaries. This paper examines potentially avoidable hospitalizations rates
by setting, state, and medical condition, and the average cost of these events.
METHODS: This analysis identifies potentially avoidable hospitalizations using
diagnosis codes identified by an expert panel. Settings of care are determined
using a timeline file, which assigns an individual to a specific setting on a
particular day. POPULATION/DATA SOURCE: The analysis uses several different
datasets from the Chronic Conditions Data Warehouse. The study population
includes fee-for-service beneficiaries who were eligible for both Medicare and
full Medicaid benefits for at least one month during the calendar year. The study
years are 2007 to 2009. RESULTS: In 2009, among our study population, 26 percent
of hospitalizations were potentially avoidable; and the rate was 133 per 1,000
person-years. Potentially avoidable hospitalizations were much more likely for
those beneficiaries who were in institutions--16 percent of beneficiaries in our
study population were in an institution, yet comprised 45 percent of all
potentially avoidable hospitalizations. The range in rates across the states was
considerable, with more than a threefold difference across states. Five
conditions were responsible for nearly 80 percent of potentially avoidable
hospitalizations. From 2007 to 2009, the national and state rates were fairly
consistent. DISCUSSION: This analysis indicates that the potentially avoidable
hospitalization rate among MME beneficiaries was consistently high from 2007 to
2009. This bears monitoring in the future to see if the Centers for Medicare &
Medicaid Services' various initiatives have led to a reduction in rates.
PMID- 24926412
TI - The child and adolescent athlete: a review of three potentially serious injuries.
AB - The increased participation of children and adolescents in organized sports
worldwide is a welcome trend given evidence of lower physical fitness and
increased prevalence of overweight in this population. However, the increased
sports activity of children from an early age and continued through the years of
growth, against a background of their unique vulnerability to injury, gives rise
to concern about the risk and severity of injury. Three types of injury-anterior
cruciate ligament (ACL) injury, concussion, and physeal injury - are considered
potentially serious given their frequency, potential for adverse long-term health
outcomes, and escalating healthcare costs. Concussion is probably the hottest
topic in sports injury currently with voracious media coverage and exploding
research interest. Given the negative cognitive effects of concussion, it has the
potential to have a great impact on children and adolescents during their
formative years and potentially impair school achievement and, if concussion
management is not managed appropriately, there can be long term negative impact
on cognitive development and ability to resume sports participation. Sudden and
gradual onset physeal injury is a unique injury to the pediatric population which
can adversely affect growth if not managed correctly. Although data are lacking,
the frequency of stress-related physeal injury appears to be increasing. If
mismanaged, physeal injuries can also lead to long-term complications which could
negatively affect ability to participate in sports. Management of ACL injuries is
an area of controversy and if not managed appropriately, can affect long-term
growth and recovery as well as the ability to participate in sports. This article
considers the young athlete's vulnerability to injury, with special reference to
ACL injury, concussion, and physeal injury, and reviews current research on
epidemiology, diagnosis, treatment, and prevention of these injury types. This
article is intended as an overview of these injury types for medical students,
healthcare professionals and researchers.
PMID- 24926415
TI - Medicare post-acute care episodes and payment bundling.
AB - BACKGROUND: The purpose of this paper is to examine service use in an episode of
acute and post-acute care (PAC) under alternative episode definitions and to look
at geographic differences in episode payments. DATA AND METHODS: The data source
for these analyses was a Medicare claims file for 30 percent of beneficiaries
with an acute hospital initiated episode in 2008 (N = 1,705,794, of which 38.7
percent went on to use PAC). Fixed length episodes of 30, 60, and 90 days were
examined. Analyses examined differences in definitions allowing any claim within
the fixed length period to be part of the episode versus prorating a claim
extending past the episode endpoint. Readmissions were also examined as an
episode endpoint. Payments were standardized to allow for comparison of episode
payments per acute hospital discharge or PAC user across states. RESULTS: The
results of these analyses provide information on the composition of service use
under different episode definitions and highlight considerations for providers
and payers testing different alternatives for bundled payment.
PMID- 24926417
TI - Salvage therapy with high dose Intravenous Immunoglobulins in acquired Von
Willebrand Syndrome and unresponsive severe intestinal bleeding.
AB - A 91-year-old woman affected with acquired Von Willebrand (VW) syndrome and
intestinal angiodysplasias presented with severe gastrointestinal bleeding
(hemoglobin 5 g/dl). Despite replacement therapy with VW factor/factor VIII
concentrate qid, bleeding did not stop (eleven packed red blood cell units were
transfused over three days). High circulating levels of anti-VW factor
immunoglobulin M were documented immunoenzimatically. Heart ultrasound showed
abnormalities of the mitral and aortic valves with severe flow alterations. When
intravenous immunoglobulins were added to therapy, prompt clinical and laboratory
responses occurred: complete cessation of bleeding, raise in hemoglobin, VW
factor antigen, VW ristocetin cofactor and factor VIII levels as well as
progressive reduction of the anti-VWF autoantibody levels.
PMID- 24926416
TI - The impact of Medicaid peer support utilization on cost.
AB - BACKGROUND: Peer support programs have proliferated over the past decade,
building on recovery oriented programming, yet relationships between peer support
services and the costs to public programs have not been well described in
literature. The purpose of this study is to fill gaps in the literature related
to peer support programs and cost: lack of comparison groups, small sample sizes,
and the availability of research examining utilization of Medicaid mental health
services. METHODS: The study employed a retrospective design with treatment and
comparison groups created from three administrative databases. Three ordinary
least squares regression models were constructed to predict crisis stabilization
cost, psychiatric hospitalization cost, and total Medicaid cost while controlling
for other factors. The Chronic Illness and Disability Payment System + Rx was
used to control for illness severity. RESULTS: Peer support was associated with
$5,991 higher total Medicaid cost (p < .01). Peer support was also associated
with higher crisis stabilization cost and lower psychiatric hospitalization cost,
but the relationships were not statistically significant. Peer support was
associated with $2,100 higher prescription drug cost (p < .01), $5,116 higher
professional services cost (p < .01), and $1,225 lower facility cost (p < .01).
CONCLUSIONS: While the implementation of Medicaid financed peer support programs
may not result in savings from reductions of costly crisis stabilizations and
psychiatric hospitalizations, it does support the principles of self-direction
and recovery from severe mental illness. State policy makers must weigh the
potential higher cost associated with peer support programs with efforts to
redesign the delivery of mental health services.
PMID- 24926418
TI - Reduced Specificity in Episodic Future Thinking in Posttraumatic Stress Disorder.
AB - Posttraumatic stress disorder (PTSD), one of the most common disorders following
trauma, has been associated with a tendency to remember past personal memories in
a nonspecific, overgeneral way. The present study investigated whether such a
bias also applies to projections of future personal events. Trauma survivors (N =
50) generated brief descriptions of imagined future experiences in response to
positive and negative cues in a future-based Autobiographical Memory Test.
Survivors with PTSD imagined fewer specific future events in response to
positive, but not to negative, cues, compared to those without PTSD. This effect
was independent of comorbid major depression. Reduced memory specificity in
response to positive cues was related to appraisals of foreshortened future and
permanent change. Training to enhance specificity of future projections may be
helpful in PTSD and protect against potentially toxic effects of autobiographical
memory overgenerality.
PMID- 24926419
TI - Geochemical modeling and multivariate statistical evaluation of trace elements in
arsenic contaminated groundwater systems of Viterbo Area, (Central Italy).
AB - Contamination of groundwater by naturally occurring arsenic has recently become a
disturbing environmental problem in Viterbo area, Central Italy. Arsenic
concentrations in most of the public supply networks exceed the maximum allowable
limit of 10 MUg/l (WHO) for drinking water. The primary purpose of this paper is
to obtain a better understanding of the factors contributing to the high levels
of As in water supply networks. This study focuses on (a) the determination of
basic hydrochemical characteristics of groundwater, (b) the identification of the
major sources and processes controlling the As contamination in public supply
networks, (c) to find out possible relationships among the As and other trace
elements through principal component analysis (PCA). Groundwater samples from
public water supply wells and springs were collected and analysed for physico
chemical parameters and trace elements. Springs and well water samples are
predominantly of the Na-HCO3, Na -Ca-HCO3 and Ca-HCO3 types and the highest
arsenic concentrations were observed in Na-HCO3 type water. Eh-pH diagrams reveal
that H2AsO4 (-) and HAsO4 (2-), As(V) arsenate, are the dominating As species
highlighting slightly to moderately oxidizing conditions. Geochemical modeling
indicates that arsenic-bearing phases were undersaturated in the groundwater,
however most of the samples were saturated with respect to Fe (i.e. magnetite,
hematite and goethite) and Al (diaspore and boehmite) oxide and hydroxide
minerals. Concentrations of As, Li, B, Co, Sr, Mo, U and Se are highly correlated
(r > 0.7) with each other, however in some groundwater samples As show also good
correlations (r > 0.5) with Fe and Mn elements reflecting the relationships among
the trace elements result from different geochemical processes. Evaluation of the
principal component (PCA) analysis and geochemical modeling suggest that the
occurrence of As and other trace element concentrations in groundwater are
probably derived from (i) weathering and/or dissolution of volcanic source
aquifer materials and (ii) adsorption/desorption processes on the Fe and Al oxide
and hydroxide minerals.
PMID- 24926420
TI - Characteristics and chemical compositions of propolis from Ethiopia.
AB - INTRODUCTION: Propolis is a sticky material mixed by honeybees to utilize it in
protecting their hives from infection by bacteria and fungi. The therapeutic
properties of propolis are due to its chemical composition with bio-active
compounds; therefore, researchers are interested in studying its chemical
constituents and biological properties. The main objective of this study is to
determine the chemical compositions, characteristics and relative concentrations
of organic compounds in the extractable organic matter of propolis samples
collected from four different areas in Ethiopia. RESULTS: The propolis samples
were extracted with a mixture of dichloromethane and methanol and analyzed by gas
chromatography-mass spectrometry (GC-MS).The results showed that the total
extract yields ranged from 27.2% to 64.2% (46.7 +/- 19.1%). The major compounds
were triterpenoids (85.5 +/- 15.0% of the total extracts, mainly alpha-, beta
amyrins and amyryl acetates), n-alkanes (5.8 +/- 7.5%), n-alkenes (6.2 +/-
7.0%,), methyl n-alkanoates (0.4 +/- 0.2%), and long chain wax esters (0.3 to
2.1%). CONCLUSION: The chemical compositions of these propolis samples indicate
that they are potential sources of natural bio-active compounds for biological
and pharmacological applications.
PMID- 24926421
TI - fAFLP analysis of Brazilian Bacillus thuringiensis isolates.
AB - A total of 65 Bacillus thuringiensis (Bt) isolates were subjected to analysis of
genetic relationship using fAFLP (fluorescent Fragment Length Polymorphism), in
order to determine the genetic diversity within a group of Bt strains. 26 strains
from different subspecies were identified as it follows: 9 kindly provided by the
USDA (United States Department of Agriculture), 9 kindly provided by the
Institute Pasteur and eight from Embrapa Maize and Sorghum Bt Collection, and 39
strains with no subspecies information also from Embrapa's Bt Collection. DNA
sample was double digested with restriction enzymes EcoRI and MseI, and the
fragments were linked to adapters. Selective amplification reactions were
performed using five primer combinations and the amplified fragments were
separated by gel electrophoresis on an ABI377 sequencer. Genetic distances were
obtained by the complement of the Jaccard coefficient and the groups were
performed by the UPGMA method. Five primer combinations generated 495 scorable
fragments and 483 were found to be polymorphic. Out of 26 subspecies, strains 344
and T09 (B. thuringiensis subsp. tolworthi) showed the highest similarity (15%),
while isolates HD3 B. thuringiensis subsp finitimus and T24 B. thuringiensis
subsp neoleonensis were the most genetically distant (92%). B. thuringiensis
isolates with no subspecies identification, found in samples from Goias State
showed higher similarity forming a group with an average distance of 6%, and the
closest subspecies to this group was B. thuringiensis subsp thuringiensis (HD2)
with 52% of similarity. This similarity may be due to the fact that these
organism exchange genetic material by conjugation, and it is relatively common to
have evolutionary characteristics of their ancestors.
PMID- 24926422
TI - Identification and cost of adverse events in metastatic breast cancer in taxane
and capecitabine based regimens.
AB - PURPOSE: We sought to compare the economic impact of treatment-related adverse
events (AEs) in patients with metastatic breast cancer (mBC) using taxane- or
capecitabine-based treatment regimens as either first- or second-line (FL or SL)
therapy in the US. METHODS: We used healthcare claims data from the Truven Health
Analytics MarketScan(r) Commercial Databases to conduct a retrospective cohort
study comparing the economic impact of AEs amongst taxane- and capecitabine
treated mBC patients in the US. We selected women diagnosed with mBC between 2008
2010 who received a taxane or capecitabine as first- or second-line (FL or SL)
chemotherapy. Costs related to hospitalization, outpatient services, emergency
department visits, chemotherapy and other medications were tabulated and combined
to determine total healthcare costs. The incremental monthly costs associated
with the presence of AEs compared to no AEs were estimated using generalized
linear models, controlling for age and Charlson Comorbidity Index. RESULTS: We
identified 15,443 mBC patients meeting inclusion criteria. Adjusted total monthly
costs were significantly higher in those who experienced AEs than in those
without AEs in both lines of treatment (FL incremental cost: taxanes $1,142,
capecitabine $1,817; SL incremental cost: taxanes $1,448, capecitabine $4,437).
Total costs increased with the number of AEs and were primarily driven by
increased hospitalization amongst those with AEs. CONCLUSIONS: Adverse events in
taxane- or capecitabine-treated mBC patients are associated with significant
increases in costs. Selecting treatment options associated with fewer AEs may
reduce costs and improve outcomes in these patients.
PMID- 24926423
TI - Colloid stability of iron compounds in groundwater of Western Siberia.
AB - The paper reports on experimental modeling of the colloid system composition in
natural groundwater. Iron hydroxide is found to be the main component of natural
colloid systems. It is shown that silicon compounds and dissolved organic
substances (DOS) stabilize iron hydroxide (III), forming a stable colloid system,
and preclude coagulation. The presented results suggest that CaCl2 and AlCl3
electrolytes affect the coagulation stability of synthesized model colloid
solutions.
PMID- 24926424
TI - 33 Ironman triathlons in 33 days-a case study.
AB - This case report presents the performance of an athlete who completed for the
first time in history the total distance of 33 Ironman triathlons within 33
consecutive days. The athlete finished the total distance of 7,458 km (i.e. 125
km swimming, 5,940 km cycling and 1,393 km running) within a total time of 410 h
and a mean time of 12 h 27 min per Ironman distance. During the 33 days, the
athlete became slower in swimming (r(2) = 0.27, p = 0.0019), transition time 1
(r(2) = 0.66, p < 0.001), and transition time 2 (r(2) = 0.48, p < 0.0001).
However, in cycling (r(2) = 0.07, p = 0.13), running (r(2) = 0.04, p = 0.25) and
overall race time (r(2) = 0.10, p = 0.069), the athlete was able to maintain his
performance during the 33 days. The coefficients of variation (CV) for the split
times in swimming, cycling, running and overall race times were very low (i.e.
2.7%, 3.2%, 4.7%, and 2.7%, respectively) whereas the CV for transition times 1
and 2 were considerably higher (i.e. 25.5% and 55.5%, respectively). During the
33 days, body mass decreased from 83.0 kg to 80.5 kg (r(2) = 0.55, p < 0.0001).
Plasma [Na(+)] remained within the reference range, creatine kinase, blood
glucose and liver enzymes were minimally elevated above the reference range after
four of five stages where blood analyses were performed. This case report shows
that this athlete finished 33 Ironman triathlons within 33 consecutive days with
minor variations over time (i.e. even pacing) in both split times and overall
race times. This performance was most probably due to the high experience of the
athlete, his pacing strategy and the stable environmental conditions.
PMID- 24926425
TI - Elevated copper in the amyloid plaques and iron in the cortex are observed in
mouse models of Alzheimer's disease that exhibit neurodegeneration.
AB - BACKGROUND: In Alzheimer's disease (AD), alterations in metal homeostasis,
including the accumulation of metal ions in the plaques and an increase of iron
in the cortex, have been well documented but the mechanisms involved are poorly
understood. OBJECTIVE: In this study, we compared the metal content in the
plaques and the iron speciation in the cortex of three mouse models, two of which
show neurodegeneration (5xFAD and Tg-SwDI/NOS2-/- (CVN) and one that shows very
little neurodegeneration (PSAPP). METHODS: The Fe, Cu, and Zn contents and
speciation were determined using synchrotron X-ray fluorescence microscopy (XFM)
and X-ray absorption spectroscopy (XAS), respectively. RESULTS: In the mouse
models with reported significant neurodegeneration, we found that plaques
contained ~25% more copper compared to the PSAPP mice. The iron content in the
cortex increased at the late stage of the disease in all mouse models, but iron
speciation remains unchanged. CONCLUSIONS: The elevation of copper in the plaques
and iron in the cortex is associated with AD severity, suggesting that these
redox-active metal ions may be inducing oxidative damage and directly influencing
neurodegeneration.
PMID- 24926427
TI - Are the data on quality of life and patient reported outcomes from clinical
trials of metastatic non-small-cell lung cancer important?
AB - Majority of the patients with advanced non-small-cell lung cancer (NSCLC)
experience two or more disease related symptoms, which may have a negative impact
on their health-related quality of life (HR QOL). These patients prefer a therapy
that would improve disease related symptoms, as opposed or treatment that
slightly prolongs their survival without improving symptoms. The improvements of
the symptoms augment the significance of improved response rates or progression
free survivals. The choice of the questionnaires to evaluate patients-reported
outcomes (PROs) and HRQOL benefits and methods of collecting the data and their
interpretations are very important and are discussed in this manuscript. PROs and
HR QOL outcomes are important in patients with advanced NSCLC only when the data
are collected and analyzed correctly. Then they can be viewed as components of
the total value of a treatment, providing a comprehensive picture of the benefits
and risks of anticancer therapies. Enabling the patients to feel during the last
months of their lives more comfortable and not be dependent on their loved ones
is a very important task in the treatment of advanced NSCLC.
PMID- 24926426
TI - Sumoylation and the DNA damage response.
AB - The cellular response to DNA damage involves multiple pathways that work together
to promote survival in the face of increased genotoxic lesions. Proteins in these
pathways are often posttranslationally modified, either by small groups such as
phosphate, or by protein modifiers such as ubiquitin or SUMO. The recent
discovery of many more SUMO substrates that are modified at higher levels in
damage conditions adds weight to the accumulated evidence suggesting that
sumoylation plays an important functional role in the DNA damage response. Here
we discuss the significance of DNA damage-induced sumoylation, the effects of
sumoylation on repair proteins, sumoylation dynamics, and crosstalk with other
posttranslational modifications in the DNA damage response.
PMID- 24926428
TI - Squamous cell carcinoma of the skin: Emerging need for novel biomarkers.
AB - The incidence of non-melanoma skin cancers (NMSC) is rising worldwide resulting
in demand for clinically useful prognostic biomarkers for these malignant tumors,
especially for invasive and metastatic cutaneous squamous cell carcinoma (cSCC).
Important risk factors for the development and progression of cSCC include
ultraviolet radiation, chronic skin ulcers and immunosuppression. Due to the role
of cumulative long-term sun exposure, cSCC is usually a disease of the elderly,
but the incidence is also growing in younger individuals due to increased
recreational exposure to sunlight. Although clinical diagnosis of cSCC is usually
easy and treatment with surgical excision curable, it is responsible for the
majority of NMSC related deaths. Clinicians treating skin cancer patients are
aware that certain cSCCs grow rapidly and metastasize, but the underlying
molecular mechanisms responsible for the aggressive progression of a
subpopulation of cSCCs remain incompletely understood. Recently, new molecular
markers for progression of cSCC have been identified.
PMID- 24926429
TI - Monitoring adenoviral based gene delivery in rat glioma by molecular imaging.
AB - AIM: To determine whether endothelial progenitor cells (EPCs) can be used as
delivery vehicle for adenoviral vectors and imaging probes for gene therapy in
glioblastoma. METHODS: To use cord blood derived EPCs as delivery vehicle for
adenoviral vectors and imaging probes for glioma gene therapy, a rat model of
human glioma was made by implanting U251 cells orthotopically. EPCs were
transfected with an adenovirus (AD5/carrying hNIS gene) and labeled with iron
oxide and inoculated them directly into the tumor 14 d following implantation of
U251 cells. Magnetic resonance imaging (MRI) was used to in vivo track the
migration of EPCs in the tumor. The expression of gene products was determined by
in vivo Tc-99m single photon emission computed tomography (SPECT). The findings
were validated with immunohistochemistry (IHC). RESULTS: EPCs were successfully
transfected with the adenoviral vectors carrying hNIS which was proved by
significantly (P < 0.05) higher uptake of Tc-99m in transfected cells. Viability
of EPCs following transfection and iron labeling was not altered. In vivo imaging
showed the presence of iron positive cells and the expression of transgene (hNIS)
product on MRI and SPECT, respectively, all over the tumors following
administration of transfected and iron labeled EPCs in the tumors. IHC confirmed
the distribution of EPC around the tumor away from the injection site and also
showed transgene expression in the tumor. The results indicated the EPCs' ability
to deliver adenoviral vectors into the glioma upon intratumor injection.
CONCLUSION: EPCs can be used as vehicle to deliver adenoviral vector to glioma
and also act as imaging probe at the same time.
PMID- 24926430
TI - Pulmonary artery sarcoma successfully treated by right pneumonectomy after
definitive diagnosis.
AB - Pulmonary artery sarcoma (PAS) is a rare and lethal neoplasm that is usually
diagnosed during surgery or autopsy. Early diagnosis and radical surgical
resection offer the only chance for survival. However, making a preoperative
histopathological diagnosis is quite difficult. We encountered a 57-year-old
woman presenting a PAS that mimicked a pulmonary thromboembolism. After
confirming a definitive diagnosis using a catheter suction biopsy, we
successfully performed a right pneumonectomy via a median sternotomy without
cardiopulmonary bypass. Eighteen months after surgery, no recurrence was
observed.
PMID- 24926431
TI - The Epicardium and the Development of the Atrioventricular Junction in the Murine
Heart.
AB - Insight into the role of the epicardium in cardiac development and regeneration
has significantly improved over the past ten years. This is mainly due to the
increasing availability of new mouse models for the study of the epicardial
lineage. Here we focus on the growing understanding of the significance of the
epicardium and epicardially-derived cells in the formation of the
atrioventricular (AV) junction. First, through the process of epicardial
epithelial-to-mesenchymal transformation (epiEMT), the subepicardial AV
mesenchyme is formed. Subsequently, the AV-epicardium and epicardially-derived
cells (EPDCs) form the annulus fibrosus, a structure important for the electrical
separation of atrial and ventricular myocardium. Finally, the AV-EPDCs
preferentially migrate into the parietal AV valve leaflets, largely replacing the
endocardially-derived cell population. In this review, we provide an overview of
what is currently known about the regulation of the events involved in this
process.
PMID- 24926432
TI - The Epicardium in the Embryonic and Adult Zebrafish.
AB - The epicardium is the mesothelial outer layer of the vertebrate heart. It plays
an important role during cardiac development by, among other functions,
nourishing the underlying myocardium, contributing to cardiac fibroblasts and
giving rise to the coronary vasculature. The epicardium also exerts key functions
during injury responses in the adult and contributes to cardiac repair. In this
article, we review current knowledge on the cellular and molecular mechanisms
underlying epicardium formation in the zebrafish, a teleost fish, which is
rapidly gaining status as an animal model in cardiovascular research, and compare
it with the mechanisms described in other vertebrate models. We moreover describe
the expression patterns of a subset of available zebrafish Wilms' tumor 1
transgenic reporter lines and discuss their specificity, applicability and
limitations in the study of epicardium formation.
PMID- 24926433
TI - Shared and unique proteins in human, mouse and rat saliva proteomes: Footprints
of functional adaptation.
AB - The overall goal of our study was to compare the proteins found in the saliva
proteomes of three mammals: human, mouse and rat. Our first objective was to
compare two human proteomes with very different analysis depths. The 89 shared
proteins in this comparison apparently represent a core of highly-expressed human
salivary proteins. Of the proteins unique to each proteome, one-half to 2/3 lack
signal peptides and probably are contaminants instead of less highly-represented
salivary proteins. We recently published the first rodent saliva proteomes with
salivas collected from the genome mouse (C57BL/6) and the genome rat
(BN/SsNHsd/Mcwi). Our second objective was to compare the proteins in the human
proteome with those we identified in the genome mouse and rat to determine those
common to all three mammals as well as the specialized rodent subset. We also
identified proteins unique to each of the three mammals because differences in
the secreted protein constitutions can provide clues to differences in the
evolutionary adaptation of the secretions in the three different mammals.
PMID- 24926435
TI - Analysis of extracellular RNA by digital PCR.
AB - The transfer of extracellular RNA is emerging as an important mechanism for inter
cellular communication. The ability for the transfer of functionally active RNA
molecules from one cell to another within vesicles such as exosomes enables a
cell to modulate cellular signaling and biological processes within recipient
cells. The study of extracellular RNA requires sensitive methods for the
detection of these molecules. In this methods article, we will describe protocols
for the detection of such extracellular RNA using sensitive detection
technologies such as digital PCR. These protocols should be valuable to
researchers interested in the role and contribution of extracellular RNA to tumor
cell biology.
PMID- 24926434
TI - Constraining the Pluripotent Fate of Human Embryonic Stem Cells for Tissue
Engineering and Cell Therapy - The Turning Point of Cell-Based Regenerative
Medicine.
AB - To date, the lack of a clinically-suitable source of engraftable human
stem/progenitor cells with adequate neurogenic potential has been the major
setback in developing safe and effective cell-based therapies for regenerating
the damaged or lost CNS structure and circuitry in a wide range of neurological
disorders. Similarly, the lack of a clinically-suitable human cardiomyocyte
source with adequate myocardium regenerative potential has been the major setback
in regenerating the damaged human heart. Given the limited capacity of the CNS
and heart for self-repair, there is a large unmet healthcare need to develop stem
cell therapies to provide optimal regeneration and reconstruction treatment
options to restore normal tissues and function. Derivation of human embryonic
stem cells (hESCs) provides a powerful in vitro model system to investigate
molecular controls in human embryogenesis as well as an unlimited source to
generate the diversity of human somatic cell types for regenerative medicine.
However, realizing the developmental and therapeutic potential of hESC
derivatives has been hindered by the inefficiency and instability of generating
clinically-relevant functional cells from pluripotent cells through conventional
uncontrollable and incomplete multi-lineage differentiation. Recent advances and
breakthroughs in hESC research have overcome some major obstacles in bringing
hESC therapy derivatives towards clinical applications, including establishing
defined culture systems for de novo derivation and maintenance of clinical-grade
pluripotent hESCs and lineage-specific differentiation of pluripotent hESCs by
small molecule induction. Retinoic acid was identified as sufficient to induce
the specification of neuroectoderm direct from the pluripotent state of hESCs and
trigger a cascade of neuronal lineage-specific progression to human neuronal
progenitors and neurons of the developing CNS in high efficiency, purity, and
neuronal lineage specificity by promoting nuclear translocation of the neuronal
specific transcription factor Nurr-1. Similarly, nicotinamide was rendered
sufficient to induce the specification of cardiomesoderm direct from the
pluripotent state of hESCs by promoting the expression of the earliest cardiac
specific transcription factor Csx/Nkx2.5 and triggering progression to cardiac
precursors and beating cardiomyocytes with high efficiency. This technology
breakthrough enables direct conversion of pluripotent hESCs into a large supply
of high purity neuronal cells or heart muscle cells with adequate capacity to
regenerate CNS neurons and contractile heart muscles for developing safe and
effective stem cell therapies. Transforming pluripotent hESCs into fate
restricted therapy derivatives dramatically increases the clinical efficacy of
graft-dependent repair and safety of hESC-derived cellular products. Such
milestone advances and medical innovations in hESC research allow generation of a
large supply of clinical-grade hESC therapy derivatives targeting for major
health problems, bringing cell-based regenerative medicine to a turning point.
PMID- 24926436
TI - microRNAs: The Short Link between Cancer and RT-Induced DNA Damage Response.
PMID- 24926437
TI - How Informative is the Immune Response Against Surrogate Tumor Antigens to Assess
Antitumor Immunity?
PMID- 24926438
TI - Visual impairment due to bilateral multifocal choroidal metastasis of parotid
adenocarcinoma: a case report.
AB - BACKGROUND: Orbital metastases are an uncommon finding, being present in just 9%
deceased patients with metastatic cancer. Only a quarter of patients with
choroidal metastases have bilateral disease. Parotid cancer is not a common form
of head and neck malignancy. Bilateral multifocal metastases from adenocarcinoma
ex pleomorphic of parotid gland have been documented just once before in the
literature. We present a similar case where palliative EBRT was used to gain
local control with minimal toxicity. CASE PRESENTATION: The case of a 45-year-old
Caucasian gentleman who presented to his general practitioner with otalgia and
weight loss. Imaging revealed a mass in the deep lobe of the left parotid gland,
invading into the medial pterygoid muscle. PET-CT revealed locoregional and
distant lymphatic involvement plus disseminated skeletal metastases. Lymph node
examination revealed adenocarcinoma ex pleomorphic histology. Within weeks of
this diagnosis, the patient developed rapidly progressive visual impairment.
Ophthalmologists found multifocal uveal masses bilaterally. Palliative fractions
of external beam radiotherapy were delivered to the orbits before combination
chemotherapy. We discuss the patient's presentation, histopathology, and
management, with support from the literature regarding applied and related
therapies. SUMMARY: In this rare presentation of disseminated malignancy
affecting the choroid bilaterally, the authors demonstrate the application of
palliative EBRT to good local effect. Given the nature of this treatment and that
of the metastases, in the setting of incurable disease orbital morbidity is
likely to occur again before the patient's death. Awareness of the initial
symptoms is important to provide prompt care and maintain quality of life.
PMID- 24926439
TI - Cancer-selective induction of apoptosis by leczyme.
AB - Sialic acid-binding lectin (SBL) is a multi-functional protein that is isolated
from oocytes of Rana catesbeiana. It has both lectin and ribonuclease (enzyme)
properties, and therefore is called leczyme. We examined the anti-tumor effects
of SBL and discovered that SBL has potential as a new type of anti-cancer drug.
SBL causes a cancer-selective induction of apoptosis by multiple signaling
pathways whereby RNA is its target. It is suggested that the mitochondrial
pathway and endoplasmic reticulum stress-mediated pathway participate in SBL
induced signaling. The synergistic anti-tumor effects with other molecules, such
as tumor necrosis factor-related apoptosis ligand and interferon gamma, have been
reported. In this study, we summarize the effects of SBL and focus on its cancer
selective apoptotic properties. In addition, we present a possible explanation
for its cancer specificity.
PMID- 24926440
TI - Stressing mitosis to death.
AB - The final stage of cell division (mitosis), involves the compaction of the
duplicated genome into chromatid pairs. Each pair is captured by microtubules
emanating from opposite spindle poles, aligned at the metaphase plate, and then
faithfully segregated to form two identical daughter cells. Chromatids that are
not correctly attached to the spindle are detected by the constitutively active
spindle assembly checkpoint (SAC). Any stress that prevents correct bipolar
spindle attachment, blocks the satisfaction of the SAC, and induces a prolonged
mitotic arrest, providing the cell time to obtain attachment and complete
segregation correctly. Unfortunately, during mitosis repairing damage is not
generally possible due to the compaction of DNA into chromosomes, and subsequent
suppression of gene transcription and translation. Therefore, in the presence of
significant damage cell death is instigated to ensure that genomic stability is
maintained. While most stresses lead to an arrest in mitosis, some promote
premature mitotic exit, allowing cells to bypass mitotic cell death. This mini
review will focus on the effects and outcomes that common stresses have on
mitosis, and how this impacts on the efficacy of mitotic chemotherapies.
PMID- 24926441
TI - Emergence of Ixodes scapularis and Borrelia burgdorferi, the Lyme disease vector
and agent, in Ohio.
AB - Lyme disease, the most common vector-borne disease in the United States, is
caused by a tick-borne infection with Borrelia burgdorferi. Currently, Ohio is
considered by the Centers for Disease Control and Prevention (CDC) to be non
endemic for Lyme disease. The low incidence of Lyme disease in this state was
largely attributed to the absence of the transmitting vector, Ixodes scapularis,
commonly known as the blacklegged tick. However, a tick surveillance program
established by Ohio Department of Health indicated that the number of I.
scapularis in Ohio had increased sharply in recent years, from 0 - 5 ticks per
year during 1983-2008 to 15 in 2009, 40 in 2010, and 184 in 2011. During the fall
deer hunting season, examination of deer heads submitted to Ohio Department of
Agriculture found 29 I. scapularis from 7 counties in 2010 and 1,830 from 25
counties in 2011. As of 2012, the tick had been found in 57 of the 88 counties of
Ohio. In addition, all three active stages (larva, nymph, and adult) of I.
scapularis were found in Tiverton Township of Coshocton County, demonstrating the
presence of established tick populations at this central Ohio location. Of 530
nymphal or adult I. scapularis analyzed by quantitative polymerase chain reaction
(qPCR), 32 (6.1%) tested positive for the B. burgdorferi flaB gene, ranging from
36 to 390,000 copies per tick. Antibodies to B. burgdorferi antigens were
detected in 2 of 10 (20%) field-captured Peromyscus leucopus from Tiverton
Township, and in 41 of 355 (11.5%) dogs residing in Ohio. Collectively, these
data suggest that the enzootic life cycle of B. burgdorferi has become
established in Ohio, which poses risk of Lyme disease to people and animals in
the area.
PMID- 24926442
TI - Forward genetic approaches for elucidation of novel regulators of Lyme arthritis
severity.
AB - Patients experiencing natural infection with Borrelia burgdorferi display a
spectrum of associated symptoms and severity, strongly implicating the impact of
genetically determined host factors in the pathogenesis of Lyme disease. Herein,
we provide a summary of the host genetic factors that have been demonstrated to
influence the severity and chronicity of Lyme arthritis symptoms, and a review of
the resources available, current progress, and added value of a forward genetic
approach for identification of novel genetic regulators.
PMID- 24926443
TI - Atherosclerotic Cardiovascular Disease Risk and Evidence-based Management of
Cholesterol.
AB - An elevated level of low-density lipoprotein cholesterol is directly associated
with development of atherosclerotic cardiovascular disease, which may present as
coronary heart disease, stroke, and peripheral arterial disease. The new
cholesterol management guidelines from the American College of Cardiology and the
American Heart Association aim to address a comprehensive approach to prevent and
reduce the risk of atherosclerotic cardiovascular disease. The new guidelines
recommend initiation of heart healthy lifestyle modifications and 3-hydroxy-3
methylglutaryl coenzyme-A reductase inhibitor ("statin") therapy in individuals
who are at a high risk for atherosclerotic cardiovascular disease. It is
estimated that these guidelines could result in "statin" therapy for one in every
three adults in the United States. This article presents a review of the current
cholesterol management guidelines, recommendations from relevant randomized
controlled trials and meta-analyses obtained from the searches in Medline/PubMed
and Cochrane Database of Systematic Reviews, and publications from the Centers
for Disease Control and Prevention, the Centers for Medicare and Medicaid
Service, and the United States Preventive Services Task Force.
PMID- 24926445
TI - Modified off-midline closure of pilonidal sinus disease.
AB - BACKGROUND: Numerous surgical procedures have been described for pilonidal sinus
disease, but treatment failure and disease recurrence are frequent. Conventional
off-midline flap closures have relatively favorable surgical outcomes, but
relatively unfavorable cosmetic outcomes. AIM: The author reported outcomes of a
new simplified off-midline technique for closure of the defect after complete
excision of the sinus tracts. PATIENTS AND METHODS: Two hundred patients of both
sexes were enrolled for modified D-shaped excisions were used to include all
sinuses and their ramifications, with a simplified procedure to close the defect.
RESULTS: The overall wound infection rate was 12%, (12.2% for males and 11.1% for
females). Wound disruption was necessitating laying the whole wound open and
management as open technique. The overall wound disruption rate was 6%, (6.1% for
males and 5.5% for females) and the overall recurrence rate was 7%. CONCLUSION:
Our simplified off-midline closure without flap appeared to be comparable to
conventional off-midline closure with flap, in terms of wound infection, wound
dehiscence, and recurrence. Advantages of the simplified procedure include
potentially reduced surgery complexity, reduced surgery time, and improved
cosmetic outcome.
PMID- 24926444
TI - Scientific evidence-based effects of hydrotherapy on various systems of the body.
AB - The use of water for various treatments (hydrotherapy) is probably as old as
mankind. Hydrotherapy is one of the basic methods of treatment widely used in the
system of natural medicine, which is also called as water therapy, aquatic
therapy, pool therapy, and balneotherapy. Use of water in various forms and in
various temperatures can produce different effects on different system of the
body. Many studies/reviews reported the effects of hydrotherapy only on very few
systems and there is lack of studies/reviews in reporting the evidence-based
effects of hydrotherapy on various systems. We performed PubMed and PubMed
central search to review relevant articles in English literature based on
"effects of hydrotherapy/balneotherapy" on various systems of the body. Based on
the available literature this review suggests that the hydrotherapy has a
scientific evidence-based effect on various systems of the body.
PMID- 24926446
TI - The impact of the 2008-2009 economic recession on acute myocardial infarction
occurrences in various socioeconomic areas of raritan bay region, new jersey.
AB - BACKGROUND: Psychosocial stress is one important risk factor for myocardial
infarction. AIM: The study was to assess the impact of the 2008-2009 economic
recession on myocardial infarction occurrences in different socioeconomic areas
of Raritan Bay region, New Jersey. MATERIALS AND METHODS: The patients, who were
treated for acute myocardial infarction from January 2006 to June 2012, were
grouped based on the average incomes of their residence districts in the Raritan
Bay region. The Spearman Rank Correlation test was used to assess the correlation
between the monthly occurrences of myocardial infarction and Dow Jones stock
averages, as well as the correlation between the myocardial infarction
occurrences and NJ State unemployment rates. RESULTS: Among 1,491 cases that were
identified, 990 cases resided in areas with income below the state average and
477 were from areas above the average. After the onset of the recession, the
myocardial infarction occurrences trended up in the low-income area group but not
in the high-income area group; and this increasing trend is correlated with the
rise in NJ State unemployment rates but not with the changes in stock averages.
CONCLUSION: Our findings suggest that unemployment contributed to an increased
risk of myocardial infarction among the residents in low socioeconomic areas
after the 2008-2009 economic recession.
PMID- 24926447
TI - Preoperative Diagnosis of Tubular Adenoma of Breast - 10 years of experience.
AB - BACKGROUND: Tubular adenomas are rare benign neoplasms of female breast affecting
women, predominantly of child-bearing age group. Definitive diagnosis of this
tumor is usually achieved after histopathological study. Clinical, radiological,
and even cytological features are often insufficient for accurate diagnosis. AIM:
The aim of the present study was planned to analyze clinical and radiological
features of histologically confirmed cases of tubular adenoma of breast to find
out a clue for accurate preoperative cytological diagnosis. MATERIALS AND
METHODS: In our 10-year research program, all histologically confirmed tubular
adenoma cases of breast were studied. Clinico-radio-cytological features, if
available, were analyzed and tabulated. RESULTS: Thirty-three cases of tubular
adenoma of breast were studied. Radiological and cytological assessments are
available for 12 and 26 cases, respectively. Tubular adenoma could be diagnosed
in only two cases (7.7%) by cytological assessment, but in none by radiological
assessment. CONCLUSIONS: Clinico-cyto-radiological assessment could identify the
benign nature of the tumors in most cases, but final confirmation was possible
only after histopathological study.
PMID- 24926448
TI - Types and Frequency of Errors during Different Phases of Testing At a Clinical
Medical Laboratory of a Teaching Hospital in Tehran, Iran.
AB - BACKGROUND: According to official data, 60-70% of clinical decisions about
hospitalization and discharge are based on laboratory results. AIMS: The
objective of this study is to examine the frequency of errors before, during, and
after analysis in a major medical laboratory. MATERIALS AND METHODS: This
descriptive, cross-sectional study was conducted throughout 2012 (January
December 2012). Errors are recorded by the Quality Control Committee in a
specially designed record. RESULTS: A total of 303,866 samples, 2,430,928 tests
were received for analysis. The total number of errors was 153,148 (6.3%)
(116,392 for inpatients and 36,756 for outpatients). Analysis of the results
revealed that about 65.09% of the errors occur across preanalytical phase,
whereas 23.2% and 11.68% are related to analytical and postanalytical phase,
respectively. CONCLUSION: More than half of the laboratory errors are related to
preanalytical phase; therefore, proper training and knowledge of intervening
factors are essential for reducing errors and optimizing the quality.
PMID- 24926449
TI - The journey toward quality and patient safety in laboratory medicine continues.
PMID- 24926450
TI - DNA extraction from nocardia species for special genes analysis using PCR.
AB - BACKGROUND: Nocardia species have a complex cell wall structure similar to that
of mycobacteria, and the extraction of DNA from this bacterium is extremely
difficult. Currently, to identify Nocardia species particularly, it is essential
to utilize molecular techniques. AIMS: In the present study, we investigated STET
(sodium chloride-TRIS-EDTA-triton) buffer for the extraction of high-quality
genomic DNA from 20 clinical and environmental isolates. MATERIALS AND METHODS:
The extracted DNA was evaluated for portion of the 16S rRNA, 65-kDa heat-shock
protein and 16S rRNA genes via polymerase chain reaction. RESULTS: The extracted
DNA had high molecular mass, and its concentration and purity was suitable when
tested in 1% agarose gel, and using UV spectrophotometry. Amplification of three
different genes was successfully performed. CONCLUSION: This paper reveals an
inexpensive, reproducible and efficient method of DNA extraction from Nocardia
species, which is appropriate for accurate identification of this bacterium via
polymerase chain reaction and polymerase chain reaction-restriction fragment
length polymorphism.
PMID- 24926451
TI - Spontaneous renal artery thrombosis: an unusual cause of acute abdomen.
AB - CONTEXT: Spontaneous thrombosis of renal artery is extremely rare. Its
presentation is that of commonly encountered problem of acute abdomen
underscoring the need for a clinician to be aware of it. CASE REPORT: We report a
case of a 56-year-old male with no previous health problems presented with
complaint of abdominal pain and nausea. Computed tomography angiogram (CTA) of
the abdomen and pelvis confirmed thrombus in left renal artery. He showed good
response to the treatment with resolution of the thrombus and improvement in the
renal perfusion. CONCLUSION: Our case does conform to the others experience of
good outcome when treatment is initiated in a timely manner. This patient had
resolution of symptoms and restoration of perfusion with anticoagulation. The
purpose of this case report is to remind busy practicing physicians to keep
spontaneous renal thromboembolism in differential diagnosis in a patient with
acute abdomen.
PMID- 24926452
TI - Idiopathic retroperitoneal fibrosis: a challenging case in a rare disease.
AB - CONTEXT: Retroperitoneal fibrosis is a rare but severe disease. The diagnosis is
usually late when a patient is evaluated for renal insufficiency. Untreated cases
may develop serious complications or advance to end-stage renal disease. CASE
REPORT: We report a 66-year-old man who presented with worsening kidney function.
He was successfully given the diagnosis of idiopathic retroperitoneal fibrosis.
Prednisone (1 mg/kg per day) was initiated. The patient's symptoms continued to
improve at 1 month with stable kidney function. CONCLUSION: Clinicians should
have high index of suspicion for retroperitoneal fibrosis when patients present
with an elevated erythrocyte sedimentation rate (ESR) or C-reactive protein (CRP)
and renal insufficiency from obstructive uropathy. The diagnosis of
retroperitoneal fibrosis is primarily made from imaging by computed tomography
(CT) scan. Biopsy should be performed in patients who do not have typical
findings on CT scan and to exclude the possibility of immunoglobulin G4 (IgG4)
related disease.
PMID- 24926453
TI - Determining patient preferences in using social media.
PMID- 24926454
TI - A study of the use of carbamazepine, pregabalin and alpha lipoic acid in patients
of diabetic neuropathy.
AB - BACKGROUND: Diabetic peripheral neuropathy (DPN) is a common, symptomatic, long
term complication of diabetes mellitus. Many of the agents used to treat DN have
not been compared with each other. This study was, therefore, undertaken to
compare the efficacy and safety of carbamazepine, pregabalin and alpha-lipoic
acid in diabetic neuropathy patients. METHODS: This was a prospective,
observational study. The patients were categorized into three groups, Group I
included those patients who were prescribed carbamazepine while group II included
those on pregabalin and group III patients received alpha-lipoic acid. Each
patient was followed up at every month for total duration of 6 months.
Demographic details, presenting symptoms, history of diabetes, laboratory values
pertaining to diabetes (Fasting blood sugar, Post prandial blood sugar and HbA1c)
were recorded. Intensity of pain, using a visual analogue scale (VAS), diabetic
neuropathy symptom (DNS) score and diabetic neuropathy examination (DNE) score
were assessed at baseline and then at each monthly follow-up. Nerve conduction
velocity (NCV) was also measured at baseline and then at the end of 3 and 6
months. RESULTS: A total of 101 patients were enrolled out of them 96 completed
the study. Regarding VAS, the number of patients having pain was reduced
substantially however, the speed and the quantum of this reduction were best in
group II (pregabalin). Regarding DNS, also group II showed the best response in
terms of number of patients as well as the speed of improvement. The results also
imply that the relief from diabetic neuropathy (as per DNE score) is superior
with pregabalin administration. However, no improvement in NCV was evident in any
group. CONCLUSION: Results of this study suggest that treatment with pregabalin
gives faster and better improvement in diabetic neuropathy.
PMID- 24926455
TI - Anti-amyloid beta to tau - based immunization: Developments in immunotherapy for
Alzheimer disease.
AB - Immunotherapy might provide an effective treatment for Alzheimer disease (AD). A
unique feature of AD immunotherapies is that an immune response against a self
antigen needs to be elicited without causing adverse autoimmune reactions.
Current research is focussed on two possible targets in this regard: One is the
inhibition of accumulation and deposition of Amyloid beta 1-42 (Abeta42), which
is one of the major peptides found in senile plaques and the second target is
hyperphosphorylated tau, which forms neurofibrillary tangles inside the nerve
cell and shows association with the progression of dementia. Mouse models have
shown that immunotherapy targeting Abeta42 as well as tau with the respective
anti-Abeta or anti-tau antibodies can provide significant improvements in these
mice. While anti-Abeta immunotherapy (active and passive immunizations) is
already in several stages of clinical trials, tau based immunizations have been
analyzed only in mouse models. Recently, as a significant correlation of
progression of dementia and levels of phoshorylated tau was found, high interest
has again focussed on further development of tau based therapies. While Abeta
immunotherapy might delay the onset of AD, immunotherapy targeting tau might
provide benefits in later stages of this disease. And last but not least,
targeting Abeta and tau simultaneously with immunotherapy might provide
additional therapeutic effects as these two pathologies are likely synergistic;
an approach which has not been tested yet. In this review, we will summarize
animal models used to test possible therapies for AD, some of the facts about
Abeta42 and tau biology, present on overview on halted, ongoing and upcoming
clinical trials together with ongoing preclinical studies targeting tau or
Abeta42.
PMID- 24926456
TI - Growth hormone treatment in non-growth hormone-deficient children.
AB - Until 1985 growth hormone (GH) was obtained from pituitary extracts, and was
available in limited amounts only to treat severe growth hormone deficiency
(GHD). With the availability of unlimited quantities of GH obtained from
recombinant DNA technology, researchers started to explore new modalities to
treat GHD children, as well as to treat a number of other non-GHD conditions.
Although with some differences between different countries, GH treatment is
indicated in children with Turner syndrome, chronic renal insufficiency, Prader
Willi syndrome, deletions/mutations of the SHOX gene, as well as in short
children born small for gestational age and with idiopathic short stature.
Available data from controlled trials indicate that GH treatment increases adult
height in patients with Turner syndrome, in patients with chronic renal
insufficiency, and in short children born small for gestational age. Patients
with SHOX deficiency seem to respond to treatment similarly to Turner syndrome.
GH treatment in children with idiopathic short stature produces a modest mean
increase in adult height but the response in the individual patient is
unpredictable. Uncontrolled studies indicate that GH treatment may be beneficial
also in children with Noonan syndrome. In patients with Prader-Willi syndrome GH
treatment normalizes growth and improves body composition and cognitive function.
In any indication the response to GH seems correlated to the dose and the
duration of treatment. GH treatment is generally safe with no major adverse
effects being recorded in any condition.
PMID- 24926458
TI - Serum vitamin D status in children and adolescence with diabetes according to
season and age.
AB - PURPOSE: It is known that children with diabetes have more severe vitamin D
deficiency than healthy children. This study aimed to investigate serum vitamin D
status and analyze the relationships between serum vitamin D levels and clinical
parameters in children with diabetes. METHODS: We checked serum vitamin D levels
of children with diabetes aged below 18 years who were treated at our Department
of Pediatrics between December 2011 and February 2012 (winter) as well as between
June 2012 and August 2012 (summer). We retrospectively analyzed relationships
between their serum vitamin D levels and clinical parameters. RESULTS: The serum
25-hydroxyvitamin D level in children with diabetes during winter was markedly
lower than during summer (10.8+/-5.1 ng/mL vs. 17.6+/-5.6 ng/mL); vitamin D
deficiency was more prevalent during winter (95% vs. 62%). However, there was no
difference between children with diabetes and healthy children. In children with
type I diabetes mellitus, among all the clinical parameters, age had the greatest
negative correlation with serum vitamin D level. CONCLUSION: In children with
diabetes, serum vitamin D levels showed marked seasonal variation. However, no
clear relationship was identified between vitamin D deficiency and diabetes. This
was because the control group had an equally high vitamin D deficiency rate.
Additional studies including other clinical parameters after vitamin D
supplementation in children with diabetes should be considered.
PMID- 24926457
TI - Iodine and thyroid function.
AB - Severe iodine deficiency causes hypothyroidism that results in impaired somatic
growth and motor development in children. Mild and moderate iodine deficiencies
cause multifocal autonomous growth of thyroid, which results in thyrotoxicosis.
On the other hand, iodine excess is associated with the development of
hypothyroidism and thyroid autoimmunity. In areas of iodine deficiency, a sudden
increase in iodine intake is associated with transient hyperthyroidism. Recent
studies demonstrated that long-term thyroid function of subjects who experienced
both iodine deficiency and iodine excess during childhood tended to be abnormal
despite optimization of their current iodine intake. Iodine status in the Korean
Peninsula is very unique because people in the Republic of Korea have been shown
to have predominantly excessive iodine levels, whereas the Democratic People's
Republic of Korea is known to be an iodine-deficient area. Further research is
warranted to verify the optimal ranges of iodine intake and to clarify the
effects of iodine intake on thyroid disorders in the Korean Peninsula.
PMID- 24926459
TI - Clinical and radiological findings of incidental Rathke's cleft cysts in children
and adolescents.
AB - PURPOSE: In the pediatric population, Rathke's cleft cysts (RCCs) are known to be
an infrequent cause of headaches, visual disturbances, and pituitary dysfunction.
We investigated the clinical characteristics of children in whom RCCs were
incidentally discovered and evaluated whether RCCs influence the treatment
response of patients with proven endocrinopathy. METHODS: A retrospective
analysis was conducted in 34 patients with RCCs who were diagnosed between 2006
and 2013 at Hallym University Medical Center. Their clinical, hormonal, and
imaging findings were reviewed. We evaluated the clinical outcomes of the
patients with concomitant RCCs and endocrinopathy compared to matched controls.
RESULTS: Twenty-six of 34 patients with radiologically proven RCCs had endocrine
disorders. They were 9 boys and 17 girls, with ages ranging from 4.8 to 17.4
years at the time of the diagnosis. Of these, 7 (27%) had idiopathic short
stature, 7 (27%) had growth hormone deficiency (GHD), and 12 (46%) had central
precocious puberty (CPP). Nineteen of 26 patients (73.1%) showed low signal
intensities on T1-weighted images (T1WI) and high signal intensities on T2
weighted images. The incidence of hypointensity on T1WI was higher in the
patients with RCCs accompanied by endocrinopathy than in those without
endocrinopathy (P=0.033). The treatment outcomes of the patients with CPP and GHD
with and without RCCs were similar. CONCLUSION: CPP and GHD patients with a small
RCC (less than 20 mm) expressing cystic magnetic resonance intensity can be
managed with medical treatment, although the RCCs need to be closely monitored in
radiological studies to observe their growth.
PMID- 24926460
TI - Age of menarche and near adult height after long-term gonadotropin-releasing
hormone agonist treatment in girls with central precocious puberty.
AB - PURPOSE: Gonadotropin-releasing hormone agonist (GnRHa) is known for improving
final adult height in patients with central precocious puberty (CPP). This study
aimed to investigate the age of menarche and near adult height in girls with CPP
who had been treated with GnRHa. METHODS: In this retrospective study, we
reviewed the medical records of 71 Korean girls with CPP who had started menarche
or reached over 13 years of bone age after long-term GnRHa treatment. We
estimated near adult height using the Bayley-Pinneau method and identified the
age of menarche in girls with CPP. RESULTS: Mean chronological and bone age at
menarche were 11.9+/-0.7 and 12.8+/-0.4 years, respectively. The period between
menarche and the end of treatment was 14.0+/-5.6 months. Posttreatment near adult
height was 163.8+/-4.7 cm, which was significantly greater than pretreatment
predicted adult height (158.7+/-4.1 cm). CONCLUSION: GnRHa treatment in girls
with CPP could improve final adult height and made the age of menarche close to
that of the general population.
PMID- 24926461
TI - Efficacy of growth hormone therapy in adults with childhood-onset growth hormone
deficiency.
AB - PURPOSE: Growth hormone (GH) plays a key role in the regulation of body
composition, lipid metabolism, and quality of life in adults with GH deficiency
(GHD). This study investigated changes in laboratory findings and body
composition after GH recommencement for adult GHD and analyzed correlation
between GH interruption period and endocrine or anthropometric parameters.
METHODS: A total of 45 patients (17 females and 28 males) diagnosed with
childhood-onset GHD (CO-GHD) were investigated and all patients had organic brain
lesions. Patients diagnosed CO-GHD were retested to confirm adult GHD at age
20.4+/-5.0 years (18.0-32.1 years). Recombinant human GH was administered at a
dose of 0.44 mg/day. Clinical and laboratory parameters such as weight, height,
body mass index (BMI), serum insulin-like growth factor 1 (IGF-1), serum total
cholesterol, high-density lipoprotein (HDL) cholesterol, low-density lipoprotein
(LDL) cholesterol, and triglyceride levels, were compared between baseline and 12
months after treatment using paired t-test. In addition, correlation between GH
interruption period and clinical parameters including BMI, lipid profile, IGF-1,
and IGFBP-3, was analyzed. RESULTS: Of 45 patients, 33 patients had GH
interruption period of 4.3+/-3.6 years (0.7-12.5 years). Serum HDL-cholesterol
level increased significantly, whereas LDL-cholesterol decreased after 1 year of
GH replacement therapy. However, body weight and BMI showed no significant
changes after 1 year of GH replacement therapy. There were no significant
correlations between GH interruption period and lipid profile or anthropometric
parameters. CONCLUSION: BMI and body weight were not affected by GH replacement.
However, GH replacement in adults with GHD offers benefits in lipid metabolism.
PMID- 24926462
TI - A novel de novo mutation within PHEX gene in a young girl with hypophosphatemic
rickets and review of literature.
AB - X-linked hypophosphatemia (XLH) is the most common form of familial
hypophosphatemic rickets and it is caused by loss-of-function mutations in the
PHEX gene. Recently, a wide variety of PHEX gene defects in XLH have been
revealed; these include missense mutations, nonsense mutations, splice site
mutations, insertions, and deletions. Recently, we encountered a 2-year-9-month
old female with sporadic hypophosphatemic rickets. She underwent osteotomy,
dental abscess was evident, and there was severe bowing of the legs. A low serum
phosphorus level in combination with elevated serum alkaline phosphatase activity
and normal serum calcium is suggestive of hypophosphatemic rickets. PHEX gene
analysis revealed a splice acceptor site mutation, c.934-1G>T (IVS8(-1)G>T), at
the intron8 and exon9 junction. To the best of our knowledge, this mutation is
novel and has not been reported. The results of this study expand and improve our
understanding of the clinical and molecular characteristics and the global pool
of patients with sporadic hypophosphatemic rickets.
PMID- 24926463
TI - Mosaic Turner syndrome associated with schizophrenia.
AB - Turner syndrome is a sex-chromosome disorder; occurring in 1 in 2,500 female
births. There are sporadic few case reports of concomitant Turner syndrome with
schizophrenia worldwide. Most Turner females had a 45,X monosomy, whereas the
majority of comorbidity between Turner syndrome and schizophrenia had a mosaic
karyotype (45,X/46,XX). We present a case of a 21-year-old woman with Turner
syndrome, mosaic karyotype (45,X/46,XX), showing mental retardation,
hypothyroidism, and schizophrenia. HOPA gene within Xq13 is related to mental
retardation, hypothyroidism, and schizophrenia. Our case may be a potential clue
which supports the hypothesis for involvement of genes on X chromosome in
development of schizophrenia. Further studies including comorbid cases reports
are need in order to discern the cause of schizophrenia in patients having Turner
syndrome.
PMID- 24926464
TI - Giant juvenile fibroadenoma of the breast: a case report and brief literature
review.
AB - A girl (age, 12 years 11 months) consulted the pediatric endocrinology clinic
because of a rapidly growing right breast mass over 13 cm observed during the
preceding 3 months. A surgical excision was performed, and the mass was diagnosed
as a giant juvenile fibroadenoma. Giant juvenile fibroadenomas are rare, usually
occurring between 10 and 18 years of age, and characterized by massive and rapid
enlargement of an encapsulated mass. The etiology is believed to be an end-organ
hypersensitivity to normal levels of estrogen. We report a case of giant juvenile
fibroadenoma and present a review of the diagnostic workup and management of a
large breast tumor during adolescence.
PMID- 24926465
TI - Glycogenic hepatopathy in a Korean girl with poorly controlled type 1 diabetes
mellitus.
AB - Glycogenic hepatopathy (GH) is a rare complication of type 1 diabetes mellitus.
We report the case of a 13-year-old diabetic female with poorly controlled blood
sugar levels who presented with abdominal pain and distention 1 month in
duration. She exhibited tender hepatomegaly, an elevated lipid profile, and
elevated serum transaminase levels. Her liver histology was consistent with GH.
The pathophysiology and/or underlying genetic background of GH remains unclear.
The optimum treatment for GH is optimal glycemic control, and the prognosis is
favorable. Clinicians should be aware of the possibility of GH and observe the
clinical response to optimal glycemic control prior to invasive investigation.
PMID- 24926466
TI - Roles of Long Non-Coding RNAs on Tumorigenesis and Glioma Development.
AB - More than 98% of eukaryotic tanscriptomes are composed of non-coding RNAs with no
functional protein-coding capacity. Those transcripts also include tens of
thousands of long non-coding RNAs (lncRNAs) which are emerging as key elements of
cellular homeostasis, essentially tumorigenesis steps. However, we are only
beginning to understand the nature and extent of the involvement of lncRNAs on
tumorigeneis. Here, we highlight recent progresses that have identified a myriad
of molecular functions on tumorigenesis for several lncRNAs including metastasis
associated lung adenocarcinoma transcript 1 (MALAT1), prostate cancer associated
non-coding RNA 1 (PRNCR1), prostate cancer gene expression marker 1 (PCGEM1),
H19, and homeobox transcript antisense intergenic RNA (HOTAIR), and several new
lncRNAs for glioma development. Potential therapeutic approaches for the lncRNAs
in various human diseases are also discussed.
PMID- 24926467
TI - Altered histone modifications in gliomas.
AB - Gliomas are the most frequently occurring primary brain tumors in adults.
Although they exist in different malignant stages, including histologically
benign forms and highly aggressive states, most gliomas are clinically
challenging for neuro-oncologists because of their infiltrative growth patterns
and inherent relapse tendency with increased malignancy. Once this disease
reaches the glioblastoma multiforme stage, the prognosis of patients is dismal:
median survival time is 15 months. Extensive genetic analyses of glial tumors
have revealed a variety of deregulated genetic pathways involved in DNA repair,
apoptosis, cell migration/adhesion, and cell cycle. Recently, it has become
evident that epigenetic alterations may also be an important factor for glioma
genesis. Of epigenetic marks, histone modification is a key mark that regulates
gene expression and thus modulates a wide range of cellular processes. In this
review, I discuss the neuro-oncological significance of altered histone
modifications and modifiers in glioma patients while briefly overviewing the
biological roles of histone modifications.
PMID- 24926469
TI - Aberrant CpG islands hypermethylation profiles in malignant gliomas.
AB - BACKGROUND: The authors analyzed whether the promoter hypermethylation of cancer
related genes was involved in the tumorigenesis of malignant gliomas. METHODS: A
total of 29 patients received surgery and histologically confirmed to have
malignant gliomas from January 2000 to December 2006. The promoter methylation
status of several genes, which were reported to be frequently methylated in
malignant gliomas, was investigated using methylation-specific polymerase chain
reaction. RESULTS: All cases of malignant gliomas represented the promoter
hypermethylation in at least 2 or more genes tested. Of 29 tumors, 28 (96.55%)
showed concurrent hypermethylation of 3 or more genes. Ras association domain
family member 1, epithelial cadherin, O-6 methyl guanine DNA methyltransferase,
thrombospondin 1, p14 and adenomatous polyposis coli were frequently methylated
in high grade gliomas including glioblastomas, anaplastic astrocytomas, and
anaplastic oligodendrogliomas. CONCLUSION: Aberrant hypermethylation profile was
closely related with malignant gliomas suggesting that epigenetic change may play
a role in the development of malignant gliomas. Two or three target genes may
provide useful clues to the development of the useful prognostic as well as
diagnostic assays for malignant gliomas.
PMID- 24926468
TI - Proteomic Analysis between U87MG and U343MG-A Cell Lines: Searching for Candidate
Proteins for Glioma Invasion.
AB - BACKGROUND: To investigate the molecular basis for invasion of malignant gliomas,
proteomic analysis approach was carried out using two human glioma cell lines,
U87MG and U343MG-A that demonstrate different motility and invasiveness in in
vitro experiments. METHODS: High-resolution two-dimensional gel electrophoresis
and matrix-assisted laser-desorption/ionization time-of-flight mass spectrometry
analysis were performed. RESULTS: Nine distinct protein spots that were
recognized with significant alteration between the two cell lines. Five of these
protein spots were up-regulated in U87MG and four were up-regulated in U343MG-A.
CONCLUSION: Among these proteins, cathepsin D was shown to be one of the
important proteins which are related with glioma invasion. However, further
studies are necessary to reveal the exact role and mechanism of cathepsin D in
glioma invasion.
PMID- 24926470
TI - Glioblastoma in a patient with neurofibromatosis type 1: a case report and review
of the literature.
AB - Neurofibromatosis type 1 (NF1) is an autosomal dominantly inherited familial
tumor syndrome. Benign tumors such as pilocytic astrocytoma, optic glioma make up
the majority of intracranial neoplasms in patients with NF1. There have only been
a handful of cases in which adult glioblastoma presented with NF1. A 32-year-old
male presented with headache and radiological studies showing a high grade intra
axial tumor. The patient underwent gross total surgical excision and the
pathology revealed glioblastoma. After the surgery, he received concomitant chemo
radiotherapy with temozolomide and adjuvant temozolomide chemotherapy. We report
a NF1 patient who developed glioblastoma and reviewed related articles.
PMID- 24926471
TI - Extradural dermoid cyst located in the lateral sphenoid ridge.
AB - Dermoid cysts are rare congenital tumors that occur primarily at the midline at a
characteristic intradural location. However, dermoid cysts located at extradural
and lateral regions have been rarely reported until now. In the present study,
the authors demonstrate the unusual instance of an intracranial extradural
dermoid cyst at the lateral sphenoid ridge. A 53-year-old woman admitted because
of progressive headache and dizziness. The patient had no neurologic deficits,
and magnetic resonance imaging with no contrast enhancement revealed a mass at
the right sphenoid ridge. The mass was accompanied with sphenoid bone erosion
visible on computed tomography. The patient underwent right pterional craniotomy,
and the tumor including the capsule was totally resected. Presence of a dermoid
cyst was confirmed with histopathological examination. The patient had no
complications during the postoperative period. This study suggests that dermoid
cyst should be considered for differential diagnosis of extradural and lateral
intracranial masses.
PMID- 24926472
TI - Oculomotor nerve schwannoma: a case report.
AB - Schwannomas account for about 8% of intracranial tumors and 90% are vestibular
schwannomas. Oculomotor schwannoma without neurofibromatosis is extremely rare. A
41-year-old female presented with complaints of blurred vision, and the
neurologic examination revealed afferent pupillary defect and decreased visual
acuity of the left side. Brain magnetic resonance image showed an extra axial
mass in the left superior orbital fissure. The patient underwent major surgery
via the fronto-temporal approach. The tumor originated from the oculomotor nerve
and was subtotally removed under microscopic surgery. The pathological findings
confirmed the tumor as a schwannoma. After surgery, ptosis and medial gaze
limitation of the left eye was detected, but the symptoms improved gradually.
PMID- 24926473
TI - Pediatric sleep surgery.
AB - Adenotonsillectomy is the most common surgery performed for sleep disordered
breathing with good outcomes. Children with obesity, craniofacial disorders, and
neurologic impairment are at risk for persistent sleep apnea after
adenotonsillectomy. Techniques exist to address obstructive lesions of the
palate, tongue base, or craniofacial skeleton in children with persistent sleep
apnea. Children with obstructive sleep apnea have a higher rate of peri-operative
complications.
PMID- 24926474
TI - The role of adipose tissue and obesity in causing treatment resistance of acute
lymphoblastic leukemia.
AB - Obesity is responsible for ~90,000 cancer deaths/year, increasing cancer
incidence and impairing its treatment. Obesity has also been shown to impact
hematological malignancies, through as yet unknown mechanisms. Adipocytes are
present in bone marrow and the microenvironments of many types of cancer, and
have been found to promote cancer cell survival. In this review, we explore
several ways in which obesity might cause leukemia treatment resistance. Obese
patients may be at a treatment disadvantage due to altered pharmacokinetics of
chemotherapy and dosage "capping" based on ideal body weight. The adipose tissue
provides fuel to cancer cells in the form of amino acids and free fatty acids.
Adipocytes have been shown to cause cancer cells to resist chemotherapy-induced
apoptosis. In addition, obese adipose tissue is phenotypically altered, producing
a milieu of pro-inflammatory adipokines and cytokines, some of which have been
linked to cancer progression. Given the prevalence of obesity, understanding its
role and adipose tissue in acute lymphoblastic leukemia treatment is necessary
for evaluating current treatment regimen and revealing new therapeutic targets.
PMID- 24926475
TI - Red man syndrome following intraperitoneal vancomycin in a child with
peritonitis.
AB - Red man syndrome (RMS) has frequently been reported to occur with intravenous
vancomycin therapy. However, there have been few reports of this complication
during intraperitoneal (IP) treatment with vancomycin. This report describes an
11-year-old boy with end stage renal disease who developed RMS 45 min into the
initial loading dose of IP vancomycin for the treatment of bacterial peritonitis
with a vancomycin level of 38.8 mcg/mL. The patient developed this adverse
reaction despite appropriate initial loading dose per ISPD guidelines for
continuous treatment (1000 mg/L). This case emphasizes the importance of
monitoring for adverse reactions of vancomycin therapy, and raises dosing
considerations that differ slightly from the currently recommended ISPD
guidelines for IP vancomycin treatment in the treatment of bacterial peritonitis.
PMID- 24926477
TI - The Prevention of Mental Disorders has a Bright Future.
AB - This article takes four looks at the status of prevention in psychiatry. The
first glance is critical, shaped by disappointment at the slow progress in the
understanding of psychiatric diseases and the lack of promise in prevention. The
second look is less humble. It characterizes and acknowledges the efforts made so
far. The third and the fourth perspectives optimistically announce a new age in
research and prevention. Breakthroughs, whose contours are already appearing on
the horizon today, will transform the prevention of psychiatric diseases into a
success story within the next 10-15 years.
PMID- 24926476
TI - Exposure to endocrine disrupting chemicals and male reproductive health.
AB - Endocrine disrupting chemicals (EDCs) can interfere with normal hormonal balance
and may exert adverse consequences on humans. The male reproductive system may be
susceptible to the effects of such environmental toxicants. This review discusses
the recent progress in scientific data mainly from epidemiology studies on the
associations between EDCs and male reproductive health and our understanding of
possible mechanisms associated with the effects of EDCs on male reproductive
health. Finally, the review provides recommendations on future research to
enhance our understanding of EDCs and male reproductive health. The review
highlights the need for (1) well-defined longitudinal epidemiology studies, with
appropriately designed exposure assessment to determine potential causal
relationships; (2) chemical and biochemical approaches aimed at a better
understanding of the mechanism of action of xenoestrogens with regard to low-dose
effects, and assessment of identify genetic susceptibility factors associated
with the risk of adverse effects following exposure to EDCs.
PMID- 24926478
TI - Developing suitable buffers to capture transport cycling behavior.
AB - The association between neighborhood built environment and cycling has received
considerable attention in health literature over the last two decades, but
different neighborhood definitions have been used and it is unclear which one is
most appropriate. Administrative or fixed residential spatial units (e.g., home
buffer-based neighborhoods) are not necessarily representative for environmental
exposure. An increased understanding of appropriate neighborhoods is needed. GPS
cycling tracks from 78 participants for 7 days form the basis for the development
and testing of different neighborhood buffers for transport cycling. The
percentage of GPS points per square meter was used as indicator of the
effectiveness of a series of different buffer types, including home-based network
buffers, shortest route to city center buffers, and city center-directed ellipse
shaped buffers. The results show that GPS tracks can help us understand where
people go and stay during the day, which can help us link built environment with
cycling. Analysis showed that the further people live from the city center, the
more elongated are their GPS tracks, and the better an ellipse-shaped directional
buffer captured transport cycling behavior. In conclusion, we argue that in order
to be able to link built environment factors with different forms of physical
activity, we must study the most likely area people use. In this particular
study, to capture transport cycling, with its relatively large radius of action,
city center-directed ellipse-shaped buffers yielded better results than
traditional home-based network buffer types. The ellipse-shaped buffer types
could therefore be considered an alternative to more traditional buffers or
administrative units in future studies of transport cycling behavior.
PMID- 24926480
TI - Anomaly in the electronic structure of the Na(x)CoO(2-y) cathode as a source of
its step-like discharge curve.
AB - In this paper we would like to show a new approach to an explanation of the
nature of the discharge-charge curve of Na/Na(+)/NaxCoO2-y batteries, which can
justify the existence of the step-like characteristics. This is still an open
problem, which until now had no proper description in the literature. On the
basis of comprehensive experimental studies of physicochemical properties of
NaxCoO2-y cathode material (XRD, electrical conductivity, thermoelectric power,
electronic specific heat) supported by calculations performed using the DFT
method with accounting for chemical disorder, it has been shown that the observed
step-like character of the discharge curve reflects the variation of the chemical
potential of electrons (Fermi level) in the density of states of NaxCoO2-y, which
is anomalously perturbed by the presence of the oxygen vacancy defects and sodium
ordering. Our studies of structural, electronic and thermal properties of NaxCoO2
y cathode material as a function of concentration of electrochemically
intercalated sodium document strong and step-like shift of the position of the
Fermi level during introduction of electrons in this process. This effect is
coherently supported by the shape of calculated density of states (DOS) of
NaxCoO2-y having included oxygen defects and sodium ordering.
PMID- 24926481
TI - Interfacial mass transfer by controlled multilayer disassembly.
AB - We demonstrated the one-pot disassembly of self-propagating molecular assemblies
(SPMAs) by ligand exchange and the subsequent covalent binding of the molecular
components to other surfaces. These functionalized surfaces are suitable for
regenerating the SPMAs.
PMID- 24926482
TI - Colloidal core-shell materials with 'spiky' surfaces assembled from gold
nanorods.
AB - A series of core-shell materials with 'spiky' surfaces are prepared through the
self-assembly of gold nanorods onto polystyrene microspheres. Loading of the
nanorods is finely tuned and the assemblies exhibit surface plasmon resonance
properties. The 'spiky' surface topography of the assembled structures could
serve as a versatile substrate for surface-enhanced Raman spectroscopy based
sensing applications.
PMID- 24926479
TI - Translational research in agricultural biology-enhancing crop resistivity against
environmental stress alongside nutritional quality.
PMID- 24926483
TI - Construction of fused- and spiro-oxa-[n.2.1] skeletons by a tandem epoxide
rearrangement/intramolecular [3+2] cycloaddition of cyclopropanes with carbonyls.
AB - A Lewis acid promoted tandem reaction of epoxide rearrangement and intramolecular
[3+2] cycloaddition reaction of cyclopropanes with carbonyls formed by epoxide
rearrangement in situ, which were obtained with difficulty by a general method,
is reported. A wide variety of fused- and spiro-oxa-[n.2.1] skeletons could be
efficiently constructed.
PMID- 24926484
TI - A novel anode material derived from organic-coated ZIF-8 nanocomposites with high
performance in lithium ion batteries.
AB - A general method of preparing nanocomposites from a metal-organic framework
coated with hydroxyl, pyrrolyl and/or carboxyl functionalized organics is
introduced. Pyrolysis of these nanocomposites gives anode materials with improved
discharge capacity (750 mA h g(-1)) and cyclability. They also show enhanced
Coulombic efficiency over the initial 5-10 cycles and decreased internal
impedance.
PMID- 24926485
TI - The prevalence and predictive value of weak language skills in children with
very low birth weight--a longitudinal study.
AB - AIM: Previous findings regarding the prevalence and predictive value of weak
language skills in preterm children with very low birth weight (VLBW) are
unclear. This study analysed the prevalence of weak language skills, the
predictive value of early weak language skills on later weak language skills, and
the sensitivity and specificity of cognitive scores for identifying concurrent
weak language skills in a longitudinal sample of VLBW children (n = 141) and
their full-term controls (n = 146). METHODS: Data on language skills and
cognitive development were gathered at two and five years of age. Weak language
skills were defined by the 10th percentile value of the controls. RESULTS: In
VLBW children, the prevalence of weak language skills varied between 16% and 18%
at 2 years of age (controls: 8 to 10%) and between 20% and 27% at 5 years of age
(controls: 10%). Early weak language skills predicted later weak language skills
in VLBW children. Cognitive scores were specific, but their sensitivity for
identifying concurrent weak language skills was low. CONCLUSION: The prevalence
of weak language skills in VLBW children increased during the follow-up period
and was higher than the controls. Language-sensitive methods should be used in
the clinical follow-up of VLBW children.
PMID- 24926487
TI - Keith Edwards.
PMID- 24926488
TI - Synthesis of a dialumene-benzene adduct and its reactivity as a synthetic
equivalent of a dialumene.
PMID- 24926489
TI - Cardiovascular highlights from non-cardiology journals.
PMID- 24926491
TI - International journal of urology supplement 3rd International Consultation
Interstitial Cystitis Japan (ICICJ) and International Society for the Study of
Bladder Pain Syndrome (ESSIC) Joint Meeting 21-23 March 2013 Kyoto, Japan.
Preface.
PMID- 24926490
TI - Motor impairment in very preterm-born children: links with other developmental
deficits at 5 years of age.
AB - AIM: To elucidate the relation between motor impairment and other developmental
deficits in very preterm-born children without disabling cerebral palsy and term
born comparison children at 5 years of (corrected) age. METHOD: In a prospective
cohort study, 165 children (81 very preterm-born and 84 term-born)were assessed
with the Movement Assessment Battery for Children - 2nd edition, Touwen's
neurological examination, the Wechsler Preschool and Primary Scale of
Intelligence, processing speed and visuomotor coordination tasks of the Amsterdam
Neuropsychological Tasks, and the Strengths and Difficulties Questionnaire.
RESULTS: Motor impairment (<=15th centile) occurred in 32% of the very preterm
born children compared with 11% of their term-born peers (p=0.001). Of the very
preterm-born children with motor impairment, 58% had complex minor neurological
dysfunctions, 54% had low IQ, 69% had slow processing speed, 58% had visuomotor
coordination problems, and 27%, 50%,and 46% had conduct, emotional, and
hyperactivity problems respectively. Neurological outcome (odds ratio [OR]=41.7,
95% confidence intervals [CI] 7.5-232.5) and Full-scale IQ(OR=7.3, 95% CI 1.9
27.3) were significantly and independently associated with motor impairment.
Processing speed (OR=4.6, 95% CI 1.8-11.6) and attention (OR=3.2, 95% CI1.3-7.9)
were additional variables associated with impaired manual dexterity. These four
developmental deficits mediated the relation between preterm birth and motor
impairment. INTERPRETATION: Complex minor neurological dysfunctions, low IQ, slow
processing speed,and hyperactivity/inattention should be taken into account when
very preterm-born children are referred for motor impairment.
PMID- 24926493
TI - Carotid stent deformation: sonographic findings and CT correlation.
AB - Carotid artery dissection is a rare but potentially serious complication of
endovascular procedures in the carotid arteries. Stent deformation or incomplete
expansion may occur following endovascular repair of an iatrogenic carotid artery
dissection and may mimic stent fracture. We report an unusual case of deformation
of a common carotid artery open cell design stent following endovascular repair
of an iatrogenic dissection, which resulted in persistent blood flow between the
stent and the wall of the common carotid artery. Sonographic features are
described and correlation with intravascular ultrasound and CT is provided.
PMID- 24926494
TI - Dropped omental fat post laparoscopy-assisted distal gastrectomy with omentectomy
mistaken for malignant peritoneal seeding on sonography.
AB - We report the sonographic findings in a case of dropped omental fat post
laparoscopy-assisted distal gastrectomy with omentectomy for stomach cancer
mistaken for malignant intraperitoneal seeding. Gray-scale sonography revealed an
ovoid-shaped hyperechoic mass with central poorly defined hypoechoic portion in
the right perihepatic space. Color Doppler sonography showed no blood flow within
the mass. Contrast-enhanced CT scan of the liver revealed an oval-shaped fatty
mass with a CT number of -100 HU
PMID- 24926495
TI - Preface to the proceedings of the Workshop on Eosinophils in Allergy and Related
Diseases 2013.
PMID- 24926492
TI - ?N-P63alpha and TA-P63alpha exhibit intrinsic differences in transactivation
specificities that depend on distinct features of DNA target sites.
AB - TP63 is a member of the TP53 gene family that encodes for up to ten different TA
and ?N isoforms through alternative promoter usage and alternative splicing.
Besides being a master regulator of gene expression for squamous epithelial
proliferation, differentiation and maintenance, P63, through differential
expression of its isoforms, plays important roles in tumorigenesis. All P63
isoforms share an immunoglobulin-like folded DNA binding domain responsible for
binding to sequence-specific response elements (REs), whose overall consensus
sequence is similar to that of the canonical p53 RE. Using a defined assay in
yeast, where P63 isoforms and RE sequences are the only variables, and gene
expression assays in human cell lines, we demonstrated that human TA- and ?N
P63alpha proteins exhibited differences in transactivation specificity not
observed with the corresponding P73 or P53 protein isoforms. These differences 1)
were dependent on specific features of the RE sequence, 2) could be related to
intrinsic differences in their oligomeric state and cooperative DNA binding, and
3) appeared to be conserved in evolution. Sicen genotoxic stress can change
relative ratio of TA- and ?N-P63alpha protein levels, the different
transactivation specificity of each P63 isoform could potentially influence
cellular responses to specific stresses.
PMID- 24926496
TI - Compassion satisfaction, compassion fatigue, anxiety, depression and stress in
registered nurses in Australia: phase 2 results.
AB - AIM: This is the first two-phase Australian study to explore the factors
impacting upon compassion satisfaction, compassion fatigue, anxiety, depression
and stress and to describe the strategies nurses use to build compassion
satisfaction into their working lives. BACKGROUND: Compassion fatigue has been
found to impact on job satisfaction, the quality of patient care and retention
within nursing. This study provides new knowledge on the influences of anxiety,
stress and depression and how they relate to compassion satisfaction and
compassion fatigue. METHOD: In Phase 2 of the study, 10 nurses from Phase 1 of
the study participated in individual interviews and a focus group. A semi
structured interview schedule guided the conversations with the participants.
RESULT: Data analysis resulted in seven main themes: social networks and
support;infrastructure and support; environment and lifestyle; learning;
leadership; stress; and suggestions to build psychological wellness in nurses.
CONCLUSION: Findings suggest that a nurse's capacity to cope is enhanced through
strong social and collegial support, infrastructure that supports the provision
of quality nursing care and positive affirmation. These concepts are strongly
linked to personal resilience. IMPLICATIONS: for nursing management These
findings support the need for management to develop appropriate interventions to
build resilience in nurses.
PMID- 24926497
TI - A growing problem.
PMID- 24926498
TI - Good practice.
PMID- 24926499
TI - Open goal.
PMID- 24926500
TI - Capacity building: Architects of South American science.
PMID- 24926501
TI - Fellowships: Turning brain drain into brain circulation.
PMID- 24926502
TI - Potential Bryde's whale (Balaenoptera edeni) calls recorded in the northern Gulf
of Mexico.
AB - Several marine autonomous recording units (MARUs) were deployed in northeastern
Gulf of Mexico from 2010-2012 to study the acoustic ecology of Bryde's whales
(Balaenoptera edeni) following the Deepwater Horizon oil spill. However, the
acoustic repertoire of this sub-population is poorly documented, presently
limiting the efficacy of acoustic monitoring applications. Numerous stereotyped,
low-frequency signals from a putative biological sound source were found
throughout the recordings. Sounds fell into three categories distinguished by
spectral and temporal properties. Multiple calls overlapped temporally on
individual MARUs, suggesting that multiple sources produced these sounds. The
basic features are similar to those from other mysticetes, but they differ from
any previously published sounds. Since Bryde's whales are the most common
mysticete in the Gulf and have previously been observed within the recording area
on multiple occasions, it is likely that Bryde's whales are the most probable
source of these sounds. These results potentially identify a suite of previously
undocumented calls from Bryde's whales, which could facilitate future passive
acoustic monitoring efforts to better understand the population dynamics and
status of this sub-population.
PMID- 24926504
TI - A comparison of acoustic and visual metrics of sperm whale longline depredation.
AB - Annual federal stock assessment surveys for Alaskan sablefish also attempt to
measure sperm whale depredation by quantifying visual evidence of depredation,
including lip remains and damaged fish. A complementary passive acoustic method
for quantifying depredation was investigated during the 2011 and 2012 survey
hauls. A combination of machine-aided and human analysis counted the number of
distinct "creak" sounds detected on autonomous recorders deployed during the
survey, emphasizing sounds that are followed by silence ("creak-pauses"), a
possible indication of prey capture. These raw counts were then adjusted for
variations in background noise levels between deployments. Both a randomized
Pearson correlation analysis and a generalized linear model found that noise
adjusted counts of "creak-pauses" were highly correlated with survey counts of
lip remains during both years (2012: r(10) = 0.89, p = 1e-3; 2011: r(39) = 0.72,
p = 4e-3) and somewhat correlated with observed sablefish damage in 2011 [r(39) =
0.37, p = 0.03], but uncorrelated with other species depredation. The acoustic
depredation count was anywhere from 10% to 80% higher than the visual counts,
depending on the survey year and assumptions employed. The results suggest that
passive acoustics can provide upper bounds on depredation rates; however, the
observed correlation breaks down whenever three or more whales are present.
PMID- 24926503
TI - Spatial release from simultaneous echo masking in bat sonar.
AB - Big brown bats (Eptesicus fuscus) use biosonar to navigate and locate objects in
their surroundings. During natural foraging, they often encounter echoes returned
by a target of interest located to the front while other, often stronger, clutter
echoes are returned from objects, such as vegetation, located to the sides or
above. Nevertheless, bats behave as if they do not suffer interference from this
clutter. Using a two-choice delay discrimination procedure, bats were tested for
the masking effectiveness of clutter echoes on target echoes when the target
echoes were delivered from the bat's front while clutter echoes were delivered
from 90 degrees overhead, a direction of lowpass filtering by the external ears.
When clutter echoes are presented from the front at the same delay as target
echoes, detection performance declines and clutter masking occurs. When the
clutter echoes are presented at the same delay but from overhead, discrimination
performance is unaffected and no masking occurs. Thus there is masking release
for simultaneous off-axis lowpass clutter compared to masking by simultaneous
clutter from the front. The bat's performance for simultaneous target and clutter
echoes indicates a new role for the mechanism that separates overlapping echoes
by decomposing the bat's auditory time-frequency representation.
PMID- 24926505
TI - Responses of free-living coastal pelagic fish to impulsive sounds.
AB - The behavior of wild, pelagic fish in response to sound playback was observed
with a sonar/echo sounder. Schools of sprat Sprattus sprattus and mackerel
Scomber scombrus were examined at a quiet coastal location. The fish were exposed
to a short sequence of repeated impulsive sounds, simulating the strikes from a
pile driver, at different sound pressure levels. The incidence of behavioral
responses increased with increasing sound level. Sprat schools were more likely
to disperse and mackerel schools more likely to change depth. The sound pressure
levels to which the fish schools responded on 50% of presentations were 163.2 and
163.3 dB re 1 MUPa peak-to-peak, and the single strike sound exposure levels were
135.0 and 142.0 dB re 1 MUPa(2) s, for sprat and mackerel, respectively,
estimated from dose response curves. For sounds leading to mackerel responses,
particle velocity levels were also estimated. The method of observation by means
of a sonar/echo sounder proved successful in examining the behavior of
unrestrained fish exposed to different sound levels. The technique may allow
further testing of the relationship between responsiveness, sound level, and
sound characteristics for different types of man-made sound, for a variety of
fish species under varied conditions.
PMID- 24926507
TI - Mythologising.
PMID- 24926506
TI - A study on Rayleigh wave dispersion in bone according to Mindlin's Form II
gradient elasticity.
AB - The classical elasticity cannot effectively describe bone's mechanical behavior
since only homogeneous media and local stresses are assumed. Additionally, it
cannot predict the dispersive nature of the Rayleigh wave which has been reported
in experimental studies and was also demonstrated in a previous computational
study by adopting Mindlin's Form II gradient elasticity. In this work Mindlin's
theory is employed to analytically determine the dispersion of Rayleigh waves in
a strain gradient elastic half-space. An isotropic semi-infinite space is
considered with properties equal to those of bone and dynamic behavior suffering
from microstructural effects. Microstructural effects are considered by
incorporating four intrinsic parameters in the stress analysis. The results are
presented in the form of group and phase velocity dispersion curves and compared
with existing computational results and semi-analytical curves calculated for a
simpler case of Rayleigh waves in dipolar gradient elastic half-spaces.
Comparisons are also performed with the velocity of the first-order antisymmetric
mode propagating in a dipolar plate so as to observe the Rayleigh asymptotic
behavior. It is shown that Mindlin's Form II gradient elasticity can effectively
describe the dispersive nature of Rayleigh waves. This study could be regarded as
a step toward the ultrasonic characterization of bone.
PMID- 24926508
TI - Lorcaserin. In obesity: unacceptable risks.
AB - Treatment of obesity and overweight is based primarily on dietary measures and
physical exercise.There are still no drugs with a favourable harm-benefit balance
in this setting. Lorcaserin, a "selective" 5HT2C serotonin receptor agonist, has
been refused marketing authorisation in the European Union despite approval in
the United States. Clinical evaluation of lorcaserin is based on three placebo
controlled trials, each lasting one year, in a total of about 6000 patients. Two
trials involved obese patients, and one obese patients with type 2 diabetes. The
results of these trials are undermined by the large proportion (40% to 50%) of
patients who were lost to follow-up before the end of the trial. None of the
trials examined the impact of lorcaserin on the clinical complications of
obesity. From an average initial weight of about 100 kg, patients taking
lorcaserin lost only about 3 kg more than those in the placebo groups.The
patients put on weight again after lorcaserin was discontinued. Adverse effects
observed in clinical trials were mainly gastrointestinal (dry mouth, nausea) and
neuropsychiatric (dizziness, fatigue, headache, euphoria). The incidence of
cardiac valve disorders was higher with lorcaserin than with placebo. These
trials were too short in duration to exclude a risk of cancer (breast cancer and
astrocytoma) that was reported in experimental animals. This serotonin agonist is
metabolised by the liver, creating a risk of multiple drug interactions. In
practice, lorcaserin has not been shown to prevent complications of obesity or
even lead to substantial weight loss.There is therefore no justification for
exposing patients to the risk of adverse effects.
PMID- 24926509
TI - "Selectivity": an attractive but often misleading notion.
PMID- 24926510
TI - Tapentadol. Acute or chronic pain: no therapeutic advance.
AB - The standard opioids for relieving moderate to severe pain are: codeine as a step
2 analgesic and morphine for step 3. Tapentadol is an opioid similar to tramadol.
An immediate-release form has been authorised in France for moderate to severe
acute pain in adults and a sustained-release form for severe chronic pain in
adults. It has been evaluated in comparative trials in several types of acute
pain: pain following orthopaedic or gynaecological surgery or tooth extraction,
and joint pain. These trials were principally designed to show its analgesic
effect versus placebo.They did not establish the equianalgesic dose ratios of
tapentadol to codeine, morphine or oxycodone. In chronic pain, one trial compared
sustained-release tapentadol versus sustained-release morphine, but only
unconvincing preliminary results are available.The results of other trials versus
sustained-release oxycodone are unconvincing, because half of the patients were
lost to follow-up. The known adverse effects of tapentadolare mainly those
associated with all opioids, including neuropsychiatric disorders and addiction.
Aggression and serotonin syndrome are possible reactions that require further
investigation. Gastrointestinal disorders appeared less common with tapentadol
than with oxycodone, but the data could well be biased due to the use of a
relative overdose of oxycodone in the trials. In practice, the evaluation of
tapentadol was not designed to show whether this drug represents a therapeutic
advance. Its analgesic efficacy remains unclear, and it provokes the adverse
effects common to all opioids.
PMID- 24926511
TI - Tenofovir in HIV-infected children. Antiretroviral efficacy, but beware of
adverse effects on bone and the kidneys.
AB - Beware of tenofovir-induced kidney and bone disorders. The granule form carries a
risk of dosing errors and has a particularly strong, unpleasant taste.
PMID- 24926512
TI - INN common stem; -cept.
PMID- 24926513
TI - Nicotine replacement products: poisoning in children.
AB - Nicotine is widely used in smoking cessation aids. They are marketed in many
forms, including: chewing gum, sublingual tablets, lozenges, transdermal patches,
cartridges for oral inhalation, and mouth spray. French poison control and toxico
vigilance centres identified 318 cases of exposure to nicotine replacement
products in children under the age of 10 years between 2000 and 2010. The
exposure provoked symptoms in 62 of these children, about two-thirds of whom were
under the age of 4 years. A U.S. analysis identified 1768 cases of poisoning in
children under the age of 6 years involving smokeless tobacco products, reported
between 2006 and 2008.84% of these cases occurred in children under the age of 3
years. The first signs of nicotine poisoning are gastrointestinal (vomiting,
diarrhoea), cardiovascular (tachycardia, hypertension) and neuropsychological
(tremor of the extremities). With higher doses, these effects are rapidly
followed by loss of consciousness, convulsions or respiratory failure. In
children, poisoning can occur after ingestion of 1 mg of nicotine per kilogram of
body weight. A dose of this magnitude is sometimes fatal in adults. Most cases of
poisoning involving transdermal patches occur when a child finds an unused patch,
or a used patch that an adult has discarded in a bin without taking proper
precautions. Sometimes they involve patches that have become detached from an
adult's skin. In practice, it is important to warn adults using smoking cessation
aids containing nicotine that these products are dangerous
PMID- 24926514
TI - The pharmacology of nicotine in brief.
PMID- 24926515
TI - Gliptins: severe pancreatic and cutaneous disorders.
PMID- 24926516
TI - Benfluorex: left heart valve disease very common.
PMID- 24926517
TI - Antiseptics: sometimes the cause of infection.
PMID- 24926518
TI - Mirtazapine: rhabdomyolysis.
PMID- 24926519
TI - Antithrombotic and cytotoxic drugs: too often fatal.
PMID- 24926520
TI - Bleeding associated with uterine leiomyomas. Tailor treatment to the individual
patient.
AB - Uterine leiomyomas are benign, often asymptomatic, tumours of the uterus. When
they are symptomatic, the most frequent symptom is heavy, prolonged menstrual
bleeding, which stops at menopause. When this blood loss causes iron-deficiency
anaemia, iron supplementation is justified. Various treatments aimed at reducing
uterine blood loss are proposed for women with leiomyoma-related bleeding. As of
late 2013, what is the harm-benefit balance of these treatments? To answer this
question, we reviewed the available data using the standard Prescrire
methodology. Hysterectomy (removal of the uterus) is the most radical treatment
for the clinical manifestations of uterine leiomyomas. Serious complications
sometimes occur. Nine randomised trials including a total of 1553 patients found
no advantage in leaving the cervix intact. Randomised trials in about 4500
patients showed that the vaginal approach is preferable to an open abdominal or
laparoscopic approach: in particular, it resulted in fewer infections and less
bleeding. Myomectomy (selective removal of uterine leiomyomas) is another
surgical option, especially when the patient wishes to preserve her fertility.
Its evaluation is mainly based on noncomparative case series. As with
hysterectomy, it exposes patients to the risk of serious complications. Symptoms
recur in 4% to 27% of cases.The reintervention rate is 4% to 20%. Injections of
the gonadorelin agonists leuprorelin or triptorelin reduce bleeding associated
with leiomyomas, according to several randomised trials. They have not been
demonstrated to reduce the need for transfusion or to facilitate subsequent
surgery for leiomyomas.The harm-benefit balance of prolonged use of these drugs
is unfavourable, due to their numerous adverse effects. The oral progesterone
receptor antagonist ulipristal reduces excessive bleeding, but has not been
demonstrated to facilitate subsequent surgery.The effects on the endometrium of
taking ulipristal for more than 3 months are unknown. The progesterone antagonist
mifepristone reduced bleeding in small randomised trials, but increased the
incidence of endometrial hyperplasia. The levonorgestrel-releasing intrauterine
device has mainly been evaluated in non-comparative studies, which suggest that
it reduces menstrual bleeding in women with leiomyomas. The risk of expulsion of
the device in women with leiomyomas appears to be about 20%. Its main adverse
effects are rare cases of acne, depression, headache, weight gain and breast
tenderness. Very little evaluation data is available on oral progestins in this
situation. A progestin, such as norethisterone, taken from the 5th to the 26th
day of the menstrual cycle seems to reduce menstrual blood loss and has a
contraceptive effect. Oral progestins expose women to an increased risk of venous
thromboembolism and possibly increase the risk of breast cancer. Their harm
benefit balance seems less favourable than that of the levonorgestrel-releasing
intrauterine device. The thrombotic risk associated with tranexamic acid is
unclear, but deserves serious consideration given the drug's uncertain efficacy.
In practice, the treatment should be chosen with the patient, based on various
factors, including severity of symptoms, age, desire to preserve fertility or the
uterus, characteristics of the leiomyomas, and patient preference. As of late
2013, when drug treatment is considered useful in postponing surgery or while
awaiting menopause, the levonorgestrel-releasing intrauterine device is the first
choice. Oral progestins are another alternative, although their adverse effects
are unclear when taken for several months. It is better to avoid exposing
patients to the other available drugs, and to choose iron supplementation for
women who develop anaemia.
PMID- 24926521
TI - Uterine artery embolisation: an alternative to surgery?
AB - According to comparative evaluation in about 900 patients, uterine artery
embolisation may expose patients to a lower risk of serious complications than
surgery. But it reduces fertility.
PMID- 24926522
TI - Drug packaging in 2013: small changes would reap big benefits.
AB - Drug packaging is important both in protecting and informing patients. Some
improvements were made in 2013, but many of the products examined by Prescrire
still had poor-quality or even dangerous packaging. Problem packaging is a major
concern for patients who are more vulnerable to adverse effects, particularly
children and pregnant women. Several problems were noted with products intended
for self-medication (umbrella brands), oral solutions sold with dosing devices,
and injectable drugs. Looking back at 20 years of Red Cards that Prescrire has
issued to products with dangerous packaging reveals several improvements, but too
many dangers persist. Urgent action needs to be taken by regulatory agencies and
drug companies: patient leaflets must be more explicit with regard to adverse
effects, especially those of nonsteroidal anti-inflammatory drugs during
pregnancy; accidental ingestion by children must be prevented; and companies must
design safer dosing devices. Healthcare professionals and patients must remain
vigilant and report all packaging issues to the relevant authorities.
PMID- 24926524
TI - Porous anodic aluminum oxide: anodization and templated synthesis of functional
nanostructures.
PMID- 24926523
TI - Prescrire's red cards for drug packaging, 1991-2012: some improvements, but many
dangers persist.
PMID- 24926525
TI - Glycemic response of a carbohydrate-protein bar with ewe-goat whey.
AB - In this study we examined the glycaemic index (GI) and glycaemic load (GL) of a
functional food product, which contains ewe-goat whey protein and carbohydrates
in a 1:1 ratio. Nine healthy volunteers, (age, 23.3 +/- 3.9 years; body mass
index, 24.2 +/- 4.1 kg.m2; body fat %, 18.6 +/- 10.0) randomly consumed either a
reference food or amount of the test food both with equal carbohydrate content in
two visits. In each visit, seven blood samples were collected; the first sample
after an overnight fast and the remaining six at 15, 30, 45, 60, 90 and 120 min
after the beginning of food consumption. Plasma glucose concentration was
measured and the GI was determined by calculation of the incremental area under
the curve. The GL was calculated using the equation: test food GI/100 g available
carbohydrates per test food serving. The GI of the test food was found to be 5.18
+/- 3.27, while the GL of one test food serving was 1.09 +/- 0.68. These results
indicate that the tested product can be classified as a low GI (<55) and low GL
(<10) food. Given the health benefits of low glycaemic response foods and whey
protein consumption, the tested food could potentially promote health beyond
basic nutrition.
PMID- 24926526
TI - Are the dietary guidelines for meat, fat, fruit and vegetable consumption
appropriate for environmental sustainability? A review of the literature.
AB - This paper reviews the current literature around the environmental impacts of
dietary recommendations. The focus of the review is on collating evidence
relating to environmental impacts of the dietary advice found in the World Health
Organisation guidelines, and environmental impact literature: reducing the
consumption of fat, reducing the consumption of meat-based protein and animal
based foods, and increasing the consumption of fruit and vegetables. The
environmental impact of reducing dietary fat intake is unclear, although reducing
consumption of the food category of edible fats and oils appears to have little
impact. However most, but not all, studies support environmental benefits of a
reduced consumption of animal-based foods and increased consumption of fruit and
vegetables. In general, it appears that adhering to dietary guidelines reduces
impact on the environment, but further study is required to examine the
environmental impacts of animal-based foods, and fruit and vegetable intake in
depth.
PMID- 24926527
TI - Can mitochondrial dysfunction be a predictive factor for oxidative stress in
patients with obstructive sleep apnea?
AB - Mitochondrial dysfunction reflects a lifelong cumulative burden of cellular
damage, and a decrease in mitochondrial DNA (mtDNA) copy number is associated
with oxidative stress and chronic inflammation. The goal of this study was to
assess whether mitochondrial dysfunction and a decrease in mtDNA copy number are
common features of patients with obstructive sleep apnea syndrome (OSA). We
compared mtDNA copy number between 20 healthy volunteers and 20 patients with OSA
and investigated whether a significant attenuation of mtDNA copy number was
observed in genomic DNA isolated from whole blood of OSA patients. Our
observations lead to the hypothesis that mtDNA copy number is lower in whole
blood DNA of OSA subjects and might be related to OSA severity, reflecting
excessive oxidative stress in patients with OSA.
PMID- 24926528
TI - Cytotoxicity and chemosensitizing activity of amphiphilic poly(glycerol)
poly(alkylene oxide) block copolymers.
AB - All polymeric chemosensitizers proposed thus far have a linear poly(ethylene
glycol) (PEG) hydrophilic block. To testify whether precisely this chemical
structure and architecture of the hydrophilic block is a prerequisite for
chemosensitization, we tested a series of novel block copolymers containing a
hyperbranched polyglycerol segment as a hydrophilic block (PPO-NG copolymers) on
multi-drug-resistant (MDR) tumor cells in culture. PPO-NG copolymers inhibited
MDR of three cell lines, indicating that the linear PEG can be substituted for a
hyperbranched polyglycerol block without loss of the polymers' chemosensitizing
activity. The extent of MDR reversal increased with the polymers affinity toward
the cells and the expression level of P-glycoprotein. In contrast with Pluronic
L61, which increases viability of tumor cells in the absence of drugs, PPO-NG
chemosensitizers are completely devoid of this property undesired in cancer
therapy, making them promising candidates for application as novel MDR reversal
agents.
PMID- 24926529
TI - Pulmonary toxicity in a rabbit model of stereotactic lung radiation therapy:
efficacy of a radioprotector.
AB - This study aimed to assess the efficacy of the radioprotector amifostine in
limiting radiation toxicity in a rabbit model of lung stereotactic body radiation
therapy (SBRT) by correlating contrast-enhanced magnetic resonance angiography
(ce-MRA), computed tomography (CT), and helium-3 (He-3) magnetic resonance
imaging (MRI) with histopathology. Multiple MRI techniques were tested to obtain
complementing physiologic information. Thirteen rabbits received SBRT to the
right lower lobe of the lung. Specifically, 4 received 3 * 11 Gray (Gy), 6
received 3 * 11 Gy and 50 mg/kg of amifostine pre-SRBT, and 3 received 3 * 7, 3 *
9, or 3 * 13 Gy. Imaging was performed at baseline and 4, 8, 12, and 16 weeks
post-SBRT. Ce-MRA perfusion difference between lungs in the irradiated group at
16 weeks post-treatment was statistically significant (P = .04) whereas the
difference in the irradiated + amifostine group was not (P = .30). Histologically
observed low red blood cell (RBC) count and CT hypodensity suggests changes were
primarily related to perfusion; however, structural changes, such as increased
alveolar size, were also present. No changes in He-3 MRI lung ventilation were
observed in either group. Although radiation-induced injury detected in rabbits
as CT hypodensity contrasted with increased density observed in humans/rodents,
the changes in ce-MRA and CT were still significantly reduced after the addition
of amifostine to SBRT. Use of CT and selected MRI techniques helped to pinpoint
primary physiologic changes.
PMID- 24926530
TI - Effect of brachytherapy on NF-kappaB and VEGF in gastric carcinoma xenografts.
AB - Iodine-125 (125I) seed irradiation can be used as an important supplementary
treatment for unresectable advanced gastric cancer. However, the radiobiological
mechanism underlying brachytherapy remains unclear. Therefore, we investigated
the influence of continuous and low-energy 125I irradiation on the cell cycle
distribution, apoptosis, expression of NF-kappaB and VEGF and tumor growth in a
human gastric cancer xenograft model. To create an animal model of gastric
cancer, SGC-7901 cells were surgically implanted into mice. The 60 mice bearing
SGC-7901 gastric cancer xenografts were randomly separated into 2 groups. Sham
seeds (0 mCi) were implanted into the control group (n=30); 125I seeds (0.6 mCi)
were implanted into the treatment group (n=30). At 28 days after irradiation,
apoptosis was detected by flow cytometry. fluorescence micrograph detected
intense VEGF and NF-kappaB immunofluorescence in the tumor samples, and changes
in NF-kappaB and VEGF mRNA and protein expression were assessed by real-time PCR
and western blot analysis, respectively. The tumor volume and weight were
measured 0-28 days after 125I seed implantation. 125I seed irradiation induced
significant apoptosis and G2/M phase arrest. Reduction in the intensities of VEGF
and NF-kappaB immunofluorescence in tumor vessels was observed after treatment.
NF-kappaB and VEGF mRNA and protein expression levels were substantially lower in
the implantation treatment group than in the control group. Consequently, 125I
seed implantation inhibited cancer growth and reduced cancer volume. The present
study revealed that 125I seed irradiation significantly induced apoptosis and
cell cycle arrest in the human gastric cancer xenografts. 125I-induced changes in
NF-kappaB and VEGF expression are suggested as potential mechanisms underlying
effective brachytherapy.
PMID- 24926532
TI - Enzyme-responsive hydrogel microparticles for pulmonary drug delivery.
AB - Poly(ethylene glycol) based hydrogel microparticles were developed for pulmonary
drug delivery. Hydrogels are particularly attractive for pulmonary delivery
because they can be size engineered for delivery into the bronchi, yet also swell
upon reaching their destination to avoid uptake and clearance by alveolar
macrophages. To develop enzyme-responsive hydrogel microparticles for pulmonary
delivery a new synthesis method based on a solution polymerization was developed.
This method produces spherical poly(ethylene glycol) (PEG) microparticles from
high molecular weight poly(ethylene glycol) diacrylate (PEGDA)-based precursors
that incorporate peptides in the polymer chain. Specifically, we have synthesized
hydrogel microparticles that degrade in response to matrix metalloproteinases
that are overexpressed in pulmonary diseases. Small hydrogel microparticles with
sizes suitable for lung delivery by inhalation were obtained from solid
precursors when PEGDA was dissolved in water at a high concentration. The average
diameter of the particles was between 2.8 and 4 MUm, depending on the molecular
weight of the precursor polymer used and its concentration in water. The relation
between the physical properties of the particles and their enzymatic degradation
is also reported, where an increased mesh size corresponds to increased
degradation.
PMID- 24926531
TI - The DCDC2/intron 2 deletion and white matter disorganization: focus on
developmental dyslexia.
AB - INTRODUCTION: The DCDC2 gene is involved in neuronal migration. Heterotopias have
been found within the white matter of DCDC2-knockdown rats. A deletion in
DCDC2/intron 2 (DCDC2d), which encompasses a regulatory region named 'regulatory
element associated with dyslexia 1' (READ1), increases the risk for dyslexia. We
hypothesized that DCDC2d can be associated to alterations of the white matter
structure in general and in dyslexic brains. METHODS: Based on a full-factorial
analysis of covariance (ANCOVA) model, we investigated voxel-based diffusion
tensor imaging (VB-DTI) data of four groups of subjects: dyslexia with/without
DCDC2d, and normal readers with/without DCDC2d. We also tested DCDC2d effects
upon correlation patterns between fractional anisotropy (FA) and reading scores.
RESULTS: We found that FA was reduced in the left arcuate fasciculus and splenium
of the corpus callosum in subjects with versus without DCDC2d, irrespective of
dyslexia. Subjects with dyslexia and DCDC2d showed reduced FA, mainly in the left
hemisphere and in the corpus callosum; their counterpart without DCDC2d showed
similar FA alterations. Noteworthy, a conjunction analysis in impaired readers
revealed common regions with lower FA mainly in the left hemisphere. When we
compared subjects with dyslexia with versus without DCDC2d, we found lower FA in
the inferior longitudinal fasciculus and genu of the corpus callosum,
bilaterally. Normal readers with versus without DCDC2d had FA increases and
decreases in both the right and left hemisphere. DISCUSSION: The major
contribution of our study was to provide evidence relating genes, brain and
behaviour. Overall, our findings support the hypothesis that DCDC2d is associated
with altered FA. In normal readers, DCDC2-related anatomical patterns may mark
some developmental cognitive vulnerability to learning disabilities. In subjects
with dyslexia, DCDC2d accounted for both common - mainly located in the left
hemisphere - and unique - a more severe and extended pattern - alterations of
white matter fibre tracts.
PMID- 24926533
TI - Metabolites and metals in Metazoa--what role do phytochelatins play in animals?
AB - Phytochelatins are sulfur-rich metal-binding peptides, and phytochelatin
synthesis is one of the key mechanisms by which plants protect themselves against
toxic soft metal ions such as cadmium. It has been known for a while now that
some invertebrates also possess functional phytochelatin synthase (PCS) enzymes,
and that at least one species, the nematode Caenorhabditis elegans, produces
phytochelatins to help detoxify cadmium, and probably also other metal and
metalloid ions including arsenic, zinc, selenium, silver, and copper. Here, we
review recent studies on the occurrence, utilization, and regulation of
phytochelatin synthesis in invertebrates. The phytochelatin synthase gene has a
wide phylogenetic distribution, and can be found in species that cover almost all
of the animal tree of life. The evidence to date, though, suggests that the
occurrence is patchy, and even though some members of particular taxonomic groups
may contain PCS genes, there are also many species without these genes. For
animal species that do possess PCS genes, some of them (e.g. earthworms) do
synthesize phytochelatins in response to potentially toxic elements, whereas
others (e.g. Schistosoma mansoni, a parasitic helminth) do not appear to do so.
Just how (and if) phytochelatins in invertebrates complement the function of
metallothioneins remains to be elucidated, and the temporal, spatial, and metal
specificity of the two systems is still unknown.
PMID- 24926534
TI - High-performance aminated poly(phenylene sulfide)/ZnO nanocomposites for medical
applications.
AB - An aminated poly(phenylene sulfide) derivative (PPS-NH2) has been melt-blended
with different contents of ZnO nanoparticles, and the morphology, thermal,
mechanical, tribological, antibacterial, and dielectric properties of the
resulting nanocomposites have been investigated. The nanoparticles were dispersed
within the matrix without the need for surfactants or coupling agents. A gradual
rise in the crystallization temperature and the degree of crystallinity was found
with increasing ZnO loading, confirming that the nanoparticles act as nucleating
agents for PPS-NH2 crystallization. The nanoparticles reduced the water
absorption and strongly increased the thermal stability of the matrix, leading to
an extraordinary increase in the initial degradation temperature of 80 degrees C
at 8.0 wt % nanoparticle content. The results showed that the stiffness,
strength, toughness, glass transition, and heat distortion temperature were
remarkably enhanced, whereas the coefficient of thermal expansion decreased upon
addition of ZnO, ascribed to strong hydrogen bonding interactions between the
amino groups of the matrix and the hydroxyl moieties of the nanoparticles.
Moreover, the nanocomposites retained the tensile properties after being exposed
to several cycles of steam sterilization. More importantly, an unprecedented drop
in wear rate of nearly 100-fold was attained in the nanocomposite with the
highest loading, demonstrating the suitability of these nanoparticles for
providing wear resistance to the matrix. All the nanocomposites displayed low
dielectric constant and dielectric loss, hence can be employed as insulating
materials in electrosurgical applications. They also exhibited active inhibition
against both Gram-positive and Gram-negative bacteria, which was gradually
enhanced with increasing ZnO content. These nanocomposites are suitable as
lightweight high-performance materials in the field of medicine and dentistry.
PMID- 24926535
TI - Selective functionalization and loading of biomolecules in crystalline silicon
nanotube field-effect-transistors.
AB - Crystalline silicon nanotubes (Si NTs) provide distinctive advantages as
electrical and biochemical analysis scaffolds through their unique morphology and
electrical tunability compared to solid nanowires or amorphous/non-conductive
nanotubes. Such potential is investigated in this report. Gate-dependent four
probe current-voltage analysis reveals electrical properties such as resistivity
to differ by nearly 3 orders of magnitude between crystalline and amorphous Si
NTs. Analysis of transistor transfer characteristics yields a field effect
mobility of 40.0 cm(2) V(-1) s(-1) in crystalline Si NTs. The hollow morphology
also allows selective inner/outer surface functionalization and loading
capability either as a carrier for molecular targets or as a nanofluidic channel
for biomolecular assays. We present for the first time a demonstration of
internalization of fluorescent dyes (rhodamine) and biomolecules (BSA) in Si NTs
as long as 22 MUm in length.
PMID- 24926536
TI - Abdominal obesity modifies long-term associations between periodontitis and
markers of systemic inflammation.
AB - OBJECTIVE: Periodontitis is considered to promote atherosclerosis and
cardiovascular diseases through increased low-grade systemic inflammation.
However, there is no information on the long-term impact of periodontitis on
systemic inflammation from cohort studies. Thus, this study aims to assess the
impact of periodontitis on systemic inflammation (fibrinogen and white blood
cells (WBC)) in a population-based longitudinal survey in north-eastern Germany.
METHODS: The study sample comprised 2622 subjects from the Study of Health in
Pomerania with complete 5- and 11-year follow-ups. Periodontitis was assessed by
probing depth and clinical attachment level. Multilevel regression analyses were
applied to evaluate associations between periodontitis measures and i)
fibrinogen/WBC count using 11-year follow-up data and ii) respective z-scores of
fibrinogen/WBC count using 5- and 11-year follow-up data. We adjusted for common
cardiovascular risk factors and stratified analyses by abdominal obesity (P for
interaction <0.10). RESULTS: In lean subjects, beta-coefficients of mean probing
depth were B = 0.13 (0.08-0.019; P < 0.001) for fibrinogen and B = 0.50 (0.37
0.64; P < 0.001) for WBC count using 11-year follow-up data only. For lean
subjects, models using z-scores confirmed that increased mean probing depths were
associated with increased fibrinogen z-scores (B = 0.14 (0.09-0.18; P < 0.001))
and increased WBC z-scores (B = 0.16 (0.11-0.20; P < 0.001)). Consistent results
were found for mean clinical attachment levels. For abdominally obese subjects,
relations between periodontitis measures and levels of inflammation markers were
less pronounced or non-significant. CONCLUSION: Modified by abdominal obesity,
periodontitis affected systemic inflammation in a significant dose-dependent
manner. Results contribute to the discussion on how periodontitis is linked to
atherosclerosis and cardiovascular diseases.
PMID- 24926537
TI - A new piece in the puzzling effect of n-3 fatty acids on atherosclerosis?
AB - Omega-3 fatty acids (n-3) FA are reported to be protective against cardiovascular
disease (CVD), notably through their beneficial action on atherosclerosis
development. In this context dietary intake of long-chain marine eicosapentaenoic
acid (EPA) and docosahexaenoic acid (DHA) is recommended and randomised trials
largely support that EPA and DHA intake is associated with a reduction of CVD.
However, mechanisms governing the atheroprotective action of n-3 FA are still
unclear and numerous studies using mouse models conducted so far do not allow to
reach a precise view of the cellular and molecular effects of n-3 FA on
atherosclerosis. In the current issue of Atherosclerosis, Chang et al. provide
important new information on the anti-atherogenic properties of n-3 FA by
analysing the incremental replacement of saturated FA by pure fish oil as a
source of EPA and DHA in Ldlr(-/-) mice fed a high fat/high cholesterol diet.
PMID- 24926538
TI - Antifungal amphidinol 18 and its 7-sulfate derivative from the marine
dinoflagellate Amphidinium carterae.
AB - Two new polyketides of the amphidinol family, amphidinol 18 (AM18, 1) and its
corresponding 7-sulfate derivative (AM19, 2), have been isolated from the MeOH
extract of the dinoflagellate Amphidinium carterae. Structure elucidation of the
two polyoxygenated molecules has been accomplished by extensive use of
spectroscopic and spectrometric techniques. AM18 exhibited antifungal activity
against Candida albicans at 9 MUg/mL.
PMID- 24926540
TI - Lung cancer screening using low-dose computed tomography--keeping participants
out of Harm's way.
PMID- 24926541
TI - Cigarette smoking and systemic therapy for lung cancer: considering the evidence
to improve cancer care.
PMID- 24926542
TI - Effects of cigarette smoking on metabolism and effectiveness of systemic therapy
for lung cancer.
AB - INTRODUCTION: Cigarette smoke associated polycyclic aromatic hydrocarbons can
induce key drug-metabolizing enzymes of cytochrome P450 and isoforms of the
glucuronyl transferases families. These enzymes metabolize several systemic
therapies for lung cancer. Induction of these enzymes may lead to accelerated
clearance with resultant impact on systemic therapy efficacy and toxicity in
smokers compared with nonsmokers. This article reviews published literature
regarding the influence of smoking as it relates to alteration of metabolism of
systemic therapy in lung cancer. METHODS: A structured search of the National
Library of Medicine's PubMed/MEDLINE identified relevant articles. Data were
abstracted and analyzed to summarize the findings. RESULTS: Studies that analyzed
pharmacokinetic data were prospective. Smokers receiving erlotinib exhibited
rapid clearance, requiring a higher dose to reach equivalent systemic exposure
compared with nonsmokers. Smokers receiving irinotecan also demonstrated
increased clearance and lower systemic exposure. There was no difference in
clearance of paclitaxel or docetaxel in smokers. Chemotherapy-associated
neutropenia was worse in nonsmokers compared with smokers in patients treated
with paclitaxel, docetaxel, irinotecan, and gemcitabine. CONCLUSIONS: Systemic
therapy for lung cancer has a narrow therapeutic index such that small changes in
plasma concentrations or exposure in smokers may result in suboptimal therapy and
poor outcomes. Smoking cessation must be emphasized at each clinical visit.
However, prospective trials should take into consideration the effects of smoking
history on drug pharmacokinetics and efficacy. The metabolizing enzyme phenotype
in smokers may require individualized dose algorithms for specific agents.
PMID- 24926543
TI - Feasibility and potential utility of multicomponent exhaled breath analysis for
predicting development of radiation pneumonitis after stereotactic ablative
radiotherapy.
AB - INTRODUCTION: In this prospective pilot study, we evaluated the feasibility and
potential utility of measuring multiple exhaled gases as biomarkers of radiation
pneumonitis (RP) in patients receiving stereotactic ablative radiotherapy (SABR)
for lung tumors. METHODS: Breath analysis was performed for 26 patients receiving
SABR for lung tumors. Concentrations of exhaled nitric oxide (eNO), carbon
monoxide (eCO), nitrous oxide (eN2O), and carbon dioxide (eCO2) were measured
before and immediately after each fraction using real-time, infrared laser
spectroscopy. RP development (CTCAE grade >=2) was correlated with baseline gas
concentrations, acute changes in gas concentrations after each SABR fraction, and
dosimetric parameters. RESULTS: Exhaled breath analysis was successfully
completed in 77% of patients. Five of 20 evaluable patients developed RP at a
mean of 5.4 months after SABR. Acute changes in eNO and eCO concentrations,
defined as percent changes between each pre-fraction and post-fraction
measurement, were significantly smaller in RP versus non-RP cases (p = 0.022 and
0.015, respectively). In an exploratory analysis, a combined predictor of
baseline eNO greater than 24 parts per billion and acute decrease in eCO less
than 5.5% strongly correlated with RP incidence (p =0.0099). Neither eN2O nor
eCO2 concentrations were significantly associated with RP development. Although
generally higher in patients destined to develop RP, dosimetric parameters were
not significantly associated with RP development. CONCLUSIONS: The majority of
SABR patients in this pilot study were able to complete exhaled breath analysis.
Baseline concentrations and acute changes in concentrations of exhaled breath
components were associated with RP development after SABR. If our findings are
validated, exhaled breath analysis may become a useful approach for noninvasive
identification of patients at highest risk for developing RP after SABR.
PMID- 24926544
TI - Final efficacy and safety results of pemetrexed continuation maintenance therapy
in the elderly from the PARAMOUNT phase III study.
AB - INTRODUCTION: The PARAMOUNT Phase III trial showed that maintenance pemetrexed
after pemetrexed plus cisplatin induction was well tolerated and effective for
patients with advanced nonsquamous non-small-cell lung cancer. Approximately 17%
of patients receiving maintenance therapy in this study were 70 years of age or
older. Here we report efficacy and safety results from the PARAMOUNT study for
elderly (>=70 years) and non-elderly (<70 years) patients. METHODS: Final
efficacy and safety data from the PARAMOUNT study were analyzed post hoc using
subgroup analyses for elderly and non-elderly patients. RESULTS: The median age
was 73 years in the elderly subgroup (n = 92) and 60 years in the non-elderly
subgroup (n = 447). Subgroups had similar baseline characteristics, except for a
higher percentage of males and patients with a performance status of one in the
elderly subgroup. For elderly patients, the median PFS was 6.4 months for
pemetrexed and 3.0 months for placebo; the median OS was 13.7 months for
pemetrexed and 12.1 months for placebo. For non-elderly patients, the median PFS
was 4.0 months for pemetrexed and 2.8 months for placebo; the median OS was 13.9
months for pemetrexed and 10.8 months for placebo. Elderly patients experienced
similar levels of low-grade toxicities, but had a higher percentage of grade 3/4
anemia and neutropenia than non-elderly patients, although importantly, this did
not translate into increased febrile neutropenia. CONCLUSIONS: Continuation
maintenance pemetrexed had comparable survival and toxicity profiles in the
elderly and non-elderly subgroups. However, grade 3/4 anemia and neutropenia were
numerically higher for elderly patients.
PMID- 24926546
TI - Prognostic factors for cure, recurrence and long-term survival after surgical
resection of thymoma.
AB - INTRODUCTION: To determine long-term outcome and risk factors for recurrence
after thymectomy. METHODS: Patients who underwent thymectomy (n = 262) for a
thymic tumor (1986-2010) were identified from a prospective database. Patients
were classified according to World Helath Organization (WHO) histologic
classification, Masaoka staging system, and completeness of resection. Risk
factors for recurrence: WHO histology, tumor size, Masaoka stage and completeness
of resection were analyzed. RESULTS: Of 262 patients, 51% were female, median age
was 55 years, and 39% had myasthenia gravis. Median follow-up was 7.5 years,
median tumor size was 5.4 cm, and Masaoka stage distribution was: I (25%), II
(47%), III (17%), IV (4%), and (7%) not classified. Of 200 patients classified
under the WHO system, there were (7%) type A, (22%) type AB, and (71%) type B;
83% had complete resection. One-hundred and sixty-nine patients received adjuvant
radiotherapy, eight adjuvant chemoradiotherapy and 14 neoadjuvant
chemoradiotherapy. Overall survival was 95% at 5 years, 91% at 10 years and 91%
at 15 years. Recurrence occurred in 12 patients and disease-related death in four
patients. Five patients underwent re-resection for recurrence with survival of 2
15 years. Only Masaoka stage and tumor size were associated with statistically
significant risk of recurrence on multivariate analysis. CONCLUSION: Resectable
thymoma is associated with excellent prognosis. Aggressive resection of recurrent
disease yielded excellent long-term results. Higher Masaoka stage is associated
with a greater chance of incomplete resection. Higher Masaoka stage and
increasing tumor size are independent factors associated with recurrence.
PMID- 24926545
TI - Frequent coamplification and cooperation between C-MYC and PVT1 oncogenes promote
malignant pleural mesothelioma.
AB - INTRODUCTION: Malignant pleural mesothelioma (MPM) is a deadly disease with poor
prognosis and few treatment options. We characterized and elucidated the roles of
C-MYC and PVT1 involved in the pathogenesis of MPM. METHODS: We used small
interfering RNA (siRNA)-mediated knockdown in MPM cell lines to determine the
effect of C-MYC and PVT1 abrogation on MPM cells undergoing apoptosis,
proliferation, and cisplatin sensitivity. We also characterized the expression of
microRNAs spanning the PVT1 region in MPM cell lines. Copy number analysis was
measured by quantitative polymerase chain reaction and fluorescence in situ
hybridization. RESULTS: Copy number analysis revealed copy number gains (CNGs) in
chromosomal region 8q24 in six of 12 MPM cell lines. MicroRNA analysis showed
high miR-1204 expression in MSTO-211H cell lines with four copies or more of
PVT1. Knockdown by siRNA showed increased PARP-C levels in MSTO-211H transfected
with siPVT1 but not in cells transfected with siC-MYC. C-MYC and PVT1 knockdown
reduced cell proliferation and increased sensitivity to cisplatin. Analysis of
the expression of apoptosis-related genes in the MSTO-211H cell line suggested
that C-MYC maintains a balance between proapoptotic and antiapoptotic gene
expression, whereas PVT1 and, to a lesser extent, miR-1204 up-regulate
proapoptotic genes and down-regulate antiapoptotic genes. Fluorescence in situ
hybridization analysis of MPM tumor specimens showed a high frequency of both
CNGs (11 of 75) and trisomy (three copies; 11 of 75) for the C-MYC locus.
CONCLUSION: Our results suggest that C-MYC and PVT1 CNG promotes a malignant
phenotype of MPM, with C-MYC CNG stimulating cell proliferation and PVT1 both
stimulating proliferation and inhibiting apoptosis.
PMID- 24926547
TI - Preoperative computed tomography findings predict surgical resectability of
thymoma.
AB - INTRODUCTION: The aim of the study was to identify preoperative computed
tomography (CT) imaging characteristics that correlated with surgical
resectability. METHODS: We retrospectively reviewed the CT scans of 133 patients
who underwent surgical resection for thymoma at our institution between July 21,
1997, and September 22, 2010. Imaging characteristics recorded included tumor
size, attenuation, contact of mediastinal vessels, tumor morphology, infiltration
of surrounding fat, changes in the adjacent lung parenchyma, lymphadenopathy, and
pleural involvement. RESULTS: The study group included 66 men and 67 women, aged
23-88 years (mean 58.8 years). Eighty patients (60.2%) were Masaoka stage I or II
and 53 (39.8%) were Masaoka stage III or IV. Twenty-three patients (17.3%) had an
incomplete surgical resection. Of these, 15 patients had microscopic residual
disease (11.2%) and eight had gross residual disease (6.0%). The preoperative CT
characteristics that correlated with an incomplete surgical resection included a
lobulated tumor contour (p = 0.016), greater than or equal 50% abutment of the
circumference of an adjacent vessel (p < 0.001), thoracic lymphadenopathy (p =
0.029), adjacent lung changes (p = 0.005) and pleural nodularity (p = 0.001).
Tumor size was larger in the incompletely versus completely resected groups, with
mean values of 9.7 and 6.9 cm (p value 0.013). On multivariate analysis, only
degree of abutment of adjacent vessels and pleural nodularity were independent
predictors of incomplete resection. CONCLUSIONS: Preoperative CT findings can
predict the likelihood of successful surgical resection and could help to
identify patients who might benefit from neoadjuvant chemotherapy.
PMID- 24926548
TI - A randomized, phase 2 trial of Docetaxel with or without PX-866, an irreversible
oral phosphatidylinositol 3-kinase inhibitor, in patients with relapsed or
metastatic non-small-cell lung cancer.
AB - INTRODUCTION: The phosphotidylinositol-3 kinase/serine-threonine kinase
(AKT)/mammalian target of rapamycin signaling pathway is frequently altered in
non-small-cell lung cancer (NSCLC). PX-866 is an oral, irreversible, pan-isoform
inhibitor of phosphotidylinositol-3 kinase. Preclinical models revealed synergy
with docetaxel and a phase 1 trial demonstrated tolerability of this combination.
This randomized phase 2 study evaluated PX-866 combined with docetaxel in
patients with advanced, refractory NSCLC. METHODS: Patients with locally
advanced, recurrent, or metastatic NSCLC who had received at least one and no
more than two prior systemic treatment regimens were randomized (1:1) to a
combination of docetaxel (75 mg/m intravenous every 21 days) with or without PX
866 (8 mg orally daily; arms A and B, respectively). The primary end point was
progression-free survival (PFS). Secondary end points included objective response
rate, overall survival (OS), toxicity, and correlation of biomarker analyses with
efficacy outcomes. RESULTS: A total of 95 patients were enrolled. Median PFS was
2 months in arm A and 2.9 months in arm B (p = 0.65). Objective response rates
were 6% and 0% in arms A and B, respectively (p = 0.4). There was no difference
in OS between the two arms (7.0 versus 9.2 months; p = 0.9). Grade 3 or higher
adverse events were infrequent, but more common in the combination arm with
respect to diarrhea (7% versus 2%), nausea (4% versus 0%), and vomiting (7%
versus 0%). PIK3CA mutations or PTEN loss were infrequently observed. CONCLUSION:
The addition of PX-866 to docetaxel did not improve PFS, response rate, or OS in
patients with advanced, refractory NSCLC without molecular preselection.
PMID- 24926549
TI - B7-H1 expression in malignant pleural mesothelioma is associated with sarcomatoid
histology and poor prognosis.
AB - INTRODUCTION: B7 homolog 1 (B7-H1; aka programmed cell death 1 ligand 1) is a
negative costimulatory molecule that is associated with poor prognosis in many
tumor types. Given the poor prognosis and the limited treatments available for
mesothelioma, we decided to examine B7-H1 expression and its association with
survival in patients with mesothelioma. METHODS: Expression of B7-H1 was
determined in 106 patients using a mouse monoclonal antihuman B7-H1 (clone 5H1
A3) antibody with immunohistochemistry. Positive expression was defined as >=5%
positively stained cells. Clinicopathologic features and survival were compared
between B7-H1-positive and B7-H1-negative groups. RESULTS: Malignant
mesotheliomas of 42 patients (40%) expressed B7-H1. Patients with B7-H1-postive
tumors were less likely to be offered or undergo therapeutic surgery (p = 0.03).
All sarcomatoid mesotheliomas except one desmoplastic subtype expressed B7-H1.
Survival was significantly decreased for patients whose tumors expressed B7-H1 (5
months median, 2-9.5 months interquartile range) compared with those whose tumors
did not (14.5 months, 9.25-19 months; p < 0.0001). In a multivariate model, B7-H1
expression and sarcomatoid mesothelioma remained significantly associated with
worse survival (risk ratio 1.71, 95% confidence interval 1.03-2.78 [p = 0.04] and
risk ratio 2.18, 1.08-4.23 [p = 0.03], respectively). CONCLUSIONS: B7-H1 is
expressed in a substantial proportion of malignant pleural mesotheliomas and is
associated with poor survival. Almost all malignant pleural mesotheliomas with
sarcomatoid differentiation expressed B7-H1. The expression of B7-H1 may have
important therapeutic implications for the management of malignant pleural
mesothelioma.
PMID- 24926550
TI - 9q33.3, a stress-related chromosome region, contributes to reducing lung squamous
cell carcinoma risk.
AB - BACKGROUND: Human chromosome 9q33.3 is one of the most important loci for
pathophysiological stresses with complex genetic traits. We hypothesized that the
common single-nucleotide polymorphisms on this region may affect non-small-cell
lung cancer risk. METHODS: We genotyped 43 single-nucleotide polymorphisms that
span 13 genes on 9q33.3 in two independent cohorts: the discovery study including
485 cases and 532 controls (North China) and the replicative study including 1063
cases and 1247 controls (South China). Both of the discovery cohort and the
replicative cohort were included in the combined study. RESULTS: In the discovery
study, we identified a potential protective locus rs10118570 in mitogen-activated
protein kinase associated protein 1 with a lower population attributable risk
under logistical regression adjusted by age, gender, smoking, and drinking status
(adjusted odds ratio [OR] 0.26, 95% confidence interval [CI] 0.10-0.71, p = 1.138
* 10 for genotype GG in lung squamous cell carcinoma). This protective quality
increased in a dose-dependent manner as genotype GG decreased (ptrend = 9.675 *
10). Replicative and combined studies showed consistent association for this
genotype (replicative: adjusted OR 0.36, 95% CI 0.20-0.66, p = 6.109 * 10, ptrend
= 7.386 * 10; combined: adjusted OR 0.33, 95% CI 0.18-0.55, p = 1.259 * 10,
ptrend = 7.725 * 10). CONCLUSION: We concluded that mitogen-activated protein
kinase associated protein 1 rs10118570 may be an important protective factor for
developing better management strategies in lung squamous cell carcinoma.
PMID- 24926551
TI - Multiplexed molecular profiling of lung cancer using pleural effusion.
AB - INTRODUCTION: Pleural effusion is frequently observed in patients with advanced
lung cancer. Although effusion can be obtained less invasively and repeatedly,
its use in multiplexed molecular profiling has not been fully investigated.
METHODS: Between July 2011 and April 2013, pleural effusion samples were obtained
from patients with lung cancer at Shizuoka Cancer Center. They were analyzed for
EGFR, KRAS, BRAF, PIK3CA, NRAS, MEK1, AKT1, PTEN, and HER2 mutations, EGFR, MET,
FGFR1, FGFR2, and PIK3CA amplifications, and ALK, ROS1, and RET fusion genes
using pyrosequensing and/or capillary electrophoresis, quantitative reverse
transcriptase polymerase chain reaction, and reverse-transcriptase polymerase
chain reaction, respectively. RESULTS: One hundred and two samples from 84
patients were analyzed. Adenocarcinoma was the most common histological subtype
(82%). Genetic abnormalities were detected in 42% of patients. The most common
abnormality was EGFR mutation (29%), followed by EML4-ALK rearrangement (5%),
KRAS mutation, and EGFR amplification (4%, each). Concordance rates between
pleural effusion and matched formalin-fixed, paraffin-embedded samples were 88%.
Among 11 patients who provided samples at multiple time points, changes in
molecular profile over the course of treatment were observed in five patients.
CONCLUSIONS: The use of pleural effusion for multiplexed molecular testing and
real-time monitoring in lung cancer was demonstrated.
PMID- 24926552
TI - Multiple pulmonary chondroid hamartoma.
PMID- 24926553
TI - Detection of EGFR mutations in the plasma of patients with lung adenocarcinoma
for real-time monitoring of therapeutic response to tyrosine kinase inhibitors?
PMID- 24926554
TI - A severe photosensitivity dermatitis caused by crizotinib.
PMID- 24926555
TI - Palliative radiotherapy for malignant airway obstruction requiring mechanical
ventilation: answer or anguish?
PMID- 24926556
TI - Future directions in palliative radiotherapy for malignant airway obstruction
requiring mechanical ventilation.
PMID- 24926557
TI - Coexistence of tyrosine kinase inhibitor-sensitizing and resistant EGFR mutations
in an untreated lung adenocarcinoma patient and response to erlotinib.
PMID- 24926558
TI - To the editor.
PMID- 24926559
TI - In response.
PMID- 24926560
TI - Curcumin increases gelatinase activity in human neutrophils by a p38 mitogen
activated protein kinase (MAPK)-independent mechanism.
AB - Curcumin has been found to possess anti-inflammatory activities and neutrophils,
key players in inflammation, were previously found to be important targets to
curcumin in a few studies. For example, curcumin was found to induce apoptosis in
neutrophils by a p38 mitogen-activated protein kinase (MAPK)-dependent mechanism.
However, the role of curcumin on the biology of neutrophils is still poorly
defined. To study the role of curcumin on neutrophil degranulation and to
determine the role of p38 MAPK, human neutrophils were freshly isolated from
healthy individuals and incubated in vitro with curcumin. Degranulation was
studied at three levels: surface expression of granule markers by flow cytometry;
release of matrix metallopeptidase-9 (MMP-9 or gelatinase B) enzyme into
supernatants by Western blot; and gelatinase B activity by zymography. Activation
of p38 MAPK was studied by monitoring its tyrosine phosphorylation levels by
western blot and its role by the utilization of a pharmacological inhibitor. The
results indicate that curcumin increased the cell surface expression of CD35
(secretory vesicle), CD63 (azurophilic granules), and CD66b (gelatinase granules)
in neutrophils. Also, curcumin increased the release and enzymatic activity of
gelatinase B in the extracellular milieu and activated p38 MAP kinase in these
cells. However, in contrast to fMLP, curcumin-induced enzymatic activity and
secretion of gelatinase B were not reversed by use of a p38 inhibitor. Finally,
it was found that curcumin was able to enhance phagocytosis. Taken together, the
results here demonstrate that curcumin induced degranulation in human neutrophils
and that the increased gelatinase activity is not dependent on p38 MAPK
activation. Therefore, degranulation is another human neutrophil function that
could be modulated by curcumin, as well as phagocytosis.
PMID- 24926561
TI - Water is a key factor to alter the structure and electrochemical properties of
carboxylate-bridged dimanganese(II) complexes.
AB - The synthesis and physical properties of dimanganese(II) compounds with varying
numbers of water ligands housed in the four bulky carboxylate motifs, including
the first complex with a parallelogram core {Mn2(MU-OH2)2(MU-O2CR)}(3+) unit, are
described. The isolation of these complexes revealed how water could alter the
structural and electrochemical properties of similar carboxylate-bridged
dimanganese(II) cores that may occur in a variety of active sites of Mn
containing metalloenzymes. These studies support the notion that water molecules
in coordination spheres of active sites of metalloproteins are not a simple
spectator medium but the modulation factor of structures and functions.
PMID- 24926562
TI - n->pi* interactions engender chirality in carbonyl groups.
AB - An n->pi* interaction stems from the delocalization of the electron pair (n) of a
donor group into the antibonding orbital (pi*) of a carbonyl group.
Crystallographic analyses of five pairs of diastereoisomers demonstrate that an n
>pi* interaction can induce chirality in an otherwise planar, prochiral carbonyl
group. Thus, a subtle delocalization of electrons can have stereochemical
consequences.
PMID- 24926563
TI - Combined effects of asbestos and cigarette smoke on the development of lung
adenocarcinoma: different carcinogens may cause different genomic changes.
AB - The carcinogens in cigarette smoke are distinct from asbestos. However, an
understanding of their differential effects on lung adenocarcinoma development
remains elusive. We investigated loss of heterozygosity (LOH) and the p53
mutation in 132 lung adenocarcinomas, for which asbestos body burden (AB; in
numbers per gram of dry lung) was measured using adjacent normal lung. All cases
were classified into 9 groups based on a matrix of cumulative smoking (CS in pack
years; CS=0, 0=25 CS) and AB (AB=0, 0=1,000 AB). AB=0
indicates a lower level than the detection limit of ~100. LOH frequency increased
only slightly with the elevation of CS in the AB=0 groups. In the AB>0 groups,
LOH frequency increased as AB and/or CS was elevated and was significantly higher
in the >=1,000 AB, >=25 CS group (p=0.032). p53 mutation frequency was the lowest
in the AB=0, CS=0 group, increased as AB and/or CS rose, and was significantly
higher in the >=1,000 AB, >=25 CS group (p=0.039). p53 mutations characteristic
of smoking were frequently observed in the CS>0 groups contrary to non-specific
mutations in the CS=0, AB>0 groups. Combined effects of asbestos and smoking were
suggested by LOH and p53 analyses. Sole exposure to asbestos did not increase LOH
frequency but increased non-specific p53 mutations. These findings indicate that
the major carcinogenic mechanism of asbestos may be tumor promotion, acting in an
additive or synergistic manner, contributing to the genotoxic effect of smoking.
Since this study was based on a general cancer center's experience, the limited
sample size did not permit the consideration that the result was conclusive.
Further investigation with a large sample size is needed to establish the
mechanism of asbestos-induced lung carcinogenesis.
PMID- 24926565
TI - A novel flavin derivative reveals the impact of glucose on oxidative stress in
adipocytes.
AB - We present the synthesis and characterisation of naphthalimide-flavin redox
sensor 1 (NpFR1), a novel flavin molecule that is almost non-fluorescent in
reduced form, but oxidation gives rise to a 125-fold increase in fluorescence.
Confocal microscopy experiments confirm that is sensitive to redox changes within
living cells.
PMID- 24926564
TI - Proteomic quantification and site-mapping of S-nitrosylated proteins using
isobaric iodoTMT reagents.
AB - S-Nitrosylation is a redox-based protein post-translational modification in
response to nitric oxide signaling and is involved in a wide range of biological
processes. Detection and quantification of protein S-nitrosylation have been
challenging tasks due to instability and low abundance of the modification. Many
studies have used mass spectrometry (MS)-based methods with different thiol
reactive reagents to label and identify proteins with S-nitrosylated cysteine
(SNO-Cys). In this study, we developed a novel iodoTMT switch assay (ISA) using
an isobaric set of thiol-reactive iodoTMTsixplex reagents to specifically detect
and quantify protein S-nitrosylation. Irreversible labeling of SNO-Cys with the
iodoTMTsixplex reagents enables immune-affinity detection of S-nitrosylated
proteins, enrichment of iodoTMT-labeled peptides by anti-TMT resin, and
importantly, unambiguous modification site-mapping and multiplex quantification
by liquid chromatography-tandem MS. Additionally, we significantly improved anti
TMT peptide enrichment efficiency by competitive elution. Using ISA, we
identified a set of SNO-Cys sites responding to lipopolysaccharide (LPS)
stimulation in murine BV-2 microglial cells and revealed effects of S-allyl
cysteine from garlic on LPS-induced protein S-nitrosylation in antioxidative
signaling and mitochondrial metabolic pathways. ISA proved to be an effective
proteomic approach for quantitative analysis of S-nitrosylation in complex
samples and will facilitate the elucidation of molecular mechanisms of
nitrosative stress in disease.
PMID- 24926566
TI - Influence of olive leaf processing on the bioaccessibility of bioactive
polyphenols.
AB - Olive leaves are rich in bioactive compounds, which are beneficial for humans.
The objective of this work was to assess the influence of processing conditions
(drying and extraction) of olive leaves on the extract's bioaccessibility. Thus,
extracts obtained from dried olive leaves (hot air drying at 70 and 120 degrees
C or freeze-drying) by means of conventional or ultrasound-assisted extraction
were subjected to in vitro digestion. Antioxidant capacity, total phenolic
content, and HPLC-DAD/MS/MS analysis were carried out during digestion. The
dehydration treatment used for the olive leaves did not have a meaningful
influence on bioaccessibility. The digestion process significantly (p<0.05)
affected the composition of the extracts. Oleuropein and verbascoside were quite
resistant to gastric digestion but were largely degraded in the intestinal phase.
Nevertheless, luteolin-7-O-glucoside was the most stable polyphenol during the in
vitro simulation (43% bioaccessibility). Therefore, this compound may be taken
into consideration in further studies that focus on the bioactivity of olive leaf
extracts.
PMID- 24926567
TI - Oxidation of purine nucleotides by Triplet 3,3',4,4'-benzophenone tetracarboxylic
acid in aqueous solution: pH-dependence.
AB - The photo-oxidation of purine nucleotides adenosine-5'-monophosphate (AMP) and
guanosine-5'-monophosphate (GMP) by 3,3',4,4'-benzophenone tetracarboxylic acid
(TCBP) has been investigated in aqueous solutions using nanosecond laser flash
photolysis (LFP) and time-resolved chemically induced dynamic nuclear
polarization (CIDNP). The pH dependences of quenching rate constants and of
geminate polarization are measured within a wide range of pH values. As a result,
the chemical reactivity of reacting species in different protonation states is
determined. In acidic solution (pH < 4.9), the quenching rate constant is close
to the diffusion-controlled limit: kq = 1.3 * 10(9) M(-1) s(-1) (GMP), and kq =
1.2 * 10(9) M(-1) s(-1) (AMP), whereas in neutral and basic solutions it is
significantly lower: kq = 2.6 * 10(8) M(-1) s(-1) (GMP, 4.9 < pH < 9.4), kq = 3.5
* 10(7) M(-1) s(-1) (GMP, pH > 9.4), kq = 1.0 * 10(8) M(-1) s(-1) (AMP, pH >
6.5). Surprisingly, the strong influence of the protonation state of the
phosphoric group on the oxidation of adenosine-5'-monophosphate is revealed: the
deprotonation of the AMP phosphoric group (6.5) decreases the quenching rate
constant from 5.0 * 10(8) M(-1) s(-1) (4.9 < pH < 6.5) to 1.0 * 10(8) M(-1) s(-1)
(pH > 6.5).
PMID- 24926568
TI - The effects of anxiety and exercise-induced fatigue on shooting accuracy and
cognitive performance in infantry soldiers.
AB - Operational performance in military settings involves physical and mental skills
that are generally investigated separately in lab settings, leading to reduced
ecological validity. Therefore, we investigated the effects of anxiety and
exercise-induced fatigue, separately and in combination, on cognitive and
shooting performance of 22 soldiers in a real-world setting. Findings indicated
that soldiers' shooting accuracy and decision-making and mathematical skills
decreased significantly under anxiety. Whether exercise-induced fatigue was
beneficial or detrimental to task performance depended on the task at hand. The
increased arousal levels through exercise prevented shooting accuracy from
deteriorating in the decision task. In contrast, cognitive performance suffered
from the increased arousal: participants more often failed to shoot when being
fired at by an opponent and also math performance seemed to decrease. We conclude
that anxiety can deteriorate soldier performance and that exercise-induced
fatigue may improve or deteriorate performance in combination with anxiety
depending on the nature of the task. PRACTITIONER SUMMARY: Soldiers encounter
anxiety and exercise-induced fatigue. We investigated to what degree these
factors influence soldiers' shooting and cognitive performance. Experimental
manipulation of anxiety and exercise during a representative field course
indicated decreased performance under anxiety. Exercise prevented shooting
accuracy from deteriorating under anxiety, although cognitive performance was
negatively affected after exercise.
PMID- 24926571
TI - Ulinastatin attenuates renal interstitial inflammation and inhibits fibrosis
progression in rats under unilateral ureteral obstruction.
AB - The aim of the present study was to examine the protective effects of the urinary
trypsin inhibitor ulinastatin (UTI) on renal interstitial inflammation and
fibrosis in rats subjected to unilateral ureteral obstruction (UUO). A total of
24 male Wistar rats were randomly divided into the three groups; the sham
operation (SOR) group (n=8), the UUO group (n=8) and the UUO+UTI group (post-UUO
UTI treatment, n=8). UUO was performed with complete ligation of the left ureter.
As a medical intervention, saline (4 ml kg-1 d-1) and UTI (40000 units kg-1 d-1)
were injected, respectively, into the animals of the corresponding groups on day
one following surgery. The rats in all three groups were euthanized on day seven
post surgery. Blood samples were harvested for blood urea nitrogen (BUN) and
serum creatinine (Scr) content measurements. The degree of interstitial
pathological changes in the tissues from the obstructed kidneys were observed
through hematoxylin and eosin (H&E) and Masson staining. The CD68+ macrophage
amount, tumor necrosis factor-alpha (TNF-alpha), interleukin 1beta (IL-1beta),
nuclear factor-kappaB (NF-kappaB), transforming growth factor-beta1 (TGF-beta1)
and type I collagen (Col-I) levels were examined immunohistochemically. The
protein expression levels of NF-kappaB were examined using western blot analysis.
Total superoxide dismutase (SOD) activity and malondialdehyde (MDA) content of
homogenates were measured spectrophotometrically. The results revealed that
ulinastatin had no statistically significant effect on the BUN and Scr levels
(P>0.05). However, in comparison with the SOR group, the UUO group exhibited
significantly more severe renal interstitial pathological injury in terms of
tubular dilation, epithelial atrophy, renal interstitial inflammatory cell
infiltration and proliferation of fibrous tissues, as well as significantly
elevated levels of interstitial CD68+ macrophages, IL-1beta, TNF-alpha, NF
kappaB, TGF-beta1 and Col-I (P<0.01). UTI treatment significantly reduced UUO
induced renal interstitial damage with reduced levels of interstitial CD68+
macrophages, IL-1beta, TNF-alpha, NF-kappaB, TGF-beta1 and Col-I and MDA
(P<0.05), and increased SOD levels (P<0.05). In conclusion, the present study
indicated that UTI is able to effectively inhibit UUO-side renal interstitial
inflammatory reaction and fibrosis in UUO-inflicted rats.
PMID- 24926572
TI - Efficient asymmetric synthesis of spiro-2(3H)-furanones via phase-transfer
catalyzed alkynylation.
AB - Efficient asymmetric synthesis of spiro-2(3H)-furanones was achieved via phase
transfer-catalyzed highly enantioselective alkynylation of cyclic beta-keto
esters with hypervalent iodine reagents.
PMID- 24926574
TI - Editorial.
PMID- 24926573
TI - Nonsurgical periocular rejuvenation: advanced cosmetic uses of neuromodulators
and fillers.
AB - PURPOSE OF REVIEW: To review the current literature regarding aesthetic
enhancement using facial neuromodulators and fillers and to present advanced
techniques using facial injectables for periocular rejuvenation. RECENT FINDINGS:
The authors provide a summary of traditional periocular locations for the
injection of neuromodulators and dermal fillers. The authors also present novel
and advanced techniques utilizing injectables in the periocular region. SUMMARY:
Minimally invasive procedures with little-to-no recovery time are continuing to
increase in popularity. Neuromodulators and hyaluronic acid gel fillers have been
shown to be well tolerated and efficacious nonsurgical alternatives in periocular
rejuvenation.
PMID- 24926575
TI - A new philosophy for schizophrenia care.
PMID- 24926576
TI - Nefazodone: An update.
AB - Nefazodone is an antidepressant with some novel characteristics in regard to its
putative mechanism of action. Although the clinical significance of these
properties is not clear, nefazodone is unique in that it is associated with
minimal effects on sleep architecture and sexual functioning. Use of nefazodone
to treat anxiety disorders appears to have promise, although placebo-controlled
studies are still needed. Nefazodone is a potent inhibitor of CYP3A4, and thus
numerous potential drug interactions must be carefully considered in order to
avoid potential therapeutic misadventures. Recent reports indicate that
nefazodone can cause severe hepatic toxicity. Evaluation of hepatic function
before, and periodically after, therapy with nefazodone is initiated would be
prudent. Nefazodone may be an appropriate antidepressant when potential
anxiolytic activity would be beneficial and there is a need to avoid adverse
effects on sexual functioning or sleep architecture.
PMID- 24926577
TI - The role of genetics in suicide and the link with major depression and
alcoholism.
AB - A clear genetic influence in suicide has been established. In addition, both the
serotonergic and noradrenergic systems appear to have a role in suicide, mood
disorders and alcoholism. This paper reviews some of the genes that may possibly
be involved in suicide and their link to major depression and alcoholism. The
genes that are reviewed act on various enzymes within the serotonergic and
catecholaminergic systems. With further study, these entities may form a spectrum
along the same disease process associated with variable expressivity of the
responsible genes.
PMID- 24926578
TI - Depression therapy: Future prospects.
AB - Current biological approaches to the treatment of depression focus mainly on
modification of monoaminergic neurotransmission. New agents targeting these
neurotransmitters are under development. Many novel antidepressant targets are
however under investigation. These include the neurokinins, glutamate,
purinoceptors, opioids and trophic factors. While many of these potential targets
are likely to fail clinical development, exciting novel therapeutic options are
likely to emerge.
PMID- 24926579
TI - The long-term effect of quetiapine (Seroquel TM ) monotherapy on weight in
patients with schizophrenia.
AB - INTRODUCTION: Quetiapine (Seroquel TM ) is an atypical antipsychoticdrug with
demonstrated efficacy and tolerability. In particular, placebo-level
extrapyramidal symptoms (EPS) across the entire dose range and a low propensity
to cause sexual dysfunction suggest it may be associated with greater patient
acceptability than alternative treatments. However, other side-effects, such as
weight gain, may also have a significant impact on treatment acceptability.
METHOD: We report the long-term weight changes observed in a cohort of 427
patients with schizophrenia from controlled and open-label extension (OLE)
trials, in which quetiapine (mean dose 475 mg/day after 1 year) was the only
antipsychotic medication during the OLE period. RESULTS: In these patients, there
was no overall effect on weight across the body mass index (BMI) spectrum. There
were no dose-related effects on weight, and only one patient withdrew from
treatment due to an adverse event of weight gain. Quetiapine appeared to have a
weightneutral or 'normalizing' effect, with a tendency towards favourable shifts
in bodyweight in underweight patients (BMI<18.5 kg/m 2 ) and severely obese
patients (BMI>35 kg/m 2 ). CONCLUSION: These results indicate that long-term
weight changes with quetiapine monotherapy are minimal and potentially
beneficial, and do not appear to raise the medical concerns associated with some
other atypical agents.
PMID- 24926580
TI - The selective noradrenaline re-uptake inhibitor reboxetine has an early onset of
antidepressant action.
AB - INTRODUCTION: Most antidepressants take several weeks to demonstrate a
therapeutic effect. We examined the time to onset of action of reboxetine, a
unique selective noradrenaline re-uptake inhibitor (selective NRI). METHODS: In a
multinational, multicentre, double-blind, parallel-group study, 56 inpatients
with major depression were randomized to receive placebo or reboxetine titrated
to 10 mg/day for 6 weeks. Efficacy was principally assessed by the Hamilton
Depression Rating Scale. RESULTS: Reboxetine was associated with a significantly
greater reduction in mean HAM-D total score from baseline to last assessment when
compared with placebo. The effect of reboxetine separated from placebo at day 10
(P=0.006), indicated an early onset. In accordance with this observation,
individual HAM-D item scores early showed significant improvements among patients
treated with reboxetine when compared with those who received placebo: mood
improved by day 10 (P=0.004), insomnia and interest in work and daily activities
by day 14 (P=0.006 and 0.003, respectively) and somatic symptoms and anxiety by
day 21 (P<0.001 in both cases). CONCLUSION: Reboxetine is an effective
antidepressant with an early onset of action. Depressed mood is relieved first,
followed by an improvement in interest in daily activities.
PMID- 24926581
TI - The safety and efficacy of zotepine in the treatment of schizophrenia: Results of
a one-year naturalistic clinical trial.
AB - INTRODUCTION AND METHOD: The safety and efficacy of zotepine,75 - 450 mg/day,
were evaluated in an open multicentre one-year study in patients suffering from
acute exacerbation of schizophrenia; total exposure amounted to 152.78 years.
RESULTS: Mean BPRS total score was reduced from 51.7 at baseline to 40.8 at end
point (P<0.05). Similar significant reductions at all study time-points were
recorded for BPRS total and subscores, CGI severity and improvement, BAS total
scores and SANS total and global scores. Significant improvements in EPMS and
AIMS were recorded from week 12 to end-point. Clinically significant improvements
in acute symptoms, detected early in the study, were maintained to end-point.
CONCLUSION: Zotepine was well tolerated: weight gain, reduced serum uric acid,
raised liver enzymes and increased heart rate were associated with chronic
zotepine treatment. Seven patients experienced seizures during the study,
although concomitant medications and a known historical predisposition to seizure
are factors likely to have contributed to these events. The improvements in
negative symptoms and low propensity to cause further extrapyramidal side-effects
support the importance of zotepine in maintenance treatment.
PMID- 24926582
TI - Out of sight, out of mind: Female sexuality and the care plan approach in
psychiatric inpatients.
AB - INTRODUCTION: This study examines how often issues surrounding sexuality were
taken into account when managing 56 women inpatients of reproductive age. METHOD:
Examination of the records of women discharged from the psychiatric wards of a
hospital. RESULTS: 80% for whom there was no mention of contraceptive usage were
taking at least one drug that is inadvisable in pregnancy, and 53% of this group
had an identified sexual partner. There was a lack of recorded data on other
aspects of sexual health. When children were mentioned in the admitting history,
details were incomplete. CONCLUSION: It is argued that a thorough approach to
assessment and care planning is needed in this population.
PMID- 24926583
TI - Sexual dysfunction in depressed patients undergoing treatment with
antidepressants.
AB - INTRODUCTION: To determine the incidence of treatment-induced sexual dysfunction
in depressed outpatients treated with five different antidepressants. METHODS:
100 depressed patients (ICD-10 criteria for depressive episode, recurrent
depressive disorder, and dysthymia) with an active sex life were assessed by the
MADS, the CGI, and the Sexual Function Questionnaire at baseline and at 1, 2, and
4 months. RESULTS: Although the sample showed a slight improvement, generally, in
sexual functioning after 4 months treatment, there were several cases of
deterioration. CONCLUSIONS: Greatest interefernce with sexual functioning occurs
with paroxetine, venlafaxine, and clomipramine.
PMID- 24926584
TI - Relationship of akathisia to aggressive and self-injurious behaviour: A
prevalence study in a UK tertiary referral centre.
AB - INTRODUCTION: Akathisia is a common and distressing side-effect associated with
antipsychotic drug administration. The relationship between akathisia and five
forms of expressed aggression is investigated. METHOD: Sixty-four mentally
disordered patients were assessed for the point prevalence of akathisia, using
Barnes' rating scale for drug-induced akathisia. 1 The five types of aggression
studied were: verbal abuse/ aggression, threatening behaviour/violence, physical
aggression, destruction of property and deliberate self-harm, all of which are
routinely recorded for patients. RESULTS: Fourteen subjects (21.9%) experienced
akathisia, which was approximately four times more likely to occur in women than
in men: four (6.3%) had pseudoakathisia. Akathisia was statistically
significantly associated with threatening behaviour (P<0.05) and physical
aggression (P<0.05). CONCLUSION: The data provide evidence for a relationship
between the experience of akathisia and the expression of two forms of aberrant
behaviour.
PMID- 24926585
TI - Psychiatric inpatients' satisfaction with services: A pilot study.
AB - INTRODUCTION: Fifty-three patients admitted to a psychiatric inpatient unit in
South London were interviewed to ascertain their satisfaction with services
provided for them. METHOD: The level of satisfaction with various aspects of
treatment was evaluated using a 45-item questionnaire. RESULTS: Results showed
that patients were generally satisfied with the staff attitudes and care,
although two-thirds felt that they had not been sufficiently involved in their
own treatment planning. Younger patients reported more frequent difficulties in
the relationship with staff. Patients were generally satisfied with the physical
environment. Patients with a higher number of previous admissions were more
satisfied with the planning of care, and they were less often in conflict with
the staff. Patients admitted compulsorily were less satisfied than voluntary
patients with the overall quality of the help received and said they would rarely
re-contact the service in the future. There was virtually no effect of ethnicity
on the levels of satisfaction in different aspects of care. The only worry
expressed more frequently by African-Caribbean patients was about re-contacting
the service in the future, even though they felt the help received in planning
their discharge was good. CONCLUSION: It would be useful to carry out such
surveys more often.
PMID- 24926586
TI - Olanzapine-induced mania.
AB - This report describes a case of manic symptoms induced by olanzapine in an 85
year-old female with a 3 year history of delusional disorder. She was treated in
the past with trifluoperazine and risperidone. Symptoms were severe enough to
require detention in hospital. Florid manic symptoms resolved two weeks after
stopping olanzapine while only using 1 mg of haloperidol as required.
PMID- 24926587
TI - Oskar Panizza: Psychiatrist, antipsychiatrist, patient The man behind Emil
Kraepelin's case report on 'paraphrenias'.
AB - A contemporary of Emil Kraepelin, Oskar Panizza was a psychiatric trainee under
Bernhard von Gudden at the Oberbayerische Kreisirrenanstalt Munchen. While
participating in Gudden's famous degeneration studies, Panizza became psychotic.
He quit his job and became a writer, trying to cope with psychotic episodes by
publishing literary works. Most of his works were confiscated and Panizza himself
was locked up and persecuted. His experience of his psychotic symptoms made him
critical of the psychiatric orthodoxy of the time, and he preached a kind of
psychological psychiatry that anticipated important features of the
Antipsychiatry movement of the 1970s. After serving a year in prison for his
writings, Panizza left Germany and went to Zurich, Switzerland. In 1898 he was
deported from Switzerland and went to Paris, where his book of poems, Parisiana
and his money were confiscated. In 1901 he had to return to the Oberbayerische
Kreisirrenanstalt where a diagnosis of paranoia was made. Then he lived in Paris
for the next three years, but his psychotic symptoms worsened and he fled back to
the Oberbayerische Kreisirrenanstalt, where he was examined by Prof. Gudden, Dr.
Ungemach and by his former colleague Emil Kraepelin, who had become head of
department. The encounters with Oskar Panizza gave Emil Kraepelin some of the
ideas on which he developed his concept of 'paraphrenias' and in Lectures on
Clinical Psychiatry , Kraepelin illustrated the 'systematic paraphrenias', by the
life of his former colleague Oskar Panizza who died in hospital in Bayreuth in
1921.
PMID- 24926588
TI - A patient who changed my practice: Herb Kern, the first light therapy patient.
AB - This paper presents the case of Herb Kern, the first patient with clear-cut
seasonal mood cycles in whom light therapy was used to reverse depression. His
successful treatment was an inspiration to the author to define the syndrome of
Seasonal Affective Disorder (SAD) and use light therapy as a systematic
controlled treatment for this condition. This is an example of how a single
patient can lead to the recognition of a common condition and a novel treatment
modality.
PMID- 24926589
TI - Chronic fatigue syndrome.
PMID- 24926593
TI - Structural heterogeneity in transmembrane amyloid precursor protein homodimer is
a consequence of environmental selection.
AB - The 99 amino acid C-terminal fragment of amyloid precursor protein (C99),
consisting of a single transmembrane (TM) helix, is known to form homodimers.
Homodimers can be processed by gamma-secretase to produce amyloid-beta (Abeta)
protein, which is implicated in Alzheimer's disease (AD). While knowledge of the
structure of C99 homodimers is of great importance, experimental NMR studies and
simulations have produced varying structural models, including right-handed and
left-handed coiled-coils. In order to investigate the structure of this critical
protein complex, simulations of the C99(15-55) homodimer in POPC membrane bilayer
and DPC surfactant micelle environments were performed using a multiscale
approach that blends atomistic and coarse-grained models. The C99(15-55)
homodimer adopts a dominant right-handed coiled-coil topology consisting of three
characteristic structural states in a bilayer, only one of which is dominant in
the micelle. Our structural study, which provides a self-consistent framework for
understanding a number of experiments, shows that the energy landscape of the C99
homodimer supports a variety of slowly interconverting structural states. The
relative importance of any given state can be modulated through environmental
selection realized by altering the membrane or micelle characteristics.
PMID- 24926594
TI - Correspondence.
PMID- 24926595
TI - Reply: To PMID 23609127.
PMID- 24926596
TI - Improved 4-chlorophenol dechlorination at biocathode in bioelectrochemical system
using optimized modular cathode design with composite stainless steel and carbon
based materials.
AB - This study developed and optimized a modular biocathode materials design in
bioelectrochemical system (BES) using composite metal and carbon-based materials.
The 4-chlorophenol (4-CP) dechlorination could be improved with such composite
materials. Results showed that stainless steel basket (SSB) filled with graphite
granules (GG) and carbon brush (CB) (SSB/GG/CB) was optimum for dechlorination,
followed by SSB/CB and SSB/GG, with rate constant k of 0.0418 +/- 0.0002, 0.0374
+/- 0.0004, and 0.0239 +/- 0.0002 h(-1), respectively. Electrochemical impedance
spectroscopy (EIS) demonstrated that the composite materials with metal can
benefit the electron transfer and decrease the charge transfer resistance to be
80.4 Omega in BES-SSB/GG/CB, much lower than that in BES-SSB (1674.3 Omega), BES
GG (387.3 Omega), and BES-CB (193.8 Omega). This modular cathode design would be
scalable with successive modules for BES scale-up, and may offer useful
information to guide the selection and design of BES materials towards
dechlorination improvement in wastewater treatment.
PMID- 24926597
TI - Ammonia inhibition on Arthrospira platensis in relation to the initial biomass
density and pH.
AB - In this study the combined effect of total ammoniacal nitrogen (TAN)
concentration, initial biomass density and initial pH of the cultivation medium
on growth of Arthrospira platensis was studied. The results indicate that TAN
inhibition in relation to the initial biomass in unregulated pH cultures is
neither a clearly biomass-independent nor biomass-dependent phenomenon. However,
low biomass densities are more susceptible to ammonia inhibition than higher
biomass densities. Higher biomass densities seems to mitigate ammonia inhibition
through rapider assimilation of TAN. In all cases studied the growth rates were
lower compared to the cultures with nitrate as nitrogen source. It was observed
that at low TAN concentration, although no ammonia inhibition occured the growth
rates were decreased due to nitrogen limitation. Low TAN concentration triggered
the accumulation of carbohydrates affecting thus significantly the biomass
composition. Ammonia losses from the cultivation system were also determined.
Ammonia losses ranged between 17% and 80%.
PMID- 24926598
TI - Algae harvesting for biofuel production: influences of UV irradiation and
polyethylenimine (PEI) coating on bacterial biocoagulation.
AB - There is a pressing need to develop efficient and sustainable separation
technologies to harvest algae for biofuel production. In this work, two bacterial
species (Escherichia coli and Rhodococus sp.) were used as biocoagulants to
harvest Chlorella zofingiensis and Scenedesmus dimorphus. The influences of UV
irradiation and polyethylenimine (PEI)-coating on the algal harvesting efficiency
were investigated. Results showed that the UV irradiation could slightly enhance
bacteria-algae biocoagulation and algal harvesting efficiency. In contrast, the
PEI-coated E. coli cells noticeably increased the harvesting efficiencies from
23% to 83% for S. dimorphus when compared to uncoated E. coli cells. Based on the
soft-particle Derjaguin-Landau-Verwey-Overbeek (DLVO) theory, an energy barrier
existed between uncoated E. coli cells and algal cells, whereas the PEI coating
on E. coli cells eliminated the energy barrier, thereby the biocoagulation was
significantly improved. Overall, this work presented groundwork toward the
potential use of bacterial biomass for algal harvesting from water.
PMID- 24926599
TI - System and method for research-scale outdoor production of microalgae and
cyanobacteria.
AB - Eukaryotic microalgae and cyanobacteria have recently reemerged as promising
organisms in the effort to develop sustainable options for production of food and
fuel. However, substantial discrepancies consistently arise between laboratory
and outdoor cultivation, and gains demonstrated using laboratory technologies
have not paralleled gains observed in field demonstrations. For these reasons, a
low-maintenance system and process for research-scale outdoor cultivation of a
variety of both freshwater and marine microalgae and cyanobacteria was developed.
Nine genera were evaluated in the system, demonstrating cultivation of both
laboratory model and commercial-production organisms. Hundreds to thousands of
grams of dry biomass could be produced in a single growth cycle, suitable for a
variety of uses including inoculum generation, protein production, and biofuel
applications. Following testing in outdoor stock-ponds, Scenedesmus and
Nannochloropsis were grown semi-continuously in an 8000 L airlift-driven raceway,
yielding in total over 8 kg of dry biomass for each strain.
PMID- 24926600
TI - Combined process for ethanol fermentation at high-solids loading and biogas
digestion from unwashed steam-exploded corn stover.
AB - A combined process was designed for the co-production of ethanol and methane from
unwashed steam-exploded corn stover. A terminal ethanol titer of 69.8 g/kg mass
weight (72.5%) was achieved when the fed-batch mode was performed at a final
solids loading of 35.5% (w/w) dry matter (DM) content. The whole stillage from
high-solids ethanol fermentation was directly transferred in a 3-L anaerobic
digester. During 52-day single-stage digester operation, the methane productivity
was 320 mL CH4/g volatile solids (VS) with a maximum VS reduction efficiency of
55.3%. The calculated overall product yield was 197 g ethanol + 96 g methane/kg
corn stover. This indicated that the combined process was able to improve overall
content utilization and extract a greater yield of lignocellulosic biomass
compared to ethanol fermentation alone.
PMID- 24926601
TI - Monitoring of thermophilic adaptation of mesophilic anaerobe fermentation of
sugar beet pressed pulp.
AB - Anaerobe fermentation of sugar beet pressed pulp was investigated in pilot-scale
digesters. Thermophilic adaptation of mesophilic culture was monitored using
chemical analysis and metagenomic characterization of the sludge. Temperature
adaptation was achieved by increasing the temperature gradually (2 degrees C
day(-1)) and by greatly decreasing the OLR. During stable run, the OLR was
increased gradually to 11.29 kg VS m(-3)d(-1) and biogas yield was 5% higher in
the thermophilic reactor. VFA levels increased in the thermophilic reactor with
increased OLR (acetic acid 646 mg L(-1), propionic acid 596 mg L(-1)), then VFA
decreased and the operation was manageable beside the relative high tVFA (1300
2000 mg L(-1)). The effect of thermophilic adaptation on the microbial
communities was studied using a sequencing-based metagenomic approach.
Connections between physico-chemical parameters and populations of bacteria and
methanogen archaea were revealed.
PMID- 24926602
TI - Fouling mechanisms of gel layer in a submerged membrane bioreactor.
AB - The fouling mechanisms underlying gel layer formation and its filtration
resistance in a submerged membrane bioreactor (MBR) were investigated. It was
found that gel layer rather than cake layer was more easily formed when soluble
microbial products content in sludge suspension was relatively high.
Thermodynamic analyses showed that gel layer formation process should overcome a
higher energy barrier as compared with cake layer formation process. However,
when separation distance <2.3 nm, attractive interaction energy of gelling
foulant-membrane combination was remarkably higher than that of sludge floc
membrane combination. The combined effects were responsible for gel layer
formation. Filtration tests showed that specific filtration resistance (SFR) of
gel layer was almost 100 times higher than that of cake layer. The unusually high
SFR of gel layer could be ascribed to the gelling propensity and osmotic pressure
mechanism. These findings shed significant light on fouling mechanisms of gel
layer in MBRs.
PMID- 24926603
TI - Pyrolysis condition affected sulfamethazine sorption by tea waste biochars.
AB - Sulfamethazine (SMT) as a veterinary drug has been detected frequently in the
environment. In this study, six biochars produced from tea waste (TW) at 300 and
700 degrees C with or without N2 and steam activation were characterized and
evaluated for SMT sorption in water. The sorption of SMT was interpreted as a
function of biochar production condition, SMT concentration, pH and
physicochemical characteristics of biochar. Distribution coefficient data showed
high sorption of SMT at low pH (~3) and the highest sorption density of 33.81 mg
g(-1) was achieved by the steam activated biochar produced at 700 degrees C. The
steam activation process increased the adsorption capacity by increasing the
surface area of the biochar. The pi-pi electron donor-acceptor interaction,
cation-pi interaction and cation exchange at low pH were the primary mechanisms
governing SMT retention by biochars. Overall, steam activated tea waste biochar
could be a promising remedy of SMT removal from water.
PMID- 24926604
TI - Effects of catalysts and solvents on liquefaction of Onopordum heteracanthum for
production of bio-oils.
AB - Milled Onopordum heteracanthum stalks were converted to liquid products in
organic solvents (methanol, ethanol and acetone) with (KOH and ZnCl2) and without
catalyst in an autoclave at temperatures of 523, 543 and 563 K. Effects of
liquefaction parameters such as catalyst and solvent were investigated. The
percentage yields from supercritical methanol, ethanol and acetone conversions
were 48.2, 50.4 and 66.2 at 563 K in the non-catalytic runs, respectively. In the
catalytic run with ZnCl2, the highest conversion (70.2%) was obtained in acetone
at the same temperature. The obtained liquid products at 563 K were analyzed and
characterized by elemental, Fourier transform infrared spectroscopy, gas
chromatography-mass spectrometry. 106 different compounds have been identified by
GC-MS in the liquid products obtained in methanol at 563 K.
PMID- 24926605
TI - Anaerobic co-digestion of steam-treated Quercus serrata chips and sewage sludge
under mesophilic and thermophilic conditions.
AB - The biodegradation of Quercus serrata chips was evaluated by anaerobic digestion
under various steam explosion conditions. In continuous experiments, untreated
chips (W0) and chips steam-treated at less than 1.0 MPa (W1) and 2.0 MPa (W4)
were co-digested with sewage sludge (S1 and S2) taken from two different
wastewater treatment plants. The apparent methane yield of W1 and W4 co-digested
with S1 (thermophilic) was 261 dm(3)/kgVS (volatile solids) and 248 dm(3)/kgVS,
respectively. The apparent methane yield of W4 co-digested with S2 was 258
dm(3)/kgVS (mesophilic) and 271 dm(3)/kgVS (thermophilic). Methane production was
inhibited by W0 due to components released during hydrolysis. The methane
conversion ratio of pretreated chips obtained in batch experiments varied from
40.5% to 53.8% (mesophilic) and from 49.0% to 63.7% (thermophilic). The methane
conversion ratio increased with decreasing acid-soluble lignin content in the
chips.
PMID- 24926606
TI - Sustainable organic loading rate and energy recovery potential of mesophilic
anaerobic membrane bioreactor for municipal wastewater treatment.
AB - The overall performance of a mesophilic anaerobic membrane bioreactor (AnMBR) for
synthetic municipal wastewater treatment was investigated under a range of
organic loading rate (OLR). A very steady and high chemical oxygen demand (COD)
removal (around 98%) was achieved over a broad range of volumetric OLR of 0.8-10
gCOD/L/d. The sustainable volumetric and sludge OLR satisfying a permeate COD
below 50 mg/L for general reuse was 6 gCOD/L/d and 0.63 gCOD/gMLVSS (mixed liquor
volatile suspended solids)/d, respectively. At a high sludge OLR of over 0.6
gCOD/gMLVSS/d, the AnMBR achieved high methane production of over 300 ml/gCOD
(even approaching the theoretical value of 382 ml/gCOD). A low biomass production
of 0.015-0.026 gMLVSS/gCOD and a sustainable flux of 6L/m(2)/h were observed. The
integration of a heat pump and forward osmosis into the mesophilic AnMBR process
would be a promising way for net energy recovery from typical municipal
wastewater in a temperate area.
PMID- 24926607
TI - Production of adsorbents by pyrolysis of paper mill sludge and application on the
removal of citalopram from water.
AB - This work describes the production of alternative adsorbents from industrial
residues and their application for the removal of a highly consumed
antidepressant (citalopram) from water. The adsorbents were produced by pyrolysis
of both primary and biological paper mill sludge at different temperatures and
residence times. The original sludge and the produced chars were fully
characterized by elemental and proximate analyses, total organic carbon, specific
surface area (BET), N2 isotherms, FTIR, (13)C and (1)H solid state NMR and SEM.
Batch kinetic and equilibrium experiments were carried out to describe the
adsorption of citalopram onto the produced materials. The fastest kinetics and
the highest adsorption capacity were obtained using primary sludge pyrolysed at
800 degrees C during 150 min. The use of pyrolysed paper mill sludge for the
remediation of contaminated waters might constitute an interesting application
for the valorization of those wastes.
PMID- 24926608
TI - Characterization of endolithic cyanobacterial strain, Leptolyngbya sp. ISTCY101,
for prospective recycling of CO2 and biodiesel production.
AB - The present investigation evaluates the potential of an endolithic cyanobacterium
isolated from marble rock to utilize sodium bicarbonate (NaHCO3) as carbon source
for prospective recycling of CO2 into biodiesel. Microalgae thriving on marble
were cultured and subjected to increasing NaHCO3 concentration. The most
competent isolate was identified and characterized in terms of growth, lipid
content and fatty acid profile. A semicontinuous mesh incubator was designed for
biofilm development. Isolate ISTCY101 was identified as Leptolyngbya sp. by 16S
rRNA sequencing. Leptolyngbya ISTCY101 efficiently used BG-11 (50 mM NaHCO3) and
artificial seawater medium (25 gL(-1) NaCl) with biomass productivity 78.9 and
75.74 mg L(-1)d(-1), respectively. Maximum areal biomass productivity of 2.01 gm(
2)d(-1) was recorded in the mesh incubator, with complete exclusion of
centrifugation for harvesting. Lipid content varied from 16% to 21%, consisting
predominantly of C16:0, C16:1, C18:0, C18:1 fatty acids (>60%) making promising
feedstock for biodiesel production.
PMID- 24926609
TI - Hyperexponential and nonmonotonic retention of polyvinylpyrrolidone-coated silver
nanoparticles in an Ultisol.
AB - The increasing application of engineered nanoparticles (ENPs) has heightened the
concern that these ENPs would eventually be released to the environment and may
enter into life cycle of living beings. In this regard, it is essential to
understand how these ENPs transport and retain in natural soils because they are
considered to be a major repository for ENPs. Herein, transport and retention of
polyvinylpyrrolidone (PVP)-coated silver nanoparticles (PVP-AgNPs) were
investigated over a wide range of physicochemical factors in water-saturated
columns packed with an Ultisol rich in clay-size particles. Higher mobility of
PVP-AgNPs occurred at larger soil grain size, lower solution ionic strength and
divalent cation concentration, higher flow rate, and greater PVP concentrations.
Most breakthrough curves (BTCs) for PVP-AgNPs exhibited significant amounts of
retardation in the soil due to its large surface area and quantity of retention
sites. In contrast to colloid filtration theory, the shapes of retention profiles
(RPs) for PVP-AgNPs were either hyperexponential or nonmonotonic (a peak in
particle retention down-gradient from the column inlet). The BTCs and
hyperexponential RPs were successfully described using a 1-species model that
considered time- and depth-dependent retention. Conversely, a 2-species model
that included reversibility of retained PVP-AgNPs had to be employed to better
simulate the BTCs and nonmonotonic RPs. As the retained concentration of species
1 approached the maximum solid-phase concentration, a second mobile species
(species 2, i.e., the same PVP-AgNPs that are reversibly retained) was released
that could be retained at a different rate than species 1 and thus yielded the
nonmonotonic RPs. Some retained PVP-AgNPs were likely to irreversibly deposit in
the primary minimum associated with microscopic chemical heterogeneity (favorable
sites). Transmission electron microscopy and energy-dispersive X-ray spectroscopy
analysis suggested that these favorable sites were positively charged sites on
montmorillonite edges and goethite surfaces in the soil. Overall, our study
highlights that the transport and especially retention of PVP-AgNPs are highly
sensitive to the physicochemical factors, but mathematical modeling can
accurately predict the fate of these ENPs in porous media which is important for
better understanding the fate of these ENPs in point of exit and in the
environment.
PMID- 24926610
TI - Chemical vapor deposition growth of single-walled carbon nanotubes with
controlled structures for nanodevice applications.
AB - Single-walled carbon nanotubes (SWNTs), a promising substitute to engineer
prospective nanoelectronics, have attracted much attention because of their
superb structures and physical properties. The unique properties of SWNTs rely
sensitively on their specific chiral structures, including the diameters, chiral
angles, and handedness. Furthermore, high-performance and integrated circuits
essentially require SWNT samples with well-aligned arrays, of single conductive
type and of pure chirality. Although much effort has been devoted to chemical
vapor deposition (CVD) growth of SWNTs, their structure control, growth
mechanism, and structural characterizations are still the primary obstacles for
the fabrication and application of SWNT-based nanodevices. In this Account, we
focus on our established CVD growth methodology to fulfill the requirements of
nanodevice applications. A rational strategy was successfully exploited to
construct complex architectures, selectively enrich semiconducting (s) or
metallic (m) SWNTs, and control chirality. First, well-aligned and highly dense
SWNT arrays are beneficial for nanodevice integration. For the directed growth
mode, anisotropic interactions between the SWNTs and the crystallographic
structure of substrate are crucial for their growth orientation. Just as crystals
possess various symmetries, SWNTs with controlled geometries have the
corresponding turning angles. Their complex architectures come from the
synergetic effect of lattice and gas flow directed modes. Especially, the aligned
orientations of SWNTs on graphite are chirality-selective, and their chiral
angles, handedness, and (n,m) index have been conveniently and accurately
determined. Second, UV irradiation and sodium dodecyl sulfate (SDS) washing-off
methods have been explored to selectively remove m-SWNTs, leaving only s-SWNT
arrays on the surface. Moreover, the UV-assisted technique takes the advantages
of low cost and high efficiency and it directly produces a high ratio of s-SWNT
arrays. We also designed a smart scotch tape to sort out the s-SWNTs and m-SWNTs
from the as-grown mixture with 3-aminopropyl-triethoxysilane and
triethoxyphenylsilane as glues, respectively. This is analogous to the mechanical
exfoliation of a graphene sheet. Third, the obtained SWNT intramolecular
junctions obtained by temperature-mediated CVD indicate that temperature can
seriously affect the SWNT's chirality during its growth. Importantly, the cloning
method can validate the chirality-controlled growth of SWNTs, and the cloning
efficiency is significantly improved on a quartz surface. Well-aligned SWNT
arrays with a high density and controlled structures are highly desirable for
carbon nanoelectronics. We hope that the advanced methodology used here will
promote their controlled preparation and provide insights into the growth
mechanism of SWNTs.
PMID- 24926611
TI - Plasmonic color palettes for photorealistic printing with aluminum
nanostructures.
AB - We introduce the first plasmonic palette utilizing color generation strategies
for photorealistic printing with aluminum nanostructures. Our work expands the
visible color space through spatially mixing and adjusting the nanoscale spacing
of discrete nanostructures. With aluminum as the plasmonic material, we achieved
enhanced durability and dramatically reduced materials costs with our
nanostructures compared to commonly used plasmonic materials such as gold and
silver, as well as size regimes scalable to higher-throughput approaches such as
photolithography and nanoimprint lithography. These advances could pave the way
toward a new generation of low-cost, high-resolution, plasmonic color printing
with direct applications in security tagging, cryptography, and information
storage.
PMID- 24926612
TI - Does isolated traumatic subarachnoid hemorrhage merit a lower intensity level of
observation than other traumatic brain injury?
AB - Evidence is emerging that isolated traumatic subarachnoid hemorrhage (ITSAH) may
be a milder form of traumatic brain injury (TBI). If true, ITSAH may not benefit
from intensive care unit (ICU) admission, which would, in turn, decrease resource
utilization. We conducted a retrospective review of all TBI admissions to our
institution between February 2010 and November 2012 to compare the presentation
and clinical course of subjects with ITSAH to all other TBI. We then performed
descriptive statistics on the subset of ITSAH subjects presenting with a Glasgow
Coma Score (GCS) of 13-15. Of 698 subjects, 102 had ITSAH and 596 had any other
intracranial hemorrhage pattern. Compared to all other TBI, ITSAH had
significantly lower injury severity scores (p<0.0001), lower head abbreviated
injury scores (p<0.0001), higher emergency department GCS (p<0.0001), shorter ICU
stays (p=0.007), higher discharge GCS (p=0.005), lower mortality (p=0.003), and
significantly fewer head computed tomography scans (p<0.0001). Of those ITSAH
subjects presenting with a GCS of 13-15 (n=77), none underwent placement of an
intracranial monitor or craniotomy. One subject (1.3%) demonstrated a change in
exam (worsened headache and dizziness) concomitant with a progression of his
intracranial injury. His symptoms resolved with readmission to the ICU and
continued observation. Our results suggest that ITSAH are less-severe brain
injuries than other TBI. ITSAH patients with GCS scores of 13-15 demonstrate low
rates of clinical progression, and when progression occurs, it resolves without
further intervention. This subset of TBI patients does not appear to benefit from
ICU admission.
PMID- 24926614
TI - Early exposure of murine embryonic stem cells to hematopoietic cytokines
differentially directs definitive erythropoiesis and cardiomyogenesis in alginate
hydrogel three-dimensional cultures.
AB - HepG2-conditioned medium (CM) facilitates early differentiation of murine
embryonic stem cells (mESCs) into hematopoietic cells in two-dimensional cultures
through formation of embryoid-like colonies (ELCs), bypassing embryoid body (EB)
formation. We now demonstrate that three-dimensional (3D) cultures of alginate
encapsulated mESCs cultured in a rotating wall vessel bioreactor can be
differentially driven toward definitive erythropoiesis and cardiomyogenesis in
the absence of ELC formation. Three groups were evaluated: mESCs in maintenance
medium with leukemia inhibitory factor (LIF, control) and mESCs cultured with
HepG2 CM (CM1 and CM2). Control and CM1 groups were cultivated for 8 days in
early differentiation medium with murine stem cell factor (mSCF) followed by 10
days in hematopoietic differentiation medium (HDM) containing human
erythropoietin, m-interleukin (mIL)-3, and mSCF. CM2 cells were cultured for 18
days in HDM, bypassing early differentiation. In CM1, a fivefold expansion of
hematopoietic colonies was observed at day 14, with enhancement of erythroid
progenitors, hematopoietic genes (Gata-2 and SCL), erythroid genes (EKLF and beta
major globin), and proteins (Gata-1 and beta-globin), although zeta-globin was
not expressed. In contrast, CM2 primarily produced beating colonies in standard
hematopoietic colony assay and expressed early cardiomyogenic markers, anti
sarcomeric alpha-actinin and Gata-4. In conclusion, a scalable, automatable,
integrated, 3D bioprocess for the differentiation of mESC toward definitive
erythroblasts has been established. Interestingly, cardiomyogenesis was also
directed in a specific protocol with HepG2 CM and hematopoietic cytokines making
this platform a useful tool for the study of erythroid and cardiomyogenic
development.
PMID- 24926615
TI - Glycine receptors control the generation of projection neurons in the developing
cerebral cortex.
AB - The development of the cerebral cortex requires coordinated regulation of
proliferation, specification, migration and differentiation of cortical
progenitors into functionally integrated neurons. The completion of the
neurogenic program requires a dynamic interplay between cell intrinsic regulators
and extrinsic cues, such as growth factor and neurotransmitters. We previously
demonstrated a role for extrasynaptic glycine receptors (GlyRs) containing the
alpha2 subunit in cerebral cortical neurogenesis, revealing that endogenous GlyR
activation promotes interneuron migration in the developing cortical wall. The
proliferative compartment of the cortex comprises apical progenitors that give
birth to neurons directly or indirectly through the generation of basal
progenitors, which serve as amplification step to generate the bulk of cortical
neurons. The present work shows that genetic inactivation of Glra2, the gene
coding the alpha2 subunit of GlyRs, disrupts dorsal cortical progenitor
homeostasis with an impaired capability of apical progenitors to generate basal
progenitors. This defect results in an overall reduction of projection neurons
that settle in upper or deep layers of the cerebral cortex. Overall, the
depletion of cortical neurons observed in Glra2-knockout embryos leads to
moderate microcephaly in newborn Glra2-knockout mice. Taken together, our
findings support a contribution of GlyR alpha2 to early processes in cerebral
cortical neurogenesis that are required later for the proper development of
cortical circuits.
PMID- 24926616
TI - Modulation of p53beta and p53gamma expression by regulating the alternative
splicing of TP53 gene modifies cellular response.
AB - In addition to the tumor suppressor p53 protein, also termed p53alpha, the TP53
gene produces p53beta and p53gamma through alternative splicing of exons 9beta
and 9gamma located within TP53 intron 9. Here we report that both TG003, a
specific inhibitor of Cdc2-like kinases (Clk) that regulates the alternative
splicing pre-mRNA pathway, and knockdown of SFRS1 increase expression of
endogenous p53beta and p53gamma at mRNA and protein levels. Development of a TP53
intron 9 minigene shows that TG003 treatment and knockdown of SFRS1 promote
inclusion of TP53 exons 9beta/9gamma. In a series of 85 primary breast tumors, a
significant association was observed between expression of SFRS1 and alpha
variant, supporting our experimental data. Using siRNA specifically targeting
exons 9beta/9gamma, we demonstrate that cell growth can be driven by modulating
p53beta and p53gamma expression in an opposite manner, depending on the cellular
context. In MCF7 cells, p53beta and p53gamma promote apoptosis, thus inhibiting
cell growth. By transient transfection, we show that p53beta enhanced p53alpha
transcriptional activity on the p21 and Bax promoters, while p53gamma increased
p53alpha transcriptional activity on the Bax promoter only. Moreover, p53beta and
p53gamma co-immunoprecipitate with p53alpha only in the presence of p53
responsive promoter. Interestingly, although p53beta and p53gamma promote
apoptosis in MCF7 cells, p53beta and p53gamma maintain cell growth in response to
TG003 in a p53alpha-dependent manner. The dual activities of p53beta and p53gamma
isoforms observed in non-treated and TG003-treated cells may result from the
impact of TG003 on both expression and activities of p53 isoforms. Overall, our
data suggest that p53beta and p53gamma regulate cellular response to modulation
of alternative splicing pre-mRNA pathway by a small drug inhibitor. The
development of novel drugs targeting alternative splicing process could be used
as a novel therapeutic approach in human cancers.
PMID- 24926617
TI - p53-directed translational control can shape and expand the universe of p53
target genes.
AB - The increasing number of genome-wide transcriptome analyses focusing on p53
induced cellular responses in many cellular contexts keeps adding to the already
numerous p53-regulated transcriptional networks. To investigate post
transcriptional controls as an additional dimension of p53-directed gene
expression responses, we performed a translatome analysis through polysomal
profiling on MCF7 cells upon 16 hours of doxorubicin or nutlin-3a treatment. The
comparison between the transcriptome and the translatome revealed a considerable
level of uncoupling, characterized by genes whose transcription variations did
not correlate with translation variations. Interestingly, uncoupled genes were
associated with apoptosis, DNA and RNA metabolism and cell cycle functions,
suggesting that post-transcriptional control can modulate classical p53-regulated
responses. Furthermore, even for well-established p53 targets that were
differentially expressed both at the transcriptional and translational levels,
quantitative differences between the transcriptome, subpolysomal and polysomal
RNAs were evident. As we searched mechanisms underlying gene expression
uncoupling, we identified the p53-dependent modulation of six RNA-binding
proteins, where hnRNPD (AUF1) and CPEB4 are direct p53 transcriptional targets,
whereas SRSF1, DDX17, YBX1 and TARDBP are indirect targets (genes modulated
preferentially in the subpolysomal or polysomal mRNA level) modulated at the
translational level in a p53-dependent manner. In particular, YBX1 translation
appeared to be reduced by p53 via two different mechanisms, one related to mTOR
inhibition and the other to miR-34a expression. Overall, we established p53 as a
master regulator of translational control and identified new p53-regulated genes
affecting translation that can contribute to p53-dependent cellular responses.
PMID- 24926619
TI - Redox-responsive copper(I) metallogel: a metal-organic hybrid sorbent for
reductive removal of chromium(VI) from aqueous solution.
AB - Herein, we report a new strategy to remove toxic Cr(VI) ion from aqueous solution
using metal-organic hybrid gel as sorbent. The gel could be easily synthesized
from the commercially available organic ligand 2-mercaptobenzimidazole (2-MBIm)
and copper(II) chloride in alcoholic medium. The synthesis involves one-electron
reduction of Cu(II) to Cu(I) by 2-MBIm, and then gel formation is triggered
through Cu(I)-ligand coordination and extensive hydrogen-bonding interactions
involving the "-NH" protons (of 2-MBIm ligand), solvent molecules, and chloride
ions. The gel shows entangled network morphology. Different microanalytical
techniques (FTIR, powder XRD, FESEM, TEM, rheology etc.) have been employed for
complete characterizations of the gel sample. Both Cu(I) (in situ formed) and Cl(
) ions trigger the gel formation as demonstrated from systematic chemical
analyses. The gel also exhibits its stimuli-responsive behavior toward different
interfering chemical parameters (pH, selective metal ions and anions, selective
complexing agents, etc.). Finally the gel shows its redox-responsive nature owing
to the distinguished presence of Cu(I) metal centers throughout its structural
backbone. And this indeed helps in the effective removal of Cr(VI) ions from
aqueous solution. Reduction of Cr(VI) to Cr(III) ions and its subsequent sorption
take place in the gel matrix. The reductive removal of Cr(VI) has been
quantitatively interpreted through a set of different kinetic
measurements/models, and the removal capacity of the gel matrix has been observed
to be ~331 mg g(-1) at pH ~ 2.7, which is admirably higher than the commonly used
adsorbents. However, the capacity decreases with the increase in pH of the
solution. The overall removal mechanism has been clearly demonstrated. Again, the
gel could also be recycled. Thus, the low-cost and large-scale fabrication of the
redox-active metallogel makes it an efficient matrix for the toxic ion removal
and hence indicates the high promise of this new generation hybrid material for
environmental pollution abatement.
PMID- 24926618
TI - Nemo-like kinase is critical for p53 stabilization and function in response to
DNA damage.
AB - The DNA damage response (DDR) acts as a protective mechanism for maintaining cell
homeostasis. Nemo-like kinase (NLK) is a serine/threonine-protein kinase that has
an important role in many pathways; however, its function in the DDR has not yet
been defined. In our study, NLK-deficient HCT116 cells were found to be resistant
to etoposide-induced cell death. We demonstrated that NLK is required for p53
activation in response to DNA damage. Remarkably, mechanistic studies revealed
that NLK interacts with p53 and stabilizes p53 by blocking MDM2-mediated p53
ubiquitination and degradation. Furthermore, NLK enhances p53 activity and
affects expression downstream of p53. Interestingly, these functions of NLK are
not related to its kinase activity. Consistent with these results, NLK-deficient
cells have a resistance effect on DNA damage. Therefore, these findings emphasize
that NLK is a novel factor in DDR mechanisms.
PMID- 24926620
TI - Tuning the thermosensitive properties of hybrid collagen peptide-polymer
hydrogels.
AB - A hybrid hydrogel based on collagen-mimetic peptides has been designed with
tunable thermosensitive properties. By changing the number of POG repeats within
the collagen peptide sequence, the thermal stability of the triple helical
physical crosslinks of a peptide-polymer conjugate can be altered, thus tuning
the stiffness of the hydrogel as a function of temperature. This report focuses
on three different thermally responsive collagen peptide, PEG-based hydrogels and
validates their use as stimuli-responsive materials.
PMID- 24926621
TI - Life Cycle Assessment for desalination: a review on methodology feasibility and
reliability.
AB - As concerns of natural resource depletion and environmental degradation caused by
desalination increase, research studies of the environmental sustainability of
desalination are growing in importance. Life Cycle Assessment (LCA) is an ISO
standardized method and is widely applied to evaluate the environmental
performance of desalination. This study reviews more than 30 desalination LCA
studies since 2000s and identifies two major issues in need of improvement. The
first is feasibility, covering three elements that support the implementation of
the LCA to desalination, including accounting methods, supporting databases, and
life cycle impact assessment approaches. The second is reliability, addressing
three essential aspects that drive uncertainty in results, including the
incompleteness of the system boundary, the unrepresentativeness of the database,
and the omission of uncertainty analysis. This work can serve as a preliminary
LCA reference for desalination specialists, but will also strengthen LCA as an
effective method to evaluate the environment footprint of desalination
alternatives.
PMID- 24926622
TI - Differentiating enteric Escherichia coli from environmental bacteria through the
putative glucosyltransferase gene (ycjM).
AB - This study is to tackle the challenge posed by the "naturalized" Escherichia coli
population against the worldwide practice of E. coli-based water quality
monitoring. In the literature, the putative glucosyltransferase gene (ycjM) of E.
coli has been identified in silico to be one of the 114 genes specific to enteric
E. coli. Based on the sequence of E. coli K-12 MG1655, a PCR assay (ycjPCR)
targeting ycjM was developed in this study. As demonstrated by the ycjPCR assay
using 367 E. coli strains isolated from animal feces, 97.2% of the isolates
carried the ycjM with variations from 93.9% to 100% among nine different host
sources, but none of the 17 strains of non-E. coli bacteria and only 23.0% of the
environment-isolated cryptic Escherichia strains contained the ycjM. These data
experimentally confirmed ycjM to be enteric specific. Our study also showed that
the ycjPCR assay was superior to the commonly used tuf- or uidA-based PCR methods
in differentiating enteric E. coli from beta-D-glucuronidase-positive
environmental bacteria. Furthermore, study on 190 E. coli isolates from water
samples, using EPA Method 1603 followed by bacterial identification with Biolog
MicroStationTM and ycjPCR assay, indicated that the prevalence of ycjM in the E.
coli water isolates had a significant (p < 0.05, odds ratio ) spatial variation
from 69.6% to 93.8%. These data suggest that E. coli profile using EPA Method
1603 or other beta-D-glucuronidase-activity-based methods may need further
analysis using the ycjM profile to accurately determinate fecal pollution in
water.
PMID- 24926623
TI - Current diagnosis and management of achalasia.
AB - Achalasia is the best characterized primary esophageal motility disorder of the
esophagus and typically presents with absent peristalsis of the esophageal body
and a failure of the lower esophageal sphincter to relax upon swallowing on
manometry, associated with progressively severe dysphagia, regurgitation,
aspiration, chest pain, and weight loss. The diagnosis is suggested by barium
swallow and endoscopy, and confirmed by manometry. As there is no curative
treatment for achalasia, treatment is confined to disruption of the lower
esophageal sphincter to improve bolus passage. Treatment modalities available for
this purpose include pneumatic dilation, laparoscopic Heller myotomy and since
recently peroral endoscopic myotomy or POEM. In this review, we will discuss the
current diagnosis, management, and treatment options of achalasia.
PMID- 24926624
TI - Radiofrequency ablation in the treatment of large hepatic hemangiomas: a
comparison of multitined and internally cooled electrodes.
AB - OBJECTIVE: Radiofrequency (RF) ablation is an accepted nonsurgical treatment of
hepatic hemangiomas, but with an appreciable complication rate. Our study aimed
to assess the safety and efficacy of RF ablation, administered with either
multitined expandable electrodes or with internally cooled cluster electrodes, in
the treatment of large (>=10 cm diameter) hepatic hemangiomas. METHODS: We
retrospectively reviewed our sequential experience of treating 43 large hepatic
hemangiomas in 42 patients with RF ablation/multitined expandable electrodes or
with RF ablation/internally cooled electrodes. Twenty-two hemangiomas in 21
patients were treated with expandable electrode (multitined electrode group), and
21 hemangiomas in 21 patients were treated with internally cooled cluster
electrode (internally cooled electrode group). RESULTS: Among the 43 large
hepatic hemangiomas, 27 subcapsular lesions were treated by a laparoscopic
approach, and 16 lesions located in liver parenchyma were treated by a computed
tomography-guided percutaneous approach. In the multitined electrode group, RF
ablation treatment was performed in all 21 patients in 1 session. In the
internally cooled electrode group, 18 patients were treated by RF ablation in 1
session, and 3 patients, with >=14.0-cm single hemangioma, were treated with RF
ablation in 2 sessions. Complete ablation was achieved in 81.8% (18/22) and 90.5%
(19/21) in the multitined electrode group and the internally cooled electrode
group, respectively (P>0.05). Ablation time for single hemangioma was shorter
with the internally cooled electrode than with the multitined electrode (P<0.05).
There were 79 complications related to ablation (2 major and 77 minor) in 31
patients. All 21 patients in the multitined electrode group experienced
complications, compared with 10 of 21 patients (47.6%) in the internally cooled
electrode group (P<0.05). Both of the 2 major complications occurred in the
multitined electrode group. All the complications were treated successfully with
conservative measures. CONCLUSIONS: RF ablation is a safe and effective treatment
for large hepatic hemangiomas. Use of the internally cooled cluster electrodes
and a more defensive treatment algorithm can reduce the complications.
PMID- 24926625
TI - Neural mechanisms of mental fatigue.
AB - Fatigue is defined as a decline in the ability and efficiency of mental and/or
physical activities that is caused by excessive mental and/or physical
activities. Fatigue can be classified as physical or mental. Mental fatigue
manifests as potentially impaired cognitive function and is one of the most
significant causes of accidents in modern society. Recently, it has been shown
that the neural mechanisms of mental fatigue related to cognitive task
performance are more complex than previously thought and that mental fatigue is
not caused only by impaired activity in task-related brain regions. There is
accumulating evidence supporting the existence of mental facilitation and
inhibition systems. These systems are involved in the neural mechanisms of mental
fatigue, modulating the activity of task-related brain regions to regulate
cognitive task performance. In this review, we propose a new conceptual model:
the dual regulation system of mental fatigue. This model contributes to our
understanding of the neural mechanisms of mental fatigue and the regulatory
mechanisms of cognitive task performance in the presence of mental fatigue.
PMID- 24926626
TI - Quantification of polyclonal free light chains in clinical samples using a single
turbidimetric immunoassay.
AB - BACKGROUND: Elevated polyclonal serum free light chain (FLC) levels have been
associated with increased mortality and disease activity in many conditions.
Currently, polyclonal FLC quantification requires summation of individual
FLCkappa and FLClambda assays. Here we present a single assay for combined FLC
(cFLC, Combylite) which reduces assay time and eliminates potential imprecision
errors incurred by summating FLC assays (SigmaFLC). METHODS: Sheep FLCkappa- and
FLClambda-specific antibodies were conjugated to latex microparticles to quantify
FLCkappa and FLClambda in a single assay. Combylite results were compared to
SigmaFLC (Freelite) in 132 healthy controls and 1127 patient samples. The utility
of cFLC for predicting all-cause mortality in a haematological referral
population was evaluated. RESULTS: cFLC and SigmaFLC results were highly
concordant (Passing-Bablok equation y=0.98x-1.59 mg/L, R2=0.96). Combylite assay
imprecision was low at concentrations around the upper normal range [coefficient
of variation (CV) 5.5%, 54 mg/L] and the upper limit of the measuring range (CV
5.5%, 170 mg/L). cFLC levels were significantly raised in disease states compared
with healthy controls. Additionally, cFLC >65 mg/L was associated with shorter
overall survival in a haematological referral population (hazard ratio=4.5,
p<0.001). CONCLUSIONS: cFLC values obtained using Combylite were comparable to
SigmaFLC results over a wide concentration range, were elevated in diseases
characterised by B cell activation and were associated with increased mortality
in a haematological referral population. These observations indicate the
Combylite assay has value for investigating the role of B cell activation in
disparate disease groups and could be considered as a surrogate indication of B
cell function.
PMID- 24926627
TI - Response to Jacobs: N Latex FLC serum free light-chain assays in patients with
renal impairment.
PMID- 24926628
TI - Hypoglycemic effect of Rhizophora mucronata in streptozotocin induced diabetic
rats.
AB - Rhizophora mucronata, plant of Mangroove family, found on the coastal region of
India and used in treatment of various diseases. Different parts of plants are
used in treatment of diabetes as folkloric medicine. The present study was
designed to evaluate the hypoglycemic effect of hydro-alcoholic extract of R.
mucronata. Streptozotocin (STZ)-induced diabetic rats were treated with RME (100
mg/kg and 200 mg/kg) and glibenclamide (0.5 mg/kg). Blood glucose levels were
evaluated for the acute and sub-acute studies and body weight of rats were also
monitored. All the treatments showed the highly significant (p<0.01) hypoglycemic
effect in both the acute and the sub-acute studies. RME and glibenclamide treated
rats were maintained the body weight during the study period while as the body
weight of diabetic rats had gone down. The result of this study scientifically
proved the hypoglycemic folkloric use of leaves of R. mucronata in treatment of
diabetes.
PMID- 24926629
TI - A randomised controlled trial of the effects of Brain Wave Vibration training on
mood and well-being.
AB - BACKGROUND: The goal was to investigate the effects of Brain Wave Vibration
(BWV), a meditation practised in a class involving rhythmic movements of the
head, neck and body practised with related yoga-style exercises, and to isolate
the rhythmic effects. METHODS: A randomised controlled trial was conducted with
assessments pre- and post-trial and immediately before and after each session.
Thirty-one healthy adults were assessed for mood, sleep, mindfulness, health and
well-being, and pre- and post-class activation-deactivation. Participants were
randomly assigned to either BWV in toto or a control group having similar yoga
exercises without the rhythmic components. Participants completed eight to twelve
75-min classes of BWV or control training over 8-12 weeks. To control for
expectation participants were told only that the aim was to compare two subtly
different styles of Korean yoga. RESULTS: The BWV group had comparatively greater
improvements in sleep duration and efficiency, although they had higher baseline
inefficiency, and post-trial they had better global sleep and well-being and
fewer illness symptoms, and better tiredness and energy post-class. Both groups
benefitted in mood, mindfulness and vitality post-trial with improved tension and
calmness post-class. CONCLUSIONS: The participants of both interventions had
better mood and well-being on the whole following the trial and were more relaxed
immediately after a class. However, BWV training was unique in its benefits to
sleep, health, well-being, energy and tiredness, warranting further research.
PMID- 24926630
TI - [Pharmacology of Raynaud's phenomenon].
AB - Raynaud's phenomenon (RP) is characterised by transient ischaemia in the
extremities in response to cold or emotions. It can be primary (idiopathic) or
secondary to an underlying disease. The pathophysiology of RP is multifactorial
and complex. Microvascular impairment is a hallmark of the disease. The objective
of this work is to review the different pharmacological treatments currently used
in the management of RP, from their mechanism of action to the available evidence
regarding their efficacy. We also propose to discuss potential pharmacological
targets such as the potentiation of the nitric oxide pathway, or the inhibition
of the RhoA-Rho kinase pathway. The last part of this review deals with drug
induced RP. Among various medications, beta-blockers, interferons, tyrosine
kinase inhibitors or cytotoxic agents such as bleomycin are involved.
PMID- 24926631
TI - [Doxycycline or how to create new with the old?].
AB - Tetracyclines are broad-spectrum antibiotics that interfere with protein
synthesis. They were first widely prescribed by dermatologists in the early 1950s
in the treatment of acne. More recently, their biological actions on
inflammation, proteolysis, angiogenesis, apoptosis, metal chelation,
ionophoresis, and bone metabolism were studied. Matrix metalloproteinases (MMPs)
are a family of proteolytic enzymes that degrade components of the extracellular
matrix (ECM). MMPs have direct or indirect effects on the vascular endothelium
and the vascular relaxation/contraction system. The therapeutic effects of
tetracyclines and analogues were studied in rosacea, bullous dermatoses,
neutrophilic diseases, pyoderma gangrenosum, sarcoidosis, aortic aneurysms,
cancer metastasis, periodontitis and autoimmune diseases autoimmune diseases such
as rheumatoid arthritis and scleroderma. In addition, downregulation of MMP using
doxycycline could be beneficial in reducing vascular dysfunction mediated by MMPs
and progressive damage of the vascular wall. We review the nonantibiotic
properties of doxycycline and its potential clinical applications.
PMID- 24926632
TI - [Baclofen and liver cirrhosis: literature review and safety precautions
implemented within the system CAMTEA].
AB - The off-label prescribing of high dose baclofen (HDB) has been recently spreading
in France. The impact of HDB on subjects with liver cirrhosis remains poorly
known. The main pharmacodynamic and pharmacokinetic data on baclofen result from
studies on healthy subjects or using low doses of treatment. The specific
biodisponibility and elimination of HDB have not been studied yet in cirrhosis.
National pharmacovigilance reports suggest that a careful use of baclofen or even
HDB could be possible in compensated cirrhosis. However, theoretical risks of
baclofen overdose exist in cases of hepatorenal syndrome or portosystemic shunt.
Baclofen could also induce a specific pharmacological potentiation of hepatic
encephalopathy and gastropathy. Within CAMTEA, a regional team-based
multidisciplinary system for delivering and monitoring off-label medications in
alcohol use disorders, a set of predefined precautions for using baclofen in
cirrhosis have been implemented, until further information becomes available.
These precautions notably consist of a protocolized process for declaring adverse
events, and a hepatologic follow-up associated with the usual multidisciplinary
care system set up within CAMTEA.
PMID- 24926633
TI - [Use of Nadis((r)) software to improve adverse drug reaction reporting of
antiretroviral drugs: experience in south west of France (midi-pyrenees)].
AB - OBJECTIVES: To study the value of the module of pharmacovigilance in Nadis(r) to
improve the antiretroviral (ARV) drugs-induced adverse drug reactions (ADRs)
reporting. METHODS: We collected the ADRs reported for 17 months from November
2010 until April 2012. Following data were recorded: characteristics of patients,
ADRs, ARV drugs. The number of ADRs was compared to those collected in the same
period (17 months) before use of Nadis(r). RESULTS: The 119 ADRs reported (an
increase of 183%) for 109 patients ADRs were mainly gastrointestinal (21.8%)
followed by renal (20.2%), neuro-psychiatric (16.8%), hepatic (13.5%), cutaneous
(8.4%), metabolic (6.7%) and others (12.6%). The repartition of ARV drugs was:
nucleoside (31.8%), nucleotide (13.6%) reverse transcriptase inhibitors
respectively, non-nucleoside reverse transcriptase inhibitors (13.1%), protease
inhibitors (36.4%), and integrase inhibitors (5.1%). CONCLUSION: Our results show
the improvement of ARV-induced ADRs reporting by Nadis(r) which could be used to
reduce the rate of under-reporting in patients exposed to these drugs.
PMID- 24926634
TI - [New frequent adverse reaction of PPI in older adults: mild hyponatremia].
AB - Hyponatremia is a rare side effect described in the product characteristics of
proton pump inhibitors (PPIs). Hyponatremia in the elderly (>65 years) was
assessed in patients with exposure to PPIs for at least one year compared to
controls not exposed to PPIs counterparts. Included 145 patients, twenty-four
patients (16.6%) had moderate hyponatremia ([120-134] mEq/L). Forty-eight
patients (33.1%) were treated with PPIs. In the end, 31.3% [18.7%-46.3%] of the
treated population for more than a year by PPI suffered moderate hyponatremia
against only 9.3% [14.3%-16.9%] in the rest of the population, giving an odds
ratio of 4.4 ([1.8-11.1], p=0.001). The relationship between hyponatremia dose
was not significant (R2=0.05, p=0.74). By our study, we show that the risk of
moderate hyponatremia is increased by chronic use of PPI in the elderly
population. We also specify a notion of prevalence between 18.7% and 46.3%.
PMID- 24926635
TI - [Treatment with erlotinib after gefitinib induced hepatotoxicity: literature
review and case report].
AB - Gefitinib and erlotinib are selective epidermal growth factor receptor-tyrosine
kinase (EGFR-TK) inhibitor. They are approved for the treatment of adults with
locally advanced or metastatic non-small cell lung cancer (NSCLC) with activating
mutations of EGFR-TK. We report the case of a hepatitis cytolytic during
gefitinib treatment with a positive rechallenge. A relay by erlotinib has been
initiated and doesn't give recurrence of hepatotoxicity. From a literature review
and this observation, arguments have been provided to justify erlotinib as a safe
and well-tolered alternative for patients who have to stop gefitinib after a
severe hepatotoxicity.
PMID- 24926636
TI - [How people use the internet to find information on medicines?].
AB - OBJECTIVE: To quantify and characterize the use of the Internet to search for
information about medicines. METHODS: A random sample of subjects was interviewed
in the city of Bordeaux (France) in November 2012 regarding their use of the
Internet to find information on medicines. RESULTS: Among 103 interviewed
subjects (median age: 38 years; 53.4% of men), 48 (46.6%) reported using the
Internet to find information about medicines (58.3% of women). Two-thirds (66.7%)
declared visiting consumer forums. However, nearly 60% reported to first consult
a health professional. Three subjects had already bought medicines on the web and
half (52.0%) of those who never made a purchase on the Internet would not trust
the quality of the medicine. CONCLUSION: Even if purchasing medicines on the web
remains rare, this media is frequently used to find information on medicines and
most often on websites of questionable quality.
PMID- 24926637
TI - Sirolimus-related systemic thrombotic microangiopathy after renal
transplantation.
AB - We describe the case of a renal transplant patient who developed de novo biopsy
proven thrombotic microangiopathy (TMA) and deep venous thrombosis after
treatment with sirolimus (SRL). We discuss the clinical course, diagnosis and
therapeutics of this patient in the context of the literature.
PMID- 24926638
TI - [Antidepressants and tamoxifen: an unrecognized interaction].
AB - The interaction between tamoxifen and antidepressants which are CYP2D6 inhibitors
is unknown, as shown in our study in which these antidepressants are prescribed
to 11% of women receiving tamoxifen.
PMID- 24926639
TI - Imiquimod and pulmonary embolism: a case report.
AB - Bilateral pulmonary embolism possibly related due to topically applied imiquimod
is reported in a 47-year-old male patient with no evidence of risk factors.
PMID- 24926640
TI - [Necrotizing fasciitis related to injections of morphine (Skenan((r))) in a HIV
infected patient].
AB - We report here a case of necrotizing fasciitis occurred because of intravenous
misuse of morphine sulfate (Skenan ((r))). Several factors are pertinent: the
type of infection, rare but severe, the double localization of the necrotizing
fasciitis, complicated by sepsis, the patient profile (HIV-infected) and the
injected substance (opiate).
PMID- 24926643
TI - Novel chemical synthesis and characterization of CeTi(2)O(6) brannerite.
AB - Cerium titanate CeTi2O6 was prepared by a new soft chemistry route in aqueous
solution. A suite of characterization techniques, including X-ray diffraction,
thermal analysis, vibrational spectroscopy, and scanning and transmission
electron spectroscopy, were employed to investigate the brannerite structure
formation and its bulk properties. The synthesized powder formed the brannerite
crystal structure upon calcination at temperatures as low as 800 degrees C.
Samples sintered at 1350 degrees C possess a high level of crystallinity. X-ray
absorption near-edge structure results indicate the presence of six-coordinated
Ce(4+) in the brannerite samples.
PMID- 24926641
TI - [Antipsychotics-induced acute necrotizing enterocolitis: a case report].
AB - The acute necrotizing enterocolitis (ANE) is a partial or total necrosis of the
small and large intestine. This is a case report of an antipsychotic induced ANE.
PMID- 24926642
TI - [Bradykinin mediated angioedema with ACE inhibitor in combination with
immunosupressive agents].
AB - We present a serious case of bradykinin mediated angioedema with upper airway
disorders resulting from drug interaction between angiotensin-converting enzyme
inhibitors and immunosuppressant agents.
PMID- 24926644
TI - Fabrication and characterization of ZnO@CdS core-shell nanostructure using
acetate precursors: XRD, FESEM, DRS, FTIR studies and effects of cadmium ion
concentration on band gap.
AB - ZnO@CdS core-shell nano-structure has been synthesized using zinc acetate
dihydrate, and cadmium acetate dihydrate as simple precursors in a water-ethanol
matrix without using any surfactant, ligand or chelating agents. The effect of
different concentrations of cadmium acetate and sodium sulfide on optical and
electronic properties of ZnO@CdS core-shell was investigated. The morphology and
structure of the ZnO@CdS core-shell nano-structures have been confirmed by field
emission scanning electron microscopy (FESEM) and X-ray diffraction (XRD)
measurements. The results showed that the ZnO@CdS core-shell nano-structure is
mixed cubic and hexagonal structures. FESEM results showed the mono-dispersed and
uniform size of 39nm. Optical properties were studied by UV-visible diffuse
reflectance spectroscopy (DRS) technique and the results showed that band gaps of
ZnO@CdS core-shell nanocomposites were red shifted by increasing the cadmium
concentration. FTIR spectrum of ZnO@CdS core-shell nano-structure showed a band
at 482cm(-1) correlated to ZnO bond and a band at 630cm(-1) due to the stretching
frequency of CdS bond.
PMID- 24926645
TI - Development of a sigDE-based real-time reverse-transcriptase PCR for the
detection of viable Salmonella enterica.
AB - Salmonella is the most common cause of bacterial food poisoning in humans
worldwide. Thus, rapid and reliable methods for the detection of this pathogen
are required. Real-time reverse-transcriptase polymerase chain reaction (rt-RT
PCR), which detects the presence of mRNA (shorter half-life than DNA) has shown
great potential for detecting viable pathogens. We recently identified a few new
potential specific DNA sequences for Salmonella enterica using a comparative
genomics method (Chen et al., 2010). In the present study, we examined the
expression of the Salmonella-specific sigDE operon (encoding invasion proteins
within the pathogenicity island 5) under typical growth conditions to determine
whether sigDE could be a useful viability marker for the bacterium. We then
assayed sigDE mRNA from cells heat-treated at 60 degrees C, 100 degrees C, and
121 degrees C (autoclaved), and found that mRNA was degraded in autoclaved
bacterial samples. These results showed that the sigDE transcript is a suitable
mRNA target for rt-RT-PCR with samples pretreated at 121 degrees C. Thus, an rt
RT-PCR using sigDE primers was developed for the detection of viable Salmonella.
An RNA internal amplification control was constructed by overlap extension PCR,
synthesized using in vitro transcription with a T7 RNA polymerase promoter, and
incorporated into the rt-RT-PCR system to eliminate false-negative results. The
rt-RT-PCR system has the capability of specifically detecting all the tested S.
enterica serovars, and the detection limit of this assay with cultures of
Salmonella Typhimurium ATCC 13311 was 10(1) colony-forming units (CFU)/mL. After
18-h enrichment, sigDE-based rt-RT-PCR could detect as low as 10(0) CFU/mL of
Salmonella from egg broth and milk.
PMID- 24926646
TI - The guillotine knife: a novel tool for safe endoscopic cutting of intracranial
membranes.
AB - This article describes a new endoscopic cutting tool that acts like a guillotine.
It enables safe cutting of membranes overlying neurovascular structures because
the footplate protects these structures from inadvertent injury. The footplate is
introduced under the membrane, elevating it slightly, and then the membrane is
cut while pushing the blade down into the footplate. The guillotine knife has
been used in various endoscopic procedures such as septostomies, arachnoid cyst
fenestrations, colloid cysts resections, and fenestrations of other
intraventricular membranes. The authors think that the guillotine knife is a
useful addition to the neuroendoscopic armamentarium.
PMID- 24926647
TI - Worse outcomes for patients undergoing brain tumor and cerebrovascular procedures
following the ACGME resident duty-hour restrictions.
AB - OBJECT: On July 1, 2003, the Accreditation Council for Graduate Medical Education
(ACGME) implemented duty-hour restrictions for resident physicians due to
concerns for patient and resident safety. Though duty-hour restrictions have
increased resident quality of life, studies have shown mixed results with respect
to patient outcomes. In this study, the authors have evaluated the effect of duty
hour restrictions on morbidity, mortality, length of stay, and charges in
patients who underwent brain tumor and cerebrovascular procedures. METHODS: The
Nationwide Inpatient Sample was used to evaluate the effect of duty-hour
restrictions on complications, mortality, length of stay, and charges by
comparing the pre-reform (2000-2002) and post-reform (2005-2008) periods.
Outcomes were compared between nonteaching and teaching hospitals using a
difference-in-differences (DID) method. RESULTS: A total of 90,648 patients were
included in the analysis. The overall complication rate was 11.7%, with the rates
not significantly differing between the pre- and post-duty hour eras (p = 0.26).
Examination of hospital teaching status revealed that complication rates
decreased in nonteaching hospitals (12.1% vs 10.4%, p = 0.0004) and remained
stable in teaching institutions (11.8% vs 11.9%, p = 0.73) in the post-reform
era. Multivariate analysis demonstrated a significantly higher complication risk
in teaching institutions (OR 1.33 [95% CI 1.11-1.59], p = 0.0022), with no
significant change in nonteaching hospitals (OR 1.11 [95% CI 0.91-1.37], p =
0.31). A DID analysis to compare the magnitude in change between teaching and
nonteaching institutions revealed that teaching hospitals had a significantly
greater increase in complications during the post-reform era than nonteaching
hospitals (p = 0.040). The overall mortality rate was 3.0%, with a significant
decrease occurring in the post-reform era in both nonteaching (5.0% vs 3.2%, p <
0.0001) and teaching (3.2% vs 2.3%, p < 0.0001) hospitals. DID analysis to
compare the changes in mortality between groups did not reveal a significant
difference (p = 0.40). The mean length of stay for all patients was 8.7 days,
with hospital stay decreasing from 9.2 days to 8.3 days in the post-reform era (p
< 0.0001). The DID analysis revealed a greater length of stay decrease in
nonteaching hospitals than teaching institutions, which approached significance
(p = 0.055). Patient charges significantly increased in the post-reform era for
all patients, increasing from $70,900 to $96,100 (p < 0.0001). The DID analysis
did not reveal a significant difference between the changes in charges between
teaching and nonteaching hospitals (p = 0.17). CONCLUSIONS: The implementation of
duty-hour restrictions correlated with an increased risk of postoperative
complications for patients undergoing brain tumor and cerebrovascular
neurosurgical procedures. Duty-hour reform may therefore be associated with worse
patient outcomes, contrary to its intended purpose. Due to the critical condition
of many neurosurgical patients, this patient population is most sensitive and
likely to be negatively affected by proposed future increased restrictions.
PMID- 24926648
TI - Endoscopic approach to colloid cyst: what is the optimal entry point and
trajectory?
AB - OBJECTIVES: An optimal entry point and trajectory for endoscopic colloid cyst
(ECC) resection helps to protect important neurovascular structures. There is a
large discrepancy in the entry point and trajectory in the neuroendoscopic
literature. METHODS: Trajectory views from MRI or CT scans used for cranial image
guidance in 39 patients who had undergone ECC resection between July 2004 and
July 2010 were retrospectively evaluated. A target point of the colloid cyst was
extended out to the scalp through a trajectory carefully observed in a 3D model
to ensure that important anatomical structures were not violated. The relation of
the entry point to the midline and coronal sutures was established. Entry point
and trajectory were correlated with the ventricular size. RESULTS: The optimal
entry point was situated 42.3 +/- 11.7 mm away from the sagittal suture, ranging
from 19.1 to 66.9 mm (median 41.4 mm) and 46.9 +/- 5.7 mm anterior to the coronal
suture, ranging from 36.4 to 60.5 mm (median 45.9 mm). The distance from the
entry point to the target on the colloid cyst varied from 56.5 to 78.0 mm, with a
mean value of 67.9 +/- 4.8 mm (median 68.5 mm). Approximately 90% of the optimal
entry points are located 40-60 mm in front of the coronal suture, whereas their
perpendicular distance from the midline ranges from 19.1 to 66.9 mm. The location
of the "ideal" entry points changes laterally from the midline as the ventricles
change in size. CONCLUSIONS: The results suggest that the optimal entry for ECC
excision be located at 42.3 +/- 11.7 mm perpendicular to the midline, and 46.9 +/
5.7 mm anterior to the coronal suture, but also that this point differs with the
size of the ventricles. Intraoperative stereotactic navigation should be
considered for all ECC procedures whenever it is available. The entry point
should be estimated from the patient's own preoperative imaging studies if
intraoperative neuronavigation is not available. An estimated entry point of 4 cm
perpendicular to the midline and 4.5 cm anterior to the coronal suture is an
acceptable alternative that can be used in patients with ventriculomegaly.
PMID- 24926649
TI - A reduction in errors is associated with prospectively recording them.
AB - OBJECT: Error recording and monitoring is an important component of error
prevention and quality assurance in the health sector given the huge impact of
medical errors on the well-being of patients and the financial loss incurred by
health institutions. With this in mind, assessing the effect of reporting errors
should be a cause worth pursuing. The object in this study was to examine the
null hypothesis that recording and publishing errors do not affect error patterns
in a clinical practice. METHODS: Intraoperative errors and their characteristics
were prospectively recorded between May 2000 and May 2013 in the neurosurgical
practice of the senior author (M.B.). The error pattern observed between May 2000
and August 2006, which has been previously described (Group A), was compared with
the error pattern observed between September 2006 and May 2013 (Group B).
RESULTS: A total of 1108 cases in Group A and 974 cases in Group B were
surgically treated. A total of 2684 errors were recorded in Group A, while 1892
errors were recorded in Group B. The ratios of cranial to spinal procedures
performed in Groups A and B were 3:1 and 10:1, respectively, while the ratios of
general to local anesthesia in the two groups were 2:1 and 1.3:1, respectively (p
< 0.0001 for both). There was a significantly decreased proportion of cases with
error (87% to 83%, p < 0.006), mean errors per case (2.4 to 1.9, p < 0.0001),
proportion of error-related complications (16.7% to 5.5%, p < 0.002), and
clinical impacts of error (2.7% to 1.0%, p < 0.0001) in Group B compared with
Group A. Errors in Group B tended to be more preventable than those in Group A
(85.8% vs 78.5%, p < 0.0001). A significant reduction was also noticed with most
types of error. A descending trend in the mean errors per case was demonstrated
from the years 2001 to 2012; however, an increased severity of errors (22.6% to
29.5%, p < 0.0001) was recorded in Group B compared with Group A. CONCLUSIONS:
Data in this study showed that the act of recording errors might alter behaviors,
resulting in fewer errors.
PMID- 24926650
TI - Descriptive epidemiology of pituitary tumors in the United States, 2004-2009.
AB - OBJECT: Pituitary tumors are abnormal growths that develop in the pituitary
gland. The Central Brain Tumor Registry of the United States (CBTRUS) contains
the largest aggregation of population-based data on the incidence of primary CNS
tumors in the US. These data were used to determine the incidence of tumors of
the pituitary and associated trends between 2004 and 2009. METHODS: Using
incidence data from 49 population-based state cancer registries, 2004-2009, age
adjusted incidence rates per 100,000 population for pituitary tumors with ICD-O-3
(International Classification of Diseases for Oncology, Third Edition) histology
codes 8040, 8140, 8146, 8246, 8260, 8270, 8271, 8272, 8280, 8281, 8290, 8300,
8310, 8323, 9492 (site C75.1 only), and 9582 were calculated overall and by
patient sex, race, Hispanic ethnicity, and age at diagnosis. Corresponding annual
percent change (APC) scores and 95% confidence intervals were also calculated
using Joinpoint to characterize trends in incidence rates over time. Diagnostic
confirmation by subregion of the US was also examined. The overall annual
incidence rate increased from 2.52 (95% CI 2.46-2.58) in 2004 to 3.13 (95% CI
3.07-3.20) in 2009. Associated time trend yielded an APC of 4.25% (95% CI 2.91%
5.61%). When stratifying by patient sex, the annual incidence rate increased from
2.42 (95% CI 2.33-2.50) to 2.94 (95% CI 2.85-3.03) in men and 2.70 (95% CI 2.62
2.79) to 3.40 (95% CI 3.31-3.49) in women, with APCs of 4.35% (95% CI 3.21%
5.51%) and 4.34% (95% CI 2.23%-6.49%), respectively. When stratifying by race,
the annual incidence rate increased from 2.31 (95% CI 2.25-2.37) to 2.81 (95% CI
2.74-2.88) in whites, 3.99 (95% CI 3.77-4.23) to 5.31 (95% CI 5.06-5.56) in
blacks, 1.77 (95% CI 1.26-2.42) to 2.52 (95% CI 1.96-3.19) in American Indians or
Alaska Natives, and 1.86 (95% CI 1.62-2.13) to 2.03 (95% CI 1.80-2.28) in Asians
or Pacific Islanders, with APCs of 3.91% (95% CI 2.88%-4.95%), 5.25% (95% CI
3.19%-7.36%), 5.31% (95% CI -0.11% to 11.03%), and 2.40% (95% CI -3.20% to
8.31%), respectively. When stratifying by Hispanic ethnicity, the annual
incidence rate increased from 2.46 (95% CI 2.40-2.52) to 3.03 (95% CI 2.97-3.10)
in non-Hispanics and 3.12 (95% CI 2.91-3.34) to 4.01 (95% CI 3.80-4.24) in
Hispanics, with APCs of 4.15% (95% CI 2.67%-5.65%) and 5.01% (95% CI 4.42%
5.60%), respectively. When stratifying by age at diagnosis, the incidence of
pituitary tumor was highest for those 65-74 years old and lowest for those 15-24
years old, with corresponding overall age-adjusted incidence rates of 6.39 (95%
CI 6.24-6.54) and 1.56 (95% CI 1.51-1.61), respectively. CONCLUSIONS: In this
large patient cohort, the incidence of pituitary tumors reported between 2004 and
2009 was found to increase. Possible explanations for this increase include
changes in documentation, changes in the diagnosis and registration of these
tumors, improved diagnostics, improved data collection, increased awareness of
pituitary diseases among physicians and the public, longer life expectancies,
and/or an actual increase in the incidence of these tumors in the US population.
PMID- 24926651
TI - Carotid cutdown for surgical retrieval of a guidewire introducer: an unusual
complication after mitral valve repair.
AB - With the use of endovascular techniques and indwelling catheters, potential
complications can include embolization of fragments or components of various
systems. The authors describe the surgical retrieval of a guidewire introducer
from the right common carotid artery (CCA). A 64-year-old man was found to have a
foreign body within the right CCA on CT angiography after he had presented with a
transient ischemic attack. He had undergone a complex mitral valve repair several
months before presenting to the authors' facility. That procedure involved a
femoral artery cutdown and the insertion of an endovascular aortic balloon for
cardiac bypass. As in most endovascular procedures, guidewire introducers were
probably used to facilitate the introduction of the guidewire into the system
during the procedure. Although rare, iatrogenic embolization of the introducer
probably occurred during use of the guidewire. The guidewire introducer was
successfully retrieved without complication by using a standard carotid cutdown
approach. It is extraordinarily unusual for an extracorporeal part of an
implantable system to embolize to the carotid circulation. To the authors'
knowledge, this is the only reported case of an embolized guidewire introducer
and the use of a carotid exposure to retrieve an intraluminal foreign body. This
case demonstrates that a carotid cutdown approach can be used successfully for
the retrieval of intraluminal extracranial carotid artery foreign bodies.
PMID- 24926652
TI - From Pergamon to Army Base Hospital No. 5: the history and significance of the
galea aponeurotica in the evolution of neurosurgery.
AB - The authors trace the etymology and historical significance of galea or
epicranial aponeurosis. In ancient Greece, galea referred to a helmet worn by
soldiers, typically made of animal hide or leather. Throughout antiquity,
physicians referred to all soft tissue between the skin and the skull as
panniculus, a standard established by Galen of Pergamon. A manual of surgery in
the Middle Ages referred to the entire scalp as a "great panicle that is called
pericranium." During the early Renaissance, Leonardo da Vinci famously and
stylistically analogized the dissection of the cranium with the peeling of an
onion. Not until 1724 would the tendinous sheath connecting the frontalis and
occipitalis muscles be defined as "Galea tendinosa cranii." By 1741, the
convention of referring to the galea as an aponeurosis was well established.
Harvey Cushing's wartime experiences at Army Base Hospital No. 5 reinforced the
surgical significance of the galea. Operative mortality was significantly
diminished due to "closure of the wounds with buried sutures in the galea." This
operative nuance was then passed from teacher to pupil and has now become one of
the tenets of modern neurosurgical practice.
PMID- 24926653
TI - Stereotactic radiosurgery for sylvian fissure arteriovenous malformations with
emphasis on hemorrhage risks and seizure outcomes.
AB - OBJECT: Sylvian fissure arteriovenous malformations (AVMs) present substantial
management challenges because of the critical adjacent blood vessels and
functional brain. The authors investigated the outcomes, especially hemorrhage
and seizure activity, after stereotactic radiosurgery (SRS) of AVMs within or
adjacent to the sylvian fissure. METHODS: This retrospective single-institution
analysis examined the authors' experiences with Gamma Knife surgery for AVMs of
the sylvian fissure in cases treated from 1987 through 2009. During this time, 87
patients underwent SRS for AVMs in the region of the sylvian fissure. Before
undergoing SRS, 40 (46%) of these patients had experienced hemorrhage and 36
(41%) had had seizures. The median target volume of the AVM was 3.85 cm(3) (range
0.1-17.7 cm(3)), and the median marginal dose of radiation was 20 Gy (range 13-25
Gy). RESULTS: Over a median follow-up period of 64 months (range 3-275 months),
AVM obliteration was confirmed by MRI or angiography for 43 patients. The
actuarial rates of confirmation of total obliteration were 35% at 3 years, 60% at
4 and 5 years, and 76% at 10 years. Of the 36 patients who had experienced
seizures before SRS, 19 (53%) achieved outcomes of Engel class I after treatment.
The rate of seizure improvement was 29% at 3 years, 36% at 5 years, 50% at 10
years, and 60% at 15 years. No seizures developed after SRS in patients who had
been seizure free before treatment. The actuarial rate of AVM hemorrhage after
SRS was 5% at 1, 5, and 10 years. This rate equated to an annual hemorrhage rate
during the latency interval of 1%; no hemorrhages occurred after confirmed
obliteration. No permanent neurological deficits developed as an adverse effect
of radiation; however, delayed cyst formation occurred in 3 patients.
CONCLUSIONS: Stereotactic radiosurgery was an effective treatment for AVMs within
the region of the sylvian fissure, particularly for smaller-volume AVMs. After
SRS, a low rate of hemorrhage and improved seizure control were also evident.
PMID- 24926654
TI - Jugulotympanic paragangliomas treated with Gamma Knife radiosurgery: a single
center review of 58 cases.
AB - OBJECT: Jugulotympanic paragangliomas (JTPs) are rare benign tumors whose
surgical treatment is usually associated with partial resection of the lesion,
high morbidity, and even death. Gamma Knife radiosurgery (GKRS) has been reported
as a useful treatment option. The goal of this retrospective study is to analyze
the role of GKRS in tumor volume control and clinical outcomes of these patients.
METHODS: A total of 75 patients with JTPs were treated with GKRS at the authors'
center from 1995 to 2012. The authors analyzed those treated during this period
to allow for a minimal observation time of 2 years. The MR images and clinical
reports of these patients were reviewed to assess clinical and volumetric
outcomes of the tumors. The radiological and clinical assessments, along with a
group of prognostic factors measured, were analyzed using descriptive methods.
The time to volumetric and clinical progression was analyzed using the Kaplan
Meier method. Prognostic factors were identified using log-rank statistics and
multivariate Cox regression models. RESULTS: The mean follow-up was 86.4 months.
The authors observed volumetric tumor control in 94.8% of cases. In 67.2% of
cases, tumor volume decreased by a mean of 40.1% from the original size. Of
patients with previous tinnitus, 54% reported complete recovery. Improvement of
other symptoms was observed in 34.5% of cases. Overall, clinical control was
achieved in 91.4% of cases. Previous embolization and familial history of
paraganglioma were selected as significant prognostic factors for volumetric
response to GKRS treatment in the univariate analysis. In multivariate analysis,
no factors were significantly correlated with progression-free survival. No
patient died of side effects related to GKRS treatment or tumor progression.
CONCLUSIONS: Gamma Knife radiosurgery is an effective, safe, and efficient
therapeutic option for the treatment of these tumors as a first-line treatment or
in conjunction with traditional surgery, endovascular treatment, or conventional
fractionated radiotherapy.
PMID- 24926655
TI - Vessel perforation during withdrawal of Trevo ProVue stent retriever during
mechanical thrombectomy for acute ischemic stroke.
AB - The authors report a case of an intracranial extravasation during the withdrawal
of a Trevo ProVue stent retriever device in a patient being treated for acute
ischemic stroke. An 82-year-old woman developed sudden left hemiparesis and
aphasia during an urgent cardiac catheterization procedure for a non-ST elevation
myocardial infarction. She had a baseline National Institutes of Health Stroke
Scale (NIHSS) score of at least 10 and no improvement with intravenous
administration of tissue plasminogen activator (tPA). Cerebral angiography was
performed with conscious sedation, confirming an occlusion of the superior
division of the right middle cerebral artery (MCA). Using standard technique, a
Trevo thrombectomy device was deployed across the clot. Post-thrombectomy control
angiography demonstrated complete revascularization of the right MCA. The device
was then gently withdrawn without difficulty. Immediately afterward the patient's
blood pressure showed a sudden and significant elevation. Immediate posttreatment
angiography demonstrated active extravasation from the posterior wall of the
communicating segment of the right internal carotid artery. Rapid cessation of
bleeding was achieved with intravenous administration of protamine and induced
hypotension. Immediate neurological assessment was performed, which showed motor
improvement. An immediate postintervention CT scan confirmed a moderate-sized
subarachnoid hemorrhage and contrast in the prepontine cistern. The patient was
discharged home on postoperative Day 3 with an NIHSS score of zero. At 6-month
follow-up in the neurointerventional clinic, her NIHSS and modified Rankin Scale
scores were both zero. Endovascular stent retriever devices are increasingly
being used as first-line thrombectomy devices in acute embolic strokes. A unique
and previously undescribed complication is vessel perforation during withdrawal
of a stent retriever. Conservative treatment options and reversal of
anticoagulation should be the first line of treatment for such complications. In
the authors' case, performing the procedure without anesthesia was helpful in
assessing the patient's neurological status.
PMID- 24926658
TI - Developing an Adaptive Treatment Strategy for Peer-Related Social Skills for
Children With Autism Spectrum Disorders.
AB - The purpose of this study was to understand the trajectories of children's
response to an intervention prior to the end of the treatment in order to inform
adaptive treatment models for future studies. Participants with autism spectrum
disorder (ASD) were drawn from a randomized controlled trial comparing 2
different social skills interventions at children's schools. We excluded children
with ASD who entered the study with at least 80% time engaged (the average time
of neurotypical children in the same classes) in order to examine only those who
were engaged below the typical developing peers' average percentage of time
engaged. The final sample included 92 children with ASD (82% male, average age =
8.14 years, average IQ = 89.6). We explored whether playground engagement scores
measured at entry and midpoint of treatment predicted their engagement scores at
end of treatment using the Classification and Regression Tree (CART) method.
Using the CART approach, 4 meaningful subgroups based on children's playground
engagement scores measured at entry and changes from entry to midpoint were
identified. These data suggest that measurements of children's behavior midstudy
can be used to predict children's treatment outcomes. Such data may be used to
inform decisions to augment or alter programming prior to treatment end in order
to tailor intervention to best meet the needs of individual children.
PMID- 24926659
TI - Alternation of flavonoid accumulation under drought stress in Arabidopsis
thaliana.
AB - Plants have developed mechanisms to protect themselves against both biotic and
abiotic environmental stress. Specialized/secondary metabolism is one of the
stress response mechanisms. Recently, we reported that flavonoids, a class of
specialized metabolites, including flavonols and anthocyanins with strong radical
scavenging activity contributed to the mitigation of oxidative and drought stress
in Arabidopsis thaliana (Arabidopsis). However, the behavior of flavonoids during
drought stress is still not well-documented. Herein we investigated the time
series alternation of flavonoids in the aerial part of Arabidopsis (wild type,
Col-0) during drought stress by LC-QTOF-MS. The drastic alternation of 5
flavonols and 5 anthocyanins was revealed together with changes in marker
metabolites of drought stress, e.g., proline, raffinose, and galactinol. These
findings indicate that flavonols and anthocyanins can mitigate drought stress.
PMID- 24926657
TI - Comparative transcriptome analysis of four prymnesiophyte algae.
AB - Genomic studies of bacteria, archaea and viruses have provided insights into the
microbial world by unveiling potential functional capabilities and molecular
pathways. However, the rate of discovery has been slower among microbial
eukaryotes, whose genomes are larger and more complex. Transcriptomic approaches
provide a cost-effective alternative for examining genetic potential and
physiological responses of microbial eukaryotes to environmental stimuli. In this
study, we generated and compared the transcriptomes of four globally-distributed,
bloom-forming prymnesiophyte algae: Prymnesium parvum, Chrysochromulina
brevifilum, Chrysochromulina ericina and Phaeocystis antarctica. Our results
revealed that the four transcriptomes possess a set of core genes that are
similar in number and shared across all four organisms. The functional
classifications of these core genes using the euKaryotic Orthologous Genes (KOG)
database were also similar among the four study organisms. More broadly, when the
frequencies of different cellular and physiological functions were compared with
other protists, the species clustered by both phylogeny and nutritional modes.
Thus, these clustering patterns provide insight into genomic factors relating to
both evolutionary relationships as well as trophic ecology. This paper provides a
novel comparative analysis of the transcriptomes of ecologically important and
closely related prymnesiophyte protists and advances an emerging field of study
that uses transcriptomics to reveal ecology and function in protists.
PMID- 24926660
TI - Association of admission serum calcium levels and in-hospital mortality in
patients with acute ST-elevated myocardial infarction: an eight-year, single
center study in China.
AB - OBJECTIVE: The relationship between admission serum calcium levels and in
hospital mortality in patients with acute ST-segment elevation myocardial
infarction (STEMI) has not been well definitively explored. The objective was to
assess the predictive value of serum calcium levels on in-hospital mortality in
STEMI patients. METHODS: From 2003 to 2010, 1431 consecutive STEMI patients
admitted to the First Affiliated Hospital of Nanjing Medical University were
enrolled in the present study. Patients were stratified according to quartiles of
serum calcium from the blood samples collected in the emergency room after
admission. Between the aforementioned groups,the baseline characteristics, in
hospital management, and in-hospital mortality were analyzed. The association of
serum calcium level with in-hospital mortality was calculated by a multivariable
Cox regression analysis. RESULTS: Among 1431 included patients, 79% were male and
the median age was 65 years (range, 55-74). Patients in the lower quartiles of
serum calcium, as compared to the upper quartiles of serum calcium, were older,
had more cardiovascular risk factors, lower rate of emergency
revascularization,and higher in-hospital mortality. According to univariate Cox
proportional analysis, patients with lower serum calcium level (hazard ratio
0.267, 95% confidence interval 0.164-0.433, p<0.001) was associated with higher
in-hospital mortality. The result of multivariable Cox proportional hazard
regression analyses showed that the Killip's class>=3 (HR = 2.192, p = 0.026),
aspartate aminotransferase (HR = 1.001, p<0.001), neutrophil count (HR = 1.123,
p<0.001), serum calcium level (HR = 0.255, p = 0.001), and emergency
revascularization (HR = 0.122, p<0.001) were significantly and independently
associated with in-hospital mortality in STEMI patients. CONCLUSIONS: Serum
calcium was an independent predictor for in-hospital mortality in patients with
STEMI. This widely available serum biochemical index may be incorporated into the
current established risk stratification model of STEMI patients. Further studies
are required to determine the actual mechanism and whether patients with
hypocalcaemia could benefit from calcium supplement.
PMID- 24926661
TI - Overexpression of nuclear apoptosis-inducing factor 1 altered the proteomic
profile of human gastric cancer cell MKN45 and induced cell cycle arrest at G1/S
phase.
AB - Nuclear apoptosis-inducing factor 1 (NAIF1) was previously reported to induce
apoptosis. Moreover, the expression of NAIF1 was significantly down-regulated in
human gastric cancer tissues compared to adjacent normal tissues. However, the
mechanism by which the NAIF1 gene induces apoptosis is not fully understood. Our
results show that NAIF1 was minimally expressed in all the tested gastric cancer
cell lines. Our data also demonstrates that NAIF1 is localized in the nuclei of
cells as detected by monitoring the green fluorescence of NAIF1-GFP fusion
protein using fluorescent confocal microscopy. Next, a comparative proteomic
approach was used to identify the differential expression of proteins between
gastric cancer cell lines MKN45/NAIF1 (-) and MKN45/NAIF1 (+). We found five
proteins (proteasome 26S subunit 2, proteasome 26S subunit 13, NADH dehydrogenase
Fe-S protein 1, chaperonin containing TCP1 subunit 3 and thioredoxin reductase 1)
that were up-regulated and three proteins (ribonuclease inhibitor 1, 14-3-3
protein epsilon isoform and apolipoprotein A-I binding protein) that were down
regulated in the MKN45 cells overexpressing NAIF1. We also discovered that NAIF1
could induce cell cycle arrest at G1/S phase by altering the expression of cell
cycle proteins cyclinD1, cdc2 and p21. The differentially expressed proteins
identified here are related to various cellular programs involving cell cycle,
apoptosis, and signal transduction regulation and suggest that NAIF1 may be a
tumor suppressor in gastric cancer. Our research provides evidence that
elucidates the role of how NAIF1 functions in gastric cancer.
PMID- 24926662
TI - lnCeDB: database of human long noncoding RNA acting as competing endogenous RNA.
AB - Long noncoding RNA (lncRNA) influences post-transcriptional regulation by
interfering with the microRNA (miRNA) pathways, acting as competing endogenous
RNA (ceRNA). These lncRNAs have miRNA responsive elements (MRE) in them, and
control endogenous miRNAs available for binding with their target mRNAs, thus
reducing the repression of these mRNAs. lnCeDB provides a database of human
lncRNAs (from GENCODE 19 version) that can potentially act as ceRNAs. The
putative mRNA targets of human miRNAs and the targets mapped to AGO clipped
regions are collected from TargetScan and StarBase respectively. The lncRNA
targets of human miRNAs (up to GENCODE 11) are downloaded from miRCode database.
miRNA targets on the rest of the GENCODE 19 lncRNAs are predicted by our
algorithm for finding seed-matched target sites. These putative miRNA-lncRNA
interactions are mapped to the Ago interacting regions within lncRNAs. To find
out the likelihood of an lncRNA-mRNA pair for actually being ceRNA we take
recourse to two methods. First, a ceRNA score is calculated from the ratio of the
number of shared MREs between the pair with the total number of MREs of the
individual candidate gene. Second, the P-value for each ceRNA pair is determined
by hypergeometric test using the number of shared miRNAs between the ceRNA pair
against the number of miRNAs interacting with the individual RNAs. Typically, in
a pair of RNAs being targeted by common miRNA(s), there should be a correlation
of expression so that the increase in level of one ceRNA results in the increased
level of the other ceRNA. Near-equimolar concentration of the competing RNAs is
associated with more profound ceRNA effect. In lnCeDB one can not only browse for
lncRNA-mRNA pairs having common targeting miRNAs, but also compare the expression
of the pair in 22 human tissues to estimate the chances of the pair for actually
being ceRNAs. AVAILABILITY: Downloadable freely from http://gyanxet
beta.com/lncedb/.
PMID- 24926663
TI - Low birth weight male guinea pig offspring display increased visceral adiposity
in early adulthood.
AB - Uteroplacental insufficiency (UPI)-induced intrauterine growth restriction (IUGR)
predisposes individuals to adult visceral obesity. We postulated that low birth
weight (LBW) offspring, from UPI-induced IUGR pregnancies, would display a
visceral adipose lipogenic molecular signature involving altered gene expression,
phosphorylation status of proteins of the lipid synthesis pathway and microRNA
(miR) expression profile, occurring in association with increased visceral
adiposity. Normal birth weight (NBW) and LBW (obtained by uterine artery
ablation) male guinea pig pups were fed a control diet from weaning to 145 days
and sacrificed. Despite being lighter at birth, LBW pups displayed body weights
similar to NBW offspring at 145 days. At this age, which represents young
adulthood, the relative weights of LBW epididymal white adipose tissue (EWAT) and
lipid content were increased; which was consistent with adipocyte hypertrophy in
the LBW offspring. Additionally, the mRNA expression of lipid synthesis-related
genes including acetyl-CoA carboxylase 1 (ACC1), diglyceride acyltransferase 2
(DGAT2) and peroxisome proliferator-activated receptor gamma 1 (PPARgamma1), was
increased in LBW EWAT. Further, LBW EWAT displayed decreased phospho-ACC (Ser79)
and phospho-PPARgamma (Ser273) proteins. Moreover, the mRNA expression of hormone
sensitive lipase (HSL) and fatty acid binding protein 4 (FABP4), both involved in
promoting adipose lipid storage, was increased in LBW EWAT. Finally, miR-24 and
miR-103-2, miRs related to adipocyte development, were both increased in LBW
EWAT. These findings indicate that, following an adverse in utero environment,
lipid synthesis-related genes and miR expression, along with phosphorylation
status of key regulators of lipid synthesis, appear to be chronically altered and
occur in association with increased visceral adiposity in young adult IUGR male
offspring.
PMID- 24926664
TI - Whole exome sequencing identifies new causative mutations in Tunisian families
with non-syndromic deafness.
AB - Identification of the causative mutations in patients affected by autosomal
recessive non syndromic deafness (DFNB forms), is demanding due to genetic
heterogeneity. After the exclusion of GJB2 mutations and other mutations
previously reported in Tunisian deaf patients, we performed whole exome
sequencing in patients affected with severe to profound deafness, from four
unrelated consanguineous Tunisian families. Four biallelic non previously
reported mutations were identified in three different genes: a nonsense mutation,
c.208C>T (p.R70X), in LRTOMT, a missense mutation, c.5417T>C (p.L1806P), in
MYO15A and two splice site mutations, c.7395+3G>A, and c.2260+2T>A, in MYO15A and
TMC1 respectively. We thereby provide evidence that whole exome sequencing is a
powerful, cost-effective screening tool to identify mutations causing recessive
deafness in consanguineous families.
PMID- 24926666
TI - Molecular characterisation, evolution and expression of hypoxia-inducible factor
in Aurelia sp.1.
AB - The maintenance of physiological oxygen homeostasis is mediated by hypoxia
inducible factor (HIF), a key transcriptional factor of the PHD-HIF system in all
metazoans. However, the molecular evolutionary origin of this central
physiological regulatory system is not well characterized. As the earliest
eumetazoans, Cnidarians can be served as an interesting model for exploring the
HIF system from an evolutionary perspective. We identified the complete cDNA
sequence of HIF-1alpha (ASHIF) from the Aurelia sp.1, and the predicted HIF
1alpha protein (pASHIF) was comprised of 674 amino acids originating from 2,025
bp nucleotides. A Pairwise comparison revealed that pASHIF not only possessed
conserved basic helix-loop-helix (bHLH) and Per-Arnt-Sim (PAS) domains but also
contained the oxygen dependent degradation (ODD) and the C-terminal
transactivation domains (C-TAD), the key domains for hypoxia regulation. As
indicated by sequence analysis, the ASHIF gene contains 8 exons interrupted by 7
introns. Western blot analysis indicated that pASHIF that existed in the polyps
and medusa of Aurelia. sp.1 was more stable for a hypoxic response than normoxia.
PMID- 24926667
TI - Health literacy level of Hispanic college students.
AB - OBJECTIVES: The purpose of this study was to assess the health literacy levels of
Hispanic college students. METHODS: Participants were students at a major
Hispanic-serving university in the southwestern United States. The design was
cross-sectional, and data were collected using the Newest Vital Sign in English.
Analyses included frequencies and descriptive statistics, simple and multiple
logistic regression, and chi(2)/Fisher exact tests. RESULTS: A total of 331
students participated. The overall Newest Vital Sign mean score was 5.1 (standard
deviation 1.18); more than 90% (n = 299) obtained a score equivalent to an
"always adequate literacy." A lower percentage than that found by a national
survey scored at the "below adequate literacy" level. Language spoken at home was
the only covariate that significantly affected test scores (P = 0.01).
CONCLUSIONS: The overall health literacy level of the participants was higher
than the general Hispanic adult population. Investing in the education of
Hispanics may help to address disparities in health literacy.
PMID- 24926665
TI - RNA-Seq transcriptome profiling identifies CRISPLD2 as a glucocorticoid
responsive gene that modulates cytokine function in airway smooth muscle cells.
AB - Asthma is a chronic inflammatory respiratory disease that affects over 300
million people worldwide. Glucocorticoids are a mainstay therapy for asthma
because they exert anti-inflammatory effects in multiple lung tissues, including
the airway smooth muscle (ASM). However, the mechanism by which glucocorticoids
suppress inflammation in ASM remains poorly understood. Using RNA-Seq, a high
throughput sequencing method, we characterized transcriptomic changes in four
primary human ASM cell lines that were treated with dexamethasone--a potent
synthetic glucocorticoid (1 uM for 18 hours). Based on a Benjamini-Hochberg
corrected p-value <0.05, we identified 316 differentially expressed genes,
including both well known (DUSP1, KLF15, PER1, TSC22D3) and less investigated
(C7, CCDC69, CRISPLD2) glucocorticoid-responsive genes. CRISPLD2, which encodes a
secreted protein previously implicated in lung development and endotoxin
regulation, was found to have SNPs that were moderately associated with inhaled
corticosteroid resistance and bronchodilator response among asthma patients in
two previously conducted genome-wide association studies. Quantitative RT-PCR and
Western blotting showed that dexamethasone treatment significantly increased
CRISPLD2 mRNA and protein expression in ASM cells. CRISPLD2 expression was also
induced by the inflammatory cytokine IL1beta, and small interfering RNA-mediated
knockdown of CRISPLD2 further increased IL1beta-induced expression of IL6 and
IL8. Our findings offer a comprehensive view of the effect of a glucocorticoid on
the ASM transcriptome and identify CRISPLD2 as an asthma pharmacogenetics
candidate gene that regulates anti-inflammatory effects of glucocorticoids in the
ASM.
PMID- 24926668
TI - Vitamin D insufficiency/deficiency management.
AB - OBJECTIVES: In recent years, vitamin D deficiency has been recognized
increasingly often in patients, and different supplement regimens have been
prescribed to treat it. There has been no consensus on treatment regimens. This
study was conducted to determine the management of vitamin D
deficiency/insufficiency in outpatient adults in northeast Tennessee. METHODS: A
retrospective record review was conducted in an internal medicine teaching clinic
for patients seen from July 2007-July 2008 in Johnson City, Tennessee. A total of
626 nonelectronic charts listed with vitamin D measurements were used in the
analysis. Data regarding the level of vitamin D, whether treatment was
prescribed, dose and duration of treatment prescribed, and repeat levels of
vitamin D, if any were ordered, were collected. Vitamin D deficiency was defined
as levels <20 ng/mL; vitamin D insufficiency was defined as levels ranging from
20 to 29.9 ng/mL. RESULTS: Of the 626 patients, 325 (52%) were vitamin D
deficient or insufficient. Of these 325 patients, 184 were given a low-dose
supplement and 54 received a high-dose supplement. Eighty-seven were either not
prescribed any replacement or the dose was unknown (not documented in the chart).
The mean change in serum vitamin D levels was significantly different for the
high dose compared with the low dose prescribed. There was no significant sex
difference in response to the dose given. On average, those who were vitamin D
deficient experienced a greater change than those who were insufficient and a
greater change, on average, was observed in those who received a higher dose.
CONCLUSIONS: Vitamin D deficiency and insufficiency are highly prevalent.
Clinicians tended to prescribe a high dose of treatment for lower levels of serum
vitamin D. The response is higher in high-dose treatment. Documentation regarding
whether vitamin D supplements were given or the dose of supplements was given and
followed up with repeat levels of vitamin D after treatment was poor.
PMID- 24926669
TI - Commentary on "vitamin D insufficiency/deficiency management".
PMID- 24926670
TI - Risk stratification in acute pulmonary embolism: frequency and impact on
treatment decisions and outcomes.
AB - OBJECTIVES: Guidelines have recommended that risk stratification be performed in
patients diagnosed with an acute pulmonary embolism (PE). No study has described
the use of risk stratification in routine clinical practice. The purpose of this
study was to measure the frequency and impact of risk stratification on treatment
decisions and outcomes in patients admitted with acute PE. METHODS: A
retrospective cohort study was conducted of all of the patients admitted with
acute PE at two Geisinger community-based teaching hospitals between 2006 and
2011. Baseline demographics, vital signs, and relevant clinical variables were
recorded. The Pulmonary Embolism Severity Index was calculated for each patient.
Risk stratification was defined as the measurement of either a biomarker or an
echocardiogram within 24 hours of admission. The outcomes measured were short
term adverse events (in-hospital mortality or need for intensive care) and 30-day
mortality. RESULTS: The mean age for the study cohort (n = 889) was 61 +/- 17
years and 52% were men. Overall, 59% of study subjects were risk stratified. The
frequency of risk stratification did not change over time. Risk stratification
was associated with assignment to a higher acuity of care and increased use of
thrombolysis and inferior vena cava filter placement. When controlling for
severity of illness, risk stratification was a significant predictor of worsened
short-term adverse outcome (odds ratio 3.43, 95% confidence interval 1.75-6.74, P
< 0.001) but was not associated with improved 30-day mortality (odds ratio 1.14,
95% confidence interval 0.66-1.95, P = 0.64). CONCLUSIONS: Risk stratification is
frequently performed in patients admitted with acute PE and has had a stable
prevalence during a 5-year period. The use of risk stratification in acute PE is
associated with assignment to higher levels of care and with more advanced
treatments. Despite more intense treatment, risk stratification does not improve
either short-term outcomes or 30-day mortality.
PMID- 24926671
TI - HSV-1 and HSV-2 seroprevalence in the united states among asymptomatic women
unaware of any herpes simplex virus infection (Herpevac Trial for Women).
AB - OBJECTIVES: Recent evidence suggests that the epidemiology of herpes simplex
viruses (HSVs) is changing because fewer HSV-1 infections are acquired in
childhood and increased sexual transmission of HSV-1 is reported. The objective
of the study was to assess the seroprevalence of type-specific antibodies to HSV
1 and HSV-2 in the United States. METHODS: We used the Western blot antibody
screening data from a large phase III vaccine efficacy trial (Herpevac Trial for
Women) to assess the seroprevalence of type-specific antibodies to HSV-1 and HSV
2 in the United States. RESULTS: The antibody status of 29,022 women (>31,000
women interviewed and then had their blood drawn for the HSV testing [29,022
women]) between the ages of 18 and 30 years in the United States revealed that
increasing age was associated with increasing seroprevalence to HSV. Overall, in
asymptomatic women unaware of any HSV infection, HSV-1/-2 status was
positive/negative in 45%, negative/positive in 5%, positive/positive in 7%,
negative/negative in 38%, and indeterminate in 5%. HSV-1 infections were more
common in Hispanic and non-Hispanic black women and in the US northeast and in
individuals living in urban areas. HSV-2 was more common in non-Hispanic black
women, the US south, and in urban areas. CONCLUSIONS: Seronegative status for
both HSV-1 and HSV-2 was the second most common finding after positive antibody
to HSV-1 but negative antibody to HSV-2. Despite recent changes in genital herpes
epidemiology, most women acquired HSV-1 but not HSV-2 infections before 18 years
of age. Among participants screened for study participation and who were unaware
of any HSV infection, progressively higher prevalence of the HSV-1 or HSV-2
antibody was observed in older subjects. Many women who test positive for HSV-1
and/or HSV-2 are unaware of their status.
PMID- 24926672
TI - Commentary on "HSV-1 and HSV-2 seroprevalence in the United States among
asymptomatic women unaware of any herpes simplex virus infection (Herpevac Trial
for Women)".
PMID- 24926673
TI - Access to primary care physicians differs by health insurance coverage in
Mississippi.
AB - OBJECTIVES: The objective of the study was to measure how access to primary
health care in Mississippi varies by type of health insurance. METHODS: We called
primary care physician (general practitioner, family practice, internal medicine,
obstetrics/gynecology, and pediatric) offices in Mississippi three times, citing
different types of health insurance coverage in each call, and asked for a new
patient appointment with a physician. RESULTS: Of all of the offices contacted,
7% of offices were not currently accepting new patients who had private
insurance, 15% of offices were not currently accepting new Medicare patients, 38%
were not currently accepting new Medicaid patients, and 9% to 21% of office calls
were unresolved in one telephone call to the office. CONCLUSIONS: Access to
health insurance does not ensure access to primary health care; access varies by
type of health insurance coverage.
PMID- 24926674
TI - Commentary on "Access to primary care physicians differs by health insurance
coverage in Mississippi".
PMID- 24926675
TI - Antibody immunodeficiency diseases.
AB - Among primary immunodeficiencies, antibody deficiencies are the most common.
Patients often are examined first by multiple medical providers by whom the
diagnosis may be missed or delayed, because signs and symptoms are usually
sinopulmonary in origin, simulate common respiratory infections or respiratory
allergies, and are usually responsive to symptomatic antimicrobial treatment.
Because these diseases can be treated effectively, it is important to recognize
them before complications occur. We have provided updated information on the more
common antibody deficiency syndromes, their diagnostic assessment, and advances
in treatment.
PMID- 24926676
TI - Endovascular (intraarterial) treatment of acute ischemic stroke: efficacy not
supported by clinical trials.
AB - Intravenous tissue plasminogen activator (IV tPA, alteplase) provides a
statistically and clinically significant improvement in clinical outcome for
carefully selected patients with acute ischemic stroke when administered within
4.5 hours of onset; however, even within the 4.5-hour window, outcome is poor for
some eligible patients, including those with occlusions of large arteries
(internal carotid, middle cerebral, and basilar) and many patients do not meet
the stringent eligibility criteria, especially the time requirement.
Intraarterial (also known as endovascular or neurointerventional) therapies have
been developed to address these needs. This review summarizes the current
evidence for the efficacy of endovascular treatments in acute ischemic stroke.
Randomized controlled clinical trials of acute ischemic stroke do not show any
added clinical benefit of endovascular treatment over IV tPA alone in IV tPA
eligible patients, even in patients with persistent large-artery occlusion, nor
have these trials provided evidence of clinical benefit in patients ineligible
for IV tPA because they are >4.5 hours from onset, even if selected by
sophisticated neuroimaging. The new stent retrievers, however, were rarely used
in these trials. It remains to be determined from trials with direct head-to-head
comparisons whether these new devices are superior to standard nonendovascular
therapy. If there is a role for endovascular treatment of acute ischemic stroke,
it is for patients who can be treated under 4.5 hours but who are ineligible for
IV tPA because of increased hemorrhage risk from systemic thrombolytic drug
effects, although this remains unproven.
PMID- 24926677
TI - Nitrofurantoin-induced hepatotoxicity: a rare yet serious complication.
AB - Nitrofurantoin is a commonly prescribed antibiotic for the treatment of recurrent
uncomplicated urinary tract infections. Its importance has been emphasized by the
current international clinical practice guidelines for the management of
uncomplicated cystitis. Since its introduction into clinical practice,
nitrofurantoin has been associated with various adverse effects, including
hepatotoxicity. We searched the English-language literature using PubMed and
SCOPUS for the period 1961 through the end of February 2013. Key search terms
included "nitrofurantoin AND hepatotoxicity" as well as "nitrofurantoin AND
hepatitis." When studies or case reports were found, we assessed articles cited
in those publications. A broad spectrum of liver toxicity associated with
nitrofurantoin use has been reported, ranging from acute hepatitis, granulomatous
reaction, cholestasis, or autoimmune-mediated hepatitis to chronic active
hepatitis that could lead to cirrhosis or death. The mechanism of hepatotoxicity
is poorly understood, but it is believed to be the result of an immunologic
process or a direct cytotoxic reaction. It has been postulated that prolonged
exposure to nitrofurantoin, female sex, advanced age, and reduced renal function
increase the risk of developing hepatotoxicity. For the management of severe
cases, corticosteroids have been used along with nitrofurantoin discontinuation.
Because of mixed results, the utility of corticosteroids has not been proven and
should be used judiciously. Given the severity and seriousness of the adverse
effect of hepatotoxicity, clinicians should weigh the risks and benefits of
nitrofurantoin before initiating therapy, especially in long-term prophylaxis in
high-risk patients. Clinicians also should be well versed in recognizing and
managing liver injury associated with nitrofurantoin.
PMID- 24926678
TI - Sports concussions and traumatic brain injury.
PMID- 24926679
TI - Sports concussion management: part I.
AB - Concussion is a popular clinical topic that has been the subject of unprecedented
recent media coverage. As concerns about the potential short- and long-term
implications of repetitive head injury in sports such as football continue to
mount, the proper clinical management of concussion seems to increase in
importance. The days of ignoring the "ding" on the sideline are definitely over.
A series of updated clinical evaluation and management recommendations from
international experts are highlighted in this review. The clinical presentation
of an acute concussion, both the typical and more subtle variations, may be
evaluated with new validated sideline evaluation tools (eg, Sports Concussion
Assessment Tool 2). In addition, the role of computerized neuropsychological and
balance testing in the acute and ongoing evaluation are discussed, along with how
they contribute to the return-to-play decision. Same-day return to play is
outdated, and the relative insensitivity of current neuroimaging modalities to
demonstrate structural damage is highlighted. New therapeutic interventions such
as amantadine and cognitive rest may improve recovery time. The appropriate
management of concussion typically results in a normal functional and
neurocognitive outcome. The recommendations in this article may guide clinicians,
with varying degrees of prior experience managing concussion, to increase the
likelihood of an excellent outcome.
PMID- 24926680
TI - Sports Concussion Management: part II.
AB - Millions of concussions occur every year in the United States. The public
interest in concussion has increased after a number of high-profile deaths in
high school athletes from sports-related head trauma and in some professional
athletes from chronic traumatic encephalopathy. One of the most active areas of
research in sports medicine during the last decade has been the evaluation and
management of concussion. In this second article of a two-part series, we provide
an overview of the latest scientific advances in concussion research. This
overview includes an update on the pathobiological changes that occur during
concussion and the results of biomechanical studies. In addition, to aid the
practicing clinician, we review the literature on proven and currently studied
concussion risk factors, including a history of concussion, fatigue, and age.
Genetic polymorphisms and biomarkers may provide risk-prediction capability, but
at present the research remains inconclusive. Diffusion tensor imaging and
functional magnetic resonance imaging are promising technologies that reveal more
sophisticated data about the impact of concussion on the brain. We review the
existing literature on the application of these neuroimaging modalities to sports
concussion. An update from the Fourth International Conference on Concussion in
Sport, with highlights of new recommendations, and the presentation of the third
edition of the Sports Concussion Assessment Tool to evaluate acute concussion,
concludes our review.
PMID- 24926681
TI - Commentary on "Sports concussion management: parts I and II".
PMID- 24926683
TI - Perivascular adipose tissue inhibits endothelial function of rat aortas via
caveolin-1.
AB - Perivascular adipose tissue (PVAT)-derived factors have been proposed to play an
important role in the pathogenesis of atherosclerosis. Caveolin-1 (Cav-1),
occupying the calcium/calmodulin binding site of endothelial NO synthase (eNOS)
and then inhibiting nitric oxide (NO) production, is also involved in the
development of atherosclerosis. Thus, we investigated whether PVAT regulated
vascular tone via Cav-1 and/or endothelial NO pathways. Isometric tension studies
were carried out in isolated thoracic aortas from Wistar rats in the presence and
absence of PVAT. Concentration-response curves of phenylephrine, acetylcholine,
and sodium nitroprusside were illustrated to examine the vascular reactivity and
endothelial function. The protein expressions of eNOS and Cav-1 were also
examined in aortic homogenates. Our results demonstrated that PVAT significantly
enhanced vasoconstriction and inhibited vasodilatation via endothelium-dependent
mechanism. The aortic NO production was diminished after PVAT treatment, whereas
protein expression and activity of eNOS were not significantly affected. In
addition, Cav-1 protein expression was significantly increased in aortas with
PVAT transfer. Furthermore, a caveolae depleter methyl-beta-cyclodextrin
abolished the effect of PVAT on the enhancement of vasoconstriction, and reversed
the impairment of aortic NO production. In conclusion, unknown factor(s) released
from PVAT may inhibit endothelial NO production and induce vasocontraction via an
increase of Cav-1 protein expression.
PMID- 24926684
TI - Certain adenylated non-coding RNAs, including 5' leader sequences of primary
microRNA transcripts, accumulate in mouse cells following depletion of the RNA
helicase MTR4.
AB - RNA surveillance plays an important role in posttranscriptional regulation.
Seminal work in this field has largely focused on yeast as a model system,
whereas exploration of RNA surveillance in mammals is only recently begun. The
increased transcriptional complexity of mammalian systems provides a wider array
of targets for RNA surveillance, and, while many questions remain unanswered,
emerging data suggest the nuclear RNA surveillance machinery exhibits increased
complexity as well. We have used a small interfering RNA in mouse N2A cells to
target the homolog of a yeast protein that functions in RNA surveillance (Mtr4p).
We used high-throughput sequencing of polyadenylated RNAs (PA-seq) to quantify
the effects of the mMtr4 knockdown (KD) on RNA surveillance. We demonstrate that
overall abundance of polyadenylated protein coding mRNAs is not affected, but
several targets of RNA surveillance predicted from work in yeast accumulate as
adenylated RNAs in the mMtr4KD. microRNAs are an added layer of transcriptional
complexity not found in yeast. After Drosha cleavage separates the pre-miRNA from
the microRNA's primary transcript, the byproducts of that transcript are
generally thought to be degraded. We have identified the 5' leading segments of
pri-miRNAs as novel targets of mMtr4 dependent RNA surveillance.
PMID- 24926686
TI - Differential expression of granulopoiesis related genes in neutrophil subsets
distinguished by membrane expression of CD177.
AB - OBJECTIVE: Differential gene expression in CD177+ and CD177- neutrophils was
investigated, in order to detect possible differences in neutrophil function
which could be related to the pathogenesis of ANCA-associated Vasculitides (AAV).
METHODS: Neutrophils were isolated from healthy controls (HC) with high, negative
or bimodal CD177 expression, and sorted into CD177+ and CD177- subpopulations.
Total RNA was screened for expression of 24,000 probes with Illumina Ref-8
Beadchips. Genes showing differential expression between CD177+ and CD177-
subsets in microarray analysis were re-assessed using quantitative-PCR. CD177
expression on neutrophil precursors in bone marrow was analyzed using
quantitative PCR and flowcytometry. RESULTS: The proportion of CD177+ cells
increased during neutrophil maturation in bone marrow. Fold change analysis of
gene expression profile of sorted CD177+ and CD177- neutrophils resulted in 14
genes with fold change (fc) >3 difference in expression. Interestingly, 10 of
these genes have been reported to change significantly in expression during
neutrophil maturation, and most of these genes were granule protein (GP) coding
genes. mRNA expression levels measured by RT-PCR of a number of these GP, and of
PR3 and MPO were higher in the CD177- neutrophil subset in HC, however,
particular granule protein amounts were comparable between CD177+ and CD177-
neutrophil subsets. AAV patients had higher amounts of CD177+ neutrophils, but
contrary to neutrophils from HC expression of GP-genes was increased, possibly
due to activation. CONCLUSION: The neutrophil population can be distinguished by
membrane expression of CD177 into subsets that are different in expression of GP
mRNA but not in GP protein production. GP gene expression is also elevated in AAV
patients, which is not explained by skewed distribution of CD177+ and CD177-
subsets but may be associated with neutrophil activation during on-going
inflammation.
PMID- 24926685
TI - Peroxisome proliferator-activated receptor alpha activation induces hepatic
steatosis, suggesting an adverse effect.
AB - Non-alcoholic fatty liver disease (NAFLD) is characterized by hepatic
triglyceride accumulation, ranging from steatosis to steatohepatitis and
cirrhosis. NAFLD is a risk factor for cardiovascular diseases and is associated
with metabolic syndrome. Antihyperlipidemic drugs are recommended as part of the
treatment for NAFLD patients. Although fibrates activate peroxisome proliferator
activated receptor alpha (PPARalpha), leading to the reduction of serum
triglyceride levels, the effects of these drugs on NAFLD remain controversial.
Clinical studies have reported that PPARalpha activation does not improve hepatic
steatosis. In the present study, we focused on exploring the effect and mechanism
of PPARalpha activation on hepatic triglyceride accumulation and hepatic
steatosis. Male C57BL/6J mice, Pparalpha-null mice and HepG2 cells were treated
with fenofibrate, one of the most commonly used fibrate drugs. Both low and high
doses of fenofibrate were administered. Hepatic steatosis was detected through
oil red O staining and electron microscopy. Notably, in fenofibrate-treated mice,
the serum triglyceride levels were reduced and the hepatic triglyceride content
was increased in a dose-dependent manner. Oil red O staining of liver sections
demonstrated that fenofibrate-fed mice accumulated abundant neutral lipids.
Fenofibrate also increased the intracellular triglyceride content in HepG2 cells.
The expression of sterol regulatory element-binding protein 1c (SREBP-1c) and the
key genes associated with lipogenesis were increased in fenofibrate-treated mouse
livers and HepG2 cells in a dose-dependent manner. However, the effect was
strongly impaired in Pparalpha-null mice treated with fenofibrate. Fenofibrate
treatment induced mature SREBP-1c expression via the direct binding of PPARalpha
to the DR1 motif of the SREBP-1c gene. Taken together, these findings indicate
the molecular mechanism by which PPARalpha activation increases liver
triglyceride accumulation and suggest an adverse effect of fibrates on the
pathogenesis of hepatic steatosis.
PMID- 24926687
TI - Intestinal parasitic infections among prison inmates and tobacco farm workers in
Shewa Robit, north-central Ethiopia.
AB - BACKGROUND: Intestinal parasitic infections (IPIs) particularly soil-transmitted
helminthiasis (STH) and schistosomiasis are among neglected tropical diseases
(NTDs) globally. Apart from being associated with anemia, malabsorption and
retarded cognitive development these diseases are complicating the clinical
picture of more serious infections like HIV, TB and malaria. Renewed and up-to
date information on the epidemiology of IPIs in more vulnerable groups such as
irrigated-farm workers and prisoners would significantly contribute towards
improving the health condition of such at-risk groups. METHODS: A cross-sectional
survey was conducted to determine the prevalence of IPIs among prison inmates and
tobacco farm workers in Shewa-Robit, north-central Ethiopia in November 2008. A
total of 236 fecal samples were examined microscopically to detect helminths
and/or protozoa using direct-smear and formol-ether concentration methods.
RESULTS: Overall, 8 intestinal parasite species have been recovered singly or in
combinations from 146 (61.8 %) samples. The prevalence in prison population
(88/121 = 72.7%) was significantly higher than that in tobacco farm (58/115 =
50.4%). There were no significant differences in the prevalence of IPI by most
socio-demographics. Except for hookworm there was no significant difference in
parasite prevalence between different age-groups though the frequency of
individual parasites slightly varied between the age-groups. Multivariate
logistic regression analysis showed that inmates were more likely to acquire IPIs
than tobacco-farm workers (Odds Ratio (OR) = 2.62, 95% confidence interval (CI) =
1.52-4.5). In addition, participants who did not report past treatment for IPIs
were more likely to acquire IPIs than participants who self-reported treatment
for IPIs in the past twelve months (OR = 3.25, 95% CI = 1.75-6.06). All other
socio-demographics were not significantly associated with IPIs in univariate
analysis. Entamoeba histolytica/dispar/moshkovskii was the most frequently
encountered species in both study sites accounting for 48.8 and 51.7 percent of
the positives in prison and tobacco farm population respectively. Other
intestinal parasites detected, with slight variation in prevalence in the two
study areas, were hookworm, Ascaris lumbricoides, Trichuris trichiura,
Schistosoma mansoni, Strongyloides stercolaris, Hymenolepis nana and Taenia sp.
35.5 and 33.0 percent of the total positive cases were mixed infections in Shewa
Robit prison and tobacco farm, respectively. CONCLUSION: The results show that
IPIs are common health problems in the studied populations.
PMID- 24926688
TI - Magnetic resonance imaging and computed tomography characteristics of renal cell
carcinoma associated with Xp11.2 translocation/TFE3 gene fusion.
AB - PURPOSE: To characterize Xp11.2 translocation renal cell carcinoma (RCC) using
magnetic resonance imaging (MRI) and computed tomography (CT). METHODS: This
study retrospectively collected the MRI and CT data of twelve patients with
Xp11.2 translocation RCC confirmed by pathology. Nine cases underwent dynamic
contrast-enhanced MRI (DCE-MRI) and 6 cases underwent CT, of which 3 cases
underwent MRI and CT simultaneously. The MRI and CT findings were analyzed in
regard to tumor position, size, hemorrhagic, cystic or necrotic components,
calcification, tumor density, signal intensity and enhancement features. RESULTS:
The age of the 12 patients ranged from 13 to 46 years (mean age: 23 years). T2WI
revealed heterogeneous intensity, hyper-intensity, and slight hypo-intensity in 6
cases, 2 cases, and 1 case, respectively. On DCE-MR images, mild, moderate, and
marked rim enhancement of the tumor in the corticomedullary phase (CMP) were
observed in 1, 6, and 2 cases, respectively. The tumor parenchyma showed iso
attenuation (n = 4) or slight hyper-attenuation (n = 1) compared to the normal
renal cortex on non-contrast CT images. Imaging findings were suggestive of
hemorrhage (n = 4) or necrosis (n = 8) in the tumors, and there was evidence of
calcification in 8 cases by CT (n = 3) and pathology (n = 8). On dynamic contrast
enhanced CT images, 3 cases and 1 case manifested moderate and strong CMP
enhancement, respectively. Nine tumors by MRI and 4 tumors by CT showed prolonged
enhancement. Three neoplasms presented at stage I, 2 at stage II, 3 at stage III,
and 4 at stage IV according the 2010 AJCC staging criteria. CONCLUSIONS: XP11.2
translocation RCC should be considered when a child or young adult patient
presents with a renal tumor with heterogeneous features such as hemorrhage,
necrosis, cystic changes, and calcification on CT and MRI and/or is accompanied
by metastatic evidence.
PMID- 24926691
TI - Phenotype and genotype analysis in patients with macular corneal dystrophy.
AB - AIM: The aim of this study was to analyse corneal morphological organisation and
identify mutations in the carbohydrate sulfotransferase 6 gene (CHST6) in
patients with macular corneal dystrophy originating in a Polish population.
METHODS: Macular corneal dystrophy was diagnosed in 24 patients based on the slit
lamp exam, confocal microscopy, 1310 nm time domain and 840 nm spectral domain
optical coherence tomography. 10 corneal buttons obtained from penetrating
keratoplasty were processed for light microscopy. Genetic analysis of the CHST6
gene was performed, followed by a study of the sequencing results. RESULTS:
Highly reflective, diffuse corneal deposits and a general increase in
reflectivity were revealed with optical coherence tomography and confocal
microscopy. The deposits extended from the Bowman layer to the Descemet membrane
and correlated with the Alcian blue-positive granular-filamentous material into
and around the stromal keratocytes confirmed by structural analysis of the
corneal buttons. The genetic analysis of the blood samples identified the
following mutations and single nucleotide polymorphisms: novel P64L
(heterozygous), Y110C (homozygous), R162G and L200R, and M1L (heterozygous and
homozygous). CONCLUSIONS: Genetic mutation heterogeneity was revealed. No
phenotype heterogeneity was revealed among patients with in vivo corneal
morphology assessment or histological analysis.
PMID- 24926690
TI - Differences in muscle transcriptome among pigs phenotypically extreme for fatty
acid composition.
AB - BACKGROUND: Besides having an impact on human health, the porcine muscle fatty
acid profile determines meat quality and taste. The RNA-Seq technologies allowed
us to explore the pig muscle transcriptome with an unprecedented detail. The aim
of this study was to identify differentially-expressed genes between two groups
of 6 sows belonging to an Iberian * Landrace backcross with extreme phenotypes
according to FA profile. RESULTS: We sequenced the muscle transcriptome acquiring
787.5 M of 75 bp paired-end reads. About 85.1% of reads were mapped to the
reference genome. Of the total reads, 79.1% were located in exons, 6.0% in
introns and 14.9% in intergenic regions, indicating expressed regions not
annotated in the reference genome. We identified a 34.5% of the intergenic
regions as interspersed repetitive regions. We predicted a total of 2,372
putative proteins. Pathway analysis with 131 differentially-expressed genes
revealed that the most statistically-significant metabolic pathways were related
with lipid metabolism. Moreover, 18 of the differentially-expressed genes were
located in genomic regions associated with IMF composition in an independent GWAS
study in the same genetic background. Thus, our results indicate that the lipid
metabolism of FAs is differently modulated when the FA composition in muscle
differs. For instance, a high content of PUFA may reduce FA and glucose uptake
resulting in an inhibition of the lipogenesis. These results are consistent with
previous studies of our group analysing the liver and the adipose tissue
transcriptomes providing a view of each of the main organs involved in lipid
metabolism. CONCLUSIONS: The results obtained in the muscle transcriptome
analysis increase the knowledge of the gene regulation of IMF deposition, FA
profile and meat quality, in terms of taste and nutritional value. Besides, our
results may be important in terms of human health.
PMID- 24926692
TI - Smartphone-based hearing screening in noisy environments.
AB - It is important and recommended to detect hearing loss as soon as possible. If it
is found early, proper treatment may help improve hearing and reduce the negative
consequences of hearing loss. In this study, we developed smartphone-based
hearing screening methods that can ubiquitously test hearing. However,
environmental noise generally results in the loss of ear sensitivity, which
causes a hearing threshold shift (HTS). To overcome this limitation in the
hearing screening location, we developed a correction algorithm to reduce the HTS
effect. A built-in microphone and headphone were calibrated to provide the
standard units of measure. The HTSs in the presence of either white or babble
noise were systematically investigated to determine the mean HTS as a function of
noise level. When the hearing screening application runs, the smartphone
automatically measures the environmental noise and provides the HTS value to
correct the hearing threshold. A comparison to pure tone audiometry shows that
this hearing screening method in the presence of noise could closely estimate the
hearing threshold. We expect that the proposed ubiquitous hearing test method
could be used as a simple hearing screening tool and could alert the user if they
suffer from hearing loss.
PMID- 24926693
TI - Defect profile estimation from magnetic flux leakage signal via efficient
managing particle swarm optimization.
AB - In this paper, efficient managing particle swarm optimization (EMPSO) for high
dimension problem is proposed to estimate defect profile from magnetic flux
leakage (MFL) signal. In the proposed EMPSO, in order to strengthen exchange of
information among particles, particle pair model was built. For more efficient
searching when facing different landscapes of problems, velocity updating scheme
including three velocity updating models was also proposed. In addition, for more
chances to search optimum solution out, automatic particle selection for re
initialization was implemented. The optimization results of six benchmark
functions show EMPSO performs well when optimizing 100-D problems. The defect
simulation results demonstrate that the inversing technique based on EMPSO
outperforms the one based on self-learning particle swarm optimizer (SLPSO), and
the estimated profiles are still close to the desired profiles with the presence
of low noise in MFL signal. The results estimated from real MFL signal by EMPSO
based inversing technique also indicate that the algorithm is capable of
providing an accurate solution of the defect profile with real signal. Both the
simulation results and experiment results show the computing time of the EMPSO
based inversing technique is reduced by 20%-30% than that of the SLPSO-based
inversing technique.
PMID- 24926694
TI - Respiratory monitoring by a field ionization sensor based on Trichel pulses.
AB - In this paper, a novel method for respiratory monitoring is presented. The method
is based on Trichel pulses (TPs) using a simple field ionization sensor which
consists of a needle electrode and a plate electrode. Experiments have been
conducted to demonstrate that different respiratory patterns, including normal,
ultra-fast, deep breaths, and apnea could be easily monitored in real time by
detecting the changes in the TP frequency. The vital capacity could also be
assessed by calculating the variation of TP frequency. It is found that the
operation principle of the proposed sensor is based on the effects of breath
airflow and the atomized water in exhaled air on the TP frequency by changing the
ionization process and the dynamics of charged particles in the short gap. The
influences of applied voltage and ambient parameters have also been investigated.
PMID- 24926695
TI - The use of screen-printed electrodes in a proof of concept electrochemical
estimation of homocysteine and glutathione in the presence of cysteine using
catechol.
AB - Screen printed electrodes were employed in a proof of concept determination of
homocysteine and glutathione using electrochemically oxidized catechol via a 1,4
Michael addition reaction in the absence and presence of cysteine, and each
other. Using cyclic voltammetry, the Michael reaction introduces a new adduct
peak which is analytically useful in detecting thiols. The proposed procedure
relies on the different rates of reaction of glutathione and homocysteine with
oxidized catechol so that at fast voltage scan rates only homocysteine is
detected in cyclic voltammetry. At slower scan rates, both glutathione and
homocysteine are detected. The combination of the two sets of data provides
quantification for homocysteine and glutathione. The presence of cysteine is
shown not to interfere provided sufficient high concentrations of catechol are
used. Calibration curves were determined for each homocysteine and glutathione
detection; where the sensitivities are 0.019 uA . uM(-1) and 0.0019 uA . uM(-1)
and limit of detections are ca. 1.2 uM and 0.11 uM for homocysteine and
glutathione, respectively, within the linear range. This work presents results
with potential and beneficial use in re-useable and/or disposable point-of-use
sensors for biological and medical applications.
PMID- 24926696
TI - Using [18F]FBAU for imaging brain tumor progression in an F98/tk-luc glioma
bearing rat model.
AB - 1-(2-Deoxy-2-[18F]fluoro-beta-D-arabinofuranosyl)-5-bromouracil ([18F]FBAU), a
substitute for thymine, has been reported as an effective reporter probe by which
to trace cellular metabolism with its positron emission. In the present study, a
rat xenograft model bearing F98 glioma transfected with dual reporter genes,
herpes simplex virus type 1 thymidine kinase (HSV1-tk) and firefly luciferase
(luc) was used for monitoring tumor progression by multimodalities of molecular
imaging using [18F]FBAU and D-luciferase as probes. Rat F98 glioma cells were
transfected with the pC1-tk-IRES-luc vectors. The selected stable clone was
renamed as the F98/tk-luc cell line. Fischer 344 male rats bearing orthotropic
F98/tk-luc gliomas in the left brain were used. On day 13 post tumor inoculation,
biodistribution, positron emission tomography (PET), magnetic resonance imaging
(MRI) and ex vivo autoradiography were performed. The surviving fraction of
F98/tk-luc cells treated with 15 uM ganciclovir (GCV) was 15.9%, and the uptake
of [131I]FIAU in these cells was significantly enhanced when compared with F98
cells. The correlation coefficient of tumor volume vs. the bioluminescence in the
F98/tk-luc glioma-bearing rats was 0.90. The biodistribution showed that the
accumulation ratios of [18F]FBAU for glioma-to-normal brain were 9.16, 14.24, 5.7
and 13.7 at 30, 60, 90 and 120 min post i.v. injection, respectively. Consistent
tumor enhancement of [18F]FBAU/PET imaging was also noted from 30-90 min post
injection. Ex vivo autoradiography also confirmed significant [18F]FBAU uptake in
tumors. In conclusion, [18F]FBAU may be used as a PET probe for monitoring glioma
progression in animal models and may have potential for clinical use as well.
PMID- 24926697
TI - Congenital cataracts due to a novel 2-bp deletion in CRYBA1/A3.
AB - Congenital cataracts, which are a clinically and genetically heterogeneous group
of eye disorders, lead to visual impairment and are a significant cause of
blindness in childhood. A major proportion of the causative mutations for
congenital cataracts are found in crystallin genes. In the present study, a novel
deletion mutation (c.590-591delAG) in exon 6 of CRYBA1/A3 was identified in a
large family with autosomal dominant congenital cataracts. An increase in local
hydrophobicity was predicted around the mutation site; however, further studies
are required to determine the exact effect of the mutation on betaA1/A3
crystallin structure and function. To the best of our knowledge, this is the
first report of an association between a frameshift mutation in exon 6 of
CRYBA1/A3 and congenital cataracts.
PMID- 24926698
TI - Fusion of HepG2 cells with mesenchymal stem cells increases cancer-associated and
malignant properties: an in vivo metastasis model.
AB - In the present study, we have tested the hypothesis that fusion between an
altered cell and a mesenchymal stem cell produces a hybrid cell with enhanced
characteristics associated with metastatic cancer cells, and we have developed a
flexible model for investigating the mechanisms of metastasis. Human HepG2 cells
with low metastatic potential were induced to fuse with rat bone marrow
mesenchymal stem cells, and the progeny were compared with the parental cells for
possession of enhanced in vitro and in vivo characteristics of malignant cells.
Compared to the parental cells, the fused cells exhibited enhanced expression of
E-cadherin, vimentin, Twist, Snail, matrix metalloproteinase 2 and 9 activities,
aneuploidy and enhanced in vitro invasion and migration. In an in vivo xenograft
assay, the fused cells generated increased numbers of metastatic liver and lung
lesions. This model system is a flexible tool for investigation of the mechanisms
of stem cell fusion in carcinogenesis and metastasis and for the discovery of new
therapeutic targets to inhibit metastasis.
PMID- 24926699
TI - What's new in Shock? July 2014.
PMID- 24926700
TI - Orchestration of transplantation tolerance by regulatory dendritic cell therapy
or in-situ targeting of dendritic cells.
AB - PURPOSE OF REVIEW: Extensive research in murine transplant models over the past
two decades has convincingly demonstrated the ability of regulatory dendritic
cells (DCregs) to promote long-term allograft survival. We review important
considerations regarding the source of therapeutic DCregs (donor or recipient)
and their mode of action, in-situ targeting of DCregs, and optimal therapeutic
regimens to promote DCreg function. RECENT FINDINGS: Recent studies have defined
protocols and mechanisms whereby ex-vivo-generated DCregs of donor or recipient
origin subvert allogeneic T-cell responses and promote long-term organ transplant
survival. Particular interest has focused on how donor antigen is acquired,
processed and presented by autologous dendritic cells, on the stability of
DCregs, and on in-situ targeting of dendritic cells to promote their tolerogenic
function. New evidence of the therapeutic efficacy of DCregs in a clinically
relevant nonhuman primate organ transplant model and production of clinical grade
DCregs support early evaluation of DCreg therapy in human graft recipients.
SUMMARY: We discuss strategies currently used to promote dendritic cell
tolerogenicity, including DCreg therapy and in-situ targeting of dendritic cells,
with a view to improved understanding of underlying mechanisms and identification
of the most promising strategies for therapeutic application.
PMID- 24926702
TI - Reinventing the health services researcher.
PMID- 24926701
TI - Homeostatic expansion as a barrier to lymphocyte depletion strategies.
AB - PURPOSE OF REVIEW: Following lymphodepletion, lymphocytes repopulate the immune
space both through enhanced thymopoiesis and proliferation of residual
nondepleted peripheral lymphocytes. The term homeostatic proliferation
(alternatively homeostatic expansion or lymphopenia-induced proliferation) refers
to the latter process. Homeostatic proliferation is especially relevant to
reconstitution of the lymphocyte compartment following immunodepletion therapy in
transplantation. Repopulating lymphocytes can skew toward an effector memory type
capable of inducing graft rejection, autoimmunity, or, in the case of allogeneic
bone marrow transplantation, graft versus host disease. Here we review recent
studies exploring the biologic mechanisms underlying homeostatic proliferation
and explore implications for therapy in transplantation. RECENT FINDINGS: Two
immune-depleting agents, alemtuzumab and rabbit antithymocyte globulin, have been
well characterized in their abilities to induce an effector-memory phenotype in
repopulating lymphocytes. Additionally, we have gained new understandings of the
mechanisms by which the cytokines interleukin-7 and interleukin-15 regulate this
process. Recent studies have also explored the functions of noncytokine and
signaling molecules in lymphopenia-induced proliferation. Finally, we have seen
the promise and limitations of several therapeutic approaches, including
recombinant interleukin-7 therapy, CD8-targeted antibodies, and peri-transplant
cyclophosphamide, to treat posttransplant lymphopenia and reduce the risks of
immune dysregulation following homeostatic proliferation. SUMMARY: Immune
dysfunction following homeostatic proliferation is a special challenge in
transplantation. A deeper understanding of the underlying biology has led to a
number of promising new therapies to overcome this problem.
PMID- 24926703
TI - Clinical and policy perspectives on the adoption of active surveillance for low
risk prostate cancer.
PMID- 24926704
TI - Perceptions of Active Surveillance and Treatment Recommendations for Low-risk
Prostate Cancer: Results from a National Survey of Radiation Oncologists and
Urologists.
AB - BACKGROUND: With the growing concerns about overtreatment in prostate cancer, the
extent to which radiation oncologists and urologists perceive active surveillance
(AS) as effective and recommend it to patients are unknown. OBJECTIVE: To assess
opinions of radiation oncologists and urologists about their perceptions of AS
and treatment recommendations for low-risk prostate cancer. RESEARCH DESIGN:
National survey of specialists. PARTICIPANTS: Radiation oncologists and
urologists practicing in the United States. MEASURES: A total of 1366 respondents
were asked whether AS was effective and whether it was underused nationally,
whether their patients were interested in AS, and treatment recommendations for
low-risk prostate cancer. Pearson's chi test and multivariate logistic regression
were used to test for differences in physician perceptions on AS and treatment
recommendations. RESULTS: Overall, 717 (52.5%) of physicians completed the survey
with minimal differences between specialties (P=0.92). Although most physicians
reported that AS is effective (71.9%) and underused in the United States (80.0%),
71.0% stated that their patients were not interested in AS. For low-risk prostate
cancer, more physicians recommended radical prostatectomy (44.9%) or
brachytherapy (35.4%); fewer endorsed AS (22.1%). On multivariable analysis,
urologists were more likely to recommend surgery [odds ratio (OR): 4.19; P<0.001]
and AS (OR: 2.55; P<0.001), but less likely to recommend brachytherapy (OR: 0.13;
P<0.001) and external beam radiation therapy (OR: 0.11; P<0.001) compared with
radiation oncologists. CONCLUSIONS AND RELEVANCE: Most prostate cancer
specialists in the United States believe AS effective and underused for low-risk
prostate cancer, yet continue to recommend the primary treatments their
specialties deliver.
PMID- 24926705
TI - Development of a pharmacy-based comorbidity index for patients with cancer.
AB - OBJECTIVE: We aimed to develop and validate a pharmacy-based instrument to
measure comorbidity among cancer patients. METHODS: Patients diagnosed with
colorectal, breast, gynecologic, stomach/liver, or renal/bladder cancers were
identified from the New Zealand Cancer Registry between July 2006 and June 2008
for a development cohort (n=14096) and from July 2008 to December 2009 for a
validation cohort (n=11014). Nineteen conditions were identified using community
pharmaceutical data collected in the year before cancer diagnosis; 10 conditions
were validated against hospital record data. A pharmacy-based comorbidity index
(PBCI) was developed with each identified condition weighted according to their
log hazard ratios from age-adjusted and stage-adjusted Cox regression models with
noncancer death as the outcome. For each individual the weights were summed to
give a score. Predictive abilities of PBCI were compared with the Charlson and C3
(hospitalization-based) comorbidity indices. RESULTS: Kappa coefficients for
conditions identified in notes review compared with pharmaceutical data ranged
from 0.83 (diabetes) to 0.26 (anxiety/depression). Correlation coefficients with
the Charlson ranged from 0.37 to 0.45 across cancers. All comorbidity indices
were significant predictors of mortality, and differences between models were
small. The PBCI generally performed as well or better than the Charlson index for
predicting noncancer death within all cancer sites and slightly outperformed
other indices in predicting noncancer mortality for breast cancer. CONCLUSIONS:
The PBCI provides a valid alternative to measuring comorbidity in cancer
patients. Researchers can use either hospitalization-based or pharmacy-based
comorbidity measures for risk adjustment purposes.
PMID- 24926706
TI - Annual patient time costs associated with medical care among cancer survivors in
the United States.
AB - BACKGROUND: Although patient time costs are recommended for inclusion in cost
effectiveness analyses, these data are not routinely collected. We used
nationally representative data and a medical service-based approach to estimate
the annual patient time costs among cancer survivors. METHODS: We identified
adult 6699 cancer survivors and 86,412 individuals without a cancer history ages
18 years or more from 2008-2011 Medical Expenditure Panel Survey (MEPS). Service
use was categorized as hospitalizations, emergency room use, provider visits,
ambulatory surgery, chemotherapy, and radiation therapy. Service time estimates
were applied to frequencies for each service category and the US median wage rate
in 2011 was used to value time. We evaluated the association between cancer
survivorship and service use frequencies and patient time costs with
multivariable regression models, stratified by age group (18-64 and 65+ y).
Sensitivity analyses evaluated different approaches for valuing time. RESULTS:
Cancer survivors were more likely to have hospitalizations, emergency room
visits, ambulatory surgeries, and provider visits in the past year than
individuals without a cancer history in adjusted analyses (P<0.05). Annual
patient time was higher for cancer survivors than individuals without a cancer
history among those aged 18-64 years (30.2 vs. 13.6 h; P<0.001) and 65+ years
(55.1 vs. 36.6 h; P<0.001), as were annual patient time costs (18-64 y: $500 vs.
$226; P<0.001 and 65+ y: $913 vs. $607; P<0.001). CONCLUSIONS: Cancer survivors
had greater annual medical service use and patient time costs than individuals
without a cancer history. This medical service-based approach for estimating
annual time costs can also be applied to other conditions.
PMID- 24926707
TI - Emergency department crowding predicts admission length-of-stay but not mortality
in a large health system.
AB - BACKGROUND: Emergency department (ED) crowding has been identified as a major
threat to public health. OBJECTIVES: We assessed patient transit times and ED
system crowding measures based on their associations with outcomes. RESEARCH
DESIGN: Retrospective cohort study. SUBJECTS: We accessed electronic health
record data on 136,740 adults with a visit to any of 13 health system EDs from
January 2008 to December 2010. MEASURES: Patient transit times (waiting,
evaluation and treatment, boarding) and ED system crowding [nonindex patient
length-of-stay (LOS) and boarding, bed occupancy] were determined. Outcomes
included individual inpatient mortality and admission LOS. Covariates included
demographic characteristics, past comorbidities, severity of illness, arrival
time, and admission diagnoses. RESULTS: No patient transit time or ED system
crowding measure predicted increased mortality after control for patient
characteristics. Index patient boarding time and lower bed occupancy were
associated with admission LOS (based on nonoverlapping 95% CI vs. the median
value). As boarding time increased from none to 14 hours, admission LOS increased
an additional 6 hours. As mean occupancy decreased below the median (80%
occupancy), admission LOS decreased as much as 9 hours. CONCLUSIONS: Measures
indicating crowded ED conditions were not predictive of mortality after case-mix
adjustment. The first half-day of boarding added to admission LOS rather than
substituted for it. Our findings support the use of boarding time as a measure of
ED crowding based on robust prediction of admission LOS. Interpretation of
measures based on other patient ED transit times may be limited to the timeliness
of care.
PMID- 24926708
TI - National trends in hospitalizations for sickle cell disease in the United States
following the FDA approval of hydroxyurea, 1998-2008.
AB - BACKGROUND: Patients with sickle cell disease (SCD) can suffer frequent hospital
admissions for painful vasoocclusive crises. Hydroxyurea was approved by the FDA
in 1998 to decrease the morbidity of SCD, but nationwide hospitalizations for SCD
in the United States since 1998 have not been evaluated. We hypothesized that the
availability of hydroxyurea for SCD would be associated with a decrease in
hospitalizations for SCD over time. OBJECTIVE: To assess trends in
hospitalization and length-of-stay in hospital for SCD in the United States, 1998
through 2008. RESEARCH DESIGN: Retrospective cohort study of SCD-related hospital
discharges in the Nationwide Inpatient Sample of US hospital discharges.
SUBJECTS: All discharges in the Nationwide Inpatient Sample associated with a
principal diagnosis of SCD in blacks, 1998 through 2008. MEASURES: Trends in
hospitalization rates and average length-of-stay in hospital for SCD. RESULTS: We
found 216 (95% confidence interval, 173.3-258.7) SCD-related hospitalizations per
100,000 US blacks in 1998 and 178.4 (95% confidence interval, 144.2-212.5) in
2008, but no consistent yearly decrease, 1998 through 2008 (P=0.30). Conversely,
the length-of-stay in hospital in 1998 was 5.38 days and in 2008 was 5.18 days,
an absolute change of 0.2 days and a downward trend that was statistically
significant. CONCLUSIONS: Between 1998 and 2008, there was not a steady decrease
in hospitalization rates for the population of SCD in the United States. On the
contrary, there was a decline in length-of-stay in hospital over this time.
Hydroxyurea underuse is well documented. Efforts to increase hydroxyurea use may
help to reduce hospitalization rates.
PMID- 24926709
TI - Are comparisons of patient experiences across hospitals fair? A study in Veterans
Health Administration hospitals.
AB - BACKGROUND: Surveys are increasingly used to assess patient experiences with
health care. Comparisons of hospital scores based on patient experience surveys
should be adjusted for patient characteristics that might affect survey results.
Such characteristics are commonly drawn from patient surveys that collect little,
if any, clinical information. Consequently some hospitals, especially those
treating particularly complex patients, have been concerned that standard
adjustment methods do not adequately reflect the challenges of treating their
patients. OBJECTIVES: To compare scores for different types of hospitals after
making adjustments using only survey-reported patient characteristics and using
more complete clinical and hospital information. RESEARCH DESIGN: We used
clinical and survey data from a national sample of 1858 veterans hospitalized for
an initial acute myocardial infarction (AMI) in a Department of Veterans Affairs
(VA) medical center during fiscal years 2003 and 2004. We used VA administrative
data to characterize hospitals. The survey asked patients about their experiences
with hospital care. The clinical data included 14 measures abstracted from
medical records that are predictive of survival after an AMI. RESULTS:
Comparisons of scores across hospitals adjusted only for patient-reported health
status and sociodemographic characteristics were similar to those that also
adjusted for patient clinical characteristics; the Spearman rank-order
correlations between the 2 sets of adjusted scores were >0.97 across 9 dimensions
of inpatient experience. CONCLUSIONS: This study did not support concerns that
measures of patient care experiences are unfair because commonly used models do
not adjust adequately for potentially confounding patient clinical
characteristics.
PMID- 24926710
TI - Spillover effects of community uninsurance on awareness, treatment, and control
of hypertension among insured adults.
AB - BACKGROUND: Although studies have shown that a high rate of uninsurance in a
community reduces access to and satisfaction with health care among the insured
population, little is known about whether the community uninsurance rate also
affects quality of care and clinical outcomes among the insured. OBJECTIVE: To
assess the spillover effects of the rate of uninsurance in a community on the
awareness, treatment, and control of hypertension, a chronic condition
responsible for substantial morbidity and mortality in the United States, among
insured adults. RESEARCH DESIGN: NHANES III (1988-1994) and the 1999-2010 NHANES
were linked to data from the Current Population Survey, Area Resource File, and
InterStudy Competitive Edge. Multivariate probit regression models used 2
alternative estimation approaches: (1) maximum likelihood estimation, and (2) 2
stage residual inclusion estimation, an instrumental variables method. MAIN
OUTCOME MEASURES: Six dichotomous outcomes included: awareness among all subjects
with hypertension; treatment among all subjects with hypertension and among
subjects who were aware of their condition; and control among all subjects with
hypertension, among subjects who were aware of their condition, and among
subjects receiving treatment. RESULTS: A 10 percentage point increase in the
community uninsurance rate reduced the probability of receiving antihypertensive
medications by 4.2 percentage points among insured hypertensive adults and by 5.5
percentage points among insured hypertensive adults who were aware of their
hypertension. A 10 percentage point increase in the community uninsurance rate
also resulted in a 6.8 percentage point decline in the probability of blood
pressure control among insured hypertensive adults who were aware of their
condition. CONCLUSIONS: Nationally, the Affordable Care Act is expected to reduce
the number of uninsured by >30 million by 2016, although changes will be
experienced by communities to a greater or lesser extent depending on the
existing numbers and characteristics of the uninsured in the area and the ways in
which health care reform is implemented. Our results suggest that reductions in
the community uninsurance rate have the potential to improve quality of care and
clinical outcomes among the insured.
PMID- 24926711
TI - Impact of regional clinical pathways on the length of stay in hospital among
stroke patients in Japan.
AB - BACKGROUND: Clinical pathways are care plans used by health providers to describe
essential steps in the care of patients with specific medical conditions.
Clinical implementation of the regional clinical pathways in Japan has spread,
and the 2008 fee schedule included a new "regional inter-provider care planning
fee" for stroke. However, no evidence regarding the efficacy of the regional
clinical pathways for stroke has appeared. OBJECTIVES: We examined the
association of regional clinical pathways on the length of in-hospital stay in
patients with stroke. We also examined whether a variation in the length of in
hospital stay for stroke patients between hospitals exists, and if so, the impact
of regional clinical pathways on this variation. RESEARCH DESIGN: Cross-sectional
analysis using the Diagnosis Procedure Combination database for the period April
2011 to March of 2012. SUBJECTS: A total of 117,180 patients with the diagnosis
"cerebral infarction," coded as I63 in ICD10. MEASURES: Associations of the use
of a regional clinical pathway with the length of in-hospital stay (LOS) were
estimated by multilevel regression models using a 2-level structure of
individuals nested within the 1011 hospitals. The models added both patient-level
factors and hospital-level factors that are potentially associated with LOS.
RESULTS: Hospitals administering a regional clinical pathway had a significantly
shorter LOS (9.1 d) than hospitals that did not. Approximately 12% of the
variation in LOS between hospitals is possibly explained by whether hospitals
implement regional clinical pathways. Application of regional clinical pathways
at the individual level is associated with a 7.2-day decrease in LOS at the
individual level. CONCLUSIONS: These findings suggest that the regional clinical
pathways are potentially effective in improving the management of stroke patients
and in promoting the consistency of care between hospitals.
PMID- 24926712
TI - Trends in family ratings of experience with care and racial disparities among
Maryland nursing homes.
AB - BACKGROUND: Providing equitable and patient-centered care is critical to ensuring
high quality of care. Although racial/ethnic disparities in quality are widely
reported for nursing facilities, it is unknown whether disparities exist in
consumer experiences with care and how public reporting of consumer experiences
affects facility performance and potential racial disparities. METHODS: We
analyzed trends of consumer ratings publicly reported for Maryland nursing homes
during 2007-2010, and determined whether racial/ethnic disparities in experiences
with care changed during this period. Multivariate longitudinal regression models
controlled for important facility and county characteristics and tested changes
overall and by facility groups (defined based on concentrations of black
residents). Consumer ratings were reported for: overall care; recommendation of
the facility; staff performance; care provided; food and meals; physical
environment; and autonomy and personal rights. RESULTS: Overall ratings on care
experience remained relatively high (mean=8.3 on a 1-10 scale) during 2007-2010.
Ninety percent of survey respondents each year would recommend the facility to
someone who needs nursing home care. Ratings on individual domains of care
improved among all nursing homes in Maryland (P<0.01), except for food and meals
(P=0.827 for trend). However, site-of-care disparities existed in each year for
overall ratings, recommendation rate, and ratings on all domains of care (P<0.01
in all cases), with facilities more predominated by black residents having lower
scores; such disparities persisted over time (P>0.2 for trends in disparities).
CONCLUSIONS: Although Maryland nursing homes showed maintained or improved
consumer ratings during the first 4 years of public reporting, gaps persisted
between facilities with high versus low concentrations of minority residents.
PMID- 24926713
TI - Trends in childbirth before 39 weeks' gestation without medical indication.
AB - BACKGROUND: There is increasing attention to labor induction and cesarean
delivery occurring at 37 0/7-38 6/7 weeks' gestation (early-term) without medical
indication. OBJECTIVE: To measure prevalence, change over time, patient
characteristics, and infant outcomes associated with early-term nonindicated
births. RESEARCH DESIGN AND SUBJECTS: Retrospective analysis using linked
hospital discharge and birth certificate data for the 7,296,363 uncomplicated
births (>37 0/7 wk' gestation) between 1995 and 2009 in 3 states. MEASURES: Early
term nonindicated birth is calculated using diagnosis codes and birth certificate
records. Secondary outcomes included infant prolonged length of stay and
respiratory distress. RESULTS: Across uncomplicated term births, the early-term
nonindicated birth rate was 3.18%. After adjustment, the risk of nonindicated
birth before 39 0/7 weeks was 86% higher in 2009 than in 1995 [hazard ratio
(HR)=1.86; 95% confidence interval (CI), 1.81-1.90], peaking in 2006 (HR=2.03;
P<0.001). Factors independently associated with higher odds included maternal
age, higher education levels, private health insurance, and delivering at smaller
volume or nonteaching hospitals. Black women had higher risk of nonindicated
cesarean birth (HR=1.29; 95% CI, 1.27-1.32), which was associated with greater
odds of prolonged length of stay [adjusted odds ratio (AOR)=1.60; 95% CI, 1.57
1.64] and infant respiratory distress (AOR=2.44; 95% CI, 2.37-2.50) compared with
births after 38 6/7 weeks. Early-term nonindicated induction was also associated
with comparatively greater odds of prolonged length of stay (AOR=1.20; 95% CI,
1.17-1.23). CONCLUSIONS: Nearly 4% of all uncomplicated births to term infants
occurred before 39 0/7 weeks' gestation without medical indication. These births
were associated with adverse infant outcomes.
PMID- 24926714
TI - The burden of overweight and obesity on long-term care and Medicaid financing.
AB - BACKGROUND: The obesity rate among the elderly long-term care (LTC) residents in
the United States is increasing rapidly. However, there is a paucity of research
investigating the burden of obesity on LTC and Medicaid financing. The purpose of
this study is to fill the knowledge gap by estimating the burden of overweight
and obesity on LTC and Medicaid financing. METHODS: Using nationally
representative Cost and Use Files of Medicare Current Beneficiary Survey from
1997 to 2005, we used 2-part model and cohort-based simulation to evaluate the
effect of overweight and obesity on LTC days and Medicaid expenditures across the
lifespan among the current elderly population. Combining the per capita estimates
with 2010 census, we project future aggregate burden of obesity on LTC demand and
Medicaid cost among baby boomers. RESULTS: Obesity and related chronic diseases
lead to higher probability to enter LTC facility in a younger age, more LTC days
before death, and higher lifetime LTC cost reimbursed by Medicaid. However, such
effect is only statistically significant among women, not significant among men.
At the population level, we project that overweight and obesity will induce 1.3
billion or more LTC patient days and $68 billion or more Medicaid costs (in 2012
value) among baby boomers. CONCLUSIONS: Overweight and obesity among the elderly
will bring tremendous burden to LTC providers and Medicaid. Policy makers should
keep the burden of obesity on LTC in mind when planning LTC and Medicaid policy
reform.
PMID- 24926715
TI - Privacy-preserving analytic methods for multisite comparative effectiveness and
patient-centered outcomes research.
AB - BACKGROUND: For privacy and practical reasons, it is sometimes necessary to
minimize sharing of individual-level information in multisite studies. However,
individual-level information is often needed to perform more rigorous statistical
analysis. OBJECTIVES: To compare empirically 3 analytic methods for multisite
studies that only require sharing of summary-level information to perform
statistical analysis that have traditionally required access to detailed
individual-level data from each site. RESEARCH DESIGN, SUBJECTS, AND MEASURES: We
analyzed data from a 7-site study of bariatric surgery outcomes within the
Scalable Partnering Network. We compared the long-term risk of rehospitalization
between adjustable gastric banding and Roux-en-y gastric bypass procedures using
a stratified analysis of propensity score (PS)-defined strata, a case-centered
analysis of risk set data, and a meta-analysis of site-specific effect estimates.
Their results were compared with the result from a pooled individual-level data
analysis. RESULTS: The study included 1327 events (18.1%) among 7342 patients.
The adjusted hazard ratio was 0.71 (95% CI, 0.59, 0.84) comparing adjustable
gastric banding with Roux-en-y gastric bypass in the individual-level data
analysis. The corresponding effect estimate was 0.70 (0.59, 0.83) in the PS
stratified analysis, 0.71 (0.59, 0.84) in the case-centered analysis, and 0.71
(0.60, 0.84) in both the fixed-effect and random-effects meta-analysis.
CONCLUSIONS: In this empirical study, PS-stratified analysis, case-centered
analysis, and meta-analysis produced results that are identical or highly
comparable with the result from a pooled individual-level data analysis. These
methods have the potential to be viable analytic alternatives when sharing of
individual-level information is not feasible or not preferred in multisite
studies.
PMID- 24926716
TI - Did HEDIS get it right? Evaluating the quality of a quality measure: adherence to
beta-blockers and cardiovascular outcomes after myocardial infarction.
AB - BACKGROUND: As an example of the process that could be used to evaluate and
optimize the performance of quality measures in routine practice, we evaluated
whether the Healthcare Effectiveness Data and Information Set (HEDIS) measure
assessing the "persistence of beta-blocker treatment after a heart attack"
correlates with post-myocardial infarction (MI) outcomes and whether or not there
are alternative specifications of this construct which are better predictors
and/or may be more easily applied. RESEARCH DESIGN: The study included a
retrospective cohort of 8672 post-MI patients 18 years old and above. We assessed
the strength of the association between the different adherence measures and the
composite clinical outcome using multivariable Cox models. We compared the
predictive capacity of each adherence definition model to one that did not
contain adherence by computing the change in C-statistics and the continuous net
reclassification improvement indices (NRIs). RESULTS: Adherence was associated
with clinical outcome reductions, with hazard ratios ranging from 0.48 (95% CI,
0.27-0.85) to 0.81 (95% CI, 0.67-0.99). None of the adherence measures, including
the HEDIS definition, significantly changed the C-statistic relative to a model
that did not include adherence. However, the short-term adherence measure (having
72 d covered during the first 90 d postdischarge) showed a large change in NRI
(correctly reclassifying 12% of cases and 16% of noncases; NRI: 28%; 95% CI, 22%
38%), although did not significantly differ from the change in NRI with the HEDIS
measure. CONCLUSIONS: We identified an adherence measure that showed a predictive
ability as good as that of the HEDIS definition to measure beta-blocker use after
MI, halving the time of assessment required, and thus, allowing for the
implementation of quality improvement interventions in a more timely manner.
PMID- 24926717
TI - Surgical anatomy of the facial nerve relating to facial rejuvenation surgery.
AB - The aim of this review was to familiarize the reader with critical facial nerve
anatomy relating to facial rejuvenation surgeries. The temporal branch to the
upper orbicularis oculi muscle (OOM): The temporal branch was under the
temporoparietal fascia above the zygomatic arch and divided into 2 to 4 branches.
The highest level of the twigs that entered the OOM on the x axis and the y axis
with the origin of the lateral canthus is +2.51 +/- 0.23 cm and +2.70 +/- 0.35
cm; and the lowest, 0 cm and +2.68 +/- 0.32 cm, respectively. The zygomatic and
the buccal branch to the lower OOM: All pretarsal and preseptal OOMs were
innervated by 5 to 7 terminal twigs of the zygomatic branches of the facial nerve
that approached the muscle at a right angle. The medial portion of the lower OOM
was innervated by 1 to 2 terminal twigs of the buccal branch, and the middle
portion was innervated with 2 to 3 twigs of the zygomatic branch. The lateral
portion was supplied by the uppermost zygomatic branch, which split into 2 to 4
twigs. The temporal branch to the corrugator supercilii muscle: A plexus mainly
from the inferior ramus partly from the middle ramus of the temporal branch of
the facial nerve enters the corrugator supercilii muscle in the supraorbital
area. The temporal branch has as many as 4 to 7 rami, with interconnection among
them. The buccal branch to the procerus muscle: The buccal branch crosses the
intercanthal line (the nasion to the medial canthus) at approximately one third
laterally. The nerve entrance was within a circle with a diameter of 5 mm, and
its center was located 9 mm lateral and 10 mm superior from the nasion. It was
approximately at the midpoint of the lateral half of the intercanthal line and
the lower one third between the intercanthal line and the tangential line of the
supraorbital rim. The buccal branch, the buccal fat pad, and the parotid duct:
The buccal branches and the parotid duct crossed each other within a semicircle
with a 30-mm radius. The base (diameter) was parallel to a horizontal line
passing the corner of the mouth and 12 mm above. Its center was located 53 mm
lateral to it. The buccal branches of the facial nerve have 2 locations at the
buccal fat pad: type I, branches crossing superficial to the buccal fat pad in 14
(73.7%) of 19 specimens, and type II, 2 twigs passing through the buccal
extension of the buccal fat pad in 5 (26.3%) of 19 specimens. The buccal branch
to the upper orbicularis oris muscle: Approximately 4 branches (4.06 +/- 0.83)
entering the pars marginalis were found. Most ramifying points (14/17, 82%) were
located within a circle with a 5-mm radius, and the center was 12 mm lateral and
26 mm superior to the mouth corner. The mandibular branch according to the neck
position: At the one-fourth point, the border-nerve distance decreased (4.32 +/-
2.60 mm) with the neck in ipsilateral rotation and the border-nerve distance
increased (5.97 +/- 2.62 mm) with the neck in contralateral rotation. We hope
that this knowledge will aid surgeons in achieving successful outcomes.
PMID- 24926718
TI - A rare case of an asymptomatic third molar showing a dentigerous cyst with
ameloblastomatous changes.
PMID- 24926719
TI - Vocal cord hemangioma: a rare entity.
PMID- 24926720
TI - Stabilization of premaxilla repositioned during secondary bone grafting in
complete bilateral cleft lip and palate patients.
AB - Secondary bone grafting simultaneous to premaxillary repositioning is a well
recognized surgical procedure for the management of bilateral cleft lip and
palate patients. Proper stabilization of the repositioned premaxilla is
considered as a key factor for the success of secondary bone grafting because the
mobility of the premaxillary segment jeopardizes graft integration. This case
series reports a reliable method of premaxillary stabilization that incorporated
the intrasurgical application of resin bone cement to cover and reinforce the
arch bars or orthodontic brackets applied on the maxillary teeth. Occlusal loads
were reduced by application of posterior bite blocks on the mandibular teeth. The
stabilization method was performed on 7 patients (5 women and 2 men) with a mean
age of 12.4 years. During postsurgery follow-ups, the repositioned premaxillary
segments did not show mobility in any of the patients. The palatal fistulae were
completely closed. Panoramic radiographies taken 2 months after surgery
demonstrated acceptable graft integration. The patients have now been followed up
to 5 years. No evidence of relapse has been observed. This technique seemed to be
undemanding, included minimal laboratory procedure, and maintained the labial
mucosa overlying the repositioned segment intact.
PMID- 24926721
TI - Surgical therapy of a vertebral artery aneurysm with saccular and fusiform
segments.
AB - Vertebral artery aneurysms form a group of aneurysms having high rates of
rebleeding, morbidity, and mortality, poor treatment outcomes, as well as low
rate of surgical treatment. Examinations for subarachnoid bleeding revealed a
vertebral artery aneurysm with a diameter of 12 mm in a 67-year-old man who
presented with headache. The vertebral artery aneurysm with saccular and fusiform
segments was shrunk and clipped via lateral left suboccipital approach. No
neurologic deficit was present at the postoperative period. Control digital
subtraction angiography showed complete shrinkage of aneurysm with continued
blood flow and no residue. Clinical findings, treatments, and surgical approaches
of VA aneurysms are different from anterior and posterior circulation aneurysms.
Microneurosurgical clipping is the most effective treatment of vertebral artery
aneurysms in suitable cases. Although endovascular intervention is commonly
applied as therapeutic modality for patients with vertebral artery aneurysm,
researchers have also emphasized that saccular vertebral aneurysms with fusiform
extension can also be surgically treated in suitable cases.
PMID- 24926722
TI - Duret hemorrhage after lumbar drainage.
AB - Lumbar drainage (LD) is considered as a simple and effective procedure for the
treatment of intracerebral hemorrhage with ventricular involvement. However,
cerebrospinal fluid overdrainage and hypovolemia due to LD could induce severe
fatal complications, which include transtentorial herniation and infratentorial
hemorrhage. Here, we describe a 63-year-old man with transtentorial herniations
and Duret hemorrhage attributable to LD after the operation of thalamic hematoma
removal. This is probably the first reported case of severe complications of LD.
Thus, complications related to transtentorial herniations and Duret hemorrhage
should be kept in mind while performing LD.
PMID- 24926723
TI - Lipofibromatous hamartoma of a cranial nerve.
AB - Lipofibromatous hamartomas (LFHs) are rare benign tumors that typically present
as slow-growing firm masses in the subcutaneous soft tissue of the extremities.
These often develop in young adults on the volar aspects of the hands, wrists,
and forearms along major nerves and their branches. Only a single case of LFH
growth along a cranial nerve has been reported. A 41-year-old man presented with
a subcutaneous soft mass of the cheek. Histologically, the mass consisted of a
proliferating fibrofatty tissue that surrounded and infiltrated the nerve
structure and it was confirmed to be an LFH. After surgical excision, the patient
experienced mild facial numbness and motor disturbance on the side ipsilateral to
the resection. Because complete excision of the mass can cause sensory or motor
disturbances, an accurate diagnosis and proper subsequent treatment, such as
partial decompression, are necessary.
PMID- 24926725
TI - Facial nerve function preservation with vacuum-assisted closure.
AB - IMPORTANCE: Laboratory and clinical studies have shown that vacuum-assisted
closure (VAC) therapy increases wound blood flow and granulation tissue formation
and decreases accumulation of fluid and bacteria. Many publications outline the
use of VAC dressings in the treatment of sternal, sacral, upper and lower
extremity, perineal, and abdominal wounds, but few describe its use in the head
and neck region. No report to date has addressed the use of VAC therapy in
helping to preserve facial nerve integrity. OBSERVATIONS: We present a case of a
64-year-old woman who underwent tissue debridement for necrotizing fasciitis of
the left face, neck, and upper chest. She subsequently had exposed facial nerve
that was covered with a VAC dressing and demonstrated complete granulation by
postoperative day 7 with preservation of function. CONCLUSIONS AND RELEVANCE:
This case highlights the effectiveness of VAC in eliminating infectious material
and promoting granulation tissue formation. This is the first time that VAC
therapy has been shown to maintain neural function when placed directly on
functioning cranial nerves.
PMID- 24926726
TI - Soft tissue response after chin advancement using two different genioplasty
techniques: a preliminary technical comparative study.
AB - PURPOSE: The aim of this study was to evaluate soft tissue response after chin
advancement using 2 different genioplasty techniques. METHODS: The study included
8 adult patients who were divided equally into 2 groups: group 1 was surgically
treated by sliding genioplasty, and group 2 was surgically treated by chin shield
genioplasty for the correction of retruded or deficient chin. Lateral
cephalograms were taken twice: immediately preoperative and 6 months
postoperative. RESULTS: The mean (SD) change of soft tissue pogonion (Pg') was
4.7 (0.3) mm in group 1, whereas in group 2, the mean (SD) change of soft tissue
pogonion (Pg') was 6.2 (4.8) mm. The mean (SD) change in the labiomental depth in
group 1 was 0.9 (0.3) mm, whereas in group 2, it was 0.2 (0.5) mm. So in group 2,
chin shield genioplasty contributed to a less labiomental fold depth. The ratio
of soft tissue response to bony movement in the sliding genioplasty technique was
1:0.83, whereas in the chin shield genioplasty technique, it was 1:0.99.
CONCLUSIONS: In the chin shield genioplasty technique, the increase in
labiomental fold depth was less than in the sliding genioplasty technique.
PMID- 24926727
TI - Impact-generated endolithic habitat within crystalline rocks of the Haughton
impact structure, Devon Island, Canada.
AB - The colonization of rocks by endolithic communities is an advantageous trait,
especially in environments such as hot or cold deserts, where large temperature
ranges, low water availability, and high-intensity ultraviolet radiation pose a
significant challenge to survival and growth. On Mars, similar conditions (albeit
more extreme) prevail. In these environments, meteorite impact structures could
provide refuge for endolithic organisms. Though initially detrimental to biology,
an impact event into a rocky body can favorably change the availability and
habitability of a substrate for endolithic organisms, which are then able to
(re)colonize microfractures and pore spaces created during the impact. Here, we
show how shocked gneisses from the Haughton impact structure, Devon Island,
Canada, offer significant refuge for endolithic communities. A total of 28 gneiss
samples representing a range of shock states were analyzed, collected from in
situ, stable field locations. For each sample, the top centimeter of rock was
examined with confocal scanning laser microscopy, scanning electron microscopy,
and bright-field microscopy to investigate the relationship of biomass with shock
level, which was found to correlate generally with increased shock state and
particularly with increased porosity. We found that gneisses, which experienced
pressures between 35 and 60 GPa, provide the most ideal habitat for endolithic
organisms.
PMID- 24926729
TI - A note on breast cancer trials with pCR-based accelerated approval.
AB - Accelerated approval by the Food and Drug Administration (FDA), under the
agency's Fast Track review designation, allows early approval of drugs to treat
serious diseases and fill an unmet medical need based on a surrogate endpoint. In
May 2012, FDA issued a draft Guidance for Industry on the accelerated approval of
breast cancer drugs based on the surrogate endpoint "pathologic complete
response" (pCR). The research reported in this article investigates potential
issues in designing clinical studies for pCR-based accelerated approval. The
correlation between pCR and long-term survival was investigated. Two sample
comparisons based on a conditional survival model under different assumptions
were performed and are discussed along with simulation results. The findings from
this research may shed some light on the implementation of the FDA draft
guidance.
PMID- 24926730
TI - Regulation of silicosis formation by lysophosphatidic acid and its receptors.
AB - Silicosis is a serious occupational disease characterized by lung fibrosis that
is caused by long-term inhalation of silica-containing fine particles.
Lysophosphatidic acid (LPA) and LPA1/3 plays a role in lung fibrosis. Until
recently, there has been little research investigating the role of LPA and LPA
receptors (LPAR) in silica-induced development of pulmonary fibrosis. In this
study, we evaluated the hypothesis that LPA and LPA1/3 may play a role in
silicosis pathogenesis using rat silicosis models induced by intratracheal
instillation of silica, and randomly divided into control, silica, and VPC-12249
groups. LPA serum and bronchoalveolar lavage fluid (BALF) levels were quantified
by ELISA. alpha-smooth muscle actin (alpha-SMA), type I and III collagen protein
expression was quantified by western blotting (WB), and type I and III collagen
mRNAs detected by reverse transcriptase-polymerase chain reaction (RT-PCR). Lung
hydroxyproline (HYP) levels were detected using alkaline hydrolysis, with
hematoxylin and eosin (H&E) and picrosirius red staining used for pathological
examination. In vitro experiments showed that LPA stimulated fibroblasts
proliferated in a time and dose-dependent manner and promoted expression of alpha
SMA, and type I and III collagen. Moreover, LPA serum and BALF levels increased
in silica-instilled rats. In vivo and in vitro experiments revealed that alpha
SMA expression and collagen deposition reduced significantly after VPC-12249
treatment, and histopathological results show VPC-12249 alleviates silicosis
progression. In conclusion, our findings suggest that LPA promotes the
proliferation, transformation, and collagen synthesis of fibroblasts, and that
LPA-LPA1/3 are involved in the development of silicosis and may serve as novel
therapeutic targets for treatment.
PMID- 24926731
TI - An investigation into the use of 3G mobile communications to provide telehealth
services in rural KwaZulu-Natal.
AB - BACKGROUND: We investigated the use of third-generation (3G) mobile
communications to provide telehealth services in remote health clinics in rural
KwaZulu-Natal, South Africa. MATERIALS AND METHODS: We specified a minimal set of
services as our use case that would be representative of typical activity and to
provide a baseline for analysis of network performance. Services included
database access to manage chronic disease, local support and management of
patients (to reduce unnecessary travel to the hospital), emergency care (up to 8
h for an ambulance to arrive), e-mail, access to up-to-date information (Web),
and teleclinics. We made site measurements at a representative set of health
clinics to determine the type of coverage (general packet radio service
[GPRS]/3G), its capabilities to support videoconferencing (H323 and SkypeTM
[Microsoft, Redmond, WA]) and audio (Skype), and throughput for transmission
control protocol (TCP) to gain a measure of application performance. RESULTS: We
found that none of the remote health clinics had 3G service. The GPRS service
provided typical upload speed of 44 kilobits per second (Kbps) and download speed
of 64 Kbps. This was not sufficient to support any form of videoconferencing. We
also observed that GPRS had significant round trip time (RTT), in some cases in
excess of 750 ms, and this led to slow start-up for TCP applications.
CONCLUSIONS: We found audio was always so broken as to be unusable and further
observed that many applications such as Web access would fail under conditions of
very high RTT. We found some health clinics were so remote that they had no
mobile service. 3G, where available, had measured upload speed of 331 Kbps and
download speed of 446 Kbps and supported videoconferencing and audio at all
sites, but we frequently experienced 3G changing to GPRS. We conclude that mobile
communications currently provide insufficient coverage and capability to provide
reliable clinical services and would advocate dedicated wireless services where
reliable communication is essential and use of store and forward for mobile
applications.
PMID- 24926732
TI - Medication persistence and discontinuation of rivaroxaban versus warfarin among
patients with non-valvular atrial fibrillation.
AB - OBJECTIVES: To compare real-world persistence and discontinuation among non
valvular atrial fibrillation (NVAF) patients on rivaroxaban and warfarin in the
US. RESEARCH DESIGN AND METHODS: A large nationally representative US claims
database was used to conduct a retrospective cohort analysis of patients with
NVAF treated with rivaroxaban or warfarin from 1 July 2010 through 31 March 2013.
Index date was the date of the first prescription of rivaroxaban or warfarin. All
patients were followed until the earliest of inpatient death, end of continuous
enrollment, or end of study period. Rivaroxaban patients were matched 1:1 by
propensity scores. Medication persistence was defined as absence of refill gap of
>= 60 days. Discontinuation was defined as no additional refill for at least 90
days and until the end of follow-up. Cox proportional hazards models were
estimated to examine the adjusted hazard ratios (aHRs) of rivaroxaban vs.
warfarin on non-persistence and discontinuation. RESULTS: A total of 32,886 NVAF
patients on rivaroxaban or warfarin met the study inclusion criteria. Each of the
7259 rivaroxaban patients identified were matched 1:1 to warfarin patients.
Patients on rivaroxaban had a significantly better rate of persistence (aHR:
0.63, 95% CI 0.59-0.68) and lower rate of discontinuation (aHR: 0.54, 95% CI 0.49
0.58) compared to warfarin recipients. LIMITATIONS: Claims data may have
contained inaccuracies and miscoding. Confounding may remain even after
propensity score matching and additional adjustments in model. Refill data may
not fully reflect actual medication use. Longer follow-up may produce more
precise estimates of persistence and discontinuation. CONCLUSION: This matched
cohort analysis indicated that rivaroxaban was associated with significantly
higher medication persistence and lower discontinuation rates compared to
warfarin.
PMID- 24926733
TI - Action plans to reduce hospitalizations for chronic obstructive pulmonary disease
exacerbations: focus on oral corticosteroids.
AB - OBJECTIVE: Chronic obstructive pulmonary disease (COPD) is associated with a huge
burden of suffering and healthcare expenditures. Patients hospitalized due to
COPD have increased risk of death. Starting in 2015, reimbursements by the
Centers for Medicaid Medicare Services will be significantly reduced to hospitals
with excess 30 day readmissions for COPD. Oral corticosteroid (OCS) therapy is
established in improving outcomes in COPD patients treated in the emergency
department and hospital. The objective of this article is to review the evidence
evaluating home OCS treatment of COPD exacerbations as part of a comprehensive
self-management action plan. METHODS: We reviewed the English literature via
PubMed, Embase, and Scopus using the search terms: chronic obstructive pulmonary
disease exacerbations AND: oral corticosteroids, prednisone, prednisolone,
methylprednisolone, treatment, self-management, disease management, written
action plans. When pertinent articles were found, we reviewed the relevant
articles cited. FINDINGS: Two randomized trials enrolling 933 patients provide
evidence of reduced rates of hospitalization by using comprehensive COPD action
plans, including OCS therapy. Three trials with 790 patients enrolled did not
reveal reduced rates of hospitalization. Among all five trials together, there
were no differences in deaths (76 in the intervention groups [home action plans];
81 in the usual care groups). Additional studies not assessing hospitalizations
have found home use of OCSs increases time to the next exacerbation and decreases
recovery time. CONCLUSION: Further randomized trials are needed to establish that
home use of OCS therapy, as part of a comprehensive action plan, reduces the rate
of hospitalizations. Such action plans should include structured patient
education, early initiation of OCSs, oral antibiotics, and frequent telephone
reinforcement and support from case management.
PMID- 24926734
TI - Tapentadol at medium to high doses in patients previously receiving strong
opioids for the management of cancer pain.
AB - OBJECTIVE: The aim of this study was to assess the efficacy and tolerability of
tapentadol (TP) for a period of 4 weeks in patients who were already treated by
opioids. METHODS: A convenience sample of 30 patients was selected for a
prospective observational cohort study. Cancer patients who were receiving at
least 60 mg of oral morphine equivalents were selected. Patients discontinued
their previous opioid analgesics before starting TP, in doses calculated
according the previous opioid consumption (1:3.3 ratio with oral morphine
equivalents). The subsequent doses were changed according to the patients' needs
for a period of 4 weeks. Oral morphine was offered as a breakthrough pain
medication. Pain and symptom intensity were recorded at weekly intervals.
Distress score (DS) was calculated from the sum of symptom intensities. TP opioid
escalation indexes (TPEI) for the study period were calculated. RESULTS: Nineteen
patients were male, and the mean age was 63.5 years (+/-11.5). The mean Karnofsky
status was 62.9 (+/-10). The mean dose of oral morphine equivalents before
switching to TP was 112 mg (+/-57) and the initial mean dose of TP was 343 mg (+/
150). Pain intensity significantly decreased. Tapentadol escalation index in
percentage was 1.26 (TPEI% +/- 2.6) and Tapentadol escalation index in mg was
2.76 (TPEImg +/- 4.96). No significant relationships were found with primary
tumor (TPEI%, p = 0.204; TPEImg, p = 0.180), pain mechanism (TPEI%, p = 0.863;
TPEImg, p = 0.846), age (TPEI%, p = 0.882; TPEImg, p = 0.884), or gender (TPEI%,
p = 0.287; TPEImg, p = 0.325). DS decreased, but non-significantly (p = 0.1). Ten
patients did not complete the study period: five patients discontinued TP for
uncontrolled pain, despite increasing doses of TP over 600 mg/day. Two patients
discontinued TP for adverse effects and three patients dropped out, one patient
for poor compliance and two patients for unrecorded reasons. CONCLUSION: In our
sample, TP used in doses of 350-450 mg/day was well tolerated and effective in
opioid tolerant patients with cancer pain and could be considered as a flexible
drug to be used for the management of moderate to severe cancer pain. Like most
studies in patients with cancer pain, it was limited by its open-label,
uncontrolled design, the number of patients lost in follow-up, and
discontinuation of the treatment for several reasons. Further studies in a large
number of patients should confirm these preliminary results.
PMID- 24926735
TI - Predictive value of a serum-based proteomic test in non-small-cell lung cancer
patients treated with epidermal growth factor receptor tyrosine kinase
inhibitors: a meta-analysis.
AB - OBJECTIVE: Several studies have demonstrated that a serum-based proteomic test
(VeriStrat * ) is able to predict the clinical outcome of non-small-cell lung
cancer (NSCLC) patients treated with epidermal growth factor receptor tyrosine
kinase inhibitors (EGFR-TKIs). However, these studies have limited power to draw
a precise conclusion because of their small sample sizes and inconsistent
results. Therefore, a meta-analysis was carried out in an attempt to provide more
persuasive evidence. RESEARCH DESIGN AND METHODS: Electronic searches for
relevant articles in PubMed, Embase, Medline, and Web of Science published up to
May 2013 were conducted. Stata Statistical Software version 12.0 was applied for
statistical analysis. The combined hazard ratio (HR) and 95% confidence interval
(CI) were estimated using fixed-effects models. RESULTS: Eleven cohorts involving
706 patients collected from seven studies were subjected to final analysis. This
serum-based proteomic test's 'good' status predicted a better clinical outcome
with a pooled HR of 0.40 (95% CI 0.32 to 0.49; p < 0.001) for overall survival
(OS), and 0.49 (95% CI 0.39 to 0.60; p < 0.001) for progression-free survival
(PFS). There was no significant heterogeneity, but a slight publication bias in
this study. CONCLUSIONS: Our meta-analysis demonstrated that this serum-based
proteomic test has a predictive value for NSCLC patients treated with EGFR-TKIs.
Future data are needed to validate and update our results.
PMID- 24926737
TI - Effects of music therapy on patient satisfaction and health-related quality of
life of hospital inpatients.
AB - The matched-case control study investigated the effect of inpatient music therapy
(MT), including the gift of a compact disc, on patient satisfaction and quality
of life. Overall rating of the hospital and likelihood to recommend it (n = 210),
and SF-12 quality of life scores (n = 160) were compared between groups. Although
no significant difference in overall hospital rating was found, MT patients'
recommendation scores were higher (p =.02). The MT patients had marginally better
quality of life pain scores (p =.06). Integration of MT with inpatient care can
improve the likelihood that patients will recommend the hospital and may impact
their perception of pain.
PMID- 24926736
TI - Optimizing the OR: a bottom-up approach.
AB - Efficiency in the operating room (OR) has important implications on finances,
access, and patient and staff satisfaction. UC Davis Medical Center (UCDMC)
launched an initiative to increase OR efficiency by using multidisciplinary staff
based teams. The initiative freed up 5,500 annual hours-about 1 hr per operating
room per day-in the OR by improving the percentage of first case on-time starts,
reducing OR turnover times, improving scheduling predictability and reducing the
number of controllable cancellations. Importantly, the process also engrained a
continuous quality improvement mentality into the medical center's culture.
PMID- 24926738
TI - The society's view of nursing in Turkey.
AB - This study was conducted in order to ensure the educational transformation of a
school of health at a state university, within the scope of the Bologna Process.
A review of the literature suggests that dependent functions of nursing are
studied more often than its independent functions, but independent functions of
nursing are not properly understood by society. The population consisted of 458
people in Duzce. They were chosen using a stratified sampling method. The
researchers developed a questionnaire form to use as the data collection tool.
The data were evaluated by means of descriptive statistics. People living in
Duzce region believed that nurses primarily perform care activities, that they
act as assistants to doctors. Nurses who perform practical tasks were given
positive ratings for their interpersonal communication skills, but the
participants also expected nursing school to improve educational structuring to
help nursing students acquire communication skills. Nursing education programs
must be restructured to improve nursing students' communication skills. It is the
first step for structuring education program to know what is nursing image within
society. In light of this approach nursing program can be restructured to local
tendencies.
PMID- 24926739
TI - The accountable care organization summit: a white paper on findings, outcomes,
and challenges.
AB - The authors sought to explore the implications of the Patient Protection and
Affordable Care Act's establishment of Accountable Care Organizations (ACO).
Summit participants, who discussed best practices and issues to be addressed when
designing and implementing ACOs. Healthcare leaders from across the country in
charge of running, developing, and/or implementing ACOs for health systems.
Participants were asked to consider the challenges, benefits, and strategies to
ACO implementation.
PMID- 24926740
TI - Effect of salt on the formation of salt-bridges in beta-hairpin peptides.
AB - Salt-bridges ubiquitously form between oppositely charged moieties in proteins.
Here we quantify changes in population of salt-bridged beta-hairpin peptides due
to added salt, and determine the thermodynamic driving forces and cooperativity
of salt-bridge formation under these conditions. We find only a fraction of salt
bridged folded conformations at physiologically relevant salt concentrations.
PMID- 24926742
TI - Molybdenum disulfide/pyrolytic carbon hybrid electrodes for scalable hydrogen
evolution.
AB - The electrochemical generation of hydrogen fuel via the proton reduction in the
Hydrogen Evolution Reaction (HER) in aqueous media is currently dependent on the
use expensive noble metal catalysts for which alternatives must be sought.
Molybdenum disulfide (MoS2) has shown great promise as a suitable electrocatalyst
in this regard. While many lab-scale experiments on the HER activity of this
material have demonstrated its viability and explored some fundamental
mechanistic features of HER at MoS2, these experimental techniques are often ill
suited to large scale production of such electrodes. In this study we present
work on the fabrication of MoS2/pyrolytic carbon (PyC) electrodes via vapour
phase sulfurization of Mo thin films. These hybrid electrodes combine the
catalytic activity of MoS2 with the conductivity and stability of PyC, whilst
using industrially compatible processing techniques. Structural defects in the
sulfur lattice were found to be key catalytically active sites for HER and
thinner MoS2 films displayed a higher quantity of these defects and, hence, an
improved HER activity. The observed Tafel slope of 95 mV decade(-1) is comparable
to previous literature works on MoS2 HER performance.
PMID- 24926741
TI - Sca-1+ cardiac progenitor cells and heart-making: a critical synopsis.
AB - The identification, in the adult, of cardiomyocyte turnover events and of cardiac
progenitor cells (CPCs) has revolutionized the field of cardiovascular medicine.
However, the low rate of CPCs differentiation events reported both in vitro and
in vivo, even after injury, raised concerns on the biological significance of
these subsets. In this Comprehensive Review, we discuss the current understanding
of cardiac Lin(-)Sca-1(+) cells in light of what is also known for cellular
compartments with similar phenotypes in other organs. The Lin(-)Sca-1(+) heart
subset is heterogeneous and displays a mesenchymal profile, characterized by a
limited ability to generate cardiomyocytes in vitro and in vivo, even after
injury. There is no evidence for Sca-1 expression in embryonic cardiovascular
progenitors. In other organs, Sca-1 expression is mainly observed on mesoderm
derived cells, although it is not restricted to stem/progenitor cell populations.
It is urgent to determine, at a single cell level, to which extent cardiac Lin(
)Sca-1(+) cells overlap with the fibroblast compartment.
PMID- 24926743
TI - Vitamin D deficiency and adult asthma exacerbations.
AB - OBJECTIVES: There is growing evidence indicating a connection between vitamin D
deficiency and the severity of asthma exacerbations. This study seeks to assess
the relationship between vitamin D deficiency and the number and severity of
asthma exacerbation in adults. METHODS: A retrospective analysis was conducted in
92 patients being treated for asthma at the University of New Mexico Adult Asthma
Clinic. Serum 25-hydroxyvitamin D3 levels were analyzed in adults with mild to
severe persistent asthma. Using multi-variant modeling, the relationship was
examined between serum vitamin D levels and the odds of asthma exacerbations
ranging in severity from moderate to severe over the span of five years. RESULTS:
This study demonstrates that vitamin D sufficiency was significantly associated
with a decreased total number of asthma exacerbations (incidence rate ratio
[IRR]: 0.61, 95% confidence interval [CI]: 0.44-0.84, p = 0.002), decreased total
severe asthma exacerbations (IRR: 0.41, 95% CI: 0.24-0.72, p = 0.002) and
decreased emergency room visits (IRR: 0.42, 95% CI: 0.20-0.88, p = 0.023).
CONCLUSION: Vitamin D deficiency may be linked to the risk of severe asthma
exacerbations in adults.
PMID- 24926744
TI - Electrochemical reduction of dioxygen by copper complexes with pyridylalkylamine
ligands dissolved in aqueous buffer solution: the relationship between activity
and redox potential.
AB - The Cu(II)/Cu(I) redox properties and electrochemical O2 reduction activity of a
series of Cu(II)-complexes with pyridylalkylamine ligands were investigated in a
neutral buffer solution. The relationship between Cu(II)/Cu(I) redox properties
and O2 reduction activity was clearly demonstrated by voltammetric analyses.
PMID- 24926747
TI - Editorial.
PMID- 24926746
TI - A comparative study of Ru(II) cyclometallated complexes versus thiocyanated
heteroleptic complexes: thermodynamic force for efficient dye regeneration in dye
sensitized solar cells and how low could it be?
AB - Four novel Ru(II) bipyridyl complexes MH12-15 were synthesized and characterized
for dye-sensitized solar cells (DSSCs). Their photovoltaic performance including
incident photon-to-current conversion efficiency (IPCE), total solar-to-power
conversion efficiency (eta%) and ground and excited state oxidation potentials
and photoelectrochemical properties were evaluated on mesoporous nanocrystalline
TiO2 and compared with the benchmark N719-dye under the same experimental
conditions. MH12-15 showed stronger MLCT with significantly higher molar
extinction coefficient for the lower energy absorption bands at 553 nm (27,500 M(
1) cm(-1)), 554 nm (34,605 M(-1) cm(-1)), 577 nm (23,300 M(-1) cm(-1)), and 582
nm (39,000 M(-1) cm(-1)), respectively, than that of N719 (14,200 M(-1) cm(-1)).
The introduction of a cyclometallated ligand in dyes MH14 and 15 improved the
optical properties and red-shifts of 24 nm and 28 nm, respectively, compared to
the non-cyclometallated analogs MH12 and 13. The red shift in the UV-Vis spectra
of MH14 and 15 can be attributed to the destabilization of the HOMO t2g of
Ru(II). However, the destabilization of the HOMO furnished an upward shift of the
ground state oxidation potentials (GSOPs) of MH14 and 15 at -5.44 eV and -5.36 eV
against vacuum, respectively, which resulted in a driving force of only 0.22 and
0.16 eV for regeneration of dyes MH14 and 15, respectively. In the case of NCS
analogs, MH12 and 13, the GSOPs, however, were -5.56 and -5.51 eV, respectively,
which produced a driving force of more than 0.25 eV for dye regeneration. The
nanosecond transient absorbance measurements showed that the time needed for the
oxidized forms of MH12-MH15 to regenerate the neutral dye is 6 MUs, 4 MUs, 13 MUs
and 18 MUs, respectively, compared to N719 (2.3 MUs). These kinetic data
confirmed that the weak thermodynamic force, small negative free energy (
DeltaG), for regeneration of MH14 and 15 neutral dyes makes the dye regeneration
process kinetically sluggish, which contributed significantly to the loss of both
photocurrent and photovoltage. This study clearly elucidated that although
cyclometallation may produce significantly better light harvesting, the driving
force of less than 0.25 eV is not sufficiently enough for effective dye
regeneration.
PMID- 24926745
TI - Identification of aluminium transport-related genes via genome-wide phenotypic
screening of Saccharomyces cerevisiae.
AB - Genome-wide screening using gene deletion mutants has been widely carried out
with numerous toxicants including oxidants and metal ions. The focus of such
studies usually centres on identifying sensitive phenotypes against a given
toxicant. Here, we screened the complete collection of yeast gene deletion
mutants (5047) with increasing concentrations of aluminium sulphate (0.4, 0.8,
1.6 and 3.2 mM) in order to discover aluminium (Al(3+)) tolerant phenotypes.
Fifteen genes were found to be associated with Al(3+) transport because their
deletion mutants exhibited Al(3+) tolerance, including lem3Delta, hal5Delta and
cka2Delta. Deletion of CKA2, a catalytic subunit of tetrameric protein kinase
CK2, gives rise to the most pronounced resistance to Al(3+) by showing
significantly higher growth compared to the wild type. Functional analysis
revealed that both molecular regulation and endocytosis are involved in Al(3+)
transport for yeast. Further investigations were extended to all the four
subunits of CK2 (CKA1, CKA2, CKB1 and CKB2) and the other 14 identified mutants
under a spectrum of metal ions, including Al(3+), Zn(2+), Mn(2+), Fe(2+), Fe(3+),
Co(3+), Ga(3+), Cd(2+), In(3+), Ni(2+) and Cu(2+), as well as hydrogen peroxide
and diamide, in order to unravel cross-tolerance amongst metal ions and the
effect of the oxidants. Finally, the implication of the findings in Al(3+)
transport for the other species like plants and humans is discussed.
PMID- 24926748
TI - Anabolic steroid misuse: How much should we know?
AB - The misuse of anabolic androgenic steroids (AAS) and other performance enhancing
(ergogenic) drugs remains largely unrecognized by many health professionals. The
real extent of the problem is unknown, probably as a result of a combination of
various methodological difficulties. Examples include poor definition of cases,
obstacle in recruiting large enough samples for longitudinal follow-up, ethical
issues as AAS are obtained from the black market and the covert nature of the
problem itself. Our review attempts to alert psychiatrists and mental health
professionals to the risks associated with these compounds. We cover the
pharmacology, epidemiology, the use and misuse and relevant complications.
PMID- 24926749
TI - Personality disorder ? The rules of engagement.
AB - This paper sets out the size and pressing nature of the problem of personality
disorder and attempts to provide clinicians with a coherent strategy for the
assessment of personality disorder, with special emphasis on determining who
should be taken on for treatment.
PMID- 24926750
TI - A naturalistic study on the criteria of choice of second-generation
antidepressants: A comparison of venlafaxine and SSRIs in depressed inpatients.
AB - INTRODUCTION: The objective of this study was to compare, in a naturalistic
setting, the efficacy and tolerability of selective serotonin reuptake inhibitors
(paroxetine, sertraline, citalopram) and venlafaxine, in 120 depressed
inpatients. This paper attempts to review which variables may influence a
physician's choice of a specific antidepressant for a specific patient. METHOD:
Patients were assessed using the Hamilton Psychiatric Rating Scale for Depression
(HAM-D), the Montgomery-Asberg Depression Rating Scale (MADRS), the Clinical
Global Impression (CGI) and the Symptoms Check List (SCL-90). The two groups
under assessment were comparable in all socio-demographic characteristics. We
used logistic regression analyses to identify variables that differentiate the
two groups at baseline. This, in turn, would represent those variables with the
potential to influence a physician's selection of an antidepressant. RESULTS:
Venlafaxine patients reported significantly worse scores on MADRS at baseline,
but any difference was no longer present at discharge. We found no significant
variation in the efficacy of the antidepressants under study and there were no
differences in the incidence and profiles of adverse events between the groups of
patients. CONCLUSION: The degree of severity of the actual depressive picture
appears to influence choice in favour of venlafaxine. However, it appears that
the choice of SSRIs is more closely linked to patients who present a previous
history of non-mood psychiatric symptoms.
PMID- 24926751
TI - The clinical value of risperidone and olanzapine: A meta-analysis of efficacy and
safety.
AB - INTRODUCTION: Since 1990 novel antipsychotics have been available to treat
schizophrenia. Risperidone and olanzapine have emerged as the two most popular
members of this class. The current report aims to synthesize the clinical trial
data currently available on these two novel antipsychotics and compare them with
conventional products in terms of efficacy and safety. METHODS: Published
randomized clinical trials, which included a risperidone or olanzapine arm, were
sought through the MEDLINE, EMBASE and PSYCLIT databases. Trials were only
excluded due to reporting failures or design incompatibilities (not randomized).
A random effects approach was applied to compare information across trials, and
meta-regression was used to compare product categories and gain insight into
patient factors related to clinical outcomes. Outcome variables measured were
total Positive and Negative Symptom Scale (PANSS) score, withdrawals due to
inefficacy and use of medication for extrapyramidal symptoms (EPS). RESULTS:
Risperidone and olanzapine offer advantages over conventional products in terms
of both efficacy and safety. Of the two novel antipsychotics studied, the
benefits of risperidone were clearer than those with olanzapine in terms of
efficacy; this could not be assessed for safety due to inconsistencies in the
reporting of extrapyramidal symptoms between studies. CONCLUSION: Patients
receiving novel antipsychotics, particularly risperidone, are likely to gain
improved control of symptoms of schizophrenia and are less likely to require
medication to counteract extrapyramidal symptoms than patients receiving
conventional neuroleptics.
PMID- 24926752
TI - Ventricular measurements in computed tomography of responders and non-responders
to donepezil in the treatment of Alzheimer's disease.
AB - INTRODUCTION: We attempt to see whether the ventricular measurements in routine
CT scans performed prior to commencing donepezil differed in patients who duly
responded well and those who did not, and to explore the potential application of
the findings in clinical practice. METHOD: The study included all patients who
were prescribed donepezil during a 2-year period in Warrington ( n =59). Two
groups of patients were compared in respect of their baseline CT scan ventricular
measurements: those who improved or remained stable cognitively on donepezil ( n
=43) and those who declined while on donepezil (MMSE < 10) during the study
period ( n =16). RESULTS: Significant differences in means between the two groups
were found in relation to the bicaudate span and bicaudate ratio. Of ventricular
measurements, only the bicaudate parameters were significantly correlated with
the baseline Mini Mental State Examination (MMSE) score as well as the rate of
decline in cognitive function during the study period ( P < 0.05). CONCLUSION:
Baseline bicaudate diameter and ratio may be of some value if included in the
initial assessment of patients on donepezil. These measurements, in conjunction
with other cognitive and functional assessments, may prove helpful in deciding
whether to commence treatment, and give a rough guide to the outcome. Future
studies, with sufficient statistical power, are necessary to explore the use of
ventricular parameters in predicting and monitoring patients' response to current
and future pharmacological treatment in Alzheimer's disease.
PMID- 24926753
TI - The onset and longitudinal course of a man-made post-traumatic morbidity:
Survivors of the Sivas disaster.
AB - INTRODUCTION: This study examined the onset and 18-month longitudinal course of
post-traumatic stress disorder morbidity in 79 people who were exposed to the man
mad "Sivas disaster" in Turkey, which caused 37 deaths and more than 60 injuries.
METHODS: The people who experienced the trauma were stratified into three groups,
according to the extent of exposure to the disaster, to find out if the extent
(severity) of trauma was the main predictor of onset and chronicity. Another aim
of the study was to detect different patterns of post-traumatic morbidity and to
see how Post-Traumatic Stress Disorder (PTSD) fluctuates in response to related
stimuli such as the anniversary of the event and the result of the court hearings
related to the event. RESULTS: Contrary to theoretical knowledge and
expectations, there were no significant differences in the emergence of acute
PTSD between the three groups: that is, PTSD emerged in all the groups, and
higher extent of trauma did not predict higher onset of acute PTSD. Differences
emerged throughout further stages of the study; higher extent of trauma resulted
in a higher number of chronic cases and thus extent of trauma was one of the
predictors of chronicity. Interestingly, up to ten patterns (subgroups) of PTSD
against the expectation of only three (acute, chronic and delayed) emerged during
the course of the study. Contrary to expectations, the delayed pattern of
morbidity was more common than the chronic and acute forms in one of the study
groups.
PMID- 24926754
TI - Natural history of affective disorders: Comorbidity as a predictor of suicide
attempts.
AB - OBJECT: Comorbidity of psychiatric illnesses and substance misuse can lead to an
increase in suicide attempts. METHOD: Retrospective data collection from case
notes of 81 consecutive admissions to an acute psychiatric ward. Previous
diagnoses, substance misuse and suicidal attempts were all examined. RESULTS: The
rate of suicide attempts among all groups was 40.7%. Alcohol abuse or use of
illegal drugs was reported in 69.7% of suicide attempters. More than half of the
patients (66.7%) overconsumed alcohol. CONCLUSION: Clinical implication of the
effect of comorbidity on suicidal behaviour and length of stay are discussed.
PMID- 24926755
TI - Reboxetine in a patient with seasonal bulimia resistant to SSRIs and light
therapy.
AB - There is a phenomenological similarity between seasonal affective disorder and
bulimia nervosa, as sufferers from both show increased appetite and carbohydrate
craving and probably share a common dysfunction in brain serotonergic systems.
Serotonergic compounds and bright light therapy have proven to be an effective
treatment for both disorders. We describe the case of a woman who suffered from
seasonal affective disorder and nonpurging bulimia nervosa for 16 years and was
resistant to treatment regimens with selective serotonin re-uptake inhibitors and
bright light therapy. She was successfully treated with the selective
noradrenaline re-uptake inhibitor reboxetine. The authors want to encourage
clinicians to make attempts to treat seasonal and non-seasonal bulimia with
selective noradrenergic compounds.
PMID- 24926756
TI - Neuroleptic malignant syndrome: Possible induction by olanzapine in a case with
relapsing catatonia.
AB - Neuroleptic malignant syndrome is a serious medical condition with a significant
mortality risk. Although it is less common with the atypical than the typical
agents, it is described in association with clozapine and olanzapine. This report
documents a case of possible induction of NMS in a patient with relapsing
catatonia.
PMID- 24926757
TI - Successful treatment of severe parasomnias with paroxetine in a 12-year-old boy.
AB - Night terrors and somnambulism are parasomnias associated with non-REM sleep.
Medical treatment is only considered in severe cases with persistent and extended
symptoms where there is a high risk of self-injury. We report the case of a 12
year-old boy with severe night terrors and somnambulism whose symptoms completely
remitted under medication with the selective serotonin re-uptake inhibitor
paroxetine. Its impact on sleep remains unclear as we were not able to show any
significant changes in the polysomnographic sleep macroarchitecture. Paroxetine
might be an alternative to benzodiazepines or tricyclic antidepressants because
it permits subchronic medication, necessitates little dose titration and is well
tolerated, with few side-effects, and, in particular, has low sedating
properties.
PMID- 24926758
TI - Acute akathisia and sodium valproate.
AB - Akathisia is a common and distressing side-effect of a number of psychotropic
drugs, including neuroleptic medication. We report the case of a 38-year-old
woman who suffers from bipolar affective disorder and developed acute akathisia
following the introduction of sodium valproate as a mood stabilizer. The
medication regimen at the onset of her akathisia is described and discussed with
particular reference to the aetiology of her akathisia. It is not possible to say
with certainty if her acute akathisia developed as a sole consequence of sodium
valproate treatment or as a consequence of a possible synergistic effect of her
other psychotropic medication, but a sodium-valproate-induced functional
dopaminergic antagonism mediating her acute akathisia appears likely.
PMID- 24926759
TI - Topics in contemporary psychiatric practice: Diagnosing Bipolar Disorder.
PMID- 24926762
TI - Editorial.
PMID- 24926763
TI - The relationship between sleep disturbance and depression.
AB - This paper focuses on several aspects of the relationship between sleep and
depression, with particular attention to objective factors and subjective
perceptions. It does not address the effect that antidepressants have on sleep,
nor does it explore the wider implications of the types and course of depression,
age, or other psychiatric conditions. "Normal sleep" is explored, examining
typical sleep architecture in individuals without sleep disorders, psychiatric
conditions or physical illness. Sleep disorders are reviewed and examined to
present the nature of the disturbance and the role that they may play in
depression, with particular attention paid to insomnia. Studies have identified a
sub-group of insomnia patients (highly distressed poor sleepers), who appear to
be less satisfied with their sleep quality than "low distressed poor sleepers",
even though they did not differ on sleep timing perceptions. Recent work has
shown that depressed individuals to be less satisfied with sleep quality than
healthy controls, even though they did not differ on sleep timing perceptions.
The evidence presented here supports the view that subjective sleep perceptions
play an important role in depression. Poor subjective perceptions of sleep in
depression may be associated with faulty cognitions. This has been found
extensively in insomnia, but is under-researched in depression.
PMID- 24926764
TI - A review on hyponatremia associated with SSRIs, reboxetine and venlafaxine.
AB - Hyponatremia, defined as serum sodium below 135 mmol/l, is a potentially life
threatening condition and was shown to be more frequent in elderly and
psychiatric patients. In the last years numerous case reports on SSRI- and
venlafaxine-induced hyponatremia were published indicating a higher incidence
than previously thought. Only few studies have been performed and the incidence
reported varies widely from 4.6/1000 people to 25%. It is still unclear if any
single SSRI shows a higher incidence of hyponatremia than the others. Some data
suggest that venlafaxine may have a stronger association to hyponatremia than
SSRIs. Risk factors include age, female sex, low body mass index, severe physical
illness, history of former hyponatremia and co-medications known to induce
hyponatremia, especially thiazide diuretics. Symptoms of hyponatremia are usually
neuropsychiatric (e.g. restlessness, lethargy, cognitive impairment), and any
worsening in psychiatric symptoms in patients with a corresponding risk-profile
receiving SSRIs or venlafaxine should give cause to check serum electrolytes.
Usually SSRI-induced hyponatremia occurs within approximately 30 days and is
reported to improve after withdrawal of the drug. Further controlled studies to
confirm the true incidence of hyponatremia due to SSRI or venlafaxine and to
define predictors more precisely are needed.
PMID- 24926765
TI - Comparison between the SCOFF Questionnaire and the Eating Attitudes Test in
patients with eating disorders.
AB - OBJECTIVE: The SCOFF was developed as a simple, five-question screening tool for
eating disorders to be used in primary care. The aim of this study was to examine
the appropriateness of each question in comparison with the Eating Attitudes Test
26. METHODS: The SCOFF and the EAT-26 were administered to 80 patients with
eating disorders who had received treatment from May through October 2003 in
Japan. RESULTS: The scores of the SCOFF and those of the EAT-26 were positively
correlated (P<0.001), and each question of the SCOFF was strongly associated with
different items of the EAT-26. The detection rates with the SCOFF of the patients
with anorexia nervosa or bulimia nervosa and that of the patients with eating
disorders not otherwise specified (EDNOS) were 96.2 and 48.1%, respectively. The
scores of the SCOFF and the values of body mass index were significantly
correlated (P=0.041), and the detection rate with the SCOFF of patients with low
body weight and EDNOS was low (10%). CONCLUSION: Each question of the SCOFF has
been selected appropriately for reflecting characteristics of eating disorders,
although one question about body weight loss should be modified to detect
patients with anorexia nervosa more accurately.
PMID- 24926766
TI - Comorbid personality disorders in subjects with bipolar I disorder.
AB - Objective. The purpose of this study was to present the frequencies of
personality disorders in a sample of bipolar I patients and to investigate
whether the presence of comorbid personality disorders affect the course of
bipolar illness. METHODS: Seventy euthymic bipolar I patients were assessed using
the Structured Clinical Interview for DSM-IV Axis II Personality Disorders (SCID
II). Bipolar patients with comorbid personality disorder were compared with those
of without personality disorder comorbidity on demographic and clinical
variables. RESULTS: Forty bipolar I patients (57%) had at least one comorbid
personality disorder. The most common personality disorder cluster was cluster C
(36%), followed by cluster B (17%) and cluster A (17%) personality disorders. The
most prevalent personality disorder in the whole group was obsessive-compulsive
personality disorder (21%). Patients with comorbid personality disorders had an
earlier age of onset than those of without comorbidity. CONCLUSION: Although the
rates of comorbid personality disorders are high in bipolar I patients, the
presence of comorbidity has no relevant impact on the course of bipolar I
patients except for earlier age of onset of bipolar I disorder.
PMID- 24926767
TI - Relapse prevention in schizophrenia: does group family psychoeducation matter?
One-year prospective follow-up field study.
AB - Objectives. Relapse prevention is one of the most important goals of long-term
schizophrenia management, as relapse is both distressing and costly. Family
intervention supplementation to standard treatment could reduce the relapse rate.
This study assessed the influence of a short-term, clinically based, and
profesionally led family psychoeducation programme on a 1-year relapse rate.
Methods. A total of 120 patients were recruited upon discharge from two
psychiatric hospitals in Prague: (1) Site A (N=86), where family psychoeducation
is offered to all patients with schizophrenia, schizoaffective disorder, and
acute psychotic episode with schizophrenic symptoms; and (2) Site B (N=34), where
no such programme was offered. Results. Compared to nonparticipants,
psychoeducation participants had a shorter average length of rehospitalization
stay (5.89 vs. 17.78 days, P=0.045) in a 1-year follow-up after discharge. The
probability of rehospitalization during a 1-year follow-up was higher for
patients from the site that did not provide psychoeducation. Conclusions. A
shorter average length of rehospitalization of psychoeducation participants, a
high turnout of first-episode patients, and positive responses of psychoeducation
participants suggest that family psychoeducation should be supplemented early in
the course of the illness to achieve favourable treatment outcomes and minimize
adverse health and the social consequences of schizophrenia.
PMID- 24926768
TI - Validation of the 13-Item Beck Depression Inventory in alcohol-dependent people.
AB - Aims. To validate a self-administered questionnaire (the 13-item Beck Depression
Inventory) as an instrument for assessing depressive symptoms in alcohol
dependent people. Design, setting and participants. One hundred and eight
treatment-seeking alcohol-dependent patients were recruited from a teaching
hospital substance misuse facility. Measures. The Beck Depression Inventory,
Montgomery Asberg Depression Rating Scale and Hamilton Rating Scale for
Depression were administered. Scores from each instrument were compared using
correlation coefficients. Findings. Correlation between the Beck Depression
Inventory and Montgomery Asberg Depression Rating Scale was 0.763. The Beck
Depression Inventory achieved a high degree of consistency/reliability
(Cronbach's alpha=0.8847). Receiver operated curve analysis gave an optimal cut
off on the 13-item Beck Depression Inventory of 18/19 out of 39 as a screening
tool to identify cases with moderate or severe depression. This cut-off gave a
sensitivity of 79% and a specificity of 79% compared to the Montgomery Asberg
Depression Rating Scale. The diagnostic efficient was 82% for moderate to severe
depression compared to the Montgomery Asberg Depression Rating and 85% compared
to Hamilton Depression Rating Scale. Conclusions. The self-administered Beck
Depression Inventory is a feasible, valid and reliable alternative to the
interview for detecting change in depressive symptoms in alcohol-dependent
people. This would be particularly useful in services with very limited staffing
time such as primary care.
PMID- 24926769
TI - Prevalence of insomnia symptoms: results from an urban district in Ankara, Turkey
1.
AB - Objective. Characteristics of insomnia symptoms in Turkey are not well
established. The goal of this study was to determine the prevalence of insomnia
and related symptoms in an urban district of Turkey. Method. The study was
carried out in Ankara, in an urban district with a population of 2665. Out of the
1332 people in the sample, 1034 in the 15-65 age range were included in the
study. Interviews were conducted according to the "Sleep Disorders Assessment
Questionnaire" developed by the researchers. The Insomnia Severity Index (ISI)
was also given to the subjects with a sleep problem to measure the subjective
quality and quantity of insomnia symptoms. Results and conclusion. A total of
29.4% of all participants reported a sleep problem, out of which 23.7% defined
one or more of the insomnia symptoms which included difficulty initiating sleep
(DIS), difficulty maintaining sleep (DMS), early morning awakening (EMA), non
restorative sleep (NRS) and sleep deprivation (SD). Insomnia risk was found to be
significantly increased with age, female sex, smoking and chronic medical
illness. A total of 75.9% of participants who reported insomnia symptoms did not
seek medical help for their complaint. According to the ISI, among the subjects
with insomnia symptoms, 79 (32.2%) had subthreshold insomnia, 43 (17.6%) had
clinical insomnia, 12 (4.9%) had severe clinical insomnia, while 88 (35.9%) did
not score in the range indicating insomnia. The findings are discussed in the
light of previous research and in relation to sociocultural factors emphasizing
the need for public education on sleep disorders as medical conditions.
PMID- 24926770
TI - Trends and characteristics of deliberate self-harm hospital presentations in an
English County.
AB - Background. This study investigates deliberate self-harm (DSH) presentations to
Southern Derbyshire hospitals from 1990 to 1997. Methods. Retrospective
evaluation of deliberate self-harm rates and socio-demographic variables
associated with DSH in 9961 consecutive attendances at Derby's two district
general hospitals. Results. A significant rise in the rates of DSH in Derbyshire
was noted (82%). The gender ratio has changed, with rising numbers of DSH
episodes in males. The ratio of DSH episodes to the total Accident & Emergency
(A&E) attendances changed from 1.54 to 2.42, with a 36% (95% CI 32-40%) rise in
DSH presentations. Overdose remains the most common method of DSH (F = 85%, M =
78%). Two-thirds of the DSH presentations were first DSH attempts. Conclusions.
Overall DSH rates are increasing especially in men. In contrast, official suicide
rates have reduced during the same period. The increase in DSH rates has
implications for already overworked A&E Departments, as well as other emergency
and psychiatric services. Service provision and organisation should be reviewed
in light of this increasing demand.
PMID- 24926771
TI - Allergy to drugs: is there an association with mental ill-health?
AB - Objectives. Hypersensitivity reactions to drugs are not infrequent. A certain of
anxiety, aggression or depression should be expected in patients with drug
allergies, as they could experience symptoms ranging from mild to life
threatening reactions following consumption of drug. However, a literature search
resulted in very limited data. This study was designed to evaluate the
psychological status of patients with drug allergy and to assess the
relationships between psychological evaluations of the patients and, duration of
drug allergy, type of allergic reaction, hypersensitivity reaction of the type of
drug involved. Methods. The study group comprised 61 patients (43 F, 18 M), age
ranging from 16 to 75 years, with reliable history of drug allergy. A total of 55
healthy subjects (39F, 16M) age ranging from 17 to 70 years served as control
group. Assessment tools consisted of Beck Depression Inventory (BDI), and
Speilberger's State-Trait Anxiety Inventory (STAI Tx-2). Results. The prevalence
of depression and anxiety among patients with drug allergies was not greater than
that of the controls. There were no associations between the scores of these
psychological assessments and duration of drug allergy, type of allergic
reaction, type of drug. Conclusions. Our data suggest that follow-up studies
including high numbers of patients and patients with specific and potentially
life-threatening clinical pictures of drug allergies are needed to detect
clinically relevant associations.
PMID- 24926772
TI - Psychiatric characteristics of 100 nonviolent suicide attempters in Hungary.
AB - BACKGROUND: Previous international and Hungarian studies have shown that around
90% of persons making suicide attempts had at least one current mental disorder.
The aim of this study was to investigate the current prevalence of DSM-IV Axis I
psychiatric diagnoses among nonviolent suicide attempters in Budapest, Hungary.
METHODS: Using a structured interview (Mini International Neuropsychiatric
Interview) determining DSM-IV Axis I diagnoses, the authors examined 100
consecutively contacted nonviolent suicide attempters (68 females and 32 males),
aged between 14 and 66 (mean: 36.3 years). RESULTS: A total of 64% of the
subjects were repeated attempters, and the most common method was drug overdose
(96%), in 21% of cases in combination with alcohol ingestion. A total of 92% of
the attempters had at least one current DSM-IV Axis I psychiatric diagnosis. In
87% it was depressive disorder (59% unipolar major depression, 14% bipolar II
depression and 12% bipolar I depression, 2% dysthymic disorder), in 46% anxiety
disorders, in 27% substance-use disorder and in 2% psychotic disorder. Sixty
percent of the attempters received two or more current Axis I diagnoses (35%
depressive + anxiety disorder only, 15% depressive + substance-related disorder
only, and 10% depressive + anxiety + substance-related disorder). LIMITATIONS:
Nonviolent suicide attempters are not representative of all persons with
attempted suicide and subthreshold Axis I diagnoses were not investigated.
CONCLUSION: This study supports previous international and Hungarian findings on
the high prevalence and comorbidity of Axis I mental disorders among persons with
recent nonviolent suicide attempt.
PMID- 24926773
TI - Massive uvula oedema during lithium therapy and resolution after lithium
discontinuation.
AB - Acute oedema of the uvula is relatively rare, and often idiopathic. There are
only a few cases reported up to date. This is the first case of oedema in the
uvula that occurred during lithium treatment of a patient with bipolar-I manic
disorder.
PMID- 24926774
TI - Photostabilization of endogenous porphyrins: excited state quenching by fused
ring cyanoacrylates.
AB - Endogenous chromophores in human skin, when exposed to sunlight, generate harmful
reactive oxygen species (ROS). Protoporphyrin IX (PpIX) is one of the common
chromophores in human tissue. A series of aromatic cyanoacrylates were tested as
quenchers of excited singlet and triplet states of PpIX. While the diaryl
cyanoacrylate () did not quench excited singlet or triplet states of PpIX, some
cyanoacrylates with fused aromatic rings showed excited singlet state quenching
rate constants as high as 5 * 10(9) M(-1) s(-1) (acetonitrile solution). In
addition, one of the fused ring cyanoacrylates () quenches PpIX triplet states
with a rate constant of 3 * 10(9) M(-1) s(-1). The observed quenching rate
constants correlated well with the suppression of singlet oxygen generation from
PpIX under visible light exposure in the presence of dissolved oxygen. This
photostabilization of endogenous chromophores can prevent or reduce ROS
generation and perhaps constitute a new approach to mitigating cutaneous
oxidative stress.
PMID- 24926776
TI - The versatility of the temporoparietal fascial graft (TPFG) in orbital implant
exposure.
AB - BACKGROUND: The use of TPFGs for hydroxyapatite, porous polyethylene and silicone
implant exposure has been described previously. To the authors' knowledge, this
is the first description of this technique for acrylic implant exposure and
paediatric patients. PURPOSE: To demonstrate the versatility of the TPFG in
orbital implant exposures of varying duration, implant types and patient age as
well as for recurrent exposure. METHODS: Retrospective, interventional, non
comparative case series. RESULTS: Twelve patients (13 grafts) are presented with
a mean follow-up of 9.5 months. The duration of exposure prior to grafting ranged
from 1-11 months occurring in bioceramic, hydroxyapatite, porous polyethylene and
acrylic implant types. There were 2 graft failures (success rate 84.6%), one of
which was treated with a 2nd TPFG. Two of the cases were from the paediatric age
group. CONCLUSION: This study provides further supporting evidence for the safety
and efficacy of the TPFG and demonstrates the use of this graft in a variety of
different clinical situations.
PMID- 24926775
TI - Parenting Behavior Mediates the Intergenerational Association of Parent and Child
Offspring ADHD Symptoms.
AB - Although there are likely to be multiple mechanisms underlying parent attention
deficit/hyperactivity disorder (ADHD) symptoms as a key risk factor for offspring
ADHD, potential explanatory factors have yet to be reliably identified. Given
that parent ADHD symptoms independently predict parenting behavior and child ADHD
symptoms, we tested whether individual differences in multiple dimensions of
positive and negative parenting behavior (i.e., corporal punishment, inconsistent
discipline, positive parenting behavior, observed negative talk, and observed
praise) mediated the association between parental and offspring ADHD. We used a
prospective design that featured predictors (i.e., parent ADHD symptoms) and
mediators (i.e., parenting behavior) that temporally preceded the outcome (i.e.,
offspring ADHD symptoms). Using a well-characterized sample of 120 children with
and without ADHD (ages 5-10 at Wave 1, 7-12 at Wave 2) and their biological
parents, we examined multimethod (i.e., observed, self-report) measures of
positive and negative parenting behavior as simultaneous mediators of the
association of Wave 1 parent and Wave 2 offspring ADHD symptoms. Using a multiple
mediation framework, consisting of rigorous bootstrapping procedures and
controlling for parent depression, child's baseline ADHD and oppositional defiant
disorder, and child's age, corporal punishment significantly and uniquely
mediated the association of Wave 1 parent ADHD symptoms and Wave 2 offspring
ADHD. We consider the role of parenting behavior in the intergenerational
transmission of ADHD as well as implications of these findings for the
intervention and prevention of childhood ADHD.
PMID- 24926777
TI - Individualizing the use of medications in children: making Goldilocks happy.
AB - To date, implementation of precision medicine for children has been limited.
Extrapolation of adult experience streamlines pediatric drug development
programs, and physiologically based pharmacokinetic models aid pediatric dose
selection on a population basis. To achieve clinically viable individualization
of drug therapy, genotype-stratified pharmacokinetic studies can efficiently
characterize the extremes of the dose-exposure relationship. Reducing variability
in exposure through genotype-based dosing may improve identification of genetic
factors contributing to response, ultimately improving drug therapy for children.
PMID- 24926778
TI - Functional gene variants of CYP3A4.
AB - Cytochrome P450 3A4 (CYP3A4) is involved in the metabolism of more drugs in
clinical use than any other foreign compound-metabolizing enzyme in humans.
Recently, increasing evidence has been found showing that variants in the CYP3A4
gene have functional significance and--in rare cases--lead to loss of activity,
implying tremendous consequences for patients. This review article highlights the
functional consequences of all CYP3A4 variants recognized by the Human Cytochrome
P450 (CYP) Allele Nomenclature Database.
PMID- 24926779
TI - Pulmonary penetration of piperacillin and tazobactam in critically ill patients.
AB - Pulmonary infections in critically ill patients are common and are associated
with high morbidity and mortality. Piperacillin-tazobactam is a frequently used
therapy in critically ill patients with pulmonary infection. Antibiotic
concentrations in the lung reflect target-site antibiotic concentrations in
patients with pneumonia. The aim of this study was to assess the plasma and
intrapulmonary pharmacokinetics (PK) of piperacillin-tazobactam in critically ill
patients administered standard piperacillin-tazobactam regimens. A population PK
model was developed to describe plasma and intrapulmonary piperacillin and
tazobactam concentrations. The probability of piperacillin exposures reaching
pharmacodynamic end points and the impact of pulmonary permeability on
piperacillin and tazobactam pulmonary penetration was explored. The median
piperacillin and tazobactam pulmonary penetration ratios were 49.3 and 121.2%,
respectively. Pulmonary piperacillin and tazobactam concentrations were
unpredictable and negatively correlated with pulmonary permeability. Current
piperacillin-tazobactam regimens may be insufficient to treat pneumonia caused by
piperacillin-tazobactam-susceptible organisms in some critically ill patients.
PMID- 24926780
TI - Response to "The failing right heart: the neglected ventricle?".
PMID- 24926781
TI - A rule-based prognostic model for type 1 diabetes by identifying and synthesizing
baseline profile patterns.
AB - OBJECTIVE: To identify the risk-predictive baseline profile patterns of
demographic, genetic, immunologic, and metabolic markers and synthesize these
patterns for risk prediction. RESEARCH DESIGN AND METHODS: RuleFit is used to
identify the risk-predictive baseline profile patterns of demographic,
immunologic, and metabolic markers, using 356 subjects who were randomized into
the control arm of the prospective Diabetes Prevention Trial-Type 1 (DPT-1)
study. A novel latent trait model is developed to synthesize these baseline
profile patterns for disease risk prediction. The primary outcome was Type 1
Diabetes (T1D) onset. RESULTS: We identified ten baseline profile patterns that
were significantly predictive to the disease onset. Using these ten baseline
profile patterns, a risk prediction model was built based on the latent trait
model, which produced superior prediction performance over existing risk score
models for T1D. CONCLUSION: Our results demonstrated that the underlying disease
progression process of T1D can be detected through some risk-predictive patterns
of demographic, immunologic, and metabolic markers. A synthesis of these patterns
provided accurate prediction of disease onset, leading to more cost-effective
design of prevention trials of T1D in the future.
PMID- 24926782
TI - Usefulness of intestinal fatty acid-binding protein in predicting strangulated
small bowel obstruction.
AB - BACKGROUND: The level of intestinal fatty acid-binding protein (I-FABP) is
considered to be useful diagnostic markers of small bowel ischemia. The purpose
of this retrospective study was to investigate whether the serum I-FABP level is
a predictive marker of strangulation in patients with small bowel obstruction
(SBO). METHODS: A total of 37 patients diagnosed with SBO were included in this
study. The serum I-FABP levels were retrospectively compared between the patients
with strangulation and those with simple obstruction, and cut-off values for the
diagnosis of strangulation were calculated using a receiver operating
characteristic curve. In addition, the sensitivity, specificity, positive
predictive value (PPV) and negative predictive value (NPV) were calculated.
RESULTS: Twenty-one patients were diagnosed with strangulated SBO. The serum I
FABP levels were significantly higher in the patients with strangulation compared
with those observed in the patients with simple obstruction (18.5 vs. 1.6 ng/ml
p<0.001). Using a cut-off value of 6.5 ng/ml, the sensitivity, specificity, PPV
and NPV were 71.4%, 93.8%, 93.8% and 71.4%, respectively. An I-FABP level greater
than 6.5 ng/ml was found to be the only independent significant factor for a
higher likelihood of strangulated SBO (P = 0.02; odds ratio: 19.826; 95%
confidence interval: 2.1560 - 488.300). CONCLUSIONS: The I-FABP level is a useful
marker for discriminating between strangulated SBO and simple SBO in patients
with SBO.
PMID- 24926783
TI - Irisin levels are lower in young amenorrheic athletes compared with eumenorrheic
athletes and non-athletes and are associated with bone density and strength
estimates.
AB - Irisin and FGF21 are novel hormones implicated in the "browning" of white fat,
thermogenesis, and energy homeostasis. However, there are no data regarding these
hormones in amenorrheic athletes (AA) (a chronic energy deficit state) compared
with eumenorrheic athletes (EA) and non-athletes. We hypothesized that irisin and
FGF21 would be low in AA, an adaptive response to low energy stores. Furthermore,
because (i) brown fat has positive effects on bone, and (ii) irisin and FGF21 may
directly impact bone, we hypothesized that bone density, structure and strength
would be positively associated with these hormones in athletes and non-athletes.
To test our hypotheses, we studied 85 females, 14-21 years [38 AA, 24 EA and 23
non-athletes (NA)]. Fasting serum irisin and FGF21 were measured. Body
composition and bone density were assessed using dual energy X-ray
absorptiometry, bone microarchitecture using high resolution peripheral
quantitative CT, strength estimates using finite element analysis, resting energy
expenditure (REE) using indirect calorimetry and time spent exercising/week by
history. Subjects did not differ for pubertal stage. Fat mass was lowest in AA.
AA had lower irisin and FGF21 than EA and NA, even after controlling for fat and
lean mass. Across subjects, irisin was positively associated with REE and bone
density Z-scores, volumetric bone mineral density (total and trabecular),
stiffness and failure load. FGF21 was negatively associated with hours/week of
exercise and cortical porosity, and positively with fat mass and cortical
volumetric bone density. Associations of irisin (but not FGF21) with bone
parameters persisted after controlling for potential confounders. In conclusion,
irisin and FGF21 are low in AA, and irisin (but not FGF21) is independently
associated with bone density and strength in athletes.
PMID- 24926785
TI - On the crucial cerebellar wound healing-related pathways and their cross-talks
after traumatic brain injury in Danio rerio.
AB - Upon injury, the direct damage and the subsequent secondary injury in the brain
often result in chronic neurological disorders. Due to multifactorial nature of
secondary injury and subsequent complex cellular responses, much of the
underlying mechanisms are unclear. This study used an adult zebrafish cerebellum
injury model to investigate the phenotypes and the secondary injury responses for
recovery mechanisms of injured brain. Using the time course microarray analysis,
a candidate protein-protein interaction (PPI) network was refined as cerebellar
wound healing PPI network by dynamic modeling and big data mining. Pathway
enrichment and ontological analysis were incorporated into the refined network to
highlight the main molecular scheme of cerebellar wound healing. Several
significant pathways, including chemokine, Phosphatidylinositide 3-kinases, and
axon guidance signaling pathway and their cross-talks through PI3K, PAK2, and
PLXNA3 were identified to coordinate for neurogenesis and angiogenesis, which are
essential for the restoration of the injured brain. Our finding provides an
insight into the molecular restoration mechanisms after traumatic brain injury,
and open up new opportunity to devise the treatment for traumatic brain injury in
human.
PMID- 24926786
TI - Global genome response of Escherichia coli O157?H7 Sakai during dynamic changes
in growth kinetics induced by an abrupt temperature downshift.
AB - Escherichia coli O157?H7 is a mesophilic food-borne pathogen. We investigated the
growth kinetics of E. coli O157?H7 Sakai during an abrupt temperature downshift
from 35 degrees C to either 20 degrees C, 17 degrees C, 14 degrees C or 10
degrees C; as well as the molecular mechanisms enabling growth after cold stress
upon an abrupt downshift from 35 degrees C to 14 degrees C in an integrated
transcriptomic and proteomic analysis. All downshifts caused a lag period of
growth before growth resumed at a rate typical of the post-shift temperature. Lag
and generation time increased with the magnitude of the shift or with the final
temperature, while relative lag time displayed little variation across the test
range. Analysis of time-dependent molecular changes revealed, in keeping with a
decreased growth rate at lower temperature, repression of genes and proteins
involved in DNA replication, protein synthesis and carbohydrate catabolism.
Consistent with cold-induced remodelling of the bacterial cell envelope,
alterations occurred in the expression of genes and proteins involved in
transport and binding. The RpoS regulon exhibited sustained induction confirming
its importance in adaptation and growth at 14 degrees C. The RpoE regulon was
transiently induced, indicating a potential role for this extracytoplasmic stress
response system in the early phase of low temperature adaptation during lag
phase. Interestingly, genes previously reported to be amongst the most highly up
regulated under oxidative stress were consistently down-regulated. This
comprehensive analysis provides insight into the molecular mechanisms operating
during adaptation of E. coli to growth at low temperature and is relevant to its
physiological state during chilling in foods, such as carcasses.
PMID- 24926787
TI - Axl receptor tyrosine kinase is a novel target of apigenin for the inhibition of
cell proliferation.
AB - The Axl receptor tyrosine kinase (RTK), along with Tyro 3 and Mer, belongs to the
TAM subfamily that promotes survival, stimulates proliferation and/or inhibits
apoptosis. In various types of human cancer, including breast, lung and prostate
cancer, Axl expression is increased and correlates with an advanced clinical
stage. In this study, we examined whether apigenin has an effect on Axl
expression, which in turn can affect cell proliferation. The treatment of the non
small cell lung cancer (NSCLC) cells, A549 and H460, with apigenin decreased Axl
mRNA and protein expression in a dose-dependent manner. Axl promoter activity was
also inhibited by apigenin, indicating that apigenin suppressed Axl expression at
the transcriptional level. Upon treatment with apigenin, the viability of both
the A549 and H460 cells was gradually decreased and the anti-proliferative
effects were further confirmed by the dose-dependent decrease in the clonogenic
ability of the apigenin-treated cells. Subsequently, we found that the viability
and clonogenic ability of the cells treated with apigenin was less or more
affected by transfection of the cells with a Axl-expressing plasmid or Axl
targeting siRNA, compared to transfection with the empty vector or control siRNA,
respectively. In addition, apigenin increased the expression of p21, a cyclin
dependent kinase inhibitor, but reduced the expression of X-linked inhibitor of
apoptosis protein (XIAP). These cell cycle arrest and pro-apoptotic effects of
apigenin were also attenuated or augmented by the up- or downregulation of Axl
expression, respectively, which suggests that Axl is a novel target of apigenin
through which it exerts its inhibitory effects on cell proliferation. Taken
together, our data indicate that apigenin downregulates Axl expression, which
subsequently results in the inhibition of NSCLC cell proliferation through the
increase and decrease of p21 and XIAP expression, respectively.
PMID- 24926788
TI - N-octanoyl dopamine treatment of endothelial cells induces the unfolded protein
response and results in hypometabolism and tolerance to hypothermia.
AB - AIM: N-acyl dopamines (NADD) are gaining attention in the field of inflammatory
and neurological disorders. Due to their hydrophobicity, NADD may have access to
the endoplasmic reticulum (ER). We therefore investigated if NADD induce the
unfolded protein response (UPR) and if this in turn influences cell behaviour.
METHODS: Genome wide gene expression profiling, confirmatory qPCR and reporter
assays were employed on human umbilical vein endothelial cells (HUVEC) to
validate induction of UPR target genes and UPR sensor activation by N-octanoyl
dopamine (NOD). Intracellular ATP, apoptosis and induction of thermotolerance
were used as functional parameters to assess adaptation of HUVEC. RESULTS: NOD,
but not dopamine dose dependently induces the UPR. This was also found for other
synthetic NADD. Induction of the UPR was dependent on the redox activity of NADD
and was not caused by selective activation of a particular UPR sensor. UPR
induction did not result in cell apoptosis, yet NOD strongly impaired cell
proliferation by attenuation of cells in the S-G2/M phase. Long-term treatment of
HUVEC with low NOD concentration showed decreased intracellular ATP concentration
paralleled with activation of AMPK. These cells were significantly more resistant
to cold inflicted injury. CONCLUSIONS: We provide for the first time evidence
that NADD induce the UPR in vitro. It remains to be assessed if UPR induction is
causally associated with hypometabolism and thermotolerance. Further
pharmacokinetic studies are warranted to address if the NADD concentrations used
in vitro can be obtained in vivo and if this in turn shows therapeutic efficacy.
PMID- 24926789
TI - Global metabolomic analyses of the hemolymph and brain during the initiation,
maintenance, and termination of pupal diapause in the cotton bollworm,
Helicoverpa armigera.
AB - A strategy known as diapause (developmental arrest) has evolved in insects to
increase their survival rate under harsh environmental conditions. Diapause
causes a dramatic reduction in the metabolic rate and drastically extends
lifespan. However, little is known about the mechanisms underlying the metabolic
changes involved. Using gas chromatography-mass spectrometry, we compared the
changes in the metabolite levels in the brain and hemolymph of nondiapause- and
diapause-destined cotton bollworm, Helicoverpa armigera, during the initiation,
maintenance, and termination of pupal diapause. A total of 55 metabolites in the
hemolymph and 52 metabolites in the brain were detected. Of these metabolites, 21
and 12 metabolite levels were altered in the diapause pupal hemolymph and brain,
respectively. During diapause initiation and maintenance, the number of
metabolites with increased levels in the hemolymph of the diapausing pupae is far
greater than the number in the nondiapause pupae. These increased metabolites
function as an energy source, metabolic intermediates, and cryoprotectants. The
number of metabolites with decreased levels in the brain of diapausing pupae is
far greater than the number in the nondiapause pupae. Low metabolite levels are
likely to directly or indirectly repress the brain metabolic activity. During
diapause termination, most of the metabolite levels in the hemolymph of the
diapausing pupae rapidly decrease because they function as energy and metabolic
sources that promote pupa-adult development. In conclusion, the metabolites with
altered levels in the hemolymph and brain serve as energy and metabolic resources
and help to maintain a low brain metabolic activity during diapause.
PMID- 24926790
TI - Demographic status and genetic tagging of endangered capercaillie in NW Spain.
AB - Counting rare and elusive animals and evaluating their demographic status, are
fundamental yet challenging aspects of population ecology and conservation
biology. We set out to estimate population size (Nc), genetic effective
population size (Ne gen), sex ratio, and movements based on genetic tagging for
the threatened Cantabrian capercaillie. We used 9 microsatellite loci to genotype
134 droppings collected at 34 display areas during the breeding season. Using
genetic capture-mark-recapture, we estimated 93 individuals (Nc, 95% CI: 70-116)
in an area of about 500 km2, with sex ratio biased towards males (1?1.6).
Estimated Ne gen (35.5) was 38% of Nc, notably higher than the published average
in wild populations. This capercaillie population is small and well within
concern in terms of population viability. By genetic tagging, we detected mostly
short movements; just a few males were recaptured between contiguous display
areas. Non-invasive surveys of endangered populations have a great potential, yet
adequate sample size and location are key to obtain reliable information on
conservation status.
PMID- 24926791
TI - Functional molecular lumino-materials to probe serum albumins: solid phase
selective staining through noncovalent fluorescent labeling.
AB - Selective staining of human serum albumin protein in gel electrophoresis over
wide range of other protein(s) is extremely important because it contains more
than 60% volume of serum fluid in human body. Given the nonexistence of suitable
dye materials for selective staining of serum albumins in gel electrophoresis, we
report a new class of easy synthesizable and low molecular weight staining agents
based on 3-amino-N-alkyl-carbazole scaffold for selective staining of serum
albumins in solid phase. A detailed structure-efficiency relationship (SER) study
enabled us to develop two such potent functional molecular probes which stain
both human and bovine serum albumin selectively in gel electrophoresis in the
presence of other proteins and enzymes. The present gel staining process was
found to be very simple and less time-consuming as compared to the conventional
coomassie blue staining which in turn makes these probes a new class of serum
albumin-specific staining materials in proteome research. Moreover, these
molecular lumino-materials can detect serum albumins at subnanomolar level in the
presence of broad spectrum of other proteins/enzymes in aqueous buffer (99.9%
water, pH = 7.3) keeping the protein secondary structure intact. Our experimental
and the docking simulation results show that these probes bind preferentially at
'binding site I' of both the serum proteins.
PMID- 24926792
TI - Increased tolerance and resistance to virus infections: a possible factor in the
survival of Varroa destructor-resistant honey bees (Apis mellifera).
AB - The honey bee ectoparasitic mite, Varroa destructor, has a world-wide
distribution and inflicts more damage than all other known apicultural diseases.
However, Varroa-induced colony mortality is more accurately a result of secondary
virus infections vectored by the mite. This means that honey bee resistance to
Varroa may include resistance or tolerance to virus infections. The aim of this
study was to see if this is the case for a unique population of mite-resistant
(MR) European honey bees on the island of Gotland, Sweden. This population has
survived uncontrolled mite infestation for over a decade, developing specific
mite-related resistance traits to do so. Using RT-qPCR techniques, we monitored
late season virus infections, Varroa mite infestation and honey bee colony
population dynamics in the Gotland MR population and compared this to mite
susceptible (MS) colonies in a close by apiary. From summer to autumn the
deformed wing virus (DWV) titres increased similarly between the MR and MS
populations, while the black queen cell virus (BQCV) and sacbrood virus (SBV)
titres decreased substantially in the MR population compared to the MS population
by several orders of magnitude. The MR colonies all survived the following winter
with high mite infestation, high DWV infection, small colony size and low
proportions of autumn brood, while the MS colonies all perished. Possible
explanations for these changes in virus titres and their relevance to Varroa
resistance and colony winter survival are discussed.
PMID- 24926793
TI - AKAP5 keeps L-type channels and NFAT on their toes.
AB - In this issue of Cell Reports, Murphy et al. and Dittmer et al. present exciting
new insight into the regulation of Ca2+ influx via the L-type Ca2+ channel Cav1.2
and how increased Ca2+ influx translates into localized activation of the nuclear
transcription factor NFAT upon depolarization in neurons.
PMID- 24926794
TI - Lewis base catalyzed, enantioselective, intramolecular sulfenoamination of
olefins.
AB - A method for the enantioselective, intramolecular sulfenoamination of various
olefins has been developed using a chiral BINAM-based selenophosphoramide, Lewis
base catalyst. Terminal and trans disubstituted alkenes afforded pyrrolidines,
piperidines, and azepanes in high yields and high enantiomeric ratios via
enantioselective formation and subsequent stereospecific capture of the
thiiranium intermediate with the pendant tosyl-protected amine.
PMID- 24926795
TI - Gli1 contributes to cellular resistance to cisplatin through altered cellular
accumulation of the drug.
AB - Cellular resistance to platinum anticancer compounds is governed by no less than
two molecular processes; DNA repair and cellular accumulation of drug. Gli1 is an
upstream regulator of nucleotide excision repair, effecting this process through
c-jun. We, therefore, investigated whether Gli1 plays a role in cellular
accumulation of cisplatin. Using a Gli1-specific shRNA, we explored the role of
Gli1 in the cellular accumulation and efflux of cisplatin, in cisplatin-resistant
A2780-CP70 human ovarian cancer cells. When Gli1 is inhibited, cellular uptake of
cisplatin was approximately 33% of the level of uptake under control conditions.
When Gli1 is inhibited, cellular efflux of cisplatin was completely abrogated,
over a 12-h period of observation. We assayed nuclear lysates from these cells,
for the ability to bind the DNA sequence that is the Gli-binding site (GBS) in
the 5'UTR for each of five known cisplatin transmembrane transporters. Four of
these transporters are active in cisplatin uptake; and, one is active in
cisplatin efflux. In each case, nuclear lysate from A2780-CP70 cells binds the
GBS of the respective cisplatin transport gene. We conclude that Gli1 plays a
strong role in total cellular accumulation of cisplatin in these cells; and, that
the combined effects on cellular accumulation of drug and on DNA repair may
indicate a role for Gli1 in protecting cellular DNA from lethal types of DNA
damage.
PMID- 24926796
TI - Extreme heterogeneity in parasitism despite low population genetic structure
among monarch butterflies inhabiting the Hawaiian Islands.
AB - Host movement and spatial structure can strongly influence the ecology and
evolution of infectious diseases, with limited host movement potentially leading
to high spatial heterogeneity in infection. Monarch butterflies (Danaus
plexippus) are best known for undertaking a spectacular long-distance migration
in eastern North America; however, they also form non-migratory populations that
breed year-round in milder climates such as Hawaii and other tropical locations.
Prior work showed an inverse relationship between monarch migratory propensity
and the prevalence of the protozoan parasite, Ophryocystis elektroscirrha. Here,
we sampled monarchs from replicate sites within each of four Hawaiian Islands to
ask whether these populations show consistently high prevalence of the protozoan
parasite as seen for monarchs from several other non-migratory populations.
Counter to our predictions, we observed striking spatial heterogeneity in
parasite prevalence, with infection rates per site ranging from 4-85%. We next
used microsatellite markers to ask whether the observed variation in infection
might be explained by limited host movement and spatial sub-structuring among
sites. Our results showed that monarchs across the Hawaiian Islands form one
admixed population, supporting high gene flow among sites. Moreover, measures of
individual-level genetic diversity did not predict host infection status, as
might be expected if more inbred hosts harbored higher parasite loads. These
results suggest that other factors such as landscape-level environmental
variation or colonization-extinction processes might instead cause the extreme
heterogeneity in monarch butterfly infection observed here.
PMID- 24926797
TI - Vibronic Raman scattering at the quantum limit of plasmons.
AB - We record sequences of Raman spectra at a plasmonic junction formed by a gold AFM
tip in contact with a silver surface coated with 4,4'-dimercaptostilbene (DMS). A
2D correlation analysis of the recorded trajectories reveals that the observable
vibrational states can be divided into subsets, by virtue of the symmetry of DMS
(C2h). The first set comprises the totally symmetric vibrations of DMS (ag) that
are neither correlated with each other nor with the fluctuating background,
assigned to the signature of charge-transfer plasmons mediated by DMS. The second
set consists of bu modes, which are correlated both with each other and with the
background. Our findings are rationalized on the basis of the charge-transfer
theory of Raman scattering and illustrate how current carrying plasmons modulate
the vibronic coupling terms from which the intensities of the bu states are
derived. In effect, this study identifies gateway molecular modes for mediating
charge shuttling across a plasmonic gap.
PMID- 24926799
TI - Evaluation of the lethal potency of scorpion and snake venoms and comparison
between intraperitoneal and intravenous injection routes.
AB - Scorpion stings and snake bites are major health hazards that lead to suffering
of victims and high mortality. Thousands of injuries associated with such stings
and bites of venomous animals occur every year worldwide. In North Africa, more
than 100,000 scorpion stings and snake bites are reported annually. An
appropriate determination of the 50% lethal doses (LD50) of scorpion and snake
venoms appears to be an important step to assess (and compare) venom toxic
activity. Such LD50 values are also commonly used to evaluate the neutralizing
capacity of specific anti-venom batches. In the present work, we determined
experimentally the LD50 values of reference scorpion and snake venoms in Swiss
mice, and evaluated the influence of two main venom injection routes (i.e.,
intraperitoneal (IP) versus intravenous (IV)). The analysis of experimental LD50
values obtained with three collected scorpion venoms indicates that Androctonus
mauretanicus (Am) is intrinsically more toxic than Androctonus australis hector
(Aah) species, whereas the latter is more toxic than Buthus occitanus (Bo).
Similar analysis of three representative snake venoms of the Viperidae family
shows that Cerastes cerastes (Cc) is more toxic than either Bitis arietans (Ba)
or Macrovipera lebetina (Ml) species. Interestingly, the venom of Elapidae cobra
snake Naja haje (Nh) is far more toxic than viper venoms Cc, Ml and Ba, in
agreement with the known severity of cobra-related envenomation. Also, our data
showed that viper venoms are about three-times less toxic when injected IP as
compared to IV, distinct from cobra venom Nh which exhibited a similar toxicity
when injected IP or IV. Overall, this study clearly highlights the usefulness of
procedure standardization, especially regarding the administration route, for
evaluating the relative toxicity of individual animal venoms. It also evidenced a
marked difference in lethal activity between venoms of cobra and vipers, which,
apart from the nature of toxins, might be attributed to the rich composition of
high molecular weight enzymes in the case of viper venoms.
PMID- 24926798
TI - The evolution and appearance of C3 duplications in fish originate an exclusive
teleost c3 gene form with anti-inflammatory activity.
AB - The complement system acts as a first line of defense and promotes organism
homeostasis by modulating the fates of diverse physiological processes. Multiple
copies of component genes have been previously identified in fish, suggesting a
key role for this system in aquatic organisms. Herein, we confirm the presence of
three different previously reported complement c3 genes (c3.1, c3.2, c3.3) and
identify five additional c3 genes (c3.4, c3.5, c3.6, c3.7, c3.8) in the zebrafish
genome. Additionally, we evaluate the mRNA expression levels of the different c3
genes during ontogeny and in different tissues under steady-state and
inflammatory conditions. Furthermore, while reconciling the phylogenetic tree
with the fish species tree, we uncovered an event of c3 duplication common to all
teleost fishes that gave rise to an exclusive c3 paralog (c3.7 and c3.8). These
paralogs showed a distinct ability to regulate neutrophil migration in response
to injury compared with the other c3 genes and may play a role in maintaining the
balance between inflammatory and homeostatic processes in zebrafish.
PMID- 24926800
TI - 2014 update on atopic dermatitis in children.
AB - PURPOSE OF REVIEW: The prevalence of pediatric atopic dermatitis (AD) has
increased throughout the world, now ranging from 10 to 20% in developed
countries. Pediatric patients with AD make up a substantial proportion of
patients seen by general pediatricians, allergists, dermatologists, and other
specialists. As such, there is a need to optimize understanding and management of
AD. RECENT FINDINGS: The traditional atopic comorbidities of AD have now expanded
to include associations with nonatopic conditions such as attention deficit
hyperactivity disorder. Furthermore, with insights from basic, translational, and
clinical research, experts have a more comprehensive knowledge of the genetic,
immunological, and environmental factors influencing the development of AD. With
this new perception, innovative approaches to the management of AD have developed
with an emphasis on preventive rather than reactive care. The role of biological
agents in the treatment of this common, yet chronic, disease of the skin has not
been clearly elucidated. SUMMARY: There have been several recent breakthroughs in
the diagnosis, pathophysiology, and management of AD. Despite these advances,
much work is still needed in order to ensure optimal care for AD sufferers.
PMID- 24926801
TI - The modulation of the symbiont/host interaction between Wolbachia pipientis and
Aedes fluviatilis embryos by glycogen metabolism.
AB - Wolbachia pipientis, a maternally transmitted bacterium that colonizes
arthropods, may affect the general aspects of insect physiology, particularly
reproduction. Wolbachia is a natural endosymbiont of Aedes fluviatilis, whose
effects in embryogenesis and reproduction have not been addressed so far. In this
context, we investigated the correlation between glucose metabolism and
morphological alterations during A. fluviatilis embryo development in Wolbachia
positive (W+) and Wolbachia-negative (W-) mosquito strains. While both strains do
not display significant morphological and larval hatching differences, larger
differences were observed in hexokinase activity and glycogen contents during
early and mid-stages of embryogenesis, respectively. To investigate if glycogen
would be required for parasite-host interaction, we reduced Glycogen Synthase
Kinase-3 (GSK-3) levels in adult females and their eggs by RNAi. GSK-3 knock-down
leads to embryonic lethality, lower levels of glycogen and total protein and
Wolbachia reduction. Therefore, our results suggest that the relationship between
A. fluviatilis and Wolbachia may be modulated by glycogen metabolism.
PMID- 24926803
TI - Direct synthesis of pentafluoroethyl copper from pentafluoropropionate as an
economical C2F5 source: application to pentafluoroethylation of arylboronic acids
and aryl bromides.
AB - The direct synthesis of pentafluoroethyl copper (CuC2F5) from a cuprate reagent
and ethyl pentafluoropropionate as one of the most economical and useful
pentafluoroethyl sources was accomplished. The advantages of this method are; all
the reagents employed are low-cost and operationally simple, and the CuC2F5
reagent is prepared in virtually quantitative yield. Furthermore, the CuC2F5
reagent prepared was successfully applied to two types of pentafluoroethylations
with arylboronic acids and aryl bromides to provide the pentafluoroethylated
aromatic products in good-to-excellent yields, including large scale operations.
PMID- 24926802
TI - Transient receptor potential channels as targets for phytochemicals.
AB - To date, 28 mammalian transient receptor potential (TRP) channels have been
cloned and characterized. They are grouped into six subfamilies on the basis of
their amino acid sequence homology: TRP Ankyrin (TRPA), TRP Canonical (TRPC), TRP
Melastatin (TRPM), TRP Mucolipin (TRPML), TRP Polycystin (TRPP), and TRP
Vanilloid (TRPV). Most of the TRP channels are nonselective cation channels
expressed on the cell membrane and exhibit variable permeability ratios for
Ca(2+) versus Na(+). They mediate sensory functions (such as vision, nociception,
taste transduction, temperature sensation, and pheromone signaling) and
homeostatic functions (such as divalent cation flux, hormone release, and
osmoregulation). Significant progress has been made in our understanding of the
specific roles of these TRP channels and their activation mechanisms. In this
Review, the emphasis will be on the activation of TRP channels by phytochemicals
that are claimed to exert health benefits. Recent findings complement the
anecdotal evidence that some of these phytochemicals have specific receptors and
the activation of which is responsible for the physiological effects. Now, the
targets for these phytochemicals are being unveiled; a specific hypothesis can be
proposed and tested experimentally to infer a scientific validity of the claims
of the health benefits. The broader and pressing issues that have to be addressed
are related to the quantities of the active ingredients in a given preparation,
their bioavailability, metabolism, adverse effects, excretion, and systemic
versus local effects.
PMID- 24926804
TI - Near-infrared light responsive synthetic c-di-GMP module for optogenetic
applications.
AB - Enormous potential of cell-based therapeutics is hindered by the lack of
effective means to control genetically engineered cells in mammalian tissues.
Here, we describe a synthetic module for remote photocontrol of engineered cells
that can be adapted for such applications. The module involves photoactivated
synthesis of cyclic dimeric GMP (c-di-GMP), a stable small molecule that is not
produced by higher eukaryotes and therefore is suitable for orthogonal
regulation. The key component of the photocontrol module is an engineered
bacteriophytochrome diguanylate cyclase, which synthesizes c-di-GMP from GTP in a
light-dependent manner. Bacteriophytochromes are particularly attractive
photoreceptors because they respond to light in the near-infrared window of the
spectrum, where absorption by mammalian tissues is minimal, and also because
their chromophore, biliverdin IXalpha, is naturally available in mammalian cells.
The second component of the photocontrol module, a c-di-GMP phosphodiesterase,
maintains near-zero background levels of c-di-GMP in the absence of light, which
enhances the photodynamic range of c-di-GMP concentrations. In the E. coli model
used in this study, the intracellular c-di-GMP levels could be upregulated by
light by >50-fold. Various c-di-GMP-responsive proteins and riboswitches
identified in bacteria can be linked downstream of the c-di-GMP-mediated
photocontrol module for orthogonal regulation of biological activities in mammals
as well as in other organisms lacking c-di-GMP signaling. Here, we linked the
photocontrol module to a gene expression output via a c-di-GMP-responsive
transcription factor and achieved a 40-fold photoactivation of gene expression.
PMID- 24926805
TI - Cardio-oncology issues among pediatric cancer and stem cell transplant survivors.
AB - Improvements in the survival of children and adolescents diagnosed with cancer
have resulted in a growing population of childhood, adolescent and adult cancer
and stem cell transplant survivors. Approximately two thirds of these survivors
will experience at least 1 late effect of their treatment, and about one third
will experience a late effect that is severe or life threatening. Childhood
cancer survivors are at high risk for development of severe cardiac disease,
particularly after anthracycline and/or radiation exposure. Cardiotoxicity can
present as early cardiac dysfunction during or shortly after therapy or as
chronic impairment of cardiac function several years after treatment. Attempts to
minimize serious adverse effects have included reduction of high-dose
chemotherapy, particularly anthracycline dosing to <350 mg/m, use of
cardioprotective agents such as dexrazoxane and decreased radiation dosing and
radiation fields. There have been no convincing data showing medical
interventions that can reliably slow or reverse cardiotoxicity in treated
patients, which therefore warrants further studies looking at the use of beta
blockers, angiotensin-converting enzyme inhibitors, angiotensin receptor
blockers, or newer agents either prior to or following the discovery of heart
damage. Emphasis on the prevention of further damage is critical and can be
accomplished through aggressive surveillance, including screening for lipid
abnormalities, cardiac biomarkers such as troponins and B-type natriuretic
peptides, hypertension, diabetes and obesity as well as the use of
echocardiography and cardiac magnetic resonance imaging to identify abnormalities
early in their course. Here, we provide an overview of the field of cardio
oncology to stimulate interest among cardiologists.
PMID- 24926806
TI - Cardiovascular disease and hepatitis C virus infection: an irrelevant statement
or a hot relationship?
AB - Hepatitis C virus (HCV) is well known for being the leading cause of
hepatocellular carcinoma and cirrhosis, contributing to a devastating array of
metabolic dysfunctions associated with hepatic failure. However, the cardiac
manifestations of HCV and chronic hepatitis C (CHC) are being explored, thus
illuminating the connection between HCV infection and cardiac disease. Although
not all studies agree, the evidence in favor of CHC promoting major risk factors
for cardiovascular disease such as hypertension, insulin resistance, diabetes
mellitus, and atherosclerosis is compelling. Similarly, properly warranted
attention is being guided towards CHC as an independent risk factor for the
development of atherosclerotic heart disease and cardiomyopathy. This review
provides a synopsis on the relationship between (HCV) infection and cardiac
disease, emphasizing on some of the key possible mechanisms and population
derived data.
PMID- 24926807
TI - Jatrophane diterpenes as inhibitors of chikungunya virus replication: structure
activity relationship and discovery of a potent lead.
AB - Bioassay-guided purification of an EtOAc extract of the whole plant of Euphorbia
amygdaloides ssp. semiperfoliata using a chikungunya virus-cell-based assay led
to the isolation of six new (1-4, 9, and 10) and six known (5-7, 8, 11, and 12)
jatrophane esters. Their planar structures and relative configurations were
determined by extensive spectroscopic analysis, and their absolute configurations
by X-ray analysis. These compounds were investigated for selective antiviral
activity against chikungunya virus (CHIKV), Semliki Forest virus, Sindbis virus,
and HIV-1 and HIV-2 viruses. Compound 3 was found to be the most potent and
selective inhibitor of the replication of CHIKV and of HIV-1 and HIV-2 (EC50 =
0.76, IC50 = 0.34 and 0.043 MUM, respectively). A preliminary structure-activity
relationship study demonstrated that potency and selectivity are very sensitive
to the substitution pattern on the jatrophane skeleton. Although replication
strategies of CHIK and HIV viruses are quite different, the mechanism of action
by which these compounds act may involve a similar target for both viruses. The
present results provide additional support for a previous hypothesis that the
anti-CHIKV activity could involve a PKC-dependent mechanism.
PMID- 24926808
TI - Decreased immunoglobulin E (IgE) binding to cashew allergens following sodium
sulfite treatment and heating.
AB - Cashew nut and other nut allergies can result in serious and sometimes life
threatening reactions. Linear and conformational epitopes within food allergens
are important for immunoglobulin E (IgE) binding. Methods that disrupt allergen
structure can lower IgE binding and lessen the likelihood of food allergy
reactions. Previous structural and biochemical data have indicated that 2S
albumins from tree nuts and peanuts are potent allergens, and that their
structures are sensitive to strong reducing agents such as dithiothreitol. This
study demonstrates that the generally regarded as safe (GRAS) compound sodium
sulfite effectively disrupted the structure of the cashew 2S albumin, Ana o 3, in
a temperature-dependent manner. This study also showed that sulfite is effective
at disrupting the disulfide bond within the cashew legumin, Ana o 2.
Immunoblotting and ELISA demonstrated that the binding of cashew proteins by
rabbit IgG or IgE from cashew-allergic patients was markedly lowered following
treatment with sodium sulfite and heating. The results indicate that
incorporation of sodium sulfite, or other food grade reagents with similar redox
potential, may be useful processing methods to lower or eliminate IgE binding to
food allergens.
PMID- 24926809
TI - Effects of interaction between temperature conditions and copper exposure on
immune defense and other life-history traits of the blow fly Protophormia
terraenovae.
AB - Environmental pollution is considered one of the major threats to organisms.
Direct effects of heavy metal pollution on various life-history traits are well
recognized, while the effects of potential interactions between two distinct
environmental conditions on different traits are poorly understood. Here, we have
tested the effects of interactions between temperature conditions and heavy metal
exposure on innate immunity and other life-history traits. Maggots of the blow
fly Protophormia terraenovae were reared on either copper-contaminated or
uncontaminated food, under three different temperature environments.
Encapsulation response, body mass, and development time were measured for adult
flies that were not directly exposed to copper. We found that the effects of
copper exposure on immunity and other traits are temperature-dependent,
suggesting that the ability to regulate toxic compounds in body tissues might
depend on temperature conditions. Furthermore, we found that temperature has an
effect on sex differences in immune defense. Males had an encapsulation response
at higher temperatures stronger than that of females. Our results indicate that
the effects of environmental conditions on different traits are much more
intricate than what can be predicted. This is something that should be considered
when conducting immunological experiments or comparing results of previous
studies.
PMID- 24926810
TI - Recombinant Neisseria surface protein A is a potential vaccine candidate against
Neisseria meningitides serogroup B.
AB - Neisseria meningitidis is the pathogen of epidemic encephalomyelitis and is
responsible for permanent damage to the brain and nervous system. In the present
study, the prokaryotic expression vector pGEX-6p-1/neisseria surface protein A
(NspA) was constructed and the immune protective effect was investigated with the
purified recombinant rNspA. Female BALB/c mice were immunized by intraperitoneal
inoculation of rNspA, glutathione S-transferase (GST) or phosphate-buffered
saline (PBS). The protection experiment in mice demonstrated that the protection
rate of the rNspA group was 85% against the N. meningitidis strain MC58, and a
serum bactericidal assay in vitro revealed that the serum bactericidal titer of
the rNspA group reached 1:64 following three immunizations. The levels of
specific immunoglobulin (Ig) A (SIgA), IgG, IgG1, IgG2a, IgG2b and IgG3 of mice
in the rNspA group peaked at week six and were higher than those in the mice in
the GST and PBS groups. The levels of stimulation index, interleukin-4 and
interferon-gamma in the culture supernatant of the spleen lymphocytes of the
rNspA group increased in a time-dependent manner and were higher than those of
the mice in the GST and PBS groups over the same period. The results suggested
that rNspA may induce increased specific humoral and cellular immune responses,
and that it is effectively protective against N. meningitidis serogroup B in
mice. The present study offered novel evidence that may lead to the development
of a novel effective N. meningitidis serogroup B vaccine.
PMID- 24926811
TI - Screening for geriatric depression in residential care facilities: a systematic
narrative review.
AB - Studies in residential care facilities suggest that routine screening can assist
in the early detection of geriatric depression. However, the effectiveness of
screening instruments in residential care in the US and Canada has not been
adequately evaluated. We conducted a systematic narrative review of the English
language literature published between 2000 and 2010 on screening instruments used
for depression detection in older adults living in residential care facilities.
The review yielded nine scales and their modifications tested in residential
care, which we evaluated. We provide specific recommendations for the use of
effective scales and discuss implications for practice, policy and research.
PMID- 24926812
TI - High-resolution solid-state oxygen-17 NMR of actinide-bearing compounds: an
insight into the 5f chemistry.
AB - A massive interest has been generated lately by the improvement of solid-state
magic-angle spinning (MAS) NMR methods for the study of a broad range of
paramagnetic organic and inorganic materials. The open-shell cations at the
origin of this paramagnetism can be metals, transition metals, or rare-earth
elements. Actinide-bearing compounds and their 5f unpaired electrons remain
elusive in this intensive research area due to their well-known high
radiotoxicity. A dedicated effort enabling the handling of these highly
radioactive materials now allows their analysis using high-resolution MAS NMR
(>55 kHz). Here, the study of the local structure of a series of actinide
dioxides, namely, ThO2, UO2, NpO2, PuO2, and AmO2, using solid-state (17)O MAS
NMR is reported. An important increase of the spectral resolution is found due to
the removal of the dipolar broadening proving the efficiency of this technique
for structural analysis. The NMR parameters in these systems with numerous and
unpaired 5f electrons were interpreted using an empirical approach. Single-ion
model calculations were performed for the first time to determine the z component
of electron spin on each of the actinide atoms, which is proportional to the
shifts. A similar variation thereof was observed only for the heavier actinides
of this study.
PMID- 24926813
TI - Short linear motifs: ubiquitous and functionally diverse protein interaction
modules directing cell regulation.
PMID- 24926814
TI - Relation between cognition and neural connection from injured cingulum to
brainstem cholinergic nuclei in chronic patients with traumatic brain injury.
AB - BACKGROUND: This study investigated the relation between cognition and the neural
connection from injured cingulum to brainstem cholinergic nuclei in patients with
traumatic brain injury (TBI), using diffusion tensor tractography (DTT). METHODS:
Among 353 patients with TBI, 20 chronic patients who showed discontinuation of
both anterior cingulums from the basal forebrain on DTT were recruited for this
study. The Wechsler Intelligence Scale and the Memory Assessment Scale (MAS;
short-term, verbal, visual and total memory) were used for assessment of
cognition. Patients were divided into two groups according to the presence of a
neural connection between injured cingulum and brainstem cholinergic nuclei.
RESULTS: Eight patients who had a neural connection between injured cingulum and
brainstem cholinergic nuclei showed better short-term memory on MAS than 12
patients who did not (p < 0.05). However, other results of neuropsychological
testing showed no significant difference (p > 0.05). CONCLUSIONS: Better short
term memory in patients who had the neural connection between injured cingulum
and brainstem cholinergic nuclei appears to have been attributed to the presence
of cholinergic innervation to the cerebral cortex through the neural connection
instead of the injured anterior cingulum. The neural connection appears to
compensate for the injured anterior cingulum in obtaining cholinergic
innervation.
PMID- 24926815
TI - A mobile phone-based, community health worker program for referral, follow-up,
and service outreach in rural Zambia: outcomes and overview.
AB - BACKGROUND: Mobile health (m-health) utilizes widespread access to mobile phone
technologies to expand health services. Community health workers (CHWs) provide
first-level contact with health facilities; combining CHW efforts with m-health
may be an avenue for improving primary care services. As part of a primary care
improvement project, a pilot CHW program was developed using a mobile phone-based
application for outreach, referral, and follow-up between the clinic and
community in rural Zambia. MATERIALS AND METHODS: The program was implemented at
six primary care sites. Computers were installed at clinics for data entry, and
data were transmitted to central servers. In the field, using a mobile phone to
send data and receive follow-up requests, CHWs conducted household health
surveillance visits, referred individuals to clinic, and followed up clinic
patients. RESULTS: From January to April 2011, 24 CHWs surveyed 6,197 households
with 33,304 inhabitants. Of 15,539 clinic visits, 1,173 (8%) had a follow-up
visit indicated and transmitted via a mobile phone to designated CHWs. CHWs
performed one or more follow-ups on 74% (n=871) of active requests and obtained
outcomes on 63% (n=741). From all community visits combined, CHWs referred 840
individuals to a clinic. CONCLUSIONS: CHWs completed all planned aspects of
surveillance and outreach, demonstrating feasibility. Components of this pilot
project may aid clinical care in rural settings and have potential for
epidemiologic and health system applications. Thus, m-health has the potential to
improve service outreach, guide activities, and facilitate data collection in
Zambia.
PMID- 24926816
TI - Retinal Imaging through Phakic Iris-Claw IOL.
AB - BACKGROUND: Phakic anterior chamber iris-fixated IOL (PIOL) are established for
the correction of high myopia. These patients require attention to macular
pathologies. This study was to evaluate the quality of imaging through the PIOLs.
METHODS: 54 eyes of 31 myopic and hyperopic patients with Verisyse, Verisyse
toric, and Veriflex phakic iris-fixated IOL were included. Mean preoperative
refraction was -11.0 D and +5.7 D, respectively; mean follow-up time was 7.4
years (5-11 years). A matched control group was formed without PIOL implantation.
OCT scans were performed and evaluated qualitatively and quantitatively. RESULTS:
In 52 eyes of 31 patients (96%), a 3D-OCT image and fundus photo could be
obtained in good quality. Foveal thickness was significantly thicker in the
myopic patients, whereas all other sectors and total volume had no significant
difference. CONCLUSIONS: It proved possible to obtain high-resolution scans and
digital photos of the same quality as without additional phakic IOL.
PMID- 24926817
TI - Behavior of temperature-responsive copolymer microgels at the oil/water
interface.
AB - Herein, we investigate the interfacial behavior of temperature-sensitive aqueous
microgels on the toluene/water interface. Copolymer microgels based on N
vinylcaprolactam (VCL) and two acrylamides, N-isopropylacrylamide (NIPAm) and N
isopropylmethacrylamide (NIPMAm), with various copolymer compositions were used
in this study. It is revealed that these copolymer microgels have the similar
internal structure, regardless of the chemical composition. A classic kinetics of
interfacial tension with three distinct regimes is found in the dynamic
interfacial tension plots of copolymer microgels, which is similar to inorganic
nanoparticles and proteins. The influences of the copolymer composition and the
temperature on the interfacial behavior of microgels are investigated. The
results show that the interfacial behavior of copolymer microgels at the
toluene/water interface follows exactly the trend of the volume phase behavior of
microgels but, on the other hand, strongly depends upon the chemical compositions
of copolymer microgels. In contrast, with respect to the size range of microgels
studied here (50-500 nm), the size of the microgel has no influence on the
interfacial tension. Below the volume phase transition temperature (VPTT), the
equilibrium interfacial tensions of all microgel systems decrease as the
temperature increases. Above VPTT, the equilibrium interfacial tension remains at
a certain level for poly(N-vinylcaprolactam) (PVCL)- and poly(N
isopropylmethacrylamide) (PNIPMAm)-rich microgel systems and increases slightly
for poly(N-isopropylacrylamide) (PNIPAm)-rich microgel systems. The evolution of
dynamic interfacial tension for microgel solutions against toluene at T < VPTT is
faster than that at T > VPTT, because of the reduced deformability of the
microgel with the increase of the temperature. The softer microgels with lower
cross-linking degrees exhibit faster kinetics of reduction of interfacial tension
compared to those with more cross-linked degrees, which strongly supports the
deformation-controlled interfacial behavior of microgels.
PMID- 24926818
TI - Economic burden of brain metastases among patients with metastatic melanoma in a
USA managed care population.
AB - Malignant melanoma patients frequently relapse with metastases in the brain,
making it the third most common cancer-causing brain metastases in the USA.
Management of brain metastases remains challenging because of the rapid
progression of disease and ineffectiveness of conventional therapies. This
retrospective study, with a 'pre/post' design, quantifies the economic burden of
brain metastases among melanoma patients in the USA. A large managed-care
insurance claims database (2000 Q1-2011 Q3) was used to identify patients with
melanoma and brain metastases. The preperiod was defined as the 6 months before
the index date (diagnosis of first observed brain metastases) and postperiod as
the period following the index date up to 12 months. All-cause and brain
metastasis-related healthcare resource utilization and healthcare costs were
compared on a per-patient-per-month (PPPM) basis between preperiods and
postperiods. The study included 6076 patients (mean age 63.4 years); 57.6% were
men. Significant differences (P<0.0001) were observed between the postperiods and
preperiods in the mean all-cause and brain metastasis-related PPPM
hospitalizations and emergency department and outpatient visits. Significant
postperiod versus preperiod differences were also observed in the PPPM mean
(standard error) all-cause healthcare costs [total: $14 489 ($231) vs. $7277
($116); inpatient: $6330 ($195) vs. $1900 ($69); outpatient: $6609 ($102) vs.
$4449 ($79); P<0.0001 for all] and brain metastasis-related costs [total: $6542
($145) vs. $1933 ($62); inpatient: $2976 ($118) vs. $472 ($39); outpatient: $3451
($76) vs. $1413 ($47); P<0.0001 for all]. Radiotherapy was the most common
treatment. The economic burden associated with brain metastases in melanoma is
significant and underscores the need for newer therapies to improve outcomes in
these patients.
PMID- 24926819
TI - Increased risk of developing cutaneous malignant melanoma is associated with
variation in pigmentation genes and VDR, and may involve epistatic effects.
AB - Cutaneous malignant melanoma (CMM) is a malicious human skin cancer that
primarily affects individuals with light pigmentation and heavy sun exposure, but
also has a known familial association. Multiple genes and polymorphisms have been
reported as low-penetrance susceptibility loci for CMM. Here, we examined 33
candidate polymorphisms located in 11 pigmentation genes and the vitamin D
receptor gene (VDR) in a population of 130 cutaneous melanoma patients and 707
healthy controls. The genotypes obtained were evaluated for main association
effects and potential gene-gene interactions. MC1R, TYR, VDR and SLC45A2 genes
were found to be associated with CMM in our population. The results obtained for
major function MC1R mutations were the most significant [with odds ratio
(OR)=1.787, confidence interval (CI)=1.320-2.419 and P=1.715(-4)], followed by
TYR (rs1393350) (with OR=1.569, CI=1.162-2.118, P=0.003), VDR (GCCC haplotype in
rs2238136-rs4516035-rs7139166-rs11568820 block) (with OR=5.653, CI=1.794-17.811,
P=0.003) and SLC45A2 (rs16891982) (with OR=0.238, CI=0.057-0.987, P=0.048). The
study also detected significant intermolecular epistatic effects between MC1R and
TYR, SLC45A2 and VDR, HERC2 and VDR, OCA2 and TPCN2, as well as intramolecular
interactions between variants within the genes MC1R and VDR. In the final
multivariate logistic regression model for CMM development, only the gene-gene
interactions discovered remained significant, showing that epistasis may be an
important factor in the risk of melanoma.
PMID- 24926820
TI - Activation of the dopamine receptor type-2 (DRD2) promoter by 9-cis retinoic acid
in a cellular model of Cushing's disease mediates the inhibition of cell
proliferation and ACTH secretion without a complete corticotroph-to-melanotroph
transdifferentiation.
AB - Cushing's disease (CD) is a rare condition in which hypercortisolemia is
secondary to excessive ACTH release from a pituitary corticotroph adenoma. CD is
associated with significant morbidity and mortality, and a safe therapy that
effectively targets the pituitary tumor is still lacking. Retinoic acid (RA) and
dopamine agonists (DAs) have recently been considered as monotherapy in CD
patients, and satisfactory results have been reported, albeit in a limited number
of patients. Given the permissive role of RA on the dopamine receptor type-2
(DRD2), the aim of the present study was to see whether a combination of 9-cis RA
and the DA bromocriptine (Br) might represent a possible treatment for CD. Here
we show that 9-cis RA induces a functional DRD2 in the pituitary corticotroph
cell line AtT20, and increases cell sensitivity to Br via a mechanism only
partially related to corticotroph-to-melanotroph transdifferentiation. In
addition, 9-cis RA and Br act synergistically to modulate cell viability, with
favorable implications for clinical use. In nearly 45% of corticotropinoma
derived primary cultures, the combined administration of 9-cis RA and Br lowered
the steady-state level of the ACTH precursor proopiomelanocortin (POMC) more
efficiently than either of the drugs alone. In conclusion, the effects of a
combination of 9-cis RA and Br on ACTH synthesis/secretion and cell viability in
AtT20, and on POMC transcriptional activity in human corticotropinomas might
represent a suitable starting point for assessing the potential of this treatment
regimen for ACTH-secreting pituitary adenomas. This study thus has potentially
important implications for novel therapeutic approaches to CD.
PMID- 24926821
TI - The prostate cancer TMPRSS2:ERG fusion synergizes with the vitamin D receptor
(VDR) to induce CYP24A1 expression-limiting VDR signaling.
AB - A number of preclinical studies have shown that the activation of the vitamin D
receptor (VDR) reduces prostate cancer (PCa) cell and tumor growth. The majority
of human PCas express a transmembrane protease serine 2 (TMPRSS2):erythroblast
transformation-specific (ETS) fusion gene, but most preclinical studies have been
performed in PCa models lacking TMPRSS2:ETS in part due to the limited
availability of model systems expressing endogenous TMPRSS2:ETS. The level of the
active metabolite of vitamin D, 1alpha,25-dihydroxyvitamin D3 (1,25D), is
controlled in part by VDR-dependent induction of cytochrome P450, family 24,
subfamily 1, polypeptide1 (CYP24A1), which metabolizes 1,25D to an inactive form.
Because ETS factors can cooperate with VDR to induce rat CYP24A1, we tested
whether TMPRSS2:ETS would cause aberrant induction of human CYP24A1 limiting the
activity of VDR. In TMPRSS2:ETS positive VCaP cells, depletion of TMPRSS2:ETS
substantially reduced 1,25D-mediated CYP24A1 induction. Artificial expression of
the type VI+72 TMPRSS2:ETS isoform in LNCaP cells synergized with 1,25D to
greatly increase CYP24A1 expression. Thus, one of the early effects of
TMPRSS2:ETS in prostate cells is likely a reduction in intracellular 1,25D, which
may lead to increased proliferation. Next, we tested the net effect of VDR action
in TMPRSS2:ETS containing PCa tumors in vivo. Unlike previous animal studies
performed on PCa tumors lacking TMPRSS2:ETS, EB1089 (seocalcitol) (a less
calcemic analog of 1,25D) did not inhibit the growth of TMPRSS2:ETS containing
VCaP tumors in vivo, suggesting that the presence of TMPRSS2:ETS may limit the
growth inhibitory actions of VDR. Our findings suggest that patients with
TMPRSS2:ETS negative tumors may be more responsive to VDR-mediated growth
inhibition and that TMPRSS2:ETS status should be considered in future clinical
trials.
PMID- 24926822
TI - ROS are critical for endometrial breakdown via NF-kappaB-COX-2 signaling in a
female mouse menstrual-like model.
AB - Progesterone withdrawal triggers endometrial breakdown and shedding during
menstruation. Menstruation results from inflammatory responses; however, the role
of reactive oxygen species (ROS) in menstruation remains unclear. In this study,
we explored the role of ROS in endometrial breakdown and shedding. We found that
ROS levels were significantly increased before endometrial breakdown in a mouse
menstrual-like model. Vaginal smear inspection, morphology of uterine horns, and
endometrial histology examination showed that a broad range of ROS scavengers
significantly inhibited endometrial breakdown in this model. Furthermore, Western
blot and immunohistochemical analysis showed that the intracellular translocation
of p50 and p65 from the cytoplasm into the nucleus was blocked by ROS scavengers
and real-time PCR showed that cyclooxygenase-2 (COX-2) mRNA expression was
decreased by ROS scavengers. Similar changes also occurred in human stromal cells
in vitro. Furthermore, Western blotting and real-time PCR showed that one ROS,
hydrogen peroxide (H2O2), promoted translocation of p50 and p65 from the
cytoplasm to the nucleus and increased COX-2 mRNA expression along with
progesterone maintenance. The nuclear factor kappaB inhibitor MG132 reduced the
occurrence of these changes in human stromal cells in vitro. Viewed as a whole,
our results provide evidence that certain ROS are important for endometrial
breakdown and shedding in a mouse menstrual-like model and function at least
partially via nuclear factor-kappaB/COX-2 signaling. Similar changes observed in
human stromal cells could also implicate ROS as important mediators of human
menstruation.
PMID- 24926824
TI - On the time course, generality, and regulation of plasma progesterone release in
male rats by stress exposure.
AB - Although progesterone is most commonly regarded in terms of its role in the
female estrous cycle, reproductive behavior, and pregnancy, progesterone is also
a precursor to corticosterone (CORT) and is released from the adrenal glands of
both sexes in response to stress. However, the relationship between plasma CORT
and progesterone during times of stress has not been well established. To better
characterize dynamic changes in progesterone release as a result of stressor
exposure, plasma progesterone levels were measured using enzyme immunoassay under
multiple conditions, including after stress exposure (footshock, restraint, and
forced swim), manipulations of the hypothalamic-pituitary-adrenal axis (injection
of metyrapone or dexamethasone, or adrenalectomy), and in response to CRH and
ACTH injections. When plasma levels of ACTH, CORT, and progesterone were analyzed
across studies, CORT and progesterone were found to be highly correlated and
rarely dissociated. Additionally, it appears that in male rats, the adrenal
glands are the principle source of circulating progesterone in response to
stress. Interestingly, a detailed time course indicated lack of a circadian
rhythm of progesterone secretion, despite a robust rhythm of circulating CORT.
The current studies provide critical new information on the coincident release of
progesterone and CORT in response to stress and their somewhat paradoxical
dissociation across the circadian rhythm. These findings provide an important
foundation for future studies that will examine the role of stress-induced
progesterone in behavioral, neuroimmune, and neuroendocrine responses to stress.
PMID- 24926823
TI - Large litter rearing improves leptin sensitivity and hypothalamic appetite
markers in offspring of rat dams fed high-fat diet during pregnancy and
lactation.
AB - Maternal high-fat (HF) diet has long-term consequences on the offspring's
metabolic phenotype. Here, we determined the effects of large litter (LL) rearing
in offspring of rat dams fed HF diet during gestation and lactation. Pregnant
Sprague-Dawley rats were maintained on standard chow (CHOW) or HF diet throughout
gestation and lactation. Pups were raised in normal litters (NLs) (10 pups/dam)
or LLs (16 pups/dam) during lactation, resulting in 4 groups: CHOW-NL, CHOW-LL,
HF-NL, and HF-LL. The offspring were weaned onto to either CHOW or HF diet on
postnatal day 21. Male and female pups with maternal HF diet (HF-NL) had greater
body weight and adiposity, higher plasma leptin levels, impaired glucose
tolerance, abnormal hypothalamic leptin signaling pathways (lower leptin receptor
b [OB-Rb] and signal transducer and activator of transcription 3, higher
suppressor of cytokine signaling 3 mRNA expression) and appetite markers (lower
neuropeptide Y and Agouti-related peptide mRNA expression), and reduced phospho
signal transducer and activator of transcription 3 level in response to leptin in
the arcuate nucleus at weaning, whereas LL rearing normalized these differences.
When weaned onto CHOW diet, adult male offspring from HF diet-fed dams continued
to have greater adiposity, higher leptin levels, and lower hypothalamic OB-Rb,
and LL rearing improved them. When weaned onto HF diet, both adult male and
female offspring with maternal HF diet had greater body weight and adiposity,
higher leptin levels, impaired glucose tolerance, lower OB-Rb, and higher
suppressor of cytokine signaling 3 in hypothalamus compared with those of CHOW
dams, whereas LL rearing improved most of them except male OB-Rb expression. Our
data suggest that LL rearing improves hypothalamic leptin signaling pathways and
appetite markers in an age- and sex-specific manner in this model.
PMID- 24926826
TI - Influence of intention to adhere, beliefs and satisfaction about medicines on
adherence in solid organ transplant recipients.
AB - INTRODUCTION: Nonadherence to immunosuppressive (IS) therapy is associated with
poor outcomes. Identifying factors predicting poor adherence is therefore
essential. The primary objective of this study was to test whether parameters of
a model adapted from the theory of planned behavior, and more specifically
attitudes that are influenced by beliefs and satisfaction with medication, could
predict adherence in solid organ transplant patients. METHODS: Adherence was
assessed with a self-reported medication adherence scale and IS blood trough
concentrations over 6 months, in four transplant units. Satisfaction and beliefs
were assessed using the Treatment Satisfaction with Medicines Questionnaire
(SATMED-Q) and Beliefs about Medicines Questionnaire (BMQ), respectively. Theory
of planned behavior was assessed with a specific questionnaire exploring
intentions, subjective norms, attitudes and perceived behavioral control.
Treatment characteristics and socioeconomic data were also collected. RESULTS:
One hundred and fifty-three solid organ transplant patients were enrolled,
including lung (n=33), heart (n=43), liver (n=42), and kidney (n=44) patients.
Satisfaction and positive beliefs about medication were higher in adherent than
those in nonadherent patients. Factors independently associated with an increased
risk of nonadherence were negative general beliefs about medications (odds ratio
[OR]=0.89 [0.83-0.97]), living alone (OR=2.78 [1.09-7.09]), heart transplantation
(OR=3.49 [1.34-9.09]), and being on everolimus (OR=5.02 [1.21-20.8]). CONCLUSION:
Negative beliefs toward medications were shown to be an independent risk factor
of poor adherence. Therefore, the BMQ could be an effective, easy to implement
tool, for use in everyday practice, to identify patients needing interventions to
improve adherence to IS.
PMID- 24926825
TI - Characterization of neural estrogen signaling and neurotrophic changes in the
accelerated ovarian failure mouse model of menopause.
AB - Accelerated ovarian failure (AOF) can be induced in young mice with low doses of
4-vinylcyclohexene diepoxide (VCD), modeling the hormone changes observed across
menopause. We assessed markers of synaptic plasticity in the hippocampus, anxiety
like behavior, and spatial learning longitudinally at 4 time points across the
AOF model: premenopause, early perimenopause, late perimenopause, and
postmenopause (POST). As others have shown, VCD administration decreased ovarian
follicle counts and increased acyclicity as the model progressed to POST but with
no impact on organ or body weights. The morphology of Iba1 immunoreactive
microglia did not differ between vehicle- and VCD-administered mice. Hippocampal
postsynaptic density 95 levels were minimally altered across the AOF model but
decreased at POST in CA3b 24 hours after exogenous estradiol benzoate (EB). In
contrast, hippocampal phosphorylated AKT levels transiently decreased in
premenopause but increased at POST after 24 hours of EB in select subregions.
Electron microscopy revealed fewer estrogen receptor alpha containing dendritic
spines and terminals in CA1 stratum radiatum at POST. mRNA levels of most brain
derived neurotrophic factor exons (except V and VI) were lower in POST compared
with ovariectomized mice. Exon V was sensitive to 24 hours of EB administration
in POST-VCD. Anxiety-like behavior was unaffected at any menopause phase. Spatial
learning was unaffected in all groups, but POST-VCD mice performed below chance.
Our results suggest that the AOF model is suitable for longitudinal studies of
neurobiological changes across the menopause transition in mice. Our findings
also point to complex interactions between estrogen receptors and pathways
involved in synaptic plasticity.
PMID- 24926827
TI - How is health economics relevant to transplant clinicians?
AB - Decision making is complex and difficult in clinical practice. Clinicians are
often faced with a large range of possible alternative decision options, each
with their own consequences and trade-offs. Health economics methods enable
informed decision making on how best to allocate limited resources that could
lead to most health gains. Economic evaluation in particular is highly relevant
in transplantation medicine. Transplantation is an expensive intervention, but it
improves the quality of life and survival of people with chronic diseases. The
balance between health care resource use and the optimal health gains is useful
not only to decision-makers, but also to consumers, clinicians, and researchers.
This article is an overview of the concepts of economic evaluation in the setting
of transplantation and highlights the applicability of these concepts in clinical
transplantation.
PMID- 24926828
TI - Rapamycin represses myotube hypertrophy and preserves viability of C2C12 cells
during myogenesis in vitro.
AB - BACKGROUND: Rapamycin (RAPA) has been successfully used for myoblast
allotransplantation in X chromosome-linked muscular dystrophy mice. However, the
mechanism of skeletal myogenesis, particularly in starved condition by RAPA,
remains elusive. For this reason, we investigated the effect of RAPA on C2C12
myogenesis in serum-starved condition. METHODS: Serum-free treated C2C12 cells
were mimicked as skeletal myogenesis in nutrition shortage microenvironment. A
methylthiazoletetrazolium (MTT) assay was used to investigate different RAPA
concentrations on serum-free treated C2C12 cells and the following assays were
used to detect the characteristic of C2C12 myogenesis by RAPA in vitro. RESULTS:
We found that 150 ng/mL of RAPA did not significantly suppress the viability of
C2C12 differentiated cells by MTT assay. The RAPA concentration could protect
myoblast serum-starved cells effectively from apoptosis through flow cytometry
and retain myogenic regulatory factors through quantitative polymerase chain
reaction analysis. However, RAPA significantly suppressed cell migration in wound
healing assay (P<0.05). Morphological analyses indicated that RAPA also
significantly suppressed myotube hypertrophy in serum-starved C2C12 cells.
Western blot analysis revealed that the ratio of phosphate extracellular signal
regulated kinase/extracellular signal-regulated kinase and the protein level of p
Akt decreased in the proliferation medium and in the differentiation medium,
respectively. CONCLUSION: These findings suggest that myoblast cells are
sensitive to RAPA under a serum-starved microenvironment. As an immunosuppressive
agent, RAPA shall be used as a considering dosage and as a safe strategy for
future myoblast allotransplantation.
PMID- 24926829
TI - Tolerance: one transplant for life.
AB - Recently, The Transplantation Society convened a workshop to address the
question, "What do we need to have in place to make tolerance induction protocols
a 'standard of care' for organ transplant recipients over the next decade?" In a
productive 2-day meeting, there was wide-ranging discussion on a broad series of
topics, resulting in five consensus recommendations as follows: (1) establish a
registry of results for patients enrolled in tolerance trials; (2) establish
standardized protocols for sample collection and storage; (3) establish
standardized biomarkers and assays; (4) include children 12 years and older in
protocols that have been validated in adults; and (5) establish a task force to
engage third-party payers in discussions of how to fund tolerance trials. Future
planned workshops will focus on progress in implementing these recommendations
and identifying other steps that the community needs to take.
PMID- 24926830
TI - Therapeutic effects of a non-beta cell bioartificial pancreas in diabetic mice.
AB - BACKGROUND: Cell-based insulin therapies can potentially improve glycemic
regulation in insulin-dependent diabetic patients. Enteroendocrine cells
engineered to secrete recombinant insulin have exhibited glycemic efficacy, but
have been primarily studied as uncontrollable growth systems in immune
incompetent mice. Furthermore, reports suggest that suboptimal insulin secretion
remains a barrier to expanded application. METHODS: Genetic and tissue
engineering strategies were applied to improve recombinant insulin secretion from
intestinal L-cells on both a per-cell and per-graft basis. Transduction of
insulin-expressing GLUTag L-cells with lentivirus carrying an additional human
insulin gene-enhanced secretion twofold. We infected cells with lentivirus
expressing a luciferase reporter gene to track cell survival in vivo. To provide
a growth-controlled and immune protective environment without affecting secretory
capacity, cells were microencapsulated in barium alginate. Approximately 9*10(7)
microencapsulated cells were injected intraperitoneally in immune competent
streptozotocin-induced diabetic mice for therapeutic efficacy evaluation.
RESULTS: Graft insulin secretion was increased to 16 to 24 mU insulin per day.
Transient normoglycemia was achieved in treated mice two days after
transplantation, and endogenous insulin was sufficient to sustain body weights of
treated mice receiving minimal supplementation. CONCLUSION: Glycemic efficacy of
a bioartificial pancreas based on insulin-secreting enteroendocrine cells is
insufficient as a standalone therapy, despite enhancement of graft insulin
secretion capacity. Supplemental strategies to alleviate secretion limitations
should be pursued.
PMID- 24926831
TI - Understanding the influence of ethnicity and socioeconomic factors on graft and
patient survival after kidney transplantation.
AB - BACKGROUND: Studies on the influence of socioeconomic factors and ethnicity on
the results of kidney transplantation have led to various outcomes. In this
study, we analyzed the influence of a combination of these factors on graft and
patient survival in a population of kidney transplant recipients. METHODS: This
retrospective study included all 1,338 patients who received a kidney transplant
between 2000 and 2011 (825 living, 513 deceased donor transplantations). Both
clinical and socioeconomic variables were studied. Clinical variables were
recipient age, gender, ethnicity, original disease, maximum and current panel
reactive antibodies, ABO blood type, retransplants, pretreatment, time on
dialysis, comorbidity, transplant year, total number of HLA mismatches, donor
type (living or deceased), age and gender, and calcineurin inhibitor treatment.
Each recipient's postal code was linked to a postal code area information
database to extract information on housing value, income, percentage non
Europeans in the area, and urbanization level. RESULTS: In multivariable
analysis, graft survival censored for death was significantly influenced by
recipient age, maximum panel reactive antibodies, HLA mismatches, donor type,
donor age, and calcineurin inhibitor treatment. Patient survival was
significantly influenced by recipient age, comorbidity, transplant year, and
donor type. Socioeconomic factors and ethnicity did not have a significant
influence on graft and patient survival. CONCLUSIONS: Though ethnicity and
socioeconomic factors do not influence survival after kidney transplantation, the
favorable influence of living donor type is of paramount importance. As non
Europeans and patients with unfavorable socioeconomic variables less often
receive a living donor kidney transplant, their survival may be unfavorable after
all.
PMID- 24926832
TI - Left ventricular mass changes after renal transplantation: influence of dietary
sodium and change in serum uric acid.
AB - BACKGROUND: We hypothesized that dietary sodium may modulate the effect of
systolic blood pressure and other nonhemodynamic factors, such as high uric acid
and renal dysfunction, on changes in the left ventricular mass after renal
transplantation. The objective of the present 3-year follow-up longitudinal study
was to assess the concomitant influence of these factors on changes in the left
ventricular mass after renal transplantation. METHODS: Twenty-four-hour urinary
sodium excretion, glomerular filtration rate (isotopic clearance), and left
ventricular mass (echocardiography) assessment were done in 165 renal transplant
patients during the first year and after a follow-up of 3 years after renal
transplantation. RESULTS: At follow-up, therapy of hypertension was associated
with normalization of blood pressure in 64% and a decrease in the prevalence of
left ventricular hypertrophy from 66% to 56%. At baseline and follow-up, systolic
blood pressure, sodium intake, and serum uric acid emerged as independent and
significant determinants of the final left ventricular mass index. When the
population was divided according to sex-specific tertiles of the final 24-hr
urinary sodium excretion, the relationship between change in serum uric acid
during follow-up, final left ventricular mass index, and final glomerular
filtration rate was significant only on the highest tertile of 24-hr urinary
sodium excretion. CONCLUSION: The decrease in the prevalence of left ventricular
hypertrophy after renal transplantation is blunted by high sodium intake.
Persistence of the left ventricular hypertrophy may result from the combined
adverse influences of excessive dietary sodium intake and increased serum uric
acid during follow-up despite pharmacological control of blood pressure.
PMID- 24926833
TI - In memoriam--Carl-Gustav Groth 1933-2014.
PMID- 24926834
TI - Advantages and disadvantages of conditional systems for characterization of
essential genes in Toxoplasma gondii.
AB - The dissection of apicomplexan biology has been highly influenced by the genetic
tools available for manipulation of parasite DNA. Here, we describe different
techniques available for the generation of conditional mutants. Comparison of the
advantages and disadvantages of the three most commonly used regulation systems:
the tetracycline inducible system, the regulation of protein stability and site
specific recombination are discussed. Using some previously described examples we
explore some of the pitfalls involved in gene-function analysis using these
systems that can lead to wrong or over-interpretation of phenotypes. We will also
mention different options to standardize the application of these techniques for
the characterization of gene function in high-throughput.
PMID- 24926835
TI - Monolithic NPG nanoparticles with large surface area, tunable plasmonics, and
high-density internal hot-spots.
AB - Plasmonic metal nanostructures have shown great potential in sensing,
photovoltaics, imaging and biomedicine, principally due to the enhancement of
local electric field by light-excited surface plasmons, i.e., collective
oscillation of conduction band electrons. Thin films of nanoporous gold have
received a great deal of interest due to the unique 3-dimensional bicontinuous
nanostructures with high specific surface area. However, in the form of semi
infinite thin films, nanoporous gold exhibits weak plasmonic extinction and
little tunability in the plasmon resonance, because the pore size is much smaller
than the wavelength of light. Here we show that by making nanoporous gold in the
form of disks of sub-wavelength diameter and sub-100 nm thickness, these
limitations can be overcome. Nanoporous gold disks not only possess large
specific surface area but also high-density, internal plasmonic "hot-spots" with
impressive electric field enhancement, which greatly promotes plasmon-matter
interactions as evidenced by spectral shifts in the surface plasmon resonance. In
addition, the plasmonic resonance of nanoporous gold disks can be easily tuned
from 900 to 1850 nm by changing the disk diameter from 300 to 700 nm.
Furthermore, nanoporous gold disks can be fabricated as either bound on a surface
or as non-aggregating colloidal suspension with high stability.
PMID- 24926836
TI - Younger age at the time of first metastasis in BRAF-mutated compared to BRAF wild
type melanoma patients.
AB - The relationship between BRAF mutations and the patient clinical profile is still
under question. The objective of the present study was to correlate the BRAF
mutation status in primary and metastatic melanomas with the clinicopathological
profile, disease-free (DFS) and overall survival (OS). A total of 367 melanoma
samples from 278 patients were screened for their BRAF status using a combination
of allele-specific amplification and DNA sequencing. Two or three tissue samples
from the same patient were available for 74 patients. The clinicopathological
characteristics were tested for their association with the BRAF mutation using
the Fisher's or Pearson's chi2 test. Log-rank tests and Cox models were used for
survival analyses. BRAF mutation was found in 152 samples (41.4%). Ten of the 74
patients with several tissue samples (13.5%) had discordant BRAF mutation
results. BRAF-mutated patients were significantly younger at the time of primary
melanoma and first diagnosis of metastasis than BRAF wild-type patients but with
no difference in DFS and OS. According to our results, a primary melanoma with
BRAF mutation is not associated with a more aggressive illness.
PMID- 24926838
TI - Role of consultation-liaison psychiatry toward shortening of length of stay for
medically ill patients with depression.
AB - Depression in physically ill patients is often encountered in consultation-
liaison psychiatry. First, this investigation examined the relationship between
length of stay (LOS) and depression comorbidity. Physically ill patients with
depression stayed significantly longer than those without. Second, the change of
LOS with and without antidepressant treatment for depression in medically ill
patients has been investigated, which showed that LOS in physically ill patients
with depression could be shortened by effective antidepressant treatment. This
study also revealed that the early detection and antidepressant treatment are
important for physically ill patients with depression that could lead to the
shortening of LOS.
PMID- 24926839
TI - Anxiety and mood disorders in primary care practice.
AB - Anxiety and mood disorders are common conditions in primary health care service.
Primary care physicians (PCPs) have a privileged role in the early recognition of
these conditions. In this study, the prevalence rates of threshold and
subthreshold mood and anxiety disorders were surveyed among 1815 primary care
attendees in 12 PCPs' offices in Budapest, using the Diagnostic Interview
Schedule (DIS). The 1-year prevalence of DIS/DSM-III-R anxiety and/or mood
disorders was 16.8%, and the 1-month prevalence was 12.5%. The occurrence rates
of subthreshold anxiety and/or depression were 25.7 and 13.1%, respectively. The
impact of threshold anxiety and mood disorders on work performance was
considerably higher than the impact of subthreshold symptoms. At the time of the
interview, 6.7% of the patients received mood and/or anxiety disorder diagnoses
by their PCPs. The measure of agreement between the diagnoses generated by the
DIS and the ones given by the PCPs was low. The presence of an acute or chronic
physical illness made it more difficult for the PCPs to recognize a psychiatric
disorder. Conversely, patients' psychological complaints significantly improved
the recognition of anxiety and/or mood disorders. The use of the Beck Depression
Inventory (BDI) brief version would help the patients to reveal their
psychological symptoms, and the physicians to recognize an underlying psychiatric
disorder.
PMID- 24926840
TI - Patterns of Axis-II comorbidity in a Turkish OCD sample.
AB - OBJECTIVE Obsessive-compulsive disorder (OCD) patients frequently present with
Axis-II disorders, particularly Cluster C (anxiety spectrum) and Cluster A
(schizophrenic spectrum) personality disorders. The present study examined
patterns of Axis-II comorbidity in a Turkish OCD sample. In addition, we explored
the impact of personality disorder symptoms on OCD-symptom severity and symptom
profile. METHOD Structured psychiatric interviews and self-report measures of OCD
symptoms and Axis-II disorders were administered to patients with OCD and control
subjects. RESULTS Patients with OCD obtained significantly higher scores on
measures of Cluster A and Cluster C personality disorders. Patients with OCD also
achieved significantly higher scores on the BPD Subscale; however, they did not
obtain significantly higher scores on other Cluster B subscales. Group
differences on measures of Cluster A and C disorders were marked. CONCLUSIONS
Findings are consistent with prior work demonstrating an increased incidence of
Axis-II disorders among patients with OCD.
PMID- 24926837
TI - Involvement of dopamine D2 receptors in addictive-like behaviour for
acetaldehyde.
AB - Acetaldehyde, the first metabolite of ethanol, is active in the central nervous
system, where it exerts motivational properties. Acetaldehyde is able to induce
drinking behaviour in operant-conflict paradigms that resemble the core features
of the addictive phenotype: drug-intake acquisition and maintenance, drug
seeking, relapse and drug use despite negative consequences. Since acetaldehyde
directly stimulates dopamine neuronal firing in the mesolimbic system, the aim of
this study was the investigation of dopamine D2-receptors' role in the onset of
the operant drinking behaviour for acetaldehyde in different functional stages,
by the administration of two different D2-receptor agonists, quinpirole and
ropinirole. Our results show that acetaldehyde was able to induce and maintain a
drug-taking behaviour, displaying an escalation during training, and a
reinstatement behaviour after 1-week forced abstinence. Acetaldehyde operant
drinking behaviour involved D2-receptor signalling: in particular, quinpirole
administration at 0.03 mg/kg, induced a significant decrease in the number of
lever presses both in extinction and in relapse. Ropinirole, administered at 0.03
mg/kg during extinction, did not produce any modification but, when administered
during abstinence, induced a strong decrease in acetaldehyde intake in the
following relapse session. Taken together, our data suggest that acetaldehyde
exerts its own motivational properties, involving the dopaminergic transmission:
indeed, activation of pre-synaptic D2-receptors by quinpirole, during extinction
and relapse, negatively affects operant behaviour for acetaldehyde, likely
decreasing acetaldehyde-induced dopamine release. The activation of post-synaptic
D2-receptors by ropinirole, during abstinence, decreases the motivation to the
consecutive reinstatement of acetaldehyde drinking behaviour, likely
counteracting the reduction in the dopaminergic tone typical of withdrawal. These
data further strengthen the evidence that acetaldehyde may play a crucial role as
mediator of ethanol's central effects.
PMID- 24926841
TI - Predictors of antidepressant response: A selective review.
AB - Modern antidepressant drugs have response rates in the 65% range. Considerable
effort has been made to predict which patients would be more likely to respond to
antidepressant treatment. Some progress has been made, more in finding
psychological predictors than biological predictors of antidepressant response.
In spite of slow progress, these findings have made a valuable contribution
towards the understanding of antidepressant response. In future it may be
possible for psychiatrists to use a more broad-based approach, tailoring
therapies to the clinical profile of individuals.
PMID- 24926842
TI - Sexual dysfunction in Saudi depressed male patients.
AB - INTRODUCTION Sexual dysfunction is common among depressed male patients; however,
its causes are complex and multifactorial. The aim of this study is to identify
risk factors for sexual dysfunction associated with depression. METHODS One
hundred and twenty-five consecutive depressed male patients were interviewed at
Jeddah Psychiatric Hospital. They were divided in to two groups, on the basis of
whether they had sexual dysfunction or not. These two groups were then compared
on a number of potential risk factors, using chi-square and odds ratio. RESULTS
The majority of patients had not previously been asked about sexual dysfunction.
Seventy-seven (62%) presented with sexual dysfunction and 48 (38%) without.
Marital difficulties, hypertension, diabetes, severity of depression and
tricyclic antidepressants were significant risk factors. No association was found
with demographic origin, occupation, education or marital status. These results
are similar in some aspects to those reported in western studies. CONCLUSIONS (a)
The majority of doctors do not take a sexual history despite its high prevalence.
(b) Physical illness and overall severity of depression were important risk
factors.
PMID- 24926843
TI - Effects of milnacipran on driving vigilance.
AB - BACKGROUND Many psychotropic drugs modify sensory and/or psychomotor functions
involved in car driving and as such they can be a causative factor in road
accidents. AIM To investigate the effects of the administration of milnacipran, a
serotonin and noradrenaline dual-action antidepressant, on the sensory and
psychomotor skills implicated in car driving and to determine any possible
interactions with the effect of alcohol. METHODS Double-blind, placebo-controlled
four-sequence cross-over design with 12 healthy volunteers. Laboratory tests
designed to explore motor responses to auditory and visual stimuli and
equilibrium on a sensory platform, as well as tests in a real on-road car driving
situation, were carried out before the drug administration (control) and at the
end of each sequence. RESULTS There was no significant difference in the results
of laboratory tests between groups receiving milnacipran compared to placebo. In
a real driving situation there were no significant effects of milnacipran. In
addition, milnacipran did not accentuate the negative effects of alcohol.
CONCLUSIONS Milnacipran, administered at 50 mg b.i.d. to healthy volunteers, does
not modify the psychomotor skills required for driving.
PMID- 24926844
TI - Training health professionals in the recognition, assessement and management of
suicide risk.
AB - OBJECTIVES To set up and evaluate a multidisciplinary suicide assessment training
course for "front-line" clinical staff. METHODS We looked at the impact of two
types of training courses: full day Workshops with actors role-playing patients;
and a half-day Lecture. Outcome measures included the Suicide Intervention
Response Inventory Form 2, a reliable and valid measure of the ability to
intervene with suicidal clients, and confidence in clinical management scales.
RESULTS One hundred and seven trainees from different disciplines attended the
courses. Both types of course led to improvements in the skills and confidence of
the trainees which were sustained at the two month follow up. CONCLUSION Although
using actors to role play was more expensive, trainees commented positively on
their use. The better attendance and feedback at the sessions with actors suggest
the extra expense involved in using them is worthwhile. We have shown it is
feasible to run a reasonably inexpensive educational course in a Mental Health
facility that provides effective training in suicide prevention to a large number
of trainees.
PMID- 24926845
TI - Akathisia treated with olanzapine: Three case reports.
AB - There have been reports of clozapine being used in the treatment of neuroleptic
induced akathisia. However, due to clozapine's side effects, its use has been
limited. Olazapine has been shown to have a similar receptor occupancy to that of
clozapine and also a similar chemical structure. We present three case histories
where the commencement of olanzapine has had a dramatic improvement of in the
symptoms of akathisia induced by other neuroleptics.
PMID- 24926846
TI - Recurrent, persisting panic attacks after sudden discontinuation of mirtazapine
treatment: A case report.
AB - A 53-year-old woman with depressive symptoms and sleep problems, diagnosed as
adjustment disorder with depressive reaction (ICD-10, F43.2), was treated with
mirtazapine at a dose of 30 mg/day for a period of 10 weeks. In view of an
imminent surgical intervention, the medication was than abruptly stopped. On the
second day after discontinuation of mirtazapine, the patient developed a typical
panic attack crisis with symptoms of palpitations, dyspnoea, retro-sternal pain,
dizziness and nausea, blurred vision, anguish and fear of dying. During the next
5 days the patient suffered from severe, similar attacks recurring every 1-2 h,
with each attack lasting about 20 min. Upon hospitalization and minor surgical
intervention, the frequency and severity of symptoms regressed progressively, but
the patient remained, with about one attack per week, not symptom free until the
restitution of mirtazapine treatment at a dose of 30 mg/day. After re
introduction of mirtazapine panic attacks vanished, and during the entire follow
up period the patient remained symptom free. This case illustrates the risk of
abrupt withdrawal of mirtazapine and indicates that, even after a medium-long
therapy (10 weeks) with mirtazapine, progressive tapering-off of medication is
advisable.
PMID- 24926847
TI - Successful use of intravenous clomipramine in depressive-catatonic state
associated with corticosteroid treatment.
AB - We report two female patients who deteriorated to depressive-catatonic state
after interepisode recovery from a hypomanic episode induced by corticosteroid
treatment. Their symptoms developed during maintenance treatment with a low dose
of prednisolone in Case 1 and after discontinuation of betamethasone in Case 2.
Intravenous clomipramine successfully relieved their symptoms including reduction
in contact and reactivity, immobility and mutism. These two patients showed no
schizophrenic symptoms such as hallucinations and delusions. Corticosteroid
induced mood disorder can deteriorate into depressive stupor, severe depressive
episode with catatonic features in DSM-IV. Clomipramine, a tricyclic
antidepressant with relatively stronger serotonin reuptake inhibition, is one of
the useful treatment options for corticosteroid-induced depression even in severe
cases.
PMID- 24926848
TI - Adaptive sequential testing for multiple comparisons.
AB - We propose a Markov process theory-based adaptive sequential testing procedure
for multiple comparisons. The procedure can be used for confirmative trials
involving multi-comparisons, including dose selection or population enrichment.
Dose or subpopulation selection and sample size modification can be made at any
interim analysis. Type I error control is exact.
PMID- 24926849
TI - The relationship between direct to consumer advertising (DTCA) and asthma-related
emergency department use among Medicaid-enrolled children.
AB - OBJECTIVE: Asthma is a leading cause of emergency department (ED) visits. There
has been much debate on the impact of direct to consumer advertising (DTCA) on
healthcare. This study seeks to examine the association between DTCA expenditure
and asthma-related ED use. STUDY DESIGN: In this study, we combined Medicaid
administrative data and a national advertising data on asthma medications. The
sample size consisted of 180,584 Medicaid-enrolled children between the ages of 5
and 18 years who had an asthma diagnosis. Twenty percent of the Medicaid-enrolled
children in the sample had asthma-related ED visits. RESULTS: We found that DTCA
expenditure is associated with a decrease in asthma-related ED visits (OR = 0.75;
CI: 0.64-0.89). However, at higher levels of DTCA expenditure, the likelihood of
asthma-related ED visits increases (OR = 1.25; CI: 1.05-1.49), indicating a
decreased relationship between DTCA and asthma-related ED visits. CONCLUSIONS:
Our findings suggest that DTCA may be associated with improved health outcomes
for Medicaid-enrolled children with asthma.
PMID- 24926851
TI - Biosynthesis of natural products containing beta-amino acids.
AB - Covering: up to January, 2014. We focus here on beta-amino acids as components of
complex natural products because the presence of beta-amino acids produces
structural diversity in natural products and provides characteristic
architectures beyond those of ordinary alpha-L-amino acids, thus generating
significant and unique biological functions in nature. In this review, we first
survey the known bioactive beta-amino acid-containing natural products including
nonribosomal peptides, macrolactam polyketides, and nucleoside-beta-amino acid
hybrids. Next, the biosynthetic enzymes that form beta-amino acids from alpha
amino acids and the de novo synthesis of beta-amino acids are summarized. Then,
the mechanisms of beta-amino acid incorporation into natural products are
reviewed. Because it is anticipated that the rational swapping of the beta-amino
acid moieties with various side chains and stereochemistries by biosynthetic
engineering should lead to the creation of novel architectures and bioactive
compounds, the accumulation of knowledge regarding beta-amino acid-containing
natural product biosynthetic machinery could have a significant impact in this
field. In addition, genome mining of characteristic beta-amino acid biosynthetic
genes and unique beta-amino acid incorporation machinery could lead to the
discovery of new beta-amino acid-containing natural products.
PMID- 24926850
TI - Growth arrest-specific transcript 5 associated snoRNA levels are related to p53
expression and DNA damage in colorectal cancer.
AB - BACKGROUND: The growth arrest-specific transcript 5 gene (GAS5) encodes a long
noncoding RNA (lncRNA) and hosts a number of small nucleolar RNAs (snoRNAs) that
have recently been implicated in multiple cellular processes and cancer. Here, we
investigate the relationship between DNA damage, p53, and the GAS5 snoRNAs to
gain further insight into the potential role of this locus in cell survival and
oncogenesis both in vivo and in vitro. METHODS: We used quantitative techniques
to analyse the effect of DNA damage on GAS5 snoRNA expression and to assess the
relationship between p53 and the GAS5 snoRNAs in cancer cell lines and in normal,
pre-malignant, and malignant human colorectal tissue and used biological
techniques to suggest potential roles for these snoRNAs in the DNA damage
response. RESULTS: GAS5-derived snoRNA expression was induced by DNA damage in a
p53-dependent manner in colorectal cancer cell lines and their levels were not
affected by DICER. Furthermore, p53 levels strongly correlated with GAS5-derived
snoRNA expression in colorectal tissue. CONCLUSIONS: In aggregate, these data
suggest that the GAS5-derived snoRNAs are under control of p53 and that they have
an important role in mediating the p53 response to DNA damage, which may not
relate to their function in the ribosome. We suggest that these snoRNAs are not
processed by DICER to form smaller snoRNA-derived RNAs with microRNA (miRNA)-like
functions, but their precise role requires further evaluation. Furthermore, since
GAS5 host snoRNAs are often used as endogenous controls in qPCR quantifications
we show that their use as housekeeping genes in DNA damage experiments can lead
to inaccurate results.
PMID- 24926852
TI - Mixing the spacers in azacryptands: effects on halide recognition.
AB - In this work, we report on two new asymmetric dicopper cryptates, characterized
by alternate furanyl and p-xylyl spacers. The results of the potentiometric, UV
vis and X-ray diffraction studies are discussed. In particular, for one of the
cages in the octaprotonated form, the crystal structure of the complex with
nitrate is described. From the point of view of the anion binding in water, the
new dicopper cryptates display stronger similarities to each other than to the
symmetric analogues (i.e. p-xylyl and furan cryptates). The substitution of even
only one spacer modifies the distance between the metal ions. This prevents the
development of the CT band, typically accompanying the binding of halides by the
furan cryptate, and favors the formation of complexes of different stoichiometry
with the linear azide anion, not observed for the symmetric cryptates. The
obtained results may be very helpful in the design of new molecular receptors
based on dimetallic cryptates, in particular for the tuning of the sensitivity
towards specific anionic substrates.
PMID- 24926853
TI - The nature of chlorine-inhibition of photocatalytic degradation of dichloroacetic
acid in a TiO2-based microreactor.
AB - Photocatalytic degradation of dichloroacetic acid (DCA) was studied in a
continuous-flow set-up using a titanium microreactor with an immobilized double
layered TiO2 nanoparticle/nanotube film. Chloride ions, formed during the
degradation process, negatively affect the photocatalytic efficiency and at a
certain concentration (approximately 0.5 mM) completely stop the reaction in the
microreactor. Two proposed mechanisms of inhibition with chloride ions,
competitive adsorption and photogenerated-hole scavenging, have been proposed and
investigated by adsorption isotherms and electron paramagnetic resonance (EPR)
measurements. The results show that chloride ions block the DCA adsorption sites
on the titania surface and reduce the amount of adsorbed DCA molecules. The
scavenging effect of chloride ions during photocatalysis through the formation of
chlorine radicals was not detected.
PMID- 24926854
TI - Polymorphisms in PDE4D are associated with a risk of COPD in non-emphysematous
Koreans.
AB - Despite extensive effort, only a few chronic obstructive pulmonary disease (COPD)
associated genes have been suggested, indicating that there must be additional
risk-associated loci. Here we aimed to identify additional COPD-associated SNPs
and to explore the potential relationship between COPD subgroups and the SNPs in
the Korean population. We performed a genome-wide association study (GWAS) with
990 Korean individuals; 102 COPD cases and 544 controls for GWAS using Affymetrix
SNP array 5.0, and 173 COPD cases and 171 controls for replication. After
validating the candidate single nucleotide polymorphisms (SNP), we performed
subgroup analysis by disease phenotype. Through GWAS, we identified a novel SNP
in the phosphodiesterase-4D (PDE4D) gene [rs16878037 (C>T), p = 1.66 ? 10(-6)]
that was significantly associated with COPD. This signal in PDE4D was
successfully replicated in the independent set (p = 0.041). When we combined the
discovery and replication data, the association signal became more significant (p
= 5.69 ? 10(-7)). In the COPD subgroup analysis, the T allele of rs16878037 was
significantly more frequent in COPD patients without severe diffusion capacity
impairment (mild mixed and obstruction-dominant group) than in patients with
severe impairment (severe mixed and emphysema-dominant groups). This result
supports that PDE4D polymorphisms might be involved in the susceptibility to COPD
especially in non-emphysematous individuals and that they could also affect the
responsiveness of the PDE4 inhibitor treatment.
PMID- 24926856
TI - Genetic testing for the susceptibility to alcohol dependence: interest and
concerns in an African American population.
AB - BACKGROUND: The search to identify genes for the susceptibility to alcohol
dependence (AD) is generating interest for genetic risk assessment. The purpose
of this study is to examine the level of interest and concerns for genetic
testing for susceptibility to AD. METHODS: Three hundred four African American
adults were recruited through public advertisement. All participants were
administered the Genetic Psycho-Social Implication (GPSI) questionnaire, which
surveyed their interests in hypothetical genetic testing for AD, as well as their
perception of ethical and legal concerns. RESULTS: Over 85% of participants were
interested in susceptibility genetic testing; however, persons with higher
education (p=0.002) and income (p=0.008) were less willing to receive testing.
Perception of AD as a deadly disease (48.60%) and wanting to know for their
children (47.90%) were the strongest reasons for interest in testing. Among those
not interested in testing, the belief that they were currently acting to lower
their risk was the most prevalent. The most widely expressed concern in the
entire sample was the accuracy of testing (35.50%). Other notable concerns, such
as issues with the method of testing, side effects of venipuncture, falsely
reassuring results, and lack of guidelines on "what to do next" following test
results, were significantly associated with willingness to receive testing.
CONCLUSION: Although an overwhelming majority of participants expressed an
interest in genetic testing for AD, there is an understandable high level of
methodological and ethical concerns. Such information should form the basis of
policies to guide future genetic testing of AD.
PMID- 24926857
TI - Apoptotic death of prostate cancer cells by a gonadotropin-releasing hormone-II
antagonist.
AB - Gonadotropin-releasing hormone-I (GnRH-I) has attracted strong attention as a
hormonal therapeutic tool, particularly for androgen-dependent prostate cancer
patients. However, the androgen-independency of the cancer in advanced stages has
spurred researchers to look for new medical treatments. In previous reports, we
developed the GnRH-II antagonist Trp-1 to inhibit proliferation and stimulate the
autophagic death of various prostate cancer cells, including androgen-independent
cells. We further screened many GnRH-II antagonists to identify molecules with
higher efficiency. Here, we investigated the effect of SN09-2 on the growth of
PC3 prostate cancer cells. SN09-2 reduced the growth of prostate cancer cells but
had no effect on cells derived from other tissues. Compared with Trp-1, SN09-2
conspicuously inhibited prostate cancer cell growth, even at low concentrations.
SN09-2-induced PC3 cell growth inhibition was associated with decreased membrane
potential in mitochondria where the antagonist was accumulated, and increased
mitochondrial and cytosolic reactive oxygen species. SN09-2 induced lactate
dehydrogenase release into the media and annexin V-staining on the PC3 cell
surface, suggesting that the antagonist stimulated prostate cancer cell death by
activating apoptotic signaling pathways. Furthermore, cytochrome c release from
mitochondria to the cytosol and caspase-3 activation occurred in a concentration-
and time-dependent manner. SN09-2 also inhibited the growth of PC3 cells
xenotransplanted into nude mice. These results demonstrate that SN09-2 directly
induces mitochondrial dysfunction and the consequent ROS generation, leading to
not only growth inhibition but also apoptosis of prostate cancer cells.
PMID- 24926858
TI - An examination of the relationship between hotspots and recombination associated
with chromosome 21 nondisjunction.
AB - Trisomy 21, resulting in Down Syndrome (DS), is the most common autosomal trisomy
among live-born infants and is caused mainly by nondisjunction of chromosome 21
within oocytes. Risk factors for nondisjunction depend on the parental origin and
type of meiotic error. For errors in the oocyte, increased maternal age and
altered patterns of recombination are highly associated with nondisjunction.
Studies of normal meiotic events in humans have shown that recombination clusters
in regions referred to as hotspots. In addition, GC content, CpG fraction,
Poly(A)/Poly(T) fraction and gene density have been found to be significant
predictors of the placement of sex-averaged recombination in the human genome.
These observations led us to ask whether the altered patterns of recombination
associated with maternal nondisjunction of chromosome 21 could be explained by
differences in the relationship between recombination placement and recombination
related genomic features (i.e., GC content, CpG fraction, Poly(A)/Poly(T)
fraction or gene density) on 21q or differential hot-spot usage along the
nondisjoined chromosome 21. We found several significant associations between our
genomic features of interest and recombination, interestingly, these results were
not consistent among recombination types (single and double proximal or distal
events). We also found statistically significant relationships between the
frequency of hotspots and the distribution of recombination along nondisjoined
chromosomes. Collectively, these findings suggest that factors that affect the
accessibility of a specific chromosome region to recombination may be altered in
at least a proportion of oocytes with MI and MII errors.
PMID- 24926859
TI - Illustrating consistency of different experimental approaches to probe the buried
polymer/metal interface using sum frequency generation vibrational spectroscopy.
AB - In this paper, we demonstrate our ability to directly probe the molecular
structures of the buried polymer/metal interface using sum frequency generation
(SFG) vibrational spectroscopy. Spectroscopic data from different experimental
approaches were compared and analyzed to deduce the molecular ordering
information at a buried polymer/metal interface, i.e. the poly(n-butyl
methylacrylate) (PBMA)/silver (Ag) interface. Solid spectroscopic evidence
suggested that we successfully detected the molecular vibrational signals
generated from the buried PBMA/Ag interface. It was found that the side butyl
methyl groups at the PBMA/Ag interface are polar-ordered and have different
orientational ordering from those at the PBMA surface in air. We believe that
this study will provide a useful experimental and analytical framework for the
SFG spectroscopy to probe the buried polymer/metal interfaces in the future.
PMID- 24926860
TI - Effects of sample treatments on genome recovery via single-cell genomics.
AB - Single-cell genomics is a powerful tool for accessing genetic information from
uncultivated microorganisms. Methods of handling samples before single-cell
genomic amplification may affect the quality of the genomes obtained. Using three
bacterial strains we show that, compared to cryopreservation, lower-quality
single-cell genomes are recovered when the sample is preserved in ethanol or if
the sample undergoes fluorescence in situ hybridization, while sample
preservation in paraformaldehyde renders it completely unsuitable for sequencing.
PMID- 24926861
TI - From community approaches to single-cell genomics: the discovery of ubiquitous
hyperhalophilic Bacteroidetes generalists.
AB - The microbiota of multi-pond solar salterns around the world has been analyzed
using a variety of culture-dependent and molecular techniques. However, studies
addressing the dynamic nature of these systems are very scarce. Here we have
characterized the temporal variation during 1 year of the microbiota of five
ponds with increasing salinity (from 18% to >40%), by means of CARD-FISH and
DGGE. Microbial community structure was statistically correlated with several
environmental parameters, including ionic composition and meteorological factors,
indicating that the microbial community was dynamic as specific phylotypes
appeared only at certain times of the year. In addition to total salinity,
microbial composition was strongly influenced by temperature and specific ionic
composition. Remarkably, DGGE analyses unveiled the presence of most phylotypes
previously detected in hypersaline systems using metagenomics and other molecular
techniques, such as the very abundant Haloquadratum and Salinibacter
representatives or the recently described low GC Actinobacteria and
Nanohaloarchaeota. In addition, an uncultured group of Bacteroidetes was present
along the whole range of salinity. Database searches indicated a previously
unrecognized widespread distribution of this phylotype. Single-cell genome
analysis of five members of this group suggested a set of metabolic
characteristics that could provide competitive advantages in hypersaline
environments, such as polymer degradation capabilities, the presence of retinal
binding light-activated proton pumps and arsenate reduction potential. In
addition, the fairly high metagenomic fragment recruitment obtained for these
single cells in both the intermediate and hypersaline ponds further confirm the
DGGE data and point to the generalist lifestyle of this new Bacteroidetes group.
PMID- 24926863
TI - Highly efficient exciplex formation via radical ion pair recombination in X
irradiated alkane solutions for luminophores with short fluorescence lifetimes.
AB - X-irradiation of alkane solutions of N,N-dimethylaniline with various organic
luminophores produces characteristic emission bands ascribed to the corresponding
exciplexes. In contrast to optical generation, which requires diffusion
controlled quenching of excited states, an additional channel of exciplex
formation via irreversible recombination of radical ion pairs is operative here,
which produces exciplexes in solution with high efficiency even for p-terphenyl
and diphenylacetylene having fluorescence decay times of 0.95 ns and 8 ps,
respectively. The exciplex emission band is sensitive to an external magnetic
field and exerts a very large observed magnetic field effect of up to 20%, the
maximum possible value under the conditions of the described experiment.
PMID- 24926862
TI - Biodiversity acts as insurance of productivity of bacterial communities under
abiotic perturbations.
AB - Anthropogenic disturbances are detrimental to the functioning and stability of
natural ecosystems. Critical ecosystem processes driven by microbial communities
are subjected to these disturbances. Here, we examine the stabilizing role of
bacterial diversity on community biomass in the presence of abiotic perturbations
such as addition of heavy metals, NaCl and warming. Bacterial communities with a
diversity gradient of 1-12 species were subjected to the different treatments,
and community biomass (OD600) was measured after 24 h. We found that initial
species richness and phylogenetic structure impact the biomass of communities.
Under abiotic perturbations, the presence of tolerant species in community
largely contributed in community biomass production. Bacterial diversity
stabilized the biomass across the treatments, and differential response of
bacterial species to different perturbations was the key reason behind these
effects. The results suggest that biodiversity is crucial for maintaining the
stability of ecosystem functioning and acts as ecological insurance under abiotic
perturbations. Biodiversity in natural ecosystems may also uphold the ecosystem
functioning under anthropogenic disturbance.
PMID- 24926865
TI - OCD: Towards DSM-V.
PMID- 24926864
TI - First molecular characterization of a Hepatozoon species (Apicomplexa:
Hepatozoidae) infecting birds and description of a new species infecting storm
petrels (Aves: Hydrobatidae).
AB - During a survey of blood parasites in a population of Leach's and black storm
petrels ( Oceanodroma leucorhoa and Oceanodroma melania) in Mexico, infection by
a Hepatozoon species in erythrocytes of several birds was noted. Here we describe
the species as Hepatozoon peircei sp. nov. Some species of Hepatozoon described
from birds have been identified as lankesterellids when DNA molecular analyses
were conducted. However, a sequence of 1,774 bp of the parasite found infecting
storm petrels in this study clearly show the parasite is a species of the genus
Hepatozoon. This is the first Hepatozoon species infecting birds to be
characterized at the molecular level and the first found infecting erythrocytes
and not leucocytes.
PMID- 24926866
TI - Anxiety and OC spectrum disorders over life cycle.
AB - The developmental trajectory of anxiety disorders over the life span is an area
of increasing interest. Obsessive-compulsive disorder (OCD), traditionally
considered an anxiety disorder, is a disabling and chronic condition that is
associated with considerable negative impact on numerous aspects of daily
functioning. Lifetime prevalence has been estimated at 1.6%. OCD, like other
anxiety disorders, is frequently associated with comorbid depression. Unlike
depression, anxiety disorders begin early in life, often early in the teenage
years. Thus, the total duration of symptoms may be nearly lifelong. Although a
variety of pharmacological and behavioral treatments have proven effective, many
patients fail to respond, and for some of those who do respond, response may be
incomplete. Patients with these disorders deserve early and rigorous treatment,
but the best way of achieving this goal is unclear. The Cape Town Consensus
Statement reflects the opinions of an international expert working group who
convened to review what is known about OCD and to suggest directions for future
research. In their view, phenomenonological, clinical, and neurobiological
features of OCD do not justify continued placement in the category of anxiety
disorders. It has been suggested that OCD might better be placed in a separate
category of obsessive-compulsive related disorders (OCRDs). Phenomenology,
demographics, epidemiology, course of illness, comorbidity, endophenotyping and
treatment response are factors that may influence the definition of the
boundaries and relationships between these disorders. Endophenotyping
characteristics include brain circuitry, neurocognitive factors, genetics, and
immune function. Incorporation of a dimensional approach to the existing
categorical approach to diagnosis may help to enrich the diagnostic specificity
of the approach to OC behaviors.
PMID- 24926867
TI - The Cape Town Consensus Statement on obsessive-compulsive disorder.
AB - The Cape Town Consensus Statement provides an up-to-date summary of the
literature and clinical recommendations for healthcare professionals with regard
to the currently available scientific data relating to the symptomatology,
diagnosis, neurobiology and treatment of obsessive-compulsive disorder (OCD).
This review outlines some of these issues covered by the Consensus Statement.
Current differing classifications of OCD do not take the same approach to
conceptualizing this condition. There is biological and clinical evidence to
suggest that OCD should be classified separately from anxiety disorders and the
next revision of current nosology may be more consistent with our understanding
of the psychobiology of OCD. Indeed, the psychobiological basis of some OCD
subtypes such as early-onset OCD, and OCD spectrum disorders such as Tourette's
syndrome, is increasingly understood. Serotonin selective reuptake inhibitors
(SSRIs) and cognitive behavioral therapy (CBT) are the treatments of choice for
children and adults with OCD and several OCD spectrum disorders, although gaps in
our knowledge regarding treatment remain.
PMID- 24926868
TI - The importance of serotonin and noradrenaline in anxiety.
AB - The therapeutics of obsessive-compulsive disorder (OCD) involves the serotonergic
system in the brain; the selective serotonin reuptake inhibitors (SSRIs) are the
only class of drugs to be consistently effective for this disorder. Preclinical
studies in the orbito-frontal cortex - a brain area known to be involved in
mediation of OCD symptoms - show that sustained administration of SSRI for 2
months leads to enhanced 5-HT release. Initially, raised 5-HT levels, resulting
from serotonin (5-HT) reuptake inhibition, over-activates the cell body 5-HT1A
autoreceptor, which has an inhibitory effect on the neuronal firing rate.
However, after long-term administration of SSRIs, these 5-HT1A autoreceptors
become desensitized to the raised extracellular 5-HT levels, and increase 5-HT
transmission. The recovery of neuronal firing rate is faster with escitalopram
(the active S-enantiomer of citalopram) than with citalopram, which may be due to
different mechanisms of action. The 5-HT system has reciprocal interactions with
the noradrenaline (NA) system. Although not a major mediator in the treatment of
OCD symptomatology, patients with anxiety disorders such as panic disorder have
increased NA reactivity and/or tone. Long-term SSRI administration reduces the
firing rate of NA neurones, unlike 5-HT neurones. Evidence indicates that accrued
5-HT levels have an inhibitory modulatory effect on NA transmission, thus
indicating the clinical relevance of SSRI treatment for anxiety disorders. The
different effectiveness of the SSRIs escitalopram and citalopram in enhancing
synaptic 5-HT levels may be due to the inhibitory action of the R-enantiomer in
racemic citalopram on S-enantiomer binding to the 5-HT transporter. This allows
escitalopram to produce higher extracellular 5-HT levels than can be achieved by
the equivalent S-enantiomer dose of citalopram. Escitalopram is therefore a
viable front-line treatment option for people with anxiety disorders, and
possibly for those who have failed to respond to conventional SSRI therapies.
PMID- 24926869
TI - New treatment options for OCD.
AB - Pharmacological management of obsessive-compulsive disorder (OCD) is achieved
using drugs that inhibit the synaptic uptake of serotonin, such as clomipramine,
a tricyclic antidepressant, and the selective serotonin reuptake inhibitors
(SSRIs). Recent studies suggest that the improved tolerability profile of the
SSRIs relative to clomipramine may offer a more suitable treatment choice.
Escitalopram, the therapeutically active S-enantiomer of citalopram, is the most
selective SSRI currently available. In a 24-week randomized, placebo-controlled,
double-blind study, escitalopram (20 mg) was associated with significantly lower
symptom scores at 12 weeks (P<0.01) and increased response rate (Yale-Brown
Obsessive-compulsive Scale [Y-BOCS]) (70.2%) compared with placebo (50.4%).
Escitalopram (10 mg) was more effective in reducing symptom scores than placebo
at 24 weeks (P=0.052). Escitalopram 20 mg was also associated with improved
remitter status (Y-BOCS total score <=10) compared with paroxetine (40 mg) or
placebo from week 12. In a relapse-prevention study, 10 and 20 mg escitalopram
showed a statistically significant superior effect relative to placebo on time to
relapse of OCD with a hazard ratio of 2.74. Escitalopram was well-tolerated by
patients with OCD. In conclusion, escitalopram provides significant symptom
relief and prevention of relapse during long-term use and deserves consideration
as a first-line agent in the long-term pharmacotherapy of OCD.
PMID- 24926870
TI - Evidence base on outpatient behavioral treatments for adolescent substance use:
updates and recommendations 2007-2013.
AB - This article updates the evidence base on outpatient behavioral treatments for
adolescent substance use (ASU) since publication of the previous review completed
for this journal by Waldron and Turner ( 2008 ). It first summarizes the Waldron
and Turner findings as well as those from more recent literature reviews and meta
analytic studies of ASU treatment. It then presents study design and methods
criteria used to select 19 comparative studies subjected to Journal of Clinical
Child & Adolescent Psychology level of support evaluation. These 19 studies are
grouped by study category (efficacy or effectiveness) and described for sample
characteristics, methodological quality, and substance use outcomes. Cumulative
level of support designations are then made for each identified treatment
approach: ecological family-based treatment, group cognitive-behavioral therapy,
and individual cognitive-behavioral therapy are deemed Well Established;
behavioral family-based treatment and motivational interviewing are deemed
Probably Efficacious; drug counseling is deemed Possibly Efficacious; and four
integrated treatment models combining more than one approach are deemed Well
Established or Probably Efficacious. The remainder of the article (a) articulates
fidelity, mediator, and moderator effects reported for evidence-based approaches
since 2008 and (b) recommends four enhancements to the prevailing business model
of ASU outpatient services to accelerate penetration of evidence-based approaches
into the underserved consumer base: pursue partnerships with influential
governmental systems, utilize web-based technology to extend reach and control
costs, adapt effective methods for linking services across sectors of care, and
promote uptake and sustainability by emphasizing return on investment.
PMID- 24926871
TI - Lymph fasciocutaneous lateral thoracic artery flap: anatomical study and clinical
use.
AB - BACKGROUND: The lateral thoracic flap was first studied in the mid-1970s but its
use has been limited because of pedicle anatomical variations. However, after the
development of lymph node transfer surgery, the axilla/upper lateral thorax
presented as a promising donor area. Through a detailed anatomical study, the
lateral thoracic flap was evaluated regarding its vascularization and
composition. Later, it was used for pedicle and free flap reconstructions.
METHODS: A total of 40 flaps were dissected in fresh cadavers and the
characteristics of the lateral thoracic pedicle and its relationship to the upper
lateral thoracic axillary lymph nodes (LTLN) were analyzed. We performed six
pedicle flap reconstructions around the shoulder area and a free lymph node
transfer for lower limb lymphedema. RESULTS: In the cadaveric dissections, the
lateral thoracic pedicle branched off the axillary vessels and was found to be a
primary level I axillary lymph node irrigator before reaching the skin. The
cutaneous portion of the artery was present in 87.5% of the dissections. Arterial
caliber was an average of 1.3 and venous, 2.6 mm. Five to seven lymph nodes were
isolated with each pedicle and a lymph fasciocutaneous flap could be designed. In
seven clinical cases, all of the flaps survived. Functioning lymph nodes were
visualized on lymphoscintigraphy after their transfer to the ankle. Donor area
had an inconspicuous evolution. CONCLUSION: Lateral thoracic flap is a feasible
flap with low donor area morbidity in a concealed region that can be harvested
with upper LTLN for transplantation.
PMID- 24926873
TI - Influence of vegetation restoration on topsoil organic carbon in a small
catchment of the loess hilly region, China.
AB - Understanding effects of land-use changes driven by the implementation of the
"Grain for Green" project and the corresponding changes in soil organic carbon
(SOC) storage is important in evaluating the environmental benefits of this
ecological restoration project. The goals of this study were to quantify the
current soil organic carbon density (SOCD) in different land-use types
[cultivated land, abandoned land (cessation of farming), woodland, wild grassland
and orchards] in a catchment of the loess hilly and gully region of China to
evaluate the benefits of SOC sequestration achieved by vegetation restoration in
the past 10 years as well as to discuss uncertain factors affecting future SOC
sequestration. Based on soil surveys (N = 83) and laboratory analyses, the
results show that the topsoil (0-20 cm) SOCD was 20.44 Mg/ha in this catchment.
Using the SOCD in cultivated lands (19.08 Mg/ha) as a reference, the SOCD in
woodlands and abandoned lands was significantly higher by 33.81% and 8.49%,
respectively, whereas in orchards, it was lower by 10.80%. The correlation
analysis showed that SOC and total nitrogen (TN) were strongly correlated (R2 =
0.98) and that the average C?N (SOC?TN) ratio was 9.69. With increasing years
since planting, the SOCD in woodlands showed a tendency to increase; however, no
obvious difference was observed in orchards. A high positive correlation was
found between SOCD and elevation (R2 = 0.395), but a low positive correlation was
found between slope and SOCD (R2 = 0.170, P = 0.127). In the past 10 years of
restoration, SOC storage did not increase significantly (2.74% or 3706.46 t) in
the catchment where the conversion of cultivated land to orchards was the primary
restoration pattern. However, the potential contribution of vegetation
restoration to SOC sequestration in the next several decades would be massive if
the woodland converted from the cropland is well managed and maintained.
PMID- 24926874
TI - Characteristics of mesenchymal stem cells originating from the bilateral inferior
turbinate in humans with nasal septal deviation.
AB - BACKGROUND AND OBJECTIVES: Nasal septal deviation (NSD) is often associated with
overgrowth of the unilateral inferior turbinate. In vivo and in vitro studies
indicate that human mesenchymal stem cells (MSCs) are able to differentiate into
multiple cell types, including osteoblasts. We tested the hypothesis that
turbinate size affects human turbinate-derived MSC (hTMSCs) quantity,
proliferation, and differentiation into osteogenic lineages, and that
hypertrophic turbinates may predispose to NSD on the contralateral side. SUBJECTS
AND METHODS: The hypertrophic and contralateral inferior turbinate tissues used
in our study were obtained and cultured from the tissue discarded from 10
patients who underwent septoplasty and partial turbinectomy. After isolating the
hTMSCs from both turbinates, the cells were enumerated using an automated cell
counter. The expression of surface markers for MSCs over four passages was
assessed by fluorescent-activated cell sorting analysis (FACS), and cell
proliferation was assessed using a cell counting kit (CCK)-8 according to
turbinate size. In addition, osteogenic differentiation of hTMSCs was identified
using alkaline phosphatase (ALP) and alizarin red S staining, after which
osteoblastic gene expression was evaluated. RESULTS: There was no significant
difference in the number of hTMSCs. FACS analysis revealed that the hTMSCs were
negative for CD14, CD19, CD34, and HLA-DR, and positive for CD29, CD73, and CD90,
representing a characteristic MSC phenotype, with no significant difference
between the two groups. The cellular proliferation and osteogenic differentiation
potential of the hTMSCs were also not significantly different between the two
groups. CONCLUSIONS: We conclude that turbinate size does not affect the
characterization, proliferation, and osteogenic differentiation potential of
hTMSCs in vitro test, and therefore should not affect the clinical decision of
whether to use autologous or allogenic hTMSCs. However, more experiments are
required to definitively state the relationship of hTMSCs with turbinate size or
the process NSD in humans.
PMID- 24926875
TI - Bovine collagen peptides compounds promote the proliferation and differentiation
of MC3T3-E1 pre-osteoblasts.
AB - OBJECTIVE: Collagen peptides (CP) compounds, as bone health supplements, are
known to play a role in the treatment of osteoporosis. However, the molecular
mechanisms of this process remain unclear. This study aimed to investigate the
effects of bovine CP compounds on the proliferation and differentiation of MC3T3
E1 cells. METHODS: Mouse pre-osteoblast cell line MC3T3-E1 subclone 4 cells were
treated with bovine CP compounds. Cell proliferation was analyzed by MTT assays
and the cell cycle was evaluated by flow cytometry scanning. Furthermore, MC3T3
E1 cell differentiation was analyzed at the RNA level by real-time PCR and at the
protein level by western blot analysis for runt-related transcription factor 2
(Runx2), a colorimetric p-nitrophenyl phosphate assay for alkaline phosphatase
(ALP), and ELISA for osteocalcin (OC). Finally, alizarin red staining for
mineralization was measured using Image Software Pro Plus 6.0. RESULTS: Cell
proliferation was very efficient after treatment with different concentrations of
bovine CP compounds, and the best concentration was 3 mg/mL. Bovine CP compounds
significantly increased the percentage of MC3T3-E1 cells in G2/S phase. Runx2
expression, ALP activity, and OC production were significantly increased after
treatment with bovine CP compounds for 7 or 14 days. Quantitative analyses with
alizarin red staining showed significantly increased mineralization of MC3T3-E1
cells after treatment with bovine CP compounds for 14 or 21 days. CONCLUSIONS:
Bovine CP compounds increased osteoblast proliferation, and played positive roles
in osteoblast differentiation and mineralized bone matrix formation. Taking all
the experiments together, our study indicates a molecular mechanism for the
potential treatment of osteoarthritis and osteoporosis.
PMID- 24926876
TI - Organizational factors and long-term mortality after hip fracture surgery. A
cohort study of 6143 consecutive patients undergoing hip fracture surgery.
AB - OBJECTIVE: In hospital and health care organizational factors may be changed to
reduce postoperative mortality. The aim of this study is to evaluate a possible
association between mortality and 'length of hospital stay', 'priority of
surgery', 'time of surgery', or 'surgical delay' in hip fracture surgery. DESIGN:
Observational cohort study. SETTING: Prospectively and consecutively reported
data from the Danish Anaesthesia Database were linked to The Danish National
Registry of Patients and The Civil Registration System. Records on vital status,
admittance, discharges, codes of diagnosis, anaesthetic and surgical procedures
were retrieved. PARTICIPANTS: 6143 patients aged more than 65 years undergoing
hip fracture surgery. MAIN OUTCOME MEASURES: All-cause mortality. RESULTS: The
one year mortality was 30% (28-31%, 95% Confidence interval (CI)). In a
multivariate model 'length of hospital stay' less than 10 days and more than 20
days are associated with mortality with hazard ratios of 1.34 (1.20-1.53 CI,
p<0.001) and 1.27 (1.06-1.51 CI, p<0.001), respectively. 'Priority of surgery'
categorized as 'non-scheduled' is associated with mortality with a hazard ratio
of 1.31 (1.13-1.50 CI, p<0.001). Surgical delay and time of surgery are not
significantly associated with mortality. CONCLUSION: Non-scheduled surgery and
length of hospital stay were associated with increased mortality. Confounding by
indication may bias observational studies evaluating early and late discharge as
well as priority; therefore cluster randomized clinical trials comparing
different clinical set ups may be warranted evaluating health care organizational
factors.
PMID- 24926877
TI - The effect of silver nanoparticles on seasonal change in arctic tundra bacterial
and fungal assemblages.
AB - The impact of silver nanoparticles (NPs) and microparticles (MPs) on bacterial
and fungal assemblages was studied in soils collected from a low arctic site. Two
different concentrations (0.066% and 6.6%) of Ag NPs and Ag MPs were tested in
microcosms that were exposed to temperatures mimicking a winter to summer
transition. Toxicity was monitored by differential respiration, phospholipid
fatty acid analysis, polymerase chain reaction-denaturing gradient gel
electrophoresis and DNA sequencing. Notwithstanding the effect of Ag MPs,
nanosilver had an obvious, additional impact on the microbial community,
underscoring the importance of particle size in toxicity. This impact was
evidenced by levels of differential respiration in 0.066% Ag NP-treated soil that
were only half that of control soils, a decrease in signature bacterial fatty
acids, and changes in both richness and evenness in bacterial and fungal DNA
sequence assemblages. Prominent after Ag NP-treatment were Hypocreales fungi,
which increased to 70%, from only 1% of fungal sequences under control
conditions. Genera within this Order known for their antioxidant properties
(Cordyceps/Isaria) dominated the fungal assemblage after NP addition. In
contrast, sequences attributed to the nitrogen-fixing Rhizobiales bacteria
appeared vulnerable to Ag NP-mediated toxicity. This combination of
physiological, biochemical and molecular studies clearly demonstrate that Ag NPs
can severely disrupt the natural seasonal progression of tundra assemblages.
PMID- 24926878
TI - Nucleosomal histone proteins of L. donovani: a combination of recombinant H2A,
H2B, H3 and H4 proteins were highly immunogenic and offered optimum prophylactic
efficacy against Leishmania challenge in hamsters.
AB - The present study includes cloning and expression of recombinant Leishmania
donovani histone proteins (rLdH2B, rLdH3, rLdH2A and rLdH4), assessment of their
immunogenicity in Leishmania infected cured patients/endemic contacts as well as
in cured hamsters and finally evaluation of their prophylactic efficacy in
hamsters against L. donovani challenge. All recombinant proteins were expressed
and purified from the heterologous bacterial host system. Leishmania infected
cured patients/endemic contacts as well as cured hamsters exhibited significantly
higher proliferative responses to individual recombinant histones and their
pooled combination (rLdH2B+rLdH3+rLdH2A+rLdH4) than those of L.donovani infected
hosts. The L.donovani soluble antigens (SLD) stimulated PBMCs of cured/exposed
and Leishmania patients to produce a mixed Thl/Th2-type cytokine profile, whereas
rLdH2B, rLdH3, rLdH2A, rLdH4 and pooled combination (rLdH2-4) stimulated the
production of Th1 cytokines IFN-gamma, IL-12 and TNF-alpha but not Th2 cytokines
IL-4 or IL-10. The immunogenicity of these histone proteins along with their
combination was also checked in cured hamsters where they stimulated higher
lymphoproliferation and Nitric oxide production in lymphocytes of cured hamsters
than that of infected controls. Moreover, significantly increased IgG2 response,
an indicative of cell mediated immunity, was observed in cured hamsters against
these individual proteins and their combination as compared to infected hamsters.
Further, it was demonstrated that rLdH2B, rLdH3, rLdH2A and rLdH4 and pooled
combination were able to provide considerable protection for hamsters against L.
donovani challenge. The efficacy was supported by the increased inducible Nitric
Oxide Synthase (iNOS) mRNA transcripts and Th1-type cytokines--IFN-gamma, IL-12
and TNF-alpha and down-regulation of IL-4, IL-10 and TGF-beta. Hence, it is
inferred that pooled rLdH2-4 elicits Thl-type of immune responses exclusively and
confer considerable protection against experimental Visceral Leishmaniasis.
PMID- 24926879
TI - Self-sterility in Camellia oleifera may be due to the prezygotic late-acting self
incompatibility.
AB - In this report, self-sterility in Camellia oleifera was explored by comparing
structural and statistical characteristics following self-pollination (SP) and
cross-pollination (CP). Although slightly delayed pollen germination and pollen
tube growth in selfed ovaries compared to crossed ovaries was observed, there was
no significant difference in the percentages of pollen that germinated and pollen
tubes that grew to the base of the style. There was also no difference in
morphological structure after the two pollination treatments. However, the
proportions of ovule penetration and double fertilization in selfed ovules were
significantly lower than in crossed ovules, indicating that a prezygotic late
acting self-incompatible mechanism may exist in C. oleifera. Callose deposition
was observed in selfed abortive ovules, but not in normal. Ovules did not show
differences in anatomic structure during embryonic development, whereas
significant differences were observed in the final fruit and seed set. In
addition, aborted ovules in selfed ovaries occurred within 35 days after SP and
prior to zygote division. However, this process did not occur continuously
throughout the life cycle, and no zygotes were observed in the selfed abortive
ovules. These results indicated that the self-sterility in C. oleifera may be
caused by prezygotic late-acting self-incompatibility (LSI).
PMID- 24926880
TI - Characterization of side population cells isolated from the colon cancer cell
line SW480.
AB - Side population (SP) cells may play a crucial role in tumorigenesis and the
recurrence of cancer. Many types of cell lines and tissues have demonstrated the
presence of SP cells, including colon cancer cell lines. This study aimed to
identify cancer stem cells (CSCs) in the SP of the colon cancer cell line SW480.
SP cells were isolated by fluorescence-activated cell sorting (FACS), followed by
serum-free medium (SFM) culture. The self-renewal, differentiated progeny, clone
formation, proliferation, invasion ability, cell cycle, chemosensitivity and
tumorigenic properties in SP and non-SP (NSP) cells were investigated through in
vitro culture and in vivo serial transplantation. The expression profiles of ATP
binding cassette (ABC) protein transporters and stem cell-related genes were
examined by RT-PCR and western blot analysis. The human colon cancer cell lines
SW480, Lovo and HCT116 contain 1.1 +/- 0.10, 0.93 +/- 0.11 and 1.33 +/- 0.05% SP
cells, respectively. Flow cytometry analysis revealed that SP cells could
differentiate into SP and NSP cells. SP cells had a higher proliferation potency
and CFE than NSP cells. Compared to NSP cells, SP cells were also more resistant
to CDDP and 5-FU, and were more invasive and displayed increased tumorigenic
ability. Moreover, SP cells showed higher mRNA and protein expression of ABCG2,
MDR1, OCT-4, NANOG, SOX-2, CD44 and CD133. SP cells isolated from human colon
cancer cell lines harbor CSC properties that may be related to the invasive
potential and therapeutic resistance of colon cancer.
PMID- 24926882
TI - Artificial solid electrolyte interphase to address the electrochemical
degradation of silicon electrodes.
AB - Electrochemical degradation on silicon (Si) anodes prevents them from being
successfully used in lithium (Li)-ion battery full cells. Unlike the case of
graphite anodes, the natural solid electrolyte interphase (SEI) films generated
from carbonate electrolytes do not self-passivate on Si, causing continuous
electrolyte decomposition and loss of Li ions. In this work, we aim at solving
the issue of electrochemical degradation by fabricating artificial SEI films
using a solid electrolyte material, lithium phosphorus oxynitride (Lipon), which
conducts Li ions and blocks electrons. For Si anodes coated with Lipon of 50 nm
or thicker, a significant effect is observed in suppressing electrolyte
decomposition, while Lipon of thinner than 40 nm has a limited effect. Ionic and
electronic conductivity measurements reveal that the artificial SEI is effective
when it is a pure ionic conductor, but electrolyte decomposition is only
partially suppressed when the artificial SEI is a mixed electronic-ionic
conductor. The critical thickness for this transition in conducting behavior is
found to be 40-50 nm. This work provides guidance for designing artificial SEI
films for high-capacity Li-ion battery electrodes using solid electrolyte
materials.
PMID- 24926881
TI - Vitamin D deficiency causes defective resistance to Aspergillus fumigatus in mice
via aggravated and sustained inflammation.
AB - BACKGROUND: Vitamin D plays an important role in pulmonary resistance and
immunity, and its deficiency has been linked to various respiratory infections.
Little is known about the effect of vitamin D deficiency on host pulmonary
defense to Aspergillus fumigatus (A. fumigatus). METHODS: Mice raised on vitamin
D sufficient or deficient diets were infected intratracheally with A. fumigatus
conidia. Mortality, fungal growth, weight loss and lung histology were monitored.
Alveolar macrophages (AMs) were stimulated with A. fumigatus conidia in vitro.
The kinetics of pro-inflammatory cytokines (TNF-alpha, IL-1beta and IL-6),
chemokines (CXCL1, CCL3), and pattern recognition receptors (Toll-like receptor
[TLR] 2, TLR 4 and dectin-1) expression in the lungs and AMs were measured.
RESULTS: Upon A. fumigatus infection, vitamin D deficient mice showed higher
mortality, greater fungal load, and more weight loss than its sufficient
counterparts. Vitamin D deficient mice demonstrated aggravated and prolonged
histological evidence of lung inflammation as well as enhanced BAL cell counts,
dominated by neutrophils after A. fumigatus inoculation. Increased basal levels
of pro-inflammatory cytokines in the lungs and AMs from naive vitamin D deficient
mice were observed. Upon A. fumigatus exposure, vitamin D deficiency led to
enhanced and sustained expression of TNF-alpha, IL-1beta, IL-6, CXCL1 and CCL3
both in vivo and in vitro. Up-regulation of TLR2, TLR4 and dectin-1was observed
in the lungs and AMs from vitamin D deficient mice both at baseline and after A.
fumigatus exposure. CONCLUSIONS: Vitamin D deficiency causes defective pulmonary
resistance to A. fumigatus in mice, possibly by the enhanced basal expression of
pattern recognition receptors and pro-inflammatory cytokines, which induced
excessive inflammatory response in response to A. fumigatus challenge.
PMID- 24926883
TI - Serum progesterone elevation adversely affects cumulative live birth rate in
different ovarian responders during in vitro fertilization and embryo transfer: a
large retrospective study.
AB - In order to explore the relationship between serum progesterone (P) level on the
day of human chorionic gonadotrophin (HCG) administration and cumulative live
birth rate in patients with different ovarian response during in vitro
fertilization (IVF), we carried out this retrospective cohort study including a
total of 4,651 patients undergoing their first IVF cycles from January 2011 to
December 2012. All patients with a final live birth outcome (4,332 patients) were
divided into three groups according to ovarian response: poor ovarian responder
(<=5 oocytes, 785 patients), intermediate ovarian responder (6-19 oocytes, 3065
patients) and high ovarian responder (>=20 oocytes, 482 patients). The thresholds
for serum P elevation were 1.60 ng/ml, 2.24 ng/ml, and 2.50 ng/ml for poor,
intermediate, and high ovarian responders, respectively. Cumulative live birth
rate per oocyte retrieval cycle was calculated in each group. The relationship
between serum P level and cumulative live birth rate was evaluated by both
univariate and multivariate logistic regression analysis. Cumulative live birth
rate per oocyte retrieval cycle was inversely associated with serum P level in
patients with different ovarian response. For all responders, patients with
elevated P level had significantly higher number of oocytes retrieved, but lower
high quality embryo rate, and lower cumulative live birth rate compared with
patients with normal serum P level. In addition, serum P level adversely affected
cumulative live birth rate by both univariate and multivariate logistic
regression analysis, independent of ovarian response. Serum P elevation on the
day of HCG administration adversely affects cumulative live birth rate per oocyte
retrieval cycle in patients with different ovarian response.
PMID- 24926884
TI - Nanowire waveguides launching single photons in a Gaussian mode for ideal fiber
coupling.
AB - Quantum communication as well as integrated photonic circuits require single
photons propagating in a well-defined Gaussian mode. However, tailoring the
emission mode to a Gaussian remains an unsolved challenge for solid-state quantum
emitters due to their random positioning in the host material or photonic
structure. Here, we overcome these limitations by embedding a semiconductor
quantum dot in a tapered nanowire waveguide. Owing to the deterministic
positioning of the emitter in the waveguide, we demonstrate a Gaussian emission
profile in the far field. Hence, we further couple the emission into a single
mode optical fiber with a record efficiency of 93%, thereby addressing a major
hurdle for practical implementation of single photon sources in emerging photonic
technologies.
PMID- 24926885
TI - Resistance of oral squamous cell carcinoma cells to cetuximab is associated with
EGFR insensitivity and enhanced stem cell-like potency.
AB - Cetuximab, a specific anti-epidermal growth factor receptor (EGFR) monoclonal
antibody, is used in cancer treatment. Although development of resistance to
cetuximab is well recognized, the underlying mechanisms remain unclear. In the
present study, we characterized cetuximab-resistant oral squamous cell carcinoma
(OSCC) cell lines. The human OSCC cell lines HSC3, HSC4 and SAS were used in the
present study. Effects of inhibitors including cetuximab on growth in cells were
assessed by MTT assays. Southern blotting and immunofluorescence analysis were
performed to examine protein expression and localization. Sphere formation was
used to characterize stem cell-like properties. Floating aggregation culture was
used for anchorage-independent growth. Cetuximab inhibited proliferation of HSC3
and HSC4 cells, but not SAS cells. Proliferation of all three cell lines was
inhibited by the EGFR/ErbB2/ErbB4 inhibitor II. The EGFR inhibitor AG1478
strongly inhibited HSC3 and HSC4 proliferation, but that of SAS cells only
moderately. EGFR proteins were localized on cell surface and phosphorylated in
all three cell lines. SAS cells could proliferate in serum-free monolayer culture
and formed spheres from single cells in floating culture. HSC3 and HSC4 could not
proliferate under serum-free culture conditions and could not form spheres.
Growth of SAS spheres required serum, and was inhibited by both AG1478 and
cetuximab. Thus, cetuximab-resistant SAS cells not only engaged in EGFR
independent growth but also exhibited stem cell-like properties. However, growth
was EGFR-dependent in aggregation culture, and the SAS cell aggregates became
cetuximab-sensitive. This suggests that cetuximab sensitivity is not only cell
type-dependent but is also affected by the growth microenvironment.
PMID- 24926887
TI - Stereoselective alpha-fluorination of N-acyloxazolidinones at room temperature
within 1 h.
AB - A direct alpha-fluorination of N-acyloxazolidinones based on the unique
reactivity of group IVa metal enolates has been developed. The reaction is an
experimentally simple, low-cost, quick, and energy-efficient alternative for
asymmetric alpha-fluorination of N-acyloxazolidinones. Preliminary studies have
shown compatibility with alkyl, alkenyl, and alkynyl, aromatic, and several
heteroaromatic substituents. High diastereoselectivities have been achieved with
most substrates tested, and the reaction is typically complete within 1 h at
ambient temperature.
PMID- 24926886
TI - Offroad re-entry catheter system for subintimal recanalization of chronic total
occlusions in femoropopliteal arteries: primary safety and effectiveness results
of the re-route trial.
AB - AIM: Aim of the study was to provide additional clinical data regarding the
safety and technical success of the OffRoad Re-Entry Catheter System (Boston
Scientific, Natick, MA, USA) for subintimal recanalization of chronic total
occlusions (CTO) in the femoropopliteal arteries. METHODS: A total of 92 patients
(mean age 70.3+/-10.6 years; 70% male) were enrolled in this prospective, single
arm, post-market study conducted at 12 European centers. Patients were required
to have claudication or critical limb ischemia and a CTO lesion in a native
femoropopliteal artery. The primary safety endpoint was the composite rate of
device-related major adverse events (MAEs; i.e., death, perforation requiring
intervention, clinically significant peripheral embolism, and major amputation of
the treated lower limb) at 30 days. Effectiveness was based on device technical
success, defined as placement of a guidewire in the true lumen distal to a CTO.
RESULTS: Ninety patients were evaluable for the primary safety endpoint. The 30
day device-related MAE rate was 3.3% (3/90), with an upper confidence bound of
6.5%, which was lower than the prespecified performance goal of 15%. All 3 events
were clinically significant peripheral emboli. Technical success was 84.8%
(78/92) with a lower confidence bound of 78.6%, which exceeded the prespecified
performance goal of 76%. A decrease of >=1 Rutherford category was observed in
75% (65/87) of patients at the 30 day visit. CONCLUSION: The Re-ROUTE trial
results demonstrate acceptable performance of the OffRoad system in terms of
safety and technical success for the recanalization of femoropopliteal CTOs.
PMID- 24926888
TI - Structure and dynamics of the HIV-1 frameshift element RNA.
AB - The HIV-1 ribosomal frameshift element is highly structured, regulates
translation of all virally encoded enzymes, and is a promising therapeutic
target. The prior model for this motif contains two helices separated by a three
nucleotide bulge. Modifications to this model were suggested by SHAPE chemical
probing of an entire HIV-1 RNA genome. Novel features of the SHAPE-directed model
include alternate helical conformations and a larger, more complex structure.
These structural elements also support the presence of a secondary frameshift
site within the frameshift domain. Here, we use oligonucleotide-directed
structure perturbation, probing in the presence of formamide, and in-virion
experiments to examine these models. Our data support a model in which the
frameshift domain is anchored by a stable helix outside the conventional domain.
Less stable helices within the domain can switch from the SHAPE-predicted to the
two-helix conformation. Translational frameshifting assays with frameshift domain
mutants support a functional role for the interactions predicted by and specific
to the SHAPE-directed model. These results reveal that the HIV-1 frameshift
domain is a complex, dynamic structure and underscore the importance of analyzing
folding in the context of full-length RNAs.
PMID- 24926889
TI - Silver-catalyzed highly regioselective formal hydroboration of alkynes.
AB - A silver(I)-N-heterocyclic carbene complex has proven to be a potent catalyst for
formal hydroboration of alkynes, providing a variety of borylalkenes in regio-
and stereoselective manners. Under the silver catalysis, allenes also undergo
regioselective hydroboration to give borylalkenes.
PMID- 24926890
TI - Biophysical, mutational, and functional investigation of the chromophore-binding
pocket of light-oxygen-voltage photoreceptors.
AB - As light-regulated actuators, sensory photoreceptors underpin optogenetics and
numerous applications in synthetic biology. Protein engineering has been applied
to fine-tune the properties of photoreceptors and to generate novel actuators.
For the blue-light-sensitive light-oxygen-voltage (LOV) photoreceptors, mutations
near the flavin chromophore modulate response kinetics and the effective light
responsiveness. To probe for potential, inadvertent effects on receptor activity,
we introduced these mutations into the engineered LOV photoreceptor YF1 and
determined their impact on light regulation. While several mutations severely
impaired the dynamic range of the receptor (e.g., I39V, R63K, and N94A), residue
substitutions in a second group were benign with little effect on regulation
(e.g., V28T, N37C, and L82I). Electron paramagnetic resonance and absorption
spectroscopy identified correlated effects for certain of the latter mutations on
chromophore environment and response kinetics in YF1 and the LOV2 domain from
Avena sativa phototropin 1. Carefully chosen mutations provide a powerful means
to adjust the light-response function of photoreceptors as demanded for diverse
applications.
PMID- 24926891
TI - Polyketides from the cultured lichen mycobiont of a Vietnamese Pyrenula sp.
AB - A spore-derived mycobiont of a crustose Pyrenula sp. lichen collected in Vietnam
was cultivated on a malt-yeast extract medium supplemented with 10% sucrose.
Chemical investigation of the cultivated colonies led to the isolation of eight
new alkylated decalin-type polyketides (1-8) along with three known compounds.
The structures of these compounds were elucidated by spectroscopic and chemical
means. This is the first instance of this type of polyketide being isolated from
a cultured lichen mycobiont. The isolated polyketides 1 and 7 exhibited
inhibitory activities against mammalian DNA polymerases alpha and beta with IC50
values ranging from 8.1 to 19.5 MUM. Compound 1 showed cytotoxic effects against
the HCT116 human colon carcinoma cultured cell line with an IC50 value of 6.4 +/-
0.7 MUM.
PMID- 24926892
TI - Transcatheter pulmonary valve replacement by hybrid approach using a novel
polymeric prosthetic heart valve: proof of concept in sheep.
AB - BACKGROUND: Since 2000, transcatheter pulmonary valve replacement has steadily
advanced. However, the available prosthetic valves are restricted to
bioprosthesis which have defects like poor durability. Polymeric heart valve is
thought as a promising alternative to bioprosthesis. In this study, we introduced
a novel polymeric transcatheter pulmonary valve and evaluated its feasibility and
safety in sheep by a hybrid approach. METHODS: We designed a novel polymeric
trileaflet transcatheter pulmonary valve with a balloon-expandable stent, and the
valve leaflets were made of 0.1-mm expanded polytetrafluoroethylene (ePTFE)
coated with phosphorylcholine. We chose glutaraldehyde-treated bovine pericardium
valves as control. Pulmonary valve stents were implanted in situ by a hybrid
transapical approach in 10 healthy sheep (8 for polymeric valve and 2 for bovine
pericardium valve), weighing an average of 22.5+/-2.0 kg. Angiography and cardiac
catheter examination were performed after implantation to assess immediate
valvular functionality. After 4-week follow-up, angiography, echocardiography,
computed tomography, and cardiac catheter examination were used to assess early
valvular function. One randomly selected sheep with polymeric valve was
euthanized and the explanted valved stent was analyzed macroscopically and
microscopically. FINDINGS: Implantation was successful in 9 sheep. Angiography at
implantation showed all 9 prosthetic valves demonstrated orthotopic position and
normal functionality. All 9 sheep survived at 4-week follow-up. Four-week follow
up revealed no evidence of valve stent dislocation or deformation and normal
valvular and cardiac functionality. The cardiac catheter examination showed the
peak-peak transvalvular pressure gradient of the polymeric valves was 11.9+/-5.0
mmHg, while that of two bovine pericardium valves were 11 and 17 mmHg. Gross
morphology demonstrated good opening and closure characteristics. No thrombus or
calcification was seen macroscopically. CONCLUSIONS: This design of the novel
ePTFE transcatheter pulmonary valve is safe and effective to deploy in sheep by
hybrid approach, and the early valvular functionality is good.
PMID- 24926894
TI - Efficient optical trapping of CdTe quantum dots by femtosecond laser pulses.
AB - The development in optical trapping and manipulation has been showing rapid
progress, most of it is in the small particle sizes in nanometer scales,
substituting the conventional continuous-wave lasers with high-repetition-rate
ultrashort laser pulse train and nonlinear optical effects. Here, we evaluate two
photon absorption in optical trapping of 2.7 nm-sized CdTe quantum dots (QDs)
with high-repetition-rate femtosecond pulse train by probing laser intensity
dependence of both Rayleigh scattering image and the two-photon-induced
luminescence spectrum of the optically trapped QDs. The Rayleigh scattering
imaging indicates that the two-photon absorption (TPA) process enhances trapping
ability of the QDs. Similarly, a nonlinear increase of the two-photon-induced
luminescence with the incident laser intensity fairly indicates the existence of
the TPA process.
PMID- 24926893
TI - Reference genes for quantitative gene expression studies in multiple avian
species.
AB - Quantitative real-time PCR (qPCR) rapidly and reliably quantifies gene expression
levels across different experimental conditions. Selection of suitable reference
genes is essential for meaningful normalization and thus correct interpretation
of data. In recent years, an increasing number of avian species other than the
chicken has been investigated molecularly, highlighting the need for an
experimentally validated pan-avian primer set for reference genes. Here we report
testing a set for 14 candidate reference genes (18S, ABL, GAPDH, GUSB, HMBS,
HPRT, PGK1, RPL13, RPL19, RPS7, SDHA, TFRC, VIM, YWHAZ) on different tissues of
the mallard (Anas platyrhynchos), domestic chicken (Gallus gallus domesticus),
common crane (Grus grus), white-tailed eagle (Haliaeetus albicilla), domestic
turkey (Meleagris gallopavo f. domestica), cockatiel (Nymphicus hollandicus),
Humboldt penguin (Sphenicus humboldti), ostrich (Struthio camelus) and zebra
finch (Taeniopygia guttata), spanning a broad range of the phylogenetic tree of
birds. Primer pairs for six to 11 genes were successfully established for each of
the nine species. As a proof of principle, we analyzed expression levels of 10
candidate reference genes as well as FOXP2 and the immediate early genes, EGR1
and CFOS, known to be rapidly induced by singing in the avian basal ganglia. We
extracted RNA from microbiopsies of the striatal song nucleus Area X of adult
male zebra finches after they had sang or remained silent. Using three different
statistical algorithms, we identified five genes (18S, PGK1, RPS7, TFRC, YWHAZ)
that were stably expressed within each group and also between the singing and
silent conditions, establishing them as suitable reference genes. In conclusion,
the newly developed pan-avian primer set allows accurate normalization and
quantification of gene expression levels in multiple avian species.
PMID- 24926896
TI - Mindfulness, self-compassion, and empathy among health care professionals: a
review of the literature.
AB - The relationship between mindfulness and self-compassion is explored in the
health care literature, with a corollary emphasis on reducing stress in health
care workers and providing compassionate patient care. Health care professionals
are particularly vulnerable to stress overload and compassion fatigue due to an
emotionally exhausting environment. Compassion fatigue among caregivers in turn
has been associated with less effective delivery of care. Having compassion for
others entails self-compassion. In Kristin Neff's research, self-compassion
includes self-kindness, a sense of common humanity, and mindfulness. Both
mindfulness and self-compassion involve promoting an attitude of curiosity and
nonjudgment towards one's experiences. Research suggests that mindfulness
interventions, particularly those with an added lovingkindness component, have
the potential to increase self-compassion among health care workers. Enhancing
focus on developing self-compassion using MBSR and other mindfulness
interventions for health care workers holds promise for reducing perceived stress
and increasing effectiveness of clinical care.
PMID- 24926895
TI - A general pairwise interaction model provides an accurate description of in vivo
transcription factor binding sites.
AB - The identification of transcription factor binding sites (TFBSs) on genomic DNA
is of crucial importance for understanding and predicting regulatory elements in
gene networks. TFBS motifs are commonly described by Position Weight Matrices
(PWMs), in which each DNA base pair contributes independently to the
transcription factor (TF) binding. However, this description ignores correlations
between nucleotides at different positions, and is generally inaccurate:
analysing fly and mouse in vivo ChIPseq data, we show that in most cases the PWM
model fails to reproduce the observed statistics of TFBSs. To overcome this
issue, we introduce the pairwise interaction model (PIM), a generalization of the
PWM model. The model is based on the principle of maximum entropy and explicitly
describes pairwise correlations between nucleotides at different positions, while
being otherwise as unconstrained as possible. It is mathematically equivalent to
considering a TF-DNA binding energy that depends additively on each nucleotide
identity at all positions in the TFBS, like the PWM model, but also additively on
pairs of nucleotides. We find that the PIM significantly improves over the PWM
model, and even provides an optimal description of TFBS statistics within
statistical noise. The PIM generalizes previous approaches to interdependent
positions: it accounts for co-variation of two or more base pairs, and predicts
secondary motifs, while outperforming multiple-motif models consisting of
mixtures of PWMs. We analyse the structure of pairwise interactions between
nucleotides, and find that they are sparse and dominantly located between
consecutive base pairs in the flanking region of TFBS. Nonetheless, interactions
between pairs of non-consecutive nucleotides are found to play a significant role
in the obtained accurate description of TFBS statistics. The PIM is
computationally tractable, and provides a general framework that should be useful
for describing and predicting TFBSs beyond PWMs.
PMID- 24926897
TI - Overview of qualitative research.
AB - Qualitative research methods are a robust tool for chaplaincy research questions.
Similar to much of chaplaincy clinical care, qualitative research generally works
with written texts, often transcriptions of individual interviews or focus group
conversations and seeks to understand the meaning of experience in a study
sample. This article describes three common methodologies: ethnography, grounded
theory, and phenomenology. Issues to consider relating to the study sample,
design, and analysis are discussed. Enhancing the validity of the data, as well
reliability and ethical issues in qualitative research are described. Qualitative
research is an accessible way for chaplains to contribute new knowledge about the
sacred dimension of people's lived experience.
PMID- 24926899
TI - Theoretical studies on anatase and less common TiO2 phases: bulk, surfaces, and
nanomaterials.
PMID- 24926900
TI - Identification of photosynthesis inhibitors of pelagic marine algae using 96-well
plate microfractionation for enhanced throughput in effect-directed analysis.
AB - Because of large-scale production and use of an increasing diversity of chemicals
in modern society, estuarine and coastal waters may be contaminated with numerous
substances. Some of these compounds have the potential to affect microalgae at
the base of the pelagic food chain. Therefore, we identified the main chemical
stressors that negatively affect the effective photosystem II efficiency
(phiPSII) in marine microalgae of the Dutch estuarine and coastal waters. An
enhanced effect-directed analysis (EDA) was carried out by combining reversed
phase ultra performance liquid chromatography fractionation of extracts from
passive samplers, followed by effect assessment using the pulse amplitude
modulation fluorometry assay and chemical analysis of biologically active
fractions using high-resolution mass spectrometry. This study focuses on a novel
microfractionation technique using 96-well plates to enhance throughput in EDA,
structure elucidation, and the analytical and effect confirmation of the
compounds that are identified. Although there are numerous unknown compounds
present in estuarine and coastal waters, our EDA study shows that atrazine,
diuron, irgarol, isoproturon, terbutryn, and terbutylazine are the main
contributors to the observed effect on the phiPSII of marine microalgae.
PMID- 24926901
TI - Response rate and factors affecting the outcome of a fixed dose of RAI-131
therapy in Graves' disease: a 10-year Egyptian experience.
AB - The aim of this study was to evaluate response and compare the success rate of
two different doses of iodine-131 ((131)I) therapy in the treatment of Graves'
disease and investigate the factors that may affect outcome. A retrospective
analysis was carried out on 321 patients treated with (131)I for Graves' disease.
Group 1 (155 patients) received 8 mCi and group 2 (166 patients) received 12 mCi.
The therapy was considered successful if euthyroidism or hypothyroidism was
achieved within 1 year of therapy. The outcome was compared with multiple
parameters. A significant difference in the outcome between the two groups was
found in favor of the second group (P<0.001). Logistic regression analysis showed
that lower dose, technetium-99m pertechnetate thyroid uptake greater than 20.9%,
and moderate and marked goiter were independent variables associated
significantly with a lower response rate (odds ratio 2.601, 4.023, and 3.309,
respectively), whereas previous surgical treatment was associated with a higher
response rate (odds ratio 3.071). No correlation was found between outcome and
age, presence of exophthalmos, previous treatment with methimazole, and its
duration. The response rate to the second dose was significantly increased
compared with the first one by 27.8%; there was no correlation among the above
mentioned factors and its outcome. The third dose controlled the disease in 81.3%
of the remaining patients and control was achieved in the rest after the fourth
dose. (131)I is a very effective therapy for Graves' disease, with a cure rate of
100% after four doses. Higher first dose activity is recommended in the presence
of poor prognostic factors. The second dose is not necessarily increased in the
nonresponders.
PMID- 24926902
TI - Optimization and application of TiO2/Ti-Pt photo fuel cell (PFC) to effectively
generate electricity and degrade organic pollutants simultaneously.
AB - A TiO2/Ti-Pt photo fuel cell (PFC) was established to generate electricity and
degrade organic pollutants simultaneously. The electricity generation was
optimized through investigation the influences of photoanode calcination
temperature and dissolve oxygen on the resistances existing in PFC. TiO2 light
quantum yield was also improved in PFC which resulted in a higher PC degradation
efficiency. Two kinds of real textile wastewaters were also employed in this PFC
system, 62.4% and 50.0% Coulombic efficiency were obtained for 8 h treatment.
These refractory wastewaters with high salinity may become good fuels in PFC
because a) TiO2 has no selectivity and can degrade nearly any organic substance,
b) no more electrolyte is needed due to the high salinity, c) the energy in
wastes can be recovered to generate electricity. The electricity generated by the
PFC was further applied on a TiO2/Ti rotating disk photoelectrocatalytic reactor.
A bias voltage between 0.6 and 0.75 V could be applied and the PC degradation
efficiency was significantly improved. This result was similar with that obtained
by a 0.7 V DC power.
PMID- 24926904
TI - A case of feline gastrointestinal eosinophilic sclerosing fibroplasia mimicking
metastatic neoplasia.
AB - CASE HISTORY: A 7-year-old cat developed sporadic vomiting, reduced appetite, and
weight loss over the previous 3 months. CLINICAL FINDINGS: Palpation revealed a
large mid-abdominal mass and the cat had marked eosinophilia. The cat
progressively lost weight over the next 7 weeks when euthanasia was performed.
PATHOLOGICAL FINDINGS: Necropsy revealed a 3 cm diameter firm white intramural
mass in the colon and another in the pylorus. Mesenteric and cranial mediastinal
lymph nodes were firm, pale, and enlarged. Histopathological examination revealed
foci of necrosis surrounded by thick dense collagen trabeculae and predominantly
eosinophilic inflammation within the intestine and lymph nodes. Marked
eosinophilic infiltration of the liver was also present. DIAGNOSIS: The lesions
were consistent with gastrointestinal eosinophilic sclerosing fibroplasia
(FGESF). CLINICAL RELEVANCE: This is the first report of FGESF in a New Zealand
cat and the first time lesions of FGESF have been observed in extra-abdominal
tissues. Intestinal neoplasia can be clinically identical to FGESF and
histopathology is required for differentiation. Evidence suggests that FGESF has
a more favourable prognosis than intestinal neoplasia.
PMID- 24926903
TI - The challenge of producing ubiquitinated proteins for structural studies.
AB - Protein ubiquitination is an important post-translational modification involved
in several essential signalling pathways. It has different effects on the target
protein substrate, i.e., it can trigger the degradation of the protein in the
proteasome, change the interactions of the modified protein with its partners, or
affect its localization and activity. In order to understand the molecular
mechanisms underlying the consequences of protein ubiquitination, scientists have
to face the challenging task of producing ubiquitinated proteins for structural
characterization with X-ray crystallography and/or nuclear magnetic resonance
(NMR) spectroscopy. These techniques require milligrams of homogeneous samples of
high purity. The strategies proposed so far for the production of ubiquitinated
proteins can be divided into two groups, i.e., chemical (or non-enzymatic) and
enzymatic methodologies. In this review, we summarize the still very sparse
examples available in the literature that describe successful production of
ubiquitinated proteins amenable for biochemical and structural studies, and
discuss advantages and disadvantages of the techniques proposed. We also give a
perspective of the direction in which the field might evolve.
PMID- 24926905
TI - The insight interview: a new tool for measuring deficits in awareness after
traumatic brain injury.
AB - OBJECTIVE: Awareness deficits are common after traumatic brain injury (TBI);
however, relatively little is known of awareness deficits in the early stages
post-injury. This study outlines the development of a new tool, 'The Insight
Interview', designed to measure awareness across different domains (awareness of
change, severity of deficits, current and future functional consequences) from 3
months post-TBI. METHODS: Forty-three patients with at least a severe TBI, their
nominated family member and treating clinician were all administered different
versions of the Insight Interview during the patient's inpatient stay. Awareness
was measured; (1) by calculating a discrepancy score between the patient's and
their family informant's and/or clinician's ratings of the patient's abilities
and (2) using interviewer-based ratings of the patients' responses to interview
questions. RESULTS: Awareness differed depending upon the domain being assessed,
with patients demonstrating greatest awareness in the current functional
consequences domain. Evidence for a distinction between awareness of current and
future functional consequences of impairments was also seen. Discrepancy scores
and interviewer-based ratings showed good concordance. Adequate reliability and
validity was demonstrated. CONCLUSION: The Insight Interview is a useful and
valid tool for measuring awareness in the acute stages following TBI and
highlights that awareness depends on domain assessed.
PMID- 24926906
TI - Fantasy sports, real money: exploration of the relationship between fantasy
sports participation and gambling-related problems.
AB - Participation in fantasy sports increases annually. Wagering on fantasy sports is
a form of gambling and researchers have found that fantasy sports participants
are more likely to engage in other forms of sports betting than non-fantasy
players; however, no published studies have examined whether there is a
relationship between fantasy sports participation and gambling-related problems.
Our study examined whether fantasy sports participation is associated with
gambling-related problems among college students. We assessed fantasy sports
participation and endorsement of DSM-5 gambling disorder (GD) criteria among a
large convenience sample (N=1556) of college students via an online health
survey. We found that 11.5% of respondents participated in fantasy sports in the
past year, the majority of which were males. Logistic regression analyses
indicated that males who play fantasy sports for money and females who play
fantasy sports (for money or not) were more likely to experience gambling-related
problems.
PMID- 24926907
TI - Risk perception and intention to quit among a tri-ethnic sample of nondaily,
light daily, and moderate/heavy daily smokers.
AB - INTRODUCTION: Although the relationship between risk perceptions and quit
intentions has been established, few studies explore the potential impact of
smoking level on these associations, and none have done so among diversely-aged
samples of multiple ethnicities. METHODS: Participants, ranging in age from 25 to
81, were 1133 nondaily smokers (smoked >=1 cigarette on 4 to 24days in the past
30days), 556 light daily smokers (<=10 cigarettes per day), and 585 moderate to
heavy daily smokers (>10 cigarettes per day). Each smoking level comprised
approximately equal numbers of African Americans, Latinos, and Whites. A logistic
regression analysis, adjusted for sociodemographics, self-rated health, time to
the first cigarette of the day and smoking level, was used to examine the
association between risk perception (perceived risk of acquiring lung cancer,
lung disease, and heart disease) and intention to quit (<=6months versus
>6months/never). A second adjusted model tested moderation by smoking level with
an interaction term. RESULTS: Greater risk perception was associated with a
higher odds of planning to quit within 6months (AOR=1.34, CI.95=1.24, 1.45).
Smoking level did not moderate this association (p=.85). CONCLUSIONS: Results
suggest that educating all smokers, irrespective of their smoking level, about
increased risk of developing smoking-related diseases might be a helpful strategy
to enhance their intention to make a smoking quit attempt.
PMID- 24926908
TI - Pathways of family influence: alcohol use and disordered eating in daughters.
AB - Models of addiction etiology and treatment emphasize the influence of family-of
origin experiences. Using two addiction-related coping behaviors (ARCBs) common
among college women (i.e., problematic alcohol use, disordered eating), we
examined whether ARCBs in parents related to matching ARCBs in college women
offspring. We expected that matching parental ARCBs would relate more strongly to
the ARCBs in offspring than more distal/general family factors. A total of 197
college women completed measures of family dynamics, parental difficulties with
alcohol, family focus on appearance and weight, personal difficulties with
alcohol use, and disordered eating. A significant indirect effect for family
dysfunction on disordered eating and alcohol-related problems was found. That is,
family relationship difficulties predicted parents' ARCBs, which predicted
matching ARCBs in participants (e.g., parental alcohol problems predicted
participant alcohol problems). Matched parental ARCBs were better predictors of
participants' ARCBs than more general/distal family factors and non-matched
ARCBs. Specifically, path analysis and testing of beta weights supported
specificity of parental ARCBs for predicting matching offspring ARCBs.
Implications of study findings for tailoring prevention efforts are discussed.
PMID- 24926910
TI - Range of motion, postural alignment, and LESS score differences of those with and
without excessive medial knee displacement.
AB - OBJECTIVE: To determine range of motion (ROM), postural alignment, and dynamic
motion differences between those with and without medial knee displacement (MKD)
during the overhead squat (OHS). We hypothesized those with MKD would have
restricted ROM, differing postural alignment, and poorer quality dynamic motion
than those without MKD. DESIGN: Observational. SETTING: University Research
Laboratory. PARTICIPANTS: Ninety-seven healthy recreationally active college-aged
individuals. INDEPENDENT VARIABLES: Groups were determined by the presence (MKD
group) or absence (control group) of MKD during an OHS. MAIN OUTCOME MEASURES:
Range of motion measures were active and passive ankle dorsiflexion with the knee
straight and bent, hip internal and external rotation, and hip abduction.
Postural alignment measures were Q angle, navicular drop, and genu recurvatum.
Quality of dynamic motion was measured using total Landing Error Scoring System
(LESS) score. RESULTS: The MKD group had significantly less active (P = 0.017)
and passive (P = 0.045) ankle dorsiflexion with the knee straight, as well as
significantly increased Q angle (P = 0.004) and decreased navicular drop (P =
0.009). There were no significant differences in total LESS score or the other
outcome measures. CONCLUSIONS: There is select ROM, such as ankle dorsiflexion,
and postural measures clinicians can screen for that may be related to increased
MKD and theoretically elevated risk of injury.
PMID- 24926909
TI - Posttraumatic stress disorder, smoking, and cortisol in a community sample of
pregnant women.
AB - BACKGROUND: The prevalence of posttraumatic stress disorder (PTSD) in the United
States is higher among pregnant women than women generally. PTSD is related to
adverse birth outcomes via physiological and behavioral alterations, such as
smoking. METHODS: We utilize salivary cortisol measures to examine how traumatic
stress, smoking and the hypothalamic-pituitary-adrenal axis interact. Pregnant
women (n=395) gave cortisol specimens as part of a cohort study of PTSD and
pregnancy at three health systems in the Midwestern United States. Women were
divided into three groups: nonsmokers, quitters (who stopped smoking during
pregnancy), and pregnancy smokers. Mean cortisol values at three points,
sociodemographics, trauma history, and PTSD were compared across groups. We
assessed the association of smoking group and PTSD with late afternoon cortisol
levels. RESULTS: Smokers, quitters, and nonsmokers differed on demographic risk
factors and PTSD symptom load. Late afternoon and bedtime cortisol measures were
significantly positively correlated with smoking in pregnancy, with smokers with
PTSD presenting the highest cortisol levels. Regression analysis showed that
smoking in pregnancy was associated with higher late afternoon cortisol in an
additive manner with PTSD symptoms. CONCLUSIONS: Smoking appears to have a
different relationship with cortisol level for those with and without PTSD. This
is the first study to show additive effects of smoking and PTSD on cortisol
levels in pregnant women. Since high cortisol, smoking, and PTSD have been shown
to adversely affect perinatal outcomes, and since those continuing to smoke in
pregnancy had the highest PTSD symptom load, PTSD-specific smoking cessation
programs in maternity settings are warranted.
PMID- 24926911
TI - A closer look at overuse injuries in the pediatric athlete.
AB - OBJECTIVE: To examine male-female differences in pediatric overuse sports
injuries. STUDY DESIGN: Cross-sectional epidemiological study. SETTING: Tertiary
level sports medicine division in a large academic pediatric medical center.
PARTICIPANTS: Five percent probability sample of patients 5 to 17 years seen from
January 1, 2000 to December 31, 2009. About 3813 charts reviewed. Final study
cohort included 1614 patients. INTERVENTION: Nonlinear decomposition analysis of
male-female differences in overuse injuries. MAIN OUTCOME MEASURES: Age, body
mass index, history of previous injury, and activity type (contact/collision,
team vs individual, "high overuse"). RESULTS: Females sustained approximately
half of the total injuries. Fifty-two percent of the injuries were overuse, 61%
to the lower extremity. A high proportion of tennis players, swimmers, dancers,
track athletes, runners, gymnasts, and cheerleaders were seen for overuse
injuries. Females sustained more overuse injuries versus males (63% and 40%,
respectively). Males playing team sports have a 5.3 times higher likelihood of
being seen for an overuse injury compared with males not playing team sports (P <
0.01). High-overuse sport participation increased overuse injury odds by a factor
of 10 for males versus 3.6 for females (P <= 0.01 for males and females). Forty
six percent of the male-female difference in overuse injuries could be attributed
to sport/activity characteristics-contact/collision, team, and high overuse.
CONCLUSIONS: A large proportion of the sex discrepancy in overuse injuries in
this cohort was attributed to compositional differences in sports played. Future
research is needed to investigate the independent effect of sex on risk for
pediatric sports injuries. CLINICAL RELEVANCE: This study provides a unique
analysis of male-female differences in pediatric sports injuries and is the first
study to estimate the impact of the different characteristics (ie, collision,
team, high overuse) of sports children play on overuse injuries sustained by
young athletes. The findings will help guide future prevention efforts.
PMID- 24926912
TI - Stress fracture of the supracondylar process of the humerus in a professional
tennis player.
AB - The supracondylar process of the humerus is an anatomic variant present in 1% of
the population associated with a fibrous band, the ligament of Struthers that
attaches it to the medial epicondyle, and may serve as a proximal origin of a
fascicle of the pronator teres. Fractures of the supracondylar process of the
humerus are extremely rare. To the best of our knowledge, this is the first
report of a stress fracture of the supracondylar process. We present the case of
a professional tennis player with a stress fracture of the supracondylar process
who underwent surgery to avoid possible displacement and neurovascular
complications. Clinicians should consider the diagnosis of a supracondylar
process fracture with or without neurovascular compression when examining
athletes with otherwise unexplained arm or elbow pain. Stress fracture of the
supracondylar process may be secondary to the excessive traction of the pronator
teres.
PMID- 24926913
TI - Exercise addiction in men is associated with lower fat-adjusted leptin levels.
AB - OBJECTIVE: To test a hypothesized association between resting leptin levels
(adjusted for body fat percentage) and symptoms of primary exercise addiction.
DESIGN: Cross-sectional design. SETTING: Habitual amateur exercisers
participating in running, fitness, weight training, and biking. PARTICIPANTS:
Twenty men with exercise addiction as defined by the Exercise Addiction Inventory
(EAI scores 24-30) and 20 men in an exercise control group (EAI scores 6-16)
matched on body mass index. MAIN OUTCOME MEASURES: Plasma leptin and sex hormones
were measured in blood samples collected under fasting and resting conditions.
Body composition was assessed by dual-energy x-ray absorptiometry. Eating
disorder symptoms were identified by the Eating Disorder Inventory 2. RESULTS:
The exercise addiction group had significantly (P < 0.001) lower leptin levels
(1.1 MUg/L, SD = 1.3) than controls (4.3 MUg/L, SD = 2.9). Even when adjusted for
body fat percentage, the addiction group had significantly (P < 0.001) lower
leptin levels (0.1 MUg/L, SD = 0.1) than the controls (0.2 MUg/L, SD = 0.1). Body
fat-adjusted leptin correlated with free bioavailable testosterone, but it was
only significant in nonaddictive exercisers. None of the exercisers seemed to
suffer from an eating disorder. CONCLUSIONS: This is the first study showing that
excessive training in exercise addiction is associated with low levels of body
fat-adjusted leptin levels. CLINICAL RELEVANCE: Hypoleptinemia could be involved
in the pathogenesis of exercise addiction. However, further studies are needed to
explore the potential causal relationship.
PMID- 24926914
TI - Simultaneous solvent and J-modulation suppression in PGSTE-based diffusion
experiments.
AB - The most favourable solvent suppression methods that have been applied to PGSTE
experiments for the measurement of diffusion are WATERGATE and excitation
sculpting. However, both methods come with significant J-modulation line-shape
distortions on multiplets, a phenomenon that is known to be of particular concern
for DOSY data processing. Here, two new PGSTE experiments are proposed that
suppress both the solvent peak and J-modulation based on the perfect echo
WATERGATE sequence. This allows narrow suppression bandwidths and thus
measurement of diffusion on peaks close to the solvent peak. Both sequences
perform admirably and the better option depends on the priority one puts on the
quality of the solvent suppression or signal loss due to T2 weighting. Gradient
based solvent suppression in PGSTE experiments can often be compromised by the
variable, diffusion-encoding gradient pulses. Special emphasis is put on how to
maximise the robustness of the solvent suppression.
PMID- 24926915
TI - Nitrate anion templated synthesis of a [2]catenane for nitrate recognition in
organic-aqueous solvent media.
AB - The first example of a catenane synthesised using a nitrate anion template is
demonstrated. Removal of the templating anion reveals a mechanically interlocked
molecular host system which is capable of recognising nitrate selectively over a
range of more basic mono-anionic oxoanions in a competitive organic-aqueous
solvent mixture.
PMID- 24926916
TI - Effects of surface-passivating ligands and ultrasmall CdSe nanocrystal size on
the delocalization of exciton confinement.
AB - Here we report an unprecedentedly large and controllable decrease in the optical
band gap (up to 107 nm, 610 meV) of molecule-like ultrasmall CdSe nanocrystals
(diameters ranging from 1.6 to 2.0 nm) by passivating their surfaces with
conjugated ligands (phenyldithiocarbamates, PDTCs) containing a series of
electron-donating and -withdrawing functional groups through a ligand-exchange
reaction on dodecylamine (DDA)-coated nanocrystals. This band-edge absorption
shift is due to the delocalization of the strongly confined excitonic hole from
nanocrystals to the ligand molecular orbitals and not from nanocrystal growth or
dielectric constant effects. (1)H NMR analysis confirmed that the nanocrystal
surface contained a mixed ligation of DDA and PDTC. The effects of the
nanocrystal size on the extent of exciton delocalization were also studied and
found to be smaller for larger nanocrystals. Modulating the energy level of
ligand-passivated ultrasmall nanocrystals and controlling the electronic
interaction at the nanocrystal-passivating ligand interface are very important to
the fabrication of solid-state devices.
PMID- 24926917
TI - Association between environmental tobacco smoke and periodontal disease: a
systematic review.
AB - The aim of the present study was to systematically review the association between
environmental tobacco smoke (ETS) and periodontal disease. The addressed focused
question was "Is there a relationship between ETS and periodontal disease?"
PubMed/MEDLINE and Google-Scholar databases were searched from 1987 up to March
2014 using different combinations of the following keywords: "Environmental
tobacco smoke", "passive", "periodontal disease", "secondhand" and "smoking".
Letters to the Editor, review articles, commentaries, case-reports and articles
published in languages other than English were excluded. Thirteen studies were
included. Nine studies were clinical and 4 studies were performed in-vitro. Five
studies reported the odds ratios for periodontal disease to be significantly
higher among individuals exposed to ETS than controls (non-smoking individuals
unexposed to ETS). In 2 studies, ETS exposure showed no association with
periodontal disease. In 2 studies, salivary aspartate aminotransferase,
lactoferrin and albumin levels were reported to be significantly higher in
individuals exposed to ETS than controls. In one study, levels of salivary
interleukin-1beta were reported to be significantly higher in individuals exposed
to ETS than controls. The in-vitro studies reported ETS exposure to enhance the
production of proinflammatory proteins and phagocytic activity of salivary
polymorphonuclear leukocytes thereby contributing to periodontal disease. The
association between ETS and periodontal disease remains debatable and requires
further investigations.
PMID- 24926918
TI - Natural vs synthetic auxin: studies on the interactions between plant hormones
and biological membrane lipids.
AB - Analysis of the interactions between two representatives of plant hormones:
synthetic (1-naphthaleneacetic acid, NAA) as well as natural (indole-3-acetic
acid, IAA) and phospholipids occurring in biological membrane of both plant and
animal cells was the subject of present studies. The aim of undertaken
experiments was to elucidate the problem of direct influence of these plant
growth regulators on phosphatidylcholines (PCs) and phosphatidylethanolamines
(PEs) in monolayers at the air/water solution interface. The studied
phospholipids differ not only as regards the structure of polar head-groups but
also in the length of hydrophobic chains as well as their saturation degree.
These differences result also in the main properties and functions of these
phospholipids in biomembranes. The analysis of the results was based on the
characteristics of the surface pressure (pi)--area (A) isotherms registered for
monolayers spread on the subphase containing plant hormone and as a reference on
the surface of pure water. Moreover, as a complementary technique, Brewster angle
microscopy was applied for the direct visualization of the investigated surface
films. The obtained results revealed that auxins effectively influence
phospholipids monolayers, regardless of the lipid structure, at the concentration
of 10(-4)M. It was found that for this concentration, the influence of auxins was
visibly larger in the case of PCs as compared to PEs. On the other hand, in the
case of auxins solution of <= 10(-5)M, the observed trend was opposite.
Generally, our studies showed that the natural plant hormone (IAA) interacts with
the investigated lipid monolayers stronger than its synthetic derivative (NAA).
The reason of these differences connects with the steric properties of both
auxins; namely, the naphthalene ring of NAA molecule occupies larger space than
the indole system of IAA. Therefore molecules of the latter compound penetrate
easier into the region of phospholipids' polar head-groups. Moreover, the NH
group of the indole moiety is capable of hydrogen bond formation with the
acceptor groups in the polar fragment of lipid molecules. We proved also that
among the investigated phospholipids, the highest susceptibility toward auxin
influence show these lipids, for which during compression, surface film increases
the degree of condensation.
PMID- 24926919
TI - Genetic variation in the CYP1A1 gene is related to circulating PCB118 levels in a
population-based sample.
AB - Several of the polychlorinated biphenyls (PCBs), i.e. the dioxin-like PCBs, are
known to induce the P450 enzymes CYP1A1, CYP1A2 and CYP1B1 by activating the aryl
hydrocarbon receptor (Ah)-receptor. We evaluated if circulating levels of PCBs in
a population sample were related to genetic variation in the genes encoding these
CYPs. In the population-based Prospective Investigation of the Vasculature in
Uppsala Seniors (PIVUS) study (1016 subjects all aged 70), 21 SNPs in the CYP1A1,
CYP1A2 and CYP1B1 genes were genotyped. Sixteen PCB congeners were analysed by
high-resolution chromatography coupled to high-resolution mass spectrometry
(HRGC/ HRMS). Of the investigated relationships between SNPs in the CYP1A1,
CYP1A2 and CYP1B1 and six PCBs (congeners 118, 126, 156, 169, 170 and 206) that
captures >80% of the variation of all PCBs measured, only the relationship
between CYP1A1 rs2470893 was significantly related to PCB118 levels following
strict adjustment for multiple testing (p=0.00011). However, there were several
additional SNPs in the CYP1A2 and CYP1B1 that showed nominally significant
associations with PCB118 levels (p-values in the 0.003-0.05 range). Further,
several SNPs in the CYP1B1 gene were related to both PCB156 and PCB206 with p
values in the 0.005-0.05 range. Very few associations with p<0.05 were seen for
PCB126, PCB169 or PCB170. Genetic variation in the CYP1A1 was related to
circulating PCB118 levels in the general elderly population. Genetic variation in
CYP1A2 and CYP1B1 might also be associated with other PCBs.
PMID- 24926921
TI - How detection of epigenetic alterations of blood-borne DNA could improve melanoma
diagnosis.
AB - Detection in blood of the genetic and epigenetic changes present in metastatic
cancers is opening up new possibilities in molecular diagnostics. A number of
methodological and clinical issues await resolution before serum epigenetic
biomarkers can be considered a routine part of the management of melanoma
patients following primary excision. However, there is every possibility that
blood testing for the presence of methylated DNA will become an integral part of
the clinical follow-up of such patients. The ability to identify patients with
subclinical (asymptomatic) metastatic melanoma, combined with new, highly active
targeted and immunomodulatory agents, may lead to further improvements in
outcomes for this patient population.
PMID- 24926920
TI - Fish consumption behavior and rates in native and non-native people in Saudi
Arabia.
AB - Fish are a healthy source of protein and nutrients, but contaminants in fish may
provide health risks. Determining the risk from contaminants in fish requires
site-specific information on consumption patterns. We examine consumption rates
for resident and expatriates in the Jeddah region of Saudi Arabia, by species of
fish and fishing location. For Saudis, 3.7% of males and 4.3% of females do not
eat fish; for expatriates, the percent not eating fish is 6.6% and 6.1%
respectively. Most people eat fish at home (over 90%), and many eat fish at
restaurants (65% and 48%, respectively for Saudis and expatriates). Fish eaten at
home comes from local fish markets, followed by supermarkets. Saudis included
fish in their diets at an average of 1.4 +/- 1.2 meals/week at home and 0.8 +/-
0.7 meals/week at restaurants, while expats ate 2.0 +/- 1.7 meals/week at home
and 1.1 +/- 1.1 meals/week in restaurants. Overall, Saudis ate 2.2 fish
meals/week, while expats ate 3.1 meals/week. Grouper (Epinephelus and
Cephalopholis) were eaten by 72% and 60% respectively. Plectropomus pessuliferus
was the second favorite for both groups and Hipposcarus harid and Lethrinus
lentjan were in 3rd and 4th place in terms of consumption. Average meal size was
68 g for Saudis and 128 g for expatriates. These data can be used by health
professionals, risk assessors, and environmental regulators to examine potential
risk from contaminants in fish, and to compare consumption rates with other
sites.
PMID- 24926922
TI - An electrospun nanowire-based triboelectric nanogenerator and its application in
a fully self-powered UV detector.
AB - A new kind of triboelectric nanogenerator (TENG) is developed based on
electrospun PVDF and nylon nanowires. This nanogenerator exhibits the remarkable
characteristics of easy fabrication, low cost and high output. Its open-circuit
voltage and short-circuit current density respectively reach up to 1163 V and
11.5 MUA cm(-2) driven by the vibration with a triggering frequency of 5 Hz and
an amplitude of 20 mm. The peak power density is 26.6 W m(-2). It directly
powered a DC motor without an energy storage system for the first time. By
harvesting energy from the environment using this TENG, a fully self-powered UVR
detection device is developed to show the level of UVR directly without
additional components.
PMID- 24926923
TI - Janus-compartmental alginate microbeads having polydiacetylene liposomes and
magnetic nanoparticles for visual lead(II) detection.
AB - Janus-compartmental alginate microbeads having two divided phases of sensory
polydiacetylene (PDA) liposomes and magnetic nanoparticles were fabricated for
facile sensory applications. The sensory liposomes are composed of PDA for label
free signal generation and 1,2-dipalmitoyl-sn-glycero-3-galloyl (DPGG) lipids
whose galloyl headgroup has specific interactions with lead(II). The second phase
having magnetic nanoparticles is designed for convenient handling of the
microbeads, such as washing, solvent exchange, stirring, and detection, by
applying magnetic field. Selective and convenient colorimetric detection of
lead(II) and efficient removal of lead(II) by alginate matrix at the same time
are demonstrated.
PMID- 24926924
TI - Gastric perforation by a foreign body presenting as a pancreatic pseudotumour.
AB - INTRODUCTION: Foreign body ingestion rarely causes complications, though it can
pose a significant diagnostic challenge. Perforation, particularly of more
muscular viscera, can present insidiously with a wide range of differential
diagnoses. PRESENTATION OF CASE: Here we present a case of 75 year-old woman
presenting with chest and epigastric pain. Initial imaging suggested a pancreatic
lesion. Despite appropriate treatment she deteriorated clinically, and following
urgent laparotomy a duck bone fragment was found to have perforated the lesser
curvature of the stomach and embedded within the liver causing subhepatic abscess
formation and associated inflammation. DISCUSSION: There are a number of examples
of insidious presentations of gastrointestinal perforation. However, we have
found only one other case of a perforation presenting as a pancreatic
pseudotumour, and ours is the first to have been successfully managed by removal
of the foreign body and drainage of the abscess alone. CONCLUSION: A high level
of suspicion is required to make the correct diagnosis in cases such as these
where the symptoms are not clear-cut. Thorough review and discussion of imaging
prior to surgical treatment is essential to prevent unnecessary intervention.
PMID- 24926925
TI - Cauda equina schwannoma presenting with intratumoral hemorrhage and intracranial
subarachnoid hemorrhage.
AB - The authors report the case of a spinal intradural schwannoma presenting with
intracranial subarachnoid hemorrhage (SAH). Cerebral angiography did not show any
intracranial lesion; however, MRI revealed two separate tumors in the lower
segment of the spinal cord. The proximal lesion arising from the conus medullaris
was well circumscribed and homogeneously enhanced, whereas the tumor in the cauda
equina revealed hemorrhagic signals on MRI. This case also illustrates an unusual
presentation of intracranial SAH simultaneously with intratumoral hemorrhage in a
spinal cord schwannoma. The absence of hemorrhagic changes in the lesion arising
proximal to the cauda equina region supports the mechanical theory proposed for
the pathogenesis of hemorrhagic complications in spinal cord tumors.
PMID- 24926926
TI - Outcomes following attempted en bloc resection of cervical chordomas in the C-1
and C-2 region versus the subaxial region: a multiinstitutional experience.
AB - OBJECT: Chordomas involving the mobile spine are ideally managed via en bloc
resection with reconstruction to optimize local control and possibly offer cure.
In the cervical spine, local anatomy poses unique challenges, limiting the
feasibility of aggressive resection. The authors present a multi-institutional
series of 16 cases of cervical chordomas removed en bloc. Particular attention
was paid to clinical outcome, complications, and recurrence. In addition,
outcomes were assessed according to position of tumor at the C1-2 level versus
the subaxial (SA) spine (C3-7). METHODS: The authors reviewed cases involving
patients who underwent en bloc resection of cervical chordoma at 4 large spine
centers. Patients were included if the lesion epicenter involved the C-1 to C-7
vertebral bodies. Demographic data and details of surgery, follow-up course,
exposure to adjuvant therapy, and complications were obtained. Outcome was
correlated with presence of tumor in C1-2 versus subaxial spine via a Student t
test. RESULTS: Sixteen patients were identified (mean age at presentation 55 +/-
14 years). Seven cases (44%) cases involved C1-2, and 16 involved the subaxial
spine. Median survival did not differ significantly different between the C1-2
(72 months) and SA (60 months) groups (p = 0.65). A combined (staged
anteroposterior) approach was used in 81% of the cases. Use of the combined
approach was significantly more common in treatment of subaxial than C1-2 tumors
(100% vs 57%, p = 0.04). En bloc resection was attempted via an anterior approach
in 6% of cases (C1-2: 14.3%; SA: 0%; p = 0.17) and a posterior approach in 13% of
cases (C1-2: 29%; SA: 0%; p = 0.09). The most commonly reported margin
classification was marginal (56% of cases), followed by violated (25%) and wide
(19%). En bloc excision of subaxial tumors was significantly more likely to
result in marginal margins than excision of C1-2 tumors (C1-2: 29%; SA: 78%; p =
0.03). C1-2 tumors were associated with significantly higher rates of
postoperative complications (C1-2: 71%; SA: 22%; p = 0.03). Both local and
distant tumor recurrence was greatest for C1-2 tumors (local C1-2: 29%; local SA:
11%; distant C1-2: 14%; distant SA: 0%). Statistical analysis of tumor recurrence
based on tumor location was not possible due to the small number of cases. There
was no between-groups difference in exposure to postoperative adjuvant
radiotherapy. There was no difference in median survival between groups receiving
proton beam radiotherapy or intensity-modulated radiotherapy versus no radiation
therapy (p = 0.8). CONCLUSIONS: Compared with en bloc resection of chordomas
involving the subaxial cervical spine, en bloc resection of chordomas involving
the upper cervical spine (C1-2) is associated with poorer outcomes, such as less
favorable margins, higher rates of complications, and increased tumor recurrence.
Data from this cohort do not support a statistically significant difference in
survival for patients with C1-2 versus subaxial disease, but larger studies are
needed to further study survival differences.
PMID- 24926927
TI - Safety and efficacy of pedicle screw placement using intraoperative computed
tomography: consecutive series of 1148 pedicle screws.
AB - OBJECT: A number of imaging techniques have been introduced to minimize the risk
of pedicle screw placement. Intraoperative CT has been recently introduced to
assist in spinal instrumentation. The aim of this study was to study the
effectiveness of intraoperative CT in enhancing the safety and accuracy of
pedicle screw placement. METHODS: The authors included all cases from December
2009 through July 2012 in which intraoperative CT scanning was used to confirm
pedicle screw placement. RESULTS: A total of 203 patients met the inclusion
criteria. Of 1148 screws, 103 screws (8.97%) were revised intraoperatively in 72
patients (35.5%): 14 (18.42%) were revised in the cervical spine (C-2 or C-7), 25
(7.25%) in the thoracic spine, and 64 (8.80%) in the lumbar spine. Compared with
screws in the thoracic and lumbar regions, pedicle screws placed in the cervical
region were statistically more likely to be revised (p = 0.0061). Two patients
(0.99%) required reoperations due to undetected misplacement of pedicle screws.
CONCLUSIONS: The authors describe one of the first North American experiences
using intraoperative CT scanning to confirm the placement of pedicle screws.
Compared with a similar cohort of patients from their institution who had pedicle
screws inserted via the free-hand technique with postoperative CT, the authors
found that the intraoperative CT lowers the threshold for pedicle screw revision,
resulting in a statistically higher rate of screw revision in the thoracic and
lumbar spine (p < 0.0001). During their 2.5-year experience with the
intraoperative CT, the authors did not find a reduction in rates of reoperation
for misplaced pedicle screws.
PMID- 24926928
TI - Primary malignant peripheral nerve sheath tumor of the spine with acute
hydrocephalus: a rare clinical entity.
AB - Primary malignant peripheral nerve sheath tumors (MPNSTs) are extremely rare in
patients without a history of neurofibromatosis; only 18 cases have been reported
in the English-language literature to this point. The authors report their
experience with 1 new case of a primary MPNST. A 33-year-old woman presented with
low-back pain radiating to the right calf that progressed over 1 year. Magnetic
resonance imaging of the spine revealed an intradural extramedullary lesion at
the T12-L1 level. The patient was diagnosed with primary MPNST, underwent two
surgical excisions and radiation therapy, and developed leptomeningeal metastases
as well as brain metastases. The patient revisited the emergency room with sudden
loss of consciousness. A brain CT scan displayed bilateral lateral ventricle
enlargement, for which a ventriculoperitoneal shunt was inserted. These symptoms
have not been described in any previous report. Primary spinal MPNST is an
exceedingly rare entity, and the overall prognosis is very poor. To the authors'
knowledge, no standard of care for primary spinal MPNSTs has yet been
established. All 19 cases of primary spinal MPNSTs are reviewed, and the authors
discuss their clinical, radiological, and therapeutic features and outcomes.
PMID- 24926929
TI - Arthroplasty for cervical spondylotic myelopathy: similar results to patients
with only radiculopathy at 3 years' follow-up.
AB - OBJECT: Cervical arthroplasty has been accepted as a viable option for surgical
management of cervical spondylosis or degenerative disc disease (DDD). The best
candidates for cervical arthroplasty are young patients who have radiculopathy
caused by herniated disc with competent facet joints. However, it remains
uncertain whether arthroplasty is equally effective for patients who have
cervical myelopathy caused by DDD. The aim of this study was to compare the
outcomes of arthroplasty for patients with cervical spondylotic myelopathy (CSM)
and patients with radiculopathy without CSM. METHODS: A total of 151 consecutive
cases involving patients with CSM or radiculopathy caused by DDD and who
underwent one- or two-level cervical arthroplasty were included in this study.
Clinical outcome evaluations and radiographic studies were reviewed. Clinical
outcome measurements included the Visual Analog Scale (VAS) of neck and arm pain,
Japanese Orthopaedic Association (JOA) scores, and the Neck Disability Index
(NDI) in every patient. For patients with CSM, Nurick scores were recorded for
evaluation of cervical myelopathy. Radiographic studies included lateral dynamic
radiographs and CT for detection of the formation of heterotopic ossification .
RESULTS: Of the 151 consecutive patients with cervical DDD, 125 (82.8%; 72
patients in the myelopathy group and 53 in the radiculopathy group) had at least
24 months of clinical and radiographic follow-up. The mean duration of follow-up
in these patients was 36.4 months (range 24-56 months). There was no difference
in sex distribution between the 2 groups. However, the mean age of the patients
in the myelopathy group was approximately 6 years greater than that of the
radiculopathy group (53.1 vs 47.2 years, p < 0.001). The mean operation time,
mean estimated blood loss, and the percentage of patients prescribed
perioperative analgesic agents were similar in both groups (p = 0.754, 0.652, and
0.113, respectively). There were significant improvements in VAS neck and arm
pain, JOA scores, and NDI in both groups. Nurick scores in the myelopathy group
also improved significantly after surgery. In radiographic evaluations, 92.5% of
patients in the radiculopathy group and 95.8% of those in the radiculopathy group
retained spinal motion (no significant difference). Evaluation of CT scans showed
heterotopic ossification in 34 patients (47.2%) in the myelopathy group and 25
patients (47.1%) in the radiculopathy group (p = 0.995). At a mean of over 3
years postoperatively, no secondary surgery was reported in either group.
CONCLUSIONS: The severity of myelopathy improves after cervical arthroplasty in
patients with CSM caused by DDD. At 3-year follow-up, the clinical and
radiographic outcomes of cervical arthroplasty in DDD patients with CSM are
similar to those patients who have only cervical radiculopathy. Therefore,
cervical arthroplasty is a viable option for patients with CSM caused by DDD who
require anterior surgery. However, comparison with the standard surgical
treatment of anterior cervical discectomy and fusion is necessary to corroborate
the outcomes of arthroplasty for CSM.
PMID- 24926930
TI - A comparative analysis of minimally invasive and open spine surgery patient
education resources.
AB - OBJECT: The Internet has become a widespread source for disseminating health
information to large numbers of people. Such is the case for spine surgery as
well. Given the complexity of spinal surgeries, an important point to consider is
whether these resources are easily read and understood by most Americans. The
average national reading grade level has been estimated to be at about the 7th
grade. In the present study the authors strove to assess the readability of open
spine surgery resources and minimally invasive spine surgery resources to offer
suggestions to help improve the readability of patient resources. METHODS: Online
patient education resources were downloaded in 2013 from 50 resources
representing either traditional open back surgery or minimally invasive spine
surgery. Each resource was assessed using 10 scales from Readability Studio
Professional Edition version 2012.1. RESULTS: Patient education resources
representing traditional open back surgery or minimally invasive spine surgery
were all found to be written at a level well above the recommended 6th grade
level. In general, minimally invasive spine surgery materials were written at a
higher grade level. CONCLUSIONS: The readability of patient education resources
from spine surgery websites exceeds the average reading ability of an American
adult. Revisions may be warranted to increase quality and patient comprehension
of these resources to effectively reach a greater patient population.
PMID- 24926931
TI - Cervical spine injury from gunshot wounds.
AB - OBJECT: Gunshot wounds (GSWs) to the cervical spine have been examined in a
limited number of case series, and operative management of this traumatic disease
has been sparsely discussed. The current literature supports and the authors
hypothesize that patients without neurological deficit need neither surgical
fusion nor decompression. Patients with GSWs and neurological deficits, however,
pose a greater management challenge. The authors have compiled the experience of
the R Adams Cowley Shock Trauma Center in Baltimore, Maryland, over the past 12
years, creating the largest series of such injuries, with a total number of 40
civilian patients needing neurosurgical evaluation. The current analysis examines
presenting bone injury, surgical indication, presenting neurological examination,
and neurological outcome. In this study, the authors characterize the incidence,
severity, and recovery potential of cervical GSWs. The rate of unstable fractures
requiring surgical intervention is documented. A detailed discussion of surgical
indications with a treatment algorithm for cervical instability is offered.
METHODS: A total of 144 cervical GSWs were retrospectively reviewed. Of these
injuries, 40 had documented neurological deficits. No neurosurgical consultation
was requested for patients without deficit. Epidemiological and clinical
information was collected on patients with neurological deficit, including age,
sex, timing, indication, type of surgery, initial examination after
resuscitation, follow-up examination, and imaging data. RESULTS: Twenty-eight
patients (70%) presented with complete neurological deficits and 12 patients
(30%) presented with incomplete injuries. Fourteen (35%) of the 40 patients
underwent neurosurgical intervention. Twelve patients (30%) required intervention
for cervical instability. Seven patients required internal fixation involving 4
anterior fusions, 2 posterior fusions, and 1 combined approach. Five patients
were managed with halo immobilization. Two patients underwent decompression alone
for neurological deterioration and persistent compressive injury, both of whom
experienced marked neurological recovery. Follow-up was obtained in 92% of cases.
Three patients undergoing stabilization converted at least 1 American Spinal
Injury Association (ASIA) Impairment Scale (AIS) grade and the remaining
operative cases experienced small ASIA motor score improvement. Eighteen patients
underwent inpatient MRI. No patient suffered complications or neurological
deterioration related to retained metal. Three of 28 patients presenting with AIS
Grade A improved to Grade B. For those 12 patients with incomplete injury, 1
improved from AIS Grade C to D, and 3 improved from Grade D to E. CONCLUSIONS:
Spinal cord injury from GSWs often results in severe neurological deficits. In
this series, 30% of these patients with deficits required intervention for
instability. This is the first series that thoroughly documents AIS improvement
in this patient population. Adherence to the proposed treatment algorithm may
optimize neurological outcome and spine stability.
PMID- 24926932
TI - In vivo 3D kinematic changes in the cervical spine after laminoplasty for
cervical spondylotic myelopathy.
AB - OBJECT: Cervical laminoplasty is an effective procedure for decompressing the
spinal cord at multiple levels, but restriction of neck motion is one of the well
known complications of the procedure. Although many authors have reported on
cervical range of motion (ROM) after laminoplasty, they have focused mainly on 2D
flexion and extension on lateral radiographs, not on 3D motion (including coupled
motion) nor on precise intervertebral motion. The purpose of this study was to
clarify the 3D kinematic changes in the cervical spine after laminoplasty
performed to treat cervical spondylotic myelopathy. METHODS: Eleven consecutive
patients (6 men and 5 women, mean age 68.1 years, age range 57-79 years) with
cervical spondylotic myelopathy who had undergone laminoplasty were included in
the study. All patients underwent 3D CT of the cervical spine in 5 positions
(neutral, 45 degrees head rotation left and right, maximum head flexion, and
maximum head extension) using supporting devices. The scans were performed
preoperatively and at 6 months after laminoplasty. Segmental ROM from Oc-C1 to C7
T1 was calculated both in flexion-extension and in rotation, using a voxel-based
registration method. RESULTS: Mean C2-7 flexion-extension ROM, equivalent to
cervical ROM in all previous studies, was 45.5 degrees +/- 7.1 degrees
preoperatively and 35.5 degrees +/- 8.2 degrees postoperatively, which was a
statistically significant 33% decrease. However, mean Oc-T1 flexion-extension
ROM, which represented total cervical ROM, was 71.5 degrees +/- 8.3 degrees
preoperatively and 66.5 degrees +/- 8.3 degrees postoperatively, an
insignificant 7.0% decrease. In focusing on each motion segment, the authors
observed a statistically significant 22.6% decrease in mean segmental ROM at the
operated levels during flexion-extension and a statistically insignificant 10.2%
decrease during rotation. The most significant decrease was observed at C2-3.
Segmental ROM at C2-3 decreased 24.2% during flexion-extension and 21.8% during
rotation. However, a statistically insignificant 37.2% increase was observed at
the upper cervical spine (Oc-C2) during flexion-extension. The coupling pattern
during rotation did not change significantly after laminoplasty. CONCLUSIONS: In
this first accurate documentation of 3D segmental kinematic changes after
laminoplasty, Oc-T1 ROM, which represented total cervical ROM, did not change
significantly during either flexion-extension or rotation by 6 months after
laminoplasty despite a significant decrease in C2-7 flexion-extension ROM. This
is thought to be partially because of a compensatory increase in segmental ROM at
the upper cervical spine (Oc-C2).
PMID- 24926933
TI - En bloc hemisacrectomy and internal hemipelvectomy via the posterior approach.
AB - OBJECT: Traditionally, hemisacrectomy and internal hemipelvectomy procedures have
required both an anterior and a posterior approach. A posterior-only approach has
the potential to complete an en bloc tumor resection and spinopelvic
reconstruction while reducing surgical morbidity. METHODS: The authors describe 3
cases in which en bloc resection of the hemisacrum and ilium and subsequent
lumbopelvic and pelvic ring reconstruction were performed from a posterior-only
approach. Two more traditional anterior and posterior staged procedures are also
included for comparison. RESULTS: In all 3 cases, an oncologically appropriate
surgery and spinopelvic reconstruction were performed through a posterior-only
approach. CONCLUSIONS: The advantage of a midline posterior approach is the
ability to perform a lumbosacral reconstruction, necessary in cases in which the
S-1 body is iatrogenically disrupted during tumor resection.
PMID- 24926934
TI - Computed tomography and pedicle screws.
PMID- 24926935
TI - Characteristics and surgical results of the distal type of cervical spondylotic
amyotrophy.
AB - OBJECT: Cervical spondylosis that causes upper-extremity muscle atrophy without
gait disturbance is called cervical spondylotic amyotrophy (CSA). The distal type
of CSA is characterized by weakness of the hand muscles. In this retrospective
analysis, the authors describe the clinical features of the distal type of CSA
and evaluate the results of surgical treatment. METHODS: The authors performed a
retrospective review of 17 consecutive cases involving 16 men and 1 woman (mean
age 56.3 years) who underwent surgical treatment for the distal type of CSA. The
condition was diagnosed on the basis of cervical spondylosis in the presence of
muscle impairment of the upper extremity (intrinsic muscle and/or finger
extension muscles) without gait disturbance, and the presence of a compressive
lesion involving the anterior horn of the spinal cord, the nerve root at the
foramen, or both sites as seen on axial and sagittal views of MRI or CT
myelography. The authors assessed spinal cord or nerve root impingement by MRI or
CT myelography and evaluated surgical outcomes. RESULTS: The preoperative
duration of symptoms averaged 11.8 months. There were 14 patients with
impingement of the anterior horn of the spinal cord and 3 patients with both
anterior horn and nerve root impingement. Twelve patients were treated with
laminoplasty (plus foraminotomy in 1 case), 3 patients were treated with anterior
cervical discectomy and fusion, and 2 patients were treated with posterior spinal
fixation. The mean manual muscle testing grade was 2.4 (range 1-4) preoperatively
and 3.4 (range 1-5) postoperatively. The surgical results were excellent in 7
patients, good in 2, and fair in 8. CONCLUSIONS: Most of the patients in this
series of cases of the distal type of CSA suffered from impingement of the
anterior horn of the spinal cord, and surgical outcome was fair in about half of
the cases.
PMID- 24926936
TI - Isolated central canal rupture of spinal dermoid. Report of 3 cases.
AB - Spinal dermoid tumors are rare, benign, slow-growing tumors. Rupture of spinal
dermoids, in contrast to cranial dermoids, is rarely reported. Rupture in the
central canal alone is even more rare, with only a few cases reported in the
literature. The presence of fat droplets within the central canal is unusual
because the central canal is rudimentary in adults. The authors report 3 such
cases and review the pertinent literature.
PMID- 24926937
TI - The emergence of "groupitizing" in children's numerical cognition.
AB - Improvements in enumeration abilities that emerge over late childhood are
primarily thought to reflect perceptual developments such as increases in
subitizing limits for small sets and faster shifting of attention associated with
serially counting larger sets. Contributions of conceptual knowledge development,
such as the growing appreciation of how whole numbers are composed of subsets of
whole numbers, are not as well understood. This study examined the emergence of a
process referred to as "groupitizing," which captures how children may capitalize
on grouping information to facilitate enumeration processes. We examined
enumeration speed in a cross-sectional sample of children (N=378), spanning
kindergarten through third grade, using arrays of dots. Arrays were either
unstructured or grouped by proximity into subsets in the subitizing range (i.e.,
three subgroups of 1-3 items). Kindergarten children showed no evidence of
grouping structure on enumeration. First-grade children enumerated grouped arrays
faster than unstructured arrays. This structure effect grew progressively
stronger in subsequent grades. Enumeration speed for unstructured arrays
increased with set size, yet for grouped arrays the impact of set size was
dependent on grade level. For kindergartners, the grouping manipulation had no
impact on the effect of set size. For older children, the grouping manipulation
reduced the effect of set size on enumeration. Furthermore, individual
differences in how set size affected enumeration of grouped arrays showed unique
patterns of association with performance on standardized symbolic arithmetic
fluency tests, suggesting a unique role for the construct of groupitizing in the
development of enumeration fluency and symbolic math skills.
PMID- 24926938
TI - Synthesis of beta-Mg(2)C(3): a monoclinic high-pressure polymorph of magnesium
sesquicarbide.
AB - A new monoclinic variation of Mg2C3 was synthesized from the elements under high
pressure (HP), high-temperature (HT) conditions. Formation of the new compound,
which can be recovered to ambient conditions, was observed in situ using X-ray
diffraction with synchrotron radiation. The structural solution was achieved by
utilizing accurate theoretical results obtained from ab initio evolutionary
structure prediction algorithm USPEX. Like the previously known orthorhombic Pnnm
structure (alpha-Mg2C3), the new monoclinic C2/m structure (beta-Mg2C3) contains
linear C3(4-) chains that are isoelectronic with CO2. Unlike alpha-Mg2C3, which
contains alternating layers of C3(4-) chains oriented in opposite directions, all
C3(4-) chains within beta-Mg2C3 are nearly aligned along the crystallographic c
axis. Hydrolysis of beta-Mg2C3 yields C3H4, as detected by mass spectrometry,
while Raman and NMR measurements show clear C?C stretching near 1200 cm(-1) and
(13)C resonances confirming the presence of the rare allylenide anion.
PMID- 24926939
TI - Effects of work zone configurations and traffic density on performance variables
and subjective workload.
AB - This paper investigates the effect of changing work zone configurations and
traffic density on performance variables and subjective workload. Data regarding
travel time, average speed, maximum percent braking force and location of lane
changes were collected by using a full size driving simulator. The NASA-TLX was
used to measure self-reported workload ratings during the driving task.
Conventional lane merge (CLM) and joint lane merge (JLM) were modeled in a
driving simulator, and thirty participants (seven female and 23 male), navigated
through the two configurations with two levels of traffic density. The mean
maximum braking forces was 34% lower in the JLM configuration, and drivers going
through the JLM configuration remained in the closed lane longer. However, no
significant differences in speed were found between the two merge configurations.
The analysis of self-reported workload ratings show that participants reported
15.3% lower total workload when driving through the JLM. In conclusion, the
implemented changes in the JLM make it a more favorable merge configuration in
both high and low traffic densities in terms of optimizing traffic flow by
increasing the time and distance cars use both lanes, and in terms of improving
safety due to lower braking forces and lower reported workload.
PMID- 24926940
TI - Photoprotective effect of arctiin against ultraviolet B-induced damage in HaCaT
keratinocytes is mediated by microRNA expression changes.
AB - Human keratinocytes are located in the outermost skin layer and thus particularly
vulnerable to ultraviolet B (UVB) radiation exposure. Previous studies have
focused on the cellular and molecular perspectives of UVB-induced keratinocyte
damage. In the present study, it was demonstrated that pretreatment with the
phytochemical arctiin, one of the lignin compounds, protects human HaCaT
keratinocytes from UVB-mediated damage. Biochemical assays revealed that UVB
induced cytotoxicity and cell death were significantly reduced in arctiin
pretreated HaCaT cells. In addition, arctiin promoted the wound healing and DNA
repair properties of keratinocytes. The photoprotective effects of arctiin were
associated with changes in the expression levels of specific microRNAs (miRNAs)
in HaCaT cells. A bioinformatics analysis demonstrated that the miRNAs were
functionally involved in cancer, cell cycle, and Wnt and mitogen-activated
protein kinase signaling pathways. In the present study, the results from the
cellular and molecular assays demonstrated a novel role for arctiin in UVB
protection in keratinocytes, which is mediated by miRNA responses and the
suppression of UVB-induced cell death. Furthermore, arctiin is implicated as a
potential chemopreventive agent through UVB protection of keratinocytes.
PMID- 24926941
TI - Enhancing trial integrity by protecting the independence of data monitoring
committees in clinical trials.
AB - Data monitoring committees (DMCs) have important roles in safeguarding patient
interests and enhancing trial integrity and credibility. To effectively fulfill
their responsibilities, DMCs should be independent of study sponsors, study
investigators, and caregivers managing study participants. Unfortunately, in real
world settings where DMCs are in place, there are some practices that threaten to
diminish the level of independence of these committees. To address this, some
important approaches should be considered: A DMC charter should outline the roles
and responsibilities of the DMC without appearing to be a legal contract; the
meetings of the DMC should be led by its chair, ideally with a meeting format
that ensures independence from the investigators and sponsor; the DMC and those
having leadership roles in the monitoring process should have adequate training
and experience; procedures should be in place to enable the DMC to have access to
interim safety and efficacy data that are accurate, current, and comprehensive;
these data should be presented to the DMC unblinded by treatment group, while
being kept confidential from all others; DMC recommendations should be developed
through consensus development rather than by casting votes; creative approaches
are needed for the engagement of DMC members to increase the transparency such
that they are neither employees of nor consultants to the sponsor of the trial;
meaningful conflicts of interest should be identified and addressed; and finally,
members of DMCs should have adequate indemnification that provides effective
protection.
PMID- 24926942
TI - The relationship of depression to antiepileptic drug adherence and quality of
life in epilepsy.
AB - We sought to examine the impact of depression upon antiepileptic drug (AED)
adherence in patients with epilepsy. We administered the Center for Epidemiologic
Studies Depression Scale (CES-D), Neurological Disorders Depression Inventory for
Epilepsy (NDDI-E), Seizure Severity Questionnaire (SSQ), and Quality of Life in
Epilepsy-10 (QOLIE-10) and measured AED adherence by utilizing the medication
possession ratio (MPR) in adult patients with epilepsy identified through a
pharmacy claims database. From a sampling frame of over 10,000 patients
identified in claims, 2750 were randomly selected and contacted directly by mail
to participate in the cross-sectional survey. A total of 465 eligible patients
completed a survey. Survey data were combined with administrative claims data for
analysis. We conducted a path analysis to assess the relationships between
depression, adherence, seizure severity, and quality of life (QOL). Patients with
depression scored significantly worse on measures of seizure severity (p=.003),
QOL (p<.001), and adherence (p=.001). On path analysis, depression and QOL and
seizure severity and QOL were related, but only the NDDI-E scores had a
significant relationship with medication adherence (p=.001). Depression as
measured by the NDDI-E was correlated with an increased risk of AED nonadherence.
Depression or seizure severity adversely impacted QOL. These results demonstrate
yet another important reason to screen for depression in epilepsy.
PMID- 24926943
TI - Longitudinal analysis of hippocampal T2 relaxometry in FMTLE.
AB - PURPOSE: To investigate the degree of T2 relaxometry changes over time in groups
of patients with familial mesial temporal lobe epilepsy (FMTLE) and asymptomatic
relatives. METHODS: We conducted both cross-sectional and longitudinal analyses
of T2 relaxometry with Aftervoxel, an in-house software for medical image
visualization. The cross-sectional study included 35 subjects (26 with FMTLE and
9 asymptomatic relatives) and 40 controls; the longitudinal study was composed of
30 subjects (21 with FMTLE and 9 asymptomatic relatives; the mean time interval
of MRIs was 4.4 +/- 1.5 years) and 16 controls. To increase the size of our
groups of patients and relatives, we combined data acquired in 2 scanners (2T and
3T) and obtained z-scores using their respective controls. General linear model
on SPSS21(r) was used for statistical analysis. RESULTS: In the cross-sectional
analysis, elevated T2 relaxometry was identified for subjects with seizures and
intermediate values for asymptomatic relatives compared to controls. Subjects
with MRI signs of hippocampal sclerosis presented elevated T2 relaxometry in the
ipsilateral hippocampus, while patients and asymptomatic relatives with normal
MRI presented elevated T2 values in the right hippocampus. The longitudinal
analysis revealed a significant increase in T2 relaxometry for the ipsilateral
hippocampus exclusively in patients with seizures. CONCLUSIONS: The longitudinal
increase of T2 signal in patients with seizures suggests the existence of an
interaction between ongoing seizures and the underlying pathology, causing
progressive damage to the hippocampus. The identification of elevated T2
relaxometry in asymptomatic relatives and in patients with normal MRI suggests
that genetic factors may be involved in the development of some mild hippocampal
abnormalities in FMTLE.
PMID- 24926944
TI - Large scale production of 51Cr for medical application in a medium flux research
reactor: a comparative investigation of Szilard-Chalmers process and direct
(n,gamma) route.
AB - The present article reports a systematic assessment on the reactor production of
(51)Cr using the Szilard-Chalmers process as well as (50)Cr(n,gamma)(51)Cr
routes. In an attempt to select the most convenient path to undertake large-scale
production of (51)Cr, the effectiveness of both the production routes on the
basis of target selection, irradiated target processing, yield and specific
activity of (51)Cr, was evaluated. An optimized (50)Cr(n,gamma)(51)Cr production
scheme offering (51)Cr of requisite purity is the positive outcome.
PMID- 24926945
TI - Evaluation of different production routes for the radio medical isotope 203Pb
using TALYS 1.4 and EMPIRE 3.1 code calculations.
AB - (203)Pb radio-medical isotope has found great field of applications in nuclear
medicine over the last decades. The previously measured excitation functions for
the production of this isotope from different reactions were discussed, in order
to confirm the most reasonable ones. Fitting curves were given for some reactions
leading to the production of this isotope. The theoretical models TALYS 1.4, and
EMPIRE 3.1 were used to construct the excitation functions for protons,
deuterons, helium-3 and helium-4 induced reactions on Tl and Hg targets. The
results of different models were compared with each other as well as with the
collected experimental results, using the mean weighted deviation (F), and the
relative variance (D) statistical parameters. Thick target yields were estimated,
based on the discussed excitation functions, and compared with some measured
values.
PMID- 24926946
TI - Activation cross sections of alpha-particle induced nuclear reactions on hafnium
and deuteron induced nuclear reaction on tantalum: production of 178W/(178m)Ta
generator.
AB - In the frame of a systematic study of charged particle production routes of
medically relevant radionuclei, the excitation function for indirect production
of (178m)Ta through (nat)Hf(alpha,xn)(178)W-(178m)Ta nuclear reaction was
measured for the first time up to 40 MeV. In parallel, the side reactions
(nat)Hf(alpha,x)(179,177,176,175)W, (183,182,178g,177,176,175)Ta,
(179m,177m,175)Hf were also assessed. Stacked foil irradiation technique and
gamma-ray spectrometry were used. New experimental cross section data for the
(nat)Ta(d,xn)(178)W reaction are also reported up to 40 MeV. The measured
excitation functions are compared with the results of the ALICE-IPPE, and EMPIRE
nuclear reaction model codes and with the TALYS 1.4 based data in the TENDL-2013
library. The thick target yields were deduced and compared with yields of other
charged particle ((p,4n), (d,5n) and ((3)He,x)) production routes for (178)W.
PMID- 24926947
TI - Ternary complex formation and IGFBP-3 proteolytic activity during childhood: age
dependent changes.
AB - BACKGROUND: IGF-I is mainly sequestered in a 150-kDa ternary complex with IGF
binding protein (IGFBP)-3 and the acid-labile subunit. Data on complex formation
and factors influencing formation have not been established. Dissociation of IGF
I from the ternary complex is in part regulated by proteolysis of IGFBP-3, which
reduces its affinity for IGF-I. Short small for gestational age (SGA) children
have lower IGF-I and IGFBP-3 levels compared with healthy peers. OBJECTIVE: The
objective of the study was to determine complex formation in healthy normal
statured children and assess variables influencing complex formation. Second, we
determined complex formation in short SGA children. DESIGN/METHODS: Complex
formation was assessed using (125)I-hIGF-I column chromatography in 70 controls
(40 boys), median age 10.6 years, and 40 short SGA children (25 boys), median age
8.6 years. IGFBP-3 was determined by Western immunoblotting. RESULTS: (125)I-hIGF
I complex formation showed an age-specific pattern in healthy controls. Variables
positively influencing ternary complex formation were higher serum IGF-I levels
compared with IGFBP-3 levels (P < .001) and lower serum IGF-II (P < .001) and
IGFBP-1 levels (P < .001). In addition, a higher presence of proteolyzed IGFBP-3
negatively influenced 150-kDa complex formation (P = .006). At a young age,
healthy children showed considerable IGFBP-3 proteolytic activity, which declined
with aging (P < .001). IGFBP-3 proteolytic activity was negatively correlated
with IGF-I levels (P < .001). Compared with healthy controls, short SGA children
showed reduced IGF-I levels (-1.3 vs 0.1 SD score) and increased proteolyzed
IGFBP-3 (35.1% vs 12.2%). CONCLUSION: Age-specific normative values for (125)I
hIGF-I 150-kDa ternary complex formation are presented. A decrease in IGF-I and
an increase in IGF-II, IGFBP-1, and IGFBP-3 proteolytic activity associate with
reduced (125)I-hIGF-I ternary complex formation. Our results suggest that in
conditions in which IGF-I levels are low, such as young age and in short SGA
children, IGFBP-3 proteolytic activity is increased to ensure IGF-I
bioavailability.
PMID- 24926948
TI - Adiposity, chronic inflammation, and the prepubertal decline of sex hormone
binding globulin in children: evidence for associations with the timing of
puberty (Earlybird 58).
AB - BACKGROUND: The regulation and role of SHBG in children are poorly defined. Here
we investigated whether adiposity-related mechanisms regulate SHBG and whether
SHBG levels are associated with the age of puberty. METHODS: Longitudinal
modelling of annual physiological and endocrine measurements from age 5 to 15
years in a cohort of 347 Plymouth schoolchildren. RESULTS: SHBG levels were
highest at age 5 years and then declined. Mean (SE) SHBG levels were higher in
boys than girls at age 5 years [mean (SE) difference 7.68 (3.80) nmol/L; P =
.045] but lower in boys by age 15 years [difference 12.19 (3.4) nmol/L; P <
.001]. SHBG correlated inversely with adiposity [body mass index SD score (BMI
SDS)], insulin, IGF-I, C-reactive protein (CRP), and leptin and positively with
adiponectin but not with dehydroepiandrosterone sulphate, androstenedione, or T.
In linear mixed models, five adiposity-related covariates (insulin, leptin,
adiponectin, IGF-I, and CRP) all exerted significant main effects on SHBG (boys P
= .04 to < .001; girls P = .007 to < .001). However, the further addition of BMI
SDS rendered the effects of leptin, insulin, and adiponectin nonsignificant,
whereas CRP and IGF-I remained significant. In separate models, the individual
effects on SHBG of insulin, leptin, IGF-I, and adiponectin, but not CRP, were
displaced by BMI SDS. Finally, in linear regression, BMI SDS little affected R(2)
resulting from the five adiposity-related signals. Girls with lower SHBG levels
at age 5 years reached Tanner stage 2 earlier, tended to have earlier LH
secretion, and earlier age at peak height velocity and menarche. In contrast,
boys with lower SHBG levels at age 5 years reached Tanner stage 2 earlier, but
there were no relationships between SHBG and earlier onset of LH secretion or age
at peak height velocity. CONCLUSIONS: Adiposity-related endocrine mechanisms and
chronic inflammation were associated with the prepubertal decline of SHBG, and
lower SHBG levels anticipated earlier puberty. These findings may be relevant to
the occurrence of earlier puberty in recent decades.
PMID- 24926949
TI - Maintenance of optimal vitamin D status in children and adolescents with
inflammatory bowel disease: a randomized clinical trial comparing two regimens.
AB - CONTEXT: Vitamin D promotes bone health and regulates the immune system, both
important actions for pediatric patients with inflammatory bowel disease (IBD).
The supplementation dose that would maintain optimal serum 25-hydroxyvitamin D
concentration (25OHD >= 32 ng/mL) is unknown. OBJECTIVE: The objective of the
study was to compare two supplementation regimens' efficacy and safety in
maintaining optimal 25OHD in children with IBD. DESIGN: This was a randomized,
not blinded, controlled trial. SETTING: The trial was conducted in the Boston
Children's Hospital Clinical and Translational Study Unit. PARTICIPANTS: Sixty
three patients, aged 8-18 years with IBD and baseline 25OHD greater than 20 ng/mL
were enrolled; 48 completed the study, and one withdrew for adverse events.
INTERVENTION: Arm A received 400 IU of oral vitamin D2 daily (n = 32). Arm B
received 1000 IU daily in the summer/fall and 2000 IU in the winter/spring (n =
31). MAIN OUTCOME: The main outcome was the probability of maintaining 25OHD of
32 ng/mL or greater in all trimonthly visits for 12 months. RESULTS: Three
participants in arm A (9.4%) and three in arm B (9.7%) achieved the primary
outcome (P = .97). The incidence of adverse events, all minor, did not differ.
More participants in arm A developed C-reactive protein level of 1 mg/dL or
greater (31% vs 10%, P = .04) and IL-6 greater than 3 pg/mL (54% vs 27%, P =
.05). CONCLUSIONS: Daily oral vitamin D2 doses up to 2000 IU were inadequate to
maintain optimal 25OHD but were well tolerated. The finding of lower incidence of
elevated inflammatory markers and cytokines among participants receiving higher
vitamin D2 doses merits further study.
PMID- 24926950
TI - 36-month treatment experience of two doses of leuprolide acetate 3-month depot
for children with central precocious puberty.
AB - CONTEXT: We have recently demonstrated short-term (6-month) efficacy and safety
of leuprolide acetate 3-month depot 11.25 and 30 mg in children with central
precocious puberty (CPP). OBJECTIVE: To assess long-term (36-month) hypothalamic
pituitary-gonadal axis suppression and safety of leuprolide acetate 3-month depot
11.25 and 30 mg in children with CPP. DESIGN: Open-label, 36-month extension.
SETTING: Twenty pediatric endocrine centers. PATIENTS: Seventy-two children (mean
age, 8.5 +/- 1.6 y; 65 females) with CPP completed and showed maintenance of LH
suppression after a 6-month lead-in study. INTERVENTION: Leuprolide acetate depot
(11.25 or 30 mg) administered im every 3 months. MAIN OUTCOME MEASURES: Peak
stimulated LH, estradiol, T, growth rate, pubertal progression, and adverse
events (AEs). RESULTS: Twenty-nine of 34 subjects in the 11.25-mg group and 36 of
38 subjects in the 30-mg group had LH values < 4 mIU/mL after day 1 at all time
points. All seven subjects who escaped LH suppression at any time still
maintained sex steroid concentrations at prepubertal levels and showed no signs
of pubertal progression. AEs were comparable between groups, with injection site
pain being the most common (26.4% overall). No AE led to discontinuation of study
drug. The safety profile over 36 months was comparable to that observed during
the 6-month pivotal study. CONCLUSIONS: The two doses of leuprolide acetate 3
month depot were associated with an acceptable safety profile and provided
maintenance of LH suppression in the majority of children with CPP during the 36
months of the study or until readiness for puberty.
PMID- 24926951
TI - A comparison of fat and lean body mass index to BMI for the identification of
metabolic syndrome in children and adolescents.
AB - CONTEXT: The use of body mass index (BMI) to assess risk for cardiometabolic
disease in the pediatric population may be limited by a failure to differentiate
between fat and lean body mass. OBJECTIVES: The objectives of the study were to
identify biologically based criteria for the definition of obesity using fat
(FMI) and lean body mass index (LBMI) and to compare the ability of FMI and LBMI
to BMI to identify the presence of metabolic syndrome (MetSyn). DESIGN: This was
a cross-sectional study using National Health and Nutrition Examination Survey
1999-2006 data. PARTICIPANTS: A total of 3004 participants aged 12-20 years with
dual-energy X-ray absorptiometry body composition and fasting laboratory data
participated in the study. MAIN OUTCOME MEASURES: Adjusted odds ratios for MetSyn
according to FMI and LBMI status and area under the curve for the identification
of MetSyn were measured. RESULTS: Receiver-operating characteristic curve
analyses identified the 80th percentile for FMI and the 74th percentile for LBMI
as the optimal cut points for the identification of MetSyn. There was no
difference in the area under the curve for FMI [0.867; 95% confidence interval
(CI) 0.838-0.891] vs BMI (0.868; 95% CI 0.837-0.894) Z-scores for MetSyn
discrimination. Separate multivariate regression models identified odds ratios
for the identification of MetSyn of 6.2 (95% CI 3.3-11.5) for BMI-Z, 6.4 (95% CI
3.7-11.1) for FMI-Z, and 4.6 (95% CI 3.0-7.1) for LBMI-Z. Models containing both
FMI-Z and LBMI-Z revealed that greater LBMI-Z was associated with greater odds of
low high-density lipoprotein (1.5; 95% CI 1.2-1.9), high blood pressure (1.8; 95%
CI 1.1-2.9), and insulin resistance (1.8; 95% CI 1.4-2.5), independent of FMI-Z.
CONCLUSIONS: The use of FMI and LBMI does not improve upon BMI for the
identification of MetSyn in the pediatric population. Unexpectedly, higher LBMI
was associated with greater odds of multiple cardiometabolic risk factors
independent of FMI. The use of FMI and LBMI allow for the independent evaluation
of relationships between body compartments and disease and warrants future
research.
PMID- 24926952
TI - Association of adenovirus 36 infection with adiposity and inflammatory-related
markers in children.
AB - CONTEXT: Although animal studies suggest that adenovirus 36 (Ad36) infection is
linked to obesity and systemic inflammation, human data are scant and equivocal.
OBJECTIVE: Associations of Ad36 infection with total body adiposity and
inflammatory-related markers were determined in 291 children aged 9-13 years (50%
female, 49% black). DESIGN: Fasting blood samples were measured for presence of
Ad36-specific antibodies and TNF-alpha, IL-6, vascular endothelial growth factor
(VEGF), and monocyte chemoattractant protein-1 (MCP-1). Fat mass and fat-free
soft tissue mass were measured by dual-energy X-ray absorptiometry. RESULTS: The
overall prevalence of Ad36 seropositivity [Ad36(+)] was 42%. There was a higher
percentage of Ad36(+) children in the highest tertiles of TNF-alpha and IL-6
compared with their respective middle and lowest tertiles (both P < .03). There
was also a trend toward a higher prevalence of Ad36(+) children in the highest
tertile of VEGF compared with tertiles 1 and 2 (P = .05). Multinomial logistic
regression, adjusting for age, race, sex, and fat-free soft tissue mass, revealed
that compared with children with the lowest TNF-alpha, IL-6, and VEGF levels
(tertile 1), the adjusted odds ratios for Ad36(+) were 2.2 [95% confidence
interval (CI) 1.2-4.0], 2.4 (95% CI 1.4-4.0), and 1.8 (95% CI 1.0-3.3),
respectively, for those in the highest TNF-alpha, IL-6, and VEGF levels (tertile
3). No association was observed between Ad36(+) and greater levels of fat mass or
MCP-1 (all P > .05). CONCLUSIONS: In children, our data suggest that Ad36(+) may
be associated with biomarkers implicated in inflammation but not with greater
levels of fat mass.
PMID- 24926953
TI - Lipid regulation in lipodystrophy versus the obesity-associated metabolic
syndrome: the dissociation of HDL-C and triglycerides.
AB - CONTEXT: There is an inverse relationship between triglycerides and high-density
lipoprotein cholesterol (HDL-C) in insulin resistance, such that improvement in
insulin resistance decreases triglycerides and increases HDL-C. Patients with
lipodystrophy have extreme insulin resistance with high triglycerides and low HDL
C. Leptin replacement in lipodystrophy leads to a marked decrease in
triglycerides (~60%). OBJECTIVE: Our objective was to study the effects of
metreleptin on triglycerides and HDL-C in lipodystrophy in contrast to changes in
triglycerides and HDL-C in interventions for the obesity-associated metabolic
syndrome. DESIGN, SETTING, AND PATIENTS: This open-label nonrandomized study at
the National Institutes of Health included 82 patients with various forms of
lipodystrophy. INTERVENTION: Metreleptin (0.06-0.24 mg/kg/d) was administered for
24 months in lipodystrophy. MAIN OUTCOME MEASURES: Serum triglycerides and HDL-C
were measured. RESULTS: At baseline, lipodystrophy patients had low HDL-C (30 +/-
1 mg/dL) and high triglycerides (961 +/- 220 mg/dL) with an inverse relationship
between the two (R = -0.37, P = .0006). There was no change in HDL-C with
metreleptin despite major improvement in triglycerides, and individual changes in
triglycerides only weakly predicted HDL-C change. On linear regression, in
obesity, a decrease of 0.1 mg/dL in log(triglycerides) was associated with a 4.2
mg/dL rise in HDL-C, whereas in lipodystrophy, a decrease of 0.1 mg/dL in
log(triglycerides) was associated with only a 0.6 mg/dL rise in HDL-C.
CONCLUSIONS: The normal reciprocal relationship between triglyceride and HDL-C
change seen in response to interventions for the obesity-associated metabolic
syndrome is quantitatively different from that seen in lipodystrophy in response
to metreleptin. Further work is needed to understand HDL-C regulation in this
condition.
PMID- 24926954
TI - Effects of intraduodenal infusion of L-tryptophan on ad libitum eating,
antropyloroduodenal motility, glycemia, insulinemia, and gut peptide secretion in
healthy men.
AB - CONTEXT: Changes in gut motor and hormonal function contribute to the eating
inhibitory and glucose-lowering effects of protein. The effect of amino acids,
the digestive products of protein, on gastrointestinal function, eating, and
glycemia has not been investigated comprehensively. OBJECTIVE: We tested the
hypothesis that L-tryptophan (L-Trp) stimulates gastrointestinal motor and
hormonal functions, inhibits eating, and modulates glycemia. Design, Settings,
Participants, and Intervention: Ten healthy, normal-weight men were studied in
randomized, double-blind fashion, each receiving a 90-minute intraduodenal
infusion of L-Trp at 0.075 (total 6.75 kcal) or 0.15 (total 13.5 kcal) kcal/min
or saline (control). MAIN OUTCOME MEASURES: Antropyloroduodenal motility, plasma
ghrelin, cholecystokinin, glucagon-like peptide-1, peptide tyrosine tyrosine,
insulin, glucagon, blood glucose, and appetite perceptions were measured. Food
intake was quantified from a buffet meal after the infusion. RESULTS:
Intraduodenal L-Trp suppressed antral pressures (P < .05) and stimulated pyloric
pressures (P < .01) and markedly increased cholecystokinin and glucagon (both P <
.001). Glucagon-like peptide-1 and peptide tyrosine tyrosine increased modestly
(both P < .001), but there was no effect on total ghrelin. Insulin increased
slightly (P < .05) without affecting blood glucose. Plasma L-Trp increased
substantially (P < .001). All effects were dose-related and associated with
increased fullness and substantially decreased energy intake (P < .001). There
was a strong inverse correlation between energy intake and plasma L-Trp (r =
0.70; P < .001). CONCLUSIONS: Low caloric intraduodenal loads of L-Trp affect gut
motor and hormonal function and markedly reduce energy intake. A strong inverse
correlation between energy intake and plasma L-Trp suggests that, beyond gut
mechanisms, direct effects of circulating L-Trp mediate its eating-inhibitory
effect.
PMID- 24926956
TI - Increased visceral adiposity and cortisol to cortisone ratio in adults with
congenital lifetime isolated GH deficiency.
AB - CONTEXT: Adult-onset GH deficiency (GHD) increases visceral adiposity and the
activity of the enzyme 11beta-hydroxysteroid dehydrogenase, which converts
cortisone (E) to cortisol (F), both linked to insulin resistance and increased
cardiovascular risk. Conversely, we reported that adults with congenital isolated
GHD (IGHD) have increased insulin sensitivity. OBJECTIVE: To assess the type of
fat distribution and the amount of visceral and sc fat and to correlate them to
the F/E ratio in adults with untreated IGHD due to a mutation in the GHRH
receptor gene. METHODS: Body composition was assessed by dual-energy x-ray
absorptiometry, thickness of sc and visceral fat was measured by sonography, and
serum F and E were measured in 23 IGHD subjects and 21 age-matched controls.
RESULTS: Waist/hip ratio (WHR), trunk fat, and trunk/extremity fat (TR/EXT) ratio
were higher in IGHD subjects. Visceral fat index (VFI) (but not sc fat index
[SFI]) was higher in IGHD. F and F/E ratio were also higher in IGHD. In all 44
individuals, WHR correlated with TR/EXT ratio, thickness of visceral fat, VFI/SFI
ratio, F, and F/E ratio. TR/EXT ratio correlated with visceral fat thickness,
VFI/SFI ratio, and F. Age had a significant effect on VFI and on F/E ratio. Body
mass index SD score and WHR have a similar significant effect on TR/EXT ratio and
on F/E ratio. CONCLUSIONS: Lifetime congenital untreated IGHD causes increased
visceral adiposity with a high F/E ratio. However, the increased insulin
sensitivity suggests that visceral adiposity needs a minimal GH secretion to
translate into increased insulin resistance.
PMID- 24926955
TI - Compromised bone microarchitecture and estimated bone strength in young adults
with cystic fibrosis.
AB - CONTEXT: Young adults with cystic fibrosis (CF) are at risk for low bone density
and fractures, but the underlying alterations in bone microarchitecture that may
contribute to their increased fracture risk are currently unknown. OBJECTIVE: The
main goal of this study was to use high-resolution peripheral quantitative
computed tomography (HR-pQCT) to characterize the bone microarchitecture,
volumetric bone mineral density (vBMD), and estimated strength of the radius and
tibia in young adults with CF compared with healthy volunteers. DESIGN AND
SETTING: This was a cross-sectional study at an outpatient clinical research
center within a tertiary academic medical center. PARTICIPANTS: Thirty young
adults with CF, 18 to 40 years of age, were evaluated and compared with 60
healthy volunteers matched by age (+/-2 years), gender, and race. MAIN OUTCOME
MEASURES: The primary outcomes were HR-pQCT-derived cortical and trabecular vBMD,
bone microarchitecture, and estimates of bone strength. RESULTS: At the radius
and tibia, young adults with CF had smaller bone cross-sectional area and lower
vBMD. Cortical and trabecular microarchitecture were compromised at both sites,
most notably involving the trabecular bone of the tibia. These differences
translated into lower estimated bone strength both at the radius and tibia. After
accounting for body mass index differences, young adults with CF had lower bone
area and estimated bone strength at the radius and had compromised trabecular
microarchitecture and lower total and trabecular vBMD and estimated bone strength
at the tibia. Alterations in trabecular bone density and microarchitecture and
estimated strength measures of the tibia were also greater than expected based on
dual-energy x-ray absorptiometry-derived areal BMD differences. CONCLUSIONS:
Young adults with CF have compromised bone microarchitecture and lower estimated
bone strength at both the radius and tibia, even after accounting for their
smaller body size. These skeletal deficits likely explain the higher fracture
risk observed in young adults with CF.
PMID- 24926957
TI - Specialized home palliative care for adults and children: differences and
similarities.
AB - OBJECTIVE: To compare the provision of specialized home palliative care (SHPC) by
the adult and pediatric SHPC teams at the Munich University Hospital. METHODS:
All patients treated by one of the SHPC teams and their primary caregivers were
eligible for the prospective nonrandomized survey. We analyzed the demographics,
the underlying diseases, duration and impact of SHPC on symptom control and
quality of life (QOL) as well as the caregivers' burden and QOL. RESULTS: Between
April 2011 and June 2012, 100 adult and 43 pediatric patients were treated
consecutively; 60 adults (median age, 67.5 years; 55% male) and 40 children
(median age, 6 years, 57% male) were included in the study. Oncologic diseases
were dominant only in the adult cohort (87 versus 25%, p<0.001). The median
period of care was higher in the pediatric sample (11.8 versus 4.3 weeks; NS).
Ninety-five percent of adult and 45% of pediatric patients died by the end of the
study (p<0.001), 75% and 90% of them at home, respectively. The numbers of
significant others directly affected by the patient's disease was higher in
children (mean 3.4 versus 1.2; p<0.001). The QOL of adult patients and children
(p<0.05 for both), as well as of their primary caregivers (p<0.001 for both)
improved during SHPC, while the caregivers' burden was lowered (p<0.001 for
both). CONCLUSIONS: Our results show important differences in several clinically
relevant parameters between adults and children receiving SHPC. This should
assist in the development of age-group specific SHPC concepts that effectively
address the specific needs of each patient population.
PMID- 24926958
TI - Evaluation of common type 2 diabetes risk variants in a South Asian population of
Sri Lankan descent.
AB - INTRODUCTION: Most studies seeking common variant associations with type 2
diabetes (T2D) have focused on individuals of European ancestry. These
discoveries need to be evaluated in other major ancestral groups, to understand
ethnic differences in predisposition, and establish whether these contribute to
variation in T2D prevalence and presentation. This study aims to establish
whether common variants conferring T2D-risk in Europeans contribute to T2D
susceptibility in the South Asian population of Sri Lanka. METHODOLOGY: Lead
single nucleotide polymorphism (SNPs) at 37 T2D-risk loci attaining genome-wide
significance in Europeans were genotyped in 878 T2D cases and 1523 normoglycaemic
controls from Sri Lanka. Association testing was performed by logistic regression
adjusting for age and sex and by the Cochran-Mantel-Haenszel test after
stratifying according to self-identified ethnolinguistic subgroup. A weighted
genetic risk score was generated to examine the combined effect of these SNPs on
T2D-risk in the Sri Lankan population. RESULTS: Of the 36 SNPs passing quality
control, sixteen showed nominal (p<0.05) association in Sri Lankan samples,
fifteen of those directionally-consistent with the original signal. Overall,
these association findings were robust to analyses that accounted for membership
of ethnolinguistic subgroups. Overall, the odds ratios for 31 of the 36 SNPs were
directionally-consistent with those observed in Europeans (p = 3.2*10(-6)).
Allelic odds ratios and risk allele frequencies in Sri Lankan subjects were not
systematically different to those reported in Europeans. Genetic risk score and
risk of T2D were strongly related in Sri Lankans (per allele OR 1.10 [95%CI 1.08
1.13], p = 1.2*10(-17)). CONCLUSION: Our data indicate that most T2D-risk
variants identified in Europeans have similar effects in South Asians from Sri
Lanka, and that systematic difference in common variant associations are unlikely
to explain inter-ethnic differences in prevalence or presentation of T2D.
PMID- 24926960
TI - Cu-Ni nanoparticle-decorated graphene based photodetector.
AB - We report a simple and straight forward approach for the synthesis of Cu-Ni
graphene hybrid nano-composites. These nano-composites have been characterized
using AFM, XRD, FTIR spectroscopy and HRTEM. The characterization data clearly
shows uniform decoration of Cu-Ni nanoparticles on graphene layers. A thin film
of these nano-composites was found to exhibit unique electrical and photoresponse
properties, which may be attributed to photothermoelectric and photovoltaic
effects. The photocurrent measurements indicate superior light absorption and
long lifetime of this device.
PMID- 24926959
TI - Quantification of functionalised gold nanoparticle-targeted knockdown of gene
expression in HeLa cells.
AB - INTRODUCTION: Gene therapy continues to grow as an important area of research,
primarily because of its potential in the treatment of disease. One significant
area where there is a need for better understanding is in improving the
efficiency of oligonucleotide delivery to the cell and indeed, following
delivery, the characterization of the effects on the cell. METHODS: In this
report, we compare different transfection reagents as delivery vehicles for gold
nanoparticles functionalized with DNA oligonucleotides, and quantify their
relative transfection efficiencies. The inhibitory properties of small
interfering RNA (siRNA), single-stranded RNA (ssRNA) and single-stranded DNA
(ssDNA) sequences targeted to human metallothionein hMT-IIa are also quantified
in HeLa cells. Techniques used in this study include fluorescence and confocal
microscopy, qPCR and Western analysis. FINDINGS: We show that the use of
transfection reagents does significantly increase nanoparticle transfection
efficiencies. Furthermore, siRNA, ssRNA and ssDNA sequences all have comparable
inhibitory properties to ssDNA sequences immobilized onto gold nanoparticles. We
also show that functionalized gold nanoparticles can co-localize with
autophagosomes and illustrate other factors that can affect data collection and
interpretation when performing studies with functionalized nanoparticles.
CONCLUSIONS: The desired outcome for biological knockdown studies is the
efficient reduction of a specific target; which we demonstrate by using ssDNA
inhibitory sequences targeted to human metallothionein IIa gene transcripts that
result in the knockdown of both the mRNA transcript and the target protein.
PMID- 24926962
TI - Experimental ruminant models for bovine neosporosis: what is known and what is
needed.
AB - At present, bovine neosporosis is an important worldwide concern because of its
wide geographic distribution and economic impact. Abortion is the main clinical
sign of bovine neosporosis in both dairy and beef cattle. Ruminant challenge
models are critical to evaluate potential vaccine candidates to help tackle
bovine neosporosis and to study pathogenesis and host responses to infection.
Several research groups have developed ruminant models of Neospora caninum
infection independently of others, resulting in a high degree of variability due
to the use of different species of animals, breeds, strains/isolates of N.
caninum, doses, routes and times of inoculation. Standardization is greatly
needed to advance research in a more collaborative, timely and efficient manner.
In the absence of widely accepted international guidelines, this manuscript
serves to summarize and discuss the different models and parameters currently in
use. Parameters essential for the development of non-pregnant and pregnant
ruminant models are outlined and the main knowledge gaps are identified. This
information could act as the basis to develop a consensus for international
standard guidelines for ruminant models of neosporosis that would be helpful for
researchers in this field worldwide.
PMID- 24926961
TI - PP2A inhibitors suppress migration and growth of PANC-1 pancreatic cancer cells
through inhibition on the Wnt/beta-catenin pathway by phosphorylation and
degradation of beta-catenin.
AB - Cantharidin is an active constituent of mylabris, a traditional Chinese medicine,
and presents strong anticancer activity in various cell lines. Cantharidin is a
potent and selective inhibitor of serine/threonine protein phosphatase 2A (PP2A).
Our previous studies revealed the prospect of application of cantharidin, as well
as other PP2A inhibitors, in the treatment of pancreatic cancer. However, the
mechanisms involved in the anticancer effect of PP2A inhibitors have not been
fully explored. The Wnt/beta-catenin pathway is involved in cell migration and
proliferation and participates in the progression of pancreatic cancer. If beta
catenin is phosphorylated and degraded, the Wnt/beta-catenin pathway is blocked.
PP2A dephosphorylates beta-catenin and keeps the Wnt/beta-catenin pathway active.
In the present study, we found that PP2A inhibitor treatment induced
phosphorylation and degradation of beta-catenin. The suppression on the migration
and growth of PANC-1 pancreatic cancer cells could be attenuated by pretreatment
with FH535, a beta-catenin pathway inhibitor. Microarray showed that PP2A
inhibitor treatment induced expression changes in 13 of 138 genes downstream of
the beta-catenin pathway. Real-time PCR further confirmed that FH535 attenuated
the expression changes induced by PP2A inhibitors in 6 of these 13 candidate
genes. These 6 genes, VEGFB, Dkk3, KRT8, NRP1, Cacnalg and WISP2, have been
confirmed to participate in the migration and/or growth regulation in previous
studies. Thus, the phosphorylation- and degradation-mediated suppression on beta
catenin participates in the cytotoxicity of PP2A inhibitors. Our findings may
provide insight into the treatment of pancreatic cancer using a targeting PP2A
strategy.
PMID- 24926963
TI - Two single-nucleotide polymorphisms in the DKK1 gene are associated with
developmental dysplasia of the hip in the Chinese Han female population.
AB - AIMS: Developmental dysplasia of the hip (DDH) is a common congenital or acquired
skeletal disease characterized by subluxation, dislocation, or dysplasia of the
hip joint. This study aimed to explore the potential impact of Dickkopf-1 (DKK1)
gene polymorphisms on embryonic hip joint development and the course of DDH.
METHODS: One hundred ninety-two unrelated Chinese Han female DDH patients and 191
unrelated, healthy, ethnically matched female controls were recruited and
genotyped for two tag single-nucleotide polymorphisms (SNPs) of DKK1 using the
Sequenom method. RESULTS: One of the two DKK1 tag SNPs, rs11001560, was not shown
to be significantly statistically different in allele frequency between DDH
patients and control groups (chi(2)=0.898, df=1, p=0.343). However, a significant
difference in genotype distribution was observed (chi(2)=21.987, df=2, p<0.0001).
For SNP rs1569198, significant differences were observed in both allele frequency
and genotype distribution between the DDH group and control group (chi(2)=31.484,
df=1, p<0.0001 and chi(2)=30.323, df=2, p<0.0001). The A allele frequency of
rs1569198 has a significant association to increased risk of DDH development
(odds ratio [OR]=3.032, 95% confidence interval [95% CI]: 2.034-4.519).
CONCLUSION: In conclusion, the association between two tag SNPs of the DKK1 gene
and DDH development reached statistical significance in our study population; the
results of our genetic association analysis indicated that DKK1 may be a good
candidate responsible for DDH development in the Chinese Han female population.
PMID- 24926964
TI - A rare example of nickel(ii) chains based on a heteroscorpionate-like ligand with
quadruple imidazolyl interactions.
AB - The first nickel(ii) complex with the heteroscorpionate-like bridging ligand
DIMMAL (2-di1H-2-imidazolylmethylmalonate), [Ni(DIMMAL)(H2O)3]n.3nH2O (1), is a
one-dimensional coordination polymer whose structure shows regular Ni(ii) chains
with H-bonding inter-chain interactions and a rare example of a Quadruple
Imidazolyl Embrace (QIE). The Ni(ii) chain shows a weak antiferromagnetic
interaction that can be modelled with a regular S = 1 chain model including a
zero field splitting with g = 2.270, J = -1.5 cm(-1) and D = -2.26 cm(-1).
PMID- 24926965
TI - Recent advances in zinc-air batteries.
AB - Zinc-air is a century-old battery technology but has attracted revived interest
recently. With larger storage capacity at a fraction of the cost compared to
lithium-ion, zinc-air batteries clearly represent one of the most viable future
options to powering electric vehicles. However, some technical problems
associated with them have yet to be resolved. In this review, we present the
fundamentals, challenges and latest exciting advances related to zinc-air
research. Detailed discussion will be organized around the individual components
of the system - from zinc electrodes, electrolytes, and separators to air
electrodes and oxygen electrocatalysts in sequential order for both primary and
electrically/mechanically rechargeable types. The detrimental effect of CO2 on
battery performance is also emphasized, and possible solutions summarized.
Finally, other metal-air batteries are briefly overviewed and compared in favor
of zinc-air.
PMID- 24926966
TI - Solidification of a colloidal hard sphere like model system approaching and
crossing the glass transition.
AB - We investigated the process of vitrification and crystallization in a model
system of colloidal hard spheres. The kinetics of the solidification process was
measured using time resolved static light scattering, while the time evolution of
the dynamic properties was determined using time resolved dynamic light
scattering. By performing further analysis we confirm that solidification of hard
sphere colloids is mediated by precursors. Analyzing the dynamic properties we
can show that the long time dynamics and thus the shear rigidity of the
metastable melt is highly correlated with the number density of solid clusters
(precursors) nucleated. In crystallization these objects convert into highly
ordered crystals whereas in the case of vitrification this conversion is blocked
and the system is (temporarily) locked in the metastable precursor state. From
the early stages of solidification one cannot clearly conclude whether the melt
will crystallize or vitrify. Furthermore our data suggests that colloidal hard
sphere glasses can crystallize via homogeneous nucleation.
PMID- 24926967
TI - Electrochemistry provides a point-of-care approach for the marker indicative of
Pseudomonas aeruginosa infection of cystic fibrosis patients.
AB - It has recently been demonstrated that 2-aminoacetophenone (2-AA) is a chemical
indicator in exhaled air/breath of Pseudomonas aeruginosa infection associated
with progressive life threatening decline of lung function in cystic fibrosis
sufferers [Scott-Thomas et al., BMC Pulm. Med., 2010, 10, 56]. Currently the
detection of 2-AA involves laboratory based instrumentation such as mass
spectrometry and a hand-held point-of-care type breath device would be ideal in
providing real-time results within seconds to accelerate patient care decision
making processes. To this end, we demonstrate proof-of-concept that the chemical
marker 2-AA, indicative of Pseudomonas aeruginosa infection, can be measured
using electrochemical based sensing strategies. A range of commercially available
electrode substrates are explored demonstrating for the first time that 2-AA is
electrochemically active within aqueous based solutions providing an
(electro)analytical signal. Glassy carbon, boron-doped diamond and platinum
electrodes have been explored towards the electrochemical oxidation of 2-AA.
Electrode fouling is observed requiring pre-treatment in the form of mechanical
polishing between voltammetric scans and measurements. To alleviate this, screen
printed graphite electrodes are shown to be a more viable option for
implementation into breath sensing devices and overcome the fouling problem since
due to their low cost and disposable nature, a new electrode can be used for each
measurement. The analytical utility of the platinum, screen-printed and boron
doped diamond electrodes were found to correspond to 6.85, 7.66 and 4.86 mM
respectively. The challenges associated with the electrochemical sensing of 2-AA
in breath that need to be overcome are discussed. This generic approach where
electrochemical based technology is used to provide measurements for chemical
markers in exhaled air/breath for medical diagnostics termed electrochemical
breathprints (ec-breathprints), has the potential to be developed into a hand
held point-of-care breath diagnostic tool for identifying Pseudomonas aeruginosa
infection in exhaled air/breath.
PMID- 24926968
TI - Structurational divergence theory as explanation for troublesome outcomes in
nursing communication.
AB - Structurational divergence (SD) theory captures negative communication cycles
resulting from interpenetration of incompatible meaning structures. It is
estimated that 12-15% of practicing nurses suffer from a problematic level of SD.
With a sample of 713 nurses (57 departments) in a large hospital, this study
tests a model positing SD as a root explanation of nursing job satisfaction and
turnover. A number of variables long presumed to be explanations for job
satisfaction and turnover were hypothesized as mediators between SD and those
outcomes. Path analysis showed support for burnout, role conflict, bullying, and
organizational identification as useful mediators, explaining 68% of the variance
in job satisfaction, and 45% in intentions to leave. The study also explores
relationships between SD and hospital quality indicators. SD is a concern because
it powerfully explains a number of poor outcomes and provides an underlying
explanation for a number of factors that predict job satisfaction and turnover.
PMID- 24926969
TI - Acalvaria.
AB - Acalvaria is a rare congenital malformation characterized by an absence of skin
and skull. The authors describe a newborn at an estimated 38 weeks gestational
age who was delivered via cesarean section from a 32-year-old mother. Upon
delivery, the child was noted to have a frontal encephalocele and an absence of
calvaria including skull and skin overlying the brain. A thin membrane
representing dura mater was overlying the cortical tissue. After multiple
craniofacial operations, including repair of the encephalocele and application of
cultured keratinocytes over the rostral defect, the patient demonstrated
significant closure of the calvarial defect and was alive at an age of more than
17 months with near-average development.
PMID- 24926970
TI - The pathological spectrum of solid CNS metastases in the pediatric population.
AB - OBJECT: Collectively, metastatic tumors are the most common malignancy
encountered in the adult central nervous system (CNS), arising most often from
lung, breast, skin, and gastrointestinal tract carcinomas. Limited information is
available in the literature regarding solid nonhematopoietic CNS metastases in
children. The authors carried out a retrospective study of pediatric metastatic
neoplasms to the CNS treated in a 30-year period to characterize their frequency,
common histological subtypes, and sites of origin. METHODS: The archival
pathology files were searched (1981-2011) for metastatic tumors to the CNS in
patients 21 years of age and younger. Pathology material was reviewed, tumors
were classified by site of origin and histological subtype, and survival was
evaluated. RESULTS: The authors identified 26 patients with solid
nonhematopoietic CNS metastases out of 1135 pediatric CNS tumors diagnosed from
1981 to 2011. Patients ranged in age from 1.5 to 20.3 years and were equally
divided between sexes. Most CNS metastases were supratentorial (85%) and solitary
(65%). The mean interval from primary malignant diagnosis to CNS metastasis was
27 months. Sites of origin included kidney/adrenal, bone/soft tissue, gonads,
head and neck, lung, and liver. Mean survival after CNS involvement was 36.6
months. Overall 1-year and 5-year survival rates were 52% and 16%, respectively.
CONCLUSIONS: In neuropathology practice, nonhematopoietic pediatric CNS
metastases are far less common than are nonhematopoietic adult CNS metastases,
accounting for approximately 2% of all pediatric CNS tumors. The most common
tumors to exhibit CNS metastasis are of kidney/adrenal origin, followed by those
from bone/soft tissue. As expected, prognosis is dismal, despite aggressive
therapy.
PMID- 24926971
TI - Factors associated with ventricular catheter movement and inaccurate catheter
location: post hoc analysis of the hydrocephalus clinical research network
ultrasound-guided shunt placement study.
AB - OBJECT: Shunt survival may improve when ventricular catheters are placed into the
frontal horn or trigone of the lateral ventricle. However, techniques for
accurate catheter placement have not been developed. The authors recently
reported a prospective study designed to test the accuracy of catheter placement
with the assistance of intraoperative ultrasound, but the results were poor
(accurate placement in 59%). A major reason for the poor accurate placement rate
was catheter movement that occurred between the time of the intraoperative
ultrasound image and the first postoperative scan (33% of cases). The control
group of non-ultrasound using surgeons also had a low rate of accurate placement
(accurate placement in 49%). The authors conducted an exploratory post hoc
analysis of patients in their ultrasound study to identify factors associated
with either catheter movement or poor catheter placement so that improved
surgical techniques for catheter insertion could be developed. METHODS: The
authors investigated the following risk factors for catheter movement and poor
catheter placement: age, ventricular size, cortical mantle thickness, surgeon
experience, surgeon experience with ultrasound prior to trial, shunt entry site,
shunt hardware at entry site, ventricular catheter length, and use of an
ultrasound probe guide for catheter insertion. Univariate analysis followed by
multivariate logistic regression models were used to determine which factors were
independent risk factors for either catheter movement or inaccurate catheter
location. RESULTS: In the univariate analyses, only age < 6 months was associated
with catheter movement (p = 0.021); cortical mantle thickness < 1 cm was near
significant (p = 0.066). In a multivariate model, age remained significant after
adjusting for cortical mantle thickness (OR 8.35, exact 95% CI 1.20-infinity).
Univariate analyses of factors associated with inaccurate catheter placement
showed that age < 6 months (p = 0.001) and a posterior shunt entry site (p =
0.021) were both associated with poor catheter placement. In a multivariate
model, both age < 6 months and a posterior shunt entry site were independent risk
factors for poor catheter placement (OR 4.54, 95% CI 1.80-11.42, and OR 2.59, 95%
CI 1.14-5.89, respectively). CONCLUSIONS: Catheter movement and inaccurate
catheter placement are both more likely to occur in young patients (< 6 months).
Inaccurate catheter placement is also more likely to occur in cases involving a
posterior shunt entry site than those involving an anterior shunt entry site.
Future clinical studies aimed at improving shunt placement techniques must
consider the effects of young age and choice of entry site on catheter location.
PMID- 24926972
TI - Thirty-day outcomes of cerebrospinal fluid shunt surgery: data from the National
Surgical Quality Improvement Program-Pediatrics.
AB - OBJECT: Cerebrospinal fluid shunts are the mainstay of the treatment of
hydrocephalus. In past studies, outcomes of shunt surgery have been analyzed
based on follow-up of 1 year or longer. The goal of the current study is to
characterize 30-day shunt outcomes, to identify clinical risk factors for shunt
infection and failure, and to develop statistical models that might be used for
risk stratification. METHODS: Data for 2012 were obtained from the National
Surgical Quality Improvement Program-Pediatrics (NSQIP-P) of the American College
of Surgeons. Files with index surgical procedures for insertion or revision of a
CSF shunt composed the study set. Returns to the operating room within 30 days
for shunt infection and for shunt failure without infection were the study end
points. Associations with a large number of potential clinical risk factors were
analyzed on a univariate basis. Logistic regression was used for multivariate
analysis. RESULTS: There were 1790 index surgical procedures analyzed. The
overall rates of shunt infection and shunt failure without infection were 2.0%
and 11.5%, respectively. Male sex, steroid use in the preceding 30 days, and
nutritional support at the time of surgery were risk factors for shunt infection.
Cardiac disease was a risk factor for shunt failure without infection, and
initial shunt insertion, admission during the second quarter, and neuromuscular
disease appeared to be protective. There was a weak association of increasing age
with shunt failure without infection. Models based on these factors accounted for
no more than 6% of observed variance. Construction of stable statistical models
with internal validity for risk adjustment proved impossible. CONCLUSIONS: The
precision of the NSQIP-P dataset has allowed identification of risk factors for
shunt infection and for shunt failure without infection that have not been
documented previously. Thirty-day shunt outcomes may be useful quality metrics,
possibly even without risk adjustment. Whether important variation in 30-day
outcomes exists among institutions or among neurosurgeons is yet unknown.
PMID- 24926975
TI - Editorial.
PMID- 24926973
TI - Socioeconomic patterning in the incidence and survival of children and young
people diagnosed with malignant melanoma in northern England.
AB - Previous studies have found marked increases in melanoma incidence. The increase
among young people in northern England was especially apparent among females.
However, overall 5-year survival has greatly improved. The present study aimed to
determine whether socioeconomic factors may be involved in both etiology and
survival. All 224 cases of malignant melanoma diagnosed in patients aged 10-24
years during 1968-2003 were extracted from a specialist population-based regional
registry. Negative binomial regression was used to examine the relationship
between incidence and area-based measures of socioeconomic deprivation and small
area population density. Cox regression was used to analyze the relationship
between survival and deprivation and population density. There was significantly
decreased risk associated with living in areas of higher unemployment (relative
risk per 1% increase in unemployment=0.93; 95% confidence interval (CI) 0.90
0.96, P<0.001). Survival was better in less deprived areas (hazard ratio (HR) per
tertile of household overcrowding=1.52; 95% CI 1.05-2.20; P=0.026), but this
effect was reduced in the period 1986-2003 (HR=0.61; 95% CI 0.40-0.92; P=0.018).
This study found that increased risk of melanoma was linked with some aspects of
greater affluence. In contrast, worse survival was associated with living in a
more deprived area.
PMID- 24926976
TI - Serotonin and gender-specific psychiatric disorders.
AB - The serotonergic system has been linked to the etiology of several, albeit
disparate, psychiatric disorders. The accumulation of many lines of evidence
support the view that there are gender differences in the serotonergic system in
humans. It is further proposed that a gender differentiated serotonergic system
acts as the nidus for the development of gender-specific psychiatric disorders.
Depression, anxiety and eating disorders are largely seen in females, whereas
alcoholism, aggressivity and suicide predominate in males. Evidence from both
animal and human studies suggesting that the serotonergic system mediates between
social-environmental experience and biological states is presented and reviewed.
A reconceptualization of the serotonergic system as a gender-specific
psychobiological interface is proposed. (Int J Psych Clin Prac 1997; 1: 3-13).
PMID- 24926977
TI - Muscarinic therapies in Alzheimer's disease; from palliative treatments to
disease modification.
AB - Cholinergic therapies for Alzheimer's disease (AD) have been developed following
painstaking neuropathological and neurochemical studies. Drugs based upon this
approach are in development and it is hoped that these compounds will be of some
use as palliative therapy. However, increasing evidence from molecular biology
suggests that increasing cholinergic neurotransmission might not only alter
cognition but also modify disease progression. The evidence that muscarinic
induced increases in protein kinase C activity favourably alter amyloid precursor
protein metabolism and tau phosphorylation is reviewed. A unifying hypothesis of
AD pathogenesis brings together plaque and tangle formation, suggesting that
cholinergic therapies in development may have far-reaching implications as
treatments for AD. (Int J Psych Clin Pract 1997; 1: 15-20).
PMID- 24926978
TI - New aspects of diagnosis and pharmacotherapy of eating disorders.
AB - This paper points out recent diagnostic features of bulimia and anorexia nervosa
and of the recently defined 'binge eating disorder'. The pharmacologic treatment
approaches for these conditions will be reviewed by discussing the results of
randomized controlled studies. For anorexia nervosa (AN), the outcome of
pharmacotherapy has been poor, in contrast to bulimia nervosa (BN) and binge
eating disorder (BED), where pharmacological treatment, primarily with
antidepressants, has proved to be effective, at least in the short run. However,
long-term outcome studies are still awaited. (MJ Psych Clin Pract 1997; 1: 21
35).
PMID- 24926979
TI - Chewing and spitting out food in eating disorder.
AB - The case of a 19-year-old woman with an eating disorder is presented, whose prime
symptom was chewing and spitting out food. She intentionally chose this behaviour
as the best strategy to avoid gaining weight while enjoying the taste of large
quantities of food. (IntJ Psych Clin Pract 1997; 1: 37-38).
PMID- 24926980
TI - Prevention of depression and suicide by education and medication: impact on male
suicidality. An update from the Gotland study.
AB - Depression is an endemic disease with high morbidity, and a high mortality in
depression-related suicide. Suicidal tendencies, especially among males, are
increasing today in East European countries. General practitioners are considered
to have a very important role in the prevention and treatment of depression.
Matters of depression are more openly discussed in society. Patients showing up
in primary care are nowadays less reluctant to see depression as a causative
factor behind their symptoms. Taboos concerning the stigma of depression and
suicidality are weaker. In spite of this, important problems still exist
regarding poor diagnostic and treatment routines in primary care and the
inability of depressive and suicidal men to seek for help or to be recognized. In
the years 1983-1984, the Swedish Committee for Prevention and Treatment of
Depression (PTD) offered an educational programme to all general practitioners
(GPs) on the Swedish island of Gotland. During the 1980s this education was shown
to lead to a significant decrease in inpatient care, morbidity, suicide,
mortality and costs of depressive illness on the island. The use of anxiolytic
and sedative medication decreased and that of antidepressant medication
increased. This all showed that an educational programme in primary care on
depression and suicide was effective. However, the effects faded and,
unexpectedly, the number of male suicides was almost unaffected by the
educational programme and by the GPs' ability to diagnose and treat depression.
Repeated educational activities during the 1990s again led to a decrease in
suicides, mainly in females. Also, the increase in antidepressant prescriptions
following GP education in the 1990s was mostly in the treatment of female
patients. One of the reasons was that few suicidal males are known to the medical
services although many of these people are known to the police and social welfare
services. The reasons why depressed and suicidal men do not show up in the
medical services are discussed, and found to lie in the differences between the
male and the female depressive syndrome, leading to difficulties in reaching,
diagnosing and treating these patients. Strategies for finding and treating
suicidal depressives are discussed. One conclusion is that males are as often
depressed as females, but in different ways, and that one of the reasons behind
the dramatic over-representation of males amongst suicide victims may be found in
the low rate of diagnosis and treatment of the male depressive syndrome, (hit J
Psych Clin Pract 1997; 1: 39-46).
PMID- 24926981
TI - Effects of antidepressant drugs on sexual function.
AB - Adequate sexual expression is an essential part of human relationships, enhancing
quality of life and providing a sense of physical, psychological and social well
being. Unfortunately, depression is associated with impairments of sexual
function and satisfaction. These problems can worsen a quality of life that is
already reduced by the effects of depressive illness. The existing antidepressant
drugs are far from ideal, most having adverse effects on sexual function.
Unfortunately, the exact incidence of sexual dysfunction during treatment with
many antidepressants is not known. Disturbances of sexual interest and
performance will only be detected in a reliable fashion when systematic enquiries
are made during the course of the standard clinical interview. Growing awareness
of the adverse effects of many antidepressants on sexual function has led to some
re-evaluation of the earlier claims for the good tolerability of many of the
newer drugs. There is a clear need for further well-designed controlled studies
of the effects of antidepressants on sexual function, so that this aspect of the
tolerability of differing drugs can be assessed more reliably. (IntJ Psych Clin
Pract 1997; 1: 47-58).
PMID- 24926982
TI - The value of selective serotonin re-uptake inhibitors (SSRIs) in the treatment of
panic disorder with and without agoraphobia.
AB - Many promising medical and psychotherapeutic treatment approaches have been
developed for panic disorder with or without agoraphobia in recent years.
Previously, good results have been seen with the TCAs (imipramine, clomipramine)
and alprazolam. This review represents a comprehensive survey of all existing
studies of the efficacy of the selective serotonin re-uptake inhibitors (SSRIs)
paroxetine and fluvoxamine. There is conclusive evidence that the effectiveness
of these drugs-paroxetine in particular-can be regarded as proven. Moreover,
there are indications that a quicker response and better tolerability can be
achieved than with TCA. A phased therapy model demonstrates how SSRIs can amplify
and therefore improve the treatment of panic disorder. Reference is also made to
differential indications with regard to the severity and the duration of the
disorder. (Int J Psych Clin Pract 1997; 1: 59-67).
PMID- 24926983
TI - National Confidential Inquiry into Suicide and Homicide by People with Mental
Illness: recent developments.
AB - The National Confidential Inquiry into Suicide and Homicide by People with Mental
Illness, originally established in 1992, was relocated to Manchester in 1996 with
the aim of studying homicides and suicides by people with mental illness in the
UK. The Inquiry collects a comprehensive national sample of homicides and
suicides, using data from the Home Office and court reports in the case of
homicide, and from public health mortality files for suicide. When there has been
contact with specialist mental health services, mental health teams are asked to
provide further information in a standard questionnaire. The Inquiry is
particularly interested in final contact with services prior to death and
collects detailed information on various priority groups and the process of risk
assessment. The findings will be the basis of recommendations on to clinical
practice and training, with the aim of reducing avoidable deaths resulting from
mental illness (Int J Psych Clin Pract 1997; 1: 69-71).
PMID- 24926984
TI - Psychiatric aspects of irritable bowel syndrome.
AB - The considerable psychiatric comorbidity in patients with irritable bowel
syndrome (IBS) is noted and the concept of depressive spectrum disorder is
briefly discussed. The results of a recently published study examining the effect
of psychiatric comorbidity on the outcome of IBS are presented and discussed.
Finally, details of a double-blind, placebo-controlled trial of Nefazodone in the
treatment of patients with IBS, which has recently begun in South Wales, are
discussed. (Int J Psych Clin Pract 1997; 1: 73-74).
PMID- 24926985
TI - Capsaicin-induced activation of p53-SMAR1 auto-regulatory loop down-regulates
VEGF in non-small cell lung cancer to restrain angiogenesis.
AB - Lung cancer is the leading cause of cancer-related deaths worldwide. Despite
decades of research, the treatment options for lung cancer patients remain
inadequate, either to offer a cure or even a substantial survival advantage owing
to its intrinsic resistance to chemotherapy. Our results propose the
effectiveness of capsaicin in down-regulating VEGF expression in non-small cell
lung carcinoma (NSCLC) cells in hypoxic environment. Capsaicin-treatment re
activated p53-SMAR1 positive feed-back loop in these cells to persuade p53
mediated HIF-1alpha degradation and SMAR1-induced repression of Cox-2 expression
that restrained HIF-1alpha nuclear localization. Such signal-modulations
consequently down regulated VEGF expression to thwart endothelial cell migration
and network formation, pre-requisites of angiogenesis in tumor micro-environment.
The above results advocate the candidature of capsaicin in exclusively targeting
angiogenesis by down-regulating VEGF in tumor cells to achieve more efficient and
cogent therapy of resistant NSCLC.
PMID- 24926987
TI - Risky business.
PMID- 24926986
TI - Correlation of intra-tumor 18F-FDG uptake heterogeneity indices with perfusion CT
derived parameters in colorectal cancer.
AB - METHODS: Thirty patients with proven colorectal cancer prospectively underwent
integrated 18F-FDG PET/DCE-CT to assess the metabolic-flow phenotype. Both CT
blood flow parametric maps and PET images were analyzed. Correlations between PET
heterogeneity and perfusion CT were assessed by Spearman's rank correlation
analysis. RESULTS: Blood flow visualization provided by DCE-CT images was
significantly correlated with 18F-FDG PET metabolically active tumor volume as
well as with uptake heterogeneity for patients with stage III/IV tumors
(|rho|:0.66 to 0.78; p-value<0.02). CONCLUSION: The positive correlation found
with tumor blood flow indicates that intra-tumor heterogeneity of 18F-FDG PET
accumulation reflects to some extent tracer distribution and consequently
indicates that 18F-FDG PET intra-tumor heterogeneity may be associated with
physiological processes such as tumor vascularization.
PMID- 24926988
TI - Re: Lange R, Hoerer J, Schreiber C. What are the obstacles to training in surgery
for congenital heart disease in Germany? Thorac Cardiovasc Surg 2013; 61: 273
277.
PMID- 24926989
TI - Potentiostatic reversible photoelectrochromism: an effect appearing in nanoporous
TiO2/Ni(OH)2 thin films.
AB - In the field of energy saving, finding composite materials with the ability of
coloring upon both illumination and change of the applied electrode potential
keeps on being an important goal. In this context, chemical bath deposition of
Ni(OH)2 into nanoporous TiO2 thin films supported on conducting glass leads to
electrodes showing both conventional electrochromic behavior (from colorless to
dark brown and vice versa) together with photochromism at constant applied
potential. The latter phenomenon, reported here for the first time, is
characterized by fast and reversible coloration upon UV illumination. The
bleaching kinetics shows first order behavior with respect to the Ni(III) centers
in the film, and an order 1.2 with respect to electrons in the TiO2 film. From a
more applied point of view, this study opens up the possibility of having two
mode smart windows showing not only conventional electrochromism but also
reversible darkening upon illumination.
PMID- 24926990
TI - Dislocated double-layer metal gratings: an efficient unidirectional coupler.
AB - We propose theoretically and demonstrate experimentally a dislocated double-layer
metal grating structure, which operates as a unidirectional coupler capable of
launching surface plasmon polaritons in a desired direction under normal
illumination. The structure consists of a slanted dielectric grating sandwiched
between two gold gratings. The upper gold grating has a nonzero lateral relative
displacement with respect to the lower one. Numerical simulations show that a
grating structure with 7 periods can convert 49% of normally incident light into
surface plasmons with a contrast ratio of 78 between the powers of the surface
plasmons launched in two opposite directions. We explain the unidirectional
coupling phenomenon by the dislocation-induced interference of the diffracted
waves from the upper and lower gold gratings. Furthermore, we developed a simple
and cost-effective technique to fabricate the structure via tilted two-beam
interference lithography and subsequent shadow deposition of gold. The
experimental results demonstrate a coupling efficiency of 36% and a contrast
ratio of 43. The relatively simple periodic nature of our structure lends itself
to large-scale low-cost fabrication and simple theoretical analysis. Also, unlike
the previous unidirectional couplers based on aperiodic structures, the design
parameters of our unidirectional coupler can be determined analytically.
Therefore, this structure can be an important component for surface-plasmon-based
nanophotonic circuits by providing an efficient interface between free-space and
surface plasmon waves.
PMID- 24926991
TI - Targeting the NF-E2-related factor 2 pathway: a novel strategy for glioblastoma
(review).
AB - Glioblastoma is the most common and malignant subtype among all brain tumors.
Nuclear factor erythroid 2-related factor 2 (Nrf2) is an essential component of
cellular defense against a variety of endogenous and exogenous stresses. A marked
increase in research over the past few decades focusing on Nrf2 and its role in
regulating glioblastoma has revealed the potential value of Nrf2 in the treatment
of glioblastoma. In the present review, we discuss a novel framework of Nrf2 in
the regulation of glioblastoma and the mechanisms regarding the downregulation of
Nrf2 in treating glioblastoma. The candidate mechanisms include direct and
indirect means. Direct mechanisms target tumor molecular pathways in order to
overcome resistance to chemotherapy and radiotherapy, to inhibit proliferation,
to block invasion and migration, to induce apoptosis, to promote differentiation,
to enhance autophagy and to target glioblastoma stem cells. Indirect mechanisms
target the reaction between glioblastoma cells and the surrounding
microenvironment. Overall, the value of the Nrf2 pathway in glioblastoma provides
a promising opportunity for new approaches by which to treat glioblastoma.
PMID- 24926992
TI - Light-dependent control of redox balance and auxin biosynthesis in plants.
AB - Auxin, indole-3-acetic acid (IAA), plays a crucial role for morphogenesis,
development, growth, and tropisms in many plant species. Auxin biosynthesis is
accomplished via specific pathways depending on several enzymes starting from
amino acid, tryptophan. Auxin biosynthesis in maize is particularly active at the
tip of coleoptile expressing abundant YUCCA (YUC) protein, which is essential for
auxin biosynthesis. In vitro experiment demonstrated that precursor of auxin
molecule; indole-3-acetaldehyde (IAAld) was generated by illumination of the
mixture of tryptophan and flavin in non-enzymatic manner. In addition, we have
detected immediate production of reactive oxygen species (ROS) in illuminated
Arabidopsis root cells. In this perspective, we are proposing the non-enzymatic
regulation of redox homeostasis and auxin biosynthesis throughout the plant body
under variable environmental light conditions.
PMID- 24926993
TI - Assessing the accuracy and feasibility of a refractive error screening program
conducted by school teachers in pre-primary and primary schools in Thailand.
AB - INTRODUCTION: As part of the development of a system for the screening of
refractive error in Thai children, this study describes the accuracy and
feasibility of establishing a program conducted by teachers. OBJECTIVE: To assess
the accuracy and feasibility of screening by teachers. METHODS: A cross-sectional
descriptive and analytical study was conducted in 17 schools in four provinces
representing four geographic regions in Thailand. A two-staged cluster sampling
was employed to compare the detection rate of refractive error among eligible
students between trained teachers and health professionals. Serial focus group
discussions were held for teachers and parents in order to understand their
attitude towards refractive error screening at schools and the potential success
factors and barriers. RESULTS: The detection rate of refractive error screening
by teachers among pre-primary school children is relatively low (21%) for mild
visual impairment but higher for moderate visual impairment (44%). The detection
rate for primary school children is high for both levels of visual impairment
(52% for mild and 74% for moderate). The focus group discussions reveal that both
teachers and parents would benefit from further education regarding refractive
errors and that the vast majority of teachers are willing to conduct a school
based screening program. CONCLUSION: Refractive error screening by health
professionals in pre-primary and primary school children is not currently
implemented in Thailand due to resource limitations. However, evidence suggests
that a refractive error screening program conducted in schools by teachers in the
country is reasonable and feasible because the detection and treatment of
refractive error in very young generations is important and the screening program
can be implemented and conducted with relatively low costs.
PMID- 24926994
TI - Inhibition of exotoxin production by mobile genetic element SCCmec-encoded psm
mec RNA is conserved in staphylococcal species.
AB - Staphylococcal species acquire antibiotic resistance by incorporating the mobile
genetic element SCCmec. We previously found that SCCmec-encoded psm-mec RNA
suppresses exotoxin production as a regulatory RNA, and the psm-mec translation
product increases biofilm formation in Staphylococcus aureus. Here, we examined
whether the regulatory role of psm-mec on host bacterial virulence properties is
conserved among other staphylococcal species, S. epidermidis and S. haemolyticus,
both of which are important causes of nosocomial infections. In S. epidermidis,
introduction of psm-mec decreased the production of cytolytic toxins called
phenol-soluble modulins (PSMs) and increased biofilm formation. Introduction of
psm-mec with a stop-codon mutation that did not express PSM-mec protein but did
express psm-mec RNA also decreased PSM production, but did not increase biofilm
formation. Thus, the psm-mec RNA inhibits PSM production, whereas the PSM-mec
protein increases biofilm formation in S. epidermidis. In S. haemolyticus,
introduction of psm-mec decreased PSM production, but did not affect biofilm
formation. The mutated psm-mec with a stop-codon also caused the same effect.
Thus, the psm-mec RNA also inhibits PSM production in S. haemolyticus. These
findings suggest that the inhibitory role of psm-mec RNA on exotoxin production
is conserved among staphylococcal species, although the stimulating effect of the
psm-mec gene on biofilm formation is not conserved.
PMID- 24926995
TI - Allele-specific silencing of mutant huntingtin in rodent brain and human stem
cells.
AB - Huntington's disease (HD) is an autosomal dominant neurodegenerative disorder
resulting from polyglutamine expansion in the huntingtin (HTT) protein and for
which there is no cure. Although suppression of both wild type and mutant HTT
expression by RNA interference is a promising therapeutic strategy, a selective
silencing of mutant HTT represents the safest approach preserving WT HTT
expression and functions. We developed small hairpin RNAs (shRNAs) targeting
single nucleotide polymorphisms (SNP) present in the HTT gene to selectively
target the disease HTT isoform. Most of these shRNAs silenced, efficiently and
selectively, mutant HTT in vitro. Lentiviral-mediated infection with the shRNAs
led to selective degradation of mutant HTT mRNA and prevented the apparition of
neuropathology in HD rat's striatum expressing mutant HTT containing the various
SNPs. In transgenic BACHD mice, the mutant HTT allele was also silenced by this
approach, further demonstrating the potential for allele-specific silencing.
Finally, the allele-specific silencing of mutant HTT in human embryonic stem
cells was accompanied by functional recovery of the vesicular transport of BDNF
along microtubules. These findings provide evidence of the therapeutic potential
of allele-specific RNA interference for HD.
PMID- 24926996
TI - Evolution of enzymatic activities in the enolase superfamily: galactarate
dehydratase III from Agrobacterium tumefaciens C58.
AB - The genome of Agrobacterium tumefaciens C58 encodes 12 members of the enolase
superfamily (ENS), eight of which are members of the mandelate racemase (MR)
subgroup and, therefore, likely to be acid sugar dehydratases. Using a library of
77 acid sugars for high-throughput screening, one protein (UniProt entry A9CG74;
locus tag Atu4196) showed activity with both m-galactarate and d-galacturonate.
Two families of galactarate dehydratases had been discovered previously in the
ENS, GalrD/TalrD [Yew, W. S., et al. (2007) Biochemistry 46, 9564-9577] and GalrD
II [Rakus, J. F., et al. (2009) Biochemistry 48, 11546-11558]; these have
different active site acid/base catalysis and have no activity with d
galacturonate. A9CG74 dehydrates m-galactarate to form 2-keto-3-deoxy-galactarate
but does not dehydrate d-galacturonate as expected. Instead, when A9CG74 is
incubated with d-galacturonate, 3-deoxy-d-xylo-hexarate or 3-deoxy-d-lyxo
hexarate is formed. In this reaction, instead of abstracting the C5 proton alpha
to the carboxylate group, the expected reaction for a member of the ENS, the
enzyme apparently abstracts the proton alpha to the aldehyde group to form 3
deoxy-d-threo-hexulosuronate that undergoes a 1,2-hydride shift similar to the
benzylic acid rearrangement to form the observed product. A. tumefaciens C58 does
not utilize m-galactarate as a carbon source under the conditions tested in this
study, although it does utilize d-galacturonate, which is a likely precursor to m
galactarate. The gene encoding A9CG74 and several genome proximal genes were
upregulated with d-galacturonate as the carbon source. One of these, a member of
the dihydrodipicolinate synthase superfamily, catalyzes the dehydration and
subsequent decarboxylation of 2-keto-3-deoxy-d-galactarate to alpha-ketoglutarate
semialdehyde, thereby providing a pathway for the conversion of m-galactarate to
alpha-ketoglutarate semialdehyde.
PMID- 24926997
TI - Expansion of the preimmune antibody repertoire by junctional diversity in Bos
taurus.
AB - Cattle have a limited range of immunoglobulin genes which are further diversified
by antigen independent somatic hypermutation in fetuses. Junctional diversity
generated during somatic recombination contributes to antibody diversity but its
relative significance has not been comprehensively studied. We have investigated
the importance of terminal deoxynucleotidyl transferase (TdT) -mediated
junctional diversity to the bovine immunoglobulin repertoire. We also searched
for new bovine heavy chain diversity (IGHD) genes as the information of the
germline sequences is essential to define the junctional boundaries between gene
segments. New heavy chain variable genes (IGHV) were explored to address the gene
usage in the fetal recombinations. Our bioinformatics search revealed five new
IGHD genes, which included the longest IGHD reported so far, 154 bp. By genomic
sequencing we found 26 new IGHV sequences that represent potentially new IGHV
genes or allelic variants. Sequence analysis of immunoglobulin heavy chain cDNA
libraries of fetal bone marrow, ileum and spleen showed 0 to 36 nontemplated N
nucleotide additions between variable, diversity and joining genes. A maximum of
8 N nucleotides were also identified in the light chains. The junctional base
profile was biased towards A and T nucleotide additions (64% in heavy chain VD,
52% in heavy chain DJ and 61% in light chain VJ junctions) in contrast to the
high G/C content which is usually observed in mice. Sequence analysis also
revealed extensive exonuclease activity, providing additional diversity. B
lymphocyte specific TdT expression was detected in bovine fetal bone marrow by
reverse transcription-qPCR and immunofluorescence. These results suggest that TdT
mediated junctional diversity and exonuclease activity contribute significantly
to the size of the cattle preimmune antibody repertoire already in the fetal
period.
PMID- 24926998
TI - Insights from computational modeling in inflammation and acute rejection in limb
transplantation.
AB - Acute skin rejection in vascularized composite allotransplantation (VCA) is the
major obstacle for wider adoption in clinical practice. This study utilized
computational modeling to identify biomarkers for diagnosis and targets for
treatment of skin rejection. Protein levels of 14 inflammatory mediators in skin
and muscle biopsies from syngeneic grafts [n = 10], allogeneic transplants
without immunosuppression [n = 10] and allografts treated with tacrolimus [n =
10] were assessed by multiplexed analysis technology. Hierarchical Clustering
Analysis, Principal Component Analysis, Random Forest Classification and
Multinomial Logistic Regression models were used to segregate experimental
groups. Based on Random Forest Classification, Multinomial Logistic Regression
and Hierarchical Clustering Analysis models, IL-4, TNF-alpha and IL-12p70 were
the best predictors of skin rejection and identified rejection well in advance of
histopathological alterations. TNF-alpha and IL-12p70 were the best predictors of
muscle rejection and also preceded histopathological alterations. Principal
Component Analysis identified IL-1alpha, IL-18, IL-1beta, and IL-4 as principal
drivers of transplant rejection. Thus, inflammatory patterns associated with
rejection are specific for the individual tissue and may be superior for early
detection and targeted treatment of rejection.
PMID- 24926999
TI - Effects of a community intervention on HIV prevention behaviors among men who
experienced childhood sexual or physical abuse in four African settings: findings
from NIMH Project Accept (HPTN 043).
AB - BACKGROUND: There is increased focus on HIV prevention with African men who
report experiencing childhood sexual (CSA) or physical abuse (CPA). OBJECTIVE: To
better understand the effects of a community-based intervention (Project Accept
HPTN 043) on HIV prevention behaviors among men who report CSA or CPA
experiences. METHODS: Project Accept compared a community-based voluntary mobile
counseling and testing (CBVCT) intervention with standard VCT. The intervention
employed individual HIV risk reduction planning with motivational interviewing in
34 African communities (16 communities at 2 sites in South Africa, 10 in
Tanzania, and 8 in Zimbabwe). Communities were randomized unblinded in matched
pairs to CBVCT or SVCT, delivered over 36 months. The post-intervention
assessment was conducted using a single, cross-sectional random survey of 18-32
year-old community members (total N = 43,292). We analyzed the effect of the
intervention on men with reported CSA or CPA across the African sites. Men were
identified with a survey question asking about having experienced CSA or CPA
across the lifespan. The effect of intervention on considered outcomes of the
preventive behavior was statistically evaluated using the logistic regression
models. RESULTS: Across the sites, the rates of CSA or CPA among men indicated
that African men reflected the global prevalence (20%) with a range of 13-24%.
The statistically significant effect of the intervention among these men was seen
in their increased effort to receive their HIV test results (OR 2.71; CI: (1.08,
6.82); P: 0.034). The intervention effect on the other designated HIV prevention
behaviors was less pronounced. CONCLUSION: The effect of the intervention on
these men showed increased motivation to receive their HIV test results. However,
more research is needed to understand the effects of community-based
interventions on this group, and such interventions need to integrate other keys
predictors of HIV including trauma, coping strategies, and intimate partner
violence.
PMID- 24927000
TI - Lignans from the fruit of Schisandra glaucescens with antioxidant and
neuroprotective properties.
AB - Two rare 7,8-seco-lignans (1, 2), three new lignan glycosides (3, 4a, 4b), and 10
known lignans (5-14) were isolated from the fruit of Schisandra glaucescens
Diels. The absolute configurations of 1 and 2 were determined by comparing their
experimental and calculated electronic circular dichroism spectra. The molecular
structures of the new compounds (3, 4a, and 4b), including their absolute
configurations, were determined using various spectroscopic methods and
hydrolysis reactions. The antioxidant activities of the isolated compounds were
tested using 2,2-diphenyl-1-picrylhydrazyl and ferric reducing antioxidant power
assays. Compounds 4, 7, 8, 10, 11, and 12 exhibited antioxidant activities of
varying potential in both assays. Of these compounds, 7 showed the strongest 2,2
diphenyl-1-picrylhydrazyl (DPPH) radical scavenging capacity, with IC50 values of
15.7 (150 MUM DPPH) and 34.6 MUM (300 MUM DPPH), respectively, and 4, 12, and 7
displayed higher total antioxidant activities than Trolox in the ferric reducing
antioxidant power assay. The neuroprotective effects of these compounds against
Abeta25-35-induced cell death in SH-SY5Y cells were also investigated. Compounds
1, 2, 6, 7, 8, 11, and 12 exhibited statistically significant neuroprotective
effects against Abeta25-35-induced SH-SY5Y cell death compared with the group
treated only with Abeta25-35.
PMID- 24927001
TI - Fibrohistiocytic tumors in a lung transplant patient taking oral capecitabine for
nonmelanoma skin cancer chemoprevention.
PMID- 24927005
TI - Long-term efficacy and quality of life assessment for treatment of axillary
hyperhidrosis with a microwave device.
PMID- 24927008
TI - Open access sclerotherapy: an alternative technique to treat complex venous
malformations.
PMID- 24927012
TI - A rare case of quadruple extramammary Paget's disease including an umbilical
lesion.
PMID- 24927014
TI - Computational analysis of cyclophane-based bisthiourea-catalyzed Henry reactions.
AB - The Henry reaction between benzaldehyde and nitromethane catalyzed by a
cyclophane-based bisthiourea has been studied with density functional theory [M06
2X-D3/def2-TZVPP/IEFPCM//TPSS-D2/6-31G(d)/IEFPCM]. The results of our study
reveal that the transformation involves the reaction of a thiourea-nitronate
complex with the uncoordinated aldehyde. On the basis of our calculations, the
formation of the major stereoisomer is kinetically preferred. Employing smaller
model systems, we show that the observed stereoselectivity arises primarily from
differences in hydrogen bonding in diastereomeric transition states.
PMID- 24927013
TI - Enantiospecific, nickel-catalyzed cross-couplings of allylic pivalates and
arylboroxines.
AB - We have developed an enantiospecific, nickel-catalyzed cross-coupling of
unsymmetric 1,3-disubstituted allylic pivalates with arylboroxines. The success
of this reaction relies on the use of BnPPh2 as a supporting ligand for the
nickel(0) catalyst and NaOMe as a base. This method shows excellent functional
group tolerance and broad scope in both the allylic pivalate and arylboroxine,
enabling the preparation of 1,3-diaryl allylic products in high yields with
excellent levels of regioselectivity and stereochemical fidelity.
PMID- 24927015
TI - Coinfection with HIV-1 alleviates iron accumulation in patients with chronic
hepatitis C virus infection.
AB - Most chronically-infected hepatitis C virus (HCV) patients have increased levels
of iron in the liver. Iron overload reduces sustained responses to antiviral
therapy, leading to more rapid progression to liver cirrhosis and the development
of hepatocellular carcinoma. However, it is still unclear how HIV-1 infection
affects iron status in patients chronically infected with HCV. The present study
recruited 227 patients from a village in central China. These patients were
either monoinfected with HCV (n = 129) or coinfected with HCV/HIV-1 (n = 98).
Healthy controls (n = 84) were also recruited from the same village. Indicators
of iron status, such as serum levels of iron, ferritin, and transferrin, total
iron-binding capacity (TIBC), transferrin saturation (Tfs), and hepcidin, were
analyzed and compared across the three groups. The results showed that serum
levels of iron (p = 0.001) and ferritin (p = 0.009) and the Tfs (p = 0.002) were
significantly higher in HCV-monoinfected patients than in the healthy controls;
however, there were no differences in iron levels and Tfs between HCV/HIV-1
coinfected patients and healthy controls. Additionally, although serum hepcidin
levels in HCV-monoinfected and HCV/HIV-1-coinfected patients were lower (p<0.001)
than those in health controls, the levels in coinfected patients were higher (p =
0.025) than those in HCV-monoinfected patients. Serum iron and ferritin levels in
HCV-monoinfected patients were positively correlated with serum ALT/AST. Serum
transferrin levels were negatively correlated with ALT/AST levels. The levels of
iron in the serum of coinfected patients with a CD4+T-cell count <500/ul were
lower than those in patients with a CD4+T-cell count >=500/ul, whereas serum
hepcidin levels showed the opposite trend. Taken together, these results suggest
that coinfection with HIV-1 alleviates iron accumulation caused by chronic HCV
infection. Our study indicated that determining the status of serum iron and
other iron-associated parameters will be helpful to understand the complexity of
alternations in iron distribution in HCV/HIV-1-coinfected patients.
PMID- 24927016
TI - The influence of photoreceptor size and distribution on optical sensitivity in
the eyes of lanternfishes (Myctophidae).
AB - The mesopelagic zone of the deep-sea (200-1000 m) is characterised by
exponentially diminishing levels of downwelling sunlight and by the predominance
of bioluminescence emissions. The ability of mesopelagic organisms to detect and
behaviourally react to downwelling sunlight and/or bioluminescence will depend on
the visual task and ultimately on the eyes and their capacity for detecting low
levels of illumination and intermittent point sources of bioluminescent light. In
this study, we investigate the diversity of the visual system of the lanternfish
(Myctophidae). We focus specifically on the photoreceptor cells by examining
their size, arrangement, topographic distribution and contribution to optical
sensitivity in 53 different species from 18 genera. We also examine the
influence(s) of both phylogeny and ecology on these photoreceptor variables using
phylogenetic comparative analyses in order to understand the constraints placed
on the visual systems of this large group of mesopelagic fishes at the first
stage of retinal processing. We report great diversity in the visual system of
the Myctophidae at the level of the photoreceptors. Photoreceptor distribution
reveals clear interspecific differences in visual specialisations (areas of high
rod photoreceptor density), indicating potential interspecific differences in
interactions with prey, predators and/or mates. A great diversity in
photoreceptor design (length and diameter) and density is also present. Overall,
the myctophid eye is very sensitive compared to other teleosts and each species
seems to be specialised for the detection of a specific signal (downwelling light
or bioluminescence), potentially reflecting different visual demands for
survival. Phylogenetic comparative analyses highlight several relationships
between photoreceptor characteristics and the ecological variables tested (depth
distribution and luminous tissue patterns). Depth distribution at night was a
significant factor in most of the models tested, indicating that vision at night
is of great importance for lanternfishes and may drive the evolution of their
photoreceptor design.
PMID- 24927017
TI - A highly tunable system for the simultaneous expression of multiple enzymes in
Saccharomyces cerevisiae.
AB - Control of the expression levels of multiple enzymes in transgenic yeasts is
essential for the effective production of complex molecules through fermentation.
Here, we propose a tunable strategy for the control of expression levels based on
the design of terminator regions and other gene-expression control elements in
Saccharomyces cerevisiae. Our genome-integrated system, which is capable of
producing high expression levels over a wide dynamic range, will broadly enable
metabolic engineering and synthetic biology. We demonstrated that the activities
of multiple cellulases and the production of ethanol were doubled in a transgenic
yeast constructed with our system compared with those achieved with a standard
expression system.
PMID- 24927019
TI - The importance of small saphenous vein reflux on chronic venous disease clinic.
AB - AIM: It is estimated that between 5% to 20% of the adult population in developed
countries is affected by chronic venous insufficiency (CVI), thus being the most
frequent vascular disorder. Recent studies show that, in most CVI patients, their
junctions are competent and the correlated superficial reflux is present along
the saphenous vein. Objective of the study was to correlate the presence and
distribution of reflux in the saphenous vein with the signs and symptoms of CVI,
through CEAP, in female patients. METHODS: Record review of patients with CVI
signs and symptoms who underwent clinical and ultrasound examinations in order to
classify them according to CEAP. The sample was divided into three groups
according to the presence of saphenous vein insufficiency: Group I-SSV, Group II
GSV, and Group III-SSV and GSV. RESULTS: A total of 312 lower limbs of 259 female
patients aged between 15 and 85 years were examined. The most prevalent clinical
classes in the three groups were C2 (44.55%) and C3 (46.48%). Four patterns of
reflux were identified in isolated SSV, with the highest incidence of proximal
reflux (69.23%). SPJ impairment was most likely to occur in clinical cases of
greater severity. Five patterns of reflux were identified in GSV, with the
proximal one the most prevalent (64.42%). CONCLUSION: There is a correlation
between the clinical severity of CVI and the reflux along the SSV in association
with GSV; the risk of moderate to high clinical severity in group III was 3.6
times higher than in group I and 4.6 times higher than group II.
PMID- 24927018
TI - Evaluation of the therapeutic potential of bone marrow-derived myeloid suppressor
cell (MDSC) adoptive transfer in mouse models of autoimmunity and allograft
rejection.
AB - Therapeutic use of immunoregulatory cells represents a promising approach for the
treatment of uncontrolled immunity. During the last decade, myeloid-derived
suppressor cells (MDSC) have emerged as novel key regulatory players in the
context of tumor growth, inflammation, transplantation or autoimmunity. Recently,
MDSC have been successfully generated in vitro from naive mouse bone marrow cells
or healthy human PBMCs using minimal cytokine combinations. In this study, we
aimed to evaluate the potential of adoptive transfer of such cells to control
auto- and allo-immunity in the mouse. Culture of bone marrow cells with GM-CSF
and IL-6 consistently yielded a majority of CD11b+Gr1hi/lo cells exhibiting
strong inhibition of CD8+ T cell proliferation in vitro. However, adoptive
transfer of these cells failed to alter antigen-specific CD8+ T cell
proliferation and cytotoxicity in vivo. Furthermore, MDSC could not prevent the
development of autoimmunity in a stringent model of type 1 diabetes. Rather,
loading the cells prior to injection with a pancreatic neo-antigen peptide
accelerated the development of the disease. Contrastingly, in a model of skin
transplantation, repeated injection of MDSC or single injection of LPS-activated
MDSC resulted in a significant prolongation of allograft survival. The beneficial
effect of MDSC infusions on skin graft survival was paradoxically not explained
by a decrease of donor-specific T cell response but associated with a systemic
over-activation of T cells and antigen presenting cells, prominently in the
spleen. Taken together, our results indicate that in vitro generated MDSC bear
therapeutic potential but will require additional in vitro factors or adjunct
immunosuppressive treatments to achieve safe and more robust immunomodulation
upon adoptive transfer.
PMID- 24927020
TI - Endovenous laser treatment of saphenous veins: is there clinical difference using
different endovenous laser wavelenghts?
AB - Endovenous laser treatment (EVLT) is an efficient method to treat incompetent
saphenous veins with high occlusion rates. Major side effects reported with 810
nm and 980 nm diode laser are postoperative pain and bruising. Recently laser
systems with higher wavelengths (WSLWs), associated with new energy delivery
devices, seem to reduce some side effects previously reported. Aim of this study
is to verify if there are real clinical advantages in the use of WSLWs, reviewing
the comparison studies present in the literature. After a search on MEDLINE
database, a review of all papers concerning WSLWs, was made. Five studies of
comparison between different wavelength, 810 vs.. 980 nm, 940 vs.. 1320 nm, 810
vs.. 1320 nm, 980 vs.. 1500 nm and 980 vs.. 1470 nm were found. These studies
report similar results: the WSLWs produce fewer side effects. New optical fibers
have also been developed; WSLWs with the use of these new fibers dramatically
changed the postoperative period, with a reduction of pain and bruising. There is
no scientific evidence that WSLWs have any effect on long-term outcome, although
short-term differences have been found for some side effects. Other parameters
are also important: in particular, LEED and cold tumescent anesthesia are
critical points. Laser fiber design probably has a significant effect on
treatment success in the performance of EVLT and also how the energy is delivered
(pulsing or continuous mode) and the pull-back rate of the laser fiber are
possible factors affecting complication ratios and pain scores, regardless of the
type of wavelength used.
PMID- 24927021
TI - Computational estimation of the influence of the main body-to-iliac limb length
ratio on the displacement forces acting on an aortic endograft. Theoretical
application to Bolton Treovance(r) Abdominal Stent-Graft.
AB - AIM: The influence of the relative iliac limb length of an endograft (EG) on the
displacements forces (DF) predisposing to adverse effects are under-appreciated
in the literature. Therefore, we conducted a computational study to estimate the
magnitude of the DF acting over an entire reconstructed EG and its counterparts
for a range of main body-to-iliac limb length (L1/L2) ratios. METHODS: A
customary bifurcated 3D model was computationally created and meshed using the
commercially available ANSYS ICEM (Ansys Inc., Canonsburg, PA, USA) software.
Accordingly, Fluid Structure Interaction was used to estimate the DF. The total
length of the EG was kept constant, while the L1/L2 ratio ranged from 0.3 to 1.5.
RESULTS: The increase in L1/L2 slightly affected the DF on the EG (ranging from
3.8 to 4.1 N) and its bifurcation (4.0 to 4.6 N). However, the forces exerted at
the iliac sites were strongly affected by the L1/L2 values (ranging from 0.9 to
2.2 N), showing a parabolic pattern with a minimum for 0.6 ratio. CONCLUSION: It
is suggested that the hemodynamic effect of the relative limb lengths should not
be considered negligible. A high main body-to-iliac limb length ratio seems to
favor hemodynamically a low bifurcation but it attenuates the main body-iliac
limbs modular stability. Further clinical studies should investigate the relevant
value of these findings. The Bolton Treovance((r)) device is presented as a
representative, improved stent-graft design that takes into account these
hemodynamic parameters in order to achieve a promising, improved clinical
performance.
PMID- 24927022
TI - Time course analysis of brachial artery flow mediated dilatation in subjects with
gingival inflammation.
AB - AIM: Several investigations report an inverse association between periodontal
disease and endothelial function measured by brachial artery Flow-Mediated
Dilatation (FMD) technique. These studies examined endothelial function by using
the traditional approach to FMD calculation, that is from diameters assessed at
60 seconds after deflation. Nevertheless, possible relationship between gingival
inflammation and endothelial dysfunction observed over this temporal threshold
remains still unexplored. The purpose of our study was to explore the
relationship between gingival inflammation and endothelial function, by
considering the time course of brachial FMD. METHODS: Forty-six free-living white
subjects, participating in a cardiovascular disease prevention campaign, were
enrolled. FMD was measured at 60s and at 2 and 3 min after forearm ischemia.
Maximal FMD was calculated (Peak FMD), for each patient. Gingival Index (GI) was
evaluated as measure of gingival inflammation. RESULTS: In univariate analyses,
GI was associated with both FMD at 60 sec (r=-0.30, P=0.038) and Peak FMD (r=
0.41, P=0.004). In multiple regression analyses including GI, age, gender, and
known risk factors for atherosclerosis, only GI and age were independently and
inversely associated with Peak FMD and FMD at 60 s, but this association was
stronger with Peak FMD. Moreover, when we divided subjects on the basis of GI
value, patients with GI > 1 presented lower Peak FMD and higher prevalence of
absent FMD. CONCLUSION: The present study extends previous observations about the
negative effects of periodontal disease on endothelial function, highlighting the
importance of the evaluation of time course of vascular reactivity.
PMID- 24927023
TI - Effective management of acute deep vein thrombosis: direct oral anticoagulants.
AB - Deep vein thrombosis (DVT) is a manifestation of venous thromboembolism (VTE) and
accounts for most venous thromboembolic events. Although DVT is not directly life
threatening, thrombi in the proximal veins of the leg can embolize to the lungs
to form a pulmonary embolism, which may prove rapidly fatal. If untreated, DVT
can also lead to significant morbidity, including development of post-thrombotic
syndrome. Among many risk factors, surgery, hospitalization, older age and active
cancer increase the risk of VTE, and a previous event increases the risk of
recurrence. Early detection and effective clot resolution are vital in managing
DVT. Conventional approaches to acute treatment of VTE involve initial fast
acting parenteral heparin overlapping with and followed by vitamin K antagonist
therapy. However, vitamin K antagonists have a narrow therapeutic window, require
regular monitoring, and have multiple food and drug interactions. Results from
phase III clinical studies involving direct Factor Xa and IIa inhibitors suggest
that these agents provide an alternative therapeutic option that overcomes some
of the complications associated with conventional treatment with predictable
pharmacological properties and convenient dosing schedules. Analysis of data from
the rivaroxaban EINSTEIN studies also suggests that these agents have the
potential to improve patient-reported treatment satisfaction and reduce the
length of hospital stay compared with conventional therapy. This review considers
these treatment options, suitable treatment durations to prevent recurrence, and
the management of DVT treatment in challenging patient groups.
PMID- 24927024
TI - Effects of a low level laser on periodontal tissue in hypofunctional teeth.
AB - Malocclusions, such as an open bite and high canines, are often encountered in
orthodontic practice. Teeth without occlusal stimuli are known as hypofunctional
teeth, and numerous atrophic changes have been reported in the periodontal
tissue, including reductions in blood vessels in the periodontal ligament (PDL),
heavy root resorption, and reduced bone mineral density (BMD) in the alveolar
bone. Low Level Laser (LLL) has been shown to have a positive effect on bone
formation and the vasculature. Although the recovery of hypofunctional teeth
remains unclear, LLL is expected to have a positive influence on periodontal
tissue in occlusal hypofunction. The aim of the present study was to elucidate
the relationship between LLL and periodontal tissue in occlusal hypofunction.
Twenty-four male rats aged 5 weeks were randomly divided into control and
hypofunctional groups. An anterior metal cap and bite plate were attached to the
maxillary and mandibular incisors in the hypofunctional group to simulate
occlusal hypofunction in the molars. LLL irradiation was applied to the maxillary
first molar through the gingival sulcus in half of the rats. Rats were divided
into four groups; control, control+LLL, hypofunctional, and hypofunctional+LLL.
Exposure to LLL irradiation was performed for 3 minutes every other day for 2
weeks. Animals were examined by Micro-CT at 5 and 7 weeks and were subsequently
sacrificed. Heads were resected and examined histologically and
immunohistologically. The hypofunctional group had obvious stricture of the PDL.
However, no significant differences were observed in the PDL and alveolar bone
between the hypofunctional+LLL and the control groups. In addition, the
expression of basic fibroblast growth factor (bFGF) and vascular endothelial
growth factor (VEGF)-positive cells were higher in the hypofunctional + LLL group
than in the hypofunctional group. These results indicated that LLL enhanced the
production of bFGF and VEGF in the periodontal tissue of hypofunctional teeth.
PMID- 24927025
TI - Investment in seed physical defence is associated with species' light requirement
for regeneration and seed persistence: evidence from Macaranga species in Borneo.
AB - The seed stage is often critical in determining the regeneration success of
plants. Seeds must survive an array of seed predators and pathogens and germinate
under conditions favourable for seedling establishment. To maximise recruitment
success plants protect seeds using a diverse set of chemical and physical
defences. However, the relationship between these defence classes, and their
association with other life history traits, is not well understood. Data on seed
coat thickness and fracture resistance, and the abundance and diversity of
potential defensive compounds were collected for 10 tree species of Macaranga
from Borneo. The data were used to test whether there is a trade-off in physical
versus chemical defence investment, and to determine how investment varies with
seed mass, and light requirement for regeneration. Across species there was no
correlation between seed coat thickness and abundance of potential defensive
compounds, indicating the absence of a direct trade-off between defence classes.
While chemical defences were not correlated to other traits, physical defences
were positively correlated with light requirement for regeneration. For a subset
of five Macaranga species we evaluated the relative investment in chemical and
physical defence to seed persistence in the soil, measured as the time to half
initial seed viability (seed half-life). Half-life was negatively related to the
ratio of potential defensive compound abundance to seed coat thickness,
suggesting that species with long persistence invested in physical defence more
than stored chemical defences. These results indicate that investment in seed
defences are associated with species' light requirements for regeneration, rather
than scaling positively with seed mass. Furthermore, chemical defences, although
highly variable among species, do not appear to be critical to long term
persistence of Macaranga seeds, and may be important in defending seeds from
natural enemies distinct from those found in the soil.
PMID- 24927026
TI - An international perspective and review of cocaine-induced psychosis: a call to
action.
AB - Cocaine use can induce transient psychotic symptoms that include suspiciousness,
paranoia, hallucinations, and other cocaine-related behaviors. In this
commentary, the authors provide an international perspective while reviewing the
recent advances in epidemiology, clinical features, and risk factors related to
cocaine-induced psychosis exhibited by patients with cocaine use disorders. In
some settings, the occurrence of cocaine-induced psychosis has been shown to be
as high as 86.5%. Many risk factors have been linked with cocaine-induced
psychosis, including the quantity of cocaine consumed, lifetime amount of cocaine
use, onset of cocaine dependence, years of use, routes of administration, other
substance use disorder comorbidity, weight, gender, comorbidity with other
medical and mental health disorders, genetics, and pharmacological interactions.
Research has shown that the evaluation of cocaine-induced psychosis in patients
with cocaine use is clinically relevant, especially in those patients who consume
high amounts of cocaine, have a cannabis dependence history, have antisocial
personality disorder, use administration routes other than intranasal, or exhibit
attention-deficit/hyperactivity disorder (ADHD) comorbidity. Currently, the
literature lacks information regarding the evolution of cocaine dependence or
cocaine-dependent patients' risk for developing schizophrenia or other psychotic
disorders. Furthermore, clinicians still do not have an evidence-based
pharmacological approach to management of cocaine dependence available to them.
Additional research is also needed regarding risk factors such as neurobiological
markers and personality traits. Finally, we recommend the development of an
integrative model including all of the risk factors and protective factors for
cocaine-induced psychosis.
PMID- 24927028
TI - Angiolipoma of the Orbit: A Rare Tumor in an Unusual Location.
AB - Despite their relatively frequent occurrence on the trunk and extremities,
lipomas rarely present in the orbit. Rarer still are variants of lipoma such as
fibrolipoma, myxoid lipoma, and angiolipoma. The authors report a 66-year-old
woman who presented with a large, slowly growing tumor of the forehead and orbit.
The case presentation conforms to the tenets of the Declaration of Helsinki and
is HIPAA compliant. Clinical and radiographic evidence suggested a lipomatous
type of tumor, and excisional biopsy revealed adipose proliferation with numerous
small vessels and fibrin thrombi consistent with angiolipoma. The tumor was
completely excised without ophthalmic sequelae or recurrence in 6 months of
follow up. To the authors' knowledge, this tumor represents only the second
reported case of this type of highly vascularized lipomatous lesion within the
orbit. This case is a novel entity in the differential diagnosis of orbital
tumors and demonstrates the value of total excision in such cases.
PMID- 24927027
TI - Increased prevalence of chronic lymphocytic thyroiditis in Korean patients with
papillary thyroid cancer.
AB - BACKGROUND: In recent years, some reports have suggested that papillary thyroid
cancers are more frequently associated with lymphocytic thyroiditis or
Hashimoto's thyroiditis. This study investigated a potential increase in the
prevalence of chronic lymphocytic thyroiditis among papillary thyroid cancer
patients. MATERIALS AND METHODS: We used national epidemiological survey data on
thyroid cancer patients diagnosed in 1999, 2005, and 2008. A retrospective
medical record survey was conducted by representative sampling of a national
cancer incidence database. The analysis included 5,378 papillary thyroid cancer
patients aged 20-79 years. We calculated the age-standardized prevalence and age
adjusted prevalence ratios using a binomial regression model with a log link for
the prevalence of chronic lymphocytic thyroiditis among papillary thyroid cancer
patients by sex for each year. RESULTS: The prevalence of chronic lymphocytic
thyroiditis among papillary thyroid cancer patients was 4.0% and 12.8% for men
and women in 1999, 6.5% and 24.6% in 2005, and 10.7% and 27.6% in 2008,
respectively. Between 1999 and 2008, the age-standardized prevalence of chronic
lymphocytic thyroiditis increased 4.1-fold in male patients and 2.0-fold in
female patients with papillary thyroid cancer. The prevalence of other thyroid
diseases, however, did not increase in either gender. CONCLUSIONS: Among Korean
papillary thyroid cancer patients, the prevalence of chronic lymphocytic
thyroiditis increased between 1999 and 2008, whereas the prevalence of other
thyroid disorders did not change.
PMID- 24927029
TI - Lateral tarsoconjunctival onlay flap lower eyelid suspension in facial nerve
paresis.
AB - PURPOSE: To evaluate the efficacy of a lateral tarsoconjunctival flap suspension
procedure to improve paralytic eyelid malposition. METHODS: Retrospective chart
review (with photograph and videographic data) of consecutive patients between
2008 and 2013 with permanent unilateral paralytic eyelid malposition treated with
a far lateral tarsoconjunctival flap lower eyelid suspension alone or in
conjunction with lateral canthoplasty. Upper and lower eyelid position,
lagophthalmos, ocular surface disease, patient satisfaction, and cosmesis were
recorded before and after intervention. Postoperative complications and
subsequent management were also recorded. RESULTS: A total of 110 patients were
identified. Lagophthalmos, ocular surface exposure, exposure keratopathy, and
eyelid retraction (both upper and lower) improved in all cases. All patients
described an improvement in eye irritation, epiphora, and cosmesis. Patients with
a good Bell's reflex exhibited some dynamic function to the lower eyelid on
videography. Three (2.7%) eyelids had flap dehiscence that was successfully
treated with repeat suturing. Seven (6.4%) patients had symptomatic temporal
peripheral vision requiring partial flap takedown. Five (4.5%) patients had
pyogenic granulomas excised. Seven (6.4%) patients later had a supplemental
medial tarsorrhaphy for medial lagophthalmos. CONCLUSIONS: The lateral
tarsoconjunctival flap suspension was highly effective in this series of patients
with paralytic eyelid malposition. Improved eyelid position and cosmesis were
universal although some patients benefitted from an additional medial
tarsorrhaphy. Return of natural upward lower eyelid movement upon eyelid closure
was a further benefit in some patients. Temporal vision obstruction was an
infrequent side effect and flap dehiscence and granulomas were rare
complications.
PMID- 24927030
TI - The natural history of orbital cavernous hemangiomas.
AB - PURPOSE: Orbital cavernous hemangiomas (OCH) often present as incidentally found
asymptomatic lesions, and it has been reported that such lesions do not grow. The
authors' own experience has been different. They describe the natural history of
OCH in a cohort with asymptomatic incidentally found lesions and compare them
with patients with symptomatic OCH to identify any characteristics that might
predict those which enlarge and produce symptoms. METHODS: This was a
retrospective comparative case series from 3 tertiary referral orbital centers in
Australia. There were 104 patients with OCH, 31 of whom presented with
incidentally found lesions. For patients with incidental lesions, only those with
a minimum follow-up period of 12 months were included. Outcome measures included
demographic features, clinical features at presentation and during follow up,
anatomical location of the OCH, change in maximal linear dimensions of the OCH
over time, follow-up period, and requirement for treatment in the follow-up
period. RESULTS: There were 104 patients included in the study. Thirty-one had an
asymptomatic, incidental OCH on imaging performed for other indications. Seventy
nine patients had treatment, and 11 of these had presented with an incidental,
asymptomatic OCH that enlarged and produced symptoms or new clinical findings. In
the 20 other patients with incidentally found OCH, there was no or minimal change
in the follow-up period of 1.2 to 20 years (mean 5.8 years, standard deviation
[SD] 4.6 years). None of the factors analyzed in the study were able to predict
those patients with an incidental OCH that enlarged and required treatment.
Patients with incidental lesions that did not grow over several years did not
change over much longer periods of time. When considering all patients with OCH,
both incidentally diagnosed and symptomatic, there were 4 factors that predicted
whether someone with an OCH would require treatment. These were maximal linear
dimension, male gender, extraconal location (increased risk of requiring
treatment), and being an incidental finding (decreased risk of requiring
treatment). CONCLUSIONS: OCH may present as an incidental finding. A significant
number will grow over time and require treatment. The authors could not identify
any factor that would predict those lesions that enlarge over time and require
treatment. If an incidental OCH does not change over several years, it is very
unlikely to do so in longer periods of follow up.
PMID- 24927031
TI - Postoperative changes in olfactory function after transcanalicular diode laser
dacryocystorhinostomy.
AB - PURPOSE: Transcanalicular diode laser dacryocystorhinostomy (TCL-DCR) is used
much in recent years for the surgery of nasolacrimal duct obstruction (NLDO).
Although TCL-DCR is accepted to be minimally invasive, safe, and effective, there
is no report focusing on postoperative changes in olfactory function after this
procedure. Hence, the aim of this current study was to investigate the changes in
olfactory function after TCL-DCR procedure. MATERIALS AND METHODS: This study was
carried out in 42 volunteers (16 men and 26 women) between the ages of 20 and 81
years. All participants received detailed lateralized olfactory tests
preoperatively and at the postoperative first week, first month, third month, and
sixth month. After lateralized olfactory tests were performed, the results were
grouped according to the side of the nasal passage where the operation was
performed for NLDO: the nonoperated side served as the control. RESULTS: The
current investigation produced 2 major findings: (1) olfactory function decreased
significantly after TCL-DCR procedure at the operated side of the nose compared
with the nonoperated side; (2) olfactory abilities of the patients returned to
normal within 3 months. CONCLUSION: The results of this study showed that
transcanalicular diode laser could be used safely in terms of olfactory function
for dacryocystorhinostomy. Temporary decrease of olfactory function on the side
having TCL-DCR should be taken into account when obtaining informed patient
consent.
PMID- 24927032
TI - Contribution of the acetate anion to CO2 solubility in ionic liquids: theoretical
method development and experimental study.
AB - A new theoretical method was developed to compute the Henry's law constant for
gas absorption in a solvent through strong nonphysical interactions. The new
method was created by expanding the test particle insertion method typically
applied to physisorbing systems to account for the strong intermolecular
interactions present in chemisorbing systems. By using an ab initio (AI)-based
Boltzmann-averaged potential to model the interaction between CO2 and the tetra-n
butylphosphonium acetate ([P4444][CH3COO]) ionic liquid, the total Henrys's law
constant at 298 K was computed to be 0.011 to 0.039 bar, reasonably comparable to
the experimental value of 0.18 bar measured in this work. Three different AI
potentials were used to verify the applicability of this approach. In contrast,
when a classical force field (FF) was used to describe the interaction between
CO2 and [P4444][CH3COO], the Henry's law constant was computed to be 27 bar,
significantly larger than the experimental value. The classical FF underestimates
the CO2-[P4444][CH3COO] interaction compared with the AI calculations, which in
turn leads to the smaller simulated CO2 solubility. Simulations further indicate
that the CO2 interaction with the [CH3COO](-) anion is much stronger than with
the [P4444](+) cation. This result strongly suggests that the large CO2
solubility in [P4444][CH3COO] is due to the strong CO2-[CH3COO](-) interaction.
PMID- 24927033
TI - Design, synthesis and glucose uptake activity of some novel glitazones.
AB - Herein, we report a library consisting of some novel glitazones containing
thiazolidinedione and its bioisosteres, rhodanine and oxadiazolidine ring
structures as their basic scaffold for their antidiabetic activity. Twelve novel
glitazones with diverse chemical structures were designed and synthesized by
adopting appropriate synthetic schemes and analyzed. Later, subjected to in vitro
glucose uptake assay in the absence and presence of insulin to confirm their
antidiabetic activity using rat hemi-diaphragm. The titled compounds exhibited
glucose uptake activity ranging weak to significant activity. Compounds 4, 5, 9,
11, 15, 16, 19 and 20 showed considerable glucose uptake activity apart from
rosiglitazone, a standard drug. Compound 16 happens to be the candidate compound
from this study to investigate further. The illustration about their design,
synthesis, analysis and glucose uptake activity is reported here along with the
in vitro and in silico study based structure-activity relationships.
PMID- 24927034
TI - Anammox growth on pretreated municipal wastewater.
AB - Autotrophic nitrogen removal from municipal wastewater enables development of
energy autarkic wastewater treatment plants. In this study we report the
evaluation of the anammox process in a granular sludge fluidized bed lab-scale
reactor continuously fed with the actual effluent of the A-stage of the WWTP of
Dokhaven, Rotterdam. The reactor was anoxic, and nitrite was dosed continuously
to support anammox activity only. The system was operated for more than ten
months at temperatures between 20 and 10 degrees C. COD was also consumed during
the process, but heterotrophs could not outcompete anammox bacteria. Volumetric N
removal rates obtained were comparable or higher than those of conventional N
removal systems, with values higher than 0.4 g-N L(-1) d(-1) when operated at 10
degrees C. The biomass specific N-removal rate at 10 degrees C was on average
50+/-7 mg-N g-VSS(-1) d(-1) during the last month of operations, almost two times
higher than previously reported activities at this temperature. FISH analysis
revealed that the dominant anammox species was Candidatus Brocadia Fulgida
throughout the experimentation. Evidence for growth of anammox bacteria at
mainstream conditions was demonstrated for the entire temperature range tested
(10-20 degrees C), and new granules were shown to be actively formed and
efficiently retained in the system.
PMID- 24927035
TI - Engaging with peri-urban woodlands in England: the contribution to people's
health and well-being and implications for future management.
AB - In this paper we engage with debates concerning people and their contact with the
natural environment as part of everyday life drawing on Irwin's ideas of co
construction and Gibson's theory of affordances. We focus on peri-urban woodlands
in England as important places where people can interact with nature for health
and well-being. Qualitative data were collected in situ via walks in the woods,
focus group discussions and photo elicitation, with a sample of 49 people. These
methods provide rich data on the wide range of meanings associated with woodlands
that can have a perceived impact on people's health and well-being. The findings
link to contemporary debates about health, well-being and ecosystem services. We
explore the inter-play between attributes of the physical environment and the
range of facilities provided to enable access, social interactions and the
benefits people attribute to their woodland experiences. We conclude that peri
urban woodlands can clearly contribute to self-reported health and well-being in
multiple ways, and that organized activities can be important for those who face
barriers to accessing woodlands. A strong message emerging from the research is
the opportunity afforded by woodlands for social connections with others, as well
as the provision of a range of sensory benefits and opportunities to observe and
enjoy seasonal change in woodlands. Mental restoration via connection with nature
also emerged as important, confirming previous research.
PMID- 24927036
TI - Dietary phthalate exposure in pregnant women and the impact of consumer
practices.
AB - Phthalates are ubiquitous endocrine-disrupting chemicals that are contaminants in
food and contribute to significant dietary exposures. We examined associations
between reported consumption of specific foods and beverages and first trimester
urinary phthalate metabolite concentrations in 656 pregnant women within a
multicenter cohort study, The Infant Development and Environment Study (TIDES),
using multivariate regression analysis. We also examined whether reported use of
ecofriendly and chemical-free products was associated with lower phthalate
biomarker levels in comparison to not following such practices. Consumption of
one additional serving of dairy per week was associated with decreases of 1% in
the sum of di-2-ethylhexyl phthalate (DEHP) metabolite levels (95% CI: -2.0,
0.2). Further, participants who reported sometimes eating homegrown food had
monoisobutyl phthalate (MiBP) levels that were 16.6% lower (95% CI: -29.5, -1.3)
in comparison to participants in the rarely/never category. In contrast to
rarely/never eating frozen fruits and vegetables, participants who reported
sometimes following this practice had monobenzyl phthalate (MBzP) levels that
were 21% higher (95% CI: 3.3, 41.7) than rarely/ever respondents. Future study on
prenatal dietary phthalate exposure and the role of consumer product choices in
reducing such exposure is needed.
PMID- 24927038
TI - Patients' perspective of the design of provider-patients electronic communication
services.
AB - Information Delivery is one the most important tasks in healthcare practice. This
article discusses patient's tasks and perspectives, which are then used to design
a new Effective Electronic Methodology. The system design methods applicable to
electronic communication in the healthcare sector are also described. The
architecture and the methodology for the healthcare service portal are set out in
the proposed system design.
PMID- 24927037
TI - A study of handling cytotoxic drugs and risk of birth defects in offspring of
female veterinarians.
AB - We examined the association of occupational exposure to handling cytotoxic drugs
at work with risk of birth defects among a cohort of female veterinarians. This
study is a follow up survey of 321 female participants (633 pregnancies) who
participated in the Health Risks of Australian Veterinarian project. Data on
pregnancies and exposure during each pregnancy was obtained by self-administered
mailed questionnaire. Female veterinarians handling cytotoxic drugs during their
pregnancy had a two-fold increased risk of birth defects in their offspring (RR =
2.08, 95% CI (1.05-4.15)). Results were consistent in subgroup analysis of those
who graduated during the period of 1961 to 1980 (RR = 5.04, 95% CI (1.81, 14.03)
and in those working specifically in small and large animal practice. There was
no increased risk in the subgroup that graduated after 1980. Women with unplanned
pregnancies were more likely to handle cytotoxic drugs on a daily basis (RR =
1.86, 95% CI, 1.00-3.48) and had a higher increased risk of birth defects than
those who planned their pregnancies in recent graduates and in those who worked
specifically in small animal practice (RR = 2.53, 95% CI, 1.18-5.42). This study
suggests that the adverse effects of handling cytotoxic drugs in pregnant women
may include an increased risk of birth defects. Pregnancy intention status is an
important health behavior and should be considered in prenatal programs.
PMID- 24927039
TI - Effect-directed analysis for estrogenic compounds in a fluvial sediment sample
using transgenic cyp19a1b-GFP zebrafish embryos.
AB - Xenoestrogens may persist in the environment by binding to sediments or suspended
particulate matter serving as long-term reservoir and source of exposure,
particularly for organisms living in or in contact with sediments. In this study,
we present for the first time an effect-directed analysis (EDA) for identifying
estrogenic compounds in a sediment sample using embryos of a transgenic reporter
fish strain. In the tg(cyp19a1b-GFP) transgenic zebrafish strain, the expression
of GFP (green fluorescent protein) in the brain is driven by an oestrogen
responsive element in the promoter of the cyp19a1b (aromatase) gene. The selected
sediment sample of the Czech river Bilina had already been analysed in a previous
EDA using the yeast oestrogen screening assay and had revealed fractions
containing estrogenic compounds. When normal phase HPLC (high performance liquid
chromatography) fractionation was used for the separation of the sediment sample,
the biotest with transgenic fish embryos revealed two estrogenic fractions.
Chemical analysis of candidate compounds in these sediment fractions suggested
alkylphenols and estrone as candidate compounds responsible for the observed
estrogenic effect. Alkylphenol concentrations could partially explain the
estrogenicity of the fractions. However, xenoestrogens below the analytical
detection limit or non-targeted estrogenic compounds have probably also
contributed to the sample's estrogenic potency. The results indicated the
suitability of the tg(cyp19a1b-GFP) fish embryo for an integrated chemical
biological analysis of estrogenic effects.
PMID- 24927041
TI - Bayesian common spatial patterns for multi-subject EEG classification.
AB - Multi-subject electroencephalography (EEG) classification involves algorithm
development for automatically categorizing brain waves measured from multiple
subjects who undergo the same mental task. Common spatial patterns (CSP) or its
probabilistic counterpart, PCSP, is a popular discriminative feature extraction
method for EEG classification. Models in CSP or PCSP are trained on a subject-by
subject basis so that inter-subject information is neglected. In the case of
multi-subject EEG classification, however, it is desirable to capture inter
subject relatedness in learning a model. In this paper we present a nonparametric
Bayesian model for a multi-subject extension of PCSP where subject relatedness is
captured by assuming that spatial patterns across subjects share a latent
subspace. Spatial patterns and the shared latent subspace are jointly learned by
variational inference. We use an infinite latent feature model to automatically
infer the dimension of the shared latent subspace, placing Indian Buffet process
(IBP) priors on our model. Numerical experiments on BCI competition III IVa and
IV 2a dataset demonstrate the high performance of our method, compared to PCSP
and existing Bayesian multi-task CSP models.
PMID- 24927040
TI - Quantitative analysis of signaling networks across differentially embedded tumors
highlights interpatient heterogeneity in human glioblastoma.
AB - Glioblastoma multiforme (GBM) is the most aggressive malignant primary brain
tumor, with a dismal mean survival even with the current standard of care.
Although in vitro cell systems can provide mechanistic insight into the
regulatory networks governing GBM cell proliferation and migration, clinical
samples provide a more physiologically relevant view of oncogenic signaling
networks. However, clinical samples are not widely available and may be embedded
for histopathologic analysis. With the goal of accurately identifying activated
signaling networks in GBM tumor samples, we investigated the impact of embedding
in optimal cutting temperature (OCT) compound followed by flash freezing in LN2
vs immediate flash freezing (iFF) in LN2 on protein expression and
phosphorylation-mediated signaling networks. Quantitative proteomic and
phosphoproteomic analysis of 8 pairs of tumor specimens revealed minimal impact
of the different sample processing strategies and highlighted the large
interpatient heterogeneity present in these tumors. Correlation analyses of the
differentially processed tumor sections identified activated signaling networks
present in selected tumors and revealed the differential expression of
transcription, translation, and degradation associated proteins. This study
demonstrates the capability of quantitative mass spectrometry for identification
of in vivo oncogenic signaling networks from human tumor specimens that were
either OCT-embedded or immediately flash-frozen.
PMID- 24927042
TI - Renal fibromuscular dysplasia with malignant hypertension cured by balloon
angioplasty with stenting.
AB - We presented a 31-year-old female patient with a history of hypertension and
severe pulsing headache for about 3 months. The patient had pulsing headaches
over the past 3 months with increased very high blood pressure (BP = 220/130
mmHg), sometimes with blurred vision, nausea and vomiting, with no known
pathological conditions in her medical history or family background. A digital
subtraction angiography confirmed tight stenosis (90%) in the middle segment of
the right renal artery. Balloon angioplasty with a stent was the treatment of
choice. Blood pressure dropped to normal after treatment.
PMID- 24927043
TI - Fluid resuscitation in trauma patients: what should we know?
AB - PURPOSE OF REVIEW: Fluid resuscitation in trauma patients could reduce organ
failure, until blood components are available and hemorrhage is controlled.
However, the ideal fluid resuscitation strategy in trauma patients remains a
debated topic. Different types of trauma can require different types of fluids
and different volume of infusion. RECENT FINDINGS: There are few randomized
controlled trials investigating the efficacy of fluids in trauma patients. There
is no evidence that any type of fluids can improve short-term and long-term
outcome in these patients. The main clinical evidence emphasizes that a
restrictive fluid resuscitation before surgery improves outcome in patients with
penetrating trauma. Fluid management of blunt trauma patients, in particular with
coexisting brain injury, remains unclear. SUMMARY: In order to focus on the state
of the art about this topic, we review the current literature and guidelines.
Recent studies have underlined that the correct fluid resuscitation strategy can
depend on the type of trauma condition: penetrating, blunt, brain injury or a
combination of them. Of course, further studies are needed to investigate the
impact of a specific fluid strategy on different type and severity of trauma.
PMID- 24927044
TI - Lung-protective ventilation in abdominal surgery.
AB - PURPOSE OF REVIEW: To provide the most recent and relevant clinical evidence
regarding the use of prophylactic lung-protective mechanical ventilation in
abdominal surgery. RECENT FINDINGS: Evidence is accumulating, suggesting an
association between intraoperative mechanical ventilation strategy and
postoperative pulmonary complications in patients undergoing abdominal surgery.
Nonprotective ventilator settings, especially high tidal volume (>10-12 ml/kg),
very low level of positive end-expiratory pressure (PEEP, <5 cm H2O), or no PEEP,
may cause alveolar overdistension and repetitive tidal recruitment leading to
ventilator-associated lung injury in patients with healthy lungs. Stimulated by
the previous findings in patients with acute respiratory distress syndrome, the
use of lower tidal volume ventilation is becoming increasingly more common in the
operating room. However, lowering tidal volume, though important, is only part of
the overall multifaceted approach of lung-protective mechanical ventilation.
Recent data provide compelling evidence that prophylactic lung-protective
mechanical ventilation using lower tidal volume (6-8 ml/kg of predicted body
weight), moderate PEEP (6-8 cm H2O), and recruitment maneuvers is associated with
improved functional or physiological and clinical postoperative outcome in
patients undergoing abdominal surgery. SUMMARY: The use of prophylactic lung
protective ventilation can help in improving the postoperative outcome.
PMID- 24927045
TI - Development of near infrared reflectance spectroscopy to predict chemical
composition with a wide range of variability in beef.
AB - A total of 182 beef samples were minced and divided into calibration set (n=140)
and independent validation set (n=42). Calibration models of NIRS (1000-1800nm)
were built using partial least squares regression (PLSR) on the calibration set
of samples. Both the coefficient of determination in calibration (R(2)C) and the
coefficient of determination in prediction (R(2)P) were over 0.98 for all
chemical compositions. The ratio performance deviation (RPD) was 17.37, 5.12 and
10.43 for fat, protein and moisture, respectively. The results of the present
study indicate the outstanding ability of NIRS to predict chemical composition in
beef.
PMID- 24927046
TI - Epidemiological evidence for the association between red and processed meat
intake and colorectal cancer.
PMID- 24927049
TI - Utility of a redox-active pyridine(diimine) chelate in facilitating two electron
oxidative addition chemistry at uranium.
AB - Exposure of the uranium(IV) complex, Cp(P)U((Mes)PDI(Me)) (1) ((Mes)PDI(Me) = 2,6
((Mes)N=CMe)2-C5H3N; Mes = 2,4,6-trimethylphenyl; Cp(P) = 1-(7,7
dimethylbenzyl)cyclopentadienyl), which contains a [(Mes)PDI(Me)](3-) chelate, to
I2, Cl2, PhSeCl, and PhEEPh (E = S, Se, Te) results in oxidative addition to form
the uranium(IV) family, Cp(P)U(XX')((Mes)PDI(Me)) (X = X' = I, Cl, EPh; X = SePh,
X' = Cl). Spectroscopic and structural studies support products with
[(Mes)PDI(Me)](1-), indicating the reducing equivalents derive from this redox
active chelate.
PMID- 24927048
TI - Temperature induced denaturation of myosin: evidence of structural alterations of
myosin subfragment-1.
AB - Denaturation of myofibrillar proteins in porcine longissimus thoracis et lumborum
muscle was investigated after pre-rigor temperature incubation at 20, 30 and 40
degrees C. At 24h myofibrils were isolated and myosin was further cleaved by
chymotrypsin. High temperature pre-rigor induced release of myosin S1
(subfragment-1), less (P < 0.05) Ca(2+)-ATPase activity and structural
alterations of the region of the myosin molecule that harbors S1. Surface
hydrophobicity of myofibrils from the 40 degrees C group increased (P<0.001),
suggesting a temperature-induced structural rearrangement exposing hydrophobic
groups on the surface of myofibrils which in turn may explain the reduced water
holding of PSE meat.
PMID- 24927047
TI - Effect of dietary supplementation with red wine extract or vitamin E, in
combination with linseed and fish oil, on lamb meat quality.
AB - Thirty lambs were assigned to the following treatments: control diet (C) rich in
omega-3 fatty acids; C plus 900ppm red wine extract (RWE), or C plus 300ppm
vitamin E (VE). Oxidative stability and sensory properties of chops stored in MAP
(70% O2/30% CO2) during 12days were evaluated. Chops from the VE group showed
lower lipid oxidation (p<0.001) and protein carbonylation (p<0.05), stable omega
3 fatty acids proportions and overall liking sensory scores (p<0.05). Dietary RWE
supplementation did not influence oxidative stability of chops, however levels of
C20:5n-3 were greater (p<0.05) and n-6/n-3 ratio (p<0.01) was lower, relative to
controls.
PMID- 24927050
TI - Theoretical characterization and design of highly efficient iridium (III)
complexes bearing guanidinate ancillary ligand.
AB - A density functional theory/time-depended density functional theory was used to
investigate the synthesized guanidinate-based iridium(III) complex
[(ppy)2Ir{(N(i)Pr)2C(NPh2)}] (1) and two designed derivatives (2 and 3) to
determine the influences of different cyclometalated ligands on photophysical
properties. Except the conventional discussions on geometric relaxations,
absorption and emission properties, many relevant parameters, including spin
orbital coupling (SOC) matrix elements, zero-field-splitting parameters,
radiative rate constants (kr) and so on were quantitatively evaluated. The
results reveal that the replacement of the pyridine ring in the 2-phenylpyridine
ligand with different diazole rings cannot only enlarge the frontier molecular
orbital energy gaps, resulting in a blue-shift of the absorption spectra for 2
and 3, but also enhance the absorption intensity of 3 in the lower-energy region.
Furthermore, it is intriguing to note that the photoluminescence quantum
efficiency (PhiPL) of 3 is significantly higher than that of 1. This can be
explained by its large SOC value(n=3-4) and large transition electric
dipole moment (MUS3), which could significantly contribute to a larger kr.
Besides, compared with 1, the higher emitting energy (ET1) and smaller
(2) value for 3 may lead to a smaller non-radiative decay rate.
Additionally, the detailed results also indicate that compared to 1 with pyridine
ring, 3 with imidazole ring performs a better hole injection ability. Therefore,
the designed complex 3 can be expected as a promising candidate for highly
efficient guanidinate-based phosphorescence emitter for OLEDs applications.
PMID- 24927051
TI - Synthesis, biological evaluation and docking study of 3-aroyl-1-(4
sulfamoylphenyl)thiourea derivatives as 15-lipoxygenase inhibitors.
AB - A series of 3-aroyl-1-(4-sulfamoylphenyl)thiourea derivatives containing
sulfonamide moiety were designed and synthesized as 15-lipoxygenase (15-LOX)
inhibitors. Most synthesized compounds showed potent activity against soybean 15
LOX with IC50 values less than 25 MUM. The most potent compound 4c (3
methylbenzoyl derivative) with IC50 value of 1.8 MUM was 10-fold more potent than
quercetin. Interestingly, compound 4c also showed the highest antioxidant
activity, as determined by ferric reducing antioxidant power (FRAP) assay. Its
capacity for reducing ferric ion was more than ascorbic acid. The viability assay
of the selected compound 4c against oxidative stress-induced cell death in
differentiated PC12 cells revealed that compound 4c significantly protected
neurons against cell death in low concentrations.
PMID- 24927053
TI - 1-Substituted-5-[(3,5-dinitrobenzyl)sulfanyl]-1H-tetrazoles and their isosteric
analogs: A new class of selective antitubercular agents active against drug
susceptible and multidrug-resistant mycobacteria.
AB - In this work, a new class of highly potent antituberculosis agents, 1-substituted
5-[(3,5-dinitrobenzyl)sulfanyl]-1H-tetrazoles and their oxa and selanyl analogs,
is described. The minimal inhibitory concentration (MIC) values reached 1 MUM
(0.36-0.44 MUg/mL) against Mycobacterium tuberculosis CNCTC My 331/88 and 0.25-1
MUM against six multidrug-resistant clinically isolated strains of M.
tuberculosis. The antimycobacterial effects of these compounds were highly
specific because they were ineffective against all eight bacterial strains and
eight fungal strains studied. Furthermore, these compounds exhibited low in vitro
toxicity in four mammalian cell lines (IC50 > 30 MUM). We also examined the
structure-activity relationships of the compounds, particularly the effects on
antimycobacterial activity of the number and position of the nitro groups, the
linker between tetrazole and benzyl moieties, and the tetrazole itself.
Relatively high variability of substituent R(1) on the tetrazole in the absence
of negative effects on antimycobacterial activity allows further structural
optimization with respect to toxicity and the ADME properties of the 1
substituted-5-[(3,5-dinitrobenzyl)sulfanyl]-1H-tetrazoles lead compounds.
PMID- 24927052
TI - Design, synthesis and biological evaluation of brain targeting l-ascorbic acid
prodrugs of ibuprofen with "lock-in" function.
AB - A novel brain targeting l-ascorbic acid derivatives with "lock-in" function were
designed and synthesized as prodrugs to achieve the effective delivery of
ibuprofen to brain by glucose transporter 1 (GLUT1) and the Na(+)-dependent
vitamin C transporter SVCT2. Ibuprofen-loaded four prodrugs were tested in the
animals. Results from the in vivo distribution study after i.v. administration of
these four prodrugs and naked ibuprofen indicated that four prodrugs exhibited
excellent transport ability across the BBB and significantly increased the level
of ibuprofen in brain. Among them, prodrugs 4 showed higher brain concentration.
Both biodistribution data and pharmacokinetic parameters suggested that l
ascorbic acid thiamine disulfide delivery system was a promising carrier to
enhance CNS drug's delivery ability into brain.
PMID- 24927054
TI - The use of hairpin DNA duplexes as HIV-1 fusion inhibitors: synthesis,
characterization, and activity evaluation.
AB - Discovery of new drugs for the treatment of AIDS that possess unique structures
associated with novel mechanisms of action are of great importance due the
rapidity with which drug-resistant HIV-1 strains evolve. Recently we reported on
a novel class of DNA duplex-based HIV-1 fusion inhibitors modified with
hydrophobic groups. The present study describes a new category of hairpin fusion
inhibitor DNA duplexes bearing a 3 nucleotide loop located at either the
hydrophobic or hydrophilic end. The new loop structures were designed to link 2
separate duplex-forming oligodeoxynucleotides (ODNs) to make helix-assembly
easier and more thermally stable resulting in a more compact form of DNA duplex
based HIV-1 fusion inhibitors. A series of new hairpin duplexes were tested for
anti-HIV-1 cell-cell membrane fusion activity. In addition, Tm, CD, fluorescent
resonance energy transfer assays, and molecular modeling analyses were carried
out to define their structural activity relationships and possible mechanisms of
action.
PMID- 24927055
TI - Multifunctionalization of magnetic nanoparticles for controlled drug release: a
general approach.
AB - In this study, a general approach for the multifunctionalization of magnetic
nanoparticles (MNPs) with drugs (Doxorubicin and Gemcitabine) and targeting
moieties (Nucant pseudopeptide) for controlled and selective release is
described. The functionalization is achieved by the formation of disulfide bonds
between MNPs and drugs derivatives synthesized in this work. Our strategy
consists in the introduction of a pyridyldisulfide moiety to the drugs that react
efficiently with sulfhydryl groups of pre-activated MNPs. This approach also
allows the quantification of the covalently immobilized drug by measuring the
amount of the 2-pyridinethione released during the process. The linkers developed
here allow the release of drugs without any chemical modification. This process
is triggered under highly reducing environment, such as that present inside the
cells. Complete release of drugs is achieved within 5-8 h under intracellular
conditions whereas negligible percentage of release is observed in extracellular
conditions. We propose here a modular general approach for the functionalization
of nanoparticles that can be used for different types of drugs and targeting
agents.
PMID- 24927056
TI - Evaluation of the antiprion activity of 6-aminophenanthridines and related
heterocycles.
AB - Series of 6-aminophenanthridines and related heterocyclic compounds such as
benzonaphtyridines were prepared. Reduction of one of the three aromatic rings
was also performed. The compounds were first tested for their antiprion activity
in a previously described yeast-based colourimetric prion assay. The most potent
derivatives were then assayed ex vivo against the mammalian prion PrP(Sc) in a
cell-based assay. Several of the new compounds were found more potent than the
parent lead 6-aminophenanthridine. The most promising compounds against yeast and
mammalian prions were 8-azido-6-aminophenanthridine (3m), and 7,10
dihydrophenanthridin-6-amine (14). In the mammalian cell-based assay, the IC50 of
these two compounds were around 5 MUM and 1.8 MUM, respectively.
PMID- 24927057
TI - Synthesis, characterization and cytotoxic activity of novel platinum(II) iodido
complexes.
AB - Novel Pt(II) complexes of general formula [PtI2(L(1-3))], (C1-C3): where L(1-3)
are isobutyl, n-pentyl and isopentyl esters of (S,S)-1,3-propanediamine-N,N'-di-2
(3-cyclohexyl)propanoic acid has been synthesized and characterized by elemental
analysis, UV/Vis, IR, ((1)H, (13)C and HSQC, Pt) NMR spectroscopy and ESI mass
spectrometry. Spectroscopic data and computational studies have shown the usual
square planar coordination geometry of synthesized complexes, with coordination
of ligands via nitrogen donor atoms. The cytotoxic activity of novel ligands and
corresponding complexes were investigated on a palette of different cells line.
Complexes C1-C3 exhibited activity comparable to cisplatin, with IC50 values
(MUM) ranging from 4.6 +/- 0.6 to 17.2 +/- 2, and showed the highest potential in
HeLa, LS-174 and EA.hy.926 cells. Ligands L1-L3 exhibited two- to four-times less
activity than corresponding complexes. Analysis of the mode of action in HeLa
cells, by ICP-MS study, showed markedly higher intracellular accumulation and DNA
binding affinity of C1-C3 versus cisplatin, after 4 h and 20 h post-treatment.
Annexin-V-FITC assay, flow cytometry and fluorescence microscopy study
demonstrated occurrence of cell death through both apoptotic and necrotic
changes. Tested complexes, at corresponding IC50 concentrations, caused
considerable "sub-G1" peak, without other substantial alterations of cell cycle,
while only C1 induced higher level of phosphatidylserine externalization (11.7%),
comparing to ligand L1 (4.9%) and cisplatin (8.4%). Structure-activity comparison
indicated variations of C1-C3 cytotoxicity, related to the drug/ligand
lipophilicity (C log P value), while intracellular platinum content and DNA
platination increased on increase of length and branching of ester chain, in
sequence: C1 (isobutyl) < C2 (n-pentyl) < C3 (isopentyl).
PMID- 24927058
TI - Plasma high mobility group box 1 protein reflects fibrosis in pediatric
nonalcoholic fatty liver disease.
AB - Non-alcoholic fatty liver disease (NAFLD) affects 3-12% of the general pediatric
population. HMGB1 protein is presently considered a potent inflammatory mediator
in several liver diseases, even if its role in NAFLD is still unknown in clinical
studies. Here we investigated the relationships between circulating HMGB1, TGF
beta and MCP-1 and liver damage in pediatric NAFLD. HMGB1, TGF-beta and MCP-1
plasma levels were measured in 110 obese children with biopsy-proven NAFLD and 40
age-matched obese controls. HMGB1, TGF-beta and MCP-1, ALT, AST and cholesterol
plasma levels were significantly higher in NAFLD than in control children. A
significant association between increased levels of HMGB1, TGF-beta and MCP-1 and
high degrees of fibrosis was found. In this study, we showed for the first time
that circulating levels of HMGB1 were raised in children with NAFLD and strongly
correlated with fibrosis and systemic inflammation.
PMID- 24927059
TI - Multivalent agents containing 1-substituted 2,3,4-trihydroxyphenyl moieties as
novel synthetic polyphenols directed against HIV-1.
AB - The synthesis and the assessment of the anti-HIV activity of a set of molecules
inspired by the multivalent structures of some naturally-occurring polyphenols
(tannins) are reported. Different multibranched scaffolds have been derived from
pentaerythritol as the central core which distribute spatially synthetic
polyphenolic subunits based on 1-substituted 2,3,4-trihydroxyphenyl moieties. A
tetrapodal compound () bearing four N-(2,3,4-trihydroxyphenyl)amide groups,
exhibits remarkable selective activity against HIV-1 with EC50 values in the
micromolar scale, in the same range as those reported for the most representative
anti-HIV tannins. Preliminary SAR studies emphasize the importance of the 1
substituted 2,3,4-trihydroxyphenyl moiety, the presence of an amide as the linker
and the multivalent architecture of these molecules, since the anti-HIV activity
increases with the number of polyphenolic moieties. The data support the interest
in synthetic polyphenols and represent a promising starting point for further
design and development of selective HIV-1 inhibitors.
PMID- 24927061
TI - Degradability and cytocompatibility of tricalcium phosphate/poly(amino acid)
composite as bone tissue implants in orthopaedic surgery.
AB - In this study, a tricalcium phosphate (TCP) and poly (amino acid) copolymer (PAA)
biocomposite were fabricated for bone repair and characterized. The results show
that the compressive strength of the TCP/PAA composites increased with an
increase in the TCP content at TCP contents less than 40 w%. The weight loss of
the composite after soaking in phosphate buffered saline for 12 weeks
significantly increased with an increase in the TCP content, revealing its good
degradability. In addition, the composite maintained adequate mechanical strength
during the degradation period because it underwent a surface erosion process. In
vitro MG63 cell culture experiments showed that the composite is non-cytotoxic
and thus allows cells to adhere, proliferate and differentiate. Osteoid formation
was evidenced on the composite surfaces 12 weeks after its implantation into the
femoral bone of dogs. Furthermore, the composite combined directly with the host
bone tissue without fibrous capsule tissue, and no inflammatory responses were
found, showing the good biocompatibility of the composite. It is expected that
the composite may be used for the development of bone implants for orthopaedic
surgery.
PMID- 24927062
TI - Structural diversity and physical properties of paramagnetic molecular conductors
based on bis(ethylenedithio)tetrathiafulvalene (BEDT-TTF) and the
tris(chloranilato)ferrate(III) complex.
AB - Electrocrystallization of bis(ethylenedithio)tetrathiafulvalene (BEDT-TTF) in the
presence of the tris(chloranilato)ferrate(III) [Fe(Cl2An)3](3-) paramagnetic
chiral anion in different stoichiometric ratios and solvent mixtures afforded
three different hybrid systems formulated as [BEDT-TTF]3[Fe(Cl2An)3].3CH2Cl2.H2O
(1), delta-[BEDT-TTF]5[Fe(Cl2An)3].4H2O (2), and alpha'''-[BEDT
TTF]18[Fe(Cl2An)3]3.3CH2Cl2.6H2O (3). Compound 1 presents an unusual structure
without the typical alternating organic and inorganic layers, whereas compounds 2
and 3 show a segregated organic-inorganic crystal structure where layers formed
by Lambda and Delta enantiomers of the paramagnetic complex, together with
dicationic BEDT-TTF dimers, alternate with layers where the donor molecules are
arranged in the delta (2) and alpha''' (3) packing motifs. Compound 1 behaves as
a semiconductor with a much lower conductivity due to the not-layered structure
and strong dimerization between the fully oxidized donors, whereas 2 and 3 show
semiconducting behaviors with high room-temperature conductivities of ca. 2 S cm(
1) and 8 S cm(-1), respectively. The magnetic properties are dominated by the
paramagnetic S = 5/2 [Fe(Cl2An)3](3-) anions whose high-spin character is
confirmed by electron paramagnetic resonance and magnetic susceptibility
measurements. The correlation between crystal structure and conductivity behavior
was studied by means of tight-binding band structure calculations, which support
the observed conducting properties.
PMID- 24927060
TI - Evaluating potential response-modifying factors for associations between ozone
and health outcomes: a weight-of-evidence approach.
AB - BACKGROUND: Epidemiologic and experimental studies have reported a variety of
health effects in response to ozone (O3) exposure, and some have indicated that
certain populations may be at increased or decreased risk of O3-related health
effects. OBJECTIVES: We sought to identify potential response-modifying factors
to determine whether specific groups of the population or life stages are at
increased or decreased risk of O3-related health effects using a weight-of
evidence approach. METHODS: Epidemiologic, experimental, and exposure science
studies of potential factors that may modify the relationship between O3 and
health effects were identified in U.S. Environmental Protection Agency's 2013
Integrated Science Assessment for Ozone and Related Photochemical Oxidants.
Scientific evidence from studies that examined factors that may influence risk
were integrated across disciplines to evaluate consistency, coherence, and
biological plausibility of effects. The factors identified were then classified
using a weight-of-evidence approach to conclude whether a specific factor
modified the response of a population or life stage, resulting in an increased or
decreased risk of O3-related health effects. DISCUSSION: We found "adequate"
evidence that populations with certain genotypes, preexisting asthma, or reduced
intake of certain nutrients, as well as different life stages or outdoor workers,
are at increased risk of O3-related health effects. In addition, we identified
other factors (i.e., sex, socioeconomic status, and obesity) for which there was
"suggestive" evidence that they may increase the risk of O3-related health
effects. CONCLUSIONS: Using a weight-of-evidence approach, we identified a
diverse group of factors that should be considered when characterizing the
overall risk of health effects associated with exposures to ambient O3.
PMID- 24927064
TI - The role of lymph node irradiation in the pathogenesis of acquired lymphedema.
PMID- 24927063
TI - Radix Hedysari polysaccharide suppresses lipid metabolism dysfunction in a rat
model of non-alcoholic fatty liver disease via adenosine monophosphate-activated
protein kinase pathway activation.
AB - Oxidative stress and excess hepatic lipid accumulation contribute to non
alcoholic fatty liver disease. Radix Hedysari polysaccharides (RHP) have
attracted interest due to their antioxidant properties and immunomodulatory
effects. However, the effect of RHP on hepatic lipid metabolism remains to be
elucidated. In the present study, the response of Sprague-Dawley rat livers to a
high-fat diet and RHP treatment was investigated by evaluating body weight, liver
histology, hepatic lipid content, adenosine monophosphate-activated protein
kinase (AMPK) activity and lipid metabolism gene transcriptional profiles. The
present study demonstrated that RHP ameliorated lipid metabolism disorders,
regulated hepatic lipid content, improved liver inflammation and damage,
activated AMPK via phosphorylation, upregulated peroxisome proliferator-activated
receptor alpha and downregulated the mRNA expression of sterol regulatory element
binding protein-1c in rat livers, which reduced lipogenesis and increased
lipolysis. Taken together, these results suggested that RHP effectively
ameliorates lipid metabolism disorders in rat livers; thus, RHP may be a
potential therapeutic agent in the prevention of hepatic steatosis.
PMID- 24927065
TI - The effectiveness of intermittent pneumatic compression in long-term therapy of
lymphedema of lower limbs.
AB - BACKGROUND: The manual lymphatic drainage in lymphedema has proved to be
successful; however, this method cannot be applied to millions of patients around
the world. The only solution is to offer inexpensive, easily accessible
mechanical devices for pneumatic compression (IPC). These devices should be
designed on parameters of edema fluid hydromechanics. Recent data point to high
pressures and long time of compression. AIM: To validate the effects of 3 years
daily high pressure, long inflation time IPC therapy in terms of decrease of limb
circumference/volume, tissue elasticity, histological changes, and incidental
complications. METHODS: A group of 18 patients with unilateral leg lymphedema
stage II to IV was treated for a period of 3 years using an 8-chamber sleeve,
sequential inflation of chambers to 100-120 mmHg for 50 sec (total 400 sec). Limb
circumference and tissue tonicity were measured at monthly intervals. Correlation
between decrease in calf and thigh circumference and increase in elasticity was
done. RESULTS: The treatment revealed durable permanent decrease of limb
circumference and increased elasticity of tissues. The improvement was most
expressed in the calf above the ankle and mid-calf. No complications as thigh
ring or chronic genital edema were observed. There was no direct correlation
between the decrease in limb circumference and increase in elasticity, most
likely due to different mass of fibrous tissue. CONCLUSIONS: IPC takes over the
permanently missing function of the obliterated lymphatics by squeezing edema
tissue fluid to the regions with normal lymphatic drainage. The limb
circumference is decreased or at least does not further increase, elasticity of
tissue is increased and maintained. No complications in limb tissues were
observed. The long-term, high pressure IPC, long inflation timed therapy can be
safely be recommended to patients with lower limb lymphedema.
PMID- 24927068
TI - Complementary clinical effects of topical tightening treatment in conjunction
with a radiofrequency procedure.
AB - Abstract Background: Skin laxity and cellulite on the buttocks and thighs are two
common cosmetic concerns. Skin tightening with radiofrequency (RF) devices has
become increasingly popular. OBJECTIVE: The purpose of this study is to evaluate
the efficacy and safety of a topical skin laxity tightening agent when used in
combination with an RF device. METHODS: A double-blinded, randomized clinical
trial enrolled twenty females with mild-to-moderate skin laxity on the posterior
thighs/buttocks. Each subject underwent two monthly treatments with an RF source
(Alma Accent) to both legs. Subjects were then randomized to apply a topical
agent (Skinceuticals Body Tightening Concentrate) twice daily to only one
designated thigh/buttock throughout the eight-week duration of the study. All
subjects were evaluated for improvement in lifting, skin tone, radiance,
firmness/tightness, skin texture, and overall appearance based on photographic
evaluation by blinded investigators at 12 weeks following the final RF treatment.
RESULTS: A statistically significant improvement was found in the overall
appearance on both sides treated with the RF device when compared to baseline.
However, the area treated with the topical agent showed a statistically
significantly greater degree of improvement than the side where no topical agent
was applied. No adverse effects were reported. CONCLUSION: The use of a novel
skin tightening agent used after RF procedures is both safe and effective for
treatment of skin laxity on the buttocks and thighs. Combined therapy leads to a
better result.
PMID- 24927069
TI - Na+/K+-ATPase beta1-subunit is recruited in Na-K-2Cl co-transporter isoform 2
multiprotein complexes in rat kidneys: possible role in blood pressure
regulation.
AB - OBJECTIVE: The progression from prehypertensive to hypertensive state in
spontaneous hypertensive rats (SHRs) is accompanied by a significant increase in
membrane expression of Na-K-2Cl co-transporter isoform 2 (NKCC2), suggesting that
the altered NKCC2 trafficking and activity are directly related with the
development of hypertension in this strain. The aim of this work is to gain
insights on the molecular mechanism that underlies this phenomenon. METHODS: We
performed a comparative analysis of NKCC2 multiprotein complexes (MPCs) in the
kidney of SHRs versus Wistar Kyoto rats by Blue Native difference gel
electrophoresis combined with mass spectrometry. RESULTS: We found that the
recruitment of the beta-subunit isoform 1 of the Na(+)-K(+)-ATPase (beta1NK) in
NKCC2 MPCs was significantly increased in the kidneys of SHR compared with Wistar
Kyoto rat control strain. Co-immunoprecipitation experiments showed that beta1NK
actually interacts with NKCC2 in the native tissue. The analysis of the
physiological role of beta1NK-NKCC2 interaction in human embryonic kidney cells
showed that beta1NK increased the steady-state membrane expression and activity
of NKCC2 enhancing NKCC2 trafficking toward the plasma membrane. CONCLUSION: We
identify a new NKCC2-interacting partner involved in the modulation of NKCC2
intracellular trafficking and possibly involved in the regulation of blood
pressure.
PMID- 24927070
TI - Impact of a hospice emergency kit for veterans and their caregivers: a
prospective cohort study.
AB - BACKGROUND: Although hospice emergency kits (HEKs) are provided by many home
hospice agencies, little is known about their use, side effects, and perceived
impact. OBJECTIVE: To evaluate HEK medication utilization, side effects, and
impact as perceived by home hospice patients and their caregivers. METHODS: We
conducted a prospective longitudinal cohort study. Participants included 43
veterans and their family/caregivers referred to community home hospices with a
Veterans Affairs (VA)-provided HEK. Measurements included patient/family reports
based on weekly telephone interviews, electronic medical record (EMR) review, and
after-death caregiver interviews. RESULTS: The HEK was used by 27 of 43
patients/caregivers (62.8%). In 11 cases, they reported using the kit on more
than one occasion. The most commonly used medications were morphine concentrate
(30.2% of patients), lorazepam (20.9%), and levofloxacin (16.3%). In 15 cases
(34.9%), the family thought the HEK may have helped the patient stay at home.
Nineteen of the 43 patients made at least one visit to the emergency department
(ED) and 22 were hospitalized. Most admissions through the ED were due to
uncontrolled pain and/or gastrointestinal problems, such as nausea or bowel
obstruction. In after-death interviews, opinions of the HEK were uniformly
positive. Respondents described the HEK's usefulness and felt supported and
empowered by its presence in the home. Minor side effects were reported in four
cases. CONCLUSIONS: Findings provide promising evidence that HEKs are a feasible
and well-tolerated method for achieving timely relief of emergent symptoms in
home hospice patients and possibly avoiding unwanted ED visits and
hospitalizations.
PMID- 24927071
TI - Extraction of light trapped due to total internal reflection using porous high
refractive index nanoparticle films.
AB - TiO2 nanoparticle layers composed of columnar TiO2 nanoparticle piles separated
with nanoscale pores were fabricated on the bottom surface of the hemispherical
glass prism by performing gas phase cluster beam deposition at glancing
incidence. The porosity as well as the refractive index of the nanoparticle layer
was precisely tuned by the incident angle. Effective extraction of the light
trapped in the substrate due to total internal reflection with the TiO2
nanoparticle layers was demonstrated and the extraction efficiency was found to
increase with the porosity. An enhanced Rayleigh scattering mechanism, which
results from the columnar aggregation of the nanoparticles as well as the strong
contrast in the refractive index between pores and TiO2 nanoparticles in the
nanoporous structures, was proposed. The porous TiO2 nanoparticle coatings were
fabricated on the surface of GaN LEDs to enhance their light output. A nearly 92%
PL enhancement as well as a 30% EL enhancement was observed. For LED
applications, the enhanced light extraction with the TiO2 nanoparticle porous
layers can be a supplement to the microscale texturing process for light
extraction enhancement.
PMID- 24927072
TI - ShRNA targeting Bmi-1 sensitizes CD44+ nasopharyngeal cancer stem-like cells to
radiotherapy.
AB - Accumulating evidence indicates that cancer stem cells (CSCs) are involved in
resistance to radiation therapy (RT). Bmi-1, a member of the Polycomb family of
transcriptional repressors, is essential for maintaining the self-renewal
abilities of stem cells and overexpression of Bmi-1 correlates with cancer
therapy failure. Our previous study identified that the CD44+ nasopharyngeal
cancer (NPC) cells may be assumed as one of markers of nasopharyngeal carcinoma
cancer stem cell-like cells (CSC-LCs) and Bmi-1 is overexpressed in CD44+ NPC. In
the present study, we used RNA interference technology to knock down the
expression of Bmi-1 in CD44+ NPC cells, and then measured the radiation response
by clonogenic cell survival assay. DNA repair was monitored by gammaH2AX foci
formation. Bmi-1 downstream relative gene and protein expression of p16, p14, p53
were assessed by western blotting and real-time PCR. Cell cycle and apoptosis
were detected by flow cytometry assays. We found that Bmi-1 knockdown prolonged
G1 and enhanced the radiation-induced G2/M arrest, inhibited DNA damage repair,
elevated protein p16, p14 and p53 expression, leading to increased apoptosis in
the radiated CD44+ cells. These data suggest that Bmi-1 downregulation increases
the radiosensitivity to CD44+ NPC CSC-LCs. Bmi-1 is a potential target for
increasing the sensitivity of NPC CSCs to radiotherapy.
PMID- 24927074
TI - Stakeholders' perceptions of integrated community case management by community
health workers: a post-intervention qualitative study.
AB - BACKGROUND: Integrated community case management (iCCM) involves delivery of
simple medicines to children with pneumonia, diarrhea and/or malaria by community
health workers (CHWs). Between 2010 and 2012, an iCCM intervention trial was
implemented by Healthy Child Uganda. This study used qualitative tools to assess
whether project stakeholders perceived that iCCM improved access to care for
children under five years of age. METHODS: The intervention involved training and
equipping 196 CHWs in 98 study villages in one sub-county in Uganda in iCCM.
During the eight-month intervention, CHWs assessed sick children, provided
antimalarials (coartem) for fever, antibiotics (amoxicillin) for cough and fast
breathing, oral rehydration salts/zinc for diarrhea, and referred very sick
children to health facilities. In order to examine community perceptions and
acceptability of iCCM, post-intervention focus groups and key respondent
interviews involving caregivers, health workers, CHWs and local leaders were
carried out by experienced facilitators using semi-structured interview guides.
Data were analyzed using thematic analysis techniques. RESULTS: Respondents
reported increased access to health care for children as a result of iCCM. Access
was reportedly closer to home, available more hours in a day, and the
availability of CHWs was perceived as more reliable. CHW care was reported to be
trustworthy and caring. Families reported saving money especially due to reduced
transportation costs, and less time away from home. Respondents also perceived
better health outcomes. Linkages between health facilities and communities were
reportedly improved by the iCCM intervention due to the presence of trained CHWs
in the community. CONCLUSIONS: iCCM delivered by CHWs may improve access to
health care and is acceptable to families. Policymakers should continue to seek
opportunities to implement and support iCCM, particularly in remote communities
where there are health worker shortages.
PMID- 24927075
TI - Effects of long-term postoperative oral contraceptive use for the prevention of
endometrioma recurrence on bone mineral density in young women.
AB - Concerns for negative effects of oral contraceptives (OCs) on bone mineral
density (BMD) in long-term users have been raised, since OCs suppress the
hypothalamic-pituitary-ovarian axis. However, there have been still limited data
regarding the effects of long-term OC use on BMD in young women in the twenties.
We investigated the effects of long-term OC use for the prevention of
endometrioma recurrence on BMD in young women. Ninety-two women aged 20-30 years
who underwent conservative surgery for endometrioma and used postoperative OC for
at least 12 months to prevent the recurrence were included for this cross
sectional study, and BMDs after OC use were analyzed. The mean age at starting OC
and duration of OC use was 25.6 +/- 2.9 years and 40.7 +/- 28.5 months,
respectively. No correlation was found between BMDs and age at starting OC at all
sites. In addition, BMDs were also not correlated with the duration of OC use,
and were comparable according to the dose of OC (20 versus 30 MUg). In
conclusion, long-term use of OCs has no adverse effect on BMD in post-adolescent
young women.
PMID- 24927073
TI - The gatekeeper residue and beyond: homologous calcium-dependent protein kinases
as drug development targets for veterinarian Apicomplexa parasites.
AB - Specific roles of individual CDPKs vary, but in general they mediate essential
biological functions necessary for parasite survival. A comparative analysis of
the structure-activity relationships (SAR) of Neospora caninum, Eimeria tenella
and Babesia bovis calcium-dependent protein kinases (CDPKs) together with those
of Plasmodium falciparum, Cryptosporidium parvum and Toxoplasma gondii was
performed by screening against 333 bumped kinase inhibitors (BKIs). Structural
modelling and experimental data revealed that residues other than the gatekeeper
influence compound-protein interactions resulting in distinct sensitivity
profiles. We subsequently defined potential amino-acid structural influences
within the ATP-binding cavity for each orthologue necessary for consideration in
the development of broad-spectrum apicomplexan CDPK inhibitors. Although the BKI
library was developed for specific inhibition of glycine gatekeeper CDPKs
combined with low inhibition of threonine gatekeeper human SRC kinase, some
library compounds exhibit activity against serine- or threonine-containing CDPKs.
Divergent BKI sensitivity of CDPK homologues could be explained on the basis of
differences in the size and orientation of the hydrophobic pocket and specific
variation at other amino-acid positions within the ATP-binding cavity. In
particular, BbCDPK4 and PfCDPK1 are sensitive to a larger fraction of compounds
than EtCDPK1 despite the presence of a threonine gatekeeper in all three CDPKs.
PMID- 24927076
TI - Assessment of ovarian reserve after unilateral diathermy with thermal doses
adjusted to ovarian volume.
AB - Women with polycystic ovary syndrome seem to have a larger ovarian reserve.
However, regardless of a greater reserve, diminished ovarian reserve has been
reported after laparoscopic diathermy. The aim of this article was to determine
whether the doses adjusted unilateral laparoscopic ovarian drilling with
diathermy (ULOD) diminishes ovarian reserve to compare with bilateral
laparoscopic ovarian drilling with diathermy (BLOD). Ninety-six women were
assigned in two groups. One group underwent ULOD receiving thermal doses (0-840 J
per ovary) adjusted to volume one ovary. The other group underwent BLOD receiving
fixed doses (600 J per ovary). Ovarian reserve markers [anti-Mullerian hormone
(AMH); antral follicle count (AFC) and ovarian volume] were measured before and
after surgery (1 and 6 months). Both groups showed a decrease in AMH after
surgery, but it was significantly more distinct in the BLOD versus ULOD group
(2.0 ng/mL versus 1.3 ng/mL; p = 0.018) in the first follow-up month and remained
significantly different through the sixth follow-up month (1.9 ng/mL versus 1.15
ng/mL; p = 0.023). In contrast, in the sixth month, the ULOD versus BLOD showed a
significantly greater increase AFC (p < 0.001) and volume (p = 0.013). Our
findings evidenced that the dose-adjusted unilateral diathermy (60 J/cm(3)) does
not have significant and long-term effects on ovarian reserve.
PMID- 24927077
TI - A new case of maternal phenylketonuria treated with sapropterin dihydrochloride
(6R-BH4).
AB - PURPOSE: A woman with phenylketonuria (PKU) was diagnosed through neonatal
screening, her PAH mutation was p.V388M/p.I65T, for which she received treatment
with phenylalanine restriction, and was administered oral sapropterin
dihydrochloride (6R-BH(4)) from the age of thirty. The purpose of this article is
to describe the treatment with BH4 during her pregnancy and to evaluate a plan
for its use. METHODS: The patient had an unplanned pregnancy at 34 years of age,
for which she received a phenylalanine-free supplement enriched with essential
fatty acids, vitamins and trace elements. RESULTS: The dose of 6R-BH(4) was
reduced from 500 mg/day to 100 mg/day until its suspension in the 28th week of
gestation, and was well tolerated. Blood phenylalanine control was easily
accomplished during this pregnancy, and no nutritional deficiency was seen.
CONCLUSION: The pregnancy had a normal outcome, and so we consider that
adaptation of the dose of 6R-BH(4) to the prenatal periods aided a greater
efficiency and a lower risk in the treatment of maternal PKU.
PMID- 24927078
TI - Follicular fluid and serum vascular endothelial growth factor, interleukin (IL)
1beta and glycodelin concentrations: comparison between long-gonadotropin
releasing hormone (GnRH)-agonist and GnRH-antagonist cycles: a randomized
controlled trial.
AB - In this randomized controlled trial, we aimed to examine whether differences
exist among patients who underwent assisted reproductive technology treatment
with a long-GnRH-agonist compared to a GnRH-antagonist protocol in terms of
levels of follicular fluid (FF) and serum concentrations of vascular endothelial
growth factor (VEGF), glycodelin and interleukin (IL)-1beta on the day of oocyte
pick-up (OPU). In 80 infertile couple with male factor or unexplained
infertility, 40 women stimulated with GnRH-antagonist protocol and 40 women with
the long-GnRH-agonist protocol. FF and blood serum samples were obtained
simultaneously from 80 women during the OPU procedure and the concentrations of
VEGF, IL-1beta and glycodelin were measured with commercially available kits.
Concentrations of FF VEGF, IL-1beta and glycodelin were not significantly
different in the long-GnRH-agonist and GnRH-antagonist groups, and neither were
serum concentrations of VEGF, IL-1beta and glycodelin. According to our results
in at least, we can say that minor differences between these protocols in terms
of clinical pregnancy do not depend on VEGF, glycodelin or IL-1beta.
PMID- 24927079
TI - Elevated serum chemerin in Chinese women with hyperandrogenic PCOS.
AB - OBJECTIVES: To compare serum chemerin levels between women with classic
hyperandrogenic PCOS, euandrogenic PCOS and matched control subjects. RESEARCH
DESIGN AND METHODS: This study was carried out at the Second XiangYa Hospital
between July 2012 and April 2013. Sixty-seven women with PCOS and 20 controls
were included. Blood pressure, body mass index (BMI), waist to hip ratio (WHR),
fasting insulin, fasting plasma glucose and blood serum hormone and blood lipid
were measured. Transvaginal ultrasound was performed. Serum chemerin was measured
by ELISA. RESULTS: Serum chemerin was significantly higher in classic
hyperandrogenic PCOS compared with euandrogenic PCOS and controls (311.07 +/-
141.87 ng/mL versus 228.03 +/- 119.66 ng/mL and 225.87 +/- 86.44 ng/mL, p <
0.05). Serum chemerin was positively related to follicle count, ovarian volume,
the level of testosterone, luteinizing hormone/follicle-stimulating hormone, low
density lipoprotein, triglycerides, fasting blood insulin, insulin resistance by
homeostasis model assessment, WHR and BMI, while negatively related to the level
of high-density lipoprotein. Multiple linear regression analyses revealed ovarian
volumes and WHR were the significant influencing factors of chemerin (p < 0.05).
The area under the receiver operating characteristic curve for chemerin reached a
value of 0.684 (0.572-0.796, 95% confidence interval). The best compromise
between sensitivity (80.0%) and specificity (47.6%) was obtained with a cutoff
value of 200.94 ng/mL. CONCLUSIONS: Serum chemerin level was increased in Chinese
women with classic hyperandrogenic PCOS. Serum chemerin measurement offers a
relatively moderate diagnostic potency with a sensitivity of 80.0% and a
specificity of 47.6% at 200.94 ng/mL. This suggested that chemerin may be
involved in the development of the metabolic syndrome of classic PCOS.
PMID- 24927080
TI - Insulin and body weight but not hyperandrogenism seem involved in seasonal serum
25-OH-vitamin D3 levels in subjects affected by PCOS.
AB - PCOS patients were frequently characterized by lower plasma vitamin D levels. The
mechanisms involved in this dysfunction remains still debated, therefore we
evaluated the role of androgen, insulin and body weight on the serum VitD levels
in women with or without PCOS. Eighty one patients 18-42 yrs old were studied
into "SUMMER" and "WINTER" seasonal period: thirty seven PCOS, seventeen no
ovarian hyperandrogenic (noPCOS), twelve functional hypothalamic amenorrhea (FHA)
and finally fifteen healthy (Con). Patients were further divided into: lean (L),
obese (O), normo- (nINS) and hyperinsulinemic (hINS). All hormonal and metabolic
parameters were measured at 1-7 days of the menstrual cycle. Our results show
that VitD levels were lower in PCOS and in noPCOS than in FHA and Con, in
particular in (O) and (hINS) PCOSs. Both in summer and in winter, PCOSs had basal
VitD levels significantly lower than FHA and Con, whereas they were similar to
noPCOS. Yet, LhINS and OPCOS had VitD levels lower than Con and noPCOS. VitD
levels were comparable in LnINS PCOS and Con. In conclusion, PCOSs had levels of
VitD lower than controls. Weight and hyperinsulinemia had a significant influence
on these values. Finally, over 70% of our healthy patients had VitD deficiency.
PMID- 24927082
TI - Directional properties of fluorenylidene moieties in unsymmetrically substituted
N-heterocyclic carbenes. Unexpected CH activation of a methylfluorenyl group with
palladium. Use in palladium catalysed Suzuki-Miyaura cross coupling of aryl
chlorides.
AB - Benzimidazolium salts having their two nitrogen atoms substituted by different 9
alkylfluorenyl groups ( and , alkyl(1)/alkyl(2) = Me/Et, Me/Pr, Me/n-Bu, Me/i-Pr,
Me/Bn, Me/CH2SMe have been synthesised in high yields in two or three steps from
N,N'-bis(9H-fluoren-9-ylidene)benzene-1,2-diamine (). The imidazolium salts were
converted readily into the corresponding PEPPSI-type palladium complexes (PEPPSI
= pyridine-enhanced precatalyst preparation stabilisation and initiation), while
reaction of the methylthioether-substituted salt with PdCl2/K2CO3/pyridine
afforded the palladacycle resulting from metallation of the methyl group attached
to the fluorenylidene moiety. NMR and X-ray diffraction studies revealed that the
carbene ligands in behave as clamp-like ligands, the resulting metal confinement
arising from a combination of the orientational properties of the fluorenylidene
moieties that push the alkyl groups towards the metal centre and attractive
anagostic interactions involving CH2(fluorenyl) groups. Complexes were assessed
in Suzuki-Miyaura cross-coupling reactions. Like their symmetrical analogues they
displayed high activity in the coupling of phenyl boronic acid with p
tolylchloride but their performance remained slightly inferior to that of the
related, symmetrical Et/Et complex .
PMID- 24927084
TI - Editorial.
PMID- 24927081
TI - Early nephrology referral reduces the economic costs among patients who start
renal replacement therapy: a prospective cohort study in Korea.
AB - BACKGROUND: The nature of cost-saving effects of early referral to a nephrologist
in patients with chronic kidney disease (CKD) is not fully evaluated. We
evaluated the health care costs before and after dialysis according to the
referral time. METHODS: A total of 879 patients who were newly diagnosed as
having end-stage renal disease from August 2008 to June 2011 were prospectively
enrolled. The early referral (ER) group was defined as patients who were referred
to a nephrologist more than a year before dialysis and had visited a nephrology
clinic 2 or more times. Patients whose referral time was less than a year were
considered the late referral (LR) group. Information about medical costs was
acquired from the claim data of the Korea Health Insurance Review and Assessment
Service. RESULTS: The total medical costs during the first 12 months after the
initiation of dialysis were not different between the 526 ER patients and the 353
LR patients. However, the costs of the ER patients during the first month were
significantly lower than those of the LR patients (ER vs. LR: 3029 +/- 2219 vs.
3438 +/- 2821 US dollars [USD], P = 0.025). The total 12-month health care costs
before the initiation of dialysis were significantly lower in the ER group (ER
vs. LR: 6206 +/- 5873 vs. 8610 +/- 7820 USD, P<0.001). In the multivariate
analysis, ER significantly lowered the health care costs during the 12 months
before (2534.0 +/- 436.2 USD, P<0.001) and the first month (428.5 +/- 172.3 USD,
P = 0.013) after the initiation of dialysis. CONCLUSIONS: The ER of patients with
CKD to a nephrologist is associated with decreased medical costs during the
pretreatment period of renal replacement therapy and the early period of dialysis
initiation.
PMID- 24927083
TI - Detection of ozone based on its striking inhibition of tris(1,10
phenanthroline)ruthenium(II)/glyoxal electrochemiluminescence.
AB - Ozone unexpectedly dramatically suppresses the electrochemiluminescence (ECL) of
Ru(phen)3(2+)/glyoxal at an ozone/glyoxal ratio of less than 0.5%. Moreover, a
sensitive, simple and fast ECL method for ozone detection is developed, with a
detection time within 1 min and a limit of detection of 20 nM.
PMID- 24927085
TI - Focus on social functioning in depression.
AB - Depressive disorders represent a significant global health burden. By the year
2020 the contribution of psychiatric and neurological conditions to the global
burden of disease is predicted to reach 15%. Despite this, depression is
frequently unrecognized and undertreated; most depressed people do not seek
treatment for their depression, and of those who do, most do not receive adequate
treatment. Depression is a debilitating condition and the effects of the illness
extend beyond the classically defined symptoms to almost every facet of an
individual's life, including their social interactions; and even beyond the
individual, to close relatives. The treatment of impaired social functioning has
not been widely adopted as a therapeutic principle in depression, although it is
recognized as an important part of the treatment of schizophrenia. However,
depressed individuals experience more functional impairment than patients with
other chronic medical conditions such as diabetes and hypertension. Early studies
have suggested that antidepressants, in addition to improving the core symptoms
of depression, are effective in the treatment of impaired social functioning; but
few studies have directly compared different classes of antidepressants. This
paper seeks to raise awareness of the key issues relating to the treatment of
impaired social functioning in depression and to provide a basis for wider
discussion of the topic prior to the establishment of treatment guidelines.
PMID- 24927086
TI - Working with the effects of traumatic bereavement by uxoricide (spouse killing)
on young children's attachment behaviour.
AB - This is a clinical description of the work of the Traumatic Stress Clinic, London
with children where one parent kills the other, paying special attention to the
disorder of attachment which occurs in a majority of the young children. It is
suggested that this arises from the combination of the extreme trauma of
witnessing the homicide, the frequent changes of carer, and the relative lack of
therapeutic help.
PMID- 24927087
TI - Combination therapy with nefazodone and lithium: Safety and tolerability in
fourteen patients.
AB - Fourteen patients with major depression, resistant to previous pharmacotherapies,
were treated by the addition of lithium (target range 0.6-0.8 mmol/l) to
nefazodone (>=400 mg/day) and were prospectively monitored for 6 weeks to assess
safety and tolerability. There were 42 emergent adverse events-most commonly
headache, nausea, gastro-intestinal disturbances, tremor, polyuria/polydipsia,
dry mouth and tiredness. Information on ten additional patients receiving
combined treatment with lithium and nefazodone was collected by retrospective
chart review, and it was found that similar adverse events (tremor, dry mouth and
tiredness) had occurred in these patients. We conclude that when lithium is added
to nefazodone, new adverse events do occur, but that the treatment is safe and
tolerable.
PMID- 24927088
TI - Comparative efficacy and tolerability among different selective serotonin re
uptake inhibitors and venlafaxine in a naturalistic setting.
AB - The objective of this study was to compare, in a naturalistic setting, the
efficacy and tolerability of currently available Selective Serotonin Reuptake
Inhibitors (SSRIs) and venlafaxine in outpatients at a primary psychiatric care
centre in Spain. The sample was composed of 194 patients with mood disorders
(major depressive disorder or dysthymic disorder according to the DSM-TV
criteria) who began treatment either with an SSRI (fluoxetine, fluvoxamine,
paroxetine, sertraline, and citalopram) or with venlafaxine. Baseline severity of
the mood disorder was assessed using the Hamilton Depression Rating Scale and
State-Trait Anxiety Inventory, and therapeutic response was measured with the
Clinical Global Impression for Therapeutic Improvement. Tolerability was assessed
by recording spontaneously reported adverse experiences. There were no
significant differences in the efficacy of the antidepressants under study, but
there were differences in the incidence and profiles of adverse events.
Fluoxetine was associated with the lowest incidence of adverse effects, in a
logistical regression model, but particular events seemed to be associated with
certain treatments: gastrointestinal discomfort (fluvoxamine), tremor
(sertraline), dry mouth and dizziness (venlafaxine) and sweating and nervousness
(citalopram). We conclude that in clinical practice there are differences in the
tolerability of these antidepressants. Studies with bigger samples are needed to
confirm these findings.
PMID- 24927089
TI - Prevalence of severe depression in private practice depressed outpatients.
AB - The prevalence of severe depression, defined by a score of 50 or less on the
Global Assessment of Functioning (GAF) scale, and comparisons between severe and
non-severe depression, were studied in 203 consecutive unipolar and bipolar
depressed outpatients presenting for treatment of depression in private practice.
The prevalence of severe degression was 42%. Of the variables investigated
(unipolar/bipolar diagnosis, age at baseline/onset, gender, atypical features,
psychotic features, comorbidity, chronicity, recurrences, duration of illness,
baseline severity), a bipolar I diagnosis and psychotic features were
significantly more common in severe depression, while comorbidity and recurrences
were significantly more common in non-severe depression. Contrary to the
hypothesis that an affective disorder is a progressive illness, the pattern of
severe depression did not show more recurrences, more chronicity, or a longer
duration of illness than non-severe depression. The findings might be related to
the sample studied, as private patients are usually less severely ill than
patients in public or university hospitals.
PMID- 24927090
TI - The QT interval and the atypical antipsychotic, sertindole.
AB - Sertindole is a limbic-selective antipsychotic agent with a strong affinity for
D2, 5-HT2 and alpha1-adrenergic receptors. Prolongation of the QT interval and
other adverse cardiac or cardiovascular reactions are known effects of most
antipsychotic drugs. For this reason such effects have been extensively monitored
during clinical trials of sertindole. A small proportion of sertindole-treated
patients were found to exhibit an increase in QT interval. Detailed analysis
revealed no increased risk with sertindole of lethal complications due to an
increase in QT interval. Nevertheless, as with other antipsychotics,
electrocardiogram (ECG) recordings should be made prior to starting and during
treatment with sertindole.
PMID- 24927091
TI - Is rehospitalization a measure of the efficacy of neuroleptics in the treatment
of schizophrenia?
AB - This study was undertaken to evaluate the efficacy of standard neuroleptic
treatment versus depot neuroleptic treatment. The major criterion for evaluation
was the number of patients hospitalized. Patients were chosen on the basis of
their rating by the ICD-10 classification for schizophrenic disorders. The first
study period was defined as the time when patients were treated with standard
neuroleptics; the second was when patients were treated with depot neuroleptics.
There were 231 hospitalizations during the first period, which involved 48
patients, i.e. 4.8 hospitalizations per patient. The mean total duration of these
hospitalizations was 5.2 years, i.e. it was on average 5 years before the patient
was treated with a depot neuroleptic, with 0.93 hospitalizations per year. The
duration of the second period was the same as the first. Only 44 patients
remained in the study during this period; their mean number of hospitalizations
was 7.2 per patient. The mean number of hospitalizations per year per patient was
1.25. The results suggest that the number of hospitalizations is only a partially
satisfactory way of evaluating the efficacy of neuroleptic treatments, and
questions the relative efficacy of depot neuroleptics compared with standard
neuroleptic treatment.
PMID- 24927092
TI - Diagnostic yield of brain CT in a limited-access environment.
AB - It is not clear why brain CT continues to be used in psychiatric patients in
spite of reports of a low yield of useful information. Hypoihetically, in
circumstances (such as in Israel) where access to CT is more limited, clinicians
will use brain CT more judiciously, resulting in a higher yield. To test this
hypothesis, we retrieved and reviewed brain CT results and discharge summaries
for 23 patients with abnormal brain scans, from 91 scans performed at two
psychiatric inpatient facilities, in order to determine if the brain CT had a
significant effect on the diagnosis or treatment of the patient. We found no
indication that the abnormal CT findings had a significant effect on the
diagnosis or treatment of any of the patients.
PMID- 24927093
TI - Anaesthesia in ECT.
AB - In this retrospective study, the effect of ECT treatment of 53 patients who
received either propofol or methohexitone for the induction of anaesthesia was
reviewed. There was no evidence to suggest that patients who received ECT under
propofol required additional ECT applications, despite the fact that the duration
of seizure was significantly shorter than with methohexitone. Differences in the
recorded clinical outcome following ECT course were not statistically
significant. As propofol is known to result in less cognitive impairment post
anaesthesia (hence its suitability in day surgery cases), a major problem is how
to assess this benefit, in the light of the increased cognitive impairment
produced by having a higher stimulus.
PMID- 24927094
TI - An empirical operationalization study of DSM-IV diagnostic criteria for premature
ejaculation.
AB - The DSM-IV diagnostic criteria for premature ejaculation remain to be
investigated by a clinical study. A prospective study was therefore conducted to
investigate the DSM-IV definition and to provide an empirical operationalization
of premature ejaculation. In this study 140 men suffering from lifelong premature
ejaculation were interviewed separately from their partners. Various means of
assessing the intravaginal ejaculation latency time (IELT) were compared:
assessment by spontaneous answer, by questionnaire, by imagining foreplay and
intercourse and estimating the ejaculation time without a clock or with a clock,
and by stop-watch measurement at home over a one-month period. The number of
thrusts and feelings of control during foreplay and intercourse were also
assessed. A total of 110 men used the stop-watch method. Ninety percent of all
the subjects ejaculated within one minute of intromission, with 80% actually
ejaculating within 30 seconds. The age of the men and duration of their
relationship were not correlated with IELT; however, the IELT tended to be longer
for couples who had a higher frequency of intercourse. There was only a moderate
correlation between the various methods of assessing IELT. The results suggest
that premature ejaculation could be operationally defined as an IELT < 1 min in
more than 90% of episodes of sexual intercourse, independent of age and duration
of relationship.
PMID- 24927095
TI - Should parents take charge of their child's eating disorder? Some preliminary
findings and suggestions for future research.
AB - The treatment of children and young adolescents with anorexia nervosa is
facilitated, relative to the treatment of adult patients, by the possibility of
enlisting parents as allies in gaining control over eating behaviour. Experience
at Rhodes Farm Clinic suggests that the capacity for parents to be firm with
their child enhances weight maintenance following inpatient treatment. Our
treatment approach is outlined, and illustrated with some clinical material. A
pilot study of 59 cases (58 females, 1 male) strongly confirms that weight
maintenance is positively associated with parental firmness, and also negatively
associated with age on admission to the Clinic.
PMID- 24927096
TI - Depression and porphyria: Safe use of fluoxetine in a woman with learning
disabilities.
AB - Many antipsychotic and antidepressant drugs can precipitate life-threatening
porphyric crisis in individuals with the underlying condition. However, when
people with porphyria develop mental illness, they require treatment in order to
maintain their quality of life. For this reason, although the condition is rare,
psychiatrists need information regarding the safety profile of psychotropic drugs
when used in people with porphyria. This case report describes the safe use of
fluoxetine to treat depression in a woman with severe learning disabilities and
porphyria, who failed to respond to non-pharmacological approaches.
PMID- 24927097
TI - Adapting to needs in old-age psychiatry.
AB - The patient described is a 56-year-old man presenting with chronic depression and
eventually found to have Alzheimer's disease. Issues in the diagnosis and
evolution of early dementia are discussed. The importance of long-term
psychological support to the dementing patient, as well as their carers, is
emphasized.
PMID- 24927098
TI - A patient who changed my practice: The lady with a plumher in her roof.
AB - The psychotic elderly patient described in this paper resisted treatment because
her delusional beliefs gave her life some purpose and meaning. This case
illustrates that clinicians need to be mindful that psychotic symptoms can serve
an adaptive function for patients, and their removal may leave them with a void
in their lives that they find difficult to tolerate.
PMID- 24927101
TI - Structural features and antioxidant activities of lignins from steam-exploded
bamboo (Phyllostachys pubescens).
AB - An environmentally friendly steam explosion process of bamboo, followed by alkali
and alkaline ethanol delignification, was developed to fractionate lignins.
Results showed that after steam explosion the lignins isolated showed relatively
low carbohydrate contents (0.55-1.76%) and molecular weights (780-1050 g/mol).
For each steam-exploded sample, alkali-extracted lignins presented higher
phenolic OH values (1.41-1.82 mmol/g), p-coumaric acid to ferulic acid ratios
(pCA/FA ratios 4.5-14.1), and syringyl to guaiacyl ratios (S/G ratios 5.0-8.5)
than those from alkaline ethanol-extracted lignins (phenolic OH 0.85-1.35 mmol/g,
pCA/FA ratios 1.6-5.2, and S/G ratios 3.5-4.8). The lignins obtained consisted
mainly of beta-O-4' linkages combined with small amounts of beta-beta', beta-5',
and alpha-O-4/beta-O-4 linkages. Antioxidant activities of the lignins obtained
were tested by the 2,2-diphenyl-1-picrylhydrazyl, 2,2'-azobis(3
ethylbenzothiazoline-6-sulfonic acid), and ferric reducing activity power
methods. It was found that alkali-extracted lignins obtained during the initial
extraction process had higher antioxidant activities than alkaline ethanol
extracted lignins obtained during the second extraction process.
PMID- 24927100
TI - ROP18 is a key factor responsible for virulence difference between Toxoplasma
gondii and Neospora caninum.
AB - Toxoplasma gondii (T. gondii) and Neospora caninum (N. caninum) are both obligate
intracellular protozoan parasites and share many common morphological and
biological features. Despite these similarities the two parasites differ
dramatically in virulence in mice, but the factors involved in virulence
differences between the two parasites remain unknown. A secreted serine-threonine
kinase called rhoptry protein 18 (ROP18) was identified to play a crucial role on
virulence differences among different T. gondii clonal lineages. Intriguingly, we
found that ROP18 in Nc1 strain of N. caninum (NcROP18) is a pseudogene due to
several interrupting stop codons in the sequence in our previous studies. We
assume that the difference of ROP18 leads to virulence difference between T.
gondii and N. caninum. We constructed a transgenic N. caninum Nc1 stain by
transfecting the TgROP18 from the T. gondii RH strain. Phenotype and virulence
assays showed that the expression of TgROP18 in N. caninum did not affect the
motility and cell invasion, but resulted in a significant increase in
intracellular parasite proliferation and virulence in mice. Immunity-Related
GTPase (IRG) phosphorylation assay showed that the transgenic parasite Nc1
TgROP18 was able to phosphorylate IRGs as T. gondii did. The present study
indicated that the ROP18 plays a crucial role in virulence of the closely related
parasites T. gondii and N. caninum and it is indeed a key factor responsible for
the virulence difference between T. gondii and N. caninum.
PMID- 24927103
TI - Yellow/orange emissive heavy-metal complexes as phosphors in monochromatic and
white organic light-emitting devices.
AB - Owing to the electron spin-orbit coupling (SOC) and fast intersystem crossing
(ISC), heavy-metal complexes (such as iridium(III), platinum(II) and osmium(II)
complexes, etc.) are phosphorescent emitters at room temperature. Since 1998,
heavy-metal complexes as phosphors have received considerable academic and
industrial attention in the field of organic light-emitting diodes (OLEDs),
because they can harvest both the singlet (25%) and triplet (75%) excitons for
emission during the electro-generated processes. Among all the visible colors
(blue, green, yellow, orange and red), the yellow/orange heavy-metal complexes
play an important role for realizing full-color OLEDs as well as high-efficiency
white OLEDs, and thus the development of highly efficient yellow/orange heavy
metal complexes is a pressing concern. In this article, we will review the
progress on yellow/orange heavy-metal complexes as phosphors in OLEDs. The
general principles and useful tactics for designing the yellow/orange heavy-metal
complexes will be systematically summarized. The structure-property relationship
and electrophosphorescence performance of the yellow/orange heavy-metal complexes
in monochromatic phosphorescent OLEDs (PhOLEDs) and white OLEDs (WOLEDs) will be
comprehensively surveyed and discussed.
PMID- 24927102
TI - TCDD promotes lung tumors via attenuation of apoptosis through activation of the
Akt and ERK1/2 signaling pathways.
AB - 2,3,7,8-Tetrachlorodibenzo-p-dioxin (TCDD) is a multiple-site, multiple-species
carcinogen that induces cancer in multiple organs. The molecular mechanisms
underlying TCDD-induced lung tumorigenesis remain unclear. In the present study,
a two-stage lung tumorigenesis model was established by administrating a single
low dose of 4-(methylnitrosamino)-1-(3-pyridyl)-1-butanone (NNK) combined with
TCDD to female A/J mice. The results indicated that TCDD combined with low-dose
NNK has a significant tumor-promoting effect compared with TCDD or low-dose NNK
alone. Resistance to apoptosis is a hallmark of cancer and is thought to be one
of the tumor-promoting mechanisms regulated by TCDD. We performed an additional
series of experiments in the normal human bronchial epithelial cell line Beas2B
cells, in which TCDD was combined with the apoptosis inducer staurosporine. Our
in vitro results confirmed that TCDD could rescue cells from apoptosis induced by
staurosporine. The inhibition of apoptosis is likely mediated by the activation
of the Akt and ERK1/2 pathways, as determined by the effectiveness of pathway
specific inhibitors in abrogating the anti-apoptotic activity of TCDD. In
conclusion, we demonstrated that TCDD promoted NNK-induced lung tumorigenesis and
revealed that TCDD inhibits staurosporine-induced apoptosis, at least in part,
through the Akt and ERK1/2 signaling pathways.
PMID- 24927105
TI - Determination of flowable liquid retention potential of aluminometasilicate
carrier for liquisolid systems preparation.
AB - Abstract Context: Liquisolid systems are modern formulations used to increase the
bioavailability of poorly water-soluble drugs. Their preparation is based on the
sorption of a drug in liquid state onto a carrier with a high adsorption
capacity. The carrier particles are subsequently coated with material having a
large surface area to form free-flowing powder. The main principle of
bioavailability increasing is based on the presence of the dissolved drug, which
is ready for immediate absorption in GI tract. Objective: Determination of
capability of aluminometasilicate carrier Neusilin(r) US2 to adsorb different
solvents. Materials and methods: The maximum amounts of polyethylene glycol 200
(PEG 200), polyethylene glycol 400 and propylene glycol (PG), which can be sorbed
by Neusilin(r) US2, while maintaining an acceptable flowability of the powder
mixture, were evaluated using determination of the flowable liquid retention
potential. Results and discussion: From the evaluation of liquisolid powder
mixtures, it could be observed that 1 g of Neusilin(r) US2 can retain up to 1.00
g of PG, 1.16 g of polyethylene glycol 400 and 1.48 g of PEG 200, while
maintaining acceptable flowing properties. Conclusion: Large specific surface
area in combination with a high absorption capacity makes Neusilin(r) US2
suitable carrier for liquisolid system preparation.
PMID- 24927104
TI - HBD-2 is downregulated in oral carcinoma cells by DNA hypermethylation, and
increased expression of hBD-2 by DNA demethylation and gene transfection inhibits
cell proliferation and invasion.
AB - Human beta-defensin-2 (hBD-2) is a type of epithelial antimicrobial peptide. The
expression level of hBD-2 mRNA is lower in oral carcinoma cells (OCCs) than in
healthy oral epithelium. Yet, it is still unknown how hBD-2 expression is
downregulated in OCCs. The present study investigated DNA hypermethylation of hBD
2 in OCCs and the effect of the demethylation and increased expression of hBD-2
on cell proliferation and invasion. Six different types of oral carcinoma cell
lines (OSC-19, BSC-OF, SAS, HSC-2, HSC-4 and HSY) and normal oral keratinocytes
(NOKs) were used. The expression levels of hBD-2 in all OCCs were significantly
lower than that in the NOKs. Treatment with DNA methyltransferase inhibitor, 5
aza-dC, at the concentration of 50 uM significantly induced upregulation of
expression of hBD-2 in the OCCs. Using methylation-specific PCR, DNA
hypermethylation was observed in all OCCs. These results suggest that DNA
hypermethylation is, at least in part, involved in the decreased expression of
hBD-2 in OCCs. We examined the effect of 5-aza-dC on the cell proliferation and
invasive ability of OCCs. The cell invasion assays showed that the number of OCCs
treated with 5-aza-dC on the filters was significantly lower than that of the
controls. We examined whether increased expression of hBD-2 generated by gene
transfection inhibited the proliferation and invasion of SAS cells. The number of
SAS cells exhibiting increased expression of hBD-2 on the filters in the invasion
assay were significantly lower on day 7 when compared with the control. hBD-2 may
function as a tumor suppressor. Increased expression of hBD-2 induced by
demethylation or increased expression generated by gene transfection may be
useful therapeutic methods for oral carcinoma.
PMID- 24927106
TI - A New Sonographic Weight Estimation Formula for Fetuses with Congenital
Diaphragmatic Hernia.
AB - PURPOSE: The accuracy of the sonographic weight estimation (WE) of fetuses with
congenital diaphragmatic hernia (CDH) is significantly lower than that of fetuses
without any malformations. The objective of this study was to develop and
evaluate the first specific sonographic weight formula for fetuses with CDH.
MATERIALS AND METHODS: In a retrospective, multicenter, cohort study, a
statistical estimation technique known as "multivariable fractional polynomial
regression" was applied to a group of 146 fetuses with CDH. Each fetus underwent
an ultrasound examination with complete biometric parameters within 7 days of
delivery. A new formula was derived using the obtained data and was then compared
with other commonly used equations. The accuracy of the different formulas was
compared using means of signed percentage errors (SPE), medians of absolute
percentage errors (MAPE), and fractions of estimates within prespecified error
bounds. RESULTS: The new derived formula is: EFW = 10^(4.6729 107 371 + 0.2365
011 768 * HC + 0.2228 897 682 * FL^2 - 0.0129 895 773 * FL^3 - 1.0470 039 072 *
(FL * HC)^0.5 + 0.0004 314 661 * (AC * HC) - [in case of liver herniation] 0.0062
112 122), where EFW is the estimated fetal weight, HC is the head circumference,
AC is the abdominal circumference, and FL is the femur length. The new formula
proved to be superior to other established equations, showing both the lowest
median absolute percentage error (MAE: 6.97) and mean signed percentage error
(SPE: 0.40), and the best distribution of absolute percentage errors within
prespecified error bounds. CONCLUSION: This new formula significantly improves
weight estimation in fetuses with CDH.
PMID- 24927107
TI - Virtue training in medical schools: the perspective of behavioral science course
directors.
AB - Although the multidisciplinary research on physician socialization has focused on
areas such as developments in learners' ideological commitments and ethics
knowledge and skills, the literature on physician virtues has been anecdotal. To
contribute empirical knowledge of virtue development during socialization, I
performed constant comparisons on interviews with 20 directors of preclinical
behavioral science courses. In discussing their courses, participants revealed
foci on virtues involved in making intimate connections with patients (e.g.,
empathy) and "being professional" with colleagues (e.g., trustworthiness). To
cultivate virtues for intimate connections, participants used the strategies of
learner engagement with patients' narratives of illness, service in underserved
communities, and shadowing and observing role models. To develop virtues for
being professional, participants used the strategy of small learner groups, which
consisted of discussions, project collaborations, and group evaluations. I
conclude with implications for training students of various health sciences and
managing health care teams.
PMID- 24927108
TI - Diabetes and the Affordable Care Act.
AB - The Affordable Care Act--"Obamacare"--is the most important federal medical
legislation to be enacted since Medicare. Although the goal of the Affordable
Care Act is to improve healthcare coverage, access, and quality for all
Americans, people with diabetes are especially poised to benefit from the
comprehensive reforms included in the act. Signed into law in 2010, this massive
legislation will slowly be enacted over the next 10 years. In the making for at
least a decade, it will affect every person in the United States, either directly
or indirectly. In this review, we discuss the major changes in healthcare that
will take place in the next several years, including (1) who needs to purchase
insurance on the Web-based exchange, (2) the cost to individuals and the rebates
that they may expect, (3) the rules and regulations for purchasing insurance, (4)
the characteristics of the different "metallic" insurance plans that are
available, and (5) the states that have agreed to participate. With both tables
and figures, we have tried to make the Affordable Care Act both understandable
and appreciated. The goal of this comprehensive review is to highlight aspects of
the Affordable Care Act that are of importance to practitioners who care for
people with diabetes by discussing both the positive and the potentially negative
aspects of the program as they relate to diabetes care.
PMID- 24927109
TI - Capturing the optical phase response of nanoantennas by coherent second-harmonic
microscopy.
AB - The ultrafast coherent control of light localization in resonant plasmonic
nanostructures is intricately related to the phase response of the involved
plasmon resonances. In this work, we exploit the second harmonic signal generated
by single optical nanoantennas subject to broadband phase-controlled femtosecond
pulses to study and tailor the coherent resonance response. Our results reveal
that both the spectral phase and the amplitude components associated with the
plasmon resonance of arbitrary individual nanoantennas can be accurately
determined.
PMID- 24927111
TI - Preparation and characterization of TiO2 barrier layers for dye-sensitized solar
cells.
AB - A TiO2 barrier layer is critical in enhancing the performance of dye-sensitized
solar cells (DSSCs). Two methods to prepare the TiO2 barrier layer on fluorine
doped tin dioxide (FTO) surface were systematically studied in order to minimize
electron-hole recombination and electron backflow during photovoltaic processes
of DSSCs. The film structure and materials properties were correlated with the
photovoltaic characteristics and electrochemical properties. In the first
approach, a porous TiO2 layer was deposited by wet chemical treatment of the
sample with TiCl4 solution for time periods varying from 0 to 60 min. The N719
dye molecules were found to be able to insert into the porous barrier layers. The
20 min treatment formed a nonuniform but intact TiO2 layer of ~100-300 nm in
thickness, which gave the highest open-circuit voltage VOC, short-circuit
photocurrent density JSC, and energy conversion efficiency. But thicker TiO2
barrier layers by this method caused a decrease in JSC, possibly limited by lower
electrical conductance. In the second approach, a compact TiO2 barrier layer was
created by sputter-coating 0-15 nm Ti metal films on FTO/glass and then oxidizing
them into TiO2 with thermal treatment at 500 degrees C in the air for 30 min.
The dye molecules were found to only attach at the outer surface of the barrier
layer and slightly increased with the layer thickness. These two kinds of barrier
layer showed different characteristics and may be tailored for different DSSC
studies.
PMID- 24927110
TI - Enterobactin-mediated delivery of beta-lactam antibiotics enhances antibacterial
activity against pathogenic Escherichia coli.
AB - The design, synthesis, and characterization of enterobactin-antibiotic
conjugates, hereafter Ent-Amp/Amx, where the beta-lactam antibiotics ampicillin
(Amp) and amoxicillin (Amx) are linked to a monofunctionalized enterobactin
scaffold via a stable poly(ethylene glycol) linker are reported. Under conditions
of iron limitation, these siderophore-modified antibiotics provide enhanced
antibacterial activity against Escherichia coli strains, including uropathogenic
E. coli CFT073 and UTI89, enterohemorrhagic E. coli O157:H7, and enterotoxigenic
E. coli O78:H11, compared to the parent beta-lactams. Studies with E. coli K-12
derivatives defective in ferric enterobactin transport reveal that the enhanced
antibacterial activity observed for this strain requires the outer membrane
ferric enterobactin transporter FepA. A remarkable 1000-fold decrease in minimum
inhibitory concentration (MIC) value is observed for uropathogenic E. coli CFT073
relative to Amp/Amx, and time-kill kinetic studies demonstrate that Ent-Amp/Amx
kill this strain more rapidly at 10-fold lower concentrations than the parent
antibiotics. Moreover, Ent-Amp and Ent-Amx selectively kill E. coli CFT073 co
cultured with other bacterial species such as Staphylococcus aureus, and Ent-Amp
exhibits low cytotoxicity against human T84 intestinal cells in both the apo and
iron-bound forms. These studies demonstrate that the native enterobactin platform
provides a means to effectively deliver antibacterial cargo across the outer
membrane permeability barrier of Gram-negative pathogens utilizing enterobactin
for iron acquisition.
PMID- 24927112
TI - miR-137 regulates the migration of human umbilical vein endothelial cells by
targeting ephrin-type A receptor 7.
AB - MicroRNAs (miRNAs) are short non-coding RNAs, which negatively regulate gene
expression. Post-transcriptional regulation by miRNAs is important for organism
development. In addition, endothelial cells are key regulators of angiogenesis.
By using the 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT),
migration and gelatin sponge-chorioallantoic membrane assays, it was demonstrated
that when miR-137 was overexpressed, cell viability and migration decreased. In
addition, it was observed that blocking endogenous miR-137 increased cell
viability and migration. Bioinformatics analysis indicated that the 3'
untranslated region (3'UTR) of the ephrin type-A receptor 7 (EPHA7) has a
putative binding site for miR-137. miR-137 is able to directly bind to the EPHA7
3'UTR and negatively regulate the expression of EPHA7. miR-137 is also able to
decrease the growth and migration of human umbilical vein endothelial cells
(HUVECs). The identification of the function of miR-137 and its target gene EPHA7
in HUVECs may provide novel insights into the mechanisms of angiogenesis.
PMID- 24927113
TI - Ru(II) dyads derived from 2-(1-pyrenyl)-1H-imidazo[4,5-f][1,10]phenanthroline:
versatile photosensitizers for photodynamic applications.
AB - Combining the best attributes of organic photosensitizers with those of
coordination complexes is an elegant way to achieve prolonged excited state
lifetimes in Ru(II) dyads. Not only do their reduced radiative and nonradiative
rates provide ample time for photosensitization of reactive oxygen species at low
oxygen tension but they also harness the unique properties of (3)IL states that
can act as discrete units or in concert with (3)MLCT states. The imidazo[4,5
f][1,10]phenanthroline framework provides a convenient tether for linking pi
expansive ligands such as pyrene to a Ru(II) scaffold, and the stabilizing
coligands can fine-tune the chemical and biological properties of these
bichromophoric systems. The resulting dyads described in this study exhibited
nanomolar light cytotoxicities against cancer cells with photocytotoxicity
indices exceeding 400 for some coligands employed. This potency extended to
bacteria, where concentrations as low as 10 nM destroyed 75% of a bacterial
population. Notably, these dyads remained extremely active against biofilm with
light photocytotoxicities against these more resistant bacterial populations in
the 10-100 nM regime. The results from this study demonstrate the versatility of
these highly potent photosensitizers in destroying both cancer and bacterial
cells and expand the scope of compounds that utilize low-lying (3)IL states for
photobiological applications.
PMID- 24927114
TI - Randomised trial support for orthopaedic surgical procedures.
AB - We investigated the proportion of orthopaedic procedures supported by evidence
from randomised controlled trials comparing operative procedures to a non
operative alternative. Orthopaedic procedures conducted in 2009, 2010 and 2011
across three metropolitan teaching hospitals were identified, grouped and ranked
according to frequency. Searches of the Cochrane Central Register of Controlled
Trials (CENTRAL), the Cochrane Database of Systematic Reviews (CDSR) and the
Database of Abstracts of Reviews of Effects (DARE) were performed to identify
RCTs evaluating the most commonly performed orthopaedic procedures. Included
studies were categorised as "supportive" or "not supportive" of operative
treatment. A risk of bias analysis was conducted for included studies using the
Cochrane Collaboration's Risk of Bias tool. A total of 9,392 orthopaedic
procedures were performed across the index period. 94.6% (8886 procedures) of the
total volume, representing the 32 most common operative procedure categories,
were used for this analysis. Of the 83 included RCTs, 22.9% (19/83) were
classified as supportive of operative intervention. 36.9% (3279/8886) of the
total volume of procedures performed were supported by at least one RCT showing
surgery to be superior to a non-operative alternative. 19.6% (1743/8886) of the
total volume of procedures performed were supported by at least one low risk of
bias RCT showing surgery to be superior to a non-operative alternative. The level
of RCT support for common orthopaedic procedures compares unfavourably with other
fields of medicine.
PMID- 24927116
TI - Evaluation of the humoral immune response to human leukocyte antigens in
Brazilian renal transplant candidates.
AB - Pre-transplant sensitization to human leukocyte antigens (HLA) is a risk factor
for graft failure. Studies of the immunological profile related to anti-HLA
antibodies in Brazilian renal transplant candidates are few. In this study, we
evaluated the humoral immune response to HLA antigens in 269 renal transplant
candidates, in Parana State, Brazil. The HLA typing was performed by the
polymerase chain reaction sequence-specific oligonucleotide method (PCR-SSO)
combined with Luminex technology, using an SSO-LABType commercial kit (One
Lambda, Inc., Canoga Park, CA, USA). The percentages of panel-reactive antibodies
(PRA) and the specificity of anti-HLA antibodies were determined using the LS1PRA
and LS2PRA commercial kits (One Lambda, Inc.). The PRA-positive group consisted
of 182 (67.7%) patients, and the PRA-negative group of 87 (32.3%) patients. The
two groups differed significantly only with respect to gender. Females were the
most sensitized. Among the 182 patients with PRA- positive, 62 (34.1%) were
positive for class I and negative for class II, 39 (21.4%) were negative for
class I and positive for class II, and 81 (44.5%) were positive for both classes
I and II. The HLA-A*02, A*24, A*01, B*44, B*35, B*15, DRB1*11, DRB1*04 and
DRB1*03 allele groups were the most frequent. The specificities of anti-HLA
antibodies were more frequent: A34, B57, Cw15, Cw16, DR51, DQ8 and DP14. This
study documented the profile of anti-HLA antibodies in patients with chronic
renal failure who were on waiting lists for an organ in Parana, and found high
sensitization to HLA antigens in the samples.
PMID- 24927117
TI - Characterization of the integration and modular excision of the integrative
conjugative element PAISt in Streptomyces turgidiscabies Car8.
AB - PAISt is a large genomic island located in the chromosome of the plant pathogen
Streptomyces turgidiscabies Car8. The island carries clustered virulence genes,
transfers to other Streptomyces species, and integrates by site-specific
recombination at the 8 bp palindrome TTCATGAA. The palindrome is located at the
3' end of the bacitracin resistance gene (bacA). We demonstrate that PAISt is
able to excise in modules by recombination of one internal and two flanking
palindromic direct repeats. The gene intSt located at the 3( end of PAISt encodes
a tyrosine recombinase. Site-specific recombination activity of intSt was tested
and confirmed by heterologous expression in Streptomyces coelicolor. Comparative
analysis of PAISt homologues in Streptomyces scabies 87-22 and Streptomyces
acidiscabies 84-104 indicates that these islands have been fixed by sequence
erosion of intSt and the recombination sites.
PMID- 24927118
TI - Bispectral index guided titration of sevoflurane in on-pump cardiac surgery
reduces plasma sevoflurane concentration and vasopressor requirements: a
prospective, controlled, sequential two-arm clinical study.
AB - BACKGROUND: Electroencephalographic-based monitoring systems such as the
bispectral index (BIS) may reduce anaesthetic overdose rates. OBJECTIVE: We
hypothesised that goal-directed sevoflurane administration (guided by BIS
monitoring) could reduce the sevoflurane plasma concentration (SPC) and
intraoperative vasopressor doses during on-pump cardiac surgery. DESIGN: A
prospective, controlled, sequential two-arm clinical study. SETTING: German
university medical centre with more than 2500 cardiac surgery interventions per
year. PATIENTS: Sixty elective on-pump cardiac surgery patients. INTERVENTION: In
group Sevo1.8% (n = 29), the sedation depth was maintained with a sustained
inspired concentration of sevoflurane 1.8% before and during cardiopulmonary
bypass (CPB). In group SevoBIS (n = 31), the inspired sevoflurane concentration
was titrated to maintain a BIS target between 40 and 60. OUTCOME MEASURES: SPC
during CPB and the intraoperative administration of noradrenaline. Additional
analyses were performed on intraoperative awareness, postoperative blood lactate
concentration, duration of mechanical ventilation, intensive care unit length of
stay and kidney injury. RESULTS: Mean inspired sevoflurane concentration was 0.8%
in group SevoBIS, representing a 57.1% reduction (P < 0.001) compared with group
Sevo1.8%. The mean SPC was 42.3 MUg ml(-1) [95% confidence interval (CI) 40.0 to
44.6] in group Sevo1.8% and 21.0 MUg ml(-1) (95% CI 18.8 to 23.3) in group
SevoBIS, representing a 50.2% reduction (P < 0.001). During CPB, the mean
cumulative dose of noradrenaline administered was 13.48 MUg kg(-1) (95% CI 10.52
to 17.19) in group Sevo1.8% and 4.06 MUg kg(-1) (95% CI 2.67 to 5.97) in group
SevoBIS (P < 0.001). Pearson's correlation coefficient (between the cumulative
applied dosage of sevoflurane calculated from the area under the curve of the SPC
over time and the administered cumulative noradrenaline dose) was 0.607 (P <
0.001). No intraoperative awareness signs were detected. CONCLUSION: BIS-guided
titration of sevoflurane reduces the SPC and decreases noradrenaline
administration compared with routine care during on-pump cardiac surgery.
PMID- 24927119
TI - Oncogene dependency and the potential of targeted RNAi-based anti-cancer therapy.
AB - Cancers arise through the progression of multiple genetic and epigenetic defects
that lead to deregulation of numerous signalling networks. However, the last
decade has seen the development of the concept of 'oncogene addiction', where
tumours appear to depend on a single oncogene for survival. RNAi has provided an
invaluable tool in the identification of these oncogenes and oncogene-dependent
cancers, and also presents great potential as a novel therapeutic strategy
against them. Although RNAi therapeutics have demonstrated effective killing of
oncogene-dependent cancers in vitro, their efficacy in vivo is severely limited
by effective delivery systems. Several virus-based RNAi delivery strategies have
been explored, but problems arose associated with high immunogenicity, random
genome integration and non-specific targeting. This has directed efforts towards
non-viral formulations, including delivery systems based on virus-like particles,
liposomes and cationic polymers, which can circumvent some of these problems by
immunomasking and the use of specific tumour-targeting ligands. This review
outlines the prevalence of oncogene-dependent cancers, evaluates the potential of
RNAi-based therapeutics and assesses the relative strengths and weaknesses of
different approaches to targeted RNAi delivery.
PMID- 24927120
TI - Mechanisms of transcriptional regulation by WT1 (Wilms' tumour 1).
AB - The WT1 (Wilms' tumour 1) gene encodes a zinc finger transcription factor and RNA
binding protein that direct the development of several organs and tissues. WT1
manifests both tumour suppressor and oncogenic activities, but the reasons behind
these opposing functions are still not clear. As a transcriptional regulator, WT1
can either activate or repress numerous target genes resulting in disparate
biological effects such as growth, differentiation and apoptosis. The complex
nature of WT1 is exemplified by a plethora of isoforms, post-translational
modifications and multiple binding partners. How WT1 achieves specificity to
regulate a large number of target genes involved in diverse physiological
processes is the focus of the present review. We discuss the wealth of the
growing molecular information that defines our current understanding of the
versatility and utility of WT1 as a master regulator of organ development, a
tumour suppressor and an oncogene.
PMID- 24927121
TI - Genome-wide profiling reveals a role for T-cell intracellular antigens TIA1 and
TIAR in the control of translational specificity in HeLa cells.
AB - TIA (T-cell intracellular antigens)-knockdown HeLa cells show an increase in
ribosomes and translational machinery components. This increase correlates with
specific changes in translationally up-regulated mRNAs involved in cell-cycle
progression and DNA repair, as shown in polysomal profiling analysis. Our data
support the hypothesis that a concerted activation of both global and selective
translational rates leads to the transition to a more proliferative status in TIA
knockdown HeLa cells.
PMID- 24927122
TI - Altered expression of hypoxia-inducible factor-1alpha (HIF-1alpha) and its
regulatory genes in gastric cancer tissues.
AB - Tissue hypoxia induces reprogramming of cell metabolism and may result in normal
cell transformation and cancer progression. Hypoxia-inducible factor 1-alpha (HIF
1alpha), the key transcription factor, plays an important role in gastric cancer
development and progression. This study aimed to investigate the underlying
regulatory signaling pathway in gastric cancer using gastric cancer tissue
specimens. The integration of gene expression profile and transcriptional
regulatory element database (TRED) was pursued to identify HIF-1alpha <->
NFkappaB1 -> BRCA1 -> STAT3 <- STAT1 gene pathways and their regulated genes. The
data showed that there were 82 differentially expressed genes that could be
regulated by these five transcription factors in gastric cancer tissues and these
genes formed 95 regulation modes, among which seven genes (MMP1, TIMP1, TLR2,
FCGR3A, IRF1, FAS, and TFF3) were hub molecules that are regulated at least by
two of these five transcription factors simultaneously and were associated with
hypoxia, inflammation, and immune disorder. Real-Time PCR and western blot showed
increasing of HIF-1alpha in mRNA and protein levels as well as TIMP1, TFF3 in
mRNA levels in gastric cancer tissues. The data are the first study to
demonstrate HIF-1alpha-regulated transcription factors and their corresponding
network genes in gastric cancer. Further study with a larger sample size and more
functional experiments is needed to confirm these data and then translate into
clinical biomarker discovery and treatment strategy for gastric cancer.
PMID- 24927123
TI - Signaling mechanisms that suppress the cytostatic actions of rapamycin.
AB - While rapamycin and the "rapalogs" Everolimus and Temsirolimus have been approved
for clinical use in the treatment of a number of forms of cancer, they have not
met overarching success. Some tumors are largely refractory to rapamycin
treatment, with some even undergoing an increase in growth rates. However the
mechanisms by which this occurs are largely unknown. The results presented here
reveal novel cell-signaling mechanisms that may lead to this resistance. The
absence of TGFbeta signaling results in resistance to rapamycin. Additionally, we
observed that treatment of some cancer cell lines with rapamycin and its analogs
not only potentiates mitogenic signaling and proliferation induced by HGF, but
also stimulates the pro-survival kinase Akt. Together, the data show that the
effectiveness of rapamycin treatment can be influenced by a number of factors and
bring to light potential biomarkers for the prediction of responsiveness to
treatment, and suggest combination therapies to optimize rapalog anticancer
efficacy.
PMID- 24927124
TI - Modification of diet in renal disease (MDRD) study and CKD epidemiology
collaboration (CKD-EPI) equations for Taiwanese adults.
AB - BACKGROUND: Estimated glomerular filtration rate (eGFR) using the Modification of
Diet in Renal Disease (MDRD) study or the Chronic Kidney Disease Epidemiology
Collaboration (CKD-EPI) equations may not be accurate for Asians; thus, we
developed modified eGFR equations for Taiwanese adults. METHODS: This cross
sectional study compared the Taiwanese eGFR equations, the MDRD study, and the
CKD-EPI equations with inulin clearance (Cin). A total of 695 adults including
259 healthy volunteers and 436 CKD patients were recruited. Participants from the
Kaohsiung Medical University Hospital were used as the development set (N = 556)
to develop the Taiwanese eGFR equations, whereas participants from the National
Taiwan University Hospital were used as the validation set (N = 139) for external
validation. RESULTS: The Taiwanese eGFR equations were developed by using the
extended Bland-Altman plot in the development set. The Taiwanese MDRD equation
was 1.309 * MDRD0.912, Taiwanese CKD-EPI was 1.262*CKD-EPI0.914 and Taiwanese
four-level CKD-EPI was 1.205 * four-level CKD-EPI0.914. In the validation set,
the Taiwanese equations had the lowest bias, the Taiwanese equations and the
Japanese CKD-EPI equation had the lowest RMSE, whereas the Taiwanese and the
Japanese equations had the best precision and the highest P30 among all
equations. However, the Taiwanese MDRD equation had higher concordance
correlation than did the Taiwanese CKD-EPI, the Taiwanese four-level CKD-EPI and
the Japanese equations. Moreover, only the Taiwanese equations had no
proportional bias among all of the equations. Finally, the Taiwanese MDRD
equation had the best diagnostic performance in terms of ordinal logistic
regression among all of the equations. CONCLUSION: The Taiwanese MDRD equation is
better than the MDRD, CKD-EPI, Japanese, Asian, Thai, Taiwanese CKD-EPI, and
Taiwanese four-level CKD-EPI equations for Taiwanese adults.
PMID- 24927125
TI - Diabetes mellitus and risk of thyroid cancer: a meta-analysis.
AB - INTRODUCTION: Diabetes mellitus (DM) is an important risk factor for endocrine
cancers; however, the association with thyroid cancer is not clear. We performed
a systematic review and meta-analysis to clarify the association between thyroid
cancer and DM. METHODS: We searched MEDLINE, PUBMED and EMBASE databases through
July 2012, using search terms related to diabetes mellitus, cancer, and thyroid
cancer. We conducted a meta-analysis of the risk of incidence of thyroid cancer
from pre-existing diabetes. Of 2,123 titles initially identified, sixteen
articles met our inclusion criteria. An additional article was identified from a
bibliography. Totally, 14 cohort and 3 case-control studies were selected for the
meta-analysis. The risks were estimated using random-effects model and
sensitivity test for the studies which reported risk estimates and used different
definition of DM. RESULTS: Compared with individuals without DM, the patients
with DM were at 1.34-fold higher risk for thyroid cancer (95% CI 1.11-1.63).
However, there was heterogeneity in the results (p<0.0001). Sensitivity tests and
studies judged to be high quality did not show heterogeneity and DM was
associated with higher risk for thyroid cancer in these sub-analyses (both of RRs
= 1.18, 95% CIs 1.08-1.28). DM was associated with a 1.38-fold increased risk of
thyroid cancer in women (95% CI 1.13-1.67) after sensitivity test. Risk of
thyroid cancer in men did not remain significant (RR 1.11, 95% CI 0.80-1.53).
CONCLUSIONS: Compared with their non-diabetic counterparts, women with pre
existing DM have an increased risk of thyroid cancer.
PMID- 24927126
TI - Development of a highly sensitive glycan microarray for quantifying AFP-L3 for
early prediction of hepatitis B virus-related hepatocellular carcinoma.
AB - The alpha-fetoprotein fraction L3 (AFP-L3), which is synthesized by malignant
cells and incorporates a fucosylated oligosaccharide, has been investigated as a
diagnostic and prognostic marker for hepatocellular carcinoma (HCC).
Quantification of AFP-L3 by conventional enzyme-linked immunosorbent assay
(ELISA) has not always produced reliable results for serum samples with low AFP,
and thus we evaluated the clinical utility of quantifying AFP-L3 using a new and
highly sensitive glycan microarray assay. Sera from 9 patients with chronic
hepatitis B and 32 patients with hepatitis B virus (HBV)-related HCC were tested
for AFP-L3 level using the glycan microarray. Additionally, we compared receiver
operator characteristic curves for the ELISA and glycan microarray methods for
determination of the AFP-L3: AFP-L1 ratio in patient samples. This ratio was
calculated for 8 HCC patients who underwent transarterial embolization therapy
pre- or post-treatment with AFP-L3. Glycan microarrays showed that the AFP-L3
ratio of HBV-related HCC patients was significantly higher than that measured for
chronic hepatitis B patients. Overall parameters for estimating AFP-L3% in HCC
samples were as follows: sensitivity, 53.13%; specificity, 88.89%; and area under
the curve, 0.75. The elevated AFP-L3% in the 8 patients with HBV-related HCC was
strongly associated with HCC progression. Following one month of transarterial
embolization therapy, the relative mean AFP-L3% decreased significantly. In
addition, we compared Fut8 gene expression between paired tumor and non-tumor
tissues from 24 patients with HBV-related HCC. The Fut8 mRNA expression was
significantly increased in tumorous tissues in these patients than that in non
tumor tissue controls. Higher expression of Fut8 mRNA in tumorous tissues in
these patients was associated with poor differentiation than well and moderate
differentiation. Our results describe a new glycan microarray for the sensitive
and rapid quantification of fucosylated AFP; this method is potentially
applicable to screening changes in AFP-L3 level for assessment of HCC
progression.
PMID- 24927127
TI - Nature and pattern of cricket injuries: the Asian Cricket Council Under-19, Elite
Cup, 2013.
AB - Cricket has over the years gained much popularity in Asia, thus the number of
cricket players has also grown in tandem. However, cricket players are not as
fortunate as other athletes as they do not always have a standard cricket
infrastructure to practice; therefore, the injury prevalence is expected to be
high. Unfortunately, very few studies have been conducted to investigate the
nature and pattern of cricket injuries prevalent to cricketers in this region.
Therefore, a prospective cohort injury surveillance study was conducted during
the Asian Cricket Council (ACC) Under-19 Elite Cup held in June 2013 in order to
gather more data on the type of injuries sustained by cricket players. Overall,
31 injuries occurred to 28 players throughout the tournament, of which 7 injuries
happened during practice sessions. The overall injury incidence rate (IIR) was
292.0 per 10,000 player hours (95% CI 176.9-407.1) and 10.4 per 10,000 balls
faced and 2.6 per 1000 overs bowled delivered during batting and bowling,
respectively. Injuries to the lower limb (IIR: 146; 95% CI 1.8-98.2) were the
most frequent, followed by injuries to the upper limb (97.3;95% CI 30.2-164.5)
and to the trunk and back (IIR: 36.5;95% CI 0.0-77.7). Sprain/strains (IIR
109.5;95% CI 38.4-180.7) to muscle/tendon and joint/ligament were the most
commonly reported nature of injury. This is the first study investigating injury
incidence among the players of the ACC. It provides an overview of injuries
sustained by elite players' under-19 years of age from 10 Asian countries. The
overall IIR is similar to earlier studies conducted in well-established cricket
playing nations.
PMID- 24927129
TI - Selection of optimal auxiliary soil nutrient variables for Cokriging
interpolation.
AB - In order to explore the selection of the best auxiliary variables (BAVs) when
using the Cokriging method for soil attribute interpolation, this paper
investigated the selection of BAVs from terrain parameters, soil trace elements,
and soil nutrient attributes when applying Cokriging interpolation to soil
nutrients (organic matter, total N, available P, and available K). In total, 670
soil samples were collected in Fuyang, and the nutrient and trace element
attributes of the soil samples were determined. Based on the spatial
autocorrelation of soil attributes, the Digital Elevation Model (DEM) data for
Fuyang was combined to explore the coordinate relationship among terrain
parameters, trace elements, and soil nutrient attributes. Variables with a high
correlation to soil nutrient attributes were selected as BAVs for Cokriging
interpolation of soil nutrients, and variables with poor correlation were
selected as poor auxiliary variables (PAVs). The results of Cokriging
interpolations using BAVs and PAVs were then compared. The results indicated that
Cokriging interpolation with BAVs yielded more accurate results than Cokriging
interpolation with PAVs (the mean absolute error of BAV interpolation results for
organic matter, total N, available P, and available K were 0.020, 0.002, 7.616,
and 12.4702, respectively, and the mean absolute error of PAV interpolation
results were 0.052, 0.037, 15.619, and 0.037, respectively). The results
indicated that Cokriging interpolation with BAVs can significantly improve the
accuracy of Cokriging interpolation for soil nutrient attributes. This study
provides meaningful guidance and reference for the selection of auxiliary
parameters for the application of Cokriging interpolation to soil nutrient
attributes.
PMID- 24927128
TI - Pharmacological preconditioning with vitamin C attenuates intestinal injury via
the induction of heme oxygenase-1 after hemorrhagic shock in rats.
AB - Pre-induction of heme oxygenase (HO)-1, which is regarded as an effective method
of "organ preconditioning", exerts beneficial effects during hemorrhagic shock
(HS). However, the available HO-1 inducers exhibit disadvantages such as toxicity
or complex technical requirements. Therefore, a safe and convenient HO-1 inducer
would be promising and could be exploited in the treatment of foreseeable
hemorrhaging, such as prior to major surgery. Here we investigated the effect of
vitamin C (VitC), a common antioxidant, on intestinal HO-1 expression and
examined whether VitC pretreatment prevented HS related intestinal tissue
injuries after HO-1 induction. First, we conducted an in vitro study and found
that HO-1 expression in rat intestinal epithelial cells (IEC-6) was induced by
non-toxic VitC in a time and concentration dependent manner, and the mechanism
was related to the activation of extracellular signal-regulated kinase 1/2
(ERK1/2). Next, we conducted an in vivo study and found that VitC induced
intestinal HO-1 protein expression (mainly observed in the intestinal epithelial
cells) and HO-1 activity in normal SD rats, and that these HO-1 levels were
further enhanced by VitC in a rat model of HS. The HS related intestinal
injuries, including histological damage, pro-inflammatory cytokine levels (tumor
necrosis factor and interleukin-6), neutrophil infiltration and apoptosis
decreased after VitC pretreatment, and this alleviating of organ injuries was
abrogated after the inhibition of HO-1 activity by zinc protoporphyrin-IX. It was
of note that VitC did little histological damage to the intestine of the sham
rats. These data suggested that VitC might be applied as a safe inducer of
intestinal HO-1 and that VitC pretreatment attenuated HS related intestinal
injuries via the induction of HO-1.
PMID- 24927130
TI - Daily changes in temperature, not the circadian clock, regulate growth rate in
Brachypodium distachyon.
AB - Plant growth is commonly regulated by external cues such as light, temperature,
water availability, and internal cues generated by the circadian clock. Changes
in the rate of growth within the course of a day have been observed in the
leaves, stems, and roots of numerous species. However, the relative impact of the
circadian clock on the growth of grasses has not been thoroughly characterized.
We examined the influence of diurnal temperature and light changes, and that of
the circadian clock on leaf length growth patterns in Brachypodium distachyon
using high-resolution time-lapse imaging. Pronounced changes in growth rate were
observed under combined photocyles and thermocycles or with thermocycles alone. A
considerably more rapid growth rate was observed at 28 degrees C than 12 degrees
C, irrespective of the presence or absence of light. In spite of clear circadian
clock regulated gene expression, plants exhibited no change in growth rate under
conditions of constant light and temperature, and little or no effect under
photocycles alone. Therefore, temperature appears to be the primary cue
influencing observed oscillations in growth rate and not the circadian clock or
photoreceptor activity. Furthermore, the size of the leaf meristem and final cell
length did not change in response to changes in temperature. Therefore, the
nearly five-fold difference in growth rate observed across thermocycles can be
attributed to proportionate changes in the rate of cell division and expansion. A
better understanding of the growth cues in B. distachyon will further our ability
to model metabolism and biomass accumulation in grasses.
PMID- 24927131
TI - Alkynyl crown ethers as a scaffold for hyperconjugative assistance in
noncatalyzed azide-alkyne click reactions: ion sensing through enhanced
transition-state stabilization.
AB - Our recent work has provided an alternative strategy for acceleration of
azide/alkyne cycloadditions via selective transition state (TS) stabilization.
Optimization of hyperconjugative assistance, provided by the antiperiplanar
arrangement of propargylic sigma-acceptors relative to the forming bonds, is
predicted to relieve strain in cyclooctynes while providing large acceleration to
the cycloaddition. The present work investigates this strategy in alkynyl crown
ethers, where propargylic C-O bonds contained within the macrocycle are
constrained close to proper alignment for hyperconjugative assistance.
Preorganization of sigma-acceptors into the optimal arrangement for
hyperconjugative interactions may alleviate a portion of the entropic penalty for
reaching the TS. Optimal alignment can be reinforced, and transition-state
stabilization can be further amplified by binding positively charged ions to the
crown ether core, highlighting the potential for applications in ion sensing.
PMID- 24927132
TI - Beware of multiple traumas in PTSD assessment: the role of reactivation mechanism
in intrusive and hyper-arousal symptoms.
AB - OBJECTIVES: Post-traumatic stress disorder (PTSD) is a major public health
problem defined by three symptom clusters: intrusion thoughts, avoidance
mechanisms and hyper-arousal. Several authors have emphasized, that some or all
of these symptoms related to a past traumatic experience could be reactivated,
even after long asymptomatic periods. This study investigates the role of an
additional trauma in the reactivation of a childhood trauma among a group of
former hidden children (n = 65), the Jewish youths who spent World War II in
various hideaway shelters in Nazi-occupied Europe. They were compared with a
control group. METHOD: The presence or absence of an additional trauma in
adulthood was assessed and PTSD symptoms were measured by using the Impact of
Event Scale-Revised. RESULTS: An additional trauma reactivates PTSD symptoms of
intrusion thoughts and, marginally, symptoms of hyper-arousal. At the opposite,
symptoms of avoidance were not reactivated. CONCLUSION: Our results confirm the
role of an additional trauma in the reactivation of traumatic memories, related
to an earlier trauma, in later life. Clinical and theoretical implications are
discussed and perspectives are proposed.
PMID- 24927133
TI - A sensitive luminescent assay for the histone methyltransferase NSD1 and other
SAM-dependent enzymes.
AB - A major focus of our pediatric cancer research is the discovery of chemical
probes to further our understanding of the biology of leukemia harboring fusion
proteins arising from chromosomal rearrangements, and to develop novel
specifically targeted therapies. The NUP98-NSD1 fusion protein occurs in a highly
aggressive subtype of acute myeloid leukemia after rearrangement of the genes
NUP98 and NSD1. The methyltransferase activity of NSD1 is retained in the fusion,
and it gives rise to abnormally high levels of methylation at lysine 36 on
histone 3, enforcing oncogene activation. Therefore, inhibition of the
methyltransferase activity of NUP98-NSD1 may be considered a viable therapeutic
strategy. Here, we report the development and validation of a highly sensitive
and robust luminescence-based assay for NSD1 and other methyltransferases that
use S-adenosylmethionine (SAM) as a methyl donor. The assay quantifies S
adenosylhomocysteine (SAH), which is produced during methyl transfer from SAM.
SAH is converted enzymatically to adenosine monophosphate (AMP); in the process,
adenosine triphosphate (ATP) is consumed and the amount of ATP remaining is
measured using a luminescent assay kit. The assay was validated by pilot high
throughput screening (HTS), dose-response confirmation of hits, and elimination
of artifacts through counterscreening against SAH detection in the absence of
NSD1. The known methyltransferase inhibitor suramin was identified, and profiled
for selectivity against the histone methyltransferases EZH2, SETD7, and PRMT1.
HTS using the luminescent NSD1 assay described here has the potential to deliver
selective NSD1 inhibitors that may serve as leads in the development of targeted
therapies for NUP98-NSD1-driven leukemias.
PMID- 24927135
TI - Brominated flame retardants in matched serum samples from Swedish first-time
mothers and their toddlers.
AB - Tri-decabrominated diphenyl ethers and 21 other flame retardants were determined
in matched serum samples from 24 Swedish mothers (Uppsala county) and their
toddlers (11-15 months of age). The median concentrations of individual
polybrominated diphenyl ethers (PBDEs) ranged from 0.036 to 0.95 ng/g lipid in
mothers and from 0.057 to 1.5 ng/g lipid in toddlers. BDE-209 was detected in all
but one sample. BDE-153 was the predominant congener in the mothers while in
toddlers, BDE-209 was found in the highest concentrations. The levels of BDE-47,
100, -207, -208, and -209 in toddlers were significantly higher (p < 0.05) than
those in their mothers. Dechlorane Plus (anti- and syn-) and alpha- and beta
tetrabromoethylcyclohexane were detected in a few (2-4) serum samples from both
mothers and toddlers. This study also reports concentrations of alpha-HBCD and
eight emerging brominated flame retardants (EBFRs) in the standard reference
material serum (SRM 1958, NIST). Lack of correlations between the matched serum
samples indicate different exposure routes for octa-decaBDEs in mothers versus
toddlers. Congener-to-congener correlations within the mother or toddler cohorts
suggest diet as an important exposure pathway for tetra-nonaBDEs for mothers,
breastfeeding as a predominant exposure pathway for tetra-hexaBDEs, and dust for
octa-decaBDEs for toddlers.
PMID- 24927134
TI - Evaluation of cytotoxicity and DNA damage response with analysis of intracellular
ATM signaling pathways.
AB - Maintenance of genome integrity by preventing and overcoming DNA damage is
critical for cell survival. Deficiency or aberrancy in the DNA damage response,
for example, through ataxia telangiectasia mutated (ATM) signaling, lead to
pathophysiological perturbations in organs throughout the body. Therefore,
control of DNA damage is of major interest for development of therapeutic agents.
Such efforts will greatly benefit from convenient and simple diagnostic and/or
drug development tools to demonstrate whether ATM and related genes have been
activated and to then determine whether these have been returned to normal levels
of activity because pathway members sense and also repair DNA damage. To overcome
difficulties in analyzing differences in multitudinous ATM pathway members
following DNA damage, we measured ATM promoter activity with a fluorescent td
Tomato reporter gene to interrogate the global effects of ATM signaling pathways.
In cultured HuH-7 cell line derived from human hepatocellular carcinoma, cis
platinum, acetaminophen, or hydrogen peroxide caused DNA strand breaks and ATM
pathway activation as shown by gammaH2AX expression, which in turn, led to rapid
and sustained increases in ATM promoter activity. This assay of ATM promoter
activity identified biological agents capable of controlling cellular DNA damage
in toxin-treated HuH-7 cells and in mice after onset of drug-induced acute liver
failure. Therefore, the proposed assay of ATM promoter activity in HuH-7 cells
was appropriately informative for treating DNA damage. High-throughput screens
using ATM promoter activation will be helpful for therapeutic development in DNA
damage-associated abnormal ATM signaling in various cell types and organs.
PMID- 24927137
TI - Objectively determined refraction improves peripheral vision.
AB - PURPOSE: The purpose of this study was twofold: to verify a fast, clinically
applicable method for determining off-axis refraction and to assess the impact of
objectively obtained off-axis refractive correction on peripheral low-contrast
visual acuity. METHODS: We measured peripheral low-contrast resolution acuity
with Gabor patches both with and without off-axis correction at 20 degrees in the
nasal visual field of 10 emmetropic subjects; the correction was obtained using a
commercial open-field Hartmann-Shack wavefront sensor, the COAS-HD VR
aberrometer. Off-axis refractive errors were calculated for a 5-mm circular pupil
inscribed within the elliptical wavefront by COAS using the instruments' inbuilt
"Seidel sphere" method. RESULTS: Most of the subjects had simple myopic
astigmatism, at 20 degrees in the nasal visual field ranging from -1.00 to -2.00
DC, with axis orientations generally near 90 degrees. The mean uncorrected and
corrected low-contrast resolution acuities for all subjects were 0.92 and 0.86
logMAR, respectively (an improvement of 0.06 logMAR). For subjects with a scalar
power refractive error of 1.00 diopters or more, the average improvement was 0.1
logMAR. The observed changes in low-contrast resolution acuity were strongly
correlated with off-axis astigmatism (Pearson r = 0.95; p < 0.0001), the J180
cross-cylinder component (Pearson r = 0.82; p = 0.0034), and power scalar
(Pearson r = -0.75; p = 0.0126). CONCLUSIONS: The results suggest that there are
definite benefits in correcting even moderate amounts of off-axis refractive
errors; in this study, as little as -1.50 DC of off-axis astigmatism gave
improvements of up to a line in visual acuity. It may be even more pertinent for
people who rely on optimal peripheral visual function, specifically those with
central visual field loss; the use of open-field aberrometers could be clinically
useful in rapidly determining off-axis refractive errors specifically for this
patient group who are generally more challenging to refract.
PMID- 24927136
TI - The bigaussian nature of ocular biometry.
AB - PURPOSE: To study how the leptokurtic shape of the refractive distribution can be
derived from ocular biometry by means of a multivariate Gaussian model. METHODS:
Autorefraction and optical biometry data (Scheimpflug and partial coherence
interferometry) were obtained for 1136 right eyes of healthy white subjects
recruited by various European ophthalmological centers participating in Project
Gullstrand. These biometric data were fitted with linear combinations of
multivariate Gaussians to create a Monte Carlo simulation of the biometry, from
which the corresponding refraction was calculated. These simulated data were then
compared with the original data by histogram analysis. RESULTS: The distribution
of the ocular refraction more closely resembled a bigaussian than a single
Gaussian function (F test, p < 0.001). This also applied to the axial length,
which caused the combined biometry data to be better represented by a linear
combination of two multivariate Gaussians rather than by a single one (F test, p
< 0.001). Corneal curvature, anterior chamber depth, and lens power, on the other
hand, displayed a normal distribution. All distributions were found to gradually
change with age. The statistical descriptors of these two subgroups were compared
and found to differ significantly in average and SD for the refraction, axial
length, and anterior chamber depth. All distributions were also found to change
significantly with age. CONCLUSIONS: The bigaussian model provides a more
accurate description of the data from the original refractive distribution and
suggests that the general population may be composed of two separate subgroups
with different biometric properties.
PMID- 24927138
TI - Lack of association between LUM rs3759223 polymorphism and high myopia.
AB - PURPOSE: Previous evidence has indicated that the lumican (LUM) gene is a
candidate susceptibility gene of high myopia; however, the association between
LUM promoter regions rs3759223 polymorphism and high myopia remains controversial
and ambiguous. This study performed a meta-analysis to clarify the association
between the rs3759223 polymorphism and high myopia risk. METHODS: Eligible
studies were identified by comprehensive search of PubMed, EMBASE, Web of
Science, and Chinese Biomedical Literature database. The crude odds ratios (ORs)
and their corresponding 95% confidence intervals (CIs) were used to estimate the
association between the rs3759223 polymorphism and high myopia susceptibility.
Meta-regression and subgroup analyses were performed to identify the source of
heterogeneity. RESULTS: Finally, six studies including 1238 cases and 1059
healthy controls were included. Meta-analyses showed no association between
rs3759223 polymorphism and high myopia susceptibility in all genetic models (CC
vs. TT, OR = 1.089; 95% CI, 0.690 to 1.718; CT vs. TT, OR = 0.865; 95% CI, 0.646
to 1.157; CC + CT vs. TT, OR = 1.202; 95% CI, 0.730 to 1.980; CC vs. CT + TT, OR
= 0.914; 95% CI, 0.771 to 1.083) and no significance in subgroup analyses
according to the definition of high myopia (based on more myopic than -6.00
diopters vs. not based on more myopic than -6.00 diopters). Publication bias was
not evident in this study. CONCLUSIONS: This meta-analysis has suggested that
there is a lack of association of the rs3759223 polymorphism with high myopia
risk. However, further large and well-designed studies with the consideration of
LUM gene locus interactions and gene-gene and gene-environment interactions are
still required to further evaluate high myopia risk.
PMID- 24927139
TI - Acquired pseudoduplication of the optic disc in pathologic myopia.
AB - PURPOSE: To elucidate the mechanism of acquired pseudoduplication of the optic
disc and its association with pathologic myopia. METHODS: The prevalence of
pseudoduplication of the optic disc was estimated by reviewing 128 consecutive
patients diagnosed as having pathologic myopia between January 2010 and December
2012. The pseudodisc was investigated at the scleral level using enhanced depth
imaging spectral-domain optical coherence tomography to elucidate pathologic
changes. Fluorescein angiography or indocyanine green angiography was performed
to identify the vessel origin. RESULTS: Among 128 patients with pathologic
myopia, 3 patients (2.3%) showed pseudoduplication of the optic disc. Enhanced
depth imaging optical coherence tomography showed chorioretinal atrophy and focal
scleral excavation in the area showing the pseudodisc. The round scleral
excavation gave the underlying visible peribulbar tissue a pinkish appearance,
which could be mistaken as the optic disc. Fluorescein angiography or indocyanine
green angiography showed that the vessel within the pseudodisc was the short
posterior ciliary artery. CONCLUSIONS: Although not a common presentation,
scleral excavation associated with pathologic myopia accompanied by a ciliary
artery penetrating the excavation's center could make a lesion mimicking
pseudoduplication of the optic disc.
PMID- 24927140
TI - Patient tobacco use in optometric practice: a Canada-wide study.
AB - PURPOSE: A national census survey of optometrists in Canada measured knowledge of
ocular diseases associated with smoking cigarettes and current practice behaviors
related to addressing tobacco use with patients, including prevention and
cessation. Optometrists were also asked to identify tools to assist addressing
tobacco use with patients. METHODS: An online bilingual (English/French) survey
was developed and an e-mail with a link to the survey was sent to all 4528
optometrists registered in Canada. No participation incentives were provided.
Frequency data were tabulated for survey items. Logistic regression models were
fit to understand respondent characteristics associated with discussing tobacco
use prevention and cessation with patients. RESULTS: The response rate was 19%
(850 responses). Almost all respondents (98%) believed that smoking cigarettes
was a risk factor for developing age-related macular degeneration; approximately
half (55%) assessed the smoking status of patients during their initial visit; 7%
reported that they discussed the benefits of tobacco use prevention with patients
younger than 19 years; and 33% reported that they always or regularly assess
their patients' interest in quitting smoking. Respondents who completed the
survey in English were more likely (odds ratio, 2.4; 95% confidence interval,
1.01 to 5.65) to deliver prevention messaging, compared with respondents who
completed the survey in French. Male respondents were less likely to assess
patients' interest in quitting (odds ratio, 0.7; 95% confidence interval, 0.50 to
0.97) than female respondents. Most respondents (90%) were interested in a
continuing education program about the impact of smoking on vision and eye health
as well as strategies for discussing tobacco cessation and prevention.
CONCLUSIONS: Optometrists are aware of the impact of smoking on ocular health;
however, most respondents do not systematically engage in tobacco use prevention
and cessation practices. Providing optometrists with tools, including continuing
education, may help support patient conversations about the risks of tobacco use
and improve public health.
PMID- 24927141
TI - Exome sequencing reveals the likely involvement of SOX10 in uveal melanoma.
AB - PURPOSE: To identify the spectrum of somatic mutations in an Asian Indian patient
with uveal melanoma (UM) without metastasis using exome sequencing. CASE REPORT:
A 49-year-old man from India was diagnosed as having cilio-choroidal (uveal)
melanoma (UM), without metastasis, in his right eye with the help of magnetic
resonance imaging. This was later confirmed by histopathological evaluation. Two
individuals from India with non-neoplastic blind eyes were recruited as controls.
The affected eyes from the UM patient and the two control individuals were
enucleated, and uveal tissues were collected. DNA was extracted from uveal
tissue, and the matched blood sample from each of the three individuals was
followed by exome sequencing. Statistical and bioinformatic analyses were done to
identify somatic mutations and their putative associations with UM. Thirty-one
somatic mutations (25 amino acid altering) in protein-coding (exonic) regions
were detected in the UM patient. Of the amino acid-altering somatic mutations, 16
mutations were predicted to be candidate mutations relevant to UM. Somatic
mutations, putatively causal for UM, were identified in GNAQ, SF3B1, and SOX10.
CONCLUSIONS: Somatic mutations in GNAQ and SF3B1 genes were probable drivers of
UM in the Indian patient; these were also reported earlier in some White
patients. In addition, a frameshift deletion of 20 base pairs has been identified
in SOX10 in the UM patient. Somatic mutations in SOX10, a transcription factor,
which acts upstream of microphthalmia-associated transcription factor and
synergizes with microphthalmia-associated transcription factor, was identified in
some melanoma cell lines. The transcription factor SOX10 was found to have an
essential role in melanocyte development and pigmentation. Our finding of the
frameshift deletion (p.H387fs) in exon 4 of SOX10 in UM provides an important
insight and complements earlier findings of mutations in GNAQ and SF3B1 on the
genomic basis of UM.
PMID- 24927142
TI - Utility of theoretical Hirschberg ratio for gaze position calibration.
AB - PURPOSE: Gaze position is calibrated in first Purkinje image-based eye trackers
using the population-average Hirschberg ratio (HR) that is prone to inaccuracies
or using the individual's HR that is cumbersome to obtain empirically. This study
investigated (1) the agreement between HR calculated theoretically from the
individual's corneal curvature and anterior chamber (AC) depth and those obtained
empirically and (2) the contribution of corneal curvature and AC depth in the
intersubject variance of the two HRs. METHODS: Twenty-four subjects (mean +/- SD
age, 23.6 +/- 3.5 years) fixated monocularly on a light-emitting diode array
spanning +/-24 degrees of horizontal or vertical gaze angle, in 4-degree steps,
at 95 cm viewing distance. Empirical HR was determined using a custom-designed
infrared eye tracker as the magnitude of separation between Purkinje image
position and entrance pupil center per unit change in angular eccentricity.
Theoretical HR was calculated from the subject's corneal curvature and AC depth
using the model of Brodie (1987). RESULTS: Empirical and theoretical HRs for
horizontal and vertical gaze directions were well correlated (r >= 0.83) and not
significantly different from each other (p >= 0.23; mean difference [+/-95%
limits of agreement], -0.35 [0.85 to -1.55] degrees/mm for horizontal HR and
0.16 [1.01 to -1.33] degrees/mm for vertical HR). Corneal curvature and AC depth
together accounted for greater than or equal to 80% and greater than or equal to
91% of intersubject variance in empirical and theoretical HR, respectively (p <
0.001). Hirschberg ratios changed at -2.3 to -2.8 degrees/mm per millimeter
change in corneal curvature and at 2.0 to 2.4 degrees/mm per millimeter change in
AC depth. CONCLUSIONS: Theoretical HR calculated from the individual's corneal
curvature and AC depth can be used in lieu of the empirical HR for gaze position
calibration to within approximately 2 degrees/mm of accuracy. Gaze position
accuracy significantly improves by using the theoretical HR, relative to the
population-average HR. Corneal curvature and AC depth combined explain the
majority of intersubject variability in HR.
PMID- 24927143
TI - Changes in macular function after ozurdex for retinal vein occlusion.
AB - PURPOSE: To investigate changes in macular function after intravitreal
dexamethasone implant (Ozurdex) for macular edema (ME) secondary to retinal vein
occlusion (RVO). METHODS: Nineteen treatment-naive patients with RVO-related ME
were treated with intravitreal Ozurdex and followed up to 6 months to evaluate
functional outcomes, by means of best-corrected visual acuity, microperimetry,
and multifocal electroretinography, and their correlations with morphological
parameters by enhanced depth imaging optical coherence tomography. RESULTS:
Nineteen eyes of 19 patients were included for analysis. At 1 month, mean best
corrected visual acuity, retinal sensitivity, and central macular thickness (CMT)
improved from 0.50 +/- 0.34 LogMAR, 10.51 +/- 4.31 dB, and 762 +/- 259 MUm
(baseline) to 0.38 +/- 0.34 LogMAR (p = 0.043), 12.28 +/- 5.06 dB (p = 0.025),
and 385 +/- 191 MUm (p = 0.001), respectively. At 3 months, improvement of mean
retinal sensitivity and CMT was still significant (11.62 +/- 5.05 dB [p = 0.047]
and 518 +/- 251 MUm [p = 0.006]). Multifocal electroretinography measurements
also showed (nonsignificant) improvement. No significant changes in choroidal
thickness were recorded. Improvements recorded during the first 3 months were no
longer significant from month 4. At each time point, we found a negative
significant correlation between CMT and retinal sensitivity. Interestingly, 7
eyes did not undergo retreatment of less than 6 months; these eyes showed a
significantly better baseline retinal sensitivity than eyes requiring retreatment
of less than 6 months (12.27 +/- 3.52 dB vs. 9.48 +/- 4.53 dB [p = 0.038]).
CONCLUSIONS: In eyes with ME secondary to RVO, intravitreal dexamethasone implant
provides functional benefits as soon as 1 month after treatment. In most cases,
the optimum retreatment interval is less than 6 months from first intravitreal
Ozurdex. Microperimetry is a very useful tool to characterize macular function.
Baseline macular sensitivity may predict the need for early (<6 months)
retreatment.
PMID- 24927144
TI - Mitigating the effects of Xuebijing injection on hematopoietic cell injury
induced by total body irradiation with gamma rays by decreasing reactive oxygen
species levels.
AB - Hematopoietic injury is the most common side effect of radiotherapy. However, the
methods available for the mitigating of radiation injury remain limited.
Xuebijing injection (XBJ) is a traditional Chinese medicine used to treat sepsis
in the clinic. In this study, we investigated the effects of XBJ on the survival
rate in mice with hematopoietic injury induced by gamma ray ionizing radiation
(IR). Mice were intraperitoneally injected with XBJ daily for seven days after
total body irradiation (TBI). Our results showed that XBJ (0.4 mL/kg)
significantly increased 30-day survival rates in mice exposed to 7.5 Gy TBI. This
effect may be attributable to improved preservation of white blood cells (WBCs)
and hematopoietic cells, given that bone marrow (BM) cells from XBJ-treated mice
produced more granulocyte-macrophage colony forming units (CFU-GM) than that in
the 2 Gy/TBI group. XBJ also decreased the levels of reactive oxygen species
(ROS) by increasing glutathione (GSH) and superoxide dismutase (SOD) levels in
serum and attenuated the increased BM cell apoptosis caused by 2 Gy/TBI. In
conclusion, these findings suggest that XBJ enhances the survival rate of
irradiated mice and attenuates the effects of radiation on hematopoietic injury
by decreasing ROS production in BM cells, indicating that XBJ may be a promising
therapeutic candidate for reducing hematopoietic radiation injury.
PMID- 24927145
TI - Biochemical properties of a new cold-active mono- and diacylglycerol lipase from
marine member Janibacter sp. strain HTCC2649.
AB - Mono- and di-acylglycerol lipase has been applied to industrial usage in oil
modification for its special substrate selectivity. Until now, the reported mono-
and di-acylglycerol lipases from microorganism are limited, and there is no
report on the mono- and di-acylglycerol lipase from bacteria. A predicted lipase
(named MAJ1) from marine Janibacter sp. strain HTCC2649 was purified and
biochemical characterized. MAJ1 was clustered in the family I.7 of
esterase/lipase. The optimum activity of the purified MAJ1 occurred at pH 7.0 and
30 degrees C. The enzyme retained 50% of the optimum activity at 5 degrees C,
indicating that MAJ1 is a cold-active lipase. The enzyme activity was stable in
the presence of various metal ions, and inhibited in EDTA. MAJ1 was resistant to
detergents. MAJ1 preferentially hydrolyzed mono- and di-acylglycerols, but did
not show activity to triacylglycerols of camellia oil substrates. Further, MAJ1
is low homologous to that of the reported fungal diacylglycerol lipases,
including Malassezia globosa lipase 1 (SMG1), Penicillium camembertii lipase U
150 (PCL), and Aspergillus oryzae lipase (AOL). Thus, we identified a novel cold
active bacterial lipase with a sn-1/3 preference towards mono- and di
acylglycerides for the first time. Moreover, it has the potential, in oil
modification, for special substrate selectivity.
PMID- 24927146
TI - The role of circulating microRNA-126 (miR-126): a novel biomarker for screening
prediabetes and newly diagnosed type 2 diabetes mellitus.
AB - Recent studies suggested an association of endothelial microRNA-126 (miR-126)
with type 2 diabetes mellitus (T2DM). In the current study, we examined whether
circulating miR-126 is associated with T2DM and pre-diabetic syndrome. The study
included 82 subjects with impaired glucose tolerance (IGT), 75 subjects with
impaired fasting glucose (IFG), 160 patients with newly diagnosed T2DM, and 138
healthy individuals. Quantitative polymerase chain reaction (qPCR) was used to
examine serum miR-126. Serum miR-126 was significantly lower in IGT/IFG subjects
and T2DM patients than in healthy controls (p<0.05). After six months of
treatment (diet control and exercise in IGT/IFG subjects, insulin plus diet
control and exercise in T2DM patients), serum miR-126 increased significantly
(p<0.05). An analysis based on serum miR-126 in the sample revealed a
significantly higher odds ratio (OR) for the subjects with the lowest 1/3 of
serum miR-126 for T2DM (OR: 3.500, 95% confidence interval: 1.901-6.445, p<0.05)
than subjects within the highest 1/3 of serum miR-126. Such an association was
still apparent after adjusting for other major risk factors. The area under the
curve (AUC) for the receiver-operating characteristic (ROC) analysis was 0.792
(95% confidence interval: 0.707-0.877, p<0.001). These results encourage the use
of serum miR-126 as a biomarker for pre-diabetes and diabetes mellitus, as well
as therapeutic response.
PMID- 24927148
TI - Genistein inhibits osteoclastic differentiation of RAW 264.7 cells via regulation
of ROS production and scavenging.
AB - Genistein, a phytoestrogen, has been demonstrated to have a bone-sparing and
antiresorptive effect. Genistein can inhibit the osteoclast formation of receptor
activator of nuclear factor-kappaB ligand (RANKL)-induced RAW 264.7 cells by
preventing the translocation of nuclear factor-kappaB (NF-kappaB), a redox
sensitive factor, to the nucleus. Therefore, the suppressive effect of genistein
on the reactive oxygen species (ROS) level during osteoclast differentiation and
the mechanism associated with the control of ROS levels by genistein were
investigated. The cellular antioxidant capacity and inhibitory effect of
genistein were confirmed. The translation and activation of nicotinamide adenine
dinucleotide phosphate (NADPH) oxidase 1 (Nox1), as well as the disruption of the
mitochondrial electron transport chain system were obviously suppressed by
genistein in a dose-dependent manner. The induction of phase II antioxidant
enzymes, such as superoxide dismutase 1 (SOD1) and heme oxygenase-1 (HO-1), was
enhanced by genistein. In addition, the translational induction of nuclear factor
erythroid 2-related factor 2 (Nrf2) was notably increased by genistein. These
results provide that the inhibitory effects of genistein on RANKL-stimulated
osteoclast differentiation is likely to be attributed to the control of ROS
generation through suppressing the translation and activation of Nox1 and the
disruption of the mitochondrial electron transport chain system, as well as ROS
scavenging through the Nrf2-mediated induction of phase II antioxidant enzymes,
such as SOD1 and HO-1.
PMID- 24927150
TI - Marine natural products-inspired phenylmethylene hydantoins with potent in vitro
and in vivo antitumor activities via suppression of Brk and FAK signaling.
AB - Breast and prostate cancers are among the most common cancers worldwide with
devastating statistics for the metastatic, chemotherapy- and radiotherapy
resistant phenotypes. Novel therapies interfering with new and/or multiple
pathways involved in the pathology of cancer are urgently needed. Preliminary
results showed that the marine natural product Z-4-hydroxyphenylmethylene
hydantoin (PMH, ) and its 4-ethylthio-analog (SEth, ) promoted tight junction
formation and showed anti-invasive and anti-migratory activities in vitro against
metastatic prostate cancer cells and inhibited tumor growth and micrometastases
in distant organs in orthotopic and transgenic mice models. This study focuses on
the design and synthesis of second-generation PMHs with enhanced antitumor
activities. A series of substituted benzaldehydes was selected based on earlier
SAR studies and reacted with hydantoin to yield 11 new compounds . Compounds were
evaluated for their antiproliferative, antimigratory and anti-invasive properties
in vitro against the human mammary and prostate cancer cell lines MDA-MB-231 and
PC-3, respectively. A Western blot analysis of the most active analog showed its
ability to suppress the expression of the total levels of c-Met and FAK, with
subsequent reduction of their phosphorylated (activated) levels in MDA-MB-231
cells. In addition, also inhibited Brk, paxillin and Rac1 phosphorylation. was
formulated using hydroxypropyl beta-cyclodextrin (HPCD) to improve its solubility
and was further evaluated in a nude mice xenograft model using MDA-MB-231/GFP
cells. PMH reduced breast tumor growth and suppressed Ki-67, CD31, p-Brk and p
FAK expression in tumor samples. Thus, is a potential lead for the control of
invasive breast malignancies.
PMID- 24927151
TI - Clostridium difficile infection in solid organ transplant recipients.
AB - PURPOSE OF REVIEW: Clostridium difficile infection (CDI) is one of the most
common healthcare-associated infections, and the threat associated with CDI
continues to grow in all patient populations. There is increasing evidence that
CDI has a substantial impact on the morbidity and mortality in solid organ
transplant (SOT) recipients. Furthermore, new diagnostic and treatment options
and strategies for CDI have emerged over the last decade. The purpose of this
review is to provide a general understanding of CDI and its evidence-based
diagnosis and management strategies, with a focus on SOT recipients. RECENT
FINDINGS: The incidence and severity of CDI have significantly increased since
the year 2000. Studies have identified novel risk factors for CDI, and a new
epidemic strain, the NAP1/BI/027, has emerged. Despite the development of newer
testing methods and approaches, including nucleic acid amplification tests and
testing algorithms, the optimal method for diagnosing CDI is an area of
controversy. New agents for treating CDI are being developed, and the use of
fecal microbiota transplantation to treat recurrent CDI in SOT recipients is also
evolving. SUMMARY: CDI is a significant problem for SOT recipients. Further
studies on diagnostic and therapeutic strategies with a focus on SOT recipients
are needed to further improve patient outcomes.
PMID- 24927147
TI - Molecular mechanism and treatment of viral hepatitis-related liver fibrosis.
AB - Hepatic fibrosis is a wound-healing response to various chronic stimuli,
including viral hepatitis B or C infection. Activated myofibroblasts,
predominantly derived from the hepatic stellate cells (HSCs), regulate the
balance between matrix metalloproteinases and their tissue inhibitors to maintain
extracellular matrix homeostasis. Transforming growth factor-beta and platelet
derived growth factor are classic profibrogenic signals that activate HSC
proliferation. In addition, proinflammatory cytokines and chemokines coordinate
macrophages, T cells, NK/NKT cells, and liver sinusoidal endothelial cells in
complex fibrogenic and regression processes. In addition, fibrogenesis involves
angiogenesis, metabolic reprogramming, autophagy, microRNA, and epigenetic
regulations. Hepatic inflammation is the driving force behind liver fibrosis;
however, host single nucleotide polymorphisms and viral factors, including the
genotype, viral load, viral mutation, and viral proteins, have been associated
with fibrosis progression. Eliminating the underlying etiology is the most
crucial antifibrotic therapy. Growing evidence has indicated that persistent
viral suppression with antiviral therapy can result in fibrosis regression,
reduced liver disease progression, decreased hepatocellular carcinoma, and
improved chances of survival. Preclinical studies and clinical trials are
currently examining several investigational agents that target key fibrogenic
pathways; the results are promising and shed light on this debilitating illness.
PMID- 24927149
TI - On the influence of crosslinker on template complexation in molecularly imprinted
polymers: a computational study of prepolymerization mixture events with
correlations to template-polymer recognition behavior and NMR spectroscopic
studies.
AB - Aspects of the molecular-level basis for the function of ethylene glycol
dimethacrylate and trimethylolproprane trimethacrylate crosslinked methacrylic
acid copolymers molecularly imprinted with (S)-propranolol have been studied
using a series of all-component and all-atom molecular dynamics studies of the
corresponding prepolymerization systems. The crosslinking agents were observed to
contribute to template complexation, and the results were contrasted with
previously reported template-recognition behavior of the corresponding polymers.
Differences in the extent to which the two crosslinkers interacted with the
functional monomer were identified, and correlations were made to polymer-ligand
recognition behavior and the results of nuclear magnetic resonance spectroscopic
studies studies. This study demonstrates the importance of considering the
functional monomer-crosslinker interaction when designing molecularly imprinted
polymers, and highlights the often neglected general contribution of crosslinker
to determining the nature of molecularly imprinted polymer-template selectivity.
PMID- 24927152
TI - Development and assessment of a novel Arxula adeninivorans androgen screen (A
YAS) assay and its application in analysis of cattle urine.
AB - The novel A-YAS assay for the detection of androgenic activity in liquid samples
such as urine has been developed and assessed. The assay is based on transgenic
Arxula adeninivorans yeast cells as the bio-component. The cells were engineered
to co-express the human androgen receptor (hAR) gene and the inducible phytase
reporter gene (phyK, derived from Klebsiella sp. ASR1), under the control of an
Arxula derived glucoamylase (GAA) promoter, which had been modified by the
insertion of hormone-responsive elements (HREs). The Arxula
transformation/expression platform Xplor(r)2 was used to select stable mitotic
resistance marker free transformants and the most suitable cells were
characterized for performance as a sensor bio-component. The assay is easy-to
use, fast (6-25 h) and is currently the most sensitive yeast-based androgen
screen with an EC50, limit of detection and of quantification values for 5alpha
dihydrotestosterone (DHT) of 277.1+/-53.0, 56.5+/-4.1 and 76.5+/-6.7 ng L(-1),
respectively. Furthermore, the assay allows the determination of androgenic and
anti-androgenic activity of various compounds such as naturally occurring
androgens and estrogens, pharmaceuticals and biocides. The robustness of the A
YAS assay enables it to be used for analysis of complex samples such as urine.
The results of the analysis of a number of cattle urine samples achieved by the A
YAS assay correlate well with GC-MS analysis of the same samples.
PMID- 24927153
TI - Protein electrochemistry using graphene-based nano-assembly: an ultrasensitive
electrochemical detection of protein molecules via nanoparticle-electrode
collisions.
AB - We describe a new electrochemical detection approach towards single protein
molecules (microperoxidase-11, MP-11), which are attached to the surface of
graphene nanosheets. The non-covalently functionalized graphene nanosheets
exhibit enhanced electroactive surface area, where amplified redox current is
produced when graphene nanosheets collide with the electrode.
PMID- 24927155
TI - Peptides on the surface. PELDOR data for spin-labeled alamethicin F50/5 analogues
on organic sorbent.
AB - The PELDOR technique was used to obtain the spectra of distances between spin
labels for mono and double TOAC substituted analogues of [Glu(OMe)(7,18,19)]
alamethicin F50/5 (Alm') peptaibiotic on the surface of the organic sorbent Oasis
HLB and in ethanol solution at 77 K. For the double-labeled Alm', the free
radical probes are at positions 1 and 16 (Alm'1,16). The intra- and
intermolecular contributions to the PELDOR time traces were separated, with
regard to the fractality of the system studied. We established that on HLB the
labeled Alm' molecules are prone to aggregation. The distance spectra for
Alm'1,16 show that, in both adsorbed state and in ethanol solution, the
peptaibiotic is predominantly folded in the alpha-helix conformation. We assign
the asymmetry of the distance spectrum in both cases to the occurrence of an
admixture of more elongated alpha/3(10)-helical conformers. The portion of these
conformers is higher for the peptide adsorbed on HLB. We speculate that both the
broadening of the basic spectrum line at r(max) = 2.0 nm and the increase in the
contribution of elongated conformers might be associated with the spread of the
peptaibiotic adsorption sites on HLB as compared with the more uniform Alm'1,16
trap structure in frozen ethanol solution. The aggregates of mono-labeled Alm'1
and Alm'16 also studied. The intermolecular distance spectrum for Alm'1 on HLB is
shifted toward longer distances as compared with those of Alm'16. This result
suggests that in the aggregates Alm' molecules are preferentially oriented with
their C-terminal regions in the vicinity.
PMID- 24927154
TI - Characterization of plasmids carrying oqxAB in bla(CTX-M)-negative Escherichia
coli isolates from food-producing animals.
AB - To study the characteristics of plasmids harboring oqxAB among bla(CTX-M)
negative Escherichia coli isolates and search for oqxAB-harboring plasmids
similar to plasmids carrying oqxAB-bla(CTX-M) reported previously, conjugation
experiment was performed for 115 randomly selected oqxAB-positive but bla(CTX-M)
negative E. coli isolates from diseased animals in Guangdong, China. S1 nuclease
pulsed-field gel electrophoresis (PFGE) and southern blotting experiments were
performed to investigate the location of oqxAB and other resistance genes. The
EcoRI digestion profiles of the plasmids with oqxAB were also analyzed. The
clonal relatedness of donor isolates was investigated by PFGE. In this study, 32
oqxAB transconjugants were successfully obtained and most transconjugants showed
multidrug resistances. Eleven replicon combination types were found in these
transconjugants. floR and oqxAB were found on the same plasmids in all nine
transconjugants resistant to florfenicol. The sequences between floR and oqxAB
were identical in most transconjugants and the two genes were both linked with
tnp in insertion sequences. Nine F18:A-:B1 plasmids with only oqxAB shared
identical EcoRI digestion profiles and the profiles were also identical with that
of a plasmid carrying oqxAB-bla(CTX-M) found previously. Co-transfer of plasmids
carrying oqxAB and fosA3, respectively, was also observed in one isolate. This
study demonstrates the dissemination of oqxAB among bla(CTX-M)-negative E. coli
isolates was mainly mediated by identical F18:A-:B1 plasmids. A novel arrangement
of regions between floR and oqxAB might play an important role in the
dissemination of floR-oqxAB. This is the first description of the genetic
environment of the relationship between oqxAB and floR in E. coli.
PMID- 24927156
TI - Protective immunity and safety of a genetically modified influenza virus vaccine.
AB - Recombinant influenza viruses are promising viral platforms to be used as antigen
delivery vectors. To this aim, one of the most promising approaches consists of
generating recombinant viruses harboring partially truncated neuraminidase (NA)
segments. To date, all studies have pointed to safety and usefulness of this
viral platform. However, some aspects of the inflammatory and immune responses
triggered by those recombinant viruses and their safety to immunocompromised
hosts remained to be elucidated. In the present study, we generated a recombinant
influenza virus harboring a truncated NA segment (vNA-Delta) and evaluated the
innate and inflammatory responses and the safety of this recombinant virus in
wild type or knock-out (KO) mice with impaired innate (Myd88 -/-) or acquired
(RAG -/-) immune responses. Infection using truncated neuraminidase influenza
virus was harmless regarding lung and systemic inflammatory response in wild type
mice and was highly attenuated in KO mice. We also demonstrated that vNA-Delta
infection does not induce unbalanced cytokine production that strongly
contributes to lung damage in infected mice. In addition, the recombinant
influenza virus was able to trigger both local and systemic virus-specific
humoral and CD8+ T cellular immune responses which protected immunized mice
against the challenge with a lethal dose of homologous A/PR8/34 influenza virus.
Taken together, our findings suggest and reinforce the safety of using NA deleted
influenza viruses as antigen delivery vectors against human or veterinary
pathogens.
PMID- 24927157
TI - Energy metabolism in Mycobacterium gilvum PYR-GCK: insights from transcript
expression analyses following two states of induction.
AB - Mycobacterium gilvum PYR-GCK, a pyrene degrading bacterium, has been the subject
of functional studies aimed at elucidating mechanisms related to its outstanding
pollutant bioremediation/biodegradation activities. Several studies have
investigated energy production and conservation in Mycobacterium, however, they
all focused on the pathogenic strains using their various hosts as induction
sources. To gain greater insight into Mycobacterium energy metabolism, mRNA
expression studies focused on respiratory functions were performed under two
different conditions using the toxic pollutant pyrene as a test substrate and
glucose as a control substrate. This was done using two transcriptomic
techniques: global transcriptomic RNA-sequencing and quantitative Real-Time PCR.
Growth in the presence of pyrene resulted in upregulated expression of genes
associated with limited oxygen or anaerobiosis in M. gilvum PYR-GCK. Upregulated
genes included succinate dehydrogenases, nitrite reductase and various electron
donors including formate dehydrogenases, fumarate reductases and NADH
dehydrogenases. Oxidative phosphorylation genes (with respiratory chain complexes
I, III -V) were expressed at low levels compared to the genes coding for the
second molecular complex in the bacterial respiratory chain (fumarate reductase);
which is highly functional during microaerophilic or anaerobic bacterial growth.
This study reveals a molecular adaptation to a hypoxic mode of respiration during
aerobic pyrene degradation. This is likely the result of a cellular oxygen
shortage resulting from exhaustion of the oxygenase enzymes required for these
degradation activities in M. gilvum PYR-GCK.
PMID- 24927159
TI - Vibrational lifetimes of cyanide ion in aqueous solution from molecular dynamics
simulations: intermolecular vs intramolecular accepting modes.
AB - The lifetimes of the first vibrational state of (12)C(14)N(-) and (13)C(15)N(-)
dissolved in H2O or D2O were calculated. The calculations were based on the
Landau-Teller formula that puts the vibrational lifetimes in terms of the
autocorrelation function of the force exerted on the C-N stretch by the remaining
degrees of freedom. The force autocorrelation functions were calculated from
classical molecular dynamics simulations of the four cyanide/water isotopomer
combinations ((12)C(14)N(-)/H2O, (12)C(14)N(-)/D2O, (13)C(15)N(-)/H2O,
(13)C(15)N(-)/D2O). The cyanide ion was described by a polarizable force field,
and the water was described by either the rigid SPC/E model or the flexible
SPC/Fw model, in order to compare two different types of accepting modes, namely,
(1) intermolecular (translational and rotational) solvent accepting modes (rigid
SPC/E water) and (2) intramolecular (vibrational) solvent accepting modes
(flexible SPC/Fw water). Since quantum effects are expected to increase in size
with increasing frequency mismatch between relaxing and accepting modes,
different quantum correction factors were employed depending on the identity of
the accepting modes, more specifically, the harmonic/Schofield quantum correction
factor in the case of intermolecular accepting modes and the standard quantum
correction factor in the case of intramolecular accepting modes. The lifetimes
with either the rigid SPC/E or flexible SPC/Fw water models were found to be in
good quantitative agreement with the experimentally measured values for all
isotopomer combinations. Our results suggest that taking into account quantum
effects on the vibrational energy relaxation of cyanide in aqueous solution can
make the intermolecular pathway at least as likely as the intramolecular pathway.
PMID- 24927158
TI - Scale-invariant neuronal avalanche dynamics and the cut-off in size
distributions.
AB - Identification of cortical dynamics strongly benefits from the simultaneous
recording of as many neurons as possible. Yet current technologies provide only
incomplete access to the mammalian cortex from which adequate conclusions about
dynamics need to be derived. Here, we identify constraints introduced by sub
sampling with a limited number of electrodes, i.e. spatial 'windowing', for well
characterized critical dynamics-neuronal avalanches. The local field potential
(LFP) was recorded from premotor and prefrontal cortices in two awake macaque
monkeys during rest using chronically implanted 96-microelectrode arrays.
Negative deflections in the LFP (nLFP) were identified on the full as well as
compact sub-regions of the array quantified by the number of electrodes N (10
95), i.e., the window size. Spatiotemporal nLFP clusters organized as neuronal
avalanches, i.e., the probability in cluster size, p(s), invariably followed a
power law with exponent -1.5 up to N, beyond which p(s) declined more steeply
producing a 'cut-off' that varied with N and the LFP filter parameters. Clusters
of size s<=N consisted mainly of nLFPs from unique, non-repeated cortical sites,
emerged from local propagation between nearby sites, and carried spatial
information about cluster organization. In contrast, clusters of size s>N were
dominated by repeated site activations and carried little spatial information,
reflecting greatly distorted sampling conditions. Our findings were confirmed in
a neuron-electrode network model. Thus, avalanche analysis needs to be
constrained to the size of the observation window to reveal the underlying scale
invariant organization produced by locally unfolding, predominantly feed-forward
neuronal cascades.
PMID- 24927160
TI - Exploiting intrinsic nanoparticle toxicity: the pros and cons of nanoparticle
induced autophagy in biomedical research.
PMID- 24927161
TI - Immobilization of hyaluronic acid on plasma-sprayed porous titanium coatings for
improving biological properties.
AB - In the present study, hyaluronic acid (HyA) was covalently immobilized onto
titanium coatings to improve their biological properties. Diffuse reflectance
Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy were
employed to characterize the HyA-modified titanium coating. HyA-modified titanium
coatings possess better cell-material interaction, and human mesenchymal stem
cells present good adhesive morphologies on the surface of TC-AAH. The results of
subsequent cellular evaluation showed that the immobilization of HyA on titanium
coatings could improve hMSC attachment, proliferation, and differentiation. In
vivo evaluation of implants in rabbit femur condyle defect model showed
improvements of early osseointegration and bone-to-implant contact of TC-AAH. In
conclusion, immobilization of HyA could improve biological properties of titanium
coatings.
PMID- 24927162
TI - Predictions for the future of kallikrein-related peptidases in molecular
diagnostics.
AB - Kallikrein-related peptidases (KLKs) form a cancer-related ensemble of serine
proteases. This multigene family hosts the most widely used cancer biomarker that
is PSA-KLK3, with millions of tests performed annually worldwide. The present
report provides an overview of the biomarker potential of the extended KLK family
(KLK1-KLK15) in various disease settings and envisages approaches that could lead
to additional KLK-driven applications in future molecular diagnostics. Particular
focus is given on the inclusion of KLKs into multifaceted cancer biomarker panels
that provide enhanced diagnostic, prognostic and/or predictive accuracy in
several human malignancies. Such panels have been described so far for prostate,
ovarian, lung and colorectal cancers. The role of KLKs as biomarkers in non
malignant disease settings, such as Alzheimer's disease and multiple sclerosis,
is also commented upon. Predictions are given on the challenges and future
directions regarding clinically oriented KLK research.
PMID- 24927163
TI - Cancer stem cell differentiation: TGFbeta1 and versican may trigger molecules for
the organization of tumor spheroids.
AB - Cancer stem cells (CSCs) have the ability to self-renew similar to normal stem
cells. This process is linked with metastasis and resistance to chemotherapy and
radiotherapy. In the present study, we constructed an in vitro differentiation
model for CSCs. CSCs isolated and proliferated for one passage were maintained as
monolayers or spheroid-forming cells with serum included media for
differentiation process. Differentiation of adhesion molecules and cellular
ultrastructural properties were investigated and compared in both monolayer and
spheroid cultures. CD133+/CD44+ cancer-initiating cells were isolated from DU-145
human prostate cancer cell line monolayer cultures and propagated as tumor
spheroids and compared with the remaining heterogeneous cancer cell bulk
population. Microarray-based gene expression analysis was applied to determine
genes with differential expression and protein expression levels of candidates
were analyzed by immunohistochemistry. Electron microscopy showed detailed
analysis of morphology. TGFbeta1 was found to be significantly upregulated in
monolayer CSCs. High expression levels of VCAN, COL7A1, ITGbeta3, MMP16, RPL13A,
COL4A2 and TIMP1 and low expression levels of THBS1, MMP1 and MMP14 were detected
when CSCs were maintained as serum-grown prostate CSC spheroids.
Immunohistochemistry supported increased immunoreactivity of TGFbeta1 in
monolayer cultures and VCAN in spheroids. CSCs were found to possess
multipotential differentiation capabilities through upregulation and/or
downregulation of their markers. TGFbeta1 is a triggering molecule, it stimulates
versican, Col7A1, ITGbeta3 and, most importantly, the upregulation of versican
was only detected in CSCs. Our data support a model where CSCs must be engaged by
one or more signaling cascades to differentiate and initiate tumor formation.
This mechanism occurs with intracellular and extracellular signals and it is
possible that CSCc themselves may be a source for extracellular signaling. These
molecules functioning in tumor progression and differentiation may help develop
targeted therapy.
PMID- 24927164
TI - Hospital economic impact from hemostatic matrix usage in cardiac surgery.
AB - OBJECTIVE: Improved health outcomes can result in economic savings for hospitals
and payers. While effectiveness of topical hemostatic agents in cardiac surgery
has been demonstrated, evaluations of their economic benefit are limited. This
study quantifies the cost consequences to hospitals, based on clinical outcomes,
from using a flowable hemostatic matrix vs non-flowable topical hemostatic agents
in cardiac surgery. RESEARCH DESIGN AND METHODS: Applying clinical outcomes from
a prospective randomized clinical trial, a cost consequence framework was
utilized to model the economic impact of comparator groups. From that study,
clinical outcomes were obtained and analyzed for a flowable hemostatic matrix
(FLOSEAL, Baxter Healthcare Corporation) vs non-flowable topical hemostats
(SURGICEL Nu-Knit, Ethicon-Johnson & Johnson; GELFOAM, Pfizer). Costing analyses
focused on the following outcomes: complications, blood transfusions, surgical
revisions, and operating room (OR) time. Cardiac surgery costs were analyzed and
expressed in 2012 US dollars based on available literature searches and US data.
Comparator group variability in cost consequences (i.e., cost savings) was
calculated based on annualized impact and scenario testing. RESULTS: RESULTS
suggest that if a flowable hemostatic matrix (rather than a non-flowable
hemostat) was utilized exclusively in 600 mixed cardiac surgeries annually, a
hospital could improve patient outcomes by a reduction of 33 major complications,
76 minor complications, 54 surgical revisions, 194 transfusions, and 242 h of OR
time. These outcomes correspond to a net annualized cost consequence savings of
$5.38 million, with complication avoidance as the largest contributor.
CONCLUSIONS: This cost consequence framework and supportive modeling was used to
evaluate the hospital economic impact of outcomes resulting from the usage of
various hemostatic agents. These analyses support that cost savings can be
achieved from routine use of a flowable hemostatic matrix, rather than a non
flowable topical hemostat, in cardiac surgery.
PMID- 24927165
TI - Vulnerability of breeding waterbirds to climate change in the Prairie Pothole
Region, U.S.A.
AB - The Prairie Pothole Region (PPR) of the north-central U.S. and south-central
Canada contains millions of small prairie wetlands that provide critical habitat
to many migrating and breeding waterbirds. Due to their small size and the
relatively dry climate of the region, these wetlands are considered at high risk
for negative climate change effects as temperatures increase. To estimate the
potential impacts of climate change on breeding waterbirds, we predicted current
and future distributions of species common in the PPR using species distribution
models (SDMs). We created regional-scale SDMs for the U.S. PPR using Breeding
Bird Survey occurrence records for 1971-2011 and wetland, upland, and climate
variables. For each species, we predicted current distribution based on climate
records for 1981-2000 and projected future distributions to climate scenarios for
2040-2049. Species were projected to, on average, lose almost half their current
habitat (-46%). However, individual species projections varied widely, from +8%
(Upland Sandpiper) to -100% (Wilson's Snipe). Variable importance ranks indicated
that land cover (wetland and upland) variables were generally more important than
climate variables in predicting species distributions. However, climate variables
were relatively more important during a drought period. Projected distributions
of species responses to climate change contracted within current areas of
distribution rather than shifting. Given the large variation in species-level
impacts, we suggest that climate change mitigation efforts focus on species
projected to be the most vulnerable by enacting targeted wetland management,
easement acquisition, and restoration efforts.
PMID- 24927167
TI - Assessment of bacterial bph gene in Amazonian dark earth and their adjacent
soils.
AB - Amazonian Anthrosols are known to harbour distinct and highly diverse microbial
communities. As most of the current assessments of these communities are based on
taxonomic profiles, the functional gene structure of these communities, such as
those responsible for key steps in the carbon cycle, mostly remain elusive. To
gain insights into the diversity of catabolic genes involved in the degradation
of hydrocarbons in anthropogenic horizons, we analysed the bacterial bph gene
community structure, composition and abundance using T-RFLP, 454-pyrosequencing
and quantitative PCR essays, respectively. Soil samples were collected in two
Brazilian Amazon Dark Earth (ADE) sites and at their corresponding non
anthropogenic adjacent soils (ADJ), under two different land use systems,
secondary forest (SF) and manioc cultivation (M). Redundancy analysis of T-RFLP
data revealed differences in bph gene structure according to both soil type and
land use. Chemical properties of ADE soils, such as high organic carbon and
organic matter, as well as effective cation exchange capacity and pH, were
significantly correlated with the structure of bph communities. Also, the
taxonomic affiliation of bph gene sequences revealed the segregation of community
composition according to the soil type. Sequences at ADE sites were mostly
affiliated to aromatic hydrocarbon degraders belonging to the genera
Streptomyces, Sphingomonas, Rhodococcus, Mycobacterium, Conexibacter and
Burkholderia. In both land use sites, shannon's diversity indices based on the
bph gene data were higher in ADE than ADJ soils. Collectively, our findings
provide evidence that specific properties in ADE soils shape the structure and
composition of bph communities. These results provide a basis for further
investigations focusing on the bio-exploration of novel enzymes with potential
use in the biotechnology/biodegradation industry.
PMID- 24927168
TI - Enduring effects of evidence-based psychotherapies in acute depression and
anxiety disorders versus treatment as usual at follow-up--a longitudinal meta
analysis.
AB - OBJECTIVE: This meta-analysis examined the enduring efficacy of evidence-based
psychotherapies (EBP) in comparison to treatment as usual (TAU) by examining
effects from termination to follow-up for acute anxiety and depression in an
adult outpatient population. It was hypothesized that EBPs might extend their
efficacy at follow-up assessment (Tolin, 2010). METHOD: Longitudinal multilevel
meta-analyses were conducted that examined the magnitude of difference between
EBP and TAU. Targeted (disorder-specific) outcomes were examined, along with
dropout rates at follow-up assessments. RESULTS: A total of 15 comparisons
(including 30 repeated effect sizes [ES]) were included in this meta-analysis
(average of 8.9 month follow-up). Small to moderate ES differences were found to
be in favor of EBPs at 0-4 month assessments (Hedges' g=0.40) and up to 12-18
month assessments (g=0.20), indicating no extended efficacy at follow-up.
However, the TAU-conditions were heterogeneous, ranging from absence of minimal
mental health treatment to legitimate psychotherapeutic interventions provided by
trained professionals, the latter of which resulted in smaller ES differences.
Furthermore, samples where substance use comorbidities were not actively excluded
indicated smaller ES differences. TAU-conditions produced slightly higher dropout
rates than EBP-conditions. CONCLUSION: Findings indicate small and no extended
superiority of EBP for acute depression and anxiety disorders in comparison to
TAU at follow-up assessment. There are a limited number of studies investigating
the transportability and lasting efficacy of EBP compared to TAU, especially to
TAU with equivalent conditions between treatment groups.
PMID- 24927169
TI - Efficacy and safety of Tai Chi for Parkinson's disease: a systematic review and
meta-analysis of randomized controlled trials.
AB - BACKGROUND AND OBJECTIVE: In Parkinson's disease (PD), wearing off and side
effects of long-term medication and complications pose challenges for
neurologists. Although Tai Chi is beneficial for many illnesses, its efficacy for
PD remains uncertain. The purpose of this review was to evaluate the efficacy and
safety of Tai Chi for PD. METHODS: Randomized controlled trials (RCTs) of Tai Chi
for PD were electronically searched by the end of December 2013 and identified by
two independent reviewers. The tool from the Cochrane Handbook 5.1 was used to
assess the risk of bias. A standard meta-analysis was performed using RevMan 5.2
software. RESULTS: Ten trials with PD of mild-to-moderate severity were included
in the review, and nine trials (n = 409) were included in the meta-analysis. The
risk of bias was generally high in the blinding of participants and personnel.
Improvements in the Unified Parkinson's Disease Rating Scale Part III (mean
difference (MD) -4.34, 95% confidence interval (CI) -6.67--2.01), Berg Balance
Scale (MD: 4.25, 95% CI: 2.83-5.66), functional reach test (MD: 3.89, 95% CI:
1.73-6.04), Timed Up and Go test (MD: -0.75, 95% CI: -1.30--0.21), stride length
(standardized MD: 0.56, 95% CI: 0.03-1.09), health-related quality of life
(standardized MD: -1.10, 95% CI: -1.81--0.39) and reduction of falls were greater
after interventions with Tai Chi plus medication. Satisfaction and safety were
high. Intervention with Tai Chi alone was more effective for only a few balance
and mobility outcomes. CONCLUSIONS: Tai Chi performed with medication resulted in
promising gains in mobility and balance, and it was safe and popular among PD
patients at an early stage of the disease. This provides a new evidence for PD
management. More RCTs with larger sample size that carefully address blinding and
prudently select outcomes are needed. PROSPERO registration number
CRD42013004989.
PMID- 24927170
TI - Alitretinoin: a useful agent in the treatment of hidradenitis suppurativa,
especially in women of child-bearing age.
AB - AIM: Despite a better insight into its pathogenesis, hidradenitis suppurativa
(HS) remains very frustrating to treat. Acitretin has been described as one of
the agents with the highest effective profile. Acitretin however, due to its
teratogenicity and prolonged half-life (up to three years) is not an option in
young women of fertile age who, unfortunately, are the target of this disease.
Alitretinoin has a similar pharmacology action to acitretin, but much shorter
half-life (only four weeks), making it a far much more attractive option compared
to acitretin for women of child-bearing age. The aim of this paper was to
evaluate the use of alitretinoin in treating recalcitrant cases of HS, which have
not been responsive to standard treatments. METHODS: Fourteen patients (all
female, of child-bearing age), who persistently failed traditional treatments,
were treated with alitretinoin 10 mg/day for 24 weeks. The disease trend was
evaluated by using both Sartorius and Dermatology Life Quality Index scores at
time 0, at week 12 and at week 24. RESULTS: A significant improvement was
recorded in 78.5% of the cases. CONCLUSION: Although more studies are necessary,
this preliminary study shows that alitretinoin may have a role in the treatment
of HS specifically in women of fertile age.
PMID- 24927171
TI - Health-related quality of life in adult atopic dermatitis and psoriatic patients
matched by disease severity.
AB - BACKGROUND: Atopic dermatitis (AD) and psoriasis have significant negative impact
on patients' lives. Objective of this study was to compare the impact of
psoriasis and AD in adult patients matched by disease severity and to perform
further validation of the Ukrainian versions of the DLQI and Skindex-16 in these
skin conditions. METHODS: Sixty-three adult AD and 63 psoriatic patients matched
by disease severity according to SCORAD and PASI were enrolled. Patients
completed the Ukrainian versions of the DLQI and Skindex-16 questionnaires.
Cronbach's alpha was used to measure internal consistency of the Ukrainian
versions of the DLQI and Skindex-16. RESULTS: All three scales of Skindex-16 and
the DLQI were internally reliable. Mean DLQI was 10.63 +/- 6.15 for AD patients
and 11.59 +/- 7.18 for psoriatic patients (P=0.43). The highest scored question
of the DLQI concerned symptoms tends the highest scored item for Skindex-16 was
"frustration about skin condition". The DLQI item on treatment was scored higher
by psoriatic patients (1.32 +/- 0.98 and AD = 0.73+/-0.79, P<0.001).
"Functioning" scale of Skindex-16 was assessed higher by psoriatic patients in
all clinical subgroups and "Emotions" scale in subgroup with mild disease
severity. Skindex-16 item on itching was assessed higher by AD patients (3.65 +/-
2.03 for AD and 2.92 +/- 1.95 for psoriatic patients, P<0.05) meanwhile, two
other separate Skindex-16 items had higher impact on psoriatic patients: the
effect of skin condition on desire to be with people (3.51 +/- 2.18 for psoriatic
patients and 2.29 +/- 2.09 for AD patients, P<0.05) and skin condition making it
hard to show the affection (3.51 +/- 2.18 for psoriatic patients and 2.24 +/-
2.09 for AD patients, P<0.05). CONCLUSION: Psoriasis has higher effect on desire
to be with people, showing affection and cause more problems with the treatment,
meanwhile in AD itching cause higher negative impact on QoL. Even psoriatic
patients with mild disease often may have large negative effect on their QoL that
is not typical for AD patients.
PMID- 24927172
TI - Early activation of fibroblasts during PDT treatment in leg ulcers.
AB - BACKGROUND: This pilot study was aimed to assess the variations of some
microscopical parameters in skin ulcers, caused by chronic venous insufficiency
of the lower extremities (chronic leg ulcers), in 15 patients refractory to
previous conventional treatments during photodynamic therapy (PDT). METHODS:
Samples of control, wounded and PDT treated skin were taken and analyzed by
immunohistochemistry. RESULTS: The cellular infiltrate, as well as the thickness
of epidermis, vascularization, mast cell and fibroblast numbers, were increased
in chronic wounds as compared to healthy skin. After completion of PDT,
fibroblasts appeared further increased in number. Mast cells, closely clustered
with fibroblasts, also showed an increase in their numbers, degranulation index
and expression of basic fibroblast growth factor. CONCLUSIONS: The present
findings support a primary role of fibroblasts in the wound healing process upon
PDT treatment, given their early and intense reaction to injury. Mast cells seem
to play an accessory yet important role, on the basis of their number and
degranulation index variations and expression of basic FGF. In addition, the
clustering of mast cells with fibroblasts around blood vessels suggest that these
cells may stimulate angiogenesis and, in parallel, fibroblasts to secrete
extracellular matrix during PDT therapy.
PMID- 24927173
TI - Data sharing reveals complexity in the westward spread of domestic animals across
Neolithic Turkey.
AB - This study presents the results of a major data integration project bringing
together primary archaeozoological data for over 200,000 faunal specimens
excavated from seventeen sites in Turkey spanning the Epipaleolithic through
Chalcolithic periods, c. 18,000-4,000 cal BC, in order to document the initial
westward spread of domestic livestock across Neolithic central and western
Turkey. From these shared datasets we demonstrate that the westward expansion of
Neolithic subsistence technologies combined multiple routes and pulses but did
not involve a set 'package' comprising all four livestock species including
sheep, goat, cattle and pig. Instead, Neolithic animal economies in the study
regions are shown to be more diverse than deduced previously using quantitatively
more limited datasets. Moreover, during the transition to agro-pastoral economies
interactions between domestic stock and local wild fauna continued. Through
publication of datasets with Open Context (opencontext.org), this project
emphasizes the benefits of data sharing and web-based dissemination of large
primary data sets for exploring major questions in archaeology (Alternative
Language Abstract S1).
PMID- 24927174
TI - Support vector machine classification of streptavidin-binding aptamers.
AB - BACKGROUND: Synthesizing and characterizing aptamers with high affinity and
specificity have been extensively carried out for analytical and biomedical
applications. Few publications can be found that describe structure-activity
relationships (SARs) of candidate aptamer sequences. METHODOLOGY: This paper
reports pattern recognition with support vector machine (SVM) classification
techniques for the identification of streptavidin-binding aptamers as "low" or
"high" affinity aptamers. The SVM parameters C and gamma were optimized using
genetic algorithms. Four descriptors, the topological descriptor PW4 (path/walk 4
-Randic shape index), the connectivity index X3A (average connectivity index chi
3), the topological charge index JGI2 (mean topological charge index of order 2),
and the free energy E of the secondary structure, were used to describe the
structures of candidate aptamer sequences from SELEX selection (Schutze et al.
(2011) PLoS ONE (12):e29604). CONCLUSIONS: The predicted fractions of winning
streptavidin-binding aptamers for ten rounds of SELEX conform to the aptamer
evolutionary principles of SELEX-based screening. The feasibility of applying
pattern recognition based on SVM and genetic algorithms for streptavidin-binding
aptamers has been demonstrated.
PMID- 24927175
TI - Tazarotene induces apoptosis in human basal cell carcinoma via activation of
caspase-8/t-Bid and the reactive oxygen species-dependent mitochondrial pathway.
AB - Previous studies suggest that tazarotene, a new member of the acetylenic class of
RARbeta/gamma selective retinoids which is approved to treat a variety of skin
diseases, exhibits an anti-proliferative effect in human basal cell carcinoma
(BCC) by triggering caspase-dependent apoptosis. However, the detailed molecular
mechanisms underlying the anti-tumor activity of tazarotene are poorly
understood. This study aims at investigating the molecular mechanisms of
tazarotene-induced apoptosis in human BCC cells. Our results are the first to
demonstrate that tazarotene induces mitochondria-dependent cleavage of caspase-9
and -3 and PARP in BCC cells by producing reactive oxygen species (ROS) and
activating caspase-8 through both ROS and death receptor signaling. These events
are accompanied by a decrease in BCL-2 and BCL-xl anti-apoptotic proteins as well
as by survivin and XIAP, two IAP family members. Furthermore, our results
presented for the first time that tazarotene triggers a convergence of the
intrinsic and extrinsic apoptotic pathways via the caspase-8-truncated Bid
signaling pathway. Collectively, these data provide insights into the molecular
mechanisms underlying tazarotene-induced apoptosis in human BCC cells, suggesting
that this compound is a potential anti-skin cancer drug.
PMID- 24927176
TI - TRAIL enhances apoptosis of human hepatocellular carcinoma cells sensitized by
hepatitis C virus infection: therapeutic implications.
AB - Hepatitis C virus (HCV) infection causes chronic liver diseases leading to
hepatocellular carcinoma (HCC) and liver failure. We have previously shown that
HCV sensitizes hepatocytes to mitochondrial apoptosis via the TRAIL death
receptors DR4 and DR5. Although TRAIL and its receptors are selective targets for
cancer therapy, their potential against HCC with chronic HCV infection has not
been explored yet. Here we show that HCV induces DR4/DR5-dependent activation of
caspase-8 leading to elevation of apoptotic signaling in infected cells and also
present TRAIL effect in HCV-induced apoptotic signaling. HCV induced proteolytic
cleavage of caspase-9 by stimulating DR4 and DR5, resulting in subsequent
cleavage of caspase-3. Further, HCV-induced proteolytic cleavage in caspase-8,
caspase-9, and caspase-3 was enhanced in the presence of recombinant TRAIL. HCV
induced cleavage in caspase-9 and increase in caspase-3/7 activity was completely
suppressed by silencing of either DR4 or DR5. Perturbing DR4/DR5-caspase-8
signaling complex by silencing DR4 and DR5 or by chemical inhibitor specific to
caspase-8 led to decrease of HCV-induced cleavage of poly(ADP-ribose) polymerase
(PARP), a substrate for caspase-3 during apoptosis, indicating the functional
role of caspase-8 in HCV-induced apoptotic signaling network. Furthermore, TRAIL
enhanced PARP cleavage in apoptotic response induced by HCV infection, indicating
the effect of TRAIL for the induction of selective apoptosis of HCC cells
infected with HCV. Given the importance of apoptosis in HCC development, our data
suggest that HCV-induced DR4 and DR5 may be considered as an attractive target
for TRAIL therapy against HCC with chronic HCV infection.
PMID- 24927177
TI - A colorimetric and luminescent dual-modal assay for Cu(II) ion detection using an
iridium(III) complex.
AB - A novel iridium(III) complex-based chemosensor bearing the 5,6
bis(salicylideneimino)-1,10-phenanthroline ligand receptor was developed, which
exhibited a highly sensitive and selective color change from colorless to yellow
and a visible turn-off luminescence response upon the addition of Cu(II) ions.
The interactions of this iridium(III) complex with Cu2+ ions and thirteen other
cations have been investigated by UV-Vis absorption titration, emission
titration, and 1H NMR titration.
PMID- 24927178
TI - A RG-II type polysaccharide purified from Aconitum coreanum alleviates
lipopolysaccharide-induced inflammation by inhibiting the NF-kappaB signal
pathway.
AB - Korean mondshood root polysaccharides (KMPS) isolated from the root of Aconitum
coreanum (Levl.) Rapaics have shown anti-inflammatory activity, which is strongly
influenced by their chemical structures and chain conformations. However, the
mechanisms of the anti-inflammatory effect by these polysaccharides have yet to
be elucidated. A RG-II polysaccharide (KMPS-2E, Mw 84.8 kDa) was isolated from
KMPS and its chemical structure was characterized by FT-IR and NMR spectroscopy,
gas chromatography-mass spectrometry and high-performance liquid chromatography.
The backbone of KMPS-2E consisted of units of [->6) -beta-D-Galp (1->3)-beta-L
Rhap-(1->4)-beta-D-GalpA-(1->3)-beta-D-Galp-(1->] with the side chain ->5)-beta-D
Arap (1->3, 5)-beta-D-Arap (1-> attached to the backbone through O-4 of (1->3,4)
L-Rhap. T-beta-D-Galp is attached to the backbone through O-6 of (1->3,6)-beta-D
Galp residues and T-beta-D-Ara is connected to the end group of each chain. The
anti-inflammatory effects of KMPS-2E and the underlying mechanisms using
lipopolysaccharide (LPS)-stimulated RAW 264.7 macrophages and carrageenan-induced
hind paw edema were investigated. KMPS-2E (50, 100 and 200 ug/mL) inhibits iNOS,
TLR4, phospho-NF-kappaB-p65 expression, phosphor-IKK, phosphor-IkappaB-alpha
expression as well as the degradation of IkappaB-alpha and the gene expression of
inflammatory cytokines (TNF-alpha, IL-1beta, iNOS and IL-6) mediated by the NF
kappaB signal pathways in macrophages. KMPS-2E also inhibited LPS-induced
activation of NF-kappaB as assayed by electrophorectic mobility shift assay
(EMSA) in a dose-dependent manner and it reduced NF-kappaB DNA binding affinity
by 62.1% at 200 ug/mL. In rats, KMPS-2E (200 mg/kg) can significantly inhibit
carrageenan-induced paw edema as ibuprofen (200 mg/kg) within 3 h after a single
oral dose. The results indicate that KMPS-2E is a promising herb-derived drug
against acute inflammation.
PMID- 24927179
TI - Development and evaluation of small peptidomimetic ligands to protease-activated
receptor-2 (PAR2) through the use of lipid tethering.
AB - Protease-activated receptor-2 (PAR2) is a G-Protein Coupled Receptor (GPCR)
activated by proteolytic cleavage to expose an attached, tethered ligand
(SLIGRL). We evaluated the ability for lipid-tethered-peptidomimetics to activate
PAR2 with in vitro physiological and Ca2+ signaling assays to determine minimal
components necessary for potent, specific and full PAR2 activation. A known PAR2
activating compound containing a hexadecyl (Hdc) lipid via three polyethylene
glycol (PEG) linkers (2at-LIGRL-PEG3-Hdc) provided a potent agonist starting
point (physiological EC50 = 1.4 nM; 95% CI: 1.2-2.3 nM). In a set of truncated
analogs, 2at-LIGR-PEG3-Hdc retained potency (EC50 = 2.1 nM; 1.3-3.4 nM) with
improved selectivity for PAR2 over Mas1 related G-protein coupled receptor type
C11, a GPCR that can be activated by the PAR2 peptide agonist, SLIGRL-NH2. 2at
LIG-PEG3-Hdc was the smallest full PAR2 agonist, albeit with a reduced EC50 (46
nM; 20-100 nM). 2at-LI-PEG3-Hdc retained specific activity for PAR2 with reduced
EC50 (310 nM; 260-360 nM) but displayed partial PAR2 activation in both
physiological and Ca2+ signaling assays. Further truncation (2at-L-PEG3-Hdc and
2at-PEG3-Hdc) eliminated in vitro activity. When used in vivo, full and partial
PAR2 in vitro agonists evoked mechanical hypersensitivity at a 15 pmole dose
while 2at-L-PEG3-Hdc lacked efficacy. Minimum peptidomimetic PAR2 agonists were
developed with known heterocycle substitutes for Ser1 (isoxazole or
aminothiazoyl) and cyclohexylalanine (Cha) as a substitute for Leu2. Both
heterocycle-tetrapeptide and heterocycle-dipeptides displayed PAR2 specificity,
however, only the heterocycle-tetrapeptides displayed full PAR2 agonism. Using
the lipid-tethered-peptidomimetic approach we have developed novel structure
activity relationships for PAR2 that allows for selective probing of PAR2
function across a broad range of physiological systems.
PMID- 24927180
TI - Towards a quantitative OCT image analysis.
AB - BACKGROUND: Optical coherence tomography (OCT) is an invaluable diagnostic tool
for the detection and follow-up of retinal pathology in patients and experimental
disease models. However, as morphological structures and layering in health as
well as their alterations in disease are complex, segmentation procedures have
not yet reached a satisfactory level of performance. Therefore, raw images and
qualitative data are commonly used in clinical and scientific reports. Here, we
assess the value of OCT reflectivity profiles as a basis for a quantitative
characterization of the retinal status in a cross-species comparative study.
METHODS: Spectral-Domain Optical Coherence Tomography (OCT), confocal Scanning
Laser Ophthalmoscopy (SLO), and Fluorescein Angiography (FA) were performed in
mice (Mus musculus), gerbils (Gerbillus perpadillus), and cynomolgus monkeys
(Macaca fascicularis) using the Heidelberg Engineering Spectralis system, and
additional SLOs and FAs were obtained with the HRA I (same manufacturer).
Reflectivity profiles were extracted from 8-bit greyscale OCT images using the
ImageJ software package (http://rsb.info.nih.gov/ij/). RESULTS: Reflectivity
profiles obtained from OCT scans of all three animal species correlated well with
ex vivo histomorphometric data. Each of the retinal layers showed a typical
pattern that varied in relative size and degree of reflectivity across species.
In general, plexiform layers showed a higher level of reflectivity than nuclear
layers. A comparison of reflectivity profiles from specialized retinal regions
(e.g. visual streak in gerbils, fovea in non-human primates) with respective
regions of human retina revealed multiple similarities. In a model of Retinitis
Pigmentosa (RP), the value of reflectivity profiles for the follow-up of
therapeutic interventions was demonstrated. CONCLUSIONS: OCT reflectivity
profiles provide a detailed, quantitative description of retinal layers and
structures including specialized retinal regions. Our results highlight the
potential of this approach in the long-term follow-up of therapeutic strategies.
PMID- 24927182
TI - Endometrial ossification causing secondary infertility.
PMID- 24927183
TI - The crown initiative: journal editors invite researchers to develop core outcomes
in women's health.
PMID- 24927184
TI - 34 weeks of gestation-lost in translation.
PMID- 24927181
TI - Genome-wide association study identifies three novel susceptibility loci for
severe Acne vulgaris.
AB - Acne vulgaris (acne) is a common inflammatory disorder of the cutaneous pilo
sebaceous unit. Here we perform a genome-wide association analysis in the United
Kingdom, comparing severe cases of acne (n=1,893) with controls (n=5,132). In a
second stage, we genotype putative-associated loci in a further 2,063 acne cases
and 1,970 controls. We identify three genome-wide significant associations:
11q13.1 (rs478304, Pcombined=3.23 * 10(-11), odds ratio (OR) = 1.20), 5q11.2
(rs38055, P(combined) = 4.58 * 10(-9), OR = 1.17) and 1q41 (rs1159268,
P(combined) = 4.08 * 10(-8), OR = 1.17). All three loci contain genes linked to
the TGFbeta cell signalling pathway, namely OVOL1, FST and TGFB2. Transcripts of
OVOL1 and TFGB2 have decreased expression in affected compared with normal skin.
Collectively, these data support a key role for dysregulation of TGFbeta-mediated
signalling in susceptibility to acne.
PMID- 24927185
TI - Test uptake and case detection of syphilis, HIV, and hepatitis C among women
undergoing prenatal screening in British Columbia, 2007 to 2011.
AB - OBJECTIVE: Test uptake and case detection trends for rubella, syphilis, HIV, and
hepatitis C (HCV) were compared among the 2007 to 2011 cohort of women undergoing
prenatal testing in British Columbia. Analysis involved linkage of provincially
centralized laboratory and surveillance data to assess prenatal test uptake and
rates of newly diagnosed versus prevalent infections. METHODS: We included
prenatal specimens submitted from BC women aged 16 to 45 years in 2007 to 2011.
Laboratory records were linked to provincial surveillance systems to identify
confirmed maternal syphilis and HIV cases. Previous positive status was
determined for HIV and HCV if a prior confirmed case was identified from
laboratory records. We determined rates of HIV and HCV newly identified at
prenatal screening (new diagnoses per 100 000 per year). Prevalence for HIV and
HCV was the sum of all new and prior diagnoses (prevalence per 100 000 per year).
RESULTS: Of 233 203 women, 96.9% were screened for rubella, 93.3% for syphilis,
93.8% for HIV, and 21.5% for HCV. From 2007 to 2011, the overall rates of new
diagnoses were 15.4, 5.1, and 82.8 cases per 100 000 per year for syphilis, HIV,
and HCV, respectively. The overall prevalence was 45.9 and 551.5 cases per 100
000 per year for HIV and HCV, respectively (0.05% and 0.6%). From 2007 to 2011,
new diagnoses of HCV decreased 40% from 106.0 to 62.1 cases per 100 000 per year.
HCV prevalence did not change and increased with maternal age. CONCLUSION: This
study links surveillance and laboratory data to provide a provincial picture of
prenatal screening test uptake and case detection, with the advantage of
distinguishing new from prior diagnoses. This information can help guide prenatal
communicable disease screening policy.
PMID- 24927186
TI - Evaluation of the NovaSure endometrial ablation procedure in women with uterine
cavity length over 10 cm.
AB - OBJECTIVE: To evaluate procedure-related adverse events, post-procedure menstrual
bleeding status, and surgical re-intervention in women with a sounded uterine
length > 10 cm compared to women with a sounded uterine length <= 10 cm who
underwent the NovaSure endometrial ablation procedure. METHODS: We conducted a
retrospective cohort study of 188 women from a Canadian community-based
gynaecology practice. Eighty-seven women had a sounded uterine length > 10 cm,
and 101 patients had a sounded length <= 10 cm. Procedure-related adverse events,
post-procedure menstrual bleeding status, and surgical re-interventions were
compared between groups. RESULTS: Mean uterine sounding lengths were 11.0 +/- 0.6
cm and 8.9 +/- 0.8 cm in the > 10 cm and <= 10 cm groups, respectively. There
were no differences between the groups in demographic characteristics or
gynaecologic history, with the exception of higher BMI in the > 10 cm group and a
greater prevalence of dysmenorrhea in the <= 10 cm group. Overall, 44.1% of all
participants had been unsuccessfully treated with hormonal therapy, and 20.7% had
failed non-hormonal treatment before the ablation procedure. The remaining 35.2%
of participants had declined alternative therapy and proceeded directly to
endometrial ablation. No serious procedure-related adverse events occurred in
either group. Menstrual bleeding status at follow-up at 30.4 +/- 15.3 months (>
10 cm group) and 30.5 +/- 15.5 months (<= 10 cm group) was not different between
the groups (P = 0.85). In women who did not undergo surgical re-intervention
after the initial ablation, amenorrhea was reported by 51.9% in the > 10 cm group
and 65.9% in the <= 10 cm group. CONCLUSION: The NovaSure endometrial ablation
procedure was associated with successful menstrual bleeding outcomes in women
with sounded uterine length > 10 cm. No serious procedure-related adverse events
occurred, and the need for surgical re-intervention was low. There was no
significant difference in bleeding rates between the > 10 cm and <= 10 cm uterine
length cohorts.
PMID- 24927187
TI - Massive hemorrhage following thrombolysis for postpartum pulmonary embolism with
cardiac arrest.
AB - BACKGROUND: Cardiac arrest following a massive pulmonary embolism in pregnancy or
the puerperium is a rare and catastrophic event. CASE: We describe a case of
massive pulmonary embolism with cardiac arrest in a 36-year-old patient,
presenting 48 hours postpartum. She was treated with thrombolytic therapy and
suffered a massive hemorrhage thereafter, which was compounded by disseminated
intravascular coagulation. Following an emergency hysterectomy and massive blood
product transfusion, she survived with no neurological sequelae. The medical team
present had participated in two simulation sessions with similar scenarios in the
weeks before the event. CONCLUSION: To our knowledge, this is only the fifth case
reported in the literature on thrombolytic therapy in the postpartum period, and
of these has the most severe hemorrhagic complication. An effective
multidisciplinary approach to such a complex situation can be acquired through
simulation-based training.
PMID- 24927188
TI - Concurrent parathyroidectomy and caesarean section in the third trimester.
AB - BACKGROUND: Primary hyperparathyroidism is the most common cause of hypercalcemia
in the general population. It is a rare complication of pregnancy that is
difficult for clinicians to recognize, yet it can have important and devastating
effects for both mother and baby. CASE: A 27-year-old primigravida at 32+3 weeks'
gestation had a serum calcium level in excess of 2.75 mmol/L and evidence of
HELLP syndrome. She underwent concurrent parathyroidectomy and Caesarean section.
Neither the mother nor the neonate developed hypocalcemia postoperatively. The
mother's parathyroid tissue was pathologically atypical, and a left
hemithyroidectomy was performed at three months postpartum. CONCLUSION: When
hyperparathyroidism is diagnosed in the third trimester, concurrent
parathyroidectomy and Caesarean section is a safe and reasonable option for
management. This should be performed by a multidisciplinary team with careful
monitoring of the calcium levels of both mother and neonate after surgery.
PMID- 24927189
TI - The fetal safety of statins: a systematic review and meta-analysis.
AB - Although an initial case series suggested that use of statins in pregnancy
carried teratogenic risk, a recent meta-analysis of controlled observational
studies has failed to corroborate this. A large number of potentially beneficial
uses of statins in pregnant women have prompted a new evaluation of the risk
benefit ratio of these agents in pregnancy.
PMID- 24927190
TI - Fake it till you make it: Policymaking and assisted human reproduction in Canada.
AB - The Assisted Human Reproduction Act (AHR Act) came into effect in 2004. The AHR
Act stipulates in s.12 that no reimbursement of expenditures incurred in the
course of donating gametes, maintaining or transporting in vitro embryos, or
providing surrogacy services is permitted, except in accordance with the
regulations and with receipts. Ten years later, Health Canada still has not
drafted the regulations governing reimbursement. Section 12 is therefore still
not in force. Health Canada and others have asserted that there is a Health
Canada policy on reimbursement and that reimbursement with receipts is legally
permissible. We dispute the existence of such a policy and its legitimacy (if it
exists). We also challenge the decision by Health Canada not to produce
regulations and thereby make it possible for Parliament to bring s.12 into force.
This intentional lack of action is worrisome on at least two fronts. First, it
sidesteps the processes required for regulations and thereby ducks the
Parliamentary oversight very deliberately built into the AHR Act. Second, it
leaves Canadians who provide and who access assisted human reproduction uncertain
about what is and is not permitted, and therefore fearful of, or at risk of,
prosecution. We conclude that Health Canada should take the steps necessary to
put regulations in front of Parliament so that Parliament will then be able to
pass regulations and bring s.12 into force. Canadians should demand no less.
PMID- 24927191
TI - Assisted reproductive therapy in women with higher body mass index.
PMID- 24927192
TI - Non-invasive prenatal testing: ethics and policy considerations.
AB - New technologies analyzing fetal DNA in maternal blood have led to the wide
commercial availability of non-invasive prenatal testing (NIPT). We present here
for clinicians the ethical and policy issues related to an emerging practice
option. Although NIPT presents opportunities for pregnant women, particularly
women who are at increased risk of having a baby with an abnormality or who are
otherwise likely to access invasive prenatal testing, NIPT brings significant
ethics and policy challenges. The ethical issues include multiple aspects of
informed decision-making, such as access to counselling about the possible
results of the test in advance of making a decision about participation in NIPT.
Policy considerations include issues related to offering and promoting a
privately available medical strategy in publicly funded institutions. Ethics and
policy considerations merge in NIPT with regard to sex selection and support for
persons living with disabilities.
PMID- 24927194
TI - Characterization of EGFR family gene aberrations in cholangiocarcinoma.
AB - Cholangiocarcinoma (CCA) is a highly lethal malignancy of the biliary tract with
very few treatment options. Epidermal growth factor receptor (EGFR) and human
epidermal growth factor receptor (HER2) have been considered as potential
therapeutic targets in CCA. In the present study, we attempted to clarify the
clinicopathological significance of all EGFR family members, EGFR, HER2, HER3 and
HER4, across the full spectrum of CCAs. Immunohistochemistry and FISH were
performed to validate expressions and genetic aberrations of these molecules
retrospectively in 175 CCA patients. EGFR, HER3 and HER4 were overexpressed in 20
(30.8%), 8 (12.3%) and 41 (63.1%) of the 65 intrahepatic cholangiocarcinomas
(IHCCs), and in 23 (20.9%), 13 (11.8%) and 62 (56.4%) of the 110 extrahepatic
cholangiocarcinomas (EHCCs), respectively. Overexpression of HER2 was exclusively
identified in EHCCs, among which the rate was 4.5% (5/110). A significant
association was identified between EGFR amplification and EGFR overexpression
(P=0.002). Similarly, HER2 amplification was strongly associated with HER2
overexpression (P<0.001). Multivariate analysis suggested that EGFR
overexpression is an independent prognostic factor in IHCC, but not in EHCC cases
[HR (95% CI): 3.689 (1.253-10.587), P=0.018]. Notably, for the first time, we
demonstrated HER4 expression is a prognostic factor in EGFR-negative IHCC
patients. In vitro data further suggested a tumor-suppressor role of HER4 in CCA.
siRNA knockdown of HER4 significantly increased RBE cell migration and invasion.
By contrast, HER4 overexpression decreased proliferation of HuCCT-1 cells and
their migratory and invasive capacity. In summary, our results revealed
expression of the EGFR family members in CCA development and progression. CCAs
differentially express HER2 protein based on tumor location. HER4 expression
status allows stratification of CCA patients into different survival categories.
PMID- 24927193
TI - Venous thromboembolism and antithrombotic therapy in pregnancy.
AB - OBJECTIVE: To present an approach, based on current evidence, for the diagnosis,
treatment, and thromboprophylaxis of venous thromboembolism in pregnancy and
postpartum. EVIDENCE: Published literature was retrieved through searches of
PubMed, Medline, CINAHL, and The Cochrane Library from November 2011 to July 2013
using appropriate controlled vocabulary (e.g. pregnancy, venous thromboembolism,
deep vein thrombosis, pulmonary embolism, pulmonary thrombosis) and key words
(e.g., maternal morbidity, pregnancy complications, thromboprophylaxis,
antithrombotic therapy). Results were restricted to systematic reviews,
randomized control trials/controlled clinical trials, and observational studies
published in English or French. There were no date restrictions. Grey
(unpublished) literature was identified through searching the websites of
clinical practice guideline collections, clinical trial registries, and national
and international medical specialty societies. VALUES: The quality of evidence in
this document was rated using the criteria described in the Report of the
Canadian Task Force on Preventative Health Care (Table 1).
PMID- 24927196
TI - Catalytic, nucleophilic allylation of aldehydes with 2-substituted allylic
acetates: carbon-carbon bond formation driven by the water-gas shift reaction.
AB - The ruthenium-catalyzed allylation of aldehydes with allylic acetates has been
expanded to incorporate substituents at the 2-position of the allylic components.
Allylic acetates bearing a variety of substituents (CO2-t-Bu, COMe, Ph, CH(OEt)2,
and Me) undergo high-yielding additions with aromatic, alpha,beta-unsaturated,
and aliphatic aldehydes. The conditions of the reaction were found to be mild (75
degrees C, 24-48 h) and only required the use of 2-3 mol % of the triruthenium
dodecacarbonyl catalyst under 40-80 psi of CO. The stoichiometries of water and
allylic acetate employed were found to be critical to reaction efficiency.
PMID- 24927195
TI - Epidemiology of road traffic incidents in Peru 1973-2008: incidence, mortality,
and fatality.
AB - BACKGROUND: The epidemiological profile and trends of road traffic injuries
(RTIs) in Peru have not been well-defined, though this is a necessary step to
address this significant public health problem in Peru. The objective of this
study was to determine trends of incidence, mortality, and fatality of RTIs in
Peru during 1973-2008, as well as their relationship to population trends such as
economic growth. METHODS AND FINDINGS: Secondary aggregated databases were used
to estimate incidence, mortality and fatality rate ratios (IRRs) of RTIs. These
estimates were standardized to age groups and sex of the 2008 Peruvian
population. Negative binomial regression and cubic spline curves were used for
multivariable analysis. During the 35-year period there were 952,668 road traffic
victims, injured or killed. The adjusted yearly incidence of RTIs increased by
3.59 (95% CI 2.43-5.31) on average. We did not observe any significant trends in
the yearly mortality rate. The total adjusted yearly fatality rate decreased by
0.26 (95% CI 0.15-0.43), while among adults the fatality rate increased by 1.25
(95% CI 1.09-1.43). Models fitted with splines suggest that the incidence follows
a bimodal curve and closely followed trends in the gross domestic product (GDP)
per capita. CONCLUSIONS: The significant increasing incidence of RTIs in Peru
affirms their growing threat to public health. A substantial improvement of
information systems for RTIs is needed to create a more accurate epidemiologic
profile of RTIs in Peru. This approach can be of use in other similar low and
middle-income settings to inform about the local challenges posed by RTIs.
PMID- 24927199
TI - Editorial.
PMID- 24927197
TI - Systemic sclerosis immunoglobulin induces growth and a pro-fibrotic state in
vascular smooth muscle cells through the epidermal growth factor receptor.
AB - OBJECTIVE: It has been suggested that autoantibodies in systemic sclerosis (SSc)
may induce the differentiation of cultured fibroblasts into myofibroblasts
through platelet-derived growth factor receptor (PDGFR) activation. The present
study aims to characterize the effects of SSc IgG on vascular smooth muscle cells
(VSMCs) and to determine if stimulatory autoantibodies directed to the PDGFR can
be detected, and whether they induce a profibrotic response in primary cultured
VSMCs. METHODS: Cultured VSMCs were exposed to IgG fractions purified from SSc
patient or control sera. VSMC responses were then analyzed for ERK1/2 and Akt
phosphorylation, PDGFR immunoprecipitation, cellular proliferation, protein
synthesis, and pro-fibrotic changes in mRNA expression. RESULTS: Stimulatory
activity in IgG fractions was more prevalent and intense in the SSc samples. SSc
IgG immunoprecipitated the PDGFR with greater avidity than control IgG.
Interestingly, activation of downstream signaling events (e.g. Akt, ERK1/2) was
independent of PDGFR activity, but required functional EGFR. We also detected
increased protein synthesis in response to SSc IgG (p<0.001) and pro-fibrotic
changes in gene expression (Tgfb1 +200%; Tgfb2 -23%; p<0.001)) in VSMCs treated
with SSc IgG. CONCLUSION: When compared to control IgG, SSc IgG have a higher
stimulation index in VSMCs. Although SSc IgG interact with the PDGFR, the
observed remodeling signaling events occur through the EGFR in VSMC. Our data
thus favour a model of transactivation of the EGFR by SSc-derived PDGFR
autoantibodies and suggest the use of EGFR inhibitors in future target
identification studies in the field of SSc.
PMID- 24927198
TI - Long-term exposure to low-level arsenic in drinking water and diabetes incidence:
a prospective study of the diet, cancer and health cohort.
AB - BACKGROUND: Established causes of diabetes do not fully explain the present
epidemic. High-level arsenic exposure has been implicated in diabetes risk, but
the effect of low-level arsenic exposure in drinking water remains unclear.
OBJECTIVE: We sought to determine whether long-term exposure to low-level arsenic
in drinking water in Denmark is associated with an increased risk of diabetes
using a large prospective cohort. METHODS: During 1993-1997, we recruited 57,053
persons. We followed each cohort member for diabetes occurrence from enrollment
until 31 December 2006. We traced and geocoded residential addresses of the
cohort members and used a geographic information system to link addresses with
water-supply areas. We estimated individual exposure to arsenic using all
addresses from 1 January 1971 until the censoring date. Cox proportional hazards
models were used to model the association between arsenic exposure and diabetes
incidence, separately for two definitions of diabetes: all cases and a more
strict definition in which cases of diabetes based solely on blood glucose
results were excluded. RESULTS: Over a mean follow-up period of 9.7 years for
52,931 eligible participants, there were a total of 4,304 (8.1%) diabetes cases,
and 3,035 (5.8%) cases of diabetes based on the more strict definition. The
adjusted incidence rate ratios (IRRs) per 1-MUg/L increment in arsenic levels in
drinking water were as follows: IRR = 1.03 (95% CI: 1.01, 1.06) and IRR = 1.02
(95% CI: 0.99, 1.05) for all and strict diabetes cases, respectively.
CONCLUSIONS: Long-term exposure to low-level arsenic in drinking water may
contribute to the development of diabetes.
PMID- 24927200
TI - Limitations of epidemiological field data for mental health policy decisions.
PMID- 24927201
TI - Pharmacological treatment of schizophrenia resistant to first-line treatment: a
critical systematic review and meta-analysis.
AB - Schizophrenia resistance to conventional antipsychotics is a common phenomenon.
In 5-25% of cases, satisfactory treatment response is not achieved, and
intolerance to conventional antipsychotics occurs in 5-20% of patients. Numerous
reasons for refractoriness have been proposed. However, up to now only a few
pharmacological agents have been found useful in the treatment of schizophrenia
resistant to conventional antipsychotics. This paper critically reviews quality
assessed trials on the pharmacological treatment of refractory schizophrenia.
Randomized blinded trials of conventional antipsychotics at high doses, atypical
antipsychotics, lithium, propranolol, and agents not traditionally used in the
treatment of schizophrenia are reviewed. On the basis of the methodologically
sound studies included, we conclude that only clozapine has proved to be
clinically effective in the treatment of refractory schizophrenia. In the short
term, the odds ratio for clinical improvement on clozapine treatment when
compared to conventional treatment is calculated to be 2.4 (95% confidence
interval [CI] 1.7-3.5) and the number of patients needed to treat (NNT) is 7 (95%
CI 5-13). In single inconclusive trials, olanzapine and risperidone have been
found as effective as clozapine. In order to establish the usefulness of other
pharmacological treatments, more randomized clinical trials are needed.
PMID- 24927202
TI - Antidepressant treatment of juvenile depression.
AB - Although depression is increasingly recognized in children and adolescents, these
groups have responded to conventional tricyclic antidepressants less robustly
than depressed adults. Emerging research suggests that juvenile depression may
respond better to serotonergic and atypical pharmacologic agents, so guidelines
for selection and administration of these agents are provided.
PMID- 24927203
TI - A longitudinal study of positive symptoms in schizo-affective and paranoid
schizophrenia.
AB - The purpose of our work was studying the evolution of positive psychopathological
symptoms in patients who had suffered from schizo-affective (N=146) and paranoid
schizophrenia (N=74) (according to ICD-9CM) for at least 5 years. Using the list
of syndromes taken from the Present State Examination, we retrospectively
analyzed 2118 relapses registered in these patients' life during their illness
(mean 18.1 years). According to our data, when the course of schizo-affective and
paranoid schizophrenia incorporates definite periods of remission, there is a
tendency towards a simplification of positive symptoms: 1.for 26.4% of the
patients, the illness manifested itself in infrequent relapses (once in 4-5 years
or less); 2. in 45.9% of the patients (those who displayed prominent regressive
tendencies) psychotic symptoms were replaced by symptoms of affective, primarily
depressive, type; 3. in 18.6% of the patients the illness took the form of
recurring uniform attacks; 4. only in a mere 9.1% of the patients was the
disorder diagnosed as progressive; and 5. a tendency to improvement and favorable
prognosis was more pronounced in schizo-affective schizophrenia patients than in
patients with paranoid schizophrenia (69.9% vs 54.1%, P<0.05).
PMID- 24927204
TI - Birth distribution of schizophrenic patients in Japan (Tochigi).
AB - The monthly birth distribution of schizophrenic inpatients was examined in the
Tochigi prefecture, Japan. A significant excess (26%) above the expected birth
counts was found for patients born in the winter months (December-February).
These data are consistent with the previous reports from European and North
American countries.
PMID- 24927205
TI - A memory clinic in a department of old-age psychiatry: Its role in clinical and
academic research.
AB - Memory clinics are specialist outpatient services offering assessment and
evaluation in clinical practice. Memory clinics have been criticized for being
preoccupied with research. We analysed the outcomes of 405 referrals to a memory
clinic, providing a framework for discussion of the contributions of research to
clinical practice. Of the 80% of referrals receiving a formal diagnosis, one
third were recruited on to treatment studies, contributing to clinical research.
The remaining two-thirds of patients referred were followed up by specialized
care services, and findings from assessment procedures were used to contribute
towards academic research. These findings are discussed with reference to the
role of research for a memory clinic in clinical practice. The benefits of
clinical research are noted, in relation to the percentage of patients involved.
The nature of academic research is clarified; it is a dual process, with findings
both aiding clinical research and contributing to the body of knowledge about
dementia as a possible disease process. It is concluded that memory clinics, as
specialized outpatient services, are concerned with research as well as clinical
practice, and it is essentially this research which enables clinical practice to
develop.
PMID- 24927206
TI - A possible clinical classification for the psychopathology of suicidal intent
among psychiatric inpatients committing suicide.
AB - Mental health professionals are poor at predicting suicides. A study of inpatient
suicides over 21 years allowed an opportunity to develop a potentially useful
clinical classification of the psychopathology of Suicidal intent. One hundred
and three inpatient suicides in a large mental hospital were examined. Although
case notes of 93 suicide patients were available for detailed examination, only
33 case notes had sufficient data for classification of suicidal intent. Possible
categories for classifying suicidal intent were developed, and four were
identified: ambivalent, concealed, mixed and continuous. Evidence for the face
validity of these concepts was provided by the clinical case-histories. The
classification was reviewed in the context of the existing literature, which
provided further evidence in support of its validity. This classification, if
replicated, would be clinically helpful and might assist further improvement in
the management of psychiatric inpatients.
PMID- 24927207
TI - Effective treatment of schizophrenia with quetiapine in a 34-year-old Caucasian
man.
AB - This case history reports on the sustained clinical efficacy of quetiapine in a
34-year-old man with chronic paranoid schizophrenia, who was a partial responder
to traditional therapy. Quetiapine was found to be effective against both
positive and negative symptoms of schizophrenia, and had an excellent safety
profile. The patient, who had also suffered from alcohol dependency, decreased
his alcohol consumption as a result of responding to therapy, and successfully
became re-integrated into society.
PMID- 24927208
TI - Paranoid psychosis in an 11-year-old boy following cardiac transplantation.
AB - This is the first reported case of psychotic illness following pediatric cardiac
transplantation. The case highlights diagnostic issues, and indicates a need for
monitoring the psychological effects of cardiac transplantation in children.
PMID- 24927209
TI - Adjunctive use of olanzapine in the treatment of mania.
AB - Olanzapine is an atypical antipsychotic which is licensed only for the treatment
of schizophrenia. Two cases are described in which olanzapine was used (IS an
adjunct to lithium in treating mania in patients with bipolar disorder. In both
cases the lithium-olanzapine combination was more effective and better tolerated
than a previous combination of lithium with a traditional antipsychotic.
Olanzapine may offer significant advantages over traditional antipsychotics in
the treatment of mania, but controlled trials are needed to confirm this.
PMID- 24927210
TI - Childhood hyperkinetic disorder/attention deficit disorder grown up.
AB - There is growing evidence for the validity of the diagnosis of Attention Deficit
Hyperkinetic Disorder (ADHD) in adults, as well as evidence that conduct disorder
in adolescents and dissocial personality disorder in adult life are linked to
ADHD in childhood. Methylphenidate is an effective treatment of ADHD in
adolescents and young adults and it is possible that dissocial personality
disorder will respond to methylphenidate. There is no evidence that
methylphenidate reduces symptoms of conduct disorder in adolescents or of
dissocial personality disorder in young adults, because no studies have evaluated
methylphenidate in these conditions. We recorded a dramatic improvement in
symptoms, predominantly of conduct disorder, in an adolescent with a previous
history of ADHD. Trials of methylphenidate are required in adolescents with
conduct disorder and young people with dissocial personality disorder, when there
is a past history of probable ADHD.
PMID- 24927211
TI - Topics in contemporary psychiatric practice: Risk.
PMID- 24927214
TI - Neutral color tuning of polymer electrochromic devices using an organic dye.
AB - Herein, we present a facile, one-step method to color tune electrochromic devices
(ECDs) that switch between two neutral colors via in situ electrochemical
polymerization of electroactive monomers in the presence of a small molecule
organic yellow dye using all commercially available materials. These devices
exhibited photopic contrasts of ca. 30% without background correction when
assembled on flexible PET-ITO substrates. In addition, devices exhibited
switching speeds as low as 1 second, color uniformity, and stability. Large
defect free ECDs of 100 cm(2) were fabricated exceeding the active switch area
required for goggles, lenses, and small display applications.
PMID- 24927215
TI - First oxido-bridged cubo-octahedral hexanuclear rhenium clusters.
AB - The first discrete hexanuclear metal clusters with cores adopting the M6(MU-O)12
cubo-octahedral topology have been synthesized in the course of a simple one-pot
reaction. We present a new class of rhenium clusters which are the first
hexanuclear rhenium complexes with 12 bridging ligands and the first clusters
with octahedrally arranged Re atoms bridged only by O atoms forming a unique cube
like Re6(MU-O)12 unit. Our synthetic strategy demonstrates a new approach to the
syntheses of polynuclear rhenium complexes under mild conditions. We discovered
that the [Re6(MU-O)12(3-Mepy)6]BPh4 cluster compound has the ability to undergo
reversible or/and quasireversible redox reactions without changing spatial
structure and overall geometry. Subsequently, a reduction reaction of [Re6(MU
O)12(3-Mepy)6]BPh4 was performed successfully and almost quantitatively resulting
in the formation of the molecular [Re6(MU-O)12(3-Mepy)6] complex.
PMID- 24927216
TI - Bundled assembly of helical nanostructures in polymeric micelles loaded with
platinum drugs enhancing therapeutic efficiency against pancreatic tumor.
AB - Supramolecular assemblies of amphiphilic block copolymers having polypeptide
segments offer significant advantages for tailoring spatial arrangement based on
secondary structures in their optically active backbones. Here, we demonstrated
the critical effect of alpha-helix bundles in cisplatin-conjugated poly(L- (or D
)glutamate) [P(L(or D)Glu)-CDDP] segment on the packaging of poly(ethylene
glycol) (PEG)-P(L(or D)Glu)-CDDP block copolymers in the core of polymeric
micelles (CDDP/m) and enhanced micelle tolerability to harsh in vivo conditions
for accomplishing appreciable antitumor efficacy against intractable pancreatic
tumor by systemic injection. CDDP/m prepared from optically inactive PEG-poly(D,L
glutamate) (P(D,LGlu)), gradually disintegrated in the bloodstream, resulting in
increased accumulation in liver and spleen and reduced antitumor efficacy.
Alternatively, CDDP/m from optically active PEG-P(L(or D)Glu) maintained micelle
structure during circulation, and eventually attained selective tumor
accumulation while reducing nonspecific distribution to liver and spleen.
Circular dichroism and small-angle X-ray scattering measurements indicated
regular bundled assembly of alpha-helices in the core of CDDP/m from PEG-P(L(or
D)Glu), which is suggested to stabilize the micelle structure against dilution in
physiological condition. CDDP/m suffered corrosion by chlorides in medium, yet
the optically active micelles with alpha-helix bundles kept the micelle structure
for prolonged time, with slowly releasing unimers and dimers from the surface of
the bundled core in an erosion-like process, as verified by ultracentrifugation
analysis. This is in sharp contrast with the abrupt disintegration of CDDP/m from
PEG-P(D,LGlu) without secondary structures. The tailored assembly in the core of
the polymeric micelles through regular arrangement of constituting segments is
key to overcome their undesirable disintegration in bloodstream, thereby
achieving efficient delivery of loaded drugs into target tissues.
PMID- 24927217
TI - The PI3K/mTOR dual inhibitor NVP-BEZ235 reduces the growth of ovarian clear cell
carcinoma.
AB - Patients with clear cell carcinoma of the ovary (OCCC) have poor survival due to
resistance to standard chemotherapy. OCCC has frequent activating mutations of
the PIK3CA gene. The present study was conducted to clarify the efficacy of the
inhibition of the PI3K-AKT-mTOR pathway in OCCC. We used 8 OCCC cell lines and 5
ovarian serous adenocarcinoma (OSAC) cell lines. The mutation status of the
PIK3CA and KRAS genes was examined by direct sequencing. The IC50 values of NVP
BEZ235 (BEZ235) and temsirolimus were determined by WST-8 assay. Protein
expression levels of PI3K-AKT-mTOR pathway molecules were examined by western
blotting. Cell cycle distribution was analyzed by flow cytometry. Annexin V
staining was used for detecting apoptosis. We also investigated the effects of
BEZ235 on OCCC tumor growth in a nude mouse xenograft model. Four of the 8 OCCC
cell lines showed a PIK3CA mutation while none of the 5 OSAC cell lines showed a
mutation. The IC50 values of BEZ235 for the OCCC cell lines were lower than these
values for the OSAC cell lines. The IC50 value of temsirolimus was higher than
BEZ235 in the OCCC cell lines. The PIK3CA mutation was more frequently noted in
OCCC than OSAC cells, but the sensitivity of these cell lines to BEZ235 or
temsirolimus was not related to the mutation status. pHER3 and pAkt proteins were
expressed more frequently in OCCC compared with OSAC. However, protein expression
levels were distributed widely, and were not related to the sensitivity.
Treatment with BEZ235 suppressed expression of pAkt, although treatment with
temsirolimus did not. OCCC cells exhibited G1 phase arrest after treatment with
BEZ235 and apoptosis with a higher concentration of the agent. BEZ235
significantly inhibited tumor growth in mice bearing OVISE and TU-OC-1 cell
tumors. The present study indicated that the PI3K-AKT-mTOR pathway is a potential
target for OCCC, and that BEZ235 warrants investigation as a therapeutic agent.
PMID- 24927218
TI - Exploring ultrafast dynamics of pyrazine by time-resolved photoelectron imaging.
AB - We present the simulation of time-resolved photoelectron imaging spectra of
pyrazine in the gas phase. The approach we have adopted is based on the
combination of the ab initio nonadiabatic molecular dynamics "on the fly" with an
approximate treatment of the photoionization process using Dyson orbitals and
Coulomb functions to describe the bound and ionized states of the photoelectron.
The method has been implemented (Humeniuk, A.; et al. J. Chem. Phys 2013, 139,
134104) in the framework of the time-dependent density functional theory and has
been applied here to interrogate the ultrafast internal conversion between the S2
and S1 states in pyrazine. Conventional time-resolved photoelectron spectra
without angular resolution fail to locate the S2 -> S1 internal conversion,
because the ionization potentials relevant for the photoionization channels S2 ->
D1 (pi(-1)) and S1 -> D0 (n(-1)) are almost identical. Introducing the angular
resolution in the photoelectron spectra reveals evidence of such ultrafast
internal conversion and provides a more detailed picture of the overall dynamics.
The simulated time- and energy-dependent anisotropy map obtained within the
Dyson/time-dependent density functional theory approach is in good agreement with
its experimental counterpart provided by Horio et al. (Horio, T.; et al. J. Am.
Chem. Soc. 2009, 131, 10932). Our theoretical approach represents a general tool
for mapping the time- and angle-resolved photoelectron spectra in complex systems
and thus can be used to investigate the ultrafast relaxation processes occurring
in isolated molecules.
PMID- 24927219
TI - Trianionic pincer and pincer-type metal complexes and catalysts.
AB - Trianionic pincer and pincer-type ligands are the focus of this review. Metal
ions from across the periodic table, from main group elements, transition metals,
and the rare earths, are combined with trianionic pincer ligands to produce some
of the most interesting complexes to appear in the literature over the past
decade. This review provides a comprehensive examination of the synthesis,
characterization, properties, and catalytic applications of trianionic pincer
metal complexes. Some of the interesting applications employing trianionic pincer
and pincer-type complexes include: (1) catalyzed aerobic oxidation, (2) alkene
isomerization, (3) alkene and alkyne polymerization, (4) nitrene and carbene
group transfer, (5) fundamental transformations such as oxygen-atom transfer, (6)
nitrogen-atom transfer, (7) O2 activation, (8) C-H bond activation, (9) disulfide
reduction, and (10) ligand centered storage of redox equivalents (i.e. redox
active ligands). Expansion of the architecture, type of donor atoms, chelate ring
size, and steric and electronic properties of trianionic pincer ligands has
occurred rapidly over the past ten years. This review is structured according to
the type of pincer donor atoms that bind to the metal ion. The type of donor
atoms within trianionic pincer and pincer-type ligands to be discussed include:
NCN(3-), OCO(3-), CCC(3-), redox active NNN(3-), NNN(3-), redox active ONO(3-),
ONO(3-), and SNS(3-). Since this is the first review of trianionic pincer and
pincer-type ligands, an emphasis is placed on providing the reader with in-depth
discussion of synthetic methods, characterization data, and highlights of these
complexes as catalysts.
PMID- 24927220
TI - Dimethylsilyl bis(amidinate)actinide complexes: synthesis and reactivity towards
oxygen containing substrates.
AB - The reactivity of the monoanionic amidinate ligand [(CH3)3CNC(Ph)NSiMe2NC(Ph)
NHC(CH3)3]Li (1) with a silyl amido side arm towards the early actinides, uranium
and thorium, was investigated. While the salt metathesis reaction with
ThCl4(thf)3 afforded the bis(amidinate)thorium(iv) dichloride complex
[(CH3)3CNC(Ph)NSi(CH3)2NC(Ph)-NHC(CH3)3]ThCl2 (2) in high yield, the reaction of
ligand 1 with UCl4 leads to a Lewis acid supported nucleophilic attack of an
incoming ligand unit, yielding the trichloro uranium complex
[(CH3)3CNC(Ph)Si(CH3)2-N(C(CH3)3)C(Ph)NSi(CH3)2NC(Ph)N-(C(CH3)3]UCl3 (4). The
exposure of in situ formed complex 2 to wet THF solutions (<1% w of water), gave
the mono(amidinate)Th(iv)(chloro)(bis-hydroxo) dimeric complex
[(CH3)3CNC(Ph)NSiMe2NC(Ph)NHC(CH3)3Th(OH)2(Cl)]2.(3) as bright red needles,
exhibiting extremely short Th-OH bond distances (1.741(5) A and 1.737(5) A). The
reactivity of the thorium complex 2 in the ring opening polymerization (ROP) was
studied, showing high activity. Thermodynamic and kinetic measurements were
performed to shed light on the mechanism for the ROP.
PMID- 24927221
TI - Surface asymmetry of coated spherical nanoparticles.
AB - We validate the nonspherical grafting arrangement of isotropically coated
spherical nanoparticles as very recently proposed. We utilize localized surface
plasmon resonance enhanced dynamic polarized and depolarized light scattering
from Au nanoparticles, the spherical symmetry of which was revealed by single
particle dark-field spectroscopy. The same Au nanospheres are grafted with
ligands of different chemistry and length. The wavelength dependent
depolarization ratio and the two transport coefficients of these nanoparticles,
obtained from the dynamic light scattering experiment, can only be reconciled
with the TEM data, the single UV/vis extinction spectrum, and the dark-field
spectroscopy experiments if their coating is described as asymmetric. Spatially
anisotropic graft distribution on spherical nanoparticles impacts their assembly
and understanding its origin will help control the structure and properties of
polymer nanocomposites.
PMID- 24927222
TI - A novel 2-step culture model for long-term in vitro maintenance of human
pancreatic acinar cells.
AB - OBJECTIVES: Because of rapid loss of functional differentiation that regularly
occurs in vitro, culture systems permitting long-term studies on pancreatic
acinar cells pose a major technical challenge. We recently described a method for
long-term cultivation of mouse acinar cells. Here, we introduce a novel 2-step
culture system for human pancreatic acinar cells. METHODS: The system involves 2
successive culture phases, which are as follows: primary organotypic culture of
isolated acinar clusters under soft Matrigel (BD Biosciences, Bedford, Mass;
range, 2-3 days) followed by dissociation and secondary monolayer culture of
acinar cells (4 days). Basal and agonist-induced amylase secretion was used to
assess the secretory capability. RESULTS: Acinar clusters showed excellent
morphology and stable basal amylase secretion throughout primary culture.
Carbachol (0.1 mM/L) increased amylase secretion 1.4-fold (P = 0.021) versus
basal in 3 independent 4-day secondary cultures. Despite the controversy about
the presence and roles of cholecystokinin receptors in human acinar cells, one of
them also responded to 0.1 and 10 nM/L concentrations of caerulein with 1.9- and
1.4-fold increases in the rate of amylase secretion, respectively. CONCLUSIONS:
Our technique allows cultured human acinar cells to maintain secretory
differentiation for a minimum of 7 days. The technique provides novel prospects
for in vitro modeling of the human exocrine pancreas.
PMID- 24927223
TI - Porphodilactones as synthetic chlorophylls: relative orientation of beta
substituents on a pyrrolic ring tunes NIR absorption.
AB - Porphodilactones represent the porphyrin analogues, in which the peripheral bonds
of two pyrrole rings are replaced by lactone moieties. They provide an
opportunity to investigate how beta-substituent orientation of porphyrinoids
modulates the electronic structures and optical properties, in a manner similar
to what is observed with naturally occurring chlorophylls. In this work, a
comprehensive description of the synthesis, characterization, and optical
properties of meso-tetrakispentafluorophenylporphodilactone isomers is first
reported. The beta-dilactone moieties are found to lie at opposite pyrrole
positions (trans- and cis-configurations are defined by the relative orientations
of the carbonyl group when one lactone moiety is fixed), in accordance with
earlier computational predictions (Gouterman, M. J. Am. Chem. Soc. 1989, 111,
3702). The relative orientation of the beta-dilactone moieties has a significant
influence on the electronic structures and photophysical properties. For example,
the Qy band of trans-porphodilactone is red-shifted by 19 nm relative to that of
the cis-isomer, and there is a 2-fold increase in the absorption intensity, which
resembles the similar trends that have been reported for natural chlorophyll f
and d. An in depth analysis of magnetic circular dichroism spectral data and TD
DFT calculations at the B3LYP/6-31G(d) level of theory demonstrates that the
trans- and cis-orientations of the dilactone moieties have a significant effect
on the relative energies of the frontier pi-molecular orbitals. Importantly, the
biological behaviors of the isomers reveal their different photocytotoxicity in
NIR region (>650 nm). The influence of the relative orientation of the beta
substituents on the optical properties in this context provides new insights into
the electronic structures of porphyrinoids which could prove useful during the
development of near-infrared absorbing photosensitizers.
PMID- 24927224
TI - Learning curve for endoscopic submucosal dissection of gastric submucosal tumors:
is it more difficult than it may seem?
AB - BACKGROUND: Endoscopic submucosal dissection (ESD), as a minimally invasive
technique, is gaining wide acceptance for treating epithelial neoplasms. More
recently, some pioneers have developed ESD for the treatment of submucosal tumors
(SMTs), but characterization of the learning curve is lacking. In this study we
aimed to evaluate the learning curve for ESD of gastric SMTs. SUBJECTS AND
METHODS: From September 2008 to April 2011, ESD was performed in 50 consecutive
patients with gastric SMTs by a single experienced endoscopist at our high-volume
institution. The cumulative sum (CUSUM) method was performed to analyze the
shifts in operative time (OT) and consequently to investigate the learning curve.
RESULTS: Analysis of the OT using the CUSUM method identified two distinct
phases: Phase 1 (the initial 32 cases) and Phase 2 (the remaining 18 cases).
Phase 1 represented the initial learning period, whereas Phase 2 showed the more
skilled and higher proficiency period, with a significant reduction in OT (90+/
29 minutes versus 55+/-20 minutes; P<.0001). The two phases did not differ
significantly with respect to patient characteristics and other perioperative
parameters. CONCLUSIONS: Mastery of operative technique for ESD of SMTs is
evident by a decrease in OT identified by CUSUM graphs. For endoscopists
competent in basic endoscopic intervention skills, the learning curve should be
achieved after approximately 32 cases. Offering this minimally invasive
endoscopic intervention does not result in increased complication rate even in
the early phase of the learning curve.
PMID- 24927225
TI - The effect of out-of-home activity intervention delivered by volunteers on
depressive symptoms among older people with severe mobility limitations: a
randomized controlled trial.
AB - OBJECTIVES: To examine the effects of an individualized outdoor activity
intervention carried out by volunteers on depressive symptoms among community
living older people with severe mobility limitations who have difficulties
accessing the outdoors independently. METHODS: Secondary analyses of the
'Volunteering, Access to Outdoor Activities and Wellbeing in Older People' (VOW)
data (ISRCTN56847832). VOW was a randomized single blinded two-arm controlled
trial conducted in Jyvaskyla, Finland, in 2009-2011. At baseline, 121 people aged
67-92 years with severe mobility limitations were interviewed at home and
randomized into either an intervention or waiting list control group. Volunteers
(n = 47) had retired from regular work and were trained for the study. A
volunteer assisted the participant in attending recreational out-of-home
activities once a week for three months. Depressive symptoms were assessed using
the Center for the Epidemiological Studies Depression Scale (CES-D). RESULTS: In
the intervention group the CES-D score did not change during the intervention
(from 15.1 +/- standard error 0.9 to 15.1 +/- 0.9), while in the control group it
increased from 17.0 +/- 1.3 to 19.1 +/- 1.4 (intervention effect p = .096). Among
the subgroups with minor depressive symptoms at baseline (CES-D score 16-20), the
CES-D score decreased in the intervention group and increased in the control
group (p = .025). CONCLUSION: A three-month outdoor activity intervention may
improve mood among older people with severe mobility limitations. More randomized
controlled trials of the topic are needed.
PMID- 24927226
TI - Improved light absorption and charge transport for perovskite solar cells with
rough interfaces by sequential deposition.
AB - Recently, highly efficient solar cells based on organic-inorganic perovskites
have been intensively reported for developing fabricating methods and device
structures. Additional power conversion efficiency should be gained without
increasing the thickness and the complexity of the devices to accord with
practical applications. In this paper, a rough interface between perovskite and
HTM was fabricated in perovskite solar cells to enhance the light scattering
effect and improve the charge transport. The parameters related to the morphology
have been systematically investigated by sequential deposition. Simultaneous
enhancements of short-circuit current and power conversion efficiency were
observed in both CH3NH3PbI3 and CH3NH3PbI3-xClx devices containing the rough
interface, with power conversion efficiencies of 10.2% and 10.8%, respectively.
Our finding provides an efficient and universal way to control the morphology and
further optimize perovskite solar cells for devices by sequential deposition with
various structures.
PMID- 24927228
TI - Templated homoepitaxial growth with atomic layer deposition of single-crystal
anatase (101) and rutile (110) TiO2.
AB - Homoepitaxial growth of highly ordered and pure layers of rutile on rutile
crystal substrates and anatase on anatase crystal substrates using atomic layer
deposition (ALD) is reported. The epilayers grow in a layer-by-layer fashion at
low deposition temperatures but are still not well ordered on rutile. Subsequent
annealing at higher temperatures produces highly ordered, terraced rutile
surfaces that in many cases have fewer electrically active defects than the
substrate crystal. The anatase epitaxial layers, grown at 250 degrees C, have
much fewer electrically active defects than the rather impure bulk crystals.
Annealing the epilayers at higher temperatures increased band gap photocurrents
in both anatase and rutile.
PMID- 24927227
TI - Quinone and hydroquinone metabolites from the ascidians of the genus Aplidium.
AB - Ascidians of the genus Aplidium are recognized as an important source of chemical
diversity and bioactive natural products. Among the compounds produced by this
genus are non-nitrogenous metabolites, mainly prenylated quinones and
hydroquinones. This review discusses the isolation, structural elucidation, and
biological activities of quinones, hydroquinones, rossinones, longithorones,
longithorols, floresolides, scabellones, conicaquinones, aplidinones,
thiaplidiaquinones, and conithiaquinones. A compilation of the 13C-NMR spectral
data of these compounds is also presented.
PMID- 24927229
TI - Silencing of hypoxia-inducible adrenomedullin using RNA interference attenuates
hepatocellular carcinoma cell growth in vivo.
AB - Adrenomedullin (ADM) is an angiogenic peptide that has been shown to increase the
risk of endometrial hyperplasia and to promote tumor cell survival following
hypoxia. ADM may induce microvessel proliferation and partially decrease hypoxia
in solid tumors, thus contributing to the proliferation of tumor cells, as well
as tumor invasion and metastasis. However, the impact of hypoxia-induced ADM
expression on hepatocellular carcinoma (HCC) cells requires further elucidation.
In the present study it was found that the levels of ADM mRNA in tumor tissue
from patients with HCC were significantly increased compared with the mRNA levels
in adjacent non-tumorous liver tissue. Under hypoxic conditions, the mRNA and
protein levels of ADM, as well as those of the cancer-promoting genes vascular
endothelial growth factor and hypoxia-inducible factor 1alpha, were significantly
elevated in a time-dependent manner in three human HCC cell lines. In addition,
knockdown of ADM expression using short hairpin RNA (shRNA) in SMMC-7721 cells
resulted in apoptosis that was not observed in untransfected cells. Furthermore,
combined treatment with cisplatin and ADM-shRNA significantly decreased tumor
growth in vivo compared with treatment with cisplatin or ADM-shRNA alone. These
data demonstrate that ADM acts as a critical promoter of cell cycle progression
in HCC and that the inhibition of ADM may be an effective interventional
therapeutic strategy in HCC.
PMID- 24927230
TI - Convergent approach to the tetracyclic core of the apparicine class of indole
alkaloids via a key intermolecular nitrosoalkene conjugate addition.
AB - Readily available methyl 3-formylindol-2-ylacetate and N-tosyl-4-chloro-3
piperidone oxime have been used to construct the tetracyclic skeleton of the
apparicine class of monoterpene indole alkaloids in only four steps in 80%
overall yield. Key transformations in this convergent approach involve use of an
intermolecular ester enolate/nitrosoalkene conjugate addition to form the C-15/16
bond, followed by a reductive cyclization to construct the C-ring of the
tetracycle.
PMID- 24927231
TI - Binding properties of herbicide chlorpropham to DNA: spectroscopic, chemometrics
and modeling investigations.
AB - The binding properties of chlorpropham (CIPC) to calf thymus DNA (ctDNA) were
investigated in vitro by UV-vis absorption, fluorescence, circular dichroism (CD)
and Fourier transform infrared (FT-IR) spectroscopy coupled with molecular
modeling method. The results obtained from UV-vis absorption, fluorescence and CD
spectroscopic methods as well as DNA viscosity and melting measurements indicated
that the binding of CIPC to ctDNA was an intercalative mode. The FT-IR analysis
and molecular modeling showed that CIPC mainly bound to guanine base of ctDNA.
The association constant of the ctDNA-CIPC complex was determined to be in the
order of 10(4) L mol(-1) by fluorescence titration. The calculated enthalpy
change and entropy change suggested that hydrophobic forces and hydrogen bonds
played prominent roles in the binding process. Furthermore, multivariate curve
resolution-alternating least squares (MCR-ALS) approach was used to analyze the
combined UV-vis absorption data matrix from the CIPC-ctDNA reaction system. The
concentration profiles of the reaction components (CIPC, ctDNA and CIPC-ctDNA
complex) and their pure spectra were successfully obtained to monitor the process
of CIPC interaction with ctDNA. This study may contribute to the understanding of
the CIPC-ctDNA interaction mechanism and toxicological effect of CIPC at the
molecular level.
PMID- 24927232
TI - Effect of a combination of green and blue monochromatic light on broiler immune
response.
AB - Our previous study suggested that green light or blue light would enhance the
broiler immune response; this study was conducted to evaluate whether a
combination of green and blue monochromatic light would result in improved immune
response. A total of 192 Arbor Acre male broilers were exposed to white light,
red light, green light, and blue light from 0 to 26 days. From 27 to 49 days,
half of the broilers in green light and blue light were switched to blue light (G
B) and green light (B-G), respectively. The levels of anti-Newcastle disease
virus (NDV) and anti-bovine serum albumin (BSA) IgG in G-B group were elevated by
11.9-40.3% and 17.4-48.7%, respectively, compared to single monochromatic lights
(P<0.05). Moreover, the proliferation of peripheral blood T and B lymphocytes and
the IL-2 concentration in the G-B groups increased by 10.4-36.2%, 10.0-50.0% and
24.7-60.3% (P<0.05), respectively, compared with the single monochromatic light
groups. However, the serum TNF-alpha concentration in the G-B group was reduced
by 3.64-40.5% compared to other groups, and no significant difference was found
between the G-B and B-G groups in any type of detection index at the end of the
experiment. These results suggested that the combination of G-B and B-G
monochromatic light could effectively enhance the antibody titer, the
proliferation index of lymphocytes and alleviate the stress response in broilers.
Therefore, the combination of green and blue monochromatic light can improve the
immune function of broilers.
PMID- 24927233
TI - Pyrrolidine dithiocarbamate inhibits UVB-induced skin inflammation and oxidative
stress in hairless mice and exhibits antioxidant activity in vitro.
AB - Ultraviolet B (UVB) irradiation may cause oxidative stress- and inflammation
dependent skin cancer and premature aging. Pyrrolidine dithiocarbamate (PDTC) is
an antioxidant and inhibits nuclear factor-kappaB (NF-kappaB) activation. In the
present study, the mechanisms of PDTC were investigated in cell free
oxidant/antioxidant assays, in vivo UVB irradiation in hairless mice and UVB
induced NFkappaB activation in keratinocytes. PDTC presented the ability to
scavenge 2,2'-azinobis-(3-ethyl benzothiazoline-6-sulfonic acid) radical (ABTS),
2,2-diphenyl-1-picryl-hydrazyl radical (DPPH) and hydroxyl radical (OH); and also
efficiently inhibited iron-dependent and -independent lipid peroxidation as well
as chelated iron. In vivo, PDTC treatment significantly decreased UVB-induced
skin edema, myeloperoxidase (MPO) activity, production of the proinflammatory
cytokine interleukin-1beta (IL-1beta), matrix metalloproteinase-9 (MMP-9),
increase of reduced glutathione (GSH) levels and antioxidant capacity of the skin
tested by the ferric reducing antioxidant power (FRAP) and ABTS assays. PDTC also
reduced UVB-induced IkappaB degradation in keratinocytes. These results
demonstrate that PDTC presents antioxidant and anti-inflammatory effects in
vitro, which line up well with the PDTC inhibition of UVB irradiation-induced
skin inflammation and oxidative stress in mice. These data suggest that treatment
with PDTC may be a promising approach to reduce UVB irradiation-induced skin
damages and merits further pre-clinical and clinical studies.
PMID- 24927235
TI - Isolation of monovalent quantum dot-nucleic acid conjugates using magnetic beads.
AB - Control of the valency that is achieved in the decoration of quantum dots (QDs)
remains a challenge due to the high surface area of nanoparticles. A population
distribution of conjugates is formed even when reactions involve use of one-to
one molar equivalents of the ligand and QD. Monovalent conjugates are of
particular interest to enable the preparation of multinanoparticle constructs
that afford improved analytical functionality. Herein, a facile method for the
formation and purification of QD-DNA monoconjugates (i.e., 1 DNA per QD) is
described. Using diethylaminoethyl (DEAE) functionalized magnetic beads, a
protocol was developed and optimized to selectively isolate QD-DNA monoconjugates
from a mixture. Monoconjugates prepared with oligonucleotides as short as 19
bases and as long as 36 bases were successfully isolated. The monoconjugates were
isolated in less than 5 min with isolation efficiencies between 68% and 93%,
depending on the length of oligonucleotide that was used. The versatility of the
method was demonstrated by purifying monoconjugates prepared from commercially
available, water-soluble QDs. The isolation of monoconjugates was confirmed using
agarose gel electrophoresis and single molecule fluorescence spectroscopy.
Examples are provided comparing the analytical performance of monoconjugates to
collections of nanoparticles of mixed valencies, indicating the significance of
this separation method to prepare nanomaterials for bioassay design.
PMID- 24927236
TI - PCR-RFLP detection of point mutations A2143G and A2142G in 23S rRNA gene
conferring resistance to clarithromycin in Helicobacter pylori strains.
AB - BACKGROUND: The occurrence of clarithromycin resistance among Helicobacter pylori
strains is a major cause of the treatment failure. Resistance to this drug is
conferred by point mutations in 23S rRNA gene and the most prevalent mutations
are A2143G and A2142G. The aim of the study was to evaluate the occurrence of
A2143G and A2142G mutations in a group of H. pylori strains resistant to
clarithromycin. MATERIALS AND METHODS: The study included 21 clarithromycin
resistant H. pylori strains collected between 2006 and 2009 in southern Poland.
Resistance to clarithromycin was quantitatively tested with the E-test to
determine the minimal inhibitory concentration (MIC value). The point mutations
of H. pylori isolates were detected by PCR followed by RFLP analysis. RESULTS:
The MIC values for clarithromycin for the analyzed strains ranged from 1.5 mg/L
to 64 mg/L. Nine H. pylori strains exhibited A2143G mutation and A2142G mutation
was found in 9 isolates as well. The results of RFLP analysis of 3 clarithromycin
resistant strains were negative for both mutations. The average MIC values for
A2143G and A2142G mutants were 6 and 30 mg/L, respectively. CONCLUSIONS:
Frequencies of A2143G and A2142G mutations were the same in all isolates tested.
Strains with A2143G mutation exhibited lower MIC values than A2142G mutants.
Application of PCR-RFLP method for detection of clarithromycin resistance allows
for better and more efficient management of H. pylori infections.
PMID- 24927237
TI - Application of molecular techniques for the assessment of microorganism diversity
on cultural heritage objects.
AB - As a result of their unpredictable ability to adapt to varying environmental
conditions, microorganisms inhabit different types of biological niches on Earth.
Owing to the key role of microorganisms in many biogeochemical processes, trends
in modern microbiology emphasize the need to know and understand the structure
and function of complex microbial communities. This is particularly important if
the strategy relates to microbial communities that cause biodeterioration of
materials that constitute our cultural heritage. Until recently, the detection
and identification of microorganisms inhabiting objects of cultural value was
based only on cultivation-dependent methods. In spite of many advantages, these
methods provide limited information because they identify only viable organisms
capable of growth under standard laboratory conditions. However, in order to
carry out proper conservation and renovation, it is necessary to know the
complete composition of microbial communities and their activity. This paper
presents and characterizes modern techniques such as genetic fingerprinting and
clone library construction for the assessment of microbial diversity based on
molecular biology. Molecular methods represent a favourable alternative to
culture-dependent methods and make it possible to assess the biodiversity of
microorganisms inhabiting technical materials and cultural heritage objects.
PMID- 24927234
TI - Clinical mechanism of the cystic fibrosis transmembrane conductance regulator
potentiator ivacaftor in G551D-mediated cystic fibrosis.
AB - RATIONALE: Ivacaftor is a cystic fibrosis transmembrane conductance regulator
(CFTR) potentiator recently approved for patients with CF age 6 and older with
the G551D mutation. OBJECTIVES: To evaluate ivacaftor in a postapproval setting
and determine mechanism of action and response of clinically relevant markers.
METHODS: We conducted a longitudinal cohort study in 2012-2013 in G551D CF
patients age 6 and older with no prior exposure to ivacaftor. Study assessments
were performed at baseline, 1, 3, and 6 months after ivacaftor initiation.
Substudies evaluated mucociliary clearance, beta-adrenergic sweat secretion rate,
gastrointestinal pH, and sputum inflammation and microbiology Measurements and
Main Results: A total of 151 of 153 subjects were prescribed ivacaftor and 88%
completed the study through 6 months. FEV1 % predicted improved from baseline to
6 months (mean absolute change, 6.7%; P < 0.001). Similarly, body mass index
improved from baseline to 6 months (mean change, 0.8 kg/m(2); P < 0.001). Sweat
chloride decreased from baseline to 6 months (mean change, -53.8 mmol/L; 95%
confidence interval, -57.7 to -49.9; P < 0.001), reflecting augmented CFTR
function. There was significant improvement in hospitalization rate (P < 0.001)
and Pseudomonas aeruginosa burden (P < 0.01). Significant improvements in
mucociliary clearance (P < 0.001), gastrointestinal pH (P = 0.001), and
microbiome were also observed, providing clinical mechanisms underlying the
therapeutic benefit of ivacaftor. CONCLUSIONS: Significant clinical and
physiologic improvements were observed on initiation of ivacaftor in a broad
patient population, including reduced infection with P. aeruginosa. Biomarker
studies substantially improve the understanding of the mechanistic consequences
of CFTR modulation on pulmonary and gastrointestinal physiology.
PMID- 24927238
TI - SpaCBA sequence instability and its relationship to the adhesion efficiency of
Lactobacillus casei group isolates to Caco-2 cells.
AB - The ability to adhere to enterocytes is one of the key features of probiotics.
This process involves a number of factors, among which the important role of pili
was demonstrated. Some Lactobacillus species are confirmed to have heterotrimeric
spaCBA type pili. The aim of this study was to identify spaCBA pili in strains of
selected Lactobacillus spp. and assess the impact of their presence and sequence
polymorphism on the adhesion of these strains to enterocytes. Total 20 bacterial
strains of L. rhamnosus, L. casei and L. paracasei were tested. The presence of
pilus specific proteins coding genes spaA, spaB and spaC was verified by PCR in
order to identify the presence of sequence polymorphism in the genes possibly
affecting the structure of the spaCBA pilus. To correlate spaCBA polymorphism to
adhesion capability the adhesion assay was carried out using Caco-2 cell line.
The effectiveness of the adhesion was measured using a scintillation counter. The
Lactobacillus strains analyzed showed the adhesion to Caco-2 enterocytes
capability from 0.6% to 19.6%. The presence of spaCBA pili is a factor increasing
the adhesion efficiency of Lactobacillus spp. to Caco-2 enterocytes. Lack of
these structures on the surface of bacterial cells results in the reduction in
adhesion efficiency, indicating its important role in the adhesion process. But
not in all cases the correlation between the presence of protein spaCBA
structures and adhesion efficiency was observed, what may indicate the important
role of other factors in adhesion of analyzed strains to Caco-2 cells.
PMID- 24927239
TI - FDG-PET and amyloid-PET imaging: the diverging paths.
AB - PURPOSE OF REVIEW: The availability of PET neuroimaging tools for the in-vivo
assessment of metabolic dysfunction and amyloid burden in Alzheimer's disease has
opened important methodological and practical issues in the diagnostic design and
the conduct of new clinical trials. This review, addressing the different
molecular information that the amyloid-PET and fluorodeoxyglucose-PET (FDG-PET)
tools can provide, highlights their diverging paths in Alzheimer's disease and
possible new perspectives in research and clinical applications. RECENT FINDINGS:
Senile plaques and neurofibrillary tangles are prominent neuropathological
hallmarks in Alzheimer's disease and are considered to be targets for therapeutic
intervention and biomarkers for diagnostic in-vivo imaging agents. Alzheimer's
disease is a slowly progressing disorder, in which pathophysiological
abnormalities, detectable in vivo by PET biomarkers, precede clinical symptoms by
many years to decades. The unitary view of Alzheimer's disease as a sequential
pathological pathway, with beta-amyloid (Abeta) as the only initial and causal
event (the 'amyloid cascade hypothesis'), is likely to be progressively replaced
by a more complex picture, also on the basis of recent PET imaging findings
showing that neuronal injury biomarkers and tau pathology can be independent of
beta-amyloid deposition. SUMMARY: The different molecular paths that PET in-vivo
biomarkers can reveal in the timeframe of Alzheimer's disease progression reflect
the events leading to deposition of Abeta and phosphorylated tau, neuronal injury
and neurodegeneration, which can run in parallel instead of in a sequential
manner. The amyloid and neuronal injury paths may diverge along the Alzheimer's
disease cascade and bear separate relationships with Alzheimer's disease symptoms
and clinical phenotypes. All these evidences are crucial for the diagnosis and
the development of new drugs aimed at slowing or preventing dementia.
PMID- 24927240
TI - Examining the media portrayal of obesity through the lens of the Common Sense
Model of Illness Representations.
AB - This study examined the Irish media discourse on obesity by employing the Common
Sense Model of Illness Representations. A media sample of 368 transcripts was
compiled from newspaper articles (n = 346), radio discussions (n = 5), and online
news articles (n = 17) on overweight and obesity from the years 2005, 2007, and
2009. Using the Common Sense Model and framing theory to guide the investigation,
a thematic analysis was conducted on the media sample. Analysis revealed that the
behavioral dimensions of diet and activity levels were the most commonly cited
causes of and interventions in obesity. The advertising industry was blamed for
obesity, and there were calls for increased government action to tackle the
issue. Physical illness and psychological consequences of obesity were prevalent
in the sample, and analysis revealed that the economy, regardless of its state,
was blamed for obesity. These results are discussed in terms of expectations of
audience understandings of the issue and the implications of these dominant
portrayals and framings on public support for interventions. The article also
outlines the value of a qualitative analytical framework that combines the Common
Sense Model and framing theory in the investigation of illness narratives.
PMID- 24927241
TI - Age-related difference in relationships between cognitive processing speed and
general cognitive status.
AB - General cognitive status (GCS) is a composite of cognitive abilities reflecting
full function. The literature suggests a relationship between cognitive
processing speed and GCS, as well as age-related changes of processing speed on
cognitive performance. Therefore, this study recruited 34 younger and 39 older
adults to verify age-related differences in relationships between cognitive
processing speed and GCS. We measured cognitive processing speed with the
Processing Speed Index of the Wechsler Adult Intelligence Scale. Findings
indicated that cognitive processing speed predicted GCS in older but not younger
adults. Future research may be needed to verify the training effect of processing
speed on GCS. This study also further examined cognitive factors related to
processing speed in aging and the relationships between cognitive processing
speed and verbal fluency, cognitive inhibition, and divided attention. A stepwise
regression analysis indicated that only verbal fluency contributed significantly
to cognitive processing speed in older adults, accounting for 21% of the
variance. These observations suggest that age-related changes of prefrontal
regions may not fully explain age-related decline in cognitive processing speed.
PMID- 24927242
TI - Intensive upconversion luminescence of Na-codoped rare-earth oxides with a novel
RE-Na heterometallic complex as precursor.
AB - Four novel heterometallic RE-Na-organic frameworks, [(RE)Na3(PZTC)2(H2O)4].2H2O
(RE = Yb (1), Ho (2), Er (3), Y (4); PZTC = pyrazine-2,3,5-tricarboxylate), were
synthesized via solvothermal reactions and characterized by IR, elemental
analysis, and single-crystal X-ray diffraction. The results show that the four
complexes are isostructural. In the frameworks, the trinuclear Na cluster and RE
ion acting as nodes are bridged by the multifunctional PZTC ligand to give a 3-D
framework. Codoping in the frameworks was realized due to their isostructural
characteristics. The codoped complexes were calcinated at 800 degrees C to give
rise to the corresponding oxides. Investigation of their photophysical properties
shows that the upconversion luminescence (UCL) of the Ho system is green while
that of the Er system is red upon excitation at 980 nm. With regard to the
luminescence color and intensity, the Er system is preferable to the Ho system
for application in bioimaging. Both the red and the green UCL of the Ho(3+) and
Er(3+) systems involve a two-photon process. In addition, the UCL mechanism is
given. The UCL comparison of Na-doped oxides with non-Na-doped oxides indicates
that doping Na can greatly enhance the UCL of the Er system.
PMID- 24927243
TI - Impaired nerve conduction velocity in MPTP-treated mouse model of Parkinson's
disease.
AB - Electrophysiological examination can provide valuable information on functional
abnormalities in patients with Parkinson's disease (PD). Although there are
numerous reports on biochemical and molecular alterations in 1-methyl-4-phenyl
1,2,3,6-tetrahydropyridine (MPTP)-induced experimental parkinsonism in mice, the
mode of electrophysiology in this animal model of PD is not clear. This study
provides a comparative evaluation of corticomotor evoked potential (CMEP),
compound muscle action potential (CMAP) and motor nerve conduction velocity (NCV)
in mice treated with MPTP (30 mg/kg, ip, daily for 4 days) or saline (control
group). Although the CMEP latencies were similar in both the groups, the CMEP
amplitude was non-significantly decreased in MPTP-treated mice. There was a
significant increase in the CMAP latency (1.37 +/- 0.03 versus 1.20 +/- 0.02 ms)
and decrease in CMAP amplitude (4.50 +/- 0.89 versus 8.31 +/- 0.86 mV) in MPTP
treated mice as compared with control group. This prolonged conduction time
resulted in a significant decrease in NCV in MPTP-treated mice (21.98 +/- 0.54
m/s) as compared with control mice (24.47 +/- 0.33 m/s). There was a significant
depletion of striatal dopamine in MPTP-treated animals. These findings
demonstrate that systemic administration of MPTP significantly impairs both the
central and peripheral nervous systems in mice. However, the resemblance of this
neurophysiological status with idiopathic PD or other animal models of PD is not
clear and requires additional studies.
PMID- 24927244
TI - Combination therapy utilizing ketamine and transcranial magnetic stimulation for
treatment-resistant depression: a case report.
AB - In the present article, we report on the case of a 23-year-old woman with a
history of treatment-resistant depression who achieved significant symptom
improvement with a novel treatment consisting of ketamine, a dissociative
anesthetic, and external neuromodulation with transcranial magnetic stimulation
(TMS). This case highlights the need for further investigation of treatments
pairing external neuromodulation with dissociative anesthetics.
PMID- 24927245
TI - Comparing robotic surgery with conventional laparoscopy and laparotomy for
cervical cancer management.
AB - OBJECTIVE: The aim of this study was to compare the outcomes of robotic surgery,
laparoscopy, and laparotomy for the surgical treatment of stage IA to IIB
cervical cancer. METHODS: This retrospective study was carried out in a
university-affiliated teaching hospital. A total of 100 women with an initial
diagnosis of stage IA to IIB cervical cancer, without preoperative brachytherapy
or chemotherapy, were included in this study. With selection of the cases, 44
patients received laparotomy surgery, 32 patients received laparoscopic surgery,
and 24 patients received robotic surgery. The perioperative parameters measured
included operation time, blood loss, transfusion rate, lymph node yield, adhesion
score, laparotomy conversion rate, postoperative and 24-hour pain scores, time to
full diet resumption, and hospital stay. The perioperative complication and
disease-free survival were also evaluated. RESULTS: The robotic group showed a
shorter operation time, less blood loss, lower transfusion rate, and lower
laparotomy conversion rate than the laparoscopic or laparotomy group. As for the
postoperative parameters, the robotic group showed reduced postoperative and 24
hour pain scores, shortened length of hospital stay, and decreased time to full
diet resumption compared with the other 2 surgical groups. No significant
differences were found between the groups in perioperative complication rate or
disease-free survival. CONCLUSIONS: The data suggested that robotic surgery is a
feasible and potentially optimal option for the treatment of stage IA to IIB
cervical cancer with favorable short-term surgical outcomes.
PMID- 24927247
TI - Impact of body mass index on surgical outcomes and analysis of disease recurrence
for patients with endometrial cancer undergoing robotic-assisted staging.
AB - OBJECTIVE: This study aimed to evaluate the impact of body mass index (BMI) on
the short- and long-term outcomes of patients with endometrial cancer who
underwent robotic-assisted staging and to analyze disease recurrence and
recurrence-free survival (RFS). MATERIALS AND METHODS: The charts of all
consecutive patients with endometrial cancer who underwent robotic surgery from
March 2007 to October 2012 were analyzed. Patients with follow-up less than 12
months after surgery were censored from the RFS analysis. RESULTS: Mean (SD) age
for the 364 patients was 63.6 (10) years, and mean (SD) BMI was 34.8 (10.1) kg/m.
Conversions were in 3 (0.8%) of 364 cases. The mean (SD) operative time was 162.3
(54.6) minutes. Mean (SD) postoperative hospitalization was 1.6 (1.9) days.
Histology included 80.5% endometrioid and 19.5% clear cell, serous, and
carcinosarcomas. Mean (SD) pelvic and paraaortic lymph node counts were 15.9
(8.2) and 3.6 (4.3), respectively. Metastatic disease was diagnosed in 58 (16%)
of 364 patients. The median follow-up was 29.3 months. The recurrence rates were
4.1% for the patients with endometrioid carcinoma and 14.1% for nonendometrioid
histologies. Recurrences in patients with BMI less than 30 kg/m accounted for
68.2% of all recurrences (15/22 patients). The rest of recurrences (7/22
patients, 31.8%) were in obese patients. Moreover, when analyzed for each
histologic subtype, recurrence rates were consistently higher for patients with
BMI less than 30 kg/m when compared with patients with BMI greater than 30 kg/m.
The 3-year overall survival was 98.2%, and the 3-year RFS was 92%. CONCLUSIONS:
Obesity and morbid obesity did not affect adversely the operative outcomes for
patients with endometrial cancer who were operated on using the robotic system.
The recurrence rates were lower for patients with BMI greater than 30 kg/m
compared with patients with BMI less than 30 kg/m for both endometrioid and
nonendometrioid cancers.
PMID- 24927246
TI - Quality of life, body mass index, and physical activity among uterine cancer
patients.
AB - OBJECTIVE: The purpose of this study was to assess the independent and joint
effects of body mass index (BMI) and physical activity (PA) on overall quality of
life (QoL) in survivors of uterine cancer. METHODS: We conducted a survey among
uterine cancer patients who received curative therapy at the University of
Pennsylvania between 2006 and 2010. Surveys assessed the weight, height, PA
(college alumnus survey), and QoL (Functional Assessment of Cancer Therapy
Gynecologic Oncology Group). RESULTS: The response rate to the survey was 43%.
Among 213 patients, the mean (SD) BMI was 31.1 (8.9) kg/m, and 48% reported
greater than or equal to 150 min.wk of PA. Higher BMI was independently
associated with poorer overall QoL (P = 0.050), including physical (P = 0.002)
and functional well-being (P = 0.008). Higher min.wk of PA was not independently
associated with any QoL outcome. However, among patients who engaged in greater
than or equal to 150 min.wk of PA, the negative association between BMI and
overall QoL was attenuated (P = 0.558), whereas among patients who engaged in
less than 150 min.wk of PA, the negative association between BMI and overall QoL
persisted (P = 0.025). Among patients who engaged in greater than or equal to 150
min.wk of PA, the negative association between BMI and physical and functional
well-being was attenuated (P = 0.765 and P = 0.284), whereas among patients who
engaged in less than 150 min.wk of PA, the negative association between BMI and
physical and functional well-being persisted (P < 0.001 and P = 0.010),
respectively. CONCLUSIONS: Body mass index is associated with poorer QoL among
uterine cancer patients. The findings from this cross-sectional study are
consistent with the hypothesis that endometrial cancer survivors who are able to
perform 150 min/wk of PA may be protected from the negative effects of BMI on
QoL.
PMID- 24927248
TI - Preoperative ultrasound-guided needle biopsy of 63 uterine tumors having high
signal intensity upon T2-weighted magnetic resonance imaging.
AB - OBJECTIVE: The differential diagnosis between uterine sarcoma and benign
leiomyoma is difficult when made only by magnetic resonance imaging (MRI); it
usually requires an additional preoperative diagnostic procedure. We report our
results using ultrasound-guided needle biopsy for these types of uterine tumors.
METHODS: Ultrasound-guided needle biopsy was performed on 63 patients with
uterine smooth muscle tumors suspected of malignancy by MRI. We compared the
results of presurgical biopsy against the postsurgical pathology of the tumor.
RESULTS: Among 63 patients with a high signal intensity of the uterine tumor on
T2-weighted MRI (1 case was undetermined), 12 cases (19.3%) were diagnosed by the
needle biopsy as malignant, and 51 cases (80.6%) were benign. Among the 12
diagnosed as malignant tumors, 11 had surgery performed, and one was treated with
chemotherapy. Among the 51 patients diagnosed with a benign tumor, 27 had surgery
performed, and 24 were put on a wait-and-see clinical follow-up schedule. One of
the 27 surgical patients with a benign tumor had a postsurgical diagnosis of a
low-grade endometrial stromal sarcoma. In the 38 cases where surgery was
performed, we found the sensitivity, specificity, and the positive and negative
predictive values of the needle biopsy were 91.7%, 100%, 100%, and 96.2%,
respectively. CONCLUSIONS: Ultrasound-guided needle biopsy may be a reliable
preoperative diagnostic procedure for uterine tumors with suspected malignancy.
PMID- 24927249
TI - Sentinel lymph node detection using 99mTc combined with methylene blue cervical
injection for endometrial cancer surgical management: a prospective study.
AB - OBJECTIVE: The aim of this study is to evaluate the effectiveness of a combined
technique for sentinel lymph node (SLN) localization and surgical staging of
endometrial carcinoma. METHODS: This is a single-center prospective observational
study carried out from September 2011 to December 2013 including women with a
diagnosis of endometrial cancer and scheduled for surgery. A regional lymph node
mapping was obtained using SPECT-CT (cervical injection of Tc) the day before
surgery. On the day of surgery, methylene blue was injected in the cervical
tissue. The SLNs were identified intraoperatively guided both by a gamma-probe
and visual inspection of the blue dye. A pelvic and/or para-aortic
lymphadenectomy was completed. A histological analysis was performed on all the
removed lymph nodes. We calculated the detection rate for SLN and its negative
predictive value (NPV) for malignancy. RESULTS: Fifty patients underwent surgery.
The SLN was isolated in 46 patients with detection rate of 92% (95% confidence
interval, 80.77-97.78). The mean number of detected SLNs per patient was 1.54
(range, 1-5); the average number of non-SLNs removed was 17 (5-34) per patient.
The most common SLN location was the external iliac lymph node chain, 33
(46.47%). Five SLNs (7.1%) were isolated in the para-aortic chain. Three SLN
cases (5.9%) were positive for malignant cells; the totality of the remaining non
SLNs was negative. The NPV of the SLN was 100% (95% confidence interval, 89.79
99.79). Finally, pathologic findings were 42 endometrioid types (84%), 3
carcinosarcomas (6%), 4 clear cell (8%), and 1 serous papillary tumor (2%).
CONCLUSIONS: The SLN analysis may be useful to assess the presence or absence of
lymph node metastases. Its high NPV may be used as criteria to avoid unnecessary
lymphadenectomies in endometrial cancer patients.
PMID- 24927250
TI - New orally active dual enkephalinase inhibitors (DENKIs) for central and
peripheral pain treatment.
AB - Protecting enkephalins, endogenous opioid peptides released in response to
nociceptive stimuli, is an innovative approach for acute and neuropathic pain
alleviation. This is achieved by inhibition of their enzymatic degradation by two
membrane-bound Zn-metallopeptidases, neprilysin (NEP, EC 3.4.24.11) and
aminopeptidase N (APN, EC 3.4.11.2). Selective and efficient inhibitors of both
enzymes, designated enkephalinases, have been designed that markedly increase
extracellular concentrations and half-lives of enkephalins, inducing potent
antinociceptive effects. Several chemical families of Dual ENKephalinase
Inhibitors (DENKIs) have previously been developed but devoid of oral activity.
We report here the design and synthesis of new pro-drugs, derived from co-drugs
combining a NEP and an APN inhibitor through a disulfide bond with side chains
improving oral bioavailability. Their pharmacological properties were assessed in
various animal models of pain targeting central and/or peripheral opioid systems.
Considering its efficacy in acute and neuropathic pain, one of these new DENKIs,
19-IIIa, was selected for clinical development.
PMID- 24927251
TI - Exploring mutasynthesis to increase structural diversity in the synthesis of
highly oxygenated polyketide lactones.
AB - The enantioselective synthesis of (2R,3R,4E,8E)-3-hydroxy-2,4,8-trimethyldeca-4,8
dienolide (5) by ring-closing metathesis is described. This compound is an
analogue of 3,4-dihydroxy-2,4,6,8-tetramethyldec-8-enolide (4) which is a rare 11
membered lactone produced by the fungus, Botrytis cinerea. Mutasynthetic studies
with compound 5 using two mutants of B. cinerea led to the isolation of four new
highly oxygenated 11-membered lactones (11-14) in which compound 5 has been
stereoselectively epoxidized and hydroxylated at sites that were not easily
accessible by classical synthetic chemistry.
PMID- 24927252
TI - Synergistic effects of ultrasound and sodium hypochlorite (NaOCl) on reducing
Listeria monocytogenes ATCC19118 in broth, stainless steel, and iceberg lettuce.
AB - This study was performed in order to determine whether a combined treatment of
ultrasound and sodium hypochlorite (NaOCl) is more effective than individual
treatment on reducing Listeria monocytogenes ATCC19118 on stainless steel and
iceberg lettuce. The bactericidal effect of ultrasound and NaOCl was investigated
in tryptic soy broth (TSB), on stainless steel and iceberg lettuce. Various
concentrations of NaOCl (50, 100, 150, and 200 ppm) were tested along with
various ultrasound treatment times (5, 20, 40, 60, 80, and 100 min). The combined
treatment of ultrasound and NaOCl resulted in greater bacterial reductions than
either treatment alone, without causing any significant changes in lettuce
texture. The synergistic values of combined ultrasound and NaOCl treatments in
TSB, on stainless steel, and on iceberg lettuce were 0.01-0.99 log10 colony
forming units (CFU)/mL, 0.01-0.62 log 10 CFU/g, and 0.12-1.66 log10 CFU/g,
respectively. These results suggest that the combination of ultrasound and NaOCl
was more effective than each treatment against Listeria monocytogenes, and that
this combination can effectively sanitize fresh products such as iceberg lettuce.
PMID- 24927253
TI - Ad-endostatin treatment combined with low-dose irradiation in a murine lung
cancer model.
AB - Radiation therapy is a conventional strategy for treating advanced lung cancer
yet is accompanied by serious side-effects. Its combination with other
strategies, such as antiangiogenesis and gene therapy, has shown excellent
prospects. As one of the potent endogenous vascular inhibitors, endostatin has
been widely used in the antiangiogenic gene therapy of tumors. In the present
study, LL/2 cells were infected with a recombinant adenovirus encoding endostatin
(Ad-endostatin) to express endostatin. The results showed that LL/2 cells
infected with the Ad-endostatin efficiently and longlastingly expressed
endostatin. In order to further explore the role of Ad-endostatin combined with
irradiation in the treatment of cancer, a murine lung cancer model was
established and treated with Ad-endostatin combined with low-dose irradiation.
The results showed that the combination treatment markedly inhibited tumor growth
and metastasis, and prolonged the survival time of the tumor-bearing mice.
Furthermore, this significant antitumor activity was associated with lower levels
of microvessel density and anoxia factors in the Ad-Endo combined with
irradiation group, and with an increased apoptotic index of tumor cells. In
addition, no serious side-effects were noted in the combination group. Based on
our findings, Ad-endostatin combined with low-dose irradiation may be a rational
alternative treatment for lung cancer and other solid tumors.
PMID- 24927255
TI - A plutonium-based single-molecule magnet.
AB - The magnetic properties of the 5f(5) [tris-(tri-1-pyrazolylborato)
plutonium(III)] complex have been investigated by ac susceptibility measurements,
showing it to be the first plutonium single-molecule magnet; its magnetic
relaxation slows down with decreasing temperature through a thermally activated
mechanism followed by a quantum tunnelling regime below 5 K.
PMID- 24927256
TI - Direct osmolyte-macromolecule interactions confer entropic stability to folded
states.
AB - Protective osmolytes are chemical compounds that shift the protein
folding/unfolding equilibrium toward the folded state under osmotic stresses. The
most widely considered protection mechanism assumes that osmolytes are depleted
from the protein's first solvation shell, leading to entropic stabilization of
the folded state. However, recent theoretical and experimental studies suggest
that protective osmolytes may directly interact with the macromolecule. As an
exemplary and experimentally well-characterized system, we herein discuss poly(N
isopropylacrylamide) (PNiPAM) in water whose folding/unfolding equilibrium shifts
toward the folded state in the presence of urea. On the basis of molecular
dynamics simulations of this specific system, we propose a new microscopic
mechanism that explains how direct osmolyte-macromolecule interactions confer
stability to folded states. We show that urea molecules preferentially accumulate
in the first solvation shell of PNiPAM driven by attractive van der Waals
dispersion forces with the hydrophobic isopropyl groups, leading to the formation
of low entropy urea clouds. These clouds provide an entropic driving force for
folding, resulting in preferential urea binding to the folded state and a
decrease of the lower folding temperature in agreement with experiment. The
simulations further indicate that thermodynamic nonideality of the bulk solvent
opposes this driving force and may lead to denaturation, as illustrated by
simulations of PNiPAM in aqueous solutions with dimethylurea. The proposed
mechanism provides a new angle on relations between the properties of protecting
and denaturing osmolytes, salting-in or salting-out effects, and solvent
nonidealities.
PMID- 24927257
TI - On reproductive justice: 'domestic violence', rights and the law in India.
AB - In this paper we draw attention to the difficulty of accessing reproductive
rights in the absence of effective state and legal guarantees for gender equity
and citizenship, and argue that if reproductive rights are to be meaningful
interventions on the ground, they must be reframed in terms of reproductive
justice. Drawing on multi-sited ethnographic fieldwork conducted in Rajasthan,
Northwest India, we track two dynamic legal aid interventions on reproductive
health rights in India, concerned with domestic violence and maternal mortality
respectively, that have sought to fill this existing gap between ineffective
state policies and the rhetoric on reproductive rights. Through an analysis of
these interventions, we propose that requirements of reproductive justice cannot
be met through discrete or private, albeit creative legal initiatives, pursued by
individuals or civil society organisations but must involve comprehensive
policies as well as strategies and alliances between state, non-state,
transnational organisations and progressive political groups.
PMID- 24927258
TI - Increased growth-inhibitory and cytotoxic activity of arsenic trioxide in head
and neck carcinoma cells with functional p53 deficiency and resistance to EGFR
blockade.
AB - BACKGROUND AND PURPOSE: Mutations in the p53 gene are frequently observed in
squamous cell carcinoma of the head and neck region (SCCHN) and have been
associated with drug resistance. The potential of arsenic trioxide (ATO) for
treatment of p53-deficient tumor cells and those with acquired resistance to
cisplatin and cetuximab was determined. MATERIAL AND METHODS: In a panel of 10
SCCHN cell lines expressing either wildtype p53, mutated p53 or which lacked p53
by deletion the interference of p53 deficiency with the growth-inhibitory and
radiosensitizing potential of ATO was determined. The causal relationship between
p53 deficiency and ATO sensitivity was evaluated by reconstitution of wildtype
p53 in p53-deficient SCCHN cells. Interference of ATO treatment with cell cycle,
DNA repair and apoptosis and its efficacy in cells with acquired resistance to
cisplatin and cetuximab was evaluated. RESULTS: Functional rather than structural
defects in the p53 gene predisposed tumor cells to increased sensitivity to ATO.
Reconstitution of wt p53 in p53-deficient SCCHN cells rendered them less
sensitive to ATO treatment. Combination of ATO with irradiation inhibited
clonogenic growth in an additive manner. The inhibitory effect of ATO in p53
deficient tumor cells was mainly associated with DNA damage, G2/M arrest,
upregulation of TRAIL (tumor necrosis factor-related apoptosis-inducing ligand)
receptors and apoptosis. Increased activity of ATO was observed in cetuximab
resistant SCCHN cells whereas cisplatin resistance was associated with cross
resistance to ATO. CONCLUSIONS: Addition of ATO to treatment regimens for p53
deficient SCCHN and tumor recurrence after cetuximab-containing regimens might
represent an attractive strategy in SCCHN.
PMID- 24927259
TI - The bilirubin albumin ratio in the management of hyperbilirubinemia in preterm
infants to improve neurodevelopmental outcome: a randomized controlled trial-
BARTrial.
AB - BACKGROUND AND OBJECTIVE: High bilirubin/albumin (B/A) ratios increase the risk
of bilirubin neurotoxicity. The B/A ratio may be a valuable measure, in addition
to the total serum bilirubin (TSB), in the management of hyperbilirubinemia. We
aimed to assess whether the additional use of B/A ratios in the management of
hyperbilirubinemia in preterm infants improved neurodevelopmental outcome.
METHODS: In a prospective, randomized controlled trial, 615 preterm infants of 32
weeks' gestation or less were randomly assigned to treatment based on either B/A
ratio and TSB thresholds (consensus-based), whichever threshold was crossed
first, or on the TSB thresholds only. The primary outcome was neurodevelopment at
18 to 24 months' corrected age as assessed with the Bayley Scales of Infant
Development III by investigators unaware of treatment allocation. Secondary
outcomes included complications of preterm birth and death. RESULTS: Composite
motor (100 +/- 13 vs. 101 +/- 12) and cognitive (101 +/- 12 vs. 101 +/- 11)
scores did not differ between the B/A ratio and TSB groups. Demographic
characteristics, maximal TSB levels, B/A ratios, and other secondary outcomes
were similar. The rates of death and/or severe neurodevelopmental impairment for
the B/A ratio versus TSB groups were 15.4% versus 15.5% (P = 1.0) and 2.8% versus
1.4% (P = 0.62) for birth weights <= 1000 g and 1.8% versus 5.8% (P = 0.03) and
4.1% versus 2.0% (P = 0.26) for birth weights of >1000 g. CONCLUSIONS: The
additional use of B/A ratio in the management of hyperbilirubinemia in preterm
infants did not improve their neurodevelopmental outcome. TRIAL REGISTRATION:
Controlled-Trials.com ISRCTN74465643.
PMID- 24927261
TI - "There's a higher power, but He gave us a free will": socioeconomic status and
the intersection of agency and fatalism in infertility.
AB - Existing literature characterizes fatalism as a passive reaction to health in the
face of powerlessness and constructs agency as a more activist perspective based
in self-efficacy and control. Frequently studied together, researchers extol
agency as the appropriate approach to decision-making around health, while
discouraging fatalistic outlooks. Despite associating such beliefs with social
classes-agency with high socioeconomic status (SES) groups and fatalism with low
SES groups-there is little research that compares health beliefs across class
groups. By examining the medicalized condition of infertility among women of both
high and low SES, this study examines how social class shapes reactions to health
and illness. Through 58 in-depth interviews with infertile women in the U.S., we
reveal the complexity of fatalism and agency and the reasons behind that
complexity. We first examine the commonalities among SES groups and their mutual
use of fatalism. We then demonstrate the nuance and continuity between the health
beliefs themselves-fatalism can be agentic and agency can be achieved through
fatalism. In other words, we disrupt the binary construction of health beliefs,
their conflation with social class, and the static application of health beliefs
as psychological attributes, ultimately exposing the classist basis of the
concepts. Doing so can result in improved patient care and reduced health
inequalities.
PMID- 24927262
TI - Letter from the editor.
PMID- 24927260
TI - Perceived stress latent factors and the burnout subtypes: a structural model in
dental students.
AB - BACKGROUND: Students of health-professions suffer high levels of stress and
burnout. The aim of the present study was to evaluate the relationship between
perceived stress latent factors ('tenseness' and 'frustration') and the features
('overload', 'lack of development' and 'neglect') of the three burnout subtypes
('frenetic', 'under-challenged' and 'worn-out', respectively), in a sample of
Spanish dental students. METHODS: The study employed a cross-sectional design. A
sample of Spanish dental students (n = 314) completed the 'Perceived Stress
Questionnaire' and the 'Burnout Clinical Subtype Questionnaire Student Survey'.
The associations among variables were observed by means of structural equation
modelling using the unweighted least squares method from polychoric correlations.
RESULTS: Strong associations among perceived stress factors and the burnout
characteristics were observed, although a distinct pattern of relations was
observed for each burnout subtype. The 'overload' was moderately and positively
associated with both 'tenseness' (0.45), and 'frustration' (0.38) dimensions of
perceived stress; the 'lack of development' was positively associated with the
'frustration' dimension (0.72), but negatively associated with 'tenseness' (
0.69); the 'neglect' showed a weaker positive associated with 'frustration'
(0.41), and a small negative association with 'tenseness' (-0.20). The model was
a very good fit to the data (GFI = 0.96; RSMR = 0.07; AGFI = 0.96; NFI =
0.95; RFI = 0.95). CONCLUSIONS: The stress factors of 'frustration' and
'tenseness' seems to be related in a distinct way to the burnout subtypes in
Spanish dental students. This finding suggests that intervention programs
specifically tailored to these subtypes may be a promising future direction.
PMID- 24927263
TI - Targeted therapies for treatment of recurrent ovarian cancer.
AB - Ovarian cancer remains the leading cause of death among women with gynecologic
malignancies in the United States. Most women with epithelial ovarian cancer
present with advanced disease. Despite good response rates to initial surgery and
chemotherapy, the majority of patients experience relapse and ultimately die of
their disease. A better understanding of the molecular differences underlying the
histologic subtypes of epithelial ovarian cancer has led to recent advances in
targeted therapeutic strategies. Here we review the most promising targeted
therapeutics currently being used for the treatment of recurrent ovarian cancer.
PMID- 24927254
TI - Chemical basis of interactions between engineered nanoparticles and biological
systems.
PMID- 24927264
TI - Treatment selection in metastatic renal cell carcinoma: more confusion or a path
forward?
AB - Meaningful progress has been realized in the treatment of metastatic renal cell
carcinoma with the recent approval of a number of new agents; more new agents are
on the horizon. Despite the recent completion of many clinical trials that have
changed or will change practice, many questions remain. In this manuscript, we
highlight the most noteworthy developments in the first- and second-line
treatment of metastatic renal cell carcinoma, as these are the areas of greatest
change. We also emphasize ongoing trials and those areas that are most in need of
study in order to move the field forward. Although more data are needed, exciting
progress is being made.
PMID- 24927265
TI - The clinical management of chronic myelomonocytic leukemia.
AB - Chronic myelomonocytic leukemia (CMML) is an aggressive malignancy characterized
by peripheral monocytosis and ineffective hematopoiesis. It has been historically
classified as a subtype of the myelodysplastic syndromes (MDSs) but was recently
demonstrated to be a distinct entity with a distinct natural history.
Nonetheless, clinical practice guidelines for CMML have been inferred from
studies designed for MDSs. It is imperative that clinicians understand which
elements of MDS clinical practice are translatable to CMML, including which
evidence has been generated from CMML-specific studies and which has not. This
allows for an evidence-based approach to the treatment of CMML and identifies
knowledge gaps in need of further study in a disease-specific manner. This review
discusses the diagnosis, prognosis, and treatment of CMML, with the task of
divorcing aspects of MDS practice that have not been demonstrated to be
applicable to CMML and merging those that have been shown to be clinically
similar.
PMID- 24927267
TI - Iron chelation for iron overload secondary to transfusions of packed red blood
cells.
PMID- 24927266
TI - Update on proteasome inhibitors in multiple myeloma.
PMID- 24927268
TI - Aspirin, the new targeted therapy in colorectal cancer.
PMID- 24927269
TI - Genetic engineering of T cells in leukemia and lymphoma.
PMID- 24927270
TI - The evolutionary psychology of human mating: a response to Buller's critique.
AB - In this paper, I critique arguments made by philosopher David Buller against
central evolutionary-psychological explanations of human mating. Specifically, I
aim to rebut his criticisms of Evolutionary Psychology regarding (1) women's long
term mating preferences for high-status men; (2) the evolutionary rationale
behind men's provisioning of women; (3) men's mating preferences for young women;
(4) women's adaptation for extra-pair sex; (5) the sex-differentiated
evolutionary theory of human jealousy; and (6) the notion of mate value. In sum,
I aim to demonstrate that Buller's arguments contra Evolutionary Psychologists
are left wanting.
PMID- 24927271
TI - A new tool for monoclonal antibody analysis: application of IdeS proteolysis in
IgG domain-specific characterization.
AB - Monoclonal antibody (mAb) products are extraordinarily heterogeneous due to the
presence of a variety of enzymatic and chemical modifications, such as
deamidation, isomerization, oxidation, glycosylation, glycation, and terminal
cyclization. The modifications in different domains of the antibody molecule can
result in different biological consequences. Therefore, characterization and
routine monitoring of domain-specific modifications are essential to ensure the
quality of the therapeutic antibody products. For this purpose, a rapid and
informative methodology was developed to examine the heterogeneity of individual
domains in mAb products. A recently discovered endopeptidase, IdeS, cleaves heavy
chains below the hinge region, producing F(ab') 2 and Fc fragments. Following
reduction of disulfide bonds, three antibody domains (LC, Fd, and Fc/2) can be
released for further characterization. Subsequent analyses by liquid
chromatography/mass spectrometry, capillary isoelectric focusing, and glycan
mapping enable domain-specific profiling of oxidation, charge heterogeneity, and
glycoform distribution. When coupled with reversed phase chromatography, the
unique chromatographic profile of each molecule offers a simple strategy for an
identity test, which is an important formal test for biopharmaceutical quality
control purposes. This methodology is demonstrated for a number of IgGs of
different subclasses (IgG1, IgG2, IgG4), as well as an Fc fusion protein. The
presented technique provides a convenient platform approach for scientific and
formal therapeutic mAb product characterization. It can also be applied in
regulated drug substance batch release and stability testing of antibody and Fc
fusion protein products, in particular for identity and routine monitoring of
domain-specific modifications.
PMID- 24927272
TI - O-glycosylation of glycine-serine linkers in recombinant Fc-fusion proteins:
attachment of glycosaminoglycans and other intermediates with phosphorylation at
the xylose sugar subunit.
AB - A xylose-based glycosaminoglycan (GAG) core was recently identified at a Ser
residue in the linker sequence of a recombinant Fc fusion protein. The linker
sequence, G-S-G-G-G-G, and an upstream acidic residue were serving as a substrate
for O-xylosyltransferase, resulting in a major glycan composed of Xyl-Gal-Gal
GlcA and other minor intermediates. In this paper, a portion of an unrelated
protein was fused to the C-terminus of an IgG Fc domain using the common (G4S) 4
linker repeat. This linker resulted in a heterogenous population of xylose-based
glycans all containing at least a core Xyl. Commonly observed glycan structures
include GAG-related di-, tri-, tetra-, and penta-saccharides (e.g., Xyl-Gal, Xyl
Gal-Gal, Xyl-Gal-Gal-GlcA, and Xyl-Gal-Gal-GlcA-HexNAc), as well as Xyl-Gal
Neu5Ac. Following alkaline phosphatase or sialidase treatment combined with CID
fragmentation, low-level glycans with a mass addition of 79.9 Da were confirmed
to be a result of phosphorylated xylose. A minute quantity of phosphorylated GAG
pentasaccharides may also be sulfated (also 79.9 Da), possibly at the HexNAc
moiety due to non-reactivity to alkaline phosphatase. The xylose moiety may be
randomly incorporated in one of the three G-S-G sequence motifs; and the linker
peptide shows evidence for multiple additions of xylose at very low levels.
PMID- 24927273
TI - Highly parallel characterization of IgG Fc binding interactions.
AB - Because the variable ability of the antibody constant (Fc) domain to recruit
innate immune effector cells and complement is a major factor in antibody
activity in vivo, convenient means of assessing these binding interactions is of
high relevance to the development of enhanced antibody therapeutics, and to
understanding the protective or pathogenic antibody response to infection,
vaccination, and self. Here, we describe a highly parallel microsphere assay to
rapidly assess the ability of antibodies to bind to a suite of antibody
receptors. Fc and glycan binding proteins such as FcgammaR and lectins were
conjugated to coded microspheres and the ability of antibodies to interact with
these receptors was quantified. We demonstrate qualitative and quantitative
assessment of binding preferences and affinities across IgG subclasses, Fc domain
point mutants, and antibodies with variant glycosylation. This method can serve
as a rapid proxy for biophysical methods that require substantial sample
quantities, high-end instrumentation, and serial analysis across multiple binding
interactions, thereby offering a useful means to characterize monoclonal
antibodies, clinical antibody samples, and antibody mimics, or alternatively, to
investigate the binding preferences of candidate Fc receptors.
PMID- 24927275
TI - Modulatory effect of acupuncture at Waiguan (TE5) on the functional connectivity
of the central nervous system of patients with ischemic stroke in the left basal
ganglia.
AB - OBJECTIVE: To study the influence of acupuncture at Waiguan (TE5) on the
functional connectivity of the central nervous system of patients with ischemic
stroke. METHODS: Twenty-four patients with ischemic stroke in the left basal
ganglia were randomized based on gender to receive TE5 acupuncture (n = 12) or
nonacupoint acupuncture (n = 12). Each group underwent sham acupuncture and then
verum acupuncture while being scanned with functional magnetic resonance imaging.
Six regions of interest (ROI) were defined, including bilateral motor,
somatosensory, and bilateral basal ganglia areas. The functional connectivity
between these ROIs and all voxels of the brain was analyzed in Analysis of
Functional NeuroImages (AFNI) to explore the differences between verum
acupuncture and sham acupuncture at TE5 and between TE5 acupuncture and
nonacupoint acupuncture. The participants were blinded to the allocation. RESULT:
The effect of acupuncture on six seed-associated networks was explored. The
result demonstrated that acupuncture at Waiguan (TE5) can regulate the
sensorimotor network of the ipsilesional hemisphere, stimulate the contralesional
sensorimotor network, increase cooperation of bilateral sensorimotor networks,
and change the synchronization between the cerebellum and cerebrum. Furthermore,
a lot of differences of effect existed between verum acupuncture and sham
acupuncture at TE5, but there was little difference between TE5 acupuncture and
nonacupoint acupuncture. CONCLUSION: The modulation of synchronizations between
different regions within different brain networks might be the mechanism of
acupuncture at Waiguan (TE5). Stimulation of the contralesional sensorimotor
network and increase of cooperation of bilateral hemispheres imply a compensatory
effect of the intact hemisphere, whereas changes in synchronization might
influence the sensorimotor function of the affected side of the body. TRIAL
REGISTRATION: Chinese Clinical Trial Registry ChiCTR-ONRC-08000255.
PMID- 24927277
TI - g-Jitter mixed convective slip flow of nanofluid past a permeable stretching
sheet embedded in a Darcian porous media with variable viscosity.
AB - The unsteady two-dimensional laminar g-Jitter mixed convective boundary layer
flow of Cu-water and Al2O3-water nanofluids past a permeable stretching sheet in
a Darcian porous is studied by using an implicit finite difference numerical
method with quasi-linearization technique. It is assumed that the plate is
subjected to velocity and thermal slip boundary conditions. We have considered
temperature dependent viscosity. The governing boundary layer equations are
converted into non-similar equations using suitable transformations, before being
solved numerically. The transport equations have been shown to be controlled by a
number of parameters including viscosity parameter, Darcy number, nanoparticle
volume fraction, Prandtl number, velocity slip, thermal slip, suction/injection
and mixed convection parameters. The dimensionless velocity and temperature
profiles as well as friction factor and heat transfer rates are presented
graphically and discussed. It is found that the velocity reduces with velocity
slip parameter for both nanofluids for fluid with both constant and variable
properties. It is further found that the skin friction decreases with both Darcy
number and momentum slip parameter while it increases with viscosity variation
parameter. The surface temperature increases as the dimensionless time increases
for both nanofluids. Nusselt numbers increase with mixed convection parameter and
Darcy numbers and decreases with the momentum slip. Excellent agreement is found
between the numerical results of the present paper with published results.
PMID- 24927276
TI - Binocular neurons in parastriate cortex: interocular 'matching' of receptive
field properties, eye dominance and strength of silent suppression.
AB - Spike-responses of single binocular neurons were recorded from a distinct part of
primary visual cortex, the parastriate cortex (cytoarchitectonic area 18) of
anaesthetized and immobilized domestic cats. Functional identification of neurons
was based on the ratios of phase-variant (F1) component to the mean firing rate
(F0) of their spike-responses to optimized (orientation, direction, spatial and
temporal frequencies and size) sine-wave-luminance-modulated drifting grating
patches presented separately via each eye. In over 95% of neurons, the
interocular differences in the phase-sensitivities (differences in F1/F0 spike
response ratios) were small (<= 0.3) and in over 80% of neurons, the interocular
differences in preferred orientations were <= 10 degrees . The interocular
correlations of the direction selectivity indices and optimal spatial
frequencies, like those of the phase sensitivies and optimal orientations, were
also strong (coefficients of correlation r >= 0.7005). By contrast, the
interocular correlations of the optimal temporal frequencies, the diameters of
summation areas of the excitatory responses and suppression indices were weak
(coefficients of correlation r <= 0.4585). In cells with high eye dominance
indices (HEDI cells), the mean magnitudes of suppressions evoked by stimulation
of silent, extra-classical receptive fields via the non-dominant eyes, were
significantly greater than those when the stimuli were presented via the dominant
eyes. We argue that the well documented 'eye-origin specific' segregation of the
lateral geniculate inputs underpinning distinct eye dominance columns in primary
visual cortices of mammals with frontally positioned eyes (distinct eye dominance
columns), combined with significant interocular differences in the strength of
silent suppressive fields, putatively contribute to binocular stereoscopic
vision.
PMID- 24927278
TI - Determination of irinotecan and its metabolite SN-38 in rabbit plasma and tumors
using a validated method of tandem mass spectrometry coupled with liquid
chromatography.
AB - New tandem mass spectrometric method coupled with liquid chromatography (LC
MS/MS) has been developed to determine the total concentration of camptothecin
derivatives (irinotecan and SN-38) regardless of inter-conversion phenomenon
between carboxylate and lactone forms. At first, all sample solutions were
acidified for 1h in order to completely convert CPT derivatives into their
lactone forms and then CPT derivatives were extracted with organic solution
containing diethyl ether and ethyl acetate (2:1, v/v) just after alkalization in
the range pH 8.0-8.5 in acid-treated solutions. Analytes were separated on a
reverse phase C18 column (150*2.1mm) and eluted isocratically with a mobile phase
which consisted of acetonitrile-methanol-buffer (0.1% formic acid, 5mM ammonium
formate) (3:4:3, v/v). CPT derivatives were monitored by tandem mass spectrometry
in electrospay-positive ionization and multiple reaction mode programmed to the
following transitions (m/z): '587.6->167.2' of CPT-11, '393.6->349.3' of SN-38
and '349.4-> 305.2' of CPT. The method was validated to have the proper linearity
(r(2)>0.99) over the range of 5-1000ng/ml of CPT-11 and 1-250ng/ml of SN-38 with
good accuracy (89.8-114.3%) and precision (less than 10%). In all stability
tests, concentration of CPT-11 and SN-38 had been left in the acceptable range of
88.8-110.7% when sample solutions were acidified before determination of CPT
derivatives. Newly developed LC-MS/MS method was suitable for the determination
of CPT derivatives of both rabbit plasma and tumor tissues in the pharmacokinetic
study.
PMID- 24927279
TI - Enactment versus observation: item-specific and relational processing in goal
directed action sequences (and lists of single actions).
AB - What are the memory-related consequences of learning actions (such as "apply the
patch") by enactment during study, as compared to action observation? Theories
converge in postulating that enactment encoding increases item-specific
processing, but not the processing of relational information. Typically, in the
laboratory enactment encoding is studied for lists of unrelated single actions in
which one action execution has no overarching purpose or relation with other
actions. In contrast, real-life actions are usually carried out with the
intention to achieve such a purpose. When actions are embedded in action
sequences, relational information provides efficient retrieval cues. We
contrasted memory for single actions with memory for action sequences in three
experiments. We found more reliance on relational processing for action-sequences
than single actions. To what degree can this relational information be used after
enactment versus after the observation of an actor? We found indicators of
superior relational processing after observation than enactment in ordered pair
recall (Experiment 1A) and in emerging subjective organization of repeated recall
protocols (recall runs 2-3, Experiment 2). An indicator of superior item-specific
processing after enactment compared to observation was recognition (Experiment
1B, Experiment 2). Similar net recall suggests that observation can be as good a
learning strategy as enactment. We discuss possible reasons why these findings
only partly converge with previous research and theorizing.
PMID- 24927280
TI - Multiparametric MRI analysis for the identification of high intensity focused
ultrasound-treated tumor tissue.
AB - PURPOSE: In this study endogenous magnetic resonance imaging (MRI) biomarkers for
accurate segmentation of High Intensity Focused Ultrasound (HIFU)-treated tumor
tissue and residual or recurring non-treated tumor tissue were identified.
METHODS: Multiparametric MRI, consisting of quantitative T1, T2, Apparent
Diffusion Coefficient (ADC) and Magnetization Transfer Ratio (MTR) mapping, was
performed in tumor-bearing mice before (n = 14), 1 h after (n = 14) and 72 h (n =
7) after HIFU treatment. A non-treated control group was included (n = 7).
Cluster analysis using the Iterative Self Organizing Data Analysis (ISODATA)
technique was performed on subsets of MRI parameters (feature vectors). The
clusters resulting from the ISODATA segmentation were divided into a viable and
non-viable class based on the fraction of pixels assigned to the clusters at the
different experimental time points. ISODATA-derived non-viable tumor fractions
were quantitatively compared to histology-derived non-viable tumor volume
fractions. RESULTS: The highest agreement between the ISODATA-derived and
histology-derived non-viable tumor fractions was observed for feature vector {T1,
T2, ADC}. R1 (1/T1), R2 (1/T2), ADC and MTR each were significantly increased in
the ISODATA-defined non-viable tumor tissue at 1 h after HIFU treatment compared
to viable, non-treated tumor tissue. R1, ADC and MTR were also significantly
increased at 72 h after HIFU. CONCLUSIONS: This study demonstrates that non
viable, HIFU-treated tumor tissue can be distinguished from viable, non-treated
tumor tissue using multiparametric MRI analysis. Clinical application of the
presented methodology may allow for automated, accurate and objective evaluation
of HIFU treatment.
PMID- 24927281
TI - Genetic architecture of winter hardiness and frost tolerance in triticale.
AB - Abiotic stress experienced by autumn-sown crops during winter is of great
economic importance as it can have a severe negative impact on yield. In this
study, we investigated the genetic architecture of winter hardiness and frost
tolerance in triticale. To this end, we used a large mapping population of 647 DH
lines phenotyped for both traits in combination with genome-wide marker data.
Employing multiple-line cross QTL mapping, we identified nine main effect QTL for
winter hardiness and frost tolerance of which six were overlapping between both
traits. Three major QTL were identified on chromosomes 5A, 1B and 5R. In
addition, an epistasis scan revealed the contribution of epistasis to the genetic
architecture of winter hardiness and frost tolerance in triticale. Taken
together, our results show that winter hardiness and frost tolerance are complex
traits that can be improved by phenotypic selection, but also that genomic
approaches hold potential for a knowledge-based improvement of these important
traits in elite triticale germplasm.
PMID- 24927282
TI - On the importance of relative salience: comparing overt selection behavior of
single versus simultaneously presented stimuli.
AB - The goal of the current study was to investigate time-dependent effects of the
number of targets presented and its interaction with stimulus salience on
oculomotor selection performance. To this end, observers were asked to make a
speeded eye movement to a target orientation singleton embedded in a homogeneous
background of vertically oriented lines. In Experiment 1, either one or two
physically identical targets were presented, whereas in Experiment 2 an
additional orientation-based salience manipulation was performed. The results
showed that the probability of a singleton being available for selection is
reduced in the presence of an identical singleton (Experiment 1) and that this
effect is modulated by the salience of the other singleton (Experiment 2). While
the absolute orientation contrast of a target relative to the background
contributed to the probability that it is available for selection, the crucial
factor affecting selection was the relative salience between singletons. These
findings are incompatible with a processing speed account, which highlights the
importance of visibility and claims that a certain singleton identity has a
unique speed with which it can be processed. In contrast, the finding that the
number of targets presented affected a target's availability suggests an
important role of the broader display context in determining oculomotor selection
performance.
PMID- 24927283
TI - Role of nicotine dependence in the association between the dopamine receptor gene
DRD3 and major depressive disorder.
AB - BACKGROUND: The aims of this study were to analyze associations of dopamine
receptor genes (DRD1-5) with Major Depressive Disorder (MDD) and nicotine
dependence (ND), and to investigate whether ND moderates genetic influences on
MDD. METHODS: The sample was ascertained from the Finnish Twin Cohort. Twin pairs
concordant for smoking history were recruited along with their family members, as
part of the multisite Nicotine Addiction Genetics consortium. Genetic association
analyses were based on 1428 adults. Total of 70 tagging single nucleotide
polymorphisms within the dopamine receptor genes were genotyped and analyzed for
association with MDD, ND, and MD-ND co-morbidity. Individual level logistic
regression analyses were based on 1296 adults with data on ND and MDD diagnoses,
as well as on dopamine receptor genotypes adjusted for sex, age, and alcohol use.
Four independent samples, such as population-based and case-control samples, were
used for replication. RESULTS: Rs2399496, located 1.5 kb downstream of DRD3,
showed suggestive association for MDD (p = 0.00076) and significant association
for MDD-ND co-morbidity (p = 0.000079). Suggestive gene-(rs2399496) by-ND
interaction justified analyses by genetic risk variant and ND status. Individuals
with ND and two minor alleles (AA) of rs2399496 had almost six-fold risk for MDD
(OR 5.74, 95%CI 3.12-10.5, p = 9.010e-09) compared to individuals without ND and
with two major alleles (TT). CONCLUSIONS: Significant association between a
variant downstream of DRD3 and a co-morbid MDD-ND phenotype was detected. Our
results further suggest that nicotine dependence may potentiate the influence of
the DRD3 genetic variant on MDD.
PMID- 24927286
TI - 24(S)-Saringosterol from edible marine seaweed Sargassum fusiforme is a novel
selective LXRbeta agonist.
AB - Dietary phytosterols have been successfully used for lowering cholesterol levels,
which correlates with the fact that some phytosterols are able to act as liver X
receptor (LXR) agonists. Sargassum fusiforme is an edible marine seaweed well
known for its antiatherosclerotic function in traditional Chinese medicine. In
this study, seven phytosterols including fucosterol (1), saringosterol (2), 24
hydroperoxy-24-vinyl-cholesterol (3), 29-hydroperoxy-stigmasta-5,24(28)-dien
3beta-ol (4), 24-methylene-cholesterol (5), 24-keto-cholesterol (6), and
5alpha,8alpha-epidioxyergosta-6,22-dien-3beta-ol (7) were purified and evaluated
for their actions on LXR-mediated transcription using a reporter assay. Among
these phytosterols, 2 was the most potent compound in stimulating the
transcriptional activities of LXRalpha by (3.81+/-0.15)-fold and LXRbeta by
(14.40+/-1.10)-fold, respectively. Two epimers of 2, 24(S)-saringosterol (2a) and
24(R)-saringosterol (2b), were subsequently separated by semipreparative high
performance liquid chromatography. Interestingly, 2a was more potent than 2b in
LXRbeta-mediated transactivation ((3.50+/-0.17)-fold vs (1.63+/-0.12)-fold)
compared with control. Consistently, 2a induced higher expression levels of LXR
target genes including key players in reverse cholesterol transport in six cell
lines. These data along with molecular modeling suggested that 2a acts as a
selective LXRbeta agonist and is a potent natural cholesterol-lowering agent.
This study also demonstrated that phytosterols in S. fusiforme contributed to the
well-known antiatherosclerotic function.
PMID- 24927287
TI - "Mobile" medicine: a surprise encounter with placenta percreta.
PMID- 24927288
TI - The long and winding road.
PMID- 24927285
TI - The multiple roles of hypothetical gene BPSS1356 in Burkholderia pseudomallei.
AB - Burkholderia pseudomallei is an opportunistic pathogen and the causative agent of
melioidosis. It is able to adapt to harsh environments and can live
intracellularly in its infected hosts. In this study, identification of
transcriptional factors that associate with the beta' subunit (RpoC) of RNA
polymerase was performed. The N-terminal region of this subunit is known to
trigger promoter melting when associated with a sigma factor. A pull-down assay
using histidine-tagged B. pseudomallei RpoC N-terminal region as bait showed that
a hypothetical protein BPSS1356 was one of the proteins bound. This hypothetical
protein is conserved in all B. pseudomallei strains and present only in the
Burkholderia genus. A BPSS1356 deletion mutant was generated to investigate its
biological function. The mutant strain exhibited reduced biofilm formation and a
lower cell density during the stationary phase of growth in LB medium. Electron
microscopic analysis revealed that the DeltaBPSS1356 mutant cells had a shrunken
cytoplasm indicative of cell plasmolysis and a rougher surface when compared to
the wild type. An RNA microarray result showed that a total of 63 genes were
transcriptionally affected by the BPSS1356 deletion with fold change values of
higher than 4. The expression of a group of genes encoding membrane located
transporters was concurrently down-regulated in DeltaBPSS1356 mutant. Amongst the
affected genes, the putative ion transportation genes were the most severely
suppressed. Deprivation of BPSS1356 also down-regulated the transcriptions of
genes for the arginine deiminase system, glycerol metabolism, type III secretion
system cluster 2, cytochrome bd oxidase and arsenic resistance. It is therefore
obvious that BPSS1356 plays a multiple regulatory roles on many genes.
PMID- 24927289
TI - The effect on perioperative bleeding of placental extraction from an exteriorized
uterus during caesarean section.
AB - OBJECTIVE: To evaluate the effect on perioperative bleeding of an alternative
technique for Caesarean section, in which placental extraction is performed while
the uterus is exteriorized. METHODS: We performed a retrospective matched cohort
study using data obtained through chart review. Group 1 included women who had CS
performed using the technique of placental removal while the uterus was
exteriorized, and group 2 included women who had CS performed using the
conventional method of placental removal from a non-exteriorized uterus. Women in
each group were matched for number of previous Caesarean sections, indications
for CS, and gestational age. The primary outcome was the difference between
preoperative and postoperative hemoglobin concentrations. Secondary outcomes
included the incidence of blood transfusion, estimated blood loss (EBL),
operative time, the incidence of postoperative endometritis, and length of
hospital stay. RESULTS: A total of 90 charts were reviewed (45 per group).
Baseline clinical characteristics were similar in both groups. The mean decrease
in hemoglobin concentration was 22% less in women who had placental extraction
from an exteriorized uterus than in those who had the conventional placental
extraction (13.2g/L vs. 16.9 g/L, P=0.016). Among secondary outcomes, women in
group 1 had a lower mean EBL (531.1 mL vs. 691.1 mL, P<0.05) and a shorter mean
duration of surgery (28.0 minutes vs. 40.5 minutes, P<0.05). CONCLUSION:
Exteriorizing the uterus prior to removal of the placenta during CS may decrease
intraoperative and immediate postoperative bleeding compared with the
conventional technique. Further studies on this technique are indicated.
PMID- 24927290
TI - Predicting the spontaneous onset of labour in post-date pregnancies: a population
based retrospective cohort study.
AB - OBJECTIVE: To estimate the probability of spontaneous onset of labour (SOL) among
women with uncomplicated pregnancies who have reached 41+0 weeks and to examine
the influence of maternal characteristics on this event. METHODS: We conducted a
population-based retrospective cohort study of women with uncomplicated singleton
pregnancies in cephalic presentation between 41+0 and 42+0 weeks' gestation.
Detailed clinical information was obtained from the British Columbia Perinatal
Data Registry. We determined the time from 41+0 weeks to the exact day and time
of SOL, pre-labour Caesarean section, or onset of labour following induction. A
Kaplan-Meier curve was created to estimate the probability of SOL. A Cox
regression model was used to assess the independent influence of maternal age,
parity, BMI, and pregnancy weight gain on the SOL, and to assess the extent to
which prediction of SOL could be individualized according to a woman's
characteristics. RESULTS: Among 15 253 women undelivered at 41+0 weeks, there was
a 67.6% (95% CI 66.4% to 68.7%) chance of SOL by 41+6 weeks. Although SOL was
statistically more likely in younger women, higher parity, lower BMI, and lower
weight gain (P<0.01), the multivariable model's predictive ability was poor (c
statistic 0.56). CONCLUSION: Maternal characteristics were not a strong
determinant for successful individualized prediction of SOL in women with
uncomplicated pregnancies reaching 41+0 weeks of gestation. Our population-based
estimates of the daily occurrence of SOL can be used to inform discussions with
women on when to offer induction of labour.
PMID- 24927291
TI - Informed reproductive decision-making: the impact of providing fertility
information on fertility knowledge and intentions to delay childbearing.
AB - OBJECTIVE: To examine the impact of providing fertility information on personal
levels of fertility knowledge and intentions to delay childbearing. METHODS:
Participants (n=69 young childless women) were randomly assigned to either an
experimental (fertility-related) or control (alcohol-related) informational
intervention group. Subsequent to the exposure to information, participants
completed a questionnaire measuring fertility knowledge and intentions for
reproduction. We predicted that the provision of fertility-related information
would result in greater fertility knowledge and less intention to delay
childbearing among young childless women. RESULTS: The young women exposed to the
brief fertility information intervention were significantly more likely to
respond correctly to questions testing their fertility knowledge, and reported
less intention to delay childbearing than the young women in the control group.
CONCLUSION: This study provided evidence that providing fertility-related
information contributes to greater reproductive knowledge and may affect
childbearing intentions. Future research is warranted to examine the influence of
fertility information on reproductive decision-making within a theoretical
framework in order to ensure that subsequent information interventions maximize
their effectiveness.
PMID- 24927284
TI - The impact of the metabotropic glutamate receptor and other gene family
interaction networks on autism.
AB - Although multiple reports show that defective genetic networks underlie the
aetiology of autism, few have translated into pharmacotherapeutic opportunities.
Since drugs compete with endogenous small molecules for protein binding, many
successful drugs target large gene families with multiple drug binding sites.
Here we search for defective gene family interaction networks (GFINs) in 6,742
patients with the ASDs relative to 12,544 neurologically normal controls, to find
potentially druggable genetic targets. We find significant enrichment of
structural defects (P <= 2.40E-09, 1.8-fold enrichment) in the metabotropic
glutamate receptor (GRM) GFIN, previously observed to impact attention deficit
hyperactivity disorder (ADHD) and schizophrenia. Also, the MXD-MYC-MAX network of
genes, previously implicated in cancer, is significantly enriched (P <= 3.83E-23,
2.5-fold enrichment), as is the calmodulin 1 (CALM1) gene interaction network (P
<= 4.16E-04, 14.4-fold enrichment), which regulates voltage-independent calcium
activated action potentials at the neuronal synapse. We find that multiple
defective gene family interactions underlie autism, presenting new translational
opportunities to explore for therapeutic interventions.
PMID- 24927292
TI - Do multiple attempts at embryo transfer affect clinical pregnancy rates?
AB - OBJECTIVE: During an in vitro fertilization treatment cycle, having embryos
retained in the catheter after embryo transfer is a relatively uncommon and
frustrating event. The reported incidence of retained embryos varies between 1%
and 8%. It can be difficult to explain this unwanted event to patients. We wished
to determine the incidence and the effect on pregnancy rates of having embryos
retained in the transfer catheter, followed by immediate completion of transfer.
METHODS: We performed a retrospective chart review of all IVF cycles with embryos
retained in the transfer catheter, followed by repeat transfer, between October
2009 and March 2012. We reviewed IVF cycles with or without ICSI, and included
fresh and frozen embryo transfer cycles. All embryos were transferred on the
third day after oocyte retrieval. Transabdominal ultrasound was used for guidance
during the embryo transfer. RESULTS: A total of 49 IVF treatment cycles with
retained embryos that required re-transfer were identified. This represented 7.5%
(49/652) of all IVF cycles with embryo transfer during that period. The clinical
pregnancy rate in the repeat transfer group was 30.6% (15/49). The clinical
pregnancy rate in all cycles in the same time period was 34.8% (227/652). These
rates were not significantly different (P=0.521). CONCLUSION: Having to re
transfer embryos retained in the transfer catheter does not have any significant
effect on clinical pregnancy rates during IVF treatment cycles.
PMID- 24927293
TI - Customized charts and their role in identifying pregnancies at risk because of
fetal growth restriction.
AB - Customized growth charts reduce complexity in antenatal care for the expectant
mother and her clinicians by improving the distinction between physiological and
pathological variation in fetal size. Their application in large databases has
improved our understanding of the importance of intrauterine growth restriction
and its antenatal recognition. Their implementation into clinical practice,
together with the appropriate training and referral protocols, has been shown to
reduce the risk of stillbirth.
PMID- 24927294
TI - Diagnosis, evaluation, and management of the hypertensive disorders of pregnancy:
executive summary.
AB - OBJECTIVE: This executive summary presents in brief the current evidence assessed
in the clinical practice guideline prepared by the Canadian Hypertensive
Disorders of Pregnancy Working Group and published by Pregnancy Hypertension
(http://www.pregnancyhypertension.org/article/S2210-7789(14)00004-X/fulltext) to
provide a reasonable approach to the diagnosis, evaluation, and treatment of the
hypertensive disorders of pregnancy. EVIDENCE: Published literature was retrieved
through searches of Medline, CINAHL, and The Cochrane Library in March 2012 using
appropriate controlled vocabulary (e.g., pregnancy, hypertension, pre-eclampsia,
pregnancy toxemias) and key words (e.g., diagnosis, evaluation, classification,
prediction, prevention, prognosis, treatment, postpartum follow-up). Results were
restricted to systematic reviews, randomized control trials, controlled clinical
trials, and observational studies published in French or English between January
2006 and February 2012. Searches were updated on a regular basis and incorporated
in the guideline to September 2013. Grey (unpublished) literature was identified
through searching the websites of health technology assessment and health
technology-related agencies, clinical practice guideline collections, clinical
trial registries, and national and international medical specialty societies.
VALUES: The quality of evidence in the guideline summarized here was rated using
the criteria described in the Report of the Canadian Task Force on Preventative
Health Care (Table 1).
PMID- 24927295
TI - Paternal and maternal concerns for their very low-birth-weight infants
transitioning from the NICU to home.
AB - This study examines qualitatively the concerns and coping mechanisms of fathers
and mothers of very low-birth-weight (VLBW; <1500 g) neonatal intensive care unit
(NICU) infants as they transition to home from the NICU. In-depth, semistructured
phone interviews were conducted with a sample of fathers and mothers of VLBW NICU
infants in the Chicago area who had transitioned home, and parental concerns were
examined during the transition to home. Phone interviews lasting 30 to 60 minutes
were transcribed verbatim, and all interviews were coded using content and
narrative analysis. Twenty-five parents (10 fathers, 15 mothers) of 16 VLBW
infants who had an average gestational age of 29.5 weeks and an average NICU stay
of 58.38 days completed the interview. Overriding concerns included pervasive
uncertainty, lingering medical concerns, and partner-related adjustment concerns
that differed by gender. A variety of resilient coping methods during this
stressful transition are also described. Fathers and mothers of VLBW NICU
graduates have evolving but often differing concerns as they transition from the
NICU to home. Many of these concerns can be addressed with improved discharge
information exchanges and anticipatory guidance. Supporting parents during this
stressful and often difficult transition may lead to decreased family stress,
improved care, and better infant outcomes.
PMID- 24927297
TI - One-step synthesis of unsymmetrical N-alkyl-N'-aryl perylene diimides.
AB - An efficient and facile protocol for the synthesis of unsymmetrical N-alkyl-N'
aryl perylene diimides is reported that circumvents the need for multiple
reaction steps. A number of unsymmetrical perylene diimides containing a
solubilizing swallowtail alkyl group and a variety of substituted aryl groups can
be prepared in a single step from a simple mixture of amines.
PMID- 24927296
TI - Differential promoter methylation of kinesin family member 1a in plasma is
associated with breast cancer and DNA repair capacity.
AB - Methylation alterations of CpG islands, CpG island shores and first exons are key
events in the formation and progression of human cancer, and an increasing number
of differentially methylated regions and genes have been identified in breast
cancer. Recent studies of the breast cancer methylome using deep sequencing and
microarray platforms are providing a novel insight on the different roles
aberrant methylation plays in molecular subtypes of breast cancer. Accumulating
evidence from a subset of studies suggests that promoter methylation of tumor
suppressor genes associated with breast cancer can be quantified in circulating
DNA. However, there is a paucity of studies that examine the combined presence of
genetic and epigenetic alterations associated with breast cancer using blood
based assays. Dysregulation of DNA repair capacity (DRC) is a genetic risk factor
for breast cancer that has been measured in lymphocytes. We isolated plasma DNA
from 340 participants in a breast cancer case control project to study promoter
methylation levels of five genes previously shown to be associated with breast
cancer in frozen tissue and in cell line DNA: MAL, KIF1A, FKBP4, VGF and OGDHL.
Methylation of at least one gene was found in 49% of the cases compared to 20% of
the controls. Three of the four genes had receiver characteristic operator curve
values of >= 0.50: MAL (0.64), KIF1A (0.51) and OGDHL (0.53). KIF1A promoter
methylation was associated with breast cancer and inversely associated with DRC.
This is the first evidence of a significant association between genetic and
epigenetic alterations in breast cancer using blood-based tests. The potential
diagnostic utility of these biomarkers and their relevance for breast cancer risk
prediction should be examined in larger cohorts.
PMID- 24927298
TI - Versatile synthesis of thiol- and amine-bifunctionalized silica nanoparticles
based on the ouzo effect.
AB - In this article, we report a novel, nanoprecipitation-based method for preparing
silica nanoparticles with thiol and amine cofunctionalization. (3
Mercaptopropyl)trimethoxysilane (MPTMS) and 3-aminopropyltrimethoxysilane (APTMS)
were used as the organosilane precursors, which were subjected to acid-catalyzed
polycondensation in an organic phase containing a water-miscible solvent (e.g.,
dimethyl sulfoxide). A pale colloidal solution could be immediately formed when
the preincubated organic phase was directly injected into water. The initial
composition ratio between MPTMS and APTMS is an important factor governing the
formation of nanoparticles. Specifically, large, unstable micrometer-sized
particles were formed for preparation using MPTMS as the sole silane source. In
contrast, when APTMS was used alone, no particles could be formed. By reducing
the fraction of APTMS (or increasing that of MPTMS) in the initial mixture of
organosilanes, the formation of nanometer-sized particles occurred at a critical
fraction of APTMS (i.e., 25%). Remarkably, a tiny fraction (e.g., 1%) of APTMS
was sufficient to produce stable nanoparticles with a hydrodynamic diameter of
about 200 nm. Other factors that would also affect particle formation were
determined. Moreover, an interesting temperature effect on particle formation was
observed. The TEM micrographs show spherical nanospheres with mean sizes of 130
150 nm in diameter. The solid-state (29)Si NMR spectra demonstrate that the
hybrid silica materials contain fully and partially condensed silicon structures.
The bifunctionalized silica nanoparticles have positive zeta potentials whose
magnitudes are positively correlated with the amount of APTMS. The total thiol
content, however, is negatively correlated with the amount of APTMS. The cationic
nanoparticles can bind an antisense oligonucleotide in a composition-dependent
manner.
PMID- 24927300
TI - Enantiomeric guests with the same signs of chiral optical responses.
AB - The circular dichroism of non-racemic molecules, being guests of co-crystalline
phases of s-PS films, has been studied. Unexpectedly, the chiral response of non
racemic guest molecules does not depend on their R or S molecular chirality but
essentially only on the polymer host supramolecular chirality.
PMID- 24927301
TI - Principles of practice from the European expert panel on the contemporary
treatment of schizophrenia.
AB - Providing optimal treatment for people with schizophrenia is a difficult long
term problem for clinicians and healthcare providers. Over the years a variety of
approaches to treatment have evolved and, until now, there have been no widely
accepted standards for care. To determine the principles underpinning the best
practice for schizophrenia treatment, an Expert Panel of European psychiatrists
and psychologists has worked to distil current theory, collective practical
experiences and published literature into 17 basic Principles of Practice . These
are not intended to duplicate or replace local treatment policies or guidelines.
Instead, they describe best practice in diagnosis, patient assessment and long
term treatment of schizophrenia as it exists at the beginning of the 21st century
and is likely to exist in the near future. The Principles of Practice broadly
fall into four main categories: (1) assessment, diagnosis and care provision; (2)
treatment in day-to-day practice; (3) building a positive therapeutic alliance;
and (4) a long-term clinical commitment. Running through all the Principles are
several common threads - the fundamental importance of the therapeutic alliance
between the clinician and the patient, the need to plan both for treatment
efficacy and avoidance of side-effects and the importance of long-term treatment
planning. It is intended that psychiatrists and other healthcare professionals
can use the Principles as a benchmark for optimum patient management, and as a
tool when negotiating the future of local and national schizophrenia management
services. Furthermore, the Principles of Practice represent a first step in the
development of a new patient-centred philosophy for the care of people with
schizophrenia.
PMID- 24927302
TI - Editorial.
PMID- 24927299
TI - Effectiveness of a web- and mobile phone-based intervention to promote physical
activity and healthy eating in middle-aged males: randomized controlled trial of
the ManUp study.
AB - BACKGROUND: The high number of adult males engaging in low levels of physical
activity and poor dietary practices, and the health risks posed by these
behaviors, necessitate broad-reaching intervention strategies. Information
technology (IT)-based (Web and mobile phone) interventions can be accessed by
large numbers of people, yet there are few reported IT-based interventions
targeting males' physical activity and dietary practices. OBJECTIVE: This study
examines the effectiveness of a 9-month IT-based intervention (ManUp) to improve
the physical activity, dietary behaviors, and health literacy in middle-aged
males compared to a print-based intervention. METHODS: Participants, recruited
offline (eg, newspaper ads), were randomized into either an IT-based or print
based intervention arm on a 2:1 basis in favor of the fully automated IT-based
arm. Participants were adult males aged 35-54 years living in 2 regional cities
in Queensland, Australia, who could access the Internet, owned a mobile phone,
and were able to increase their activity level. The intervention, ManUp, was
based on social cognitive and self-regulation theories and specifically designed
to target males. Educational materials were provided and self-monitoring of
physical activity and nutrition behaviors was promoted. Intervention content was
the same in both intervention arms; only the delivery mode differed. Content
could be accessed throughout the 9-month study period. Participants' physical
activity, dietary behaviors, and health literacy were measured using online
surveys at baseline, 3 months, and 9 months. RESULTS: A total of 301 participants
completed baseline assessments, 205 in the IT-based arm and 96 in the print-based
arm. A total of 124 participants completed all 3 assessments. There were no
significant between-group differences in physical activity and dietary behaviors
(P>=.05). Participants reported an increased number of minutes and sessions of
physical activity at 3 months (exp(beta)=1.45, 95% CI 1.09-1.95; exp(beta)=1.61,
95% CI 1.17-2.22) and 9 months (exp(beta)=1.55, 95% CI 1.14-2.10; exp(beta)=1.51,
95% CI 1.15-2.00). Overall dietary behaviors improved at 3 months
(exp(beta)=1.07, 95% CI 1.03-1.11) and 9 months (exp(beta)=1.10, 95% CI 1.05
1.13). The proportion of participants in both groups eating higher-fiber bread
and low-fat milk increased at 3 months (exp(beta)=2.25, 95% CI 1.29-3.92;
exp(beta)=1.65, 95% CI 1.07-2.55). Participants in the IT-based arm were less
likely to report that 30 minutes of physical activity per day improves health
(exp(beta)=0.48, 95% CI 0.26-0.90) and more likely to report that vigorous
intensity physical activity 3 times per week is essential (exp(beta)=1.70, 95% CI
1.02-2.82). The average number of log-ins to the IT platform at 3 and 9 months
was 6.99 (SE 0.86) and 9.22 (SE 1.47), respectively. The average number of self
monitoring entries at 3 and 9 months was 16.69 (SE 2.38) and 22.51 (SE 3.79),
respectively. CONCLUSIONS: The ManUp intervention was effective in improving
physical activity and dietary behaviors in middle-aged males with no significant
differences between IT- and print-based delivery modes. TRIAL REGISTRATION:
Australian New Zealand Clinical Trials Registry: ACTRN12611000081910;
https://www.anzctr.org.au/Trial/Registration/TrialReview.aspx?ACTRN=1261100008191
(Archived by WebCite at http://www.webcitation.org/6QHIWad63).
PMID- 24927303
TI - Post-traumatic stress disorder: Hypotheses from clinical neuropsychology and
psychopharmacology research.
AB - Characteristic features of post-traumatic stress disorder (PTSD) include
intrusive memories, avoidance, memory and concentration difficulties, and
hyperalertness. Neuropsychological investigations of individuals with PTSD have
suggested global and specific impairments of performance on standardized tests of
memory. The use of the Emotional Stroop test has shown that trauma-related words
are a sensitive measure of clinical state in PTSD patients. The Stroop paradigm
has also shown that patients with PTSD appear to be characterized by implicit,
explicit and autobiographical memory impairment. Available treatments for chronic
post-traumatic stress disorder include cognitive-behaviour therapy, psychodynamic
therapy and pharmacotherapy. Whereas drug treatment alone can rarely alleviate
the suffering in PTSD, it appears to be most useful as an adjunct to
psychotherapy. Tricyclic antidepressants are generally thought to be effective in
alleviating symptoms, including nightmares, depression, sleep disorders and
startle reactions, but are less able to relieve numbing. On the other hand,
selective re-uptake blockers may be effective in decreasing numbing. However,
rigorous clinical trials with double-blind placebo-controlled designs need to be
performed to confirm these results. With new scientific discoveries in the
understanding of PTSD, a new generation of pharmacological treatment is likely to
emerge. (Int J Psych Clin Pract 2000; 4:3-18).
PMID- 24927304
TI - The challenges of diagnosis and continuing patient assessment.
AB - The adoption of operational diagnostic principles, embodied in the current ICD
and DSM methodologies, which are firmly based on the objective assessment of
symptoms, has improved the reliability of schizophrenic diagnosis to levels
roughly comparable with those of many other medical conditions of unknown
aetiology. However, the differences between schizophrenia and the major affective
disorders in terms of aetiology, pathology, course and outcome, and treatment
response now appear less obvious than perhaps previously considered, bringing the
validity of the current dichotomous classification of 'non-organic' psychoses
into question. While this is an important area of current debate, it is
imperative that present theorizing should not pull psychiatry back into the chaos
of conflicting diagnostic ideologies which characterized thinking and practice
for much of the 20th century. Despite some differences, the major diagnostic
systems, ICD and DSM, have in their principles little to chose between them and
can share credit in bringing about advantages that have been hard won. These
systems should be used routinely in establishing a diagnosis of schizophrenia in
everyday clinical practice. As stated in the Principles of Practice, establishing
diagnosis is a key function of the clinician, but it is only one step in the
complex process of patient evaluation, and one whose prominence may decline with
increasing time and certainty. While the emphasis may vary over time, effective
and comprehensive care should incorporate regular multidimensional, 'needs-based'
and risk assessments covering a wide range of parameters, ensuring that the
effects of variation in the individual patient over time can be understood and
put into context in treatment planning. This may be best achieved in the context
of a positive, stable and lasting relationship between the psychiatrist and
patient. Establishing such a trusting and therapeutic relationship responsive to
changing needs that will extend over years, represents both one of the greatest
challenges and one of the most rewarding aspects of clinical medicine.
PMID- 24927305
TI - The efficacy of zotepine in schizophrenia: A meta-analysis of BPRS and
improvement scale scores.
AB - OBJECT: To assess the efficacy of the antipsychotic drug zotepine in the
treatment of the global psychopathology of schizophrenia. METHOD: Fifteen
randomized placebo- or antipsychotic comparator- controlled trials were analysed,
using the Brief Psychiatric Rating Scale (BPRS) or other improvement scales. A
meta-analysis of standardized treatment differences and a test for homogeneity
were performed on four comparator groups: all placebo-controlled trials, all
antipsychotic comparator-controlled trials, conventional antipsychotic comparator
controlled trials, and antipsychotic comparator-controlled trials excluding those
in which the dosage for zotepine exceeded 75-300 mg/day (the recommended dose in
the UK). The outcome measure used in the meta-analysis was the change in rating
score. RESULTS: Meta-analysis of the placebo-controlled trials showed that a
significantly greater reduction in BPRS occurred with zotepine therapy than with
placebo therapy. The reduction in rating score was also greater with zotepine
therapy than with antipsychotic comparators. Exclusion of the high-dose zotepine
studies did not alter these conclusions. Only in one trial, in which zotepine was
compared with the atypical antipsychotic clozapine, was there a greater reduction
in rating score with the comparator than with zotepine (not statistically
significant). All tests of homogeneity failed to reach significance,
demonstrating that the data were not influenced by inter-study heterogeneity.
CONCLUSION: Zotepine is at least as effective against all psychopathological
symptoms of schizophrenia as conventional antipsychotics. (Int J Psych Clin Pract
2000; 4:19-27).
PMID- 24927306
TI - From first episode to long-term care: The need for sustained clinical commitment.
AB - There is considerable evidence to suggest that many patients experience
difficulties in gaining access to treatment after the onset of significant
symptoms and social disability. Delay in obtaining appropriate support and
treatment can have profound effects on both the patient and their family and may
also be associated with a poorer long-term outcome. As stated in the Principles
of Practice, prompt and comprehensive clinical assessment, by a clinician
experienced in the recognition and treatment of severe mental illness, is
therefore important for achieving the best possible outcome for the patient. The
primary objectives of early-stage treatment for schizophrenia are to achieve a
full improvement in psychopathological symptoms and a recovery of premorbid
levels of function. However, even with optimal treatment, the reality for many
patients is that their symptoms and impairment continue. Many patients can
therefore be regarded as only partially responsive, or even resistant, to
treatment. When assessing the utility of an antipsychotic treatment regimen for
such patients, clinicians should not just consider the objective manifestations
of the disorder but also the subjective experience of the patient. Relapse of
illness is also a common and serious problem for many patients with
schizophrenia, despite continual treatment. Prevention and management of relapse
are two of the main challenges in the effective treatment of schizophrenia. The
optimal use of antipsychotic treatment and the adherence by patients to that
treatment offer valuable protection against relapse. Symptoms of depression or
low mood affect a high proportion of patients with schizophrenia at some point in
their illness and are also associated with an increased risk of relapse.
Treatment objectives in the early stages may need to be modified if a pattern of
partial response or resistance to treatment, a cycle of relapse of the illness or
the presence of depressive symptoms, develops. Clinicians should continue to
offer a sustained and positive clinical commitment to all.
PMID- 24927307
TI - The importance of treatment acceptability to patients.
AB - Antipsychotic drug treatment plays a central role in the care of patients with
schizophrenia. The chronic nature of the illness means that most patients are
likely to require long-term antipsychotic medication to alleviate symptoms and to
prevent recurrence of an acute psychotic episode. Unfortunately, a high
proportion of patients with schizophrenia do not comply with medication and this
has profound consequences in terms of the number and severity of relapse
episodes, rehospitalization rates, worsening of residual symptoms and social
costs, including substance misuse, homelessness and the burden on carers.
Ensuring that antipsychotic treatment is acceptable to the patient is fundamental
to managing adherence. Side-effects, particularly mental and extrapyramidal
symptoms (EPS), are the cause of much distress to patients and dissatisfaction
with treatment, so a treatment regimen with a low side effect profile will help
to improve adherence to the treatment. Such regimens have unmasked many of the
other side-effects of treatment that were previously viewed as subsidiary to EPS;
but the physical and psychological consequences of side-effects such as
antipsychotic-induced sexual dysfunction or weight gain are highly distressing to
the patient, and as likely as EPS to trigger non-adherence to medication.
Consequently, careful selection of antipsychotic agent and dose regimens is
essential to maximize the efficacy of the antipsychotic and to minimize the
overall burden of side-effects. Satisfaction also depends very much on the extent
to which the patient understands the treatment and its side-effects. Therefore, a
close therapeutic alliance between the patient and the psychiatrist must underpin
all attempts to increase the acceptability of treatment. This will allow the
clinician to determine how the illness and its treatment are affecting all
aspects of the life of the patient, and then to tailor the treatment continuously
to obtain the best possible outcome for the patient.
PMID- 24927308
TI - Sexuality and quality of life of patients with schizophrenia.
AB - Patients with schizophrenia continue to have sexual lives despite the nature of
their illness. Sexuality, sexual relationships and sexual functioning are all
important quality-of-life issues for these patients. Clinicians should be aware
of this and not be hesitant to inquire as to the patient's experience and
functioning in these areas. The importance of the patient's sexual life should be
reflected in our quality of life questionnaires, and be incorporated as part of
psychiatric rehabilitation programs through formal sex education. (Int J Psych
Clin Pract 2000; 4:29-33).
PMID- 24927309
TI - Adult Attention Deficit Hyperactivity Disorder and comorbidity.
AB - Attention Deficit Hyperactivity Disorder (ADHD) is a chronic and incapacitating
mental disorder characterized by overactivity, inattention and impulsiveness. The
syndrome is usually diagnosed in childhood and it continues into adulthood.
However, because the symptoms of ADHD frequently overlap with other categories of
psychopathology, in adults it is often underdiagnosed or neglected, and left
untreated. This paper emphasizes the importance of recognizing this syndrome in
adulthood. To this end, we provide a review of the literature and four
illustrative cases of our own. Appropriate treatment is also discussed. (Int J
Psych Clin Pract 2000; 4:35-39).
PMID- 24927310
TI - The importance of psychosocial interventions and patient involvement in the
treatment of schizophrenia.
AB - The multifactorial nature of schizophrenia and the wide-ranging impact of the
illness on the patient, their family, carers and healthcare providers mean that
clinicians must be prepared to take a holistic approach to treatment. It is
widely recognized that a patient's beliefs about their treatment and their
experiences of schizophrenia can be very important in determining both attitude
towards treatment compliance and behaviour in response to symptoms of the illness
and environmental stress. Antipsychotic therapy remains the cornerstone of
treatment for schizophrenia. However, there is now growing evidence to support
the benefits of non-pharmacological interventions, when used in combination with
antipsychotic treatment, in relieving symptoms, improving occupational and social
functioning and reducing the risk of relapse. In particular, these interventions
appear to provide benefits in coping skills and social and vocational
functioning, as reflected in a greater ability to function independently and an
improvement in quality of life. Systematic assessment of non-pharmacological
therapies in schizophrenia is still a relatively new science, but there is good
evidence that psychosocial therapies, such as family intervention therapy,
cognitive-behaviour therapy and compliance therapy can markedly change a
patient's behaviour and improve adherence to treatment and hence interaction with
families, carers and healthcare providers. Psychosocial interventions can be
implemented from the first episode of psychosis onwards and can contribute to an
improved overall outcome in schizophrenia, to patients being more satisfied with
their treatment, and to a better quality of life for the patient and their
family. Initial comprehensive assessment will involve regular contact with the
patient and opens channels for an ongoing dialogue. It is important that these
discussions with the patients and their families and carers not only cover the
need for social, emotional and behavioural support but include regular discussion
of the acceptability and side-effects of antipsychotic treatment so that problems
can be identified and addressed promptly. While the importance of non
pharmacological interventions in improving the quality of patient care is
becoming widely accepted, access to psychological, psycho-educational and family
support is by no means universal in current clinical practice. It is important
that these services, provided by appropriately trained personnel, are made
available to all patients for whom they may be appropriate.
PMID- 24927311
TI - A prescription survey of the use of atypical antipsychotics for hospital
inpatients in the United Kingdom.
AB - OBJECT: Available efficacy data for atypical antipsychotics relate almost
entirely to the use of atypicals alone. Previous surveys have, however, shown
that typical antipsychotics are often co-prescribed alongside atypical drugs. We
sought to evaluate prescribing of atypical antipsychotics to inpatients during
one week of June 1998. METHOD: Requests for information were sent out to 229 UK
centres which employed psychiatric pharmacists. From these, 143 (62%) responses
were received, providing information on 3685 patients prescribed atypical
antipsychotics. Data on patients prescribed an atypical for longer than 6 weeks
were used as the primary indicator of prescribing practice. RESULTS: For all
atypicals examined, co-prescription of typical drugs was commonplace. The
proportions of patients receiving an atypical as the sole antipsychotic were:
clozapine 56.5%, risperidone 27.6%, sertindole 27.1%, olanzapine 18.9%,
quetiapine 9.7% and amisulpride 7.1%. Patients prescribed regular typical
antipsychotics alongside atypical drugs were significantly more likely to be
prescribed regular anticholinergic medication, indicating higher rates of acute
extrapyramidal effects in those receiving antipsychotic polypharmacy. Atypical
antipsychotics were prescribed alone in a minority of patients. CONCLUSIONS:
Whilst there is some support for antipsychotic polypharmacy in certain cases,
judging by strict evidence-based criteria the prescribing of atypical
antipsychotics in our survey was poor. (Int J Psych Clin Pract 2000; 4:41-46).
PMID- 24927312
TI - Sertindole is associated with a low level of extrapyramidal symptoms in
schizophrenic patients: Results of a phase III trial.
AB - OBJECT: The objective of this double-blind, multicentre study was to evaluate
four doses of sertindole and haloperidol 10 mg. METHOD: The 617 schizophrenic
patients were randomized to receive sertindole 8, 16, 20 or 24 mg/day or
haloperidol 10 mg/day. Patients were assessed for extrapyramidal symptoms (EPS)
using the Simpson-Angus Scale (SAS) and Barnes Akathisia Scale (BAS), and for
movement disorders using the Abnormal Involuntary Movement Scale (AIMS). RESULTS:
Patients receiving haloperidol experienced significantly more EPS than patients
receiving sertindole, supporting observations made in previous studies. The
incidence of adverse events was similar for all doses of sertindole. SAS and BAS
scores were significantly worse in the haloperidol group than in the sertindole
groups. There were significantly greater increases in mean QT c interval in the
sertindole groups than in the haloperidol group. Sertindole did not cause
sedation. CONCLUSIONS: Sertindole is well tolerated and does not cause the
debilitating EPS associated with traditional antipsychotic drugs. (Int J Psych
Clin Pract 2000; 4:47-54).
PMID- 24927313
TI - Opportunities and challenges presented by the new generation antipsychotics.
AB - The widespread availability of the new generation of atypical antipsychotics
offers the clinician valuable new opportunities to prescribe effective and well
tolerated drug treatments for schizophrenia. As a group, the atypical
antipsychotics are distinguished from the conventional agents by their lower
propensity to induce extrapyramidal symptoms (EPS). In addition, some of these
agents seem to be less likely to cause hyperprolactinaemia; this may contribute
to a lower incidence of sexual and hormonal side-effects than with standard
treatment regimens. EPS and sexual difficulties cause considerable distress to
patients; there are grounds for predicting that better tolerability will lead to
better compliance with treatment and thereby better long-term outcome. There is
accumulating evidence that the atypical antipsychotics are more efficacious than
the standard treatments; this may reflect greater tolerability and enhanced
compliance with treatment, in addition to intrinsic efficacy. But, at the same
time, the new treatments pose fresh challenges to the clinician. These agents
differ from one another and the traditional antipsychotics in their pharmacology,
side-effects and dosing requirements; clinicians are thus required to develop new
treatment strategies, if these drugs are to be deployed to best effect. In
particular, it is important that the new treatments are given rationally.
Polypharmacy should be avoided, as this is unlikely to be more effective, and may
lead to the tolerability benefits of the new agents being lost. Although
clozapine is, rightly, reserved for treatment-resistant patients, on grounds of
haematological safety, the practice of reserving other atypical antipsychotics
for specific groups of patients, such as those with severe illness or established
EPS, is misguided and results in the advantages of the atypical agents being
denied to many patients who might otherwise have benefited greatly. These newer
agents are best used within the setting of a strong therapeutic alliance between
clinician and patient, in which an ongoing dialogue regarding symptoms, side
effects and treatment expectations is an important element. Used rationally, they
offer new opportunities for clinicians and renewed hope to many patients.
PMID- 24927314
TI - Sertindole improves both the positive and negative symptoms of schizophrenia:
Results of a phase III trial.
AB - OBJECTS: This large multicentre, double-blind, randomized study was designed to
evaluate four doses of sertindole and haloperidol 10 mg in the treatment of
patients with DSM-III-R schizophrenia. METHOD: 617 patients were randomized, of
whom 595 were included in an intention-to-treat analysis. 375 patients completed
the study. Patients were randomized to receive sertindole 8 mg/day, sertindole 16
mg/day, sertindole 20 mg/day, sertindole 24 mg/day or haloperidol 10 mg/day for
56days. Efficacy was assessed through the changes in score on the Positive and
Negative Syndrome Scale (PANSS), and the Clinical Global Impressions (CGI) scale.
Improvement in all end-points was observed for all treatment groups. RESULTS:
Sertindole 16 mg showed significantly greater efficacy against negative symptoms
than haloperidol 10 mg. The optimal dose of sertindole was 16 mg/day. Sertindole
8 mg appeared to be suboptimal with respect to efficacy, and increasing the dose
of sertindole above 20 mg did not appear to offer any additional benefit.
Sertindole at all doses caused significantly fewer extrapyramidal symptoms than
haloperidol. CONCLUSION: Sertindole is effective against positive and negative
symptoms of schizophrenia within the dose range 12-24 mg daily, with an optimal
starting dose of 16 mg daily. Efficacy is comparable to 10 mg of haloperidol with
no difference in the time course of treatment response. The dose response
relationship for efficacy with sertindole seems to plateau at about 16 mg daily
with no demonstrable difference in increasing doses above this point. (Int J
Psych Clin Pract 2000; 4:55-62).
PMID- 24927315
TI - A time of opportunity in schizophrenia.
AB - The European Expert Panel on the contemporary treatment of schizophrenia set out
to create a document that would enhance the clinical practice of schizophrenia
across Europe. Drawing on the interests and strengths of the multinational group,
the Panel took a new look at the current management of schizophrenia and
identified areas where common practice and best practice currently diverge.
Thorough analysis of the literature plus critical clinical judgement has resulted
in the Principles of Practice , which represent a new philosophy for the care of
people with schizophrenia. This 17-point statement covers the entire range of
schizophrenia management, from diagnosis and assessment of the first episode,
through to long-term care of the patient with chronic illness. Much of the
literature that informed the Panel discussion is presented in the papers that
form this Supplement.
PMID- 24927316
TI - The relationship between objective sleep variables and subjective sleep
estimation in schizophrenia.
AB - AIM: Few investigations of sleep structure in schizophrenia have concentrated on
the relationship between objective and subjective sleep variables. The aim of
this study was to assess objective sleep variables and subjective estimation of
sleep duration and sleep quality. METHODS: Polysomnography was performed in 20
chronic patients with schizophrenia during three consecutive nights. After final
awakenings subjects answered questions concerning subjective estimations of sleep
duration, sleep latency, number of awakenings, and sleep depth. Pearson
correlations between ranged subjective reports and objective sleep variables were
performed. RESULTS: The results showed a high positive correlation between
objective sleep latency and its subjective estimation; a positive correlation
between subjective estimation of sleep depth and percentage of slow wave sleep
(SWS%); a positive correlation between eye movement (EM) density and subjective
estimation of wakefulness during the night; and a negative correlation between EM
density and dream reports. CONCLUSION: We concluded that objective sleep
variables are related to subjective sleep estimation in schizophrenic patients.
In these patients, EM activity in REM sleep is related to the subjective feeling
of wakefulness. (Int J Psych Clin Pract 2000; 4:63-67).
PMID- 24927317
TI - Clomipramine-induced allergic hepatitis: A case report.
AB - We describe a case history of a 41-year-old woman who developed an allergic
hepatitis with massive eosinophilia and elevated hepatic transaminases secondary
to clomipramine, a tricyclic antidepressant (TCA) drug. Although drug-induced
allergic hepatitis during tricyclic antidepressant therapy is very rare, one
should consider this diagnosis in the case of (right-sided) abdominal pain and
fever whenever a TCA is used, especially during the second month of treatment.
(Int J Psych Clin Pract 2000; 4:69-71).
PMID- 24927318
TI - Bullying and depression: A case report.
AB - Bullying is common in schools and is often seen as an inevitable part of school
life. Children who are bullied tend to be more anxious and insecure than their
peers. We report the case of a 13-year-old boy who was bullied from the age of 9
and presented to the local Child and Adolescent Mental Health Team (CAMHS) with a
psychotic depression. Clinical improvement and re-integration into school was
achieved by use of psychotropic medication and work on building self-esteem so
that the patient could assert himself, both with peers and adults. There is
evidence suggesting that children and adolescents who are bullied have increased
rates of referral to the CAMHS, particularly with symptoms of depression. (Int J
Psych Clin Pract 2000; 4:73-75).
PMID- 24927320
TI - Topics in contemporary psychiatric practice: Compliance in major psychoses.
PMID- 24927319
TI - Long-term efficacy and safety of quetiapine in treatment-refractory
schizophrenia: A case report.
AB - The recent advent of atypical antipsychotics has provided new clinical options
and set higher expectations for the treatment of schizophrenia. Such agents might
more effectively prevent relapse because they are more effective against the full
spectrum of schizophrenic symptoms, as well as having improved tolerability and
leading to improved medication compliance. Quetiapine fumarate ('Seroquel') is a
new dibenzothiazepine antipsychotic agent with a greater affinity for serotonin
receptors than for dopamine receptors and with a lower propensity for producing
extrapyramidal symptoms or increasing prolactin levels. It has recently been
approved for the treatment of psychotic disorders; however, the long-term
efficacy and safety of quetiapine for treating treatment-refractory schizophrenia
is still being investigated. We present a case of a 58-year-old man suffering
from chronic therapy-resistant schizophrenia, with both positive and negative
symptoms, who was successfully treated with quetiapine for 5 years. To the best
of our knowledge, this is the first report of such long beneficial use of
quetiapine in a hospital clinical practice. (Int J Psych Clin Pract 2000; 4:77
80).
PMID- 24927323
TI - Changes in histone H3 lysine 36 methylation in porcine oocytes and
preimplantation embryos.
AB - Histone H3 lysine 36 (H3K36) methylation is known to be associated with
transcriptionally active genes, and is considered a genomic marker of active
loci. To investigate the changes in H3K36 methylation in pig, we determined the
mono-, di-, and tri-methylations of H3K36 (H3K36me1, H3K36me2 and H3K36me3,
respectively) in porcine fetal fibroblasts, oocytes and preimplantation embryos
by immunocytochemistry using specific antibodies and confocal microscopy. These
analyses revealed that only H3K36me3 in porcine fetal fibroblasts consistently
colocalized with transcription sites identified as actively synthesizing RNA
based on fluorouridine (FU) incorporation. Treatment of cells with flavopiridol,
which blocks transcription elongation, completely abrogated both H3K36me3 signals
and RNA synthesis. All three types of H3K36 methylation were present and did not
significantly differ during oocyte maturation. In parthenogenetic embryos,
H3K36me1 and -me2 were detected in 1-cell through blastocyst-stage embryos. In
contrast, H3K36me3 was not detected in most 1-cell stage embryos. H3K36me3
signals became detectable in 2-cell stage embryos, peaked at the 4-cell stage,
decreased at the 8-cell stage, and then became undetectable at blastocyst stages
in both parthenogenetic and in vitro-fertilized (IVF) embryos. Unlike the case in
IVF embryos, H3K36me3 could not be demethylated completely during the 1-cell
stage in somatic cell nuclear transfer (SCNT) embryos. These results collectively
indicate that H3K36me3, but not H3K36me1 or -me2, is associated with
transcription elongation in porcine fetal fibroblasts. H3K36me3 is
developmentally regulated and may be a histone mark of embryonic gene activation
in pig. Aberrant H3K36 tri-methylation occurred during the nuclear reprogramming
of SCNT embryos.
PMID- 24927324
TI - The 'stolen generations' of mothers and daughters: child apprehension and
enhanced HIV vulnerabilities for sex workers of Aboriginal ancestry.
AB - OBJECTIVES: The number of children in care of the state continues to grow in BC,
Canada with a historical legacy of child apprehension among criminalized and
marginalized populations, particularly women of Aboriginal ancestry and sex
workers. However, there is a paucity of research investigating child apprehension
experiences among marginalized mothers. The objective of the current analysis is
to examine the prevalence and correlates of child apprehensions among female sex
workers in Vancouver, Canada. METHODS: Analyses were drawn from the AESHA (An
Evaluation of Sex Workers Health Access, 2010-present), a prospective cohort of
street and off-street SWs, through outreach and semi-annual visits to the
research office. Bivariate and multivariate logistic regression were used to
examine correlates of child apprehension. RESULTS: Of a total of 510 SWs, 350
women who had given birth to at least one child were included in the analyses
(median age = 37 yrs: IQR: 31-44 yrs). The prevalence of child apprehension among
mothers was 38.3%, with 37.4% reporting having been apprehended themselves by
child welfare services. In multivariable analysis, servicing clients in outdoor
public spaces (versus formal sex work establishments or informal indoor settings)
(adjusted odds ratio, (aOR) = 2.73; 95%CI 1.27-5.90), history of injecting drugs
(aOR = 2.53; 95%CI 1.42-4.49), Aboriginal ancestry (aOR = 1.66; 95%CI 1.01-2.74)
were associated with increased odds of child apprehension.
DISCUSSION/CONCLUSIONS: Child apprehension rates are high, particularly among the
most marginalized sex workers, including sex workers who use drugs and sex
workers of Aboriginal ancestry. Structural reforms to child protection are
urgently needed, that support family-based care address the historical legacy of
colonization affecting Aboriginal peoples.
PMID- 24927325
TI - Effect of MRE11 loss on PARP-inhibitor sensitivity in endometrial cancer in
vitro.
AB - AIM OF THE STUDY: To evaluate the frequency of MRE11/RAD50/NBS1 (MRN)-complex
loss of protein expression in endometrial cancers (EC) and to determine whether
loss of MRE11 renders the cancer cells sensitive to Poly(ADP-ribose) polymerase
(PARP)-inhibitory treatment. METHODS: MRN expression was examined in 521 samples
of endometrial carcinomas and in 10 cancer cell lines. A putative mutation
hotspot in the form of an intronic poly(T) allele in MRE11 was sequenced in
selected cases (n = 26). Sensitivity to the PARP-inhibitor, BMN673 was tested in
colony formation assays before and after MRE11 silencing using siRNA. Homologous
recombination (HR) DNA repair was evaluated by RAD51-foci formation assay upon
irradiation and drug treatment. RESULTS: Loss of MRE11 protein was found in 30.7%
of EC tumours and significantly associated with loss of RAD50, NBS1 and mismatch
repair protein expression. One endometrial cell line showed a markedly reduced
MRE11 expression due to a homozygous poly(T) mutation of MRE11, thereby
exhibiting an increased sensitivity to BMN673. MRE11 depletion sensitizes MRE11
expressing EC cell lines to the treatment with BMN673. The increased sensitivity
to PARP-inhibition correlates with reduced RAD51 foci formation upon ionizing
radiation in MRE11-depleted cells. CONCLUSION: Loss of the MRE11 protein predicts
sensitivity to PARP-inhibitor sensitivity in vitro, defining it as an additional
synthetic lethal gene with PARP. The high incidence of MRE11 loss in ECs can be
potentially exploited for PARP-inhibitor therapy. Furthermore, MRE11 protein
expression using immunohistochemistry could be investigated as a predictive
biomarker for PARP-inhibitor treatment.
PMID- 24927326
TI - Idiopathic pulmonary fibrosis: CT and risk of death.
AB - PURPOSE: To investigate the prognostic value of quantitative computed tomographic
(CT) scoring for the extent of fibrosis or emphysema in the context of a clinical
model that includes the gender, age, and physiology ( GAP gender, age, and
physiology model) of the patient. MATERIALS AND METHODS: Study cohorts were
approved by local institutional review boards, and all patients provided written
consent. This was a retrospective cohort study that included 348 patients (246
men, 102 women; mean age, 69 years +/- 9) with idiopathic pulmonary fibrosis from
two institutions. Fibrosis and emphysema visual scores were independently
determined by two radiologists. Models were based on competing risks regression
for death and were evaluated by using the C index and reclassification
improvement. RESULTS: The CT- GAP gender, age, and physiology model (a
modification of the original GAP gender, age, and physiology model that replaces
diffusion capacity of carbon monoxide with CT fibrosis score) had accuracy
comparable to that of the original GAP gender, age, and physiology model, with a
C index of 70.3 (95% confidence interval: 66.4, 74.0); difference in C index
compared with the GAP gender, age, and physiology model of -0.4 (95% confidence
interval: -2.2, 3.4). The performance of the original GAP gender, age, and
physiology model did not change significantly with the simple addition of
fibrosis score, with a change in C index of 0.0 (95% confidence interval: -1.8,
0.5) or of emphysema score, with a change in C index of 0.0 [95% confidence
interval: -1.3, 0.4]). CONCLUSION: CT fibrosis score can replace diffusion
capacity of carbon monoxide test results in a modified GAP gender, age, and
physiology model (the CT- GAP gender, age, and physiology model) with comparable
performance. This may be a useful alternative model in situations where CT
scoring is more reliable and available than diffusion capacity of carbon
monoxide.
PMID- 24927327
TI - Treatment of metastatic posterior vertebral body osseous tumors by using a
targeted bipolar radiofrequency ablation device: technical note.
AB - PURPOSE: To evaluate the feasibility of use and safety of a targeted
radiofrequency ablation (RFA) device for metastatic posterior vertebral body
tumors. MATERIALS AND METHODS: This retrospective study was institutional review
board approved and HIPAA compliant. Consent was waived for retrospective
participation. Fluoroscopic or computed tomography-guided targeted RFA was
performed in 26 patients (47 tumors) with painful metastatic posterior vertebral
body tumors, some of which were radiation therapy resistant, by using a newly
developed spinal tumor ablation system that contains an articulating bipolar
extensible electrode. In 14 women and 12 men aged 44-85 years (mean age, 62
years), the most common primary tumor was lung cancer in seven patients (27%) and
renal cell carcinoma and sarcoma in five patients each (19%). Other tumors
included breast cancer and melanoma in two patients each (8%) and colon cancer,
multiple myeloma, neuroendocrine tumor, head and neck squamous cell carcinoma,
and unknown primary tumor in one patient each (4%). Ablation was performed with
device thermocouples that permitted real-time monitoring of the periphery of the
ablation zones to determine ablation size. Sequential postprocedural pain scores
were obtained. Thirteen patients underwent follow-up imaging, and one underwent
subsequent biopsy of a treated area. A paired two-tailed Student t test was used
to evaluate significance of postoperative visual analog scale scores of pain at 1
week and 1 month. RESULTS: Four of 26 patients developed transient radicular
symptoms after ablation, which resolved with transforaminal blocks. No permanent
neurologic injuries resulted from the procedure. Intraprocedural imaging
demonstrated that the articulating bipolar instrument could be navigated into the
posterior vertebral body tumors with a transpedicular approach. Postablation
imaging confirmed necrosis within the ablation zone. Three patients showed
progression of disease at the treated levels at follow-up. Systemic therapy was
not interrupted to perform the procedures. CONCLUSION: Targeted RFA with a newly
developed articulating device is both feasible and safe for the treatment of
painful posterior vertebral body metastatic tumors.
PMID- 24927328
TI - Peptide-loaded nanoparticles and radionuclide imaging for individualized
treatment of myocardial ischemia.
AB - PURPOSE: To determine whether chitosan hydrogel nanoparticles loaded with
vascular endothelial growth factor (VEGF) peptides (81-91 fragments) capable of
targeting the ischemic myocardium enhance angiogenesis and promote therapeutic
effects and whether radionuclide image-guided dosage control is feasible.
MATERIALS AND METHODS: Experimental procedures and protocols were approved by the
Institutional Animal Care and Use Committee. Rats (n = 32, eight per group) were
subjected to myocardial ischemia (control group) and received chitosan hydrogel
nanoparticles with VEGF165 proteins (chitosan VEGF) or VEGF81-91 peptides
(chitosan peptides) via apical puncture. Ischemic hearts receiving chitosan
without angiogenic factors served as the chitosan control. Myocardial perfusion
was examined 7 days after surgery by using technetium 99m ((99m)Tc) tetrofosmin
(37 MBq) autoradiography, and changes in vascular density with
immunohistochemical staining were reviewed. Kruskal-Wallis test and Bonferroni
corrected Mann-Whitney U test were used for multiple comparisons. Wilcoxon signed
rank test was used to compare myocardial retention of (99m)Tc chitosan. RESULTS:
Thirty minutes of myocardial ischemia resulted in perfusion defects (median, 54%;
interquartile range [IQR], 41%-62%). Chitosan VEGF decreased perfusion defect
extent (median, 68%; IQR, 63%-73%; P = .006 vs control) and increased vascular
density (median, 81 vessels per high-power field; IQR, 72-100; P = .009 vs
control). Administration of chitosan peptides reduced the degree of perfusion
defects (median, 66%; IQR, 62%-73%; P = .006 vs control) and increased vascular
density (median, 82 vessels; IQR, 78-92; P = .006 vs control). The effects of
chitosan peptides on perfusion and vascular density were comparable to those seen
with chitosan VEGF proteins (P = .713 and P = .833, respectively). Chitosan
radiolabeled with (99m)Tc was administered twice at reperfusion with a 1-hour
interval to determine whether image-guided dosage control is feasible. The hearts
initially retained 4.6% (IQR, 4.1%-5.0%) of (99m)Tc chitosan administered and
9.2% (IQR, 6.6%-12.7%; P = .068) with subsequent injection. CONCLUSION: VEGF
peptides have angiogenic potential and resulted in therapeutic effectiveness.
Adjunct use of single photon emission computed tomography was also demonstrated
for individualized treatment of myocardial ischemia by further tailoring the
therapeutic dosing. Online supplemental material is available for this article.
PMID- 24927330
TI - Association of matrix metalloproteinase inducer (EMMPRIN) with the expression of
matrix metalloproteinases-1, -2 and -9 during periapical lesion development.
AB - OBJECTIVE: To evaluate the expression of matrix metalloproteinase inducer
(EMMPRIN) and its correlation with the expression of matrix metalloproteinases
(MMPs)-1, -2 and -9 during the development of periapical lesion in mice. METHODS:
Periapical lesions were induced in the lower first molars of mice and after 7,
14, 21 and 42 days the mandibles were removed. The periapical lesions were
measured by micro-computed tomography. The expression of EMMPRIN, MMPs-1, -2, and
-9 genes were determined by real-time RT-PCR. The location and expression of
EMMPRIN and MMPs were evaluated by immunohistochemistry. RESULTS: At 14 days, the
periapical lesion area was higher than at 7 days. At 21 and 42 days no
statistically significant bone loss was observed in comparison to 14 days. The
control group showed discrete and occasional EMMPRIM, MMP-1, -2 and -9
immunostaining in the periodontal ligament fibroblasts. At 7, 14, 21 and 42 days
intense immunoexpression was observed for EMMPRIN, MMPs-1, -2 and -9 in the
region adjacent to the apical foramen. The EMMPRIN immunoexpression was higher at
7, 14, 21 and 42 days compared with the control. There was a positive correlation
between gene expression of EMMPRIN and MMPs in the active phase of periapical
lesion development. CONCLUSION: There is a high expression of EMMPRIM mainly by
the inflammatory infiltrate in the region adjacent to the apical foramen during
periapical lesion development. Furthermore, the positive correlation with MMP-1,
2, and -9 during the first days after periapical lesion induction indicates that
EMMPRIM may be involved in the active phase of periapical lesions development.
PMID- 24927329
TI - Image-guided tumor ablation: standardization of terminology and reporting
criteria--a 10-year update.
AB - Image-guided tumor ablation has become a well-established hallmark of local
cancer therapy. The breadth of options available in this growing field increases
the need for standardization of terminology and reporting criteria to facilitate
effective communication of ideas and appropriate comparison among treatments that
use different technologies, such as chemical (eg, ethanol or acetic acid)
ablation, thermal therapies (eg, radiofrequency, laser, microwave, focused
ultrasound, and cryoablation) and newer ablative modalities such as irreversible
electroporation. This updated consensus document provides a framework that will
facilitate the clearest communication among investigators regarding ablative
technologies. An appropriate vehicle is proposed for reporting the various
aspects of image-guided ablation therapy including classification of therapies,
procedure terms, descriptors of imaging guidance, and terminology for imaging and
pathologic findings. Methods are addressed for standardizing reporting of
technique, follow-up, complications, and clinical results. As noted in the
original document from 2003, adherence to the recommendations will improve the
precision of communications in this field, leading to more accurate comparison of
technologies and results, and ultimately to improved patient outcomes. Online
supplemental material is available for this article .
PMID- 24927331
TI - Hydrogen sulfide synergistically upregulates Porphyromonas gingivalis
lipopolysaccharide-induced expression of IL-6 and IL-8 via NF-kappaB signalling
in periodontal fibroblasts.
AB - OBJECTIVES: The periodontal pathogen Porphyromonas gingivalis produces hydrogen
sulfide (H2S). H2S in the oral cavity is positively correlated with periodontitis
but the mechanism by which H2S contributes to periodontal diseases is obscure. We
investigated the effect of H2S in combination with P. gingivalis
lipopolysaccharide (LPS) on expression of the pro-inflammatory cytokines
interleukin (IL)-6 and IL-8 in periodontal fibroblasts and the underlying
mechanism of action. MATERIAL AND METHODS: Gingival fibroblasts (GFs) and
periodontal ligament cells (PDLCs) were treated with different concentrations of
the H2S donor NaHS in the presence/absence of P. gingivalis LPS for different
time periods. Expression of IL-6 and IL-8 was detected by real-time PCR and
ELISA. The activity of nuclear factor-kappa B (NF-kappaB) signalling was
investigated using western blotting, EMSA and pathway blockade assays. RESULTS:
Real-time PCR and ELISA results showed that H2S not only upregulated expression
of IL-6 and IL-8 at mRNA and protein levels in a dose- and time-dependent manner,
but also aggravated P. gingivalis LPS-induced expression of IL-6 and IL-8 in GFs
and PDLCs. Western blotting and EMSA showed that NF-kappaB signalling was
activated by NaHS, P. gingivalis LPS, and both, which was in accordance with the
expression levels of IL-6 and IL-8 in GFs and PDLCs. These results were confirmed
using a NF-kappaB pathway blockade assay. CONCLUSIONS: H2S synergistically
upregulated P. gingivalis LPS-induced expression of IL-6 and IL-8 in GFs and
PDLCs via activation of NF-kappaB signalling, which could promote the development
of periodontitis.
PMID- 24927334
TI - Catalytic effect of water, formic acid, or sulfuric acid on the reaction of
formaldehyde with OH radicals.
AB - In this paper, for the hydrogen abstraction reaction of HCHO by OH radicals
assisted by water, formic acid, or sulfur acid, the possible reaction mechanisms
and kinetics have been investigated theoretically using quantum chemistry methods
and transition-state theory. The potential energy surfaces calculated at the
CCSD(T)/6-311++G(df,pd)//MP2(full)/6-311++G(df,pd) levels of theory reveal that,
due to the formation of strong hydrogen bond(s), the relative energies of the
transition states involving catalyst are significantly reduced compared to that
reaction without catalyst. However, the kinetics calculations show that the rate
constants are smaller by about 3, 9, or 10 orders of magnitude for water, formic
acid, or sulfur acid assisted reactions than that uncatalyzed reaction,
respectively. Consequently, none of the water, formic acid, or sulfur acid can
accelerate the title reaction in the atmosphere.
PMID- 24927332
TI - MultiNotch MS3 enables accurate, sensitive, and multiplexed detection of
differential expression across cancer cell line proteomes.
AB - Multiplexed quantitation via isobaric chemical tags (e.g., tandem mass tags (TMT)
and isobaric tags for relative and absolute quantitation (iTRAQ)) has the
potential to revolutionize quantitative proteomics. However, until recently the
utility of these tags was questionable due to reporter ion ratio distortion
resulting from fragmentation of coisolated interfering species. These interfering
signals can be negated through additional gas-phase manipulations (e.g., MS/MS/MS
(MS3) and proton-transfer reactions (PTR)). These methods, however, have a
significant sensitivity penalty. Using isolation waveforms with multiple
frequency notches (i.e., synchronous precursor selection, SPS), we coisolated and
cofragmented multiple MS2 fragment ions, thereby increasing the number of
reporter ions in the MS3 spectrum 10-fold over the standard MS3 method (i.e.,
MultiNotch MS3). By increasing the reporter ion signals, this method improves the
dynamic range of reporter ion quantitation, reduces reporter ion signal variance,
and ultimately produces more high-quality quantitative measurements. To
demonstrate utility, we analyzed biological triplicates of eight colon cancer
cell lines using the MultiNotch MS3 method. Across all the replicates we
quantified 8,378 proteins in union and 6,168 proteins in common. Taking into
account that each of these quantified proteins contains eight distinct cell-line
measurements, this data set encompasses 174,704 quantitative ratios each measured
in triplicate across the biological replicates. Herein, we demonstrate that the
MultiNotch MS3 method uniquely combines multiplexing capacity with quantitative
sensitivity and accuracy, drastically increasing the informational value
obtainable from proteomic experiments.
PMID- 24927333
TI - Anchorage-independent growth of Ewing sarcoma cells under serum-free conditions
is not associated with stem-cell like phenotype and function.
AB - Novel treatment strategies for Ewing sarcoma aim to eliminate residual tumor
cells that have maintained the capacity to reinitiate tumor growth after
intensive conventional therapy. Preclinical models that more closely mimic in
vivo tumor growth than standard monolayer cultures are needed. Sphere formation
under anchorage-independent, serum-free conditions has been proposed to enrich
for cells with tumor-initiating, stem cell-like properties in various solid
cancers. In the present study, we assessed the phenotype and functional stem cell
characteristics of Ewing sarcoma spheres. Spheres were generated under serum-free
culture conditions from four Ewing sarcoma cell lines and four relapse tumor
biopsies. Standard monolayer cultures were established as controls. Median levels
of surface expression of the Ewing sarcoma marker CD99 as well as the supposed
stem cell marker CD133 and the neural crest marker CD57 were comparable between
spheres and monolayers. Ewing sarcoma spheres from individual tumors failed to
continuously self-renew by secondary sphere formation. They contained variable
proportions of side populations (SPs). Sphere culture did not enhance the in vivo
tumorigenicity of Ewing sarcoma cells in a murine xenograft model. We conclude
that sphere formation under serum-free conditions is not a reliable tool to
enrich for cells with stem cell characteristics in Ewing sarcoma. By mimicking
the anchorage-independent, multicellular growth of Ewing sarcoma micrometastases,
in vitro sphere growth may still add value as a preclinical tool to evaluate the
efficacy of novel therapeutics.
PMID- 24927335
TI - World Health Day 2014: an opportunity to promote research on vectors & vector
borne diseases.
PMID- 24927336
TI - A brief history of vaccines & vaccination in India.
AB - The challenges faced in delivering lifesaving vaccines to the targeted
beneficiaries need to be addressed from the existing knowledge and learning from
the past. This review documents the history of vaccines and vaccination in India
with an objective to derive lessons for policy direction to expand the benefits
of vaccination in the country. A brief historical perspective on smallpox disease
and preventive efforts since antiquity is followed by an overview of 19 th
century efforts to replace variolation by vaccination, setting up of a few
vaccine institutes, cholera vaccine trial and the discovery of plague vaccine.
The early twentieth century witnessed the challenges in expansion of smallpox
vaccination, typhoid vaccine trial in Indian army personnel, and setting up of
vaccine institutes in almost each of the then Indian States. In the post
independence period, the BCG vaccine laboratory and other national institutes
were established; a number of private vaccine manufacturers came up, besides the
continuation of smallpox eradication effort till the country became smallpox free
in 1977. The Expanded Programme of Immunization (EPI) (1978) and then Universal
Immunization Programme (UIP) (1985) were launched in India. The intervening
events since UIP till India being declared non-endemic for poliomyelitis in 2012
have been described. Though the preventive efforts from diseases were practiced
in India, the reluctance, opposition and a slow acceptance of vaccination have
been the characteristic of vaccination history in the country. The operational
challenges keep the coverage inequitable in the country. The lessons from the
past events have been analysed and interpreted to guide immunization efforts.
PMID- 24927337
TI - Role of neural modulation in the pathophysiology of atrial fibrillation.
AB - Atrial-fibrillation (AF) is the most common clinically encountered arrhythmia
affecting over 1 per cent of population in the United States and its prevalence
seems to be moving only in forward direction. A recent systemic review estimates
global prevalence of AF to be 596.2 and 373.1 per 100,000 population in males and
females respectively. Multiple mechanisms have been put forward in the
pathogenesis of AF, however; multiple wavelet hypothesis is the most accepted
theory so far. Similar to the conduction system of the heart, a neural network
exists which surrounds the heart and plays an important role in formation of the
substrate of AF and when a trigger is originated, usually from pulmonary vein
sleeves, AF occurs. This neural network includes ganglionated plexi (GP) located
adjacent to pulmonary vein ostia which are under control of higher centers in
normal people. When these GP become hyperactive owing to loss of inhibition from
higher centers e.g. in elderly, AF can occur. We can control these hyperactive GP
either by stimulating higher centers and their connections, e.g. vagus nerve
stimulation or simply by ablating these GP. This review provides detailed
information about the different proposed mechanisms underlying AF, the exact role
of autonomic neural tone in the pathogenesis of AF and the possible role of
neural modulation in the treatment of AF.
PMID- 24927338
TI - Tobacco cessation outcomes in a cohort of patients attending a chest medicine
outpatient clinic in Bangalore city, southern India.
AB - BACKGROUND & OBJECTIVES: Nicotine dependence is a widely prevalent and harmful
chronic addictive disorder. Quitting tobacco use is however, uncommon in India.
We present long-term treatment outcomes of out-patient, tobacco cessation
treatments from a specialty clinic setting in southern India. METHODS: Patients
seen in a tobacco cessation clinic were characterized for tobacco use, nicotine
dependence and motivation for quitting and offered pharmacologic/non
pharmacologic treatment. They were subsequently contacted telephonically at a
mean (+/-standard deviation) of 24 (+/-9.1) months to assess tobacco cessation
outcome defined as 'point prevalence of 1-month abstinence' by self-reporting.
RESULTS: The mean age of participants was 48.0 +/-14.0 yr. Tobacco use
distribution was: beedis only (22%), cigarettes only (49%), beedis and cigarettes
(18%), chewing only (2%), and smoking and chewing (9%). Two-thirds had high level
of nicotine dependence. Of the 189 patients enrolled, only 15 per cent attended
follow up clinics. Only 106 (56%) patients were successfully contacted
telephonically and 83 (44%) were lost to follow up. Self-reported point
prevalence abstinence was 5 per cent by 'intent-to-treat' analysis and 10 per
cent by 'responder' analysis. Two clinical parameters - high level of nicotine
dependence [estimated by the heaviness of smoking index (HSI)] and the absence of
vascular or other chronic disease were found to be associated with successful
quitting; these were however, not significant on multivariate analysis.
INTERPRETATION & CONCLUSIONS: Our study has identified low quit-rates in a cohort
of patients attending a hospital-based tobacco cessation clinic. In the absence
of clear-cut predictors of cessation with low quit-rates, there should be
continued efforts to improve cessation outcomes and identify predictors for
action.
PMID- 24927339
TI - Physical state & copy number of high risk human papillomavirus type 16 DNA in
progression of cervical cancer.
AB - BACKGROUND & OBJECTIVES: High-risk human papilloma virus (HR-HPV) infection and
its integration in host genome is a key event in malignant transformation of
cervical cells. HPV16 being a dominant HR-HPV type, we undertook this study to
analyze if viral load and physical state of the virus correlated with each other
in the absence of other confounding variables and examined their potential as
predictors of progressive cervical lesions. METHODS: Both, viral load and
integration status of HPV16 were determined by real time URR PCR and estimation
of E2:E6 ratio in a total of 130 PGMY-RLB -confirmed, monotypic HPV16-infected
cervical DNA samples from biopsies of cytology-confirmed low grade (LSIL, 30) and
high grade (HSIL, 30), and invasive carcinoma, (squamous cell carcinoma SCC, 70)
cases. RESULTS: Investigation of DNA samples revealed a gradual increase in HPV16
viral load over several magnitudes and increased frequency of integration from
LSIL to HSIL and HSIL to invasive cancer in relation to the severity of lesions
in monotypic HPV16-infected cervical tissues. In a substantial number of
precancer (11/60) and cancer cases (29/70), HPV16 was detected in concomitant
mixed form. The concomitant form of HPV16 genome carried significantly higher
viral load. INTERPRETATION & CONCLUSIONS: Overall, viral load and integration
increased with disease severity and could be useful biomarkers in disease
progression, at least, in HPV16-infected cervical pre-cancer and cancer lesions.
PMID- 24927341
TI - Bioevaluation of (125) I Ocu-Prosta seeds for application in prostate cancer
brachytherapy.
AB - BACKGROUND & OBJECTIVES: In recent years, brachytherapy involving permanent
radioactive seed implantation has emerged as an effective modality for the
management of cancer of prostate. 125 I-Ocu-Prosta seeds were indigenously
developed and studies were carried out to assess the safety of the indigenously
developed 125 I-Ocu-Prosta seeds for treatment of prostate cancer. METHODS:
Animal experiments were performed to assess the likelihood of in vivo release of
125 I from radioactive seeds and migration of seeds implanted in the prostate
gland of the rabbit. In vivo release of 125 I activity was monitored by serial
blood sampling from the auricular vein and subsequent measurement of 125 I
activity. Serial computed tomography (CT) scans were done at regular intervals
till 6 months post implant to assess the physical migration of the seeds.
RESULTS: The laser welded seeds maintained their hermeticity and prevented the in
vivo release of 125 I activity into the blood as no radioactivity was detected
during follow up blood measurements. Our study showed that the miniature 125 I
seeds were clearly resolved in CT images. Seeds remained within the prostate
gland during the entire study period. Moreover, the seed displacement was minimal
even within the prostate gland. INTERPRETATION & CONCLUSIONS: Our findings have
demonstrated that indigenously developed 125 I-Ocu-Prosta seeds may be suitable
for application in treatment of prostate cancer.
PMID- 24927340
TI - An approach for conjugation of (177) Lu- DOTA-SCN- Rituximab (BioSim) & its
evaluation for radioimmunotherapy of relapsed & refractory B-cell non Hodgkins
lymphoma patients.
AB - BACKGROUND & OBJECTIVES: The prerequisite of radioimmunotherapy is stable binding
of a radionuclide to monoclonal antibodies, which are specific to the tumour
associated antigen. Most B-cell lymphomas express CD20 antigen on the surface of
the tumour cells, making it a suitable target for therapeutic radioactive
monoclonal antibodies. In the present study, the immunoconjugate of biosimilar
Rituximab (RedituxTM) and macrocyclic chelator, p-SCN-Bz-DOTA, was prepared and
radiolabelled with Lutetium-177 followed by quality control procedures. METHODS:
Rituximab(BioSim) was desalted with sodium bicarbonate (0.1M, pH 9.0) and
incubated with DOTA-SCN (1:50). The effectiveness of the conjugation was
evaluated by determining the number of chelators per antibody molecule. This
conjugate was radiolabelled with Lutetium-177 and purified using PD10 column. The
quality control parameters like pH, clarity, radiochemical purity, in vitro
stability and sterility were studied. Immunoreactivity of 177 Lu-DOTA-Rituximab
(BioSim) was assessed using RAMOS cells. The radioimmunoconjugate (RIC) after
stringent quality assurance was injected in three patients and the
biodistribution profile was analysed. RESULTS: An average of 4.25 +/- 1.04 p-SCN
Bz-DOTA molecules could be randomly conjugated to a single molecule of Rituximab
(BioSim).The radiochemical purity of the labelled antibody was > 95 per cent with
preserved affinity for CD20 antigen. The final preparation was stable up to about
120 h when tested under different conditions. A favourable biodistribution
profile was observed with liver showing the maximum uptake of the RIC.
INTERPRETATION & CONCLUSIONS: A favourable radiochemical purity, stability and
biodistribution of the radiolabelled immunoconjugate indicate that clinical
trials for evaluation of toxicity and efficacy of 177 Lu-DOTA-antiCD20 antibody
Rituximab (BioSim) in patients of relapsed and refractory non Hodgkin's lymphoma
can be considered.
PMID- 24927342
TI - Downregulated inhibitor of growth 3 (ING3) expression during colorectal
carcinogenesis.
AB - BACKGROUND & OBJECTIVES: ING3 (inhibitor of growth protein 3) overexpression
decreased S-phase cell population and colony-forming efficiency, and induced
apoptosis at a p53-mediated manner. The aim of this study was to investigate the
clinicopathological and prognostic significance of ING3 expression in colorectal
carcinogenesis and subsequent progression. METHODS: ING3 expression was examined
by immunohistochemistry on tissue microarray containing colorectal non-neoplastic
mucosa (NNM), adenoma and adenocarcinoma. Colorectal carcinoma tissue and cell
lines were studied for ING3 expression by Western blot or RT-PCR. RESULTS: ING3
mRNA was differentially expressed in Colo201, Colo205, DLD-1, HCT-15, HCT-116, HT
29, KM-12, SW480, SW620 and WiDr cells. Carcinomas showed significantly lower
ING3 expression than matched NNM at mRNA level (P< 0.05), but not at protein
level. Immunohistochemically, ING3 expression was significantly decreased from
NNM, adenoma to adenocarcinoma (P< 0.05). ING3 expression was not correlated with
age, sex, tumour size, depth of invasion, lymphatic or venous invasion, lymph
node metastasis, tumour- node- metastasis staging or differentiation. Kaplan
Meier analysis indicated that ING3 protein expression was not associated the
prognosis of the patients with colorectal carcinoma (P< 0.05). INTERPRETATION &
CONCLUSIONS: Our study showed that downregulated ING3 expression might play an
important role in colorectal adenoma-adenocarcinoma sequence. Further studies are
required to understand the mechanism.
PMID- 24927343
TI - Role of oxidative stress & antioxidant defence in ulcerative colitis patients
from north India.
AB - BACKGROUND & OBJECTIVES: Oxidative stress contributes to severity of ulcerative
colitis (UC) but the status of erythrocyte antioxidant defence remains unknown.
The present study was aimed to study the role of oxidative stress and antioxidant
levels in erythrocytes of UC patients from north India. METHODS: A total of 81
adult UC patients and 85 age and sex matched apparently healthy controls were
included in this study. Levels of lipid peroxidation (LPO), reduced glutathione
(GSH), catalase and superoxide dismutase (SOD) were measured in erythrocytes.
RESULTS: Mean age of UC patients was 43.5 yr (range 18-64 yr) while in the
control group this was 45.3 yr (range 20-64 yr). LPO, catalase and SOD levels in
UC patients were significantly increased (P< 0.05) compared to healthy controls,
while GSH levels in UC patients were significantly decreased (P< 0.05) compared
to healthy controls Ulcerative colitis activity score (UCAI) was 157.4+/-27.6 in
UC patients. INTERPRETATION & CONCLUSIONS: Increased levels of LPO, SOD, catalase
and a decreased level of GSH represent that oxidative stress plays a significant
role in pathophysiology of UC. Further, the levels of LPO, GSH, catalase and SOD
remained same during different UCAI.
PMID- 24927344
TI - CYP4F2 1347 G > A & GGCX 12970 C > G polymorphisms: frequency in north Indians &
their effect on dosing of acenocoumarol oral anticoagulant.
AB - BACKGROUND & OBJECTIVES: CYP4F2 and gamma-glutamyl carboxylase (GGCX) have small
but significant roles in the maintenance dose of coumarinic oral anticoagulants
(COAs). CYP4F2 1347 G > A and GGCX 12970 C > G polymorphisms have been used in
the pharmacogenetic dosing algorithms of warfarin for Caucasians and Chinese
populations. India has a large population with multiple ethnic groups but there
are no reports about the frequencies of these polymorphisms in north Indians. In
the present study, we aimed to find out the allelic frequencies of CYP4F2 1347 G
> A and GGCX 12970 C > G polymorphisms in a north Indian population and relate
these to daily maintenance drug dose requirements of COA. METHODS: CYP4F2 1347 G
> A and GGCX 12970 C > G polymorphisms were genotyped by polymerase chain
reaction - restriction fragment length polymorphism (PCR-RFLP) protocols and
Taqman SNP discrimination assays in healthy volunteers (n=102) and patients
(n=225) receiving acenocoumarol, an oral anticoagulant, after cardiac valve
replacement surgery. RESULTS: In healthy volunteers, the allele frequencies for
CYP4F2 1347 G > A and GGCX 12970 C > G were 43.14 and 1.43 per cent,
respectively. No significant differences in mean weight normalized doses of
acenocoumarol were found for these CYP4F2 and GGCX genotypes. Binary logistic
regression analysis revealed no significant association of any of the genotypes
or alleles with the dosing phenotypes for both the SNPs. INTERPRETATION &
CONCLUSIONS: We report distinct frequencies of CYP4F2 1347 G > A and GGCX 12970 C
> G polymorphisms in north Indians but these polymorphisms did not have
significant bearing on maintenance dose of acenocoumarol oral anticoagulant in
cardiac valve replacement patients.
PMID- 24927345
TI - Blood count in new onset atrial fibrillation after acute myocardial infarction -
a hypothesis generating study.
AB - BACKGROUND & OBJECTIVES: Atrial fibrillation (AF) is a common complication after
acute myocardial infarction (AMI) and associated with increased morbidity and
mortality. Previous studies identified high white and red blood cell count as
potential risk factors for new onset AF. The objective of this retrospective,
nested case-control study was to examine the association of different parameters
of the blood count with the development of new onset of AF after AMI. METHODS: A
total of 66 consecutive patients with new onset AF after AMI and 132 sex and age
matched controls were enrolled into the study and analyzed whether parameters of
the blood count, including leukocytes, platelets, haemoglobin, haematocrit or
erythrocyte count, are associated with the occurrence of AF after AMI. All AMI
patients had undergone coronary angiography. RESULTS: Patients with post-AMI AF
displayed significantly higher levels of haemoglobin (14.2 g/dl, IQR 12.4-15 vs.
12.9 g/dl, IQR 11.7-13.8; P< 0.001), haematocrit (41.7 %, IQR 36.6-44.3 vs. 38.7
%, IQR 34.7-41.5; P 0.0015), and erythrocyte count (4.6 T/l, IQR 4.1-5 vs. 4.2
T/l, IQR 3.9-4.65; P< 0.001). In the unadjusted and adjusted logistic regression
analysis, the blood parameters most strongly associated with the outcome were
serum haemoglobin (crude OR 2.20, 95% CI 1.40- 3.47, P 0.001; adjusted OR 3.82,
95% CI 1.71- 8.54, P 0.001) and erythrocyte count (crude OR 2.10, 95% CI 1.36
3.22, P 0.001; adjusted OR 3.79, 95% CI 1.73- 8.33, P 0.001), whereas haematocrit
did not reach statistical significance. INTERPRETATION & CONCLUSIONS: This study
shows a significant independent association between serum haemoglobin,
haematocrit, erythrocyte count and occurrence of AF after AMI. However, the
pathophysiologic mechanism underlying these associations and its potential
clinical applicability need to be further elucidated.
PMID- 24927346
TI - Pasteurization of bone for tumour eradication prior to reimplantation - an in
vitro & pre-clinical efficacy study.
AB - BACKGROUND & OBJECTIVES: In current era of limb-salvage therapy, pasteurization
of bone sarcomas is receiving growing attention as a potential extracorporeal
treatment and cost-effective alternative to allografts and radiation before
surgical reimplantation. Detailed in vitro and in vivo pre-clinical study to
evaluate efficacy of pasteurization to eradicate malignant cells has not been
reported yet. The present study was carried out to assess the efficacy of
pasteurization to kill tumour cells both in vitro and in vivo. METHODS:
Surgically resected specimens of osteosarcomas (n=4) were cut into equal halves
and one section was pasteurized by heating at 60 degrees C to 65 degrees C for 40
min. Paired samples before and after pasteurization were studied in vitro for DNA
ploidy, evaluation of histological change and elimination of mitotic activity.
These tissues were transplanted in immune-deficient NOD-SCID mice to evaluate
effect on tumour-generating ability, presence of human nuclei, osteopontin and
cytokine/chemokines released in tumour-transplanted mice. RESULTS: Non
pasteurized tumour samples had viable tumour cells which exhibited significant
growth in culture, increased proliferative ability and clonogenic potential while
respective pasteurized tumour tissues did not grow in culture and did not exhibit
clonogenicity. Flow cytometry revealed that propidium iodide positive dead cells
increased significantly (P< 0.01) post pasteurization. Seven of 12 non
pasteurized tumour transplanted mice demonstrated tumour-forming ability as
against 0 of 12 in pasteurized tumour transplanted mice. Solid tumour xenografts
exhibited strong expression of anti-human nuclei and osteopontin by
immunohistochemistry as well as secretary human interluekin-6 (IL-6) while
pasteurized mice failed to express these markers. INTERPRETATION & CONCLUSIONS:
This study has provided a basis to establish pasteurization as being efficacious
in ensuring tumour eradication from resected bone tumour specimens. Pasteurized
tumour bearing bone can thus safely be used to reconstruct large defects after
tumour resection.
PMID- 24927347
TI - Age related secretary pattern of growth hormone, insulin-like growth factor-I &
insulin-like growth factor binding protein-3 in postmenopausal women.
AB - BACKGROUND & OBJECTIVES: After menopause in women, loss of bone density increases
rapidly with estrogen deficiency. Evidence has revealed that this deficiency may
be directly correlated with growth hormone (GH) level declining with age. The
present study was designed to evaluate the age dependant patterns of GH, insulin
like growth factor-1 (IGF1-1) and insulin-like growth factor binding protein-3
(IGFBP-3) endogenous secretion in postmenopausal women. METHODS: During this
prospective study in a 12-month period, 150 postmenopausal women were enrolled
who were referred to the densitometry unit of bone research centre of Tabriz
University of Medical Sciences for assessing bone mineral density. Serum levels
of basal and clonidine stimulated GH were measured using radioimmunoassay while
IGF-1 and IGFBP-3 were measured by ELISA. Post stimulation over 3 to 6 fold
increase in GH over the baseline level was considered normal response and less
increase was considered abnormal. RESULTS: There were no significant differences
in the mean levels of GH0, GH60 and GH90 in different age groups of
postmenopausal women. No significant difference in the mean IGFBP-3 and IGF-1
levels was seen in different age groups of postmenopausal women. The number of
postmenopausal women with abnormal response to stimulation by clonidine in 61-70
and > 70 yr age groups was higher than in other groups (P< 0.05). INTERPRETATION
& CONCLUSIONS: Despite the higher rate of abnormal response to stimulation by
clonidine in women aged more than 60 yr, the current study showed no significant
correlation between age, and the basal and stimulated GH secretion rate and serum
levels of IGF-1 and IGFBP-3 in postmenopausal women.
PMID- 24927348
TI - Covalent immobilization of lipase, glycerol kinase, glycerol-3-phosphate oxidase
& horseradish peroxidase onto plasticized polyvinyl chloride (PVC) strip & its
application in serum triglyceride determination.
AB - BACKGROUND & OBJECTIVES: Reusable biostrip consisting enzymes immobilized onto
alkylamine glass beads affixed on plasticized PVC strip for determination of
triglyceride (TG) suffers from high cost of beads and their detachments during
washings for reuse, leading to loss of activity. The purpose of this study was to
develop a cheaper and stable biostrip for investigation of TG levels in serum.
METHODS: A reusable enzyme-strip was prepared for TG determination by co
immobilizing lipase, glycerol kinase (GK), glycerol-3-phosphate oxidase (GPO) and
peroxidase (HRP) directly onto plasticized polyvinyl chloride (PVC) strip through
glutaraldehyde coupling. The method was evaluated by studying its recovery,
precision and reusability. RESULTS: The enzyme-strip showed optimum activity at
pH 7.0, 35 o C and a linear relationship between its activity and triolein
concentration in the range 0.1 to 15 mM. The strip was used for determination of
serum TG. The detection limit of the method was 0.1 mM. Analytical recovery of
added triolein was 96 per cent. Within and between batch coefficients of
variation (CV) were 2.2 and 3.7 per cent, respectively. A good correlation
(r=0.99) was found between TG values by standard enzymic colrimetric method
employing free enzymes and the present method. The strip lost 50 per cent of its
initial activity after its 200 uses during the span of 100 days, when stored at 4
o C. INTERPRETATION & CONCLUSIONS: The nitrating acidic treatment of plasticized
PVC strip led to glutaraldehyde coupling of four enzymes used for enzymic
colourimetric determination of serum TG. The strip provided 200 reuses of enzymes
with only 50 per cent loss of its initial activity. The method could be used for
preparation of other enzyme strips also.
PMID- 24927349
TI - Safety evaluation of mercury based Ayurvedic formulation (Sidh Makardhwaj) on
brain cerebrum, liver & kidney in rats.
AB - BACKGROUND & OBJECTIVES: Sidh Makardhwaj (SM) is a mercury based Ayurvedic
formulation used in rheumatoid arthritis and neurological disorders. However,
toxicity concerns due to mercury content are often raised. Therefore, the present
study was carried out to evaluate the effect of SM on brain cerebrum, liver and
kidney in rats. METHODS: Graded doses of SM (10, 50, 100 mg/kg), mercuric
chloride (1 mg/kg) and normal saline were administered orally to male Wistar rats
for 28 days. Behavioural parameters were assessed on days 1, 7, 14 and 28 using
Morris water maze, passive avoidance, elevated plus maze and rota rod. Liver and
kidney function tests were done on day 28. Animals were sacrificed and brain
cerebrum acetylcholinesterase activity, levels of malondialdehyde (MDA), reduced
glutathione (GSH) in brain cerebrum, liver, kidney were estimated. The levels of
mercury in brain cerebrum, liver and kidney were estimated and histopathology of
these tissues was also performed. RESULTS: SM in the doses used did not cause
significant change in neurobehavioural parameters, brain cerebrum AChE activity,
liver (ALT, AST, ALP bilirubin) and kidney (serum urea and creatinine) function
tests as compared to control. The levels of mercury in brain cerebrum, liver, and
kidney were found to be raised in dose dependent manner. However, the levels of
MDA and GSH in these tissues did not show significant changes at doses of 10 and
50 mg/kg. Also, there was no histopathological change in cytoarchitecture of
brain cerebrum, liver, and kidney tissues at doses of 10 and 50 mg/kg.
INTERPRETATION & CONCLUSIONS: The findings of the present study suggest that Sidh
Makardhwaj upto five times the equivalent human dose administered for 28 days did
not show any toxicological effects on rat brain cerebrum, liver and kidney.
PMID- 24927350
TI - Effect of active immunization against angiotensin II type 1 (AT1) receptor on
hypertension & arterial remodelling in spontaneously hypertensive rats (SHR).
AB - BACKGROUND & OBJECTIVES: a0 ngiotensin II receptor type 1 (AT1) is known to be
involved in the pathogenesis of hypertension. t0 his study was undertaken to
explore the effect of active immunization against AT1 receptor on blood pressure
and small artery remodelling in spontaneously hypertensive rat (SHR). METHODS:
Male SHR and Wistar rats aged two months were actively immunized with different
peptides (ATR12185??ATR10014 and ATR12181) corresponding to particular sequences
of rat AT1 receptor, while another SHR group was given losartan (10 mg/kg/day)
orally once a day. Anti-AT1 receptor antibodies were detected by ELISA and blood
pressure was measured. The effect of the antibodies on the artery and vascular
smooth muscle cells (VSMCs) proliferation was studied. RESULTS: all immunized
animals produced antibodies against the particular peptides. The systolic blood
pressure was decreased in the SHR immunized with peptide-ATR12181 compared with
the control. However, no changes were observed in the SHR immunized with other
two peptides. The Wistar rats immunized with the three peptides did not show any
changes in blood pressure. The media/lumen area ratio of the mesenteric artery
was reduced in SHR immunized with ATR12181 and similar to that of the SHR treated
with losartan. The antibody from SHR immunized with ATR12181 had no effect on the
proliferation of VSMC. But it could inhibit the proliferation caused by
angiotensin II and its effect at the titre of 1:40 was similar to that of 1umol/l
losartan. INTERPRETATION & CONCLUSIONS: Our findings demonstrated that the
antibody from SHR immunized with ATR12181 had the effect of reducing blood
pressure and target organ protection similar to losartan. Active immunization
against AT1 receptor may be a promising strategy in future for the treatment of
hypertension.
PMID- 24927351
TI - Phenotypic identification & molecular detection of bla (ndm-1) gene in multidrug
resistant Gram-negative bacilli in a tertiary care centre.
AB - BACKGROUND & OBJECTIVES: Carbapenemase-producing Enterobacteriaceae isolates have
been increasingly identified worldwide. Though molecular data regarding New Delhi
metallo-beta-lactamase-1 (NDM-1) producers are available, data regarding their
rate of infection in a hospital setting and percentage among different clinical
isolates are scarce. Hence, this study was undertaken to determine the occurrence
of bla NDM-1 gene among clinical isolates of multidrug resistant Gram-negative
bacilli (MDRGNB) in a tertiary care centre in Bangalore, Karnataka, India.
METHODS: A total of 74 MDRGNB isolates were studied. These were screened for MBL
production by phenotypic assays such as double disk synergy test (DDST) and
Modified Hodge's test (MHT). PCR was performed for the molecular detection of the
gene and antibiograms were confirmed by automated bacteriology system. RESULTS:
Of the 74 MDRGNB isolates, 34 were positive for bla NDM-1 gene. All isolates were
resistant to aztreonam and two isolates were resistant to tigecycline. Complete
resistance to the tested carbapenems was seen in 28 (82.35%) of the positive
isolates whereas variable carbapenem resistance was seen in six (17.64%) of the
positive clinical isolates. Of the total 34 PCR positive isolates, 33 (97.05%)
NDM-1 producers were identified by DDST and 26 (76.47%) by MHT as producers of
MBL. INTERPRETATION & CONCLUSIONS: A high percentage of plasmid encoded NDM was
noted in MDRGNB. Phenotypic and molecular screening should be employed along with
routine antimicrobial susceptibility testing to reflect the true number of
metallo-beta-lactamase producers.
PMID- 24927352
TI - Detection & characterization of necrotoxin producing Escherichia coli (NTEC) from
patients with urinary tract infection (UTI).
AB - BACKGROUND & OBJECTIVES: Urinary tract infections (UTI) are a serious health
problem affecting millions of people each year. Although appreciable work on
various aspects of UTI including aetiology per se has been done, information on
the emerging pathogens like necrotoxigenic Escherichia coli (NTEC) is largely
lacking in India. In the present study E. coli isolates from patients with
urinary tract infection from northeastern India were investigated for detection
and characterization of NTEC. METHODS: E. coli isolated and identified from urine
samples of patients with UTI were serotyped. Antibiogram was determined by disc
diffusion test. Plasmid profile was also determined. Virulence genes of NTEC
(cnf1, cnf2, pap, aer, sfa, hly, afa) were detected by PCR assay. E.coli isolates
carrying cnf gene (s) were identified as NTEC. RESULTS: A total of 550 E. coli
were isolated and tested for the presence of cnf genes. Of these, 84 (15.27%)
belonged to NTEC. The cnf1 gene was present in 52 (61.9%) isolates, cnf2 in 23
(27.4%) and 9 (10.7%) carried both cnf1 and cnf2 genes. All the NTEC strains were
found to harbour the pap and aer genes. Serogroup O4 was found to be the most
common among the 12 serogroups identified amongst the NTEC isolates. Majority of
the isolates (96.4%) were sensitive to furazolidone and were highly resistant to
ampicillin. NTEC were found to harbour different numbers of plasmids (1 to 7). No
association was observed between the number of plasmids and the antibiotic
resistance of the isolates. INTERPRETATION & CONCLUSIONS: The results of the
present study showed that about 15 per cent of E. coli isolates associated with
UTI belonged to NTEC. More studies need to be done from other parts of the
country.
PMID- 24927353
TI - Predictors of quitting behaviour with special reference to nicotine dependence
among adult tobacco-users in a slum of Burdwan district, West Bengal, India.
AB - BACKGROUND & OBJECTIVES: Information on predictors of quitting behaviour in adult
tobacco users is scarce in Indian context. Hence, this study was undertaken to
assess the intention of tobacco-users towards quitting and its predictors with
reference to nicotine dependence. METHODS: A community-based observational, cross
sectional study was conducted on 128 adult tobacco-users (89.8% male) with mean
age of 41.1 +/- 15.7 yr selected by complete enumeration method. Data were
collected by interview using pre-designed, pre-tested schedule. Nicotine
dependence was assessed by Fagerstrphim Test for Nicotine Dependence (FTND)
questionnaire. RESULT: Of the 128 users, 63.3 per cent had intention to quit.
Majority of the tobacco users who did not intend to quit belonged to the age
group of > 40 yr (66.0%), were illiterate (55.3%), started tobacco use at 11 - 15
yr of age (57.4%), had been using tobacco for 20 yr or more (70.2%), were daily
tobacco users (91.5%), and highly dependent on nicotine (80.9%). Tobacco users
having high FTND score and who started tobacco use early in life were 1.83 and
3.30 times more unintended to quit, respectively. INTERPRETATION & CONCLUSIONS:
Suitable plan for quitting should be developed depending on the FTND score of an
individual, the most important determinant of quitting that would be beneficial
for categorization of the treatment leading to successful quitting.
PMID- 24927354
TI - Multi-drug resistance in clinical isolates of Gram-negative bacilli in a tertiary
care hospital of Assam.
PMID- 24927355
TI - Susceptibility testing of Staphylococaus aureus.
PMID- 24927356
TI - Potter's syndrome - a fatal constellation of anomalies.
PMID- 24927357
TI - Queyrat erythroplasia accompanied by bladder cancer in a circumcised male.
PMID- 24927358
TI - Gated molecular baskets.
AB - In this review, we describe the construction of gated molecular baskets, discuss
their mechanism of action in regulating the exchange of guests and illustrate the
potential of these concave hosts to act as catalysts for controlling chemical
reactions. Importantly, a number of computational and experimental studies have
suggested that gated baskets ought to unfold their gates at the rim for
permitting the passage of guests to/from their inner space. These dynamic hosts
are therefore offered as useful models for investigating the process of gating in
artificial systems. Furthermore, gated baskets should permit examining the
benefit of controlling the rate by which reactants access a gated catalyst for
promoting chemical reactions occurring in its confined space.
PMID- 24927359
TI - Monitoring and assessing the impact of wastewater treatment on release of both
antibiotic-resistant bacteria and their typical genes in a Chinese municipal
wastewater treatment plant.
AB - Wastewater treatment plants (WWTPs) are important hotspots for the spread of
antibiotic resistance. However, the release and impact factors of both antibiotic
resistant bacteria and the relevant genes over long periods in WWTPs have rarely
been investigated. In this study, the fate of bacteria and genes resistant to six
commonly used antibiotics was assessed over a whole year. In WWTP effluent and
biosolids, a high prevalence of heterotrophic bacteria resistant to vancomycin,
cephalexin, sulfadiazine and erythromycin were detected, each with a proportion
of over 30%. The corresponding genes (vanA, ampC, sulI and ereA) were all
detected in proportions of (2.2 +/- 0.8) * 10(-10), (6.2 +/- 3.2) * 10(-9), (1.2
+/- 0.8) * 10(-7) and (7.6 +/- 4.8) * 10(-8), respectively, in the effluent. The
sampling season imposed considerable influence on the release of all ARB. High
release loads of most ARB were detected in the spring, while low release loads
were generally found in the winter. In comparison, the ARG loads changed only
slightly over various seasons. No statistical relevance was found between all ARB
abundances and their corresponding genes over the long-term investigation period.
This inconsistent behavior indicates that bacteria and genes should both be
considered when exploring resistance characteristics in wastewater. A redundancy
analysis was adopted to assess the impact of wastewater quality and operational
conditions on antibiotic resistance. The results indicated that most ARB and ARG
proportions were positively related to the COD and turbidity of the raw sewage,
while negatively related to those of the effluent. DO and temperature exhibited
strong negative relevance to most ARB prevalence.
PMID- 24927360
TI - The effects of profound hypothermia on pancreas ischemic injury: a new
experimental model.
AB - OBJECTIVE: Pancreatic ischemia-reperfusion (IR) has a key role in pancreas
surgery and transplantation. Most experimental models evaluate the normothermic
phase of the IR. We proposed a hypothermic model of pancreas IR to evaluate the
benefic effects of the cold ischemic phase. METHODS: We performed a reproducible
model of hypothermic pancreatic IR. The ischemia was induced in the pancreatic
tail portion (1-hour ischemia, 4-hour reperfusion) in 36 Wistar rats. They are
divided in 3 groups as follows: group 1 (control), sham; group 2, normothermic
IR; and group 3, hypothermic IR. In group 3, the temperature was maintained as
close to 4.5 degrees C. After reperfusion, serum amylase and lipase levels,
inflammatory mediators (tumor necrosis factor alpha, interleukin 6), and pancreas
histology were evaluated. RESULTS: In pancreatic IR groups, amylase, cytokines,
and histological damage were significantly increased when compared with group 1.
In the group 3, we observed a significant decrease in tumor necrosis factor alpha
(P = 0.004) and interleukin 6 (P = 0.001) when compared with group 2. We did not
observe significant difference in amylase (P = 0.867), lipase (P = 0.993), and
histology (P = 0.201). CONCLUSIONS: In our experimental model, we reproduced the
cold phase of pancreas IR, and the pancreas hypothermia reduced the inflammatory
mediators after reperfusion.
PMID- 24927361
TI - Advances in gold nanoparticle-liquid crystal composites.
AB - We present the advancement in the research of the dispersion of gold
nanoparticles (GNPs) in thermotropic calamitic liquid crystals. The
formation/behavior of surface plasmon resonance (SPR) in GNPs is briefly
described. The uniform dispersion of GNPs into liquid crystals along with two
important aspects, i.e. tuning of GNP properties by liquid crystal and vice
versa, are widely discussed. Overall, the article highlights the advances in the
research into GNP-liquid crystal composites in terms of their scientific and
technological aspects.
PMID- 24927362
TI - Intramolecular redox-active ligand-to-substrate single-electron transfer: radical
reactivity with a palladium(II) complex.
AB - Coordination of the redox-active tridentate NNO ligand L(H2) to Pd(II) yields the
paramagnetic iminobenzosemiquinonato complex 3. Single-electron reduction of 3
yields diamagnetic amidophenolato complex 4, capable of activating aliphatic
azide 5. Experimental and computational studies suggest a redox-noninnocent
pathway wherein the redox-active ligand facilitates intramolecular ligand-to
substrate single-electron transfer to generate an open-shell singlet "nitrene
substrate radical, ligand radical", enabling subsequent radical-type C-H
amination reactivity with Pd(II).
PMID- 24927363
TI - Intrahepatic glissonian approach for single-port laparoscopic liver resection.
AB - BACKGROUND: Minimal access surgery is moving toward reduced size and fewer ports.
The aim of this article is to describe our experience with the intrahepatic
Glissonian approach for single-port laparoscopic left lateral sectionectomy.
SUBJECTS AND METHODS: We have performed this procedure on 8 consecutive patients.
A transumbilical incision is performed, and a single-incision platform is
introduced. The operation begins with ultrasound examination of the liver.
Intrahepatic Glissonian access of the portal pedicle from segments 2 and 3 is
performed, and the pedicle is divided with a stapler. The liver is transected,
and the left hepatic vein is divided with a stapler. A surgical specimen is
retrieved through the single umbilical incision. No drains are left in place.
RESULTS: The median operative time was 68 minutes, and there was minimal
bleeding. The median hospital stay was 1 day. Six patients were operated on for
liver adenoma. There was no morbidity or mortality. During follow-up (median, 12
months), no patient developed incisional hernia. The cosmetic appearance of the
incision was excellent in all cases. CONCLUSIONS: Single-port laparoscopic left
lateral sectionectomy is feasible and can be safely performed in specialized
centers.
PMID- 24927364
TI - Redox-neutral alpha-sulfenylation of secondary amines: ring-fused N,S-acetals.
AB - Secondary amines react with thiosalicylaldehydes in the presence of catalytic
amounts of acetic acid to generate ring-fused N,S-acetals in redox-neutral
fashion. A broad range of amines undergo alpha-sulfenylation, including
challenging substrates such morpholine, thiomorpholine, and piperazines.
Computational studies employing density functional theory indicate that acetic
acid reduces the energy barriers of two separate steps, both of which involve
proton transfer.
PMID- 24927365
TI - Complexity and uniqueness of the aromatic profile of smoked and unsmoked Herreno
cheese.
AB - In this work, the volatile fraction of unsmoked and smoked Herreno cheese, a type
of soft cheese from the Canary Islands, has been characterized for the first
time. In order to evaluate if the position in the smokehouse could influence the
volatile profile of the smoked variety, cheeses smoked at two different heights
were studied. The volatile components were extracted by Solid Phase
Microextraction using a divinylbenzene/carboxen/ polydimethylsiloxane fiber,
followed by Gas Chromatography/Mass Spectrometry. In total, 228 components were
detected. The most numerous groups of components in the unsmoked Herreno cheese
were hydrocarbons, followed by terpenes and sesquiterpenes, whereas acids and
ketones were the most abundant. It is worth noticing the high number of aldehydes
and ketones, and the low number of alcohols and esters in this cheese in relation
to others, as well as the presence of some specific unsaturated hydrocarbons,
terpenes, sesquiterpenes and nitrogenated derivatives. The smoking process
enriches the volatile profile of Herreno cheese with ketones and diketones,
methyl esters, aliphatic and aromatic aldehydes, hydrocarbons, terpenes,
nitrogenated compounds, and especially with ethers and phenolic derivatives.
Among these, methylindanones or certain terpenes like alpha-terpinolene, have not
been detected previously in other types of smoked cheese. Lastly, the results
obtained suggest a slightly higher smoking degree in the cheeses smoked at a
greater height.
PMID- 24927366
TI - FACE analysis as a fast and reliable methodology to monitor the sulfation and
total amount of chondroitin sulfate in biological samples of clinical importance.
AB - Glycosaminoglycans (GAGs) due to their hydrophilic character and high anionic
charge densities play important roles in various (patho)physiological processes.
The identification and quantification of GAGs in biological samples and tissues
could be useful prognostic and diagnostic tools in pathological conditions.
Despite the noteworthy progress in the development of sensitive and accurate
methodologies for the determination of GAGs, there is a significant lack in
methodologies regarding sample preparation and reliable fast analysis methods
enabling the simultaneous analysis of several biological samples. In this report,
developed protocols for the isolation of GAGs in biological samples were applied
to analyze various sulfated chondroitin sulfate- and hyaluronan-derived
disaccharides using fluorophore-assisted carbohydrate electrophoresis (FACE).
Applications to biologic samples of clinical importance include blood serum, lens
capsule tissue and urine. The sample preparation protocol followed by FACE
analysis allows quantification with an optimal linearity over the concentration
range 1.0-220.0 ug/mL, affording a limit of quantitation of 50 ng of
disaccharides. Validation of FACE results was performed by capillary
electrophoresis and high performance liquid chromatography techniques.
PMID- 24927367
TI - Antidepressant-like effects of the ethyl acetate soluble fraction of the root
bark of Morus alba on the immobility behavior of rats in the forced swim test.
AB - In this study, the antidepressant-like effects of Morus alba fractions in rats
were investigated in the forced swim test (FST). Male Wistar rats (9-week-old)
were administered orally the M. alba ethyl acetate (EtOAc 30 and 100 mg/kg) and
M. alba n-butanol fractions (n-BuOH 30 and 100 mg/kg) every day for 7 consecutive
days. On day 7, 1 h after the final administration of the fractions, the rats
were exposed to the FST. M. alba EtOAc fraction at the dose of 100 mg/kg induced
a decrease in immobility behavior (p < 0.01) with a concomitant increase in both
climbing (p < 0.05) and swimming (p < 0.05) behaviors when compared with the
control group, and M. alba EtOAc fraction at the dose of 100 mg/kg decreased the
hypothalamic-pituitary-adrenal (HPA) axis response to the stress, as indicated by
an attenuated corticosterone response and decreased c-fos immunoreactivity in the
hippocampal and hypothalamic paraventricular nucleus (PVN) region. These findings
demonstrated that M. alba EtOAc fraction have beneficial effects on depressive
behaviors and restore both altered c-fos expression and HPA activity.
PMID- 24927368
TI - Polymerization degrees, molecular weights and protein-binding affinities of
condensed tannin fractions from a Leucaena leucocephala hybrid.
AB - Condensed tannins (CTs) form insoluble complexes with proteins and are able to
protect them from degradation, which could lead to rumen bypass proteins.
Depending on their degrees of polymerization (DP) and molecular weights, CT
fractions vary in their capability to bind proteins. In this study, purified
condensed tannins (CTs) from a Leucaena leucocephala hybrid were fractionated
into five different molecular weight fractions. The structures of the CT
fractions were investigated using 13C-NMR. The DP of the CT fractions were
determined using a modified vanillin assay and their molecular weights were
determined using Q-TOF LC-MS. The protein-binding affinities of the respective CT
fractions were determined using a protein precipitation assay. The DP of the five
CT fractions (fractions F1-F5) measured by the vanillin assay in acetic acid
ranged from 4.86 to 1.56. The 13C-NMR results showed that the CT fractions
possessed monomer unit structural heterogeneity. The number-average molecular
weights (Mn) of the different fractions were 1265.8, 1028.6, 652.2, 562.2, and
469.6 for fractions F1, F2, F3, F4, and F5, respectively. The b values
representing the CT quantities needed to bind half of the maximum precipitable
bovine serum albumin increased with decreasing molecular weight--from fraction F1
to fraction F5 with values of 0.216, 0.295, 0.359, 0.425, and 0.460,
respectively. This indicated that higher molecular weight fractions of CTs from
L. leucocephala have higher protein-binding affinities than those with lower
molecular weights.
PMID- 24927369
TI - Wrist actimetry circadian rhythm as a robust predictor of colorectal cancer
patients survival.
AB - The disruption of the circadian timing system (CTS), which rhythmically controls
cellular metabolism and proliferation, accelerated experimental cancer
progression. A measure of CTS function in cancer patients could thus provide
novel prediction information for outcomes, and help to identify novel specific
therapies. The rest-activity circadian rhythm is a reliable and non-invasive CTS
biomarker, which was monitored using a wrist watch accelerometer for 2 days in
436 patients with metastatic colorectal cancer. The relative percentage of
activity in-bed versus out-of-bed (I < O) constituted the tested CTS measure,
whose prognostic value for overall survival (OS) and progression-free survival
(PFS) was determined in a pooled analysis of three patient cohorts with different
treatment exposures. Median OS was 21.6 months [17.8-25.5] for patients with I <
O above the median value of 97.5% as compared to 11.9 months [10.4-13.3] for
those with a lower I < O (Log-rank p < 0.001). Multivariate analyses retained
continuous I < O as a joint predictor of both OS and PFS, with respective hazard
ratios (HR) of 0.954 (p < 0.001) and 0.970 (p < 0.001) for each 1% increase in I
< O. HRs had similar values in all the patient subgroups tested. The circadian
physiology biomarker I < O constitutes a robust and independent quantitative
predictor of cancer patient outcomes, that can be easily and cost-effectively
measured during daily living. Interventional studies involving 24-h schedules of
clock-targeted drugs, light intensity, exercise and/or meals are needed for
testing the relevance of circadian synchronization for the survival of patients
with disrupted rhythms.
PMID- 24927370
TI - Chronotype, gender, and time for sex.
AB - The study aimed at testing chronotype and gender differences in the time of day
when humans feel the greatest need for sex and the time of day they actually
undertake sexual activity. A Polish sample of 565 participants aged between 18
and 57 was tested. In females, regardless of chronotype, the greatest need for
sex occurred between 18:00 and 24:00, but a secondary peak appeared only in
morning types at 6:00-9:00. In males, the greatest need for sex occurred either
in the morning or evening hours: in evening types at 9:00-12:00 and 18:00-3:00;
in neither types at 6:00-9:00 and 18:00-24:00; in morning types at 6:00-12:00 and
18:00-24:00. Considering time of day when subjects were undertaking sexual
activity most frequently, this appeared between 18:00 and 24:00 for all the
participants, and prolonged until 3:00 at night in evening type males.
Morningness preference was more strongly related to the timing of need for sex
than to the timing of actual sexual activity (r = -0.275 vs. r = -0.174), while
the timing of desire and the timing of sexual activity were positively, but
moderately related (r = 0.320).
PMID- 24927371
TI - Multivariate modular metabolic engineering for pathway and strain optimization.
AB - Despite the potential in utilizing microbial fermentation for chemical
production, the field of industrial biotechnology still lacks a standard,
universally applicable principle for strain optimization. A key challenge has
been in finding and applying effective ways to address metabolic flux imbalances.
Strategies based on rational design require significant a priori knowledge and
often fail to take a holistic view of cellular metabolism. Combinatorial
approaches enable more global searches but require a high-throughput screen.
Here, we present the recent advances and promises of a novel approach to
metabolic pathway and strain optimization called multivariate modular metabolic
engineering (MMME). In this technique, key enzymes are organized into distinct
modules and simultaneously varied based on expression to balance flux through a
pathway. Because of its simplicity and broad applicability, MMME has the
potential to systematize and revolutionize the field of metabolic engineering and
industrial biotechnology.
PMID- 24927372
TI - One-pot synthesis of metal-carbon nanotubes network hybrids as highly efficient
catalysts for oxygen evolution reaction of water splitting.
AB - Oxygen evaluation reaction (OER) is the most important reaction in hydrogen
production from water splitting. Here we developed metal-carbon nanotubes (M
CNTs) hybrids with high metal oxide catalyst loading synthesized by arc-discharge
and chemical vapor deposition (CVD) methods as electrocatalysts for OER in
alkaline solutions. The M-CNTs hybrids produced by arc-discharge (M-CNTs-Arc) and
CVD (M-CNTs-CVD) exhibit a core-shell-like structure, in which metal
nanoparticles (NPs) encapsulated by graphite shells are connected by carbon
nanotubes (CNTs), forming M-CNTs network hybrids. M-CNTs-Arc has NiCo0.16Fe0.34
metal core and shows very high activity and superior stability for OER, achieving
100 A g(-1) at an overpotential (eta) of 0.29 V and 500 A g(-1) at eta = 0.37 V
in 1 M KOH solution. This is probably the highest activity reported for OER in
alkaline solutions. The reaction follows the first-order kinetics with respect to
OH(-) concentration and Tafel slope of 34 mV dec(-1). The results demonstrate a
highly efficient, scalable, and low-cost one-step synthesis method for developing
highly active and stable catalysts for electrochemical water splitting in
alkaline solutions.
PMID- 24927373
TI - Nucleolin identified by comparative mass-spectra analysis is a potential marker
for invasive progression of hepatocellular carcinoma.
AB - At present, the diagnosis and prognosis of hepatocellular carcinoma (HCC)
metastasis remains poor. Recently, a number of proteins associated with the
metastasis and invasion of HCC were identified; however, the effective markers
require further elucidation. In the current study, a nucleolin expression was
observed in MHCC97L and HCCLM9 HCC cell lines, with low and high metastatic
potentials respectively, using comparative proteomics. The data indicated that
nucleolin expression in the nucleus was significantly higher in HCCLM9 cells, and
it primarily influenced the migration of HCC cells in vitro. Thus, to the best of
our knowledge this is the first study to hypothesize that nucleolin may be a
novel marker for HCC invasive progression.
PMID- 24927374
TI - Risk of current asthma among adult smokers with respiratory syncytial virus
illnesses in early life.
AB - RATIONALE: Risk of subsequent asthma-like symptoms after early-life lower
respiratory illness (LRI) caused by respiratory syncytial virus (RSV) is
increased during the first decade of childhood and diminished thereafter by
adolescence. OBJECTIVES: To determine the relation of early-life RSV-LRI on adult
asthma-like symptoms and its interactive role with adult smoking. METHODS: A
total of 1,246 nonselected infants were enrolled at birth and prospectively
followed. Virologically confirmed RSV-LRIs were assessed during the first 3 years
of life. At age 22, 24, 26, and 29 years, current asthma and smoking behavior
were evaluated by questionnaire. Peak flow variability was assessed at age 26 and
expressed as amplitude % mean. A longitudinal analysis was used to investigate
the relation of RSV-LRI and active smoking to adult outcomes. MEASUREMENTS AND
MAIN RESULTS: Neither RSV-LRI nor active smoking were directly associated with
increased current adult asthma or peak flow variability. However, there was a
significant interaction between RSV-LRI and active smoking in relation to current
asthma (P for interaction = 0.004) and peak flow variability (P for interaction =
0.04). Among subjects with early RSV-LRI, those who actively smoked were 1.7
times more likely to have current asthma (95% confidence interval, 1.2-2.3; P =
0.003) and had greater amplitude % mean (10.0% vs. 6.4%; P = 0.02) than
nonsmokers. Among subjects without early RSV-LRI, there was no difference in
asthma risk or peak flow variability between active smokers and nonsmokers.
CONCLUSIONS: Smoking is associated with increased risk of having asthma in young
adults who had RSV-LRI in early life but not among subjects without these
illnesses.
PMID- 24927375
TI - Long-term/bioinert labeling of rat mesenchymal stem cells with PVA-Gd conjugates
and MRI monitoring of the labeled cell survival after intramuscular
transplantation.
AB - Noninvasive in vivo imaging of transplanted stem cells is an effective method to
clarify the mechanisms involved in stem cell transplantation therapy. We labeled
rat mesenchymal stem cells (MSCs) with water-soluble magnetic resonance imaging
(MRI) contrast agent poly(vinyl alcohol)-gadolinium (PVA-Gd) in order to
ascertain the fate of transplanted MSCs in vivo. PVA-Gd was retained and
localized in the cytosolic compartment of MSCs for a longer period of time. The
effect of PVA-Gd labeling on MSC proliferation was much less than that of the
commercially available contrast agent ProHance, and the labeled MSCs were found
to have osteoblastic differentiation ability. To study the MSC lifetime in vivo,
MSCs were seeded and trapped in the cytocompatible three-dimensional porous
scaffolds of Spongel and transplanted. The MRI signal attributed to MSCs was
eliminated from the transplanted site in 14 days. Because free PVA-Gd was rapidly
eliminated from the site, this signal reduction indicated MSC death in the
transplantation site. The low efficiency of MSC transplantation for ischemic
tissue may be due to their short lifetime, making it important to develop highly
effective stem cell transplantation systems that address cell number, injection
position, and cell formulation (suspension, sheet, and aggregates). Our cell
survival tracking system would be a very powerful tool to this end and would be
applicable in clinical cell therapies.
PMID- 24927376
TI - Does prone positioning improve oxygenation and reduce mortality in patients with
acute respiratory distress syndrome?
PMID- 24927377
TI - Making an accurate diagnosis of chronic hypersensitivity pneumonitis.
AB - Chronic hypersensitivity pneumonitis (HP) arises from repeated exposure to
causative antigens. Although HP can be challenging to diagnose, it is important
to differentiate from idiopathic pulmonary fibrosis and idiopathic nonspecific
interstitial pneumonia. HP has a unique management approach and portends a unique
prognosis. The present article summarizes the recent published literature on
chronic HP and highlights the features that may be helpful in distinguishing it
from other chronic interstitial lung diseases.
PMID- 24927378
TI - Imbalance of different types of CD4(+)Foxp3(+) T cells in renal transplant
recipients.
AB - AIMS: To determine the number of CD4(+)CD25(-)Foxp3(+), CD4(+)CD25(+)Foxp3(+) and
CD4(+)CXCR5(+)Foxp3(+) T cells in renal transplant recipients that are
transplanted stable (TS), or experiencing accelerated rejection (ALR), or acute
rejection (AR). METHODS: Renal transplantation was conducted in 28 patients with
end-stage renal failure (ESRF). The number of peripheral CD4(+)CD25(-)Foxp3(+),
CD4(+)CD25(+)Foxp3(+), or CD4(+)CXCR5(+)Foxp3(+) T cells and the serum levels of
interleukin-10 (IL-10) were measured in pre- and post-transplant patients and
these results were compared to 10 healthy controls (HC). Correlation between
CD4(+)CD25(+)Foxp3(+) and estimated glomerular filtration rate (eGFR),
CD4(+)CD25(-)Foxp3(+) and serum creatinine (Cr) levels, or Cr and IL-10 levels in
TS patients was also determined. RESULTS: The number of CD4(+)CD25(-)Foxp3(+) T
cells was significantly increased in patients with ESRF, as compared to HC.
Stratification analysis demonstrated that TS patients contained greater numbers
of CD4(+)CD25(+)Foxp3(+) and CD4(+)CXCR5(+)Foxp3(+) T cells, higher levels of
serum IL-10, and fewer numbers of CD4(+)CD25(-)Foxp3(+) T cells than ESRF
patients. In contrast, ALR and AR patients contained fewer numbers of
CD4(+)CD25(+)Foxp3(+) and CD4(+)CXCR5(+)Foxp3(+) T cells, greater numbers of
CD4(+)CD25(-)Foxp3(+) T cells, and lower levels of serum IL-10 than ESRF
patients. In TS patients, the numbers of CD4(+)CD25(+)Foxp3(+) and CD4(+)CD25(
)Foxp3(+) T cells were positively correlated with eGFR and serum Cr levels,
respectively. CONCLUSION: An imbalance of different types of CD4(+)Foxp3(+) T
cells might be involved in renal transplant rejection.
PMID- 24927379
TI - Latest trends in minimally invasive synostosis surgery: a review.
AB - PURPOSE OF REVIEW: To present the current surgical options for minimally invasive
surgery for treatment of craniosynostosis. RECENT FINDINGS: Minimally invasive
procedures are well tolerated treatment options for patients with
craniosynostosis. Suturectomy and helmet therapy is a treatment option for
scaphocephaly with minimal blood loss and length of hospital stay. Spring
mediated cranioplasty is, in addition, a well tolerated and effective treatment
option for scaphocephaly. SUMMARY: In patients with multiple suture
craniosynostosis, surgical techniques that utilize spring-assisted surgery can
provide decreased morbidity with better bone formation made available for a
second operation. Continued basic science research and clinical studies will
expand the use and provide further minimally invasive procedures to infants with
craniosynostosis.
PMID- 24927380
TI - Trait impulsivity predicts D-KEFS tower test performance in university students.
AB - The present study examined a widely used self-report index of trait impulsiveness
in relation to performance on a well-known neuropsychological executive function
test in 70 university undergraduate students (50 women, 20 men) aged 18 to 24
years old. Participants completed the Barratt Impulsiveness Scale (BIS-11) and
the Frontal Systems Behavior Scale (FrSBe), after which they performed the Tower
Test of the Delis-Kaplan Executive Function System. Hierarchical linear
regression showed that after controlling for gender, current alcohol consumption,
age at onset of weekly alcohol use, and FrSBe scores, BIS-11 significantly
predicted Tower Test Achievement scores, beta = -.44, p < .01. The results
indicate that self-reported impulsiveness is associated with poorer executive
cognitive performance even in a sample likely to be characterized by relatively
high general cognitive functioning (i.e., university students). The results also
support the role of inhibition as a key aspect of executive task performance.
Elevated scores on the BIS-11 and FrSBe are known to be linked to risky drinking
in young adults as confirmed in this sample; however, only BIS-11 predicted Tower
Test performance.
PMID- 24927381
TI - Kinetics and mechanism of styrene epoxidation by chlorite: role of chlorine
dioxide.
AB - An investigation of the kinetics and mechanism for epoxidation of styrene and
para-substituted styrenes by chlorite at 25 degrees C in the pH range of 5-6 is
described. The proposed mechanism in water and water/acetonitrile includes seven
oxidation states of chlorine (-I, 0, I, II, III, IV, and V) to account for the
observed kinetics and product distributions. The model provides an unusually
detailed quantitative mechanism for the complex reactions that occur in mixtures
of chlorine species and organic substrates, particularly when the strong oxidant
chlorite is employed. Kinetic control of the reaction is achieved by the addition
of chlorine dioxide to the reaction mixture, thereby eliminating a substantial
induction period observed when chlorite is used alone. The epoxidation agent is
identified as chlorine dioxide, which is continually formed by the reaction of
chlorite with hypochlorous acid that results from ClO produced by the epoxidation
reaction. The overall stoichiometry is the result of two competing chain
reactions in which the reactive intermediate ClO reacts with either chlorine
dioxide or chlorite ion to produce hypochlorous acid and chlorate or chloride,
respectively. At high chlorite ion concentrations, HOCl is rapidly eliminated by
reaction with chlorite, minimizing side reactions between HOCl and Cl2 with the
starting material. Epoxide selectivity (>90% under optimal conditions) is
accurately predicted by the kinetic model. The model rate constant for direct
reaction of styrene with ClO2(aq) to produce epoxide is (1.16 +/- 0.07) * 10(-2)
M(-1) s(-1) for 60:40 water/acetonitrile with 0.20 M acetate buffer. Rate
constants for para substituted styrenes (R = -SO3(-), -OMe, -Me, -Cl, -H, and
NO2) with ClO2 were determined. The results support the radical
addition/elimination mechanism originally proposed by Kolar and Lindgren to
account for the formation of styrene oxide in the reaction of styrene with
chlorine dioxide.
PMID- 24927382
TI - Large-area, transparent, and flexible infrared photodetector fabricated using P-N
junctions formed by N-doping chemical vapor deposition grown graphene.
AB - Graphene is a highly promising material for high speed, broadband, and multicolor
photodetection. Because of its lack of bandgap, individually gated P- and N
regions are needed to fabricate photodetectors. Here we report a technique for
making a large-area photodetector on the basis of controllable fabrication of
graphene P-N junctions. Our selectively doped chemical vapor deposition (CVD)
graphene photodetector showed a ~5% modulation of conductance under global IR
irradiation. By comparing devices of various geometries, we identify that both
the homogeneous and the P-N junction regions contribute competitively to the
photoresponse. Furthermore, we demonstrate that our two-terminal graphene
photodetector can be fabricated on both transparent and flexible substrates
without the need for complex fabrication processes used in electrically gated
three-terminal devices. This represents the first demonstration of a fully
transparent and flexible graphene-based IR photodetector that exhibits both good
photoresponsivity and high bending capability. This simple approach should
facilitate the development of next generation high-performance IR photodetectors.
PMID- 24927384
TI - Role of wild bird and rodents in the epidemiology of subclinical salmonellosis in
finishing pigs.
AB - Wild birds and rodents may play an important role in the dynamics of subclinical
pig salmonellosis, either as the introducers of the bacteria into the farm or as
receptors of an infection already established in the farm. We tried to gain
further insight into the epidemiology of this infection by studying the
phenotypic (i.e., serotype and antimicrobial resistance patterns) and molecular
characteristics of Salmonella strains isolated from samples collected from pigs
and wildlife captured in the vicinity of pig farms. Salmonella-positive pig fecal
samples were identified in 56.1% of the 41 farms investigated. Birds shedding
Salmonella spp. were detected in 21.4% of the farms despite the low numbers of
birds captured in many farms. Most Salmonella isolates from birds (74%) did not
show any antimicrobial resistance (AR) pattern and belonged to phage types rarely
seen in the pig population (U310, DT56, DT137, DT164), supporting the likely
avian source of infection for most birds. The proportion of farms showing
Salmonella-infected rodents was higher (46.2%), with Salmonella isolates showing
a high homology with those likely originated from pigs. Salmonella-positive
environmental samples were found in >50% of the farms, and the characteristics of
these Salmonella strains supported the idea of pigs as a major source of
Salmonella contamination of the farm environment. Dissemination of Salmonella in
pig farms from areas of high Salmonella prevalence appeared to depend to some
extent upon rodents and wild birds present in the farm, but the role of rodents
in its maintenance seemed to be somewhat more relevant than that of birds. In
conclusion, activities aimed at reducing the contact of these wild species with
pigs will probably assist in the control of pig salmonellosis. Strict hygienic
measures should be considered in areas of high prevalence of infection to lower
the high load of environmental contamination.
PMID- 24927383
TI - The acute phase of mild traumatic brain injury is characterized by a distance
dependent neuronal hypoactivity.
AB - The consequences of mild traumatic brain injury (TBI) on neuronal functionality
are only now being elucidated. We have now examined the changes in sensory
encoding in the whisker-recipient barrel cortex and the brain tissue damage in
the acute phase (24 h) after induction of TBI (n=9), with sham controls receiving
surgery only (n=5). Injury was induced using the lateral fluid percussion injury
method, which causes a mixture of focal and diffuse brain injury. Both population
and single cell neuronal responses evoked by both simple and complex whisker
stimuli revealed a suppression of activity that decreased with distance from the
locus of injury both within a hemisphere and across hemispheres, with a greater
extent of hypoactivity in ipsilateral barrel cortex compared with contralateral
cortex. This was coupled with an increase in spontaneous output in Layer 5a, but
only ipsilateral to the injury site. There was also disruption of axonal
integrity in various regions in the ipsilateral but not contralateral hemisphere.
These results complement our previous findings after mild diffuse-only TBI
induced by the weight-drop impact acceleration method where, in the same acute
post-injury phase, we found a similar depth-dependent hypoactivity in sensory
cortex. This suggests a common sequelae of events in both diffuse TBI and mixed
focal/diffuse TBI in the immediate post-injury period that then evolve over time
to produce different long-term functional outcomes.
PMID- 24927385
TI - Speciation of methylmercury in market seafood by thermal degradation,
amalgamation and atomic absorption spectroscopy.
AB - Sample thermal decomposition followed by mercury amalgamation and atomic
absorption has been employed for the determination of methylmercury (MeHg) in
fish. The method involves HBr leaching of MeHg, extraction into toluene, and back
extraction into an aqueous l-cysteine solution. Preliminary studies were focused
on the extraction efficiency, losses, contaminations, and species interconversion
prevention. The limit of detection was 0.018ugg(-1) (dry weight). The intraday
precision for three replicate analysis at a concentration of 4.2ugg(-1) (dry
weight) was 3.5 percent, similar to the interday precision according to analysis
of variance (ANOVA). The accuracy was guaranteed by the use of fortified samples
involving 83-105 percent recoveries, and certified reference materials TORT-2
(lobster hepatopancreas) and DORM-3 (dogfish liver), providing 107 and 98 percent
recovery of certified values. The greenness of the method was also evaluated with
the analytical eco-scale being obtained a final score of 73 points which means an
acceptable green analysis. The method was applied to fifty-seven market samples
of different fish acquired from local markets in several sampling campaigns. The
content of MeHg found varied between 0.0311 and 1.24ugg(-1) (wet weight), with
values that involve 33-129 percent of the total mercury content. Some
considerations about food safety were also done taking into account data about
Spanish fish consume and Tolerable Weekly Intake (TWI) established for MeHg.
PMID- 24927386
TI - Bioconcentration of mercury by mushroom Xerocomus chrysenteron from the spatially
distinct locations: levels, possible intake and safety.
AB - Concentrations of mercury were determined in specimens of Red Cracking Bolete
(Xerocomus chrysenteron) (Bull.) Quel. and overlying soil (0-10cm) collected from
22 spatially distributed sites in Poland during 1996-2013 to assess the potential
of this species to bioconcentrate Hg and possible intake by humans. The mean Hg
concentrations ranged from 80 to 630 for caps and from 28 to 380ng/g dry matter
(dm) for stipes. Decrease in the potential of this mushroom species to
bioconcentrate Hg both in caps and stipes was observed when the Hg content in
soil substratum increased from 15 to 75-94ng/g dm. A maximum median value for
bioconcentration factor (BCF) of Hg determined for caps was 18 for soil with Hg
content at 15ng/g dm and decreased to 0.97-3.8 for soils that contained Hg at 37
94ng/g dm. Caps of X. chrysenteron consumed at a volume of 300g daily in a week
can yield an exposure amount of Hg at 0.0168-0.1323mg (0.00024 to 0.00189mg/kg
body mass); these values are well below the provisionally tolerated weekly intake
(PTWI) for inorganic Hg.
PMID- 24927387
TI - Biomarkers of environmental stress in gills of ribbed mussel Aulacomya atra atra
(Nuevo Gulf, Northern Patagonia).
AB - In this study, we assessed in gills of native ribbed mussels Aulacomya atra atra
from three sites within Nuevo Gulf (Northern Patagonia) several biomarkers such
as reactive oxygen species (ROS), lipid radicals (LR), malondialdehyde (MDA),
superoxide dismutase (SOD), catalase (CAT), glutathione S-transferase (GST) and
metallothionein (MT). Furthermore, concentrations of main trace metals (Fe, Al,
Zn, Cu, Cd and Pb) were quantified in mussel tissue. Results showed significant
induction of SOD, GST, MT and MDA, as well as, higher concentration of Fe, Al and
Cd in winter than in summer. The high MDA content measured in mussels from Folias
Wreck seemed to be caused by the very high levels of Fe that would come from the
corrosion of the vessel. Mussels from the control site Punta Cuevas presented the
lowest levels of Cd and the highest of Al in winter. Despite positive
correlations were found between Al and GST and MT, no spatial differentiation was
detected in those biomarkers. On the other hand, MT was only related to Al been
most likely influenced by environmental variables than by the trace metals. It
has to be highlighted that the relationship detected among water temperature,
nutrients and antioxidant responses in gills is probably related to the fact that
this tissue is in direct contact with water and it is sensitive to its
fluctuations. Taking into account that mussel gill is a tissue actively
proliferating and the first target of contaminants present in water, so that
changes in its antioxidant system can provide an earlier warning signal than in
other tissues.
PMID- 24927388
TI - Biochemical effects of glyphosate based herbicide, Excel Mera 71 on enzyme
activities of acetylcholinesterase (AChE), lipid peroxidation (LPO), catalase
(CAT), glutathione-S-transferase (GST) and protein content on teleostean fishes.
AB - Effects of glyphosate based herbicide, Excel Mera 71 at a dose of 17.20mg/l on
enzyme activities of acetylcholinesterase (AChE), lipid peroxidation (LPO),
catalase (CAT), glutathione-S-transferase (GST) and protein content were measured
in different tissues of two Indian air-breathing teleosts, Anabas testudineus
(Bloch) and Heteropneustes fossilis (Bloch) during an exposure period of 30 days
under laboratory condition. AChE activity was significantly increased in all the
investigated tissues of both fish species and maximum elevation was observed in
brain of H. fossilis, while spinal cord of A. testudineus showed minimum
increment. Fishes showed significant increase LPO levels in all the tissues;
highest was observed in gill of A. testudineus but lowest LPO level was observed
in muscle of H. fossilis. CAT was also enhanced in both the fishes, while GST
activity in liver diminished substantially and minimum was observed in liver of
A. testudineus. Total protein content showed decreased value in all the tissues,
maximum reduction was observed in liver and minimum in brain of A. testudineus
and H. fossilis respectively. The results indicated that Excel Mera 71 caused
serious alterations in the enzyme activities resulting into severe deterioration
of fish health; so, AChE, LPO, CAT and GST can be used as suitable indicators of
herbicidal toxicity.
PMID- 24927389
TI - Phytoplankton blooms: an overlooked marine source of natural endocrine disrupting
chemicals.
AB - BACKGROUND: We had previously reported high androgenic and estrogenic activities
in seawaters in confined clusters close to Singapore. Further investigations
revealed a hitherto unsuspected link between estrogenic/androgenic activity and
net phytoplankton count. OBJECTIVE: The primary objective of this study was to
investigate the cause of a correlation between net phytoplankton and endocrine
activity, and corroborate this observation, and rule out other possible
confounding factors. Our secondary objective was to study if these estrogenic
secretions can impact human health. METHODS: Five species of phytoplankton,
Gymnodinium catenatum, Prorocentrum minimum, Alexandrium leei, Chattonella
marina, and Fibrocapsa japonica, were isolated from Singapore waters and mass
cultured and the cells and culture media screened for estrogenic and androgenic
activity using human cell-based bioassays. RESULTS: The raphidophytes C. marina
and F. japonica displayed significant estrogenic activity whilst the
dinoflagellates G. catenatum and P. minimum displayed significant androgenic
activity in both the cell extracts and the cell culture media extract.
CONCLUSIONS: Our data shows that selected phytoplankton isolates are potent
secretors of estrogenic and androgenic substances, which are potential endocrine
disrupting chemicals (EDCs). As the harmful nature of EDCs is largely due to
their bioaccumulation in the aquatic food chain our findings imply that the
impact of these phytoplankton secretions needs to be investigated especially for
seafoods, which are only a single trophic level away from phytoplankton.
Alternatively, should these phytoplankton-origin EDCs not accumulate through
marine food chains to significantly impact humans or marine mammals, our results
indicate that functional assays could greatly over-estimate the risk from
naturally occurring EDCs produced by marine phytoplankton. It remains to be
determined if these EDCs affect zooplankton and other organisms that directly
feed on marine phytoplankton, or if the secreted EDCs can directly impact other
marine fauna.
PMID- 24927390
TI - Genetic damage in coal miners evaluated by buccal micronucleus cytome assay.
AB - During coal mining activities, large quantities of coal dust, ashes, polycyclic
aromatic hydrocarbons and metals are released into the environment. This complex
mixture presents one of the most important occupational hazards for health of
workers. The aim of the present study was to evaluate the genetic damage together
with the presence of inorganic elements, in an exposed workers population to coal
mining residues of Guajira-Colombia. Thus, 100 exposed workers and 100 non
exposed control individuals were included in this study. To determine genetic
damage we assessed the micronucleus (MN) frequencies and nuclear buds in buccal
mucosa samples (BMCyt) assay, which were significantly higher in the exposed
group than non-exposed control group. In addition, karyorrhectic and karyolytic
cells were also significantly higher in the exposed group (cell death). No
significant difference was observed between the exposed groups engaged in
different mining activities. No correlation between age, alcohol consumption,
time of service and MN assay data were found in this study. However, the content
of inorganic elements in blood samples analyzed by a Particle-induced X-ray
emission technique (PIXE) showed higher values of silicon (Si) and aluminum (Al)
in the exposed group. In this study we discuss the possibility of DNA damage
observed in the mine workers cells be a consequence of oxidative damage.
PMID- 24927391
TI - Multivariate geostatistical analyses of heavy metals in soils: spatial multi
scale variations in Wulian, Eastern China.
AB - The objective of this study was to examine spatial multi-scale variability of six
heavy metals (Cd, Cr, Cu, Ni, Pb and Zn) in relation to environmental factors in
Wulian, Eastern China. Factorial kriging analysis (FKA) was applied to a data set
consisting of 432 topsoils. We found that most of the heavy metal contents in
soils did not exceed the guideline values of Environmental Quality Standard for
Soils (EQSS) in China. Through linear model of coregionalization (LMC) fitting,
spatial variation in six heavy metals could be grouped into one nugget effect,
and two sphere structures with ranges of 6km (local scale) and 14km (regional
scale). Spatial correlations among six heavy metals depended on local or regional
scales. The high correlations between Cr, Ni and among Cd, Cu, Pb, Zn were found
regardless of the spatial scale, while correlations of Cr and Ni with other four
metals decreased with increasing spatial scale. Spatial variation of Cr and Ni
was related to parent material at both local and regional scales, and was derived
from natural sources. Mining activity was observed to affect the spatial
variation of Cd, Cu, Pb and Zn at local scale, while parent material dominated
spatial variation of those metals at regional scale. However, agricultural
practices and human activity in urban area did not alter spatial variation of
heavy metals in soils. It could be concluded that human influence on heavy metals
variation was noted on local scale, and parent material had greater influence on
spatial variation of heavy metals at both local and regional scales.
PMID- 24927392
TI - Ecotoxicological impact of Zequanox(r), a novel biocide, on selected non-target
Irish aquatic species.
AB - Effective, species-specific zebra mussel control is needed urgently for Ireland's
freshwater bodies, which became infested with non-native zebra mussels in the
1990s. Zequanox(r), a newly commercialized product for zebra and quagga mussel
control, is composed of dead Pseudomonas fluorescens CL 145A cells. This paper
describes ecotoxicology tests on three representative native Irish freshwater
species: Anodonta (duck mussel), Chironomus plumosus (non-biting midge), and
Austropotamobius pallipes (white-clawed crayfish). The species were exposed to
Zequanox in a 72-h static renewal toxicity test at concentrations of 100-750mg
active ingredient per liter (mga.i./L). Water quality parameters were measured
every 12-24h before and after water and product renewal. After 72h, endpoints
were reported as LC10, LC50, and LC100. The LC50 values derived were (1)
Anodonta: >=500mga.i./L (2) C. plumosus: 1075mga.i./L, and (3) A. pallipes:
>=750mga.i./L. These results demonstrate that Zequanox does not negatively affect
these organisms at the concentration required for >80percent zebra mussel
mortality (150mg a.i/L) and the maximum allowable treatment concentration in the
United Sates (200mga.i./L). They also show the overall species-specificity of
Zequanox, and support its use in commercial facilities and open waters.
PMID- 24927393
TI - The structure of 3-(diethylborylethynyl)pyridine: a nonplanarly arranged cyclic
trimer.
AB - 3-(Diethylborylethynyl)pyridines 2 assemble into a cyclic trimer stabilized via
intermolecular boron-nitrogen coordination bonds both in solution and in the
crystalline state. The outstanding structural features of the methoxy derivative
2b in the crystalline state are that (1) two pyridine rings (P1 and P2) of the
cyclic trimer of 2b are almost coplanar, and the third pyridine ring (P3) is
largely bent away from P1 and P2, and (2) P3 of the cyclic trimer stacks in a
face-to-face fashion with one of the pyridine rings (P3') of an adjacent cyclic
trimer. The crystallographic study revealed that the conformation of the cyclic
trimer is flexible enough to be affected by the crystal packing.
PMID- 24927394
TI - Establishment of NOD/SCID mouse model of central nervous system leukemia.
AB - In the present study, we successfully established a NOD/SCID mouse model of
central nervous system leukemia by injection of acute monocytic leukemia cell
line SHI-1 cells into the lateral ventricle. Immunohistochemistry was used to
detect human leukocyte common antigen in brain slices. Nested PCR assay was used
to detect MLL/AF6 fusion gene expression. After injection, the condition of the
mice gradually progressed to cachexia and death (median survival time, 25 days).
Leukemic cells were identified in the lung, bone marrow, and lymph node of one
mouse. Brain tissue sections showed invasion into the subdural space, pia mater,
arachnoid, along the Virchow-Robin space and into the deep brain parenchyma. In
summary, a central nervous system leukemia (CNSL) model was established in
NOD/SCID mice.
PMID- 24927395
TI - A novel Affibody bioconjugate for dual-modality imaging of ovarian cancer.
AB - An Affibody based dual imaging probe (PET and optical imaging) has been
successfully developed. Dendrimer PAMAM G0 was used as a platform to assemble an
NIRF dye, a metal chelator, and Affibody for dual modality imaging of ovarian
cancer. Excellent tumor imaging quality was achieved in both modalities in the
living tumor mice models.
PMID- 24927396
TI - Tryptophan and ATTO 590: mutual fluorescence quenching and exciplex formation.
AB - Investigation of fluorescence quenching of probes, such as ATTO dyes, is becoming
an increasingly important topic owing to the use of these dyes in super
resolution microscopies and in single-molecule studies. Photoinduced electron
transfer is their most important nonradiative pathway. Because of the increasing
frequency of the use of ATTO and related dyes to investigate biological systems,
studies are presented for inter- and intramolecular quenching of ATTO 590 with
tryptophan. In order to examine intramolecular quenching, an ATTO 590-tryptophan
conjugate was synthesized. It was determined that tryptophan is efficiently
quenching ATTO 590 fluorescence by excited-state charge transfer and two charge
transfer complexes are forming. In addition, it was discovered that an exciplex
(whose lifetime is 5.6 ns) can be formed between tryptophan and ATTO 590, and it
is suggested that the possibility of such exciplex formation should be taken into
account when protein fluorescence is monitored in a system tagged with ATTO dyes.
PMID- 24927397
TI - Strategies to enhance high pressure inactivation of murine norovirus in
strawberry puree and on strawberries.
AB - Due to the increasing concern of viral infection related to berries, this study
investigated strategies to enhance high hydrostatic pressure (HHP) inactivation
of murine norovirus 1 (MNV-1), a human norovirus (HuNoV) surrogate, on
strawberries and in strawberry puree. Strawberry puree was inoculated with
~10(6)PFU/g of MNV-1 and treated at 350 MPa for 2 min at initial sample
temperatures of 0, 5, 10 and 20 degrees C. MNV-1 became more sensitive to HHP as
initial sample temperature decreased from 20 to 0 degrees C. To determine the
effect of pressure cycling on MNV-1 inactivation, inoculated puree samples were
treated at 300 MPa and 0 degrees C with 1, 2 and 4 cycles. Pressure cycling
offered no distinct advantage over continuous HHP treatment. To determine the
effect of presence of water during HHP on MNV-1 inactivation, strawberries
inoculated with ~ 4 * 10(5)PFU/g of MNV-1 were either pressure-treated directly
(dry state) or immersed in water during pressure treatment. MNV-1 was very
resistant to pressure under the dry state condition, but became sensitive to
pressure under the wet state condition. The inactivation curves of MNV-1 in
strawberry puree and on strawberries were obtained at 300 and 350 MPa and initial
sample temperature of 0 degrees C. Except for the curve of strawberries treated
at 350 MPa which had a concave downward shape, the other three curves were almost
linear with R(2) value of 0.99. The fate of MNV-1 in the un-treated and pressure
treated strawberries and strawberry puree during frozen storage was determined.
The virus was relatively stable and only reduced by <1.2 log during the 28-day
frozen storage. In all, this study provides practical insights of designing
strategies using HHP to inactivate HuNoV on strawberries and in strawberry puree
assuming that HuNoV behaved similarly to MNV-1 when treated by HHP.
PMID- 24927398
TI - Monitoring psychrotrophic lactic acid bacteria contamination in a ready-to-eat
vegetable salad production environment.
AB - A study monitoring lactic acid bacteria contamination was conducted in a company
producing fresh, minimally processed, packaged and ready-to-eat (RTE) vegetable
salads (stored at 4 degrees C) in order to investigate the reason for high
psychrotrophic LAB levels in the products at the end of shelf-life. Initially,
high microbial counts exceeding the established psychrotrophic thresholds (>10(7)
10(8)CFU/g) and spoilage manifestations before the end of the shelf-life (7days)
occurred in products containing an assortment of sliced and diced vegetables, but
within a one year period these spoilage defects became prevalent in the entire
processing plant. Environmental sampling and microbiological analyses of the raw
materials and final products throughout the manufacturing process highlighted the
presence of high numbers of Leuconostoc spp. in halved and unseeded, fresh sweet
bell peppers provided by the supplier. A combination of two DNA fingerprinting
techniques facilitated the assessment of the species diversity of LAB present in
the processing environment along with the critical point of their introduction in
the production facility. Probably through air mediation and surface adhesion,
mainly members of the strictly psychrotrophic species Leuconostoc gelidum subsp.
gasicomitatum and L. gelidum subsp. gelidum were responsible for the cross
contamination of every vegetable handled within the plant.
PMID- 24927399
TI - High-throughput detection of food-borne pathogenic bacteria using oligonucleotide
microarray with quantum dots as fluorescent labels.
AB - Bacterial pathogens are mostly responsible for food-borne diseases, and there is
still substantial room for improvement in the effective detection of these
organisms. In the present study, we explored a new method to detect target
pathogens easily and rapidly with high sensitivity and specificity. This method
uses an oligonucleotide microarray combined with quantum dots as fluorescent
labels. Oligonucleotide probes targeting the 16SrRNA gene were synthesized to
create an oligonucleotide microarray. The PCR products labeled with biotin were
subsequently hybridized using an oligonucleotide microarray. Following incubation
with CdSe/ZnS quantum dots coated with streptavidin, fluorescent signals were
detected with a PerkinElmer Gx Microarray Scanner. The results clearly showed
specific hybridization profiles corresponding to the bacterial species assessed.
Two hundred and sixteen strains of food-borne bacterial pathogens, including
standard strains and isolated strains from food samples, were used to test the
specificity, stability, and sensitivity of the microarray system. We found that
the oligonucleotide microarray combined with quantum dots used as fluorescent
labels can successfully discriminate the bacterial organisms at the genera or
species level, with high specificity and stability as well as a sensitivity of 10
colony forming units (CFU)/mL of pure culture. We further tested 105 mock
contaminated food samples and achieved consistent results as those obtained from
traditional biochemical methods. Together, these results indicate that the
quantum dot-based oligonucleotide microarray has the potential to be a powerful
tool in the detection and identification of pathogenic bacteria in foods.
PMID- 24927400
TI - Reciprocal regulation of adipocyte and osteoblast differentiation of mesenchymal
stem cells by Eupatorium japonicum prevents bone loss and adiposity increase in
osteoporotic rats.
AB - Pathological increases in adipogenic potential with decreases in osteogenic
differentiation occur in osteoporotic bone marrow cells. Previous studies have
shown that bioactive materials isolated from natural products can reciprocally
regulate adipogenic and osteogenic fates of bone marrow cells. In this study, we
showed that Eupatorium japonicum stem extracts (EJE) suppressed lipid
accumulation and inhibited the expression of adipocyte markers in multipotent
C3H10T1/2 and primary bone marrow cells. Conversely, EJE stimulated alkaline
phosphatase activity and induced the expression of osteoblast markers in
C3H10T1/2 and primary bone marrow cells. Daily oral administration of 50 mg/kg of
EJE for 6 weeks to ovariectomized rats prevented body weight increase and bone
mineral density decrease. Finally, activity-guided fractionation led to the
identification of coumaric acid and coumaric acid methyl ester as bioactive anti
adipogenic and pro-osteogenic components in EJE. Taken together, our data
indicate a promising possibility of E. japonicum as a functional food and as a
therapeutic intervention for preventing osteoporosis and bone fractures.
PMID- 24927401
TI - Cycle to cycle variability in a repetitive upper extremity task.
AB - The purpose of this study was to examine the variability in muscle activity at
rest and work during a repetitive task. A total of 20 participants performed a
bimanual push task using three frequencies (4, 8, 16 pushes/min), three loads (1
kg, 2 kg, 4 kg) and two grip conditions (no grip, 30% maximum). The coefficient
of variation (CoV) of muscle activity was determined for the anterior deltoid,
biceps brachii, extensor digitorum and flexor digitorum superficialis. Faster
push frequencies and heavier loads had lower work-rest ratio CoV and higher mean
muscle activity (p < 0.01). Sixteen pushes per minute produced the lowest CoV for
the anterior deltoid (p < 0.01), while the 1- kg load produced the lowest CoV for
the extensor digitorum and flexor digitorum superficialis (p < 0.01). Changes
were driven by the rest phase rather than by the work phase, except for grip
decreasing forearm muscle CoV. These findings underscore the importance of
variability at rest and indicate that low variability of muscle activity is
associated with ergonomic risk factors. PRACTITIONER SUMMARY: Decreased motor
variability has been associated with pain and injury. A cyclical push task,
evaluated in terms of work and rest phases, found that greater workloads
increased variability primarily due to changes in the rest phase. Muscle
variability, especially for the rest phase, may provide insight into injury risk.
PMID- 24927403
TI - Detection of whitening agents in illegal cosmetics using attenuated total
reflectance-infrared spectroscopy.
AB - Cosmetic products containing illegal whitening agents are still found on the
European market. They represent a considerable risk to public health, since they
are often characterised by severe side effects when used chronically. The
detection of such products at customs is not always simple, due to misleading
packaging and the existence of products containing only legal components.
Therefore there is a need for easy to use equipment and techniques to perform an
initial screening of samples. The use of attenuated total reflectance-infrared
(ATR-IR) spectroscopy, combined with chemometrics, was evaluated for that
purpose. It was found that the combination of ATR-IR with the simple chemometric
technique k-nearest neighbours gave good results. A model was obtained in which a
minimum of illegal samples was categorised as legal. The correctly classified
illegal samples could be attributed to the illegal components present.
PMID- 24927402
TI - Quantification of OSU-2S, a novel derivative of FTY720, in mouse plasma by liquid
chromatography-tandem mass spectrometry.
AB - OSU-2S is a novel anti-cancer and immune modulatory agent designed specifically
to avert the immunosuppressive effects and related toxicities observed in
clinical studies with its predecessor analog, FTY720. To characterize its
preclinical pharmacokinetics, a liquid chromatography-tandem mass spectrometry
(LC-MS/MS) method was developed and validated for the quantification of OSU-2S in
mouse plasma. Ethyl acetate extraction of samples containing OSU-2S and the
internal standard, Sph-17, was followed by separation with a 6min gradient
(water/0.1% formic acid and methanol/0.1% formic acid) on a reverse-phase C18
column at room temperature. Selected reaction monitoring was used for detection
on a triple quadrupole mass spectrometer with positive ionization. The assay was
linear over the concentration range 3-3000ng/mL with accuracy ranging from 103 to
111%, and both within- and between-run precision (CV%) <=11%. All stability
samples were within +/-15% of nominal values, and replicates were within 15% CV.
The assay was successfully applied to a mouse pharmacokinetic study of OSU-2S
with intravenous and intraperitoneal administration. OSU-2S non-compartmental
pharmacokinetic parameters, area under the concentration-time curve, clearance,
and elimination half-life were estimated at 1522hMUg/L, 3.06L/h/kg and 15.6h,
respectively, for intravenous injection. Systemic availability after
intraperitoneal injection was approximately 46%. These data demonstrate the OSU
2S compound displays acceptable pharmacokinetic properties for further in vivo
pharmacologic evaluation, which can be facilitated by the validated LC-MS/MS
assay.
PMID- 24927404
TI - Modulatory effect of nano TiO2on Pb in Hoplias malabaricus trophically exposed.
AB - This study investigated the hepatic and neural effects of TiO2 nanoparticle and
Pb in Hoplias malabaricus trophically exposed. The alanine transaminase activity
was altered at the high dose of exposed group to Pb and at the lowest doses of co
exposed groups. It may reflect the hepatic effects of TiO2 on Pb toxicity, but
the aspatate transaminase activity was not altered. The decreased injury index
observed at the highest dose of co-exposed group compared to TiO2 may be related
to the increased energy demand and can explain the more pronounced toxic effects
observed in this group. The liver authomethallography revealed the metals
presence at high dose groups. Serotonin concentration increased at the Pb lowest
dose and at the highest dose of co-exposed group compare to control. Most
importantly, when associated the contaminants were able to interact and altered
some biomarkers. However, further studies, about action mechanisms of this co
exposure are needed.
PMID- 24927405
TI - Neurobehavioral and cytotoxic effects of vanadium during oligodendrocyte
maturation: a protective role for erythropoietin.
AB - Vanadium exposure has been known to lead to lipid peroxidation, demyelination and
oligodendrocytes depletion. We investigated behaviour and glial reactions in
juvenile mice after early neonatal exposure to vanadium, and examined the direct
effects of vanadium in oligodendrocyte progenitor cultures from embryonic mice.
Neonatal pups exposed to vanadium via lactation for 15 and 22 days all had lower
body weights. Behavioural tests showed in most instances a reduction in locomotor
activity and negative geotaxis. Brain analyses revealed astrocytic activation and
demyelination in the vanadium exposed groups compared to the controls. In cell
culture, exposure of oligodendrocytes to 300 MUM sodium metavanadate
significantly increased cell death. Expression of the oligodendrocyte specific
proteins, 2',3'-cyclic nucleotide 3'-phosphodiesterase (CNPase) and
oligodendrocyte specific protein (OSP/Claudin) were reduced upon vanadium
treatment while simultaneous administration of erythropoietin (EPO; 4-12 U/ml)
counteracted vanadium-toxicity. The data suggest that oligodendrocyte damage may
explain the increased vulnerability of the juvenile brain to vanadium and support
a potential for erythropoietin as a protective agent against vanadium-toxicity
during perinatal brain development and maturation.
PMID- 24927406
TI - Notch pathway inhibition controls myeloma bone disease in the murine MOPC315.BM
model.
AB - Despite evidence that deregulated Notch signalling is a master regulator of
multiple myeloma (MM) pathogenesis, its contribution to myeloma bone disease
remains to be resolved. Notch promotes survival of human MM cells and triggers
human osteoclast activity in vitro. Here, we show that inhibition of Notch
through the gamma-secretase inhibitor XII (GSI XII) induces apoptosis of murine
MOPC315.BM myeloma cells with high Notch activity. GSI XII impairs murine
osteoclast differentiation of receptor activator of NF-kappaB ligand (RANKL)
stimulated RAW264.7 cells in vitro. In the murine MOPC315.BM myeloma model GSI
XII has potent anti-MM activity and reduces osteolytic lesions as evidenced by
diminished myeloma-specific monoclonal immunoglobulin (Ig)-A serum levels and
quantitative assessment of bone structure changes via high-resolution
microcomputed tomography scans. Thus, we suggest that Notch inhibition through
GSI XII controls myeloma bone disease mainly by targeting Notch in MM cells and
possibly in osteoclasts in their microenvironment. We conclude that Notch
inhibition is a valid therapeutic strategy in MM.
PMID- 24927407
TI - Distribution and levels of cell surface expression of CD33 and CD123 in acute
myeloid leukemia.
AB - Owing to the more recent positive results with the anti-CD33 immunotoxin
gemtuzumab ozogamicin, therapy against acute myeloid leukemias (AMLs) targeting
CD33 holds many promises. Here, CD33 and CD123 expression on AML blasts was
studied by flow cytometry in a cohort of 319 patients with detailed information
on French-American-British/World Health Organization (FAB/WHO) classification,
cytogenetics and molecular aberrations. AMLs of 87.8% express CD33 and would
therefore be targetable with anti-CD33 therapies. Additionally, 9.4% of AMLs
express CD123 without concomitant CD33 expression. Thus, nearly all AMLs could be
either targeted via CD33 or CD123. Simultaneous presence of both antigens was
observed in 69.5% of patients. Most importantly, even AMLs with adverse
cytogenetics express CD33 and CD123 levels comparable to those with favorable and
intermediate subtypes. Some patient groups with unfavorable alterations, such as
FMS-related tyrosine kinase 3-internal tandem duplication (FLT3-ITD) mutations,
high FLT3-ITD mutant/wild-type ratios and monosomy 5 are even characterized by
high expression of CD33 and CD123. In addition, blasts of patients with mutant
nucleophosmin (NPM1) revealed significantly higher CD33 and CD123 expression
pointing toward the possibility of minimal residual disease-guided interventions
in mutated NPM1-positive AMLs. These results stimulate the development of novel
concepts to redirect immune effector cells toward CD33- and CD123-expressing
blasts using bi-specific antibodies or engineered T cells expressing chimeric
antigen receptors.
PMID- 24927409
TI - Effects of chronic exposure to Aspergillus fumigatus on epidermal growth factor
receptor expression in the airway epithelial cells of asthmatic rats.
AB - Epidemiologic studies suggest that increased concentrations of airborne spores of
Aspergillus fumigatus closely relate to asthma aggravation. Chronic exposure to
A. fumigatus aggravates airway inflammation, remodeling, and airway
hyperresponsiveness in asthmatic rats. The effects of chronic exposure to A.
fumigatus on epidermal growth factor receptor (EGFR) expression in the airway
epithelial cells of asthmatic rats remain unclear. This study aimed to
investigate the effects of chronic exposure to A. fumigatus on injury and
shedding of airway epithelium, goblet cell metaplasia, and EGFR expression in the
airway epithelial cells of asthmatic rats. A rat model of chronic asthma was
established using ovalbumin (OVA) sensitization and challenge. Rats with chronic
asthma were then exposed to long-term inhalation of spores of A. fumigatus, and
the dynamic changes in injury and shedding of airway epithelium, goblet cell
metaplasia, and EGFR expression were observed and analyzed. Chronic exposure to
A. fumigatus could aggravate airway epithelial cell damage, upregulate the
expression of EGFR and its ligands EGF and TGF-alpha, promote goblet cell
metaplasia, and increase airway responsiveness in rats with asthma. Chronic
exposure to A. fumigatus upregulates the expression of EGFR and its ligands in
asthmatic rats. The EGFR pathway may play a role in asthma aggravation induced by
exposure to A. fumigatus.
PMID- 24927408
TI - The novel immunotoxin HM1.24-ETA' induces apoptosis in multiple myeloma cells.
AB - Despite new treatment modalities, the clinical outcome in a substantial number of
patients with multiple myeloma (MM) has yet to be improved. Antibody-based
targeted therapies for myeloma patients could make use of the HM1.24 antigen
(CD317), a surface molecule overexpressed on malignant plasma cells and
efficiently internalized. Here, a novel immunotoxin, HM1.24-ETA', is described.
HM1.24-ETA' was generated by genetic fusion of a CD317-specific single-chain Fv
(scFv) antibody and a truncated variant of Pseudomonas aeruginosa exotoxin A
(ETA'). HM1.24-ETA' inhibited growth of interleukin 6 (IL-6)-dependent and
independent myeloma cell lines. Half-maximal growth inhibition was observed at
concentrations as low as 0.3 nM. Target cell killing occurred via induction of
apoptosis and was unaffected in co-culture experiments with bone marrow stromal
cells. HM1.24-ETA' efficiently triggered apoptosis of freshly
isolated/cryopreserved cells of patients with plasma cell leukemia and MM and was
active in a preclinical severe combined immunodeficiency (SCID) mouse xenograft
model. Importantly, HM1.24-ETA' was not cytotoxic against CD317-positive cells
from healthy tissue (monocytes, human umbilical vein endothelial cells). These
results indicate that CD317 may represent a promising target structure for
specific and efficient immunotoxin therapy for patients with plasma cell tumors.
PMID- 24927410
TI - A HRM real-time PCR assay for rapid and specific identification of the emerging
pest spotted-wing drosophila (Drosophila suzukii).
AB - Spotted wing drosophila (Drosophila suzukii) is an emerging pest that began
spreading in 2008 and its distribution now includes 13 countries across two
continents. Countries where it is established have reported significant economic
losses of fresh produce, such as cherries due to this species of fly. At larval
stages, it is impossible to identify due to its striking similarities with other
cosmopolitan and harmless drosophilids. Molecular methods allow identification
but the current technique of DNA barcoding is time consuming. We developed and
validated a rapid, highly sensitive and specific assay based on real-time PCR and
high resolution melt (HRM) analysis using EvaGreen DNA intercalating dye
chemistry. Performance characteristics of this qualitative assay, validation and
applicability in a New Zealand quarantine framework are discussed. Application of
this robust and independently validated assay across the spectrum of key food
production and border protection industries will allow us to reduce the further
spread of this damaging species worldwide.
PMID- 24927411
TI - Novel telomere-anchored PCR approach for studying sexual stage telomeres in
Aspergillus nidulans.
AB - Telomere length varies between germline and somatic cells of the same organism,
leading to the hypothesis that telomeres are lengthened during meiosis. However,
little is known about the meiotic telomere length in many organisms. In the
filamentous fungus Aspergillus nidulans, the telomere lengths in hyphae and
asexual spores are invariant. No study using existing techniques has determined
the telomere length of the sexual ascospores due to the relatively low abundance
of pure meiotic cells in A. nidulans and the small quantity of DNA present. To
address this, we developed a simple and sensitive PCR strategy to measure the
telomere length of A. nidulans meiotic cells. This novel technique, termed
"telomere-anchored PCR," measures the length of the telomere on chromosome II-L
using a small fraction of the DNA required for the traditional terminal
restriction fragment (TRF) Southern analysis. Using this approach, we determined
that the A. nidulans ascospore telomere length is virtually identical to
telomeres of other cell types from this organism, approximately 110 bp,
indicating that a surprisingly strict telomere length regulation exists in the
major cell types of A. nidulans. When the hyphal telomeres were measured in a
telomerase reverse transcriptase (TERT) knockout strain, small decreases in
length were readily detected. Thus, this technique can detect telomeres in
relatively rare cell types and is particularly sensitive in measuring
exceptionally short telomeres. This rapid and inexpensive telomere-anchored PCR
method potentially can be utilized in other filamentous fungi and types of
organisms.
PMID- 24927412
TI - Evaluation of reference genes for quantitative real-time PCR in oil palm elite
planting materials propagated by tissue culture.
AB - BACKGROUND: The somatic embryogenesis tissue culture process has been utilized to
propagate high yielding oil palm. Due to the low callogenesis and embryogenesis
rates, molecular studies were initiated to identify genes regulating the process,
and their expression levels are usually quantified using reverse transcription
quantitative real-time PCR (RT-qPCR). With the recent release of oil palm genome
sequences, it is crucial to establish a proper strategy for gene analysis using
RT-qPCR. Selection of the most suitable reference genes should be performed for
accurate quantification of gene expression levels. RESULTS: In this study, eight
candidate reference genes selected from cDNA microarray study and literature
review were evaluated comprehensively across 26 tissue culture samples using RT
qPCR. These samples were collected from two tissue culture lines and media
treatments, which consisted of leaf explants cultures, callus and embryoids from
consecutive developmental stages. Three statistical algorithms (geNorm,
NormFinder and BestKeeper) confirmed that the expression stability of novel
reference genes (pOP-EA01332, PD00380 and PD00569) outperformed classical
housekeeping genes (GAPDH, NAD5, TUBULIN, UBIQUITIN and ACTIN). PD00380 and
PD00569 were identified as the most stably expressed genes in total samples, MA2
and MA8 tissue culture lines. Their applicability to validate the expression
profiles of a putative ethylene-responsive transcription factor 3-like gene
demonstrated the importance of using the geometric mean of two genes for
normalization. CONCLUSIONS: Systematic selection of the most stably expressed
reference genes for RT-qPCR was established in oil palm tissue culture samples.
PD00380 and PD00569 were selected for accurate and reliable normalization of gene
expression data from RT-qPCR. These data will be valuable to the research
associated with the tissue culture process. Also, the method described here will
facilitate the selection of appropriate reference genes in other oil palm tissues
and in the expression profiling of genes relating to yield, biotic and abiotic
stresses.
PMID- 24927414
TI - Diversity of killer cell immunoglobulin-like receptor (KIR) genotypes and
KIR2DL2/3 variants in HCV treatment outcome.
AB - The aim of this study was to analyse the distribution of KIR haplotypes and the
KIR2DL2/3 alleles in chronic HCV-infected patients in order to establish the
influence on the response to pegylated interferon plus ribavirin classical
treatment. The alleles study of previously associated KIR2DL2/3 showed that
KIR2DL2*001 was more frequent in non-SVR (NSVR) (42.2% vs. 27.5%, p<0.05) and
KIR2DL3*001 was associated with sustained viral response (SVR) (41.6% vs. 61.2%,
p<0.005). The KIR2DL3*001-HLA-C1 association was also significant (24.5% vs.
45.7%, p<0.001). From the frequencies of KIR obtained, 35 genotypes were assigned
on the basis of previous studies. The centromeric A/A genotype was more frequent
in SVR (44.1% vs. 34.5%, p<0.005) and the centromeric B/B genotype was found to
be significantly more frequent in NSVR (20.9% vs. 11.2%, p<0.001). The logic
regression model showed the importance of KIR genes in predicting the response to
combined treatment, since the positive predictive value (PPV) was improved (from
55.9% to 75.3%) when the analysis of KIR was included in addition to the IFNL3
rs12979860 polymorphism. The study of KIR receptors may be a powerful tool for
predicting the combined treatment response in patients with chronic HCV infection
in association with the determination of IFNL3 polymorphism.
PMID- 24927415
TI - The expression of the hepatocyte SLAMF3 (CD229) receptor enhances the hepatitis C
virus infection.
AB - Hepatitis C virus (HCV) is a leading cause of cirrhosis and liver cancer
worldwide. We recently characterized for the first time the expression of
Signaling Lymphocyte Activating Molecule 3 (SLAMF3) in human hepatocytes and
here, we report that SLAMF3 interacts with the HCV viral protein E2 and is
implicated in HCV entry process. We found a strong correlation between SLAMF3
expression level and hepatocyte susceptibility to HCV infection. The use of
specific siRNAs to down-modulate SLAMF3 expression and SLAMF3-blocking antibodies
both decreased the hepatocytes susceptibility to HCV infection. Moreover, SLAMF3
over-expression significantly increased susceptibility to HCV infection.
Interestingly, experiments with peptides derived from each SLAMF3 domain showed
that the first N-terminal extracellular domain is essential for interaction with
HCV particles. Finally, we showed that recombinant HCV envelop protein E2 can
bind SLAMF3 and that anti-SLAMF3 antibodies inhibited specifically this
interaction. Overall, our results revealed that SLAMF3 plays a role during HCV
entry, likely by enhancing entry of viral particle within hepatocytes.
PMID- 24927417
TI - Quantitative analyses of CTP-499 and five major metabolites by core-structure
analysis.
AB - CTP-499 is a novel oral multi-subtype selective inhibitor of PDEs that is
currently in clinical testing, in combination with angiotensin modulators, as a
potentially first-in-class treatment for diabetic kidney disease. The compound
was discovered and developed by using Concert's proprietary DCE Platform((r)) in
which deuterium was incorporated at select positions of 1-((S)-5-hydroxyhexyl)
3,7-dimethylxanthine (HDX). CTP-499 metabolizes to five major metabolites: C
21256, D-M2, D-M3, D-M4 and M5, of which all contains deuterium except M5. During
in vivo metabolism, however, H/D exchange takes place. As a result, each analyte,
except M5, has multiple molecular masses. To accurately quantify the analytes, we
developed an LC-MS/MS method focusing on the core structures of the molecules,
termed "core-structure analyses". The core-structure analyses method was then
validated under GLP guidance in dog, rat and rabbit plasma, with a sample volume
of 50 MUL. Results demonstrated that this approach accurately quantifies each of
the six analytes despite partial exchange of deuterium with hydrogen atoms in the
in vivo samples. The validation parameters included accuracy, precision,
sensitivity, stability, dilution integrity, hemolysis, matrix effect,
selectivity, and recovery. Acceptable intra-run and inter-run assay precision
(%CV <= 5.5%) and accuracy (90.1-106.7%) were achieved over a linear range of 10
5,000 ng/mL of each analyte. Various stability tests, including bench-top,
freeze/thaw, stock solution, and long-term storage, were also performed. All
stability results met acceptance criteria. The robustness of the methods was
demonstrated by the incurred sample reproducibility (ISR) tests. After
validation, the method was successfully used in support of multiple toxicological
studies of CTP-499.
PMID- 24927416
TI - Incretin receptor null mice reveal key role of GLP-1 but not GIP in pancreatic
beta cell adaptation to pregnancy.
AB - Islet adaptations to pregnancy were explored in C57BL6/J mice lacking functional
receptors for glucagon-like peptide 1 (GLP-1) and gastric inhibitory polypeptide
(GIP). Pregnant wild type mice and GIPRKO mice exhibited marked increases in
islet and beta cell area, numbers of medium/large sized islets, with positive
effects on Ki67/Tunel ratio favouring beta cell growth and enhanced pancreatic
insulin content. Alpha cell area and glucagon content were unchanged but
prohormone convertases PC2 and PC1/3 together with significant amounts of GLP-1
and GIP were detected in alpha cells. Knockout of GLP-1R abolished these islet
adaptations and paradoxically decreased pancreatic insulin, GLP-1 and GIP. This
was associated with abolition of normal pregnancy-induced increases in plasma
GIP, L-cell numbers, and intestinal GIP and GLP-1 stores. These data indicate
that GLP-1 but not GIP is a key mediator of beta cell mass expansion and related
adaptations in pregnancy, triggered in part by generation of intra-islet GLP-1.
PMID- 24927418
TI - An improved method to extract and purify cystatin from hen egg white.
AB - Hen egg white cystatin, an inhibitor of cysteine proteinase, may have wide
applications for improving human health. However, its pricy cost associated with
extraction and preparation has hurdled its further utilization. The objective was
to develop an improved method to extract and purify cystatin from egg white.
After removal of ovomucin, a fraction containing cystatin was obtained by cation
exchange chromatography, and further purified by affinity chromatography using a
cm-papain-Sepharose column. The prepared cystatin was then characterized by SDS
PAGE, Western-Blot, and LC-MS/MS, and its purity was determined by HPLC method
instead of the conventional immunodiffusion method. The protein content of
cystatin extract was 66.4 +/- 2.3%. In comparison with the conventional method,
the purity of cystatin was improved from 56.6 +/- 1.7% to 93.3 +/- 4.0%, and its
yield was improved from 21.3 +/- 1.2% to 33.6 +/- 1.5%. Relative activities of
cystatin to inhibit papain prepared by our method and the conventional method
were determined to be 88 +/- 7% and 91 +/- 4% respectively, against a cystatin
standard from Sigma. This suggested no significant loss of activity during the
separation process.
PMID- 24927419
TI - Simultaneous determination of bioactive components of Radix Angelicae Sinensis
Radix Paeoniae Alba herb couple in rat plasma and tissues by UPLC-MS/MS and its
application to pharmacokinetics and tissue distribution.
AB - A highly sensitive and rapid ultra performance liquid chromatography-tandem mass
spectrometry (UPLC-MS/MS) has been developed and validated for simultaneous
quantification of seven components in rat plasma and five components in rat
tissues after oral administration of the extracts of different combination Radix
Angelicae Sinensis-Radix Paeoniae Alba herb couple and has been applied to
compare the different pharmacokinetics and tissue distribution properties of
these bioactive components. The extracts of Radix Angelicae Sinensis (RAS), Radix
Paeoniae Alba (RPA) and Radix Angelicae Sinensis-Radix Paeoniae Alba herb couple
(RRHC) were orally administrated to rats, respectively. The concentrations of
ferulic acid, caffeic acid, vanillic acid, ligustilide, paeoniflorin, albiflorin
and oxypaeoniflorin in rat plasma and the concentrations of ferulic acid,
vanillic acid, paeoniflorin, albiflorin and oxypaeoniflorin in tissues were
determined by UPLC-MS/MS. The plasma samples were pretreated by protein
precipitation with methanol and the tissue samples were homogenated with water
and pretreated by protein precipitation with methanol. Chromatographic separation
was performed on a C18 column using 0.1% formic acid-acetonitrile as mobile phase
for gradient elution. A triple quadrupole (TQ) tandem mass spectrometry equipped
with an electrospray ionization source was used as detector operating both in
positive and negative ionization mode and operated by multiple-reaction
monitoring (MRM) scanning. Noncompartmental pharmacokinetic parameters were
calculated by DAS 2.0 program. The differences between each group were compared
by SPSS 16.0 with Independent-Samples T-test. The pharmacokinetic parameters
(such as Cmax, Tmax, T1/2, AUC0-T, MRT0-T, Vz/F or CLz/F) of all the detected
components between the single herb (RAS or RPA) and herb pair (RRHP) showed
significant differences (P<0.05). It indicated that the compatibility of RAS and
RPA could alter the pharmacokinetics features of each component. Tissue
distribution results showed that ferulic acid, vanillic acid, paeoniflorin,
albiflorin and oxypaeoniflorin mostly distributed in liver and kidney both in
herb couple and single herb distributed most in liver and kidney. Compared with
single herb, RRHC could increase or decrease the concentrations of five
components at different time points compared with the sing herb. The results
indicated the method was successfully applied to the comparative study on
pharmacokinetics and tissue distribution of different combination of RRHC in
rats. The compatibility of two Chinese herbs could alter the pharmacokinetics and
tissue distribution properties of major bio-active components in the single herb.
The results might be helpful for further investigation of compatibility mechanism
of RRHC.
PMID- 24927420
TI - Sedimentation field flow fractionation monitoring of in vitro enrichment in
cancer stem cells by specific serum-free culture medium.
AB - The development of methods to enrich cell populations for cancer stem cells (CSC)
is urgently needed to help understand tumor progression, therapeutic escape and
to evaluate new drugs, in particular for colorectal cancer (CRC). In this work,
we describe the in vitro use of OncoMiD for colon, a CRC-specific primary cell
culture medium, to enrich CRC cell lines in CSC. Sedimentation field flow
fractionation (SdFFF) was used to monitor the evolution of subpopulations
composition. In these models, medium induced a loss of adherence properties
associated with a balance between proliferation and apoptosis rates and, more
important, an increased expression of relevant CSC markers, leading to specific
SdFFF elution profile changes.
PMID- 24927421
TI - Attitudinal and behavioral characteristics predict high risk sexual activity in
rural Tanzanian youth.
AB - The incidence of HIV infection in rural African youth remains high despite
widespread knowledge of the disease within the region and increasing funds
allocated to programs aimed at its prevention and treatment. This suggests that
program efficacy requires a more nuanced understanding of the profiles of the
most at-risk individuals. To evaluate the explanatory power of novel
psychographic variables in relation to high-risk sexual behaviors, we conducted a
survey to assess the effects of psychographic factors, both behavioral and
attitudinal, controlling for standard predictors in 546 youth (12-26 years of
age) across 8 villages in northern Tanzania. Indicators of high-risk sexual
behavior included HIV testing, sexual history (i.e., virgin/non-virgin), age of
first sexual activity, condom use, and number of lifetime sexual partners.
Predictors in the statistical models included standard demographic variables,
patterns of media consumption, HIV awareness, and six new psychographic features
identified via factor analyses: personal vanity, family-building values, ambition
for higher education, town recreation, perceived parental strictness, and
spending preferences. In a series of hierarchical regression analyses, we find
that models including psychographic factors contribute significant additional
explanatory information when compared to models including only demographic and
other conventional predictors. We propose that the psychographic approach used
here, in so far as it identifies individual characteristics, aspirations, aspects
of personal life style and spending preferences, can be used to target
appropriate communities of youth within villages for leading and receiving
outreach, and to build communities of like-minded youth who support new patterns
of sexual behavior.
PMID- 24927422
TI - Transcriptome profiling to discover putative genes associated with paraquat
resistance in goosegrass (Eleusine indica L.).
AB - BACKGROUND: Goosegrass (Eleusine indica L.), a serious annual weed in the world,
has evolved resistance to several herbicides including paraquat, a non-selective
herbicide. The mechanism of paraquat resistance in weeds is only partially
understood. To further study the molecular mechanism underlying paraquat
resistance in goosegrass, we performed transcriptome analysis of susceptible and
resistant biotypes of goosegrass with or without paraquat treatment. RESULTS: The
RNA-seq libraries generated 194,716,560 valid reads with an average length of
91.29 bp. De novo assembly analysis produced 158,461 transcripts with an average
length of 1153.74 bp and 100,742 unigenes with an average length of 712.79 bp.
Among these, 25,926 unigenes were assigned to 65 GO terms that contained three
main categories. A total of 13,809 unigenes with 1,208 enzyme commission numbers
were assigned to 314 predicted KEGG metabolic pathways, and 12,719 unigenes were
categorized into 25 KOG classifications. Furthermore, our results revealed that
53 genes related to reactive oxygen species scavenging, 10 genes related to
polyamines and 18 genes related to transport were differentially expressed in
paraquat treatment experiments. The genes related to polyamines and transport are
likely potential candidate genes that could be further investigated to confirm
their roles in paraquat resistance of goosegrass. CONCLUSION: This is the first
large-scale transcriptome sequencing of E. indica using the Illumina platform.
Potential genes involved in paraquat resistance were identified from the
assembled sequences. The transcriptome data may serve as a reference for further
analysis of gene expression and functional genomics studies, and will facilitate
the study of paraquat resistance at the molecular level in goosegrass.
PMID- 24927423
TI - Future oceanic warming and acidification alter immune response and disease status
in a commercial shellfish species, Mytilus edulis L.
AB - Increases in atmospheric carbon dioxide are leading to physical changes in marine
environments including parallel decreases in ocean pH and increases in seawater
temperature. This study examined the impacts of a six month exposure to combined
decreased pH and increased temperature on the immune response and disease status
in the blue mussel, Mytilus edulis L. Results provide the first confirmation that
exposure to future acidification and warming conditions via aquarium-based
simulation may have parallel implications for bivalve health. Collectively, the
data suggests that temperature more than pH may be the key driver affecting
immune response in M. edulis. Data also suggests that both increases in
temperature and/or lowered pH conditions may lead to changes in parasite
abundance and diversity, pathological conditions, and bacterial incidence in M.
edulis. These results have implications for future management of shellfish under
a predicted climate change scenario and future sustainability of shellfisheries.
Examination of the combined effects of two stressors over an extended exposure
period provides key preliminary data and thus, this work represents a unique and
vital contribution to current research efforts towards a collective understanding
of expected near-future impacts of climate change on marine environments.
PMID- 24927424
TI - Preference for different anchor descriptors on visual analogue scales among
Japanese patients with chronic pain.
AB - CONTEXT: Although many previous studies have examined the preference of patients
for different pain measurement scales, preference for anchor descriptors has not
been thoroughly discussed. OBJECTIVES: To examine (1) the preferred end-phrases
used in the VAS as anchor labels for Japanese patients with chronic pain, and (2)
whether the preference differs according to factors such as age, sex, educational
level, duration of pain, and pain intensity. METHODS: We performed an
observational study in patients suffering from non-cancer chronic pain for more
than 3 months at a pain center in Japan. The patients were asked to rate their
pain intensity using four types of VAS that used the following different anchor
descriptors: "worst pain" ("Worst"), "worst pain bearable" ("Bearable"), "worst
pain imaginable" ("Imaginable"), and "worst pain you have ever experienced"
("Experienced"). They were also asked to rank the four scales according to ease
of responding, and asked which descriptor best reflected their perceived pain.
RESULTS: In total, 183 patients participated in the study. They consisted of 119
(65.0%) women and 64 (35.0%) men aged 18-84 years with the mean age of 56.9
years. "Experienced" was most preferred (69.8%), followed by "Bearable" (66.3%),
"Worst" (48.8%), and "Imaginable" (16.9%). Factors such as age, sex, educational
background, duration of pain, and pain intensity did not significantly affect the
results. In 83.1% of patients, the preferred descriptor corresponded to the
descriptor that best reflected patients' perceived pain. CONCLUSION: The
frequently used expression "worst pain imaginable" is considered to be difficult
to understand for most patients. Widely preferred descriptors, such as "worst
pain you have ever experienced" and "worst pain bearable", should be used when
evaluating perceived pain. The preference of anchor descriptors was not
significantly affected by the factors such as age, sex, educational level,
duration of pain, and pain intensity.
PMID- 24927426
TI - MHC-matched induced pluripotent stem cells can attenuate cellular and humoral
immune responses but are still susceptible to innate immunity in pigs.
AB - Recent studies have revealed negligible immunogenicity of induced pluripotent
stem (iPS) cells in syngeneic mice and in autologous monkeys. Therefore, human
iPS cells would not elicit immune responses in the autologous setting. However,
given that human leukocyte antigen (HLA)-matched allogeneic iPS cells would
likely be used for medical applications, a more faithful model system is needed
to reflect HLA-matched allogeneic settings. Here we examined whether iPS cells
induce immune responses in the swine leukocyte antigen (SLA)-matched setting. iPS
cells were generated from the SLA-defined C1 strain of Clawn miniature swine,
which were confirmed to develop teratomas in mice, and transplanted into the
testes (n = 4) and ovary (n = 1) of C1 pigs. No teratomas were found in pigs on
47 to 125 days after transplantation. A Mixed lymphocyte reaction revealed that T
cell responses to the transplanted MHC-matched (C1) iPS cells were significantly
lower compared to allogeneic cells. The humoral immune responses were also
attenuated in the C1-to-C1 setting. More importantly, even MHC-matched iPS cells
were susceptible to innate immunity, NK cells and serum complement. iPS cells
lacked the expression of SLA class I and sialic acids. The in vitro cytotoxic
assay showed that C1 iPS cells were targeted by NK cells and serum complement of
C1. In vivo, the C1 iPS cells developed larger teratomas in NK-deficient NOG (T-B
NK-) mice (n = 10) than in NK-competent NOD/SCID (T-B-NK+) mice (n = 8) (p<0.01).
In addition, C1 iPS cell failed to form teratomas after incubation with the
porcine complement-active serum. Taken together, MHC-matched iPS cells can
attenuate cellular and humoral immune responses, but still susceptible to innate
immunity in pigs.
PMID- 24927428
TI - [Etiology of anti-N-methyl-D-aspartate receptor encephalitis].
AB - Anti-N-methyl-D-aspartate receptor (NMDAR) encephalitis is a type of newly
recognized autoimmune encephalitis which is commonly seen in children, but its
precise etiology is still uncertain. To reveal the etiology of anti-NMDAR
encephalitis is very necessary for understanding its pathology, and for starting
immune-related therapy as early as possible to improve its prognosis. In the
initial literature, tumor, especially teratoma is more related with the anti
NMDAR encephalitis. In recent research, its etiology is related to infection and
heredity. This article reviews the recognition and variation of the etiology of
anti-NMDAR encephalitis.
PMID- 24927427
TI - An active poroelastic model for mechanochemical patterns in protoplasmic droplets
of Physarum polycephalum.
AB - Motivated by recent experimental studies, we derive and analyze a two-dimensional
model for the contraction patterns observed in protoplasmic droplets of Physarum
polycephalum. The model couples a description of an active poroelastic two-phase
medium with equations describing the spatiotemporal dynamics of the intracellular
free calcium concentration. The poroelastic medium is assumed to consist of an
active viscoelastic solid representing the cytoskeleton and a viscous fluid
describing the cytosol. The equations for the poroelastic medium are obtained
from continuum force balance and include the relevant mechanical fields and an
incompressibility condition for the two-phase medium. The reaction-diffusion
equations for the calcium dynamics in the protoplasm of Physarum are extended by
advective transport due to the flow of the cytosol generated by mechanical
stress. Moreover, we assume that the active tension in the solid cytoskeleton is
regulated by the calcium concentration in the fluid phase at the same location,
which introduces a mechanochemical coupling. A linear stability analysis of the
homogeneous state without deformation and cytosolic flows exhibits an oscillatory
Turing instability for a large enough mechanochemical coupling strength.
Numerical simulations of the model equations reproduce a large variety of wave
patterns, including traveling and standing waves, turbulent patterns, rotating
spirals and antiphase oscillations in line with experimental observations of
contraction patterns in the protoplasmic droplets.
PMID- 24927429
TI - [Research advances in pathogenesis of anti-N-methyl-D-aspartate receptor
encephalitis].
AB - Anti-N-methyl-D-aspartate receptor (NMDAR) encephalitis is the most prevalent
type of encephalitis. Investigating the pathogenesis of anti-NMDAR encephalitis
will enhance our understanding of this disease and play a central part in
providing reasonable treatment for the patients. The pathogenesis is elucidated
as follows: (1) the findings of the relationship between anti-NMDAR encephalitis
and tumors; (2) further research on the relationship between anti-NMDAR
encephalitis and tumors; (3) NMDAR epitopes and the autoimmunity of patients; (4)
the interaction between antibody and NMDAR; (5) the pathogenesis of anti-NMDAR
encephalitis without tumors. This review gives a brief introduction to the
methodology and way of finding out the valuable clinical problems and making a
clear and explicit explanation of them by exhibiting the process of discovering
the disease, disclosing its relationship with tumors, and investigating its
pathological and molecular mechanism. Current studies have demonstrated that anti
NMDAR encephalitis is an autoimmune disease of the nervous system that is closely
associated with tumors, particularly ovarian teratoma.
PMID- 24927430
TI - [Clinical presentations of pediatric anti-N-methyl-D-aspartate receptor
encephalitis].
AB - Anti-N-methyl-D-aspartate receptor (NMDAR) encephalitis is one of the most common
autoimmune encephalitis in children with characterized clinical features. Here we
review clinical presentations of typical and atypical anti-NMDAR encephalitis and
characteristics of clinical presentations of pediatric anti-NMDAR encephalitis.
PMID- 24927431
TI - [Diagnosis and differential diagnosis of anti-N-methyl-D-aspartate receptor
encephalitis in children].
AB - Autoimmune encephalitis is rare and has various clinical manifestations, which
may hamper the correct diagnosis. Therefore, the pediatrician should be familiar
with the clinical symptoms, signs, laboratory features, neuroimaging changes,
immunological characteristics, and differential diagnosis of this disease. In
order to correctly diagnose anti-N-methyl-D-aspartate receptor (NMDAR)
encephalitis, cerebrospinal fluid (CSF) examinations including detection of
oligoclonal bands, brain MRI scanning, and routine EEG and/or 24 hours video EEG
should be performed in children. For highly suspected cases, particularly
children presenting with psychiatric symptoms and epileptic seizures,
examinations should be done to detect anti-NMDAR antibodies (Abs) in serum and
CSF. A notable feature in children is the EEG pattern named "extreme delta
brush", which may help confirm the clinical diagnosis. Anti-NMDAR Abs in CSF is
the diagnostic "gold-standard" for this disease. The differential diagnosis of
anti-NMDAR encephalitis is broad. In pediatric patients, the differential
diagnosis should be made mainly with herpes simplex virus encephalitis, other
autoimmune encephalitis, and psychosis.
PMID- 24927432
TI - [Management of anti-N-methyl-D-aspartate receptor encephalitis in children].
AB - Anti-N-methyl-D-aspartate receptor (anti-NMDAR) encephalitis is a new category of
severe, potentially treatable autoimmune encephalitis and can appear in patients
of all ages, but more frequently in children. It is a highly characteristic
syndrome evolving in five stages: the prodromal phase (viral infection-like
symptoms), psychotic phase, unresponsive phase, hyperkinetic phase, and gradual
recovery phase. The treatment for this disorder includes first-line immunotherapy
(steroids, intravenous immunoglobulin, plasmapheresis), second-line immunotherapy
(rituximab, cyclophosphamide), and tumor removal. Hereby the progresses,
selections and shortcomings of the treatment protocols for this disease are
introduced.
PMID- 24927433
TI - [Types of acute hypoxic-ischemic brain injury due to perinatal sentinel events in
neonates].
AB - OBJECTIVE: To investigate the clinical and imaging characteristics of acute
hypoxic-ischemic brain injury (HIBI) due to perinatal sentinel events in
neonates. METHODS: Forty-six neonates with acute HIBI who were admitted between
January 2004 and May 2013, and who had a history of major cardiopulmonary
resuscitation, were enrolled in the study. They were classified into full-term
and preterm infants to analyze the clinical and imaging characteristics. RESULTS:
Among full-term infants, the incidence rates of white matter injury, cortical
injury, basal ganglia /thalamic injury, and brain stem injury were 95%, 90%, 75%,
and 65%, respectively; among preterm infants, the incidence rates of white matter
injury, cortical injury, basal ganglia/thalamic injury, and brain stem injury
were 73%, 23%, 19%, and 15%, respectively. Compared with full-term infants,
preterm infants had a significantly lower incidence of gray matter injury in the
cortex, basal ganglia/thalamus, and brain stem (P<0.05). About 46% of all
subjects had multiple organ dysfunction. The 20 full-term infants with HIBI had
typical clinical manifestations; 19 (95%) of them had moderate or severe neonatal
encephalopathy, with mixed lesions on magnetic resonance imaging (MRI), and
moderate or severe basal ganglia/thalamic injury was found in 68% of these
patients. Multiple organ dysfunction, various abnormal neurological
manifestations, and arterial blood pH less than 7.1 were closely related to
moderate or severe brain injury. CONCLUSIONS: White matter injury is the most
common type of HIBI. Gray matter injury can be found in preterm infants, but the
incidence is lower than that in full-term infants. Moderate or severe neonatal
encephalopathy is mainly manifested as basal ganglia/thalamic injury on MRI.
Evaluation of multiple organ dysfunction and abnormal neurological manifestations
and early blood gas analysis are very important for the diagnosis of neonatal
HIBI.
PMID- 24927434
TI - [Analysis of survival and prognostic factors in extremely preterm infants].
AB - OBJECTIVE: To investigate the survival rate, complications during
hospitalization, and prognostic factors in extremely preterm infants (gestational
age less than 28 weeks) in the neonatal intensive care unit (NICU). METHODS: A
retrospective analysis was performed on 90 extremely preterm infants who were
admitted to the NICU between January 2011 and March 2013 to investigate the
perinatal data, delivery and resuscitation, ventilation/oxygen supply during
hospitalization, mortality, and the incidence of severe (grade III/IV)
intraventricular hemorrhage (IVH), bronchopulmonary dysplasia (BPD), retinopathy
of prematurity (ROP), patent ductus arteriosus (PDA), and septicemia. RESULTS:
Among the 90 extremely preterm infants, the gestational age, birth weight,
overall survival rate, mortality, and withdrawal rate were 26+/-1 weeks, 898+/
165 g, 57%, 9%, and 34%, respectively. The incidence rates of neonatal
respiratory distress syndrome, BPD, PDA, ROP, and grade III/IV IVH were 88%, 85%,
69%, 68%, and 31%, respectively. The surviving infants had a mean length of
hospital stay of 83+/-18 days and a mean weight at discharge of 2 419+/-300 g.
The multivariate logistic regression analysis showed that grade III/IV IVH and
pulmonary hemorrhage were high-risk factors for death or withdrawal, while
antenatal corticosteroids were the protective factor for outcome (P<0.05).
CONCLUSIONS: The survival rate of extremely preterm infants is still much lower
than that in developed countries. grade III/IV IVH, and pulmonary hemorrhage are
important prognostic factors.
PMID- 24927435
TI - [Prognostic factors in very low birth weight infants and extremely low birth
weight infants].
AB - OBJECTIVE: To analyze the clinical data of very low birth weight infants (VLBWIs)
and extremely low birth weight infants (ELBWIs) (birth weight <=1 200 g) and to
provide prewarning indicators for prognostic evaluation and clinical
intervention. METHODS: A retrospective analysis was performed on the clinical
data of 108 VLBWIs and ELBWIs to investigate the maternal history of diseases
during pregnancy, neonatal birth status, the process of diagnosis and treatment,
and prognosis. Unconditional logistic regression analysis was used to determine
prognostic factors. RESULTS: Of the 108 preterm infants, 15 had a birth weight of
<800 g, 29 had a birth weight of 800-999 g, and 64 had a birth weight of 1000
1200 g. The mortality was 33.3% (36/108). Placenta previa and Apgar score <=3 at
5 minutes were the main risk factors for death within 24 hours of birth;
premature rupture of membrane, Apgar score <=3 at 5 minutes, and pulmonary
hemorrhage were the main risk factors for death between 24 hours and 7 days after
birth; late-onset sepsis caused by invasive fungal infection was an independent
risk factor for death over 7 days after birth, while pregnancy-induced
hypertension syndrome was a protective factor. CONCLUSIONS: The mortality in
VLBWIs and ELBWIs is relatively high, and the prognostic factors vary between
preterm infants of different ages. Clinicians should discuss management options
for the infants on the basis of these findings to increase the survival of
preterm infants.
PMID- 24927436
TI - [Effect of oral administration of probiotics on intestinal colonization with drug
resistant bacteria in preterm infants].
AB - OBJECTIVE: To evaluate the effect of oral administration of probiotics on
intestinal colonization with drug-resistant bacteria among preterm infants in the
neonatal intensive care unit (NICU). METHODS: A double-blind, randomized, placebo
controlled trial was carried out in the preterm infants who were transferred to
the NICU immediately after birth. These infants were stratified by whether they
were breastfed and then randomized into test group and control group. The test
group was given probiotics from the day when enteral feeding began, while the
control group was treated conventionally without probiotics. The two groups were
compared in terms of the colonization with extended-spectrum beta-lactamase
producing bacteria, as assessed by rectal swabs on days 1, 3, 7, and 14 after
birth, and the incidence of diseases. RESULTS: Rectal colonization with drug
resistant bacteria was found in the test group (n=119) and control group (n=138)
on days 1, 3, 7, and 14 after birth. There were no significant differences in the
incidence of late-onset sepsis and necrotizing enterocolitis between the two
groups (P>0.05). Among non-breastfed infants, the test group had significantly
decreased rectal colonization with drug-resistant bacteria compared with the
control group on day 14 after birth (71.1% vs 88.9%; P=0.04). No probiotic
related adverse events were observed in the study. CONCLUSIONS: Oral
administration of probiotics may reduce rectal colonization with drug-resistant
bacteria in preterm infants under certain conditions and shows good safety.
PMID- 24927437
TI - [Risk factors for the failure of the InSure method in very preterm infants with
respiratory distress syndrome].
AB - OBJECTIVE: To study the risk factors for the failure of the InSure method in very
preterm infants with respiratory distress syndrome (RDS). METHODS: Seventy-one
very preterm infants with RDS treated with InSure method were enrolled. These
infants were categorized into two groups: InSure success (42 cases) and InSure
failure (29 cases). The differences in basic information were compared between
the two groups, and logistic regression analysis was used to identify the risk
factors for InSure failure. RESULTS: The failure rate of the InSure method was
41%. The failure group were much lower in the birth weight, the antenatal
steroids utilization rate and the vaginal delivery rate than the success group
(P<0.05). The incidence of patent ductus arteriosus in the failure group was
significantly higher than in the success group (P<0.05). PaO2, PaO2/FiO2 and
PaO2/PAO2 in the failure group were significantly lower than in the success group
(P<0.05). PaCO2 in the failure group was much higher than in the success group
(P<0.05). Further logistic regression analysis showed that birth weight <1 150 g
(OR=22.240 95%CI=2.124-232.901), PaCO2>54 mm Hg(OR=9.360, 95%CI=1.958-44.741, and
PaO2/FiO2 <195 (OR=6.570, 95%CI=1.027-42.003), were the independmend risk factors
for InSure failure. Furthermore, the duration of oxygen therapy, the total time
of hospitalization and the incidence of BPD in the failure group were much longer
and higher than in the success group (P<0.05). CONCLUSIONS: Low birth weight,
elevated PaCO2 and low PaO2/PiO2 ratio are the risk factors for the failure of
the InSure method in very preterm infants.
PMID- 24927438
TI - [Expression of SIRT1 in bone marrow biopsy tissues and its clinical significance
among children with acute myeloid leukemia].
AB - OBJECTIVE: To determine the expression level of silent mating-type information
regulation 2 homologue 1 (SIRT1) in bone marrow biopsy tissues among children
with acute myeloid leukemia (AML) and analyze its relationship with the prognosis
of AML patients. METHODS: A retrospective analysis was performed on the clinical
data of 54 children who were diagnosed with AML between July 2009 and April 2012
and who underwent bone marrow biopsy at diagnosis. The expression of SIRT1 in
bone marrow was measured by immunohistochemistry. The 54 patients were divided
into two groups according to the expression of SIRT1: SIRT1-negative (n=10) and
SIRT1-positive (n=44). The SIRT1-positive group was further divided into three
subgroups: SIRT1(+) (n=8), SIRT1(++) (n=7) and SIRT1(+++) (n=29) according to the
expression levels of SIRT1. Cox multivariate regression analysis was used to
determine the unfavorable factors for long survival in children with AML.
RESULTS: The SIRT1(+++) subgroup had a significantly higher mortality than the
SIRT1-negative group (P<0.05). Compared with the SIRT1-negative group, the SIRT1
positive group had a significantly lower 2-year overall survival rate (P<0.05)
and a significantly lower 2-year event-free survival rate (P<0.05). Cox
multivariate regression analysis showed that positive expression of SIRT1 was an
unfavorable factor for long-term survival in children with AML, with a risk
coefficient of 2.071 (95% CI: 1.017-4.219; P=0.045). CONCLUSIONS: SIRT1 is
overexpressed in some of pediatric AML patients, and the overexpression of SIRT1
is associated with poor prognosis.
PMID- 24927439
TI - [ZO-1 gene methylation status and its clinical significance in children with non
Hodgkin lymphoma].
AB - OBJECTIVE: To investigate the methylation status of zonula occludens-1 (ZO-1)
gene promoter and its clinical significance in children with stage IV non-Hodgkin
lymphoma (NHL) and to provide a basis for further etiological study and early
diagnosis of this disease. METHODS: Fifty-five children with a confirmed
diagnosis of stage IV NHL (40 cases of T-NHL and 15 cases of B-NHL) were selected
as the case group, and 20 children with diseases other than hematologic
malignancies were selected as the control group. Bone marrow samples were
collected from these subjects. Methylation-specific PCR (MS-PCR) was applied to
evaluate the methylation status of ZO-1 gene promoter, and the integrated optical
density (IOD) was determined. RT-PCR was used to measure the mRNA expression of
ZO-1. RESULTS: MS-PCR showed that the methylated bands of ZO-1 gene promoter were
found in 39 (70.9%) of 55 patients in the case group before treatment, while no
ZO-1 gene promoter methylation was detected in the control group. With close
tracking of 47 cases in the study group, consisting of 32 cases of T-NHL and 15
cases of B-NHL, the rates of ZO-1 gene promoter methylation prior to treatment
were 72% and 67%, respectively, (P>0.572). The cases of T-NHL and B-NHL showed no
significant changes in methylation rate in the early and middle phases of
chemotherapy (P>0.05), but they showed significant changes in methylation rate in
the late phase of chemotherapy (P<0.05). RT-PCR showed that the NHL cases
carrying methylated ZO-1 gene had no mRNA expression of ZO-1, while all children
in the control group had mRNA expression of ZO-1. There was no linear
relationship between the total number of peripheral blood leukocytes and ZO-1
gene IOD (r=0.093, P=0.575); a positive correlation was found between the number
of malignant cells in bone marrow and ZO-1 gene IOD (r=0.669, P<0.001).
CONCLUSIONS: ZO-1 gene shows a hypermethylation status in children with NHL, and
the methylation level is positively correlated with the number of malignant cells
in bone marrow. ZO-1 may be used as a novel molecular marker in early diagnosis,
outcome assessment, prognostic evaluation, and detection of minimal residual
disease.
PMID- 24927440
TI - [Clinical and ATP7A gene analysis of three infants with Menkes disease and
prenatal diagnosis for a fetus at risk].
AB - Menkes disease is a rare X-linked recessive disorder characterized by multi
systemic disorder of copper deficiency caused by ATP7A gene mutation. In this
study, the clinical and laboratory features of three patients with Menkes disease
were analyzed. Prenatal diagnosis had been performed for a fetus of a family.
Three patients were admitted at the age of 8-9 months due to severe epilepsies
and marked delayed psychomotor development. Significantly light complexion, pudgy
cheeks and sparse fuzzy wooly hair were observed. On their cranial MR imaging,
cortical atrophy, leukoencephalopathy, basal ganglia damage and tormesity of the
intracranial vessels were found. Their plasma ceruloplasmin decreased to 70.2,
73.5 and 81 mg/L, significantly lower than normal range (210-530 mg/L). c.3914A>G
(p. D1305G) was detected on ATP7A gene of case 1 and 2. A novel mutation,
c.3265G>T (p.G1089X) was found in case 3. Both of them were firstly found in
Chinese patients of Menkes disease. The mother of case 1 was tested at 20 weeks
of pregnancy. Karyotype and ATP7A gene studies of the amniocytes were performed
for the prenatal diagnosis of her fetus. Normal male karyotypes without c.3914A>G
mutation on ATP7A gene was showed. Postnatal genetic analysis and normal
development confirmed the prenatal diagnosis.
PMID- 24927441
TI - [A preliminary study of plasma microRNA levels in children with methylmalonic
acidemia].
AB - OBJECTIVE: To screen out differentially expressed microRNAs (miRNAs) in the
plasma of children with methylmalonic acidemia (MMA), to determine the expression
of miR-9-1 in plasma and to preliminarily evaluate the significance of miR-9-1 as
a biomarker in MMA. METHODS: Plasma was obtained from 17 MMA children, 10
hyperhomocysteinemia (HHcy) children without MMA (HHcy group), and 10 normal
controls. Of 17 MMA children, 12 had HHcy (MMA+HHcy group), and 5 had no HHcy
(MMA group). The differentially expressed miRNAs were screened out by miRNA
microarray. Differentially expressed miR-9-1 was selected, and plasma miR-9-1
levels were determined by RT-PCR. Urine was collected from MMA patients who
received vitamin B12 treatment, and plasma miR-9-1 levels were determined by RT
PCR after treatment. RESULTS: The miRNA microarray analysis showed that 26 miRNAs
were differentially expressed, among which 16 miRNAs (including miR-9-1) were
down-regulated over 2 times, while 10 miRNAs were up-regulated over 2 times. The
MMA+HHcy , MMA and HHcy groups had significantly down-regulated miR-9-1 compared
with the normal control group (P<0.01). The patients who showed a good response
to vitamin B12 treatment had significantly increased plasma miR-9-1 levels,
without significant difference compared with the normal control group.
CONCLUSIONS: Plasma miR-9-1 is significantly down-regulated in MMA patients, but
it is significantly up-regulated after vitamin B12 treatment, suggesting that miR
9-1 may act as a biomarker in monitoring the progression of MMA.
PMID- 24927442
TI - [Orthogonal factor analysis of metabolic syndrome components in children and
adolescents in the Xiaoshan District of Hangzhou, China].
AB - OBJECTIVE: To study the relationship between various metabolic syndrome (MS)
components in children and adolescents and to explore its potential
pathophysiological mechanism. METHODS: A total of 1 550 children and adolescents
aged 7-14 years from the Xiaoshan District of Hangzhou, China were enrolled in
March 2010. The anthropometric parameters such as height, weight, waist
circumference (WC), and hip circumference, as well as blood pressure, were
measured; after adjustment for age and sex, body mass index z score (BMI-z),
waist circumference z score (WC-z), waist-to-hip ratio (WHp), and waist-to-height
ratio (WHt) were calculated. Fasting blood samples were collected for
determination of fasting plasma glucose (FPG), total cholesterol (CHOL),
triglyceride (TG), high-density lipoproteins (HDL), and low-density lipoproteins
(LDL). Principal component analysis was used for extraction of factors. RESULTS:
Principal component analysis revealed 5 uncorrelated factors that cumulatively
explained 77.76% of the observed variance. Adiposity factor, which accounted for
23.56% of the variance, was the primary factor; it consisted of 3 variables,
i.e., WC-z, WHt, and BMI-z, in which WC-z had the highest loading. The remaining
factors identified were blood lipid factor 1 (TG, CHOL, and LDL), blood pressure
factor, blood lipid factor 2 (TG and HDL), and blood glucose and WHp factor (FPG
and WHp). CONCLUSIONS: More than one pathophysiological mechanism could account
for the development of MS in children and adolescents. Obesity, especially
central obesity, is the most important factor in the development of MS.
Dyslipidemia may not fully explain insulin resistance; they may work together in
MS.
PMID- 24927443
TI - [Establishment and application of Chinese Pediatric Evaluation of Disability
Inventory norms in Chongqing, China].
AB - OBJECTIVE: To establish the Chinese Pediatric Evaluation of Disability Inventory
(PEDI) norms in Chongqing, China. METHODS: PEDI (English version) was translated
into Chinese and proof read by back-translation. A total of 1 140 children
stratified by age were randomly selected from Chongqing and evaluated by the
Chinese version of the PEDI. The obtained data were statistically analyzed.
RESULTS: Of 1 140 questionnaires, 1 075 (94.3%) were valid. The data showed that
the raw and scale scores of PEDI increased with age, but the standard scores did
not increase with age. The raw, scale, and standard scores on self-care and
social function scales were significantly lower than American PEDI norms in some
age periods (P<0.05), but the raw, scale, and standard scores on mobility scale
were not significantly different from American norms (P>0.05). CONCLUSIONS: The
PEDI norms in Chongqing have been successfully established, and can be used to
assess the daily function in children, judge the degree of daily function
impairment, evaluate the effect of rehabilitation training, and make the
rehabilitation plan for disabled children.
PMID- 24927444
TI - [Effect of parental feeding behavior on eating behavior of children aged 1-3
years].
AB - OBJECTIVE: To investigate the relationship between the eating behavior of
children aged 1-3 years and parental feeding behavior and the effect of family
status on feeding behavior. METHODS: With stratified random sampling, 2 324
children aged 1-3 years were selected from Shanghai. Questionnaires were filled
out by their parents or feeders to investigate the basic family information,
parental feeding behavior, the eating behavior of children, and the basic
information on children. RESULTS: The eating behavior of children was positively
correlated with eating environment (r=0.223) and parental monitoring behavior
(r=0.245) but negatively correlated with parental compulsive behavior (r=-0.264)
(P<0.01). Moreover, the food preferences of children were negatively correlated
with parental compulsive behavior (r=-0.569) but positively correlated with
parental monitoring behavior (r=0.615) and eating environment (r=0.621). The
emotional undereating of children was positively correlated with parental
emotional feeding (r=0.259) and parental compulsive behavior (r=0.279). Parental
monitoring behavior showed significant differences between different families
(P<0.05). CONCLUSIONS: Parental feeding behavior is closely related to the eating
behavior of children. Parental feeding behavior may vary across different family
status.
PMID- 24927445
TI - [Relationship between Hes-1 and airway inflammation and remodeling in a rat model
of asthma].
AB - OBJECTIVE: To study the changes of Hes-1, the target gene of Notch signaling
pathway, and its relationship with airway inflammation and remodeling in a rat
model of asthma. METHODS: Forty-eight rats were randomly divided into an asthma
group and a control group. The rats in the asthma group were sensitized and
challenged by ovalbumin (OVA), and normal saline was used in the control group.
Two groups were further divided into 3 subgroups according to time points after
challenging, i.e. 4 weeks, 8 weeks and 12 weeks (n=8 rats each). Pathological
changes of lungs were observed by light microscopy and the thickness of bronchial
smooth muscle layer (Wam) was measured. The levels of IL-4 and INF-gamma in rat
serum and bronchoalveolar lavage fluids (BALF) were measured using ELISA.
Expression levels of Hes-1 protein and mRNA were determined by
immunohistochemistry and quantitative real-time PCR respectively. RESULTS:
Together with the extension of challenging, the Wam of rats in the asthma group
increased, a decrease of INF-gamma level and an increase of IL-4 level in serum
and BALF were also observed, and the differences were statistically significant
compared with those in the corresponding control group (P<0.05). Hes-1 protein
and mRNA levels also increased gradually after OVA challenging and were higher
than those in the control group (P<0.05). The levels of Hes-1 protein and mRNA
were positively correlated with Wam and IL-4 in serum and BALF, but were
inversely correlated with INF-gamma in serum and BALF (P<0.05). CONCLUSIONS:
Levels of Hes-1 protein and mRNA increased, which were closely related with the
levels of airway inflammatory factors and remodeling of airway smooth muscle. Hes
1 may play an important role in the pathogenesis of asthma.
PMID- 24927446
TI - [Febrile infection-related epilepsy syndrome in two children].
PMID- 24927447
TI - [Atipical meningococcal meningitis in an infant].
PMID- 24927448
TI - [Thyroid storm in a newborn infant].
PMID- 24927449
TI - Efficient sample preparation from complex biological samples using a sliding lid
for immobilized droplet extractions.
AB - Sample preparation is a major bottleneck in many biological processes.
Paramagnetic particles (PMPs) are a ubiquitous method for isolating analytes of
interest from biological samples and are used for their ability to thoroughly
sample a solution and be easily collected with a magnet. There are three main
methods by which PMPs are used for sample preparation: (1) removal of fluid from
the analyte-bound PMPs, (2) removal of analyte-bound PMPs from the solution, and
(3) removal of the substrate (with immobilized analyte-bound PMPs). In this
paper, we explore the third and least studied method for PMP-based sample
preparation using a platform termed Sliding Lid for Immobilized Droplet
Extractions (SLIDE). SLIDE leverages principles of surface tension and patterned
hydrophobicity to create a simple-to-operate platform for sample isolation
(cells, DNA, RNA, protein) and preparation (cell staining) without the need for
time-intensive wash steps, use of immiscible fluids, or precise pinning
geometries. Compared to other standard isolation protocols using PMPs, SLIDE is
able to perform rapid sample preparation with low (0.6%) carryover of
contaminants from the original sample. The natural recirculation occurring within
the pinned droplets of SLIDE make possible the performance of multistep cell
staining protocols within the SLIDE by simply resting the lid over the various
sample droplets. SLIDE demonstrates a simple easy to use platform for sample
preparation on a range of complex biological samples.
PMID- 24927451
TI - Editorial.
PMID- 24927450
TI - Chondroitin sulfate coatings display low platelet but high endothelial cell
adhesive properties favorable for vascular implants.
AB - This study highlights the advantages of chondroitin sulfate (CS) as a sublayer
combining selective low-fouling properties, low-platelet adhesion and pro
adhesive properties on endothelial cells, making CS promising for vascular graft
applications. These properties were evaluated by comparing CS with well-known low
fouling coatings such as poly(ethylene glycol) (PEG) and carboxymethylated
dextran (CMD), which were covalently grafted on primary amine-rich plasma
polymerized (LP) films. Protein adsorption studies by quartz crystal microbalance
with dissipation monitoring (QCM-D) and fluorescence measurements showed that CS
is as effective as PEG in reducing fibrinogen adsorption (~90% reduction). CS
also largely reduced adsorption of bovine serum albumin (BSA) as well as fetal
bovine serum (FBS) but to a lower extent than PEG and CMD surfaces (72% vs 85%
for BSA and 66% vs 89% for FBS). Whole blood perfusion assays indicated that,
while LP surfaces were highly reactive with platelets, PEG, CMD, and CS grafted
surfaces drastically decreased platelet adhesion and activation to levels
significantly lower than polyethylene terephthalate (PET) surfaces. Finally,
while human umbilical vein endothelial cell (HUVEC) adhesion and growth were
found to be very limited on PEG and CMD, they were significantly increased on CS
compared to that on bare PET and reached similar values as those for tissue
culture polystyrene positive controls. Interestingly, HUVEC retention during
perfusion with blood was found to be excellent on CS but poor on PET. Overall,
our results suggest that the CS surface has the advantage of promoting HUVEC
growth and resistance to flow-induced shear stress while preventing fibrinogen
and platelet attachment. Such a nonthrombogenic but endothelial-cell adhesive
surface is thus promising to limit vascular graft occlusion.
PMID- 24927452
TI - Pharmacotherapy of mania: A review.
AB - The management of mania is an important and common clinical challenge. A wide
array of psychopharmacological alternatives is available, diverse in their
mechanism of action. They include lithium, the antipsychotics, anticonvulsants
and others. With the exception of lithium, all these agents have been borrowed
from other indications. The wide variety of therapeutic options does not help to
clarify the primary pathophysiological mechanisms operative in bipolar disorder.
While the development of novel therapeutic agents increases the available
armamentarium, there is little clarity as to the place of the novel agents in
treatment regimens. A further issue in the treatment of mania is the significant
divergence of opinion as to choice of first-line antimanic therapy. This paper
attempts to review the evidence of efficacy of the various antimanic agents, with
a focus on the novel anticonvulsant and neuroleptic drugs, and to discuss the
data available on these novel therapeutic options. ( Int J Psych Clin Pract 2000;
4: 171 - 193).
PMID- 24927453
TI - Recurrent brief depression: A frequent syndrome in clinical practice.
AB - Recurrent brief depression (RBD) is not a new artificial group of depression
syndromes, but an important, frequently overlooked and clearly identified
subcategory of depressive disorders. The symptoms do not differ from major
depression; however, the duration of the brief episodes usually lasts 1 - 3
days. The patient can suffer from both brief and longer manifestations of
depression and therefore qualify for both diagnoses: major depression on the one
hand/or RBD on the other. If the patient suffers from both conditions the case is
more severe, with higher social impairment and higher suicidal risk.
Epidemiological studies carried out in different parts of the world indicated a
prevalence rate of RBD of between 5% and 10% of patients seeking help in general
practice. Unfortunately there is no clear treatment as yet established for RBD,
although about 50% of these patients are given psychotropic drugs by
practitioners. Controlled trials with antidepressants did not show a beneficial
effect and there is no hint in the literature as to whether psychological
therapies might be helpful. There is a need for further treatment studies in this
important form of depression, which is categorizable within the depressive
spectrum. ( Int J Psych Clin Pract 2000; 4: 195-199).
PMID- 24927454
TI - Reboxetine, the first selective noradrenaline reuptake inhibitor antidepressant:
Efficacy and tolerability in 2613 patients.
AB - INTRODUCTION: Reboxetine is the first available selective noradrenaline re-uptake
inhibitor (selective NRI). This paper gives an overview of its antidepressant
efficacy and tolerability in eight randomized double-blind, multicentre clinical
trials. The clinical profile of reboxetine is also compared with that of the
tricyclic antidepressants (TCAs) desipramine and imipramine and the selective
serotonin re-uptake inhibitor (SSRI) fluoxetine. METHODS: Pooled data were
analysed from seven short-term (4 - 8 weeks) and one long-term (up to 1 year)
trials comparing reboxetine with placebo, imipramine, desipramine or fluoxetine.
The tolerability of reboxetine was evaluated in 2613 patients with major
depression or dysthymia. Data from 1959 patients with major depressive disorder
were included to assess drug efficacy. Efficacy was principally assessed using
the Hamilton Depression Rating Scale (HAM-D). RESULTS: Reboxetine was more
effective than placebo in three of four short-term trials, and it was as
effective as fluoxetine, imipramine and desipramine. In long-term treatment,
reboxetine was more effective than placebo in preventing relapse ( S 50%
increase in HAM-D) and recurrence (HAM-D total score h 10). In a subset of
severely depressed patients, reboxetine was as effective as imipramine and
significantly more effective than fluoxetine. Reboxetine was as effective as
imipramine in the elderly, but better tolerated. The most common adverse events
among the 1503 patients (adults and elderly) who received reboxetine were dry
mouth (22%), constipation (15%), sweating (12%) and insomnia (11%). Overall,
reboxetine was well tolerated, as well as the SSRI fluoxetine and better than the
TCAs imipramine and desipramine. CONCLUSIONS: Reboxetine is effective and well
tolerated in the short and long-term treatment of depression. It is as well
tolerated as fluoxetine and better than imipramine and desipramine. ( Int J Psych
Clin Pract 2000; 4: 201 - 208).
PMID- 24927455
TI - The efficacy of zotepine in treating acute negative symptoms of schizophrenia:
The results of a meta-analysis.
AB - INTRODUCTION: Zotepine is a unique antipsychotic drug, having effects which are
both antiserotonergic and antidopaminergic that may make it more effective in the
treatment of negative symptoms of schizophrenia than more conventional agents.
METHOD: A meta-analysis was performed on the effect of zotepine on the negative
symptoms in seven double-blind studies, as measured by the SANS scale. RESULTS:
Of the trials selected for this meta-analysis, one showed significant improvement
in acute negative symptoms in favour of zotepine. Negative symptoms measured in
the other trials showed trends in favour of zotepine, except for one study where
the trend was in favour of perazine. The meta-analysis showed zotepine to be
significantly better then either placebo or conventional antipsychotic
comparators using the standardized treatment difference methodology, and it
confirmed the results from a previous study using patients with predominantly
negative symptoms. CONCLUSION: Zotepine may have a place in the treatment of this
group of patients where conventional antipsychotic drugs have had little effect.
( Int J Psych Clin Pract 2000; 4: 209 - 214).
PMID- 24927456
TI - Do depressive patients with family history of dementia constitute a separate
group? A case report study.
AB - INTRODUCTION: Recently it has been suggested that patients with both depression
and a family history of dementia are seven times as likely to develop Alzheimer's
disease as are controls. METHOD: Fifty patients aged 21 - 60 years suffering
from DSM-IV Major Depression entered the study; three of them (6%) had a positive
family history of dementia. Diagnosis was by SCAN v 2.0. The family history
method was used to record family history. All depressed patients were
investigated with the Dexamethasone Suppression Test (DST), brain SPECT, electro
oculogram (EOG), flash-electroretinogram (f-ERG) and Pattern-Reversal Visual
Evoked Potentials (PR-VEPs). Student's t-test was used to analyse the results.
All patients with positive family history had atypical features. RESULTS: Two of
them suffered from borderline personality disorder, in comparison to eight in the
remainder of the sample and five in the rest of the atypicals. The age of onset
of depression was lower. DST, SPECT, EOG and PR-VEPs findings were similar
between groups. The only significant finding concerned the latency of the bs wave
of the scotopic ERG (P < 0.01), but even in this, patients with positive history
did not differ from the rest of the atypical patients. CONCLUSION: The present
study provided limited data connecting atypical features of depression,
personality traits, psychological stressors, hypercortisolaemia and family
history of dementia. Further research with larger samples is essential. ( Int J
Psych Clin Pract 2000; 4: 215 - 222).
PMID- 24927457
TI - Transcranial magnetic stimulation is effective in the treatment of relapse of
depression.
AB - INTRODUCTION: The aim of this preliminary report is to demonstrate the efficacy
of rapid transcranial magnetic stimulation (rTMS) in the treatment of relapsed
major depressive disorder (MDD) patients. METHODS: Four patients with major
depressive disorder who were successfully treated with rTMS received a second
course of rTMS treatment. Patients were evaluated with the Hamilton Depression
Rating Scale - 21 items, the Brief Psychiatric Rating Scale, the Global
Depression Scale and the Global Assessment Scale in both trials. The statistical
analysis was performed with paired t-tests and chi squares. RESULTS: Clinical
ratings demonstrated a significant improvement at the end of both trials. No
significant differences were found between the ratings at the end of the
treatment courses. CONCLUSION: rTMS was successfully used in the treatment of
relapsed MDD patients who had previously responsed to rTMS. ( Int J Psych Clin
Pract 2000; 4: 223 - 226).
PMID- 24927458
TI - Private psychiatry in Switzerland.
AB - INTRODUCTION: Two-thirds of Swiss psychiatrists are engaged, either exclusively
or partially, in private practice, a proportion that is higher than in other
countries. METHOD: A questionnaire survey of 1000 psychiatrists was carried out.
RESULTS: Psychiatrists in private practice display a greater degree of clinical
activity, mainly with individuals, than do psychiatrists employed by public
institutions; and they work principally within two practice profiles,
psychoanalytical and generalist, and much less in the biological profile. They
show a preference for the psychological model, mostly in a psychoanalytical
orientation. Psychiatrists who have a mixed privatepublic practice - more than
half of them - are even more psychoanalytically oriented than psychiatrists
working exclusively in private practice. They act as an interface between the
public and private sectors, playing a pivotal role as guardians of
psychoanalysis, proclaiming its principles to psychiatric residents. CONCLUSION:
With the transformations taking place in the health care system, new care
concepts are being developed, there is a wider variety of theoretical
orientations, and the profession is therefore undergoing substantial changes. (
Int J Psych Clin Pract 2000; 4: 227 - 232).
PMID- 24927459
TI - How urgent is urgent? Analysing urgent out-patient referrals to an adult
psychiatric service.
AB - INTRODUCTION: It was noticed that over some years the number of referrals to the
outpatient clinic (from various sources) which were marked 'urgent' had
increased. We aimed to examine who makes these urgent referrals and the clinical
factors associated with 'urgent' status. METHODS: A sample of 201 referrals over
a 26-month period was examined. Details of the referral requests were collected
using a specially designed form. After each 'urgent' assessment, the referral was
scored for appropriateness. This gave an indication of the agreement between
referrer and clinic doctor as to what should constitute an ¤ urgent'
referral. RESULTS: The majority of urgent referrals were from community
psychiatric nurses, who, together with psychiatric social workers, make the most
appropriate referrals. The more appropriate referrals clearly specified the
clinical factors associated with urgent need for review. Patients regarded as
suicidal were not associated with significantly higher appropriateness scores.
CONCLUSION: Referrers should try and make 'urgent' outpatient requests as
specific as possible: more clinical detail gives a clearer picture to the clinic
doctor. Telephone consultations with general practitioners may help to ascertain
a clearer picture of urgent requests if detail is lacking. Health professionals
may all benefit from education in suicidal risk assessment. ( Int J Psych Clin
Pract 2000; 4: 233 - 235).
PMID- 24927460
TI - Priapism associated with risperidone treatment.
AB - Priapism is the occurrence of sustained and painful erection that does not result
from sexual desire and fails to subside despite orgasm. It is often accompanied
by pain and tenderness. The aetiologies are idiopathic, alcohol abuse, drug
therapy, perinatal trauma and sickle-cell anaemia. It is a very rare adverse
effect of psychotropic medications, due to alphaadrenergic receptor blockade.
Priapism is reported in a 19-year-old physically healthy mental patient after 4
days of risperidone treatment 2 mg/day. ( Int J Psych Clin Pract 2000; 4: 237 -
239).
PMID- 24927461
TI - Risperidone treatment of neuroleptic-induced tardive extrapyramidal symptoms.
AB - Tardive extrapyramidal symptoms (EPS) induced by neuroleptic treatment, and
particularly EPS which persist after withdrawal of the drugs, are clinically
serious problems. We describe a patient with four types of tardive and persistent
EPS such as dystonia, dyskinesia, choreatic movement and myoclonus, induced by
haloperidol. These EPS were remarkably inhibited by 3 mg/day risperidone. This is
the first published case demonstrating simultaneous development of these four
types of tardive EPS induced by a neuroleptic and then reduced by low-dose
risperidone treatment. ( Int J Psych Clin Pract 2000; 4: 241 - 243).
PMID- 24927462
TI - Delusional misidentification secondary to perceptual abnormality: An unusual case
of Capgras syndrome.
AB - Capgras syndrome is the commonest of the delusional misidentification syndromes,
occurring in 1 - 5% of psychotic patients. This case of a Capgras syndrome was
secondary to an abnormal percept (auditory hallucination). Capgras syndrome is
not a symptom specific to abnormalities of face recognition. ( Int J Psych Clin
Pract 2000; 4: ).
PMID- 24927463
TI - Risperidone for non-cognitive symptoms of dementia.
AB - A case of a 95-year-old man suffering from dementia and additional noncognitive
symptoms is reported. Sleep disturbances and restlessness were treated with
several psychotropics including polycyclic antidepressants and conventional
neuroleptics without success. Receiving this treatment, the patient became
increasingly confused and markedly aggressive. In addition, he developed marked
extrapyramidal side-effects and became severely sedated during the day. Swtiching
to risperidone resulted in a reduction of many of these symptoms. Finally,
receiving risperidone, all non-cognitive symptoms and all side-effects completely
disappeared. Further, this case shows the importance of an adequate
psychopharmacologic training for non-psychiatric physicians who often treat
elderly mentally ill in nursing homes and general hospitals. ( Int J Psych Clin
Pract 2000; 4: 249 - 251).
PMID- 24927464
TI - A patient who changed my practice: The case for patient-based evidence versus
trial-based evidence.
AB - A patient who has an unequivocal psychotic illness within the schizoaffective
spectrum is described. Over the course of the past 10 years she has convinced me
that her way of looking at her own illness, particularly her refusal to take
medication, has merits that cannot be dismissed as the overwrought workings of a
disturbed mind. Her experience has helped me to understand that it is still
possible to be an advocate of evidence-based medicine and yet accommodate the
wishes of patients in a way that sometimes elevates personal patient-based
evidence above that of external criteria. ( Int J Psych Clin Pract 2000; 4: 253 -
255).
PMID- 24927467
TI - Clinical evidence of the relationship between aspirin and breast cancer risk
(review).
AB - In the search for new therapeutic alternatives against cancer, either as a
preventive treatment or for advanced stages, it is common to appeal to well-known
drugs used for the treatment of other diseases that may interfere with the
metabolic pathways involved in carcinogenesis. Non-steroidal anti-inflammatory
drugs (NSAIDs) display anticancer activity through the inhibition of the COX-2
enzyme, triggering processes such as apoptosis, a reduction in proliferation and
inhibition of carcinogenesis. Breast cancer is a neoplasm with the highest
incidence and mortality rate among young women worldwide. Epidemiologic data have
shown that drugs such as NSAIDs, particularly aspirin, reduce the relative risk
of breast cancer. However, in the subgroup of responsive patients, dose, time and
frequency of use have not yet been established. Here, we review the reports
published during the last 10 years regarding the relationship between breast
cancer and aspirin.
PMID- 24927468
TI - Molecular dynamics study of the diffusivity of a hydrophobic drug Cucurbitacin B
in pseudo-poly(ethylene oxide-b-caprolactone) micelle environments.
AB - Isobaric-isothermal molecular dynamics simulation was used to study the diffusion
of a hydrophobic drug Cucurbitacin B (CuB) in pseudomicelle environments
consisting of poly(ethylene oxide-b-caprolactone) (PEO-b-PCL) swollen by various
amounts of water. Two PEO-b-PCL configurations, linear and branched, with the
same total molecular weight were used. For the branched configuration, the block
copolymer contained one linear block of PEO with the same molecular weight as
that of the PEO block used in the linear configuration but with one end
connecting to three PCL blocks with the same chain length, hereafter denoted PEO
b-3PCL. Regardless of the configuration, the simulation results showed that the
diffusivity of CuB was insensitive to the water concentration up to ~8 wt % while
that of water decreased with an increasing water concentration. The diffusivity
of CuB (10(-8) cm(2)/s) was 3 orders of magnitude lower than that of water (10(
5) cm(2)/s). This is attributed to the fact that CuB relied on the wiggling
motion of the block copolymers to diffuse while water molecules diffused via a
hopping mechanism. The rates at which CuB and water diffused into PEO-b-PCL were
twice those in PEO-b-3PCL because the chain mobility and the degree of swelling
are higher and there are fewer intermolecular hydrogen bonds in the case of PEO-b
PCL. The velocity autocorrelation functions of CuB show that the free volume
holes formed by PEO-b-3PCL are more rigid than those formed by PEO-b-PCL, making
CuB exhibit higher-frequency collision motion in PEO-b-3PCL than in PEO-b-PCL,
and the difference in frequency is insensitive to water concentration.
PMID- 24927469
TI - Effect of lignin content and subunit composition on digestibility in clones of
timothy (Phleum pratense L.).
AB - Lignin amount and subunit composition were analyzed from stems and leaf sheaths
of timothy (Phleum pratense L.) clones of different in vitro digestibility.
Lignin concentration in stems and leaf sheaths was higher in clones of low
digestibility than those of high digestibility. No change in lignin concentration
occurred in stems as digestibility decreased. Intriguingly, the lignin
concentration was lower and the syringyl/guaiacyl (S/G) ratio was higher in stems
compared to leaf sheaths at all developmental stages studied. The developmental
associated decrease in digestibility correlated with the increase in S units in
lignin in stems and leaf sheaths and in the amounts of p-coumaric acid and
ferulic acid residues in the cell wall of stems. Yields of copper oxidation
products increased in stems during maturation indicating qualitative changes in
the lignin structure. This correlated strongly with the developmentally linked
decrease in digestibility. The information obtained is valuable for breeding and
for DNA marker development.
PMID- 24927471
TI - Online CBT for preschool anxiety disorders: a randomised control trial.
AB - The aim of this study was to investigate the efficacy of an internet-based,
therapist assisted, parent-focussed, CBT program for preschoolers with anxiety
disorders. Fifty-two children aged 3-6 years were randomly allocated into
internet treatment (NET) and waitlist control (WLC) groups. Parents completed
diagnostic interviews and online questionnaires at pre-treatment, post-treatment
and 6-month follow-up. Results at post-treatment showed a significantly greater
reduction in clinical severity, anxiety symptoms and internalising behaviour, as
well as a greater increase in overall functioning for children in the NET
compared to the WLC condition. However, group differences were not evident from
pre- to post-treatment on the percentages of children who lost their primary
anxiety diagnosis or who lost all anxiety diagnoses. At post-treatment for the
completer sample, 39.1% of the NET children compared to 25.9% of the WLC were
free of their primary diagnosis. At 6-month follow-up, treatment gains were
maintained in the case of overall functioning and further improved upon with
respect to clinical severity, anxiety symptoms and internalising behaviour. By 6
month follow-up for the completer sample, 70.6% of children were free of their
primary diagnosis. The results suggest that an internet program for preschool
anxiety is feasible, efficacious and well received by parents. AUSTRALIAN
CLINICAL TRIAL REGISTRATION NUMBER: ACTRN12612000139875.
PMID- 24927470
TI - Exploring design requirements for repurposing dental virtual patients from the
web to second life: a focus group study.
AB - BACKGROUND: Since their inception, virtual patients have provided health care
educators with a way to engage learners in an experience simulating the
clinician's environment without danger to learners and patients. This has led
this learning modality to be accepted as an essential component of medical
education. With the advent of the visually and audio-rich 3-dimensional multi
user virtual environment (MUVE), a new deployment platform has emerged for
educational content. Immersive, highly interactive, multimedia-rich, MUVEs that
seamlessly foster collaboration provide a new hotbed for the deployment of
medical education content. OBJECTIVE: This work aims to assess the suitability of
the Second Life MUVE as a virtual patient deployment platform for undergraduate
dental education, and to explore the requirements and specifications needed to
meaningfully repurpose Web-based virtual patients in MUVEs. METHODS: Through the
scripting capabilities and available art assets in Second Life, we repurposed an
existing Web-based periodontology virtual patient into Second Life. Through a
series of point-and-click interactions and multiple-choice queries, the user
experienced a specific periodontology case and was asked to provide the optimal
responses for each of the challenges of the case. A focus group of 9
undergraduate dentistry students experienced both the Web-based and the Second
Life version of this virtual patient. The group convened 3 times and discussed
relevant issues such as the group's computer literacy, the assessment of Second
Life as a virtual patient deployment platform, and compared the Web-based and
MUVE-deployed virtual patients. RESULTS: A comparison between the Web-based and
the Second Life virtual patient revealed the inherent advantages of the more
experiential and immersive Second Life virtual environment. However, several
challenges for the successful repurposing of virtual patients from the Web to the
MUVE were identified. The identified challenges for repurposing of Web virtual
patients to the MUVE platform from the focus group study were (1) increased case
complexity to facilitate the user's gaming preconception in a MUVE, (2) necessity
to decrease textual narration and provide the pertinent information in a more
immersive sensory way, and (3) requirement to allow the user to actuate the
solutions of problems instead of describing them through narration. CONCLUSIONS:
For a successful systematic repurposing effort of virtual patients to MUVEs such
as Second Life, the best practices of experiential and immersive game design
should be organically incorporated in the repurposing workflow (automated or
not). These findings are pivotal in an era in which open educational content is
transferred to and shared among users, learners, and educators of various open
repositories/environments.
PMID- 24927472
TI - Volume and mass doubling times of persistent pulmonary subsolid nodules detected
in patients without known malignancy.
AB - PURPOSE: To evaluate volume doubling time (VDT) and mass doubling time (MDT) of
persistent pulmonary subsolid nodules (SSNs) followed-up with low-dose (LD)
computed tomography (CT) in patients without a history of malignancy. MATERIALS
AND METHODS: This retrospective institutional review board-approved study, with
waiver of patient informed consent, included 97 SSNs in 97 patients (45 men, 52
women; median age, 58 years; range, 37-87 years) in whom at least two LD CT scans
were obtained, with 3-month or longer follow-up interval and median follow-up of
633 days. SSNs were categorized into pure ground-glass nodules (GGNs) (group A),
part-solid GGNs with solid components of 5 mm or smaller (group B), and part
solid GGNs with solid components larger than 5 mm (group C). Three-dimensional
manual segmentation for all SSNs was performed on initial and latest follow-up LD
CT scans; subsequently, VDTs and MDTs were calculated and were compared among
groups by using Kruskal-Wallis test, followed by the Dunn procedure with
Bonferroni correction for volume-growing SSNs and mass-growing SSNs. RESULTS:
Volume growth was thus: 12 of 63 SSNs (19%), group A; nine of 23 SSNs (39%),
group B; and eight of 11 SSNs (73%), group C. Median VDT was thus: 1832.3 days
(range, 1230.7-4537.3 days), group A; 1228.5 days (range, 934.7-4617.7 days),
group B; and 759.0 days (range, 376.4-941.5 days), group C. Mass growth was thus:
17 of 63 SSNs (27%), group A; 11 of 23 SSNs (48%), group B; and nine of 11 SSNs
(82%), group C. Median MDT was 1556.1 days (range, 642.5-3564.5 days) for group
A, 1199.9 days (range, 838.6-2578.7 days) for group B, and 627.7 days (range,
340.0-921.2 days) for group C. Median VDTs and MDTs of groups A and B were
significantly longer than those of group C (P < .01). CONCLUSION: Pure GGNs and
part-solid GGNs with solid components of 5 mm or smaller show significantly
longer VDTs and MDTs than do part-solid GGNs with solid components larger than 5
mm. Online supplemental material is available for this article.
PMID- 24927473
TI - Regional but not global brain damage contributes to fatigue in multiple
sclerosis.
AB - PURPOSE: To use magnetic resonance (MR) imaging and advanced analysis to assess
the role of lesions in normal-appearing white matter ( NAWM normal-appearing
white matter ) and gray matter ( GM gray matter ) damage, global versus regional
damage, and atrophy versus microstructural abnormalities in the pathogenesis of
fatigue in multiple sclerosis ( MS multiple sclerosis ). MATERIALS AND METHODS:
Local ethics committee approval and written informed consent were obtained. Dual
echo, double inversion-recovery, high-resolution T1-weighted and diffusion-tensor
( DT diffusion tensor ) MR was performed in 31 fatigued patients, 32 nonfatigued
patients, and 35 control subjects. Global and regional atrophy and DT diffusion
tensor MR measures of damage to lesions, NAWM normal-appearing white matter , and
GM gray matter were compared (analysis of variance). RESULTS: Lesional, atrophy,
and DT diffusion tensor MR measures of global damage to brain, white matter ( WM
white matter ), and GM gray matter did not differ between fatigued and
nonfatigued patients. Compared with nonfatigued patients and control subjects,
fatigued patients experienced atrophy of the right side of the accumbens (mean
volume +/- standard deviation, 0.37 mL +/- 0.09 in control subjects; 0.39 mL +/-
0.1 in nonfatigued patients; and 0.33 mL +/- 0.09 in fatigued patients), right
inferior temporal gyrus ( ITG inferior temporal gyrus ) (Montreal Neurological
Institute [ MNI Montreal Neurological Institute ] coordinates: 51, -51, -11; t
value, 4.83), left superior frontal gyrus ( MNI Montreal Neurological Institute
coordinates: -10, 49, 24; t value, 3.40), and forceps major ( MNI Montreal
Neurological Institute coordinates: 11, -91, 18; t value, 3.37). They also had
lower fractional anisotropy ( FA fractional anisotropy ) of forceps major ( MNI
Montreal Neurological Institute coordinates: -17, -78, 6), left inferior fronto
occipital fasciculus ( MNI Montreal Neurological Institute coordinates: -25, 2,
11), and right anterior thalamic radiation ( ATR anterior thalamic radiation ) (
MNI Montreal Neurological Institute coordinates: 11, 2, -6) (P < .05, corrected).
More lesions were found at T2-weighted imaging in fatigued patients.
Multivariable model was used to identify right ITG inferior temporal gyrus
atrophy (odds ratio, 0.83; 95% confidence interval [ CI confidence interval ]:
0.82, 0.97; P = .009) and right ATR anterior thalamic radiation FA fractional
anisotropy (odds ratio, 0.74; 95% CI confidence interval : 0.61, 0.90; P = .003)
as covariates independently associated with fatigue (C statistic, 0.85).
CONCLUSION: Damage to strategic brain WM white matter and GM gray matter regions,
in terms of microstructural abnormalities and atrophy, contributes to
pathogenesis of fatigue in MS multiple sclerosis , whereas global lesional, WM
white matter , and GM gray matter damage does not seem to have a role.
PMID- 24927474
TI - Characteristic electronic perturbation by asymmetric arrangements of p
aminophenyl substituents in free-base porphyrins.
AB - We have investigated the perturbed electronic properties of meso-substituted free
base porphyrins with symmetric and asymmetric arrangements of substituents using
time-resolved spectroscopic measurements and theoretical calculations. The extent
of electronic perturbation by substituents in meso-substituted porphyrins is
mainly affected by the isoenergetic condition of frontier MOs of porphine and
substituent units, nonorthogonal geometry, and geometrical arrangement of
substituents. By using the asymmetric arrangements of p-aminophenyl and
pentafluorophenyl substituents, we can induce the electron-rich condition on the
porphine unit and the intramolecular charge transfer character in the excited
state. On the basis of this work, we can gain further insight into the energetic
and geometric factors of substituents, the interaction between porphine and
substituent units, and the perturbed photophysical and electronic properties by
substituents, which provides a firm basis for further understanding of the
catalytic activities or photophysical properties of porphyrins in porphyrin-based
molecular catalysts and electronics.
PMID- 24927475
TI - Structured syncope care pathways based on lean six sigma methodology optimises
resource use with shorter time to diagnosis and increased diagnostic yield.
AB - AIMS: To conduct a pilot study on the potential to optimise care pathways in
syncope/Transient Loss of Consciousness management by using Lean Six Sigma
methodology while maintaining compliance with ESC and/or NICE guidelines.
METHODS: Five hospitals in four European countries took part. The Lean Six Sigma
methodology consisted of 3 phases: 1) Assessment phase, in which baseline
performance was mapped in each centre, processes were evaluated and a new
operational model was developed with an improvement plan that included best
practices and change management; 2) Improvement phase, in which optimisation
pathways and standardised best practice tools and forms were developed and
implemented. Staff were trained on new processes and change-management support
provided; 3) Sustaining phase, which included support, refinement of tools and
metrics. The impact of the implementation of new pathways was evaluated on number
of tests performed, diagnostic yield, time to diagnosis and compliance with
guidelines. One hospital with focus on geriatric populations was analysed
separately from the other four. RESULTS: With the new pathways, there was a 59%
reduction in the average time to diagnosis (p = 0.048) and a 75% increase in
diagnostic yield (p = 0.007). There was a marked reduction in repetitions of
diagnostic tests and improved prioritisation of indicated tests. CONCLUSIONS:
Applying a structured Lean Six Sigma based methodology to pathways for syncope
management has the potential to improve time to diagnosis and diagnostic yield.
PMID- 24927476
TI - Evaluation of the role of dynamic contrast-enhanced MR imaging for patients with
BI-RADS 3-4 microcalcifications.
AB - OBJECTIVE: The purpose of study was to prospectively evaluate the diagnostic
performance of dynamic contrast-enhanced MR imaging in the differentiation of
malignant lesions from benign ones in patients with BI-RADS 3-4
microcalcifications detected by mammography. MATERIALS AND METHODS: 93 women with
100 microcalcifications had undergone breast MRI from June 2010 to July 2013.
Subsequently, 91 received open biopsy and 2 received stereotactic vacuum-assisted
biopsy. All results were compared with histological findings. The PPV, NPV and
area under curve (AUC) of the mammography and breast MRI were calculated.
RESULTS: There were 31 (31.0%) BI-RADS 3 microcalcifications and 69 (69.0%) BI
RADS 4. The PPV and NPV of mammography is 65.2% (45/69) and 90.3% (28/31). The
PPV and NPV of breast MRI was 90.2% (46/51) and 95.9% (47/49). Among 31 BI-RADS 3
microcalcifications, the PPV and NPV of breast MRI was 100% (3/3) and 100%
(28/28). Among 69 BI-RADS 4 microcalcifications, the PPV and NPV of breast MRI
was 89.6% (43/48) and 90.5% (19/21). The AUC of mammography and breast MRI
assessment were 0.738 (95% CI, 0.639-0.837) and 0.931 (95% CI, 0.874-0.988)
(p<0.05). CONCLUSION: Dynamic contrast-enhanced MR imaging of breast is able to
be applied to predict the risk of malignance before follow-up for BI-RADS 3
microcalcifications and biopsy for BI-RADS 4 microcalcifications.
PMID- 24927477
TI - RAMClust: a novel feature clustering method enables spectral-matching-based
annotation for metabolomics data.
AB - Metabolomic data are frequently acquired using chromatographically coupled mass
spectrometry (MS) platforms. For such datasets, the first step in data analysis
relies on feature detection, where a feature is defined by a mass and retention
time. While a feature typically is derived from a single compound, a spectrum of
mass signals is more a more-accurate representation of the mass spectrometric
signal for a given metabolite. Here, we report a novel feature grouping method
that operates in an unsupervised manner to group signals from MS data into
spectra without relying on predictability of the in-source phenomenon. We
additionally address a fundamental bottleneck in metabolomics, annotation of MS
level signals, by incorporating indiscriminant MS/MS (idMS/MS) data implicitly:
feature detection is performed on both MS and idMS/MS data, and feature-feature
relationships are determined simultaneously from the MS and idMS/MS data. This
approach facilitates identification of metabolites using in-source MS and/or
idMS/MS spectra from a single experiment, reduces quantitative analytical
variation compared to single-feature measures, and decreases false positive
annotations of unpredictable phenomenon as novel compounds. This tool is released
as a freely available R package, called RAMClustR, and is sufficiently versatile
to group features from any chromatographic-spectrometric platform or feature
finding software.
PMID- 24927479
TI - Highly porous conjugated polymers for selective oxidation of organic sulfides
under visible light.
AB - High surface area porous conjugated polymers were synthesized via the high
internal phase emulsion polymerization technique and micropore engineering as
efficient heterogeneous photocatalysts for highly selective oxidation of organic
sulfides to sulfoxides under visible light.
PMID- 24927478
TI - Whole genome wide expression profiles on germination of Verticillium dahliae
microsclerotia.
AB - Verticillium dahliae is a fungal pathogen causing Verticillium wilt on a range of
economically important crops. Microsclerotia are its main survival and dormancy
structures and serve as the primary inoculum on many hosts. Studies were
conducted to determine the effect of temperature (5 to 50 degrees C), pH (2 to
12) and nutrient regimes on microsclerotia germination. The optimal condition for
microsclerotium germination was 20 degrees C with pH 8.0 whereas nutrient regimes
had no significant effect on its germination. The whole genome wide expression
profiles during microsclerotium germination were characterized using the Illumina
sequencing technology. Approximately 7.4 million of 21-nt cDNA tags were
sequenced in the cDNA libraries derived from germinated and non-germinated
microsclerotia. About 3.9% and 2.3% of the unique tags were up-regulated and down
regulated at least five-fold, respectively, in the germinated microsclerotia
compared with the non-germinated microsclerotia. A total of 1654 genes showing
differential expression were identified. Genes that are likely to have played
important roles in microsclerotium germination include those encoding G-protein
coupled receptor, lipase/esterase, cyclopentanone 1,2-monooxygenase, H(+)/hexose
cotransporter 1, fungal Zn(2)-Cys(6) binuclear cluster domain, thymus-specific
serine protease, glucan 1,3-beta-glucosidase, and alcohol dehydrogenase. These
genes were mainly up-regulated or down-regulated only in germinated
microsclerotia, compared with non-germinated microsclerotia. The differential
expression of genes was confirmed by qRT-PCR analysis of 20 randomly selected
genes from the 40 most differentially expressed genes.
PMID- 24927480
TI - KRAS NF-kappaB is involved in the development of zinc resistance and reduced
curability in prostate cancer.
AB - Zinc(II) ions are important components of many proteins and are involved in
numerous cellular processes such as apoptosis or drug resistance. Prostate cancer
has a unique relationship with zinc(II) ions. However, the relationship was
examined only in short-term zinc(II) treatments. Therefore, the aim of this study
was to create zinc-resistant prostatic cell lines at various stages of the
disease (22Rv1 and PC-3) and a normal prostate epithelium (PNT1A) using a long
term zinc exposure. Consequently, the expression profile of the following genes
was analyzed: BAX, Bcl-2, Beclin-1, CFLAR, HIF1alpha, KRAS, mTOR, MT1A, MT2A, NF
kappaB1, p53, survivin, ZIP1, ZnT-1. The resistance was verified using the MTT
test; on average a 1.35-fold lower zinc(II) toxicity (higher IC50) was determined
in zinc(II)-resistant cells. The associated resistance to cisplatin was also
determined; IC50 for cisplatin was 1.52-fold higher. With regard to the gene
expression profiles, our results indicate that differential mechanisms
participate in the short-term zinc toxicity regulation and long-term resistance;
the short-term treatment was associated with MT2A (p < 0.001), ZnT-1 (p < 0.001),
and MT1A (p < 0.03) and the long-term resistance was associated particularly with
NF-kappaB1 (p < 0.001), CFLAR (p < 0.001), KRAS (p < 0.001), p53 (p < 0.002),
survivin (p = 0.02), ZIP1 (p = 0.002), BAX (p = 0.005), and HIF1alpha (p = 0.05).
Therefore, the KRAS-PI3K-NF-kappaB pathway is expected to play a crucial role in
the regulation of zinc resistance. In summary, compared to previous studies,
identical mechanisms of resistance were demonstrated on multiple cell lines, both
non-tumor and tumorous, derived both from primary and advanced secondary sites.
PMID- 24927482
TI - The efficacy of subcostal-approach laparoscopic cholecystectomy in patients with
previous midline incisions: comparative analysis with conventional laparoscopic
cholecystectomy.
AB - BACKGROUND: In patients with previous abdominal surgery, difficulties in
laparoscopic cholecystectomy (LC) are associated with adhesions from the previous
surgery. We reported the efficacy of a subcostal-approach LC (SALC) in patients
with previous midline incisions. SUBJECTS AND METHODS: Thirty-five patients with
previous upper midline incision who underwent SALC from 2009 to 2013 at Korea
University Medical Center (Seoul, Korea) were included. In SALC, a subcostal
incision instead of an umbilical one was used to avoid adhesion in the midline
scar. We compared the clinical outcomes of SALC with those of conventional LC in
patients with previous midline incisions. RESULTS: In the SALC group, there were
25 men and 10 women. The mean age was 64 years. Median operative time was 60
minutes, and the median length of postoperative hospital stay was 2 days. Most of
the patients underwent three-port cholecystectomy. Three patients underwent
conversion to open surgery. The SALC group had a significantly shorter
postoperative hospital stay and shorter operation time compared with the
conventional LC (with supraumbilical incision) group. CONCLUSIONS: SALC is a safe
and effective procedure for patients who have had a previous midline incision
that can help prevent unnecessary adhesiolysis.
PMID- 24927483
TI - N,N'-Bis((6-methoxylpyridin-2-yl)methylene)-p-phenylenediimine based d(10)
transition metal complexes and their utilization in co-sensitized solar cells.
AB - N,N'-Bis((6-methoxylpyridin-2-yl)methylene)-p-phenylenediimine based four
coordinated d(10) transition metal complexes (named ML, M = Zn, Cd, Hg) were
synthesized and employed as co-sensitizers and co-adsorbents in combination with
a ruthenium complex N719 in dye sensitized solar cells. After co-sensitization,
not only the incident-photon-to-current conversion efficiency is enhanced but
also the dark current is reduced. A short circuit current density of 14.46 mA cm(
2), an open circuit voltage of 0.74 V and a fill factor of 0.62 corresponding to
an overall conversion efficiency of 6.65% under AM 1.5 G solar irradiation were
achieved when ZnL was used as a co-sensitizer, which are much higher than that
for DSSCs only sensitized by N719 (5.22%) under the same conditions. The
improvement in efficiency is attributed to the fact that N,N'-bis((6
methoxylpyridin-2-yl)methylene)-p-phenylenediimine coordinated complexes overcome
the deficiency of N719 absorption in the low wavelength region of the visible
spectrum, prevent its aggregation, offset competitive visible light absorption of
I3(-) and reduce charge recombination due to formation of an effective cover
layer of the dye molecules on the TiO2 surface. As a result, the synthesized
complexes are promising candidates as co-adsorbents and co-sensitizers for highly
efficient DSSCs.
PMID- 24927484
TI - Size-controlled nanoparticle-guided assembly of block copolymers for convex lens
shaped particles.
AB - The tuning of interfacial properties at selective and desired locations on the
particles is of great importance to create the novel structured particles by
breaking the symmetry of their surface property. Herein, a dramatic transition of
both the external shape and internal morphology of the particles of polystyrene-b
poly(4-vinylpyridine) (PS-b-P4VP) was induced by precise positioning of size
controlled Au nanoparticle surfactants (Au NPs). The size-dependent assembly of
the Au NPs was localized preferentially at the interface between the P4VP domain
at the particle surface and the surrounding water, which generated a balanced
interfacial interaction between two different PS/P4VP domains of the BCP
particles and water, producing unique convex lens-shaped BCP particles. In
addition, the neutralized interfacial interaction, in combination with the
directionality of the solvent-induced ordering of the BCP domains from the
interface of the particle/water, generated defect-free, vertically ordered porous
channels within the particles. The mechanism for the formation of these novel
nanostructures was investigated systemically by varying the size and the volume
fraction of the Au NPs. Furthermore, these convex lens-shaped particles with
highly ordered channels can be used as a microlens, in which the light can be
concentrated toward the focal point with enhanced near-field signals. And, these
particles can possess additional optical properties such as unique distribution
of light scattering as a result of the well-ordered Au cylinders that filled into
the channels, which hold great promise for use in optical, biological-sensing,
and imaging applications.
PMID- 24927485
TI - In situ study of oxidative etching of palladium nanocrystals by liquid cell
electron microscopy.
AB - Oxidative etching has widely prevailed in the synthesis of a crystal and played a
critical role in determining the final growth behavior. In this Letter, we report
an in situ microscopic study on the oxidative etching of palladium cubic
nanocrystals by liquid cell scanning transmission electron microscopy. The
etching was realized with oxidative radiation reactants from electron-water
interaction in the presence of Br(-) ions. Dissolution dynamics of monodispersed
and aggregated nanocrystals were both investigated and compared. Analyses on the
dissolution kinetics of nanocrystals and the diffusion kinetics of the dissolved
agents were carried out based on the scanning transmission electron microscopy
characterizations. The results presented here pave a way toward the quantitative
understanding of the oxidative etching reaction and its application in the
functionally orientated fabrication of nanocrystals with certain sizes,
structures, and morphologies.
PMID- 24927486
TI - Hybrid bio-organic interfaces with matchable nanoscale topography for durable
high extracellular electron transfer activity.
AB - Here, we developed a novel hybrid bio-organic interface with matchable nano-scale
topography between a polypyrrole nanowire array (PPy-NA) and the bacterium
Shewanella, which enabled a remarkably increased extracellular electron transfer
(EET) current from genus Shewanella over a rather long period. PPy-NA thus
exhibited outstanding performance in mediating bacterial EET, which was superior
to normal electrodes such as carbon plates, Au and tin-doped In2O3. It was
proposed that the combined effect of the inherent electrochemical nature of PPy
and the porous structured bacterial network that was generated on the PPy-NA
enabled long-term stability, while the high efficiency was attributed to the
enhanced electron transfer rate between PPy-NA and microbes caused by the
enhanced local topological interactions.
PMID- 24927487
TI - Inhibitory effects of Picrasma quassioides (D.Don) Benn. on airway inflammation
in a murine model of allergic asthma.
AB - Picrasma quassioides (D.Don) Benn. (PQ) is used in traditional medicine for the
treatment of inflammatory conditions, including gastritis. This study aimed to
evaluate the inhibitory effects of PQ on the inflammatory responses in mice with
allergic asthma induced by ovalbumin (OVA) and in lipopolysaccharide (LPS)
stimulated RAW264.7 cells. To induce allergic asthma, the mice underwent OVA
sensitization on days 0 and 14 and then were challenged with OVA from days 21-23.
The mice were administered 15 and 30 mg/kg doses of PQ 1 h prior to the OVA
challenge. The PQ treatment decreased the inflammatory cell count in the
bronchoalveolar lavage fluid of the mice and reduced the levels of interleukin
(IL)-4, IL-5, IL-13 and immunoglobulin (Ig)E when compared with those in the OVA
group. The PQ treatment also reduced the airway hyperresponsiveness induced by
the OVA challenge, attenuated the recruitment of inflammatory cells and the mucus
production in the airways of the mice. In the LPS-stimulated RAW264.7 cells, the
PQ treatment reduced the overexpression of inducible nitric oxide synthase
(iNOS). The results indicated that PQ inhibits inflammatory responses in mice
with OVA-sensitized/challenged allergic asthma and in LPS-stimulated RAW264.7
cells. These effects were considered to be associated with the suppression of
iNOS expression. Therefore, PQ may have the potential to treat airway
inflammatory diseases, including allergic asthma.
PMID- 24927488
TI - Associations between gender, coping patterns and functioning for individuals with
chronic pain: a systematic review.
AB - BACKGROUND: Developing strategies for coping with chronic pain is an integral
part of successfully living with this often debilitating health condition. While
gender differences in pain coping strategies have long been investigated, the
relationship between gender-specific engagement in coping and associated
functioning in individuals experiencing chronic pain is yet to be clearly
understood. OBJECTIVE: The present systematic review focused on studies that
address these relationships to critically evaluate the available evidence.
METHODS: A systematic search was conducted using MEDLINE via Ovid, EMBASE,
PsycINFO and CINAHL, with 7247 titles retrieved. To be included, studies had to
be in English, focus on adult participants, consider chronic nonmalignant pain,
use measures of coping and functioning (or disability), report on gender-specific
outcomes (for coping and functioning [or disability]), and investigate a
relationship among gender, coping and functioning. One researcher screened
abstracts and full-text articles, and extracted and tabulated data, while two
researchers independently assessed potential articles for eligibility and
methodological quality. RESULTS: Only seven studies met the inclusion criteria -
six of high quality and one of moderate quality. The presented findings suggest
that women in pain are more likely to use coping strategies considered to be
maladaptive, resulting in poorer functioning, while men tend to engage in coping
strategies considered to be adaptive, leading to better functional outcomes.
CONCLUSIONS: While there is some evidence supporting gender-specific engagement
in coping and associated functioning, future research is necessary to expand
understanding of these interrelations.
PMID- 24927491
TI - IL-17A concentration of seminal plasma and follicular fluid in infertile men and
women with various clinical diagnoses.
AB - Seminal plasma and follicular fluid (FF) cytokine analysis are valuable tools for
diagnoses and validation of therapeutic approaches for improving the chance of
conception. Despite the initial discovery over a decade ago, the IL-17 family has
not received much attention in the case of infertility. In this study, we
analyzed the level of IL-17A in seminal plasma, follicular fluid and blood serum
of infertile patients with different clinical diagnoses by Enzyme Linked
Immunosorbent Assay (ELISA). The results showed that the level of IL-17A was
higher in seminal plasma and blood serum of varicocele patients than the control
group. The level of this cytokine was higher in follicular fluid of
endometriosis, polycystic ovary syndrome (PCOS) and tubal factor patients than
the control group. A similar elevation in IL-17A level was observed in blood
serum of these patients. Furthermore, there was a correlation between the numbers
of meiosis I (MI) oocytes and the level of blood serum and follicular fluid IL
17A in PCOS patients. Our data suggest a putative role of IL-17A in mediating
these conditions and may have possible applications in the development of more
effective diagnostic tools and therapeutic treatments for human reproductive
disorders.
PMID- 24927490
TI - Axon guidance and injury-lessons from Wnts and Wnt signaling.
AB - Many studies in the past decade have revealed the role and mechanisms of Wnt
signaling in axon guidance during development and the reinduction of Wnt
signaling in adult central nervous system axons upon traumatic injury, which has
profound influences on axon regeneration. With 19 Wnts and 14 known receptors (10
Frizzleds (Fzds), Ryk, Ror1/2 and PTK7), the Wnt family signaling proteins
contribute significantly to the wiring specificity of the complex brain and
spinal cord circuitry. Subsequent investigation into the signaling mechanisms
showed that conserved cell polarity pathways mediate growth cone steering. These
cell polarity pathways may unveil general principles of growth cone guidance. The
reappeared Wnt signaling system after spinal cord injury limits the regrowth of
both descending and ascending motor and sensory axons. Therefore, the knowledge
of Wnt signaling mechanisms learned from axon development can be applied to axon
repair in adulthood.
PMID- 24927492
TI - Isolation and structure elucidation of cystargamide, a lipopeptide from
Kitasatospora cystarginea.
AB - A new lipopeptide, cystargamide (1) was isolated from the fermentation broth of
the actinomycete Kitasatospora cystarginea. The bacterial strain was selected
from a set of 12 Kitasatospora spp. using a secondary metabolomics approach
combining liquid chromatography/high-resolution mass spectrometry (LC-HRMS) with
principal component analysis (PCA). Cystargamide (1) was purified by reversed
phase HPLC, and the structure elucidation was achieved by interpreting mass
spectrometry and NMR data. Cystargamide (1) contains rare structural features
including a 5-hydroxy tryptophan residue and a 2,3-epoxydecanoyl fatty acid
group.
PMID- 24927493
TI - Effect of the piperazine unit and metal-binding site position on the solubility
and anti-proliferative activity of ruthenium(II)- and osmium(II)- arene complexes
of isomeric indolo[3,2-c]quinoline-piperazine hybrids.
AB - In this study, the indoloquinoline backbone and piperazine were combined to
prepare indoloquinoline-piperazine hybrids and their ruthenium- and osmium-arene
complexes in an effort to generate novel antitumor agents with improved aqueous
solubility. In addition, the position of the metal-binding unit was varied, and
the effect of these structural alterations on the aqueous solubility and
antiproliferative activity of their ruthenium- and osmium-arene complexes was
studied. The indoloquinoline-piperazine hybrids L(1-3) were prepared in situ and
isolated as six ruthenium and osmium complexes [(eta(6)-p-cymene)M(L(1-3))Cl]Cl,
where L(1) = 6-(4-methylpiperazin-1-yl)-N-(pyridin-2-yl-methylene)-11H-indolo[3,2
c]quinolin-2-N-amine, M = Ru ([1a]Cl), Os ([1b]Cl), L(2) = 6-(4-methylpiperazin-1
yl)-N-(pyridin-2-yl-methylene)-11H-indolo[3,2-c]quinolin-4-N-amine, M = Ru
([2a]Cl), Os ([2b]Cl), L(3) = 6-(4-methylpiperazin-1-yl)-N-(pyridin-2-yl
methylene)-11H-indolo[3,2-c]quinolin-8-N-amine, M = Ru ([3a]Cl), Os ([3b]Cl). The
compounds were characterized by elemental analysis, one- and two-dimensional NMR
spectroscopy, ESI mass spectrometry, IR and UV-vis spectroscopy, and single
crystal X-ray diffraction. The antiproliferative activity of the isomeric
ruthenium and osmium complexes [1a,b]Cl-[3a,b]Cl was examined in vitro and showed
the importance of the position of the metal-binding site for their cytotoxicity.
Those complexes containing the metal-binding site located at the position 4 of
the indoloquinoline scaffold ([2a]Cl and [2b]Cl) demonstrated the most potent
antiproliferative activity. The results provide important insight into the
structure-activity relationships of ruthenium- and osmium-arene complexes with
indoloquinoline-piperazine hybrid ligands. These studies can be further utilized
for the design and development of more potent chemotherapeutic agents.
PMID- 24927495
TI - Progress in the synthesis and transformations of alkylidenecyclopropanes and
alkylidenecyclobutanes.
PMID- 24927494
TI - HIV-positive men's experiences with integrated family planning and HIV services
in western Kenya: integration fosters male involvement.
AB - A growing body of evidence indicates that integrating family planning (FP)
services into HIV care is effective at improving contraceptive uptake among HIV
positive women in resource-poor settings, yet little research has examined HIV
positive men's experiences with such integration. We conducted in-depth
interviews with 21 HIV-positive men seeking care at HIV clinics in Nyanza, Kenya.
All clinics were intervention sites for a FP/HIV service integration cluster
randomized trial. Grounded theory was used to code and analyze the data. Our
findings highlight men's motivations for FP, reasons why men prefer obtaining
their FP services, which include education, counseling, and commodities, at HIV
care clinics, and specific ways in which integrated FP/HIV services fostered male
inclusion in FP decision-making. In conclusion, men appear invested in FP and
their inclusion in FP decision-making may bolster both female and male agency.
Men's positive attitudes towards FP being provided at HIV care clinics supports
the programmatic push towards integrated delivery models for FP and HIV services.
PMID- 24927496
TI - Chemiexcitation induced proton transfer: enolate oxyluciferin as the firefly
bioluminophore.
AB - Firefly bioluminescence is a phenomenon that attracts attention from the research
community because of complex challenges for fundamental investigation, as well as
diverse opportunities for practical application. Here we have studied the
potential deprotonation of firefly oxyluciferin by using a theoretical approach
in an enzymatic-like microenvironment in chemiexcited proton transfer involving
adenosine 5'-monophosphate. We have uncovered a reaction route that links the
evidence that the light-emitter is an anionic molecule while it is chemiexcited
in its neutral form. Moreover, the results indicated that the anionic
bioluminophore is the enolate anion and not the ketonic one. Further calculations
supported this identification of the light-emitter: the spectrum of resulting
enolate anion covers the entire yellow-green/red bioluminescence range, which is
in line with the experimental findings regarding firefly multicolor
bioluminescence.
PMID- 24927497
TI - Narrative Focus Predicts Symptom Change Trajectories in Group Treatment for
Traumatized and Bereaved Adolescents.
AB - Growing evidence supports the effectiveness of Trauma and Grief Component Therapy
for Adolescents (TGCT-A) in reducing posttraumatic stress disorder (PTSD)
symptoms and maladaptive grief (MG) reactions. This pilot study explored whether
the specific focus of students' narratives (i.e., focus on trauma vs. focus on
loss) as shared by TGCT-A group members would predict initial pretreatment
levels, as well as pre- to posttreatment change trajectories, of PTSD symptoms
and MG reactions. Thirty-three adolescents from three middle schools completed a
17-week course of group-based TGCT-A. PTSD and MG symptoms were assessed at
pretreatment, twice during treatment, and at posttreatment. The focus (trauma vs.
loss) of each student's narrative was coded using transcripts of members'
narratives as shared within the groups. The reliable change index showed that 61%
of students reported reliable pre-post improvement in either PTSD symptoms or MG
reactions. Students whose narratives focused on loss both reported higher
starting levels and showed steeper rates of decline in MG reactions than students
whose narratives focused on trauma. In contrast, students whose narratives
focused on trauma reported higher starting levels of PTSD than students who
narrated loss experiences. However, narrative focus was not significantly linked
to the rate at which PTSD symptoms declined over the course of treatment. This
study provides preliminary evidence that TGCT-A treatment components are
associated with reduced PTSD symptoms and MG reactions. Loss-focused narratives,
in particular, appear to be associated with greater decreases in MG reactions.
PMID- 24927498
TI - Effect of mobile telephones on sperm quality: a systematic review and meta
analysis.
AB - Mobile phones are owned by most of the adult population worldwide. Radio
frequency electromagnetic radiation (RF-EMR) from these devices could potentially
affect sperm development and function. Around 14% of couples in high- and middle
income countries have difficulty conceiving, and there are unexplained declines
in semen quality reported in several countries. Given the ubiquity of mobile
phone use, the potential role of this environmental exposure needs to be
clarified. A systematic review was therefore conducted, followed by meta-analysis
using random effects models, to determine whether exposure to RF-EMR emitted from
mobile phones affects human sperm quality. Participants were from fertility
clinic and research centres. The sperm quality outcome measures were motility,
viability and concentration, which are the parameters most frequently used in
clinical settings to assess fertility. We used ten studies in the meta-analysis,
including 1492 samples. Exposure to mobile phones was associated with reduced
sperm motility (mean difference -8.1% (95% CI -13.1, -3.2)) and viability (mean
difference -9.1% (95% CI -18.4, 0.2)), but the effects on concentration were more
equivocal. The results were consistent across experimental in vitro and
observational in vivo studies. We conclude that pooled results from in vitro and
in vivo studies suggest that mobile phone exposure negatively affects sperm
quality. Further study is required to determine the full clinical implications
for both sub-fertile men and the general population.
PMID- 24927499
TI - Australia's leading public health body delays action on the revision of the
public health goal for blood lead exposures.
AB - Globally, childhood blood lead levels have fallen precipitously in developed
countries since the 1970s following action by international bodies such as the
WHO and Food and Agricultural Organization (FAO) of the United Nations. These
reductions have been affected by the activities of national agencies such as the
US EPA and US Centers for Disease Control and Prevention in the establishment of
air lead and blood lead standards, the introduction of legislation to remove lead
from petrol, paint and consumer products and tighter restrictions on lead
emissions. The outcome of recent major international reviews of research into the
effects of low-level lead exposures (e.g. by WHO, USA health and environmental
agencies, German and Canadian health bodies) has resulted in recommendations to
reduce and eliminate lead exposures. By contrast, Australian policy responses to
the incontrovertible evidence that adverse neurocognitive and behavioural effects
that occur at levels well below the current national goal of 10MUg/dL have
stalled. The delayed response by Australia occurs at a time when blood lead
levels in two of Australia's three primary lead mining and smelting cities: Port
Pirie, South Australia and Broken Hill, New South Wales, are rising. In the third
city, Mount Isa, Queensland, there is still no systematic, annual testing of
childhood blood lead values. This is despite the fact that Mount Isa has the
highest lead (and other toxic metals such as cadmium and arsenic) emissions to
the environment (120tonnes of lead in 2011/12) from any single point source in
Australia. It is clear that both state and national policy approaches to the
ongoing risks of lead exposure need to be revised urgently and in line with
contemporary international standards. Recommended changes should include a new
lower blood lead intervention level of no more than 5MUg/dL, with a national goal
for all children under 5years of age to have a blood lead level of below 1MUg/dL
by 2020. In order to achieve any new lower exposure goals other relevant lead
standards including air, dust, soil and water must also be revised downwards.
PMID- 24927500
TI - Selection and expression profiles of reference genes in mouse preimplantation
embryos of different ploidies at various developmental stages.
AB - Real-time reverse transcription quantitative polymerase chain reaction (qPCR) has
become the most frequently used system for studies of gene expression. Many
studies have provided reliable evidence that the transcription levels of
reference genes are not constant at different developmental stages and in
different experimental conditions. However, suitable reference genes which are
stably expressed in polyploid preimplantation embryos of different developmental
stages have not yet been identified. Therefore, it is critical to verify
candidate reference genes to analyze gene expression accurately in both diploid
and polyploid embryos. We examined the expression levels of 12 candidate
reference genes in preimplantation embryos of four different ploidies at six
developmental stages. Stability analysis of the reference genes was performed by
four independent software programs, and the stability of three genes was
evaluated by comparison with the Oct4 expression level during preimplantation
development in diploid embryos. The expression levels of most genes in the
polyploid embryos were higher than that in the diploid embryos, but the
increasing degree were disproportionate with the ploidies. There were no
significant difference in reference gene expressions among embryos of different
ploidies when they reached the morula stage, and the expression level remained
flat until the blastocyst stage. Ubc, Ppia, and Pgk1 were the three most stable
reference genes in diploid and polyploid embryos.
PMID- 24927501
TI - C-terminal region of MAP7 domain containing protein 3 (MAP7D3) promotes
microtubule polymerization by binding at the C-terminal tail of tubulin.
AB - MAP7 domain containing protein 3 (MAP7D3), a newly identified microtubule
associated protein, has been shown to promote microtubule assembly and stability.
Its microtubule binding region has been reported to consist of two coiled coil
motifs located at the N-terminus. It possesses a MAP7 domain near the C-terminus
and belongs to the microtubule associated protein 7 (MAP7) family. The MAP7
domain of MAP7 protein has been shown to bind to kinesin-1; however, the role of
MAP7 domain in MAP7D3 remains unknown. Based on the bioinformatics analysis of
MAP7D3, we hypothesized that the MAP7 domain of MAP7D3 may have microtubule
binding activity. Indeed, we found that MAP7 domain of MAP7D3 bound to
microtubules as well as enhanced the assembly of microtubules in vitro.
Interestingly, a longer fragment MDCT that contained the MAP7 domain (MD) with
the C-terminal tail (CT) of the protein promoted microtubule polymerization to a
greater extent than MD and CT individually. MDCT stabilized microtubules against
dilution induced disassembly. MDCT bound to reconstituted microtubules with an
apparent dissociation constant of 3.0 +/- 0.5 uM. An immunostaining experiment
showed that MDCT localized along the length of the preassembled microtubules.
Competition experiments with tau indicated that MDCT shares its binding site on
microtubules with tau. Further, we present evidence indicating that MDCT binds to
the C-terminal tail of tubulin. In addition, MDCT could bind to tubulin in HeLa
cell extract. Here, we report a microtubule binding region in the C-terminal
region of MAP7D3 that may have a role in regulating microtubule assembly
dynamics.
PMID- 24927502
TI - Postoperative recovery of visual function after macula-off rhegmatogenous retinal
detachment.
AB - PURPOSE: To determine which factors affect the recovery of visual function in
macula off rhegmatogenous retinal detachment (RRD). METHODS: In a prospective
study of forty-five patients with a primary macula-off RRD of 24 hours to 6 weeks
duration, the height of the macular detachment was determined by ultrasonography.
At 12 months postoperatively, best corrected visual acuity (BCVA), contrast
acuity, and color confusion indexes (CCI) were obtained. RESULTS: Macular
detachment was present for 2-32 (median 7) days before repair. A shorter duration
of macular detachment was correlated with a better CCI sature (p = 0.0026) and
lower LogMAR BCVA (better Snellen visual acuity) (p = 0.012). Also, a smaller
height of macular detachment was correlated with a lower LogMAR BCVA (p =
0.0034). A younger age and lower pre-operative LogMAR BCVA at presentation were
both correlated with better postoperative contrast acuity in the total group
(age: p = 1.7*10(-4) and pre-operative LogMAR BCVA: p = 0.0034). CONCLUSION:
Functional recovery after macula-off RRD is affected by the duration and the
height of the macular detachment. Recovery of contrast acuity is also affected by
age and BCVA at presentation. MEETING PRESENTATION: ARVO annual meeting 2013, May
7, Seattle, Washington, United States of America. TRIAL REGISTRATION:
trialregister.nl NTR839.
PMID- 24927503
TI - Genome-wide binding of MBD2 reveals strong preference for highly methylated loci.
AB - MBD2 is a subunit of the NuRD complex that is postulated to mediate gene
repression via recruitment of the complex to methylated DNA. In this study we
adopted an MBD2 tagging-approach to study its genome wide binding
characteristics. We show that in vivo MBD2 is mainly recruited to CpG island
promoters that are highly methylated. Interestingly, MBD2 binds around 1 kb
downstream of the transcription start site of a subset of ~ 400 CpG island
promoters that are characterized by the presence of active histone marks, RNA
polymerase II (Pol2) and low to medium gene expression levels and H3K36me3
deposition. These tagged-MBD2 binding sites in MCF-7 show increased methylation
in a cohort of primary breast cancers but not in normal breast samples,
suggesting a putative role for MBD2 in breast cancer.
PMID- 24927504
TI - [Use of generic high dose buprenorphine (HDB): about a qualitative survey].
AB - OBJECTIVE: Analyze the factors of the low use of buprenorphine (HDB) generic in
France, studying heath care professionals' and patients' attitudes towards this
substitution. METHODS: A qualitative method based on the realization of semi
directive conversations was held. 14 health care professionals and 10 patients
were interviewed. RESULTS: Generic drug of HDB presents undeniable economic
benefits and galenic advantages. Physicians suggest this generic at first
prescription or with stabilized patients. The switch princeps/generic is more
difficult with patients who diverted the product, or when they are accustomed to
the brand product. Some patients prefer brand product because of its galenics, or
of the perception of greater efficiency. CONCLUSION: There is a certain distrust
compared to this generic. It is necessary to properly support the prescription of
this drug in order to help health care professionals and patients (therapeutic
education, medical information, creating a climate of trust).
PMID- 24927505
TI - Better defining target populations for drugs with a view to reimbursement.
AB - Reimbursement of drugs by public or private insurance systems is increasingly
problematic, including in supposedly "rich" countries. There is an international
consensus to consider the benefit of a Health technology assessment to clarify
decisions on reimbursement by the collectivity, and this includes taking account
of the target population of the new drug. The authors debate about the urgent
need of a better quantification of the target population, which must include a
qualitative description of this target population and a scientific extrapolation
of the target population, which is certainly the most challenging problem.
PMID- 24927506
TI - [mediEVAL: a new evaluating tool for the medication-use system].
AB - PURPOSES: A new methodology to evaluate the medication-use system based on a risk
cartography tool, has been developed. This work has been promoted by the
Observatoire du medicament et des dispositifs medicaux steriles et de
l'innovation therapeutique (OMEDIT) from Provence-Alpes-Cote d'Azur (PACA)-Corse
regions. METHODS: This new methodology has been developed with Excel
(Microsoft((r))) and has led to the mediEVAL tool. It consists in two categories
of Excel files: evaluating Excel files (1 for each job of the medication-use
system) and synthesis Excel files which allow to compile a group of evaluating
files for a defined area (department, hospital...). RESULTS AND CONCLUSION:
mediEVAL is a new tool to evaluate quality and risk management of the entire
medication-use system which has to be used by private or public hospitals of PACA
and Corsica areas in their appropriate medication-use contract. Then, the OMEDIT
can get data to provide an inventory of fixtures of the PACA-Corse area
medication-use system situation.
PMID- 24927507
TI - Lichen associated with metformin.
AB - We report an exceptional case of bullous lichen induced by metformin in a patient
with diabetes mellitus.
PMID- 24927508
TI - [Adverse drug reactions reporting is helping "non substituable" prescription!].
AB - In August 2012, general practitioners of Haute- Garonne received a letter from
Health insurance system, informing that prescriptions could be endorsed by "not
substituable" after reporting an adverse drug reactions (ADR). Compared to an
equivalent period before this letter, we observed an increase of ADRs reports for
generics, mainly concerning gastrointestinal ADR and lack of efficacy.
PMID- 24927510
TI - Tunable swelling and rolling of microgel membranes.
AB - The tunable swelling and rolling of films assembled via layer-by-layer (LbL)
methods from poly(N-isopropylacrylamide-co-acrylic acid) (pNIPAm-co-AAc)
microgels and poly(ethylenimine) (PEI) have been systematically studied.
Microgel/PEI films assembled at pH 7.4 display a high degree of in-plane swelling
at low pH that dramatically increases the film area and drives self-delamination
from the substrate to form a free-standing film. The degree of film swelling can
be controlled by the size of microgels used in film fabrication. Taking advantage
of this feature, self-rolled scrolls can be easily obtained from microgel/PEI
films prepared from microgels of two different sizes. The rolling direction can
be controlled by the assembly of different size microgels in different film
strata, and the final shape of the scrolls can be controlled by scratching the
desired film edges. The present work contributes to a deeper understanding of
microgel/PEI film swelling properties and introduces a facile and novel method to
prepare free-standing films and self-rolled scrolls.
PMID- 24927511
TI - An eHealth Intervention for Patients in Rural Areas: Preliminary Findings From a
Pilot Feasibility Study.
AB - BACKGROUND: eHealth facilitation of chronic disease management has potential to
increase engagement and effectiveness and extend access to care in rural areas.
OBJECTIVE: The objective of this study was to demonstrate the feasibility and
acceptability of an eHealth system for the management of chronic conditions in a
rural setting. METHODS: We developed an online management program which
incorporated content from the Flinders Chronic Condition Management Program
(Flinders Program) and used an existing software platform (goACT), which is
accessible by patients and health care workers using either Web-enabled mobile
phone or Internet, enabling communication between patients and clinicians. We
analyzed the impact of this eHealth system using qualitative and simple
quantitative methods. RESULTS: The eHealth system was piloted with 8 recently
hospitalized patients from rural areas, average age 63 (SD 9) years, each with an
average of 5 chronic conditions and high level of psychological distress with an
average K10 score of 32.20 (SD 5.81). Study participants interacted with the
eHealth system. The average number of logins to the eHealth system by the study
participants was 26.4 (SD 23.5) over 29 weeks. The login activity was higher
early in the week. CONCLUSIONS: The pilot demonstrated the feasibility of
implementing and delivering a chronic disease management program using a Web
based patient-clinician application. A qualitative analysis revealed burden of
illness and low levels of information technology literacy as barriers to patient
engagement.
PMID- 24927512
TI - Acceptably aware during general anaesthesia: 'dysanaesthesia'--the uncoupling of
perception from sensory inputs.
AB - This review makes the case for 'dysanaesthesia', a term encompassing states of
mind that can arise in the course of anaesthesia during surgery, characterised by
an uncoupling of sensation and perceptual experience. This is reflected in a
macroscopic, functional model of anaesthetically-relevant consciousness. Patients
in this state can be aware of events but in a neutral way, not in pain, sometimes
personally dissociated from the experiences. This makes events associated with
surgery peripheral to their whole experience, such that recall is less likely and
if it exists, makes any spontaneous report of awareness unlikely. This state of
perception-sensation uncoupling is therefore broadly acceptable (a minimum
requirement for acceptable anaesthesia) but since it is likely a dose-related
phenomenon, may also represent a precursor for awareness with adverse recall.
This hypothesis uniquely explains the often inconsistent responses seen during
the experimental paradigm of the 'isolated forearm technique', wherein apparently
anaesthetised patients exhibit a positive motor response to verbal command, but
no spontaneous movement to surgery. The hypothesis can also explain the
relatively high incidence of positive response to relatively direct questions for
recall (e.g., using the Brice questionnaire; ~1:500; the vast majority of these
being neutral reports) versus the very low incidence of spontaneous reports of
awareness (~1:15,000; a higher proportion of these being adverse recollections).
The hypothesis is consistent with relevant notions from philosophical discussions
of consciousness, and neuroscientific evidence. Dysanaesthesia has important
implications for research and also for the development of appropriate monitoring.
PMID- 24927513
TI - Analysis of different European hazelnut (Corylus avellana L.) cultivars:
authentication, phenotypic features, and phenolic profiles.
AB - Hazelnuts exhibit functional properties due to their content in fatty acids and
phenolic compounds that could positively affect human health. The food industry
requires precise traits for morphological, chemical, and physical kernel features
so that some cultivars could be more suitable for specific industrial processing.
In this study, agronomical and morphological features of 29 hazelnut cultivars
were evaluated and a detailed structural characterization of kernel polyphenols
was performed, confirming the presence of protocatechuic acid, flavan-3-ols such
as catechin, procyanidin B2, six procyanidin oligomers, flavonols, and one
dihydrochalcone in all the analyzed cultivars. In addition, an innovative
methodology based on the MALDI-TOF mass spectrometric analysis of peptide/protein
components extracted from kernels was developed for the authentication of the
most valuable cultivars. The proposed method is rapid, simple, and reliable and
holds the potential to be applied in quality control processes. These results
could be useful in hazelnut cultivar evaluation and choice for growers, breeders,
and food industry.
PMID- 24927514
TI - Solid state parameters, structure elucidation, High Resolution X-Ray Diffraction
(HRXRD), phase matching, thermal and impedance analysis on L-Proline
trichloroacetate (L-PTCA) NLO single crystals.
AB - Nonlinear optical single crystal of L-Proline trichloroacetate (L-PTCA) was
successfully grown by Slow Evaporation Solution Technique (SEST). The grown
crystals were subjected to single crystal X-ray diffraction analysis to confirm
the structure. From the single crystal XRD data, solid state parameters were
determined for the grown crystal. The crystalline perfection has been evaluated
using high resolution X-ray diffractometer. The frequencies of various functional
groups were identified from FTIR spectral analysis. The percentage of
transmittance was obtained from UV Visible spectral analysis. TGA-DSC
measurements indicate the thermal stability of the crystal. The dielectric
constant, dielectric loss and ac conductivity were measured by the impedance
analyzer. The DC conductivity was calculated by the cole-cole plot method.
PMID- 24927515
TI - Social support and mastery influence the association between stress and poor
physical health in parents caring for children with developmental disabilities.
AB - To date, much of the research linking the stress of caring for children with
developmental disabilities (e.g. Autism & Down syndrome) with parental health
outcomes have tended to concentrate on mental health with less attention paid to
the physical health consequences. Thus, this study sought to explore the
psychosocial predictors of poor physical health in these caring parents. One
hundred and sixty-seven parents (109 caregivers and 58 control parents) completed
measures of stress, child problem behaviours, social support, mastery and
physical health. Parents of children with developmental disabilities had poorer
physical health compared to control parents. Stress and mastery, but not social
support and problem behaviours, were significant predictors of poor physical
health within caring parents for children with developmental disabilities.
However, the association between mastery and physical health was mediated by
perceived stress such that those parents who were higher on mastery reported less
stress and better physical health; furthermore, the association between stress
and physical health was moderated by social support; those parents high on social
support and low in stress had better physical health. These results indicate that
the paths between psychosocial factors and poor physical health in the caring
parents are working synergistically rather than in isolation. They also
underscore the importance of providing multi-component interventions that offer a
variety of psychosocial resources to meet the precise needs of the parents.
PMID- 24927516
TI - Differences in the intellectual profile of children with intellectual vs.
learning disability.
AB - The WISC-IV was used to compare the intellectual profile of two groups of
children, one with specific learning disorders (SLDs), the other with
intellectual disabilities (ID), with a view to identifying which of the four main
factor indexes and two additional indexes can distinguish between the groups. We
collected information on WISC-IV scores for 267 children (Mage=10.61 [SD=2.51],
range 6-16 years, females=99) with a diagnosis of either SLD or ID. Children with
SLD performed better than those with ID in all measures. Only the SLD children,
not the ID children, revealed significant differences in the four main factor
indexes, and their scores for the additional General Ability Index (GAI) were
higher than for the Cognitive Proficiency Index (CPI). Children with a diagnosis
of SLD whose Full-Scale Intelligence Quotient (FSIQ) was <85 showed a similar
pattern. Our findings confirm the hypothesis that children with SLD generally
obtain high GAI scores, but have specific deficiencies relating to working memory
and processing speed, whereas children with ID have a general intellectual
impairment. These findings have important diagnostic and clinical implications
and should be considered when making diagnostic decisions in borderline cognitive
cases.
PMID- 24927517
TI - Examining related influential factors for dental calculus scaling utilization
among people with disabilities in Taiwan, a nationwide population-based study.
AB - Limited studies with large samples have been conducted on the utilization of
dental calculus scaling among people with physical or mental disabilities. This
study aimed to investigate the utilization of dental calculus scaling among the
national disabled population. This study analyzed the utilization of dental
calculus scaling among the disabled people, using the nationwide data between
2006 and 2008. Descriptive analysis and logistic regression were performed to
analyze related influential factors for dental calculus scaling utilization. The
dental calculus scaling utilization rate among people with physical or mental
disabilities was 16.39%, and the annual utilization frequency was 0.2 times.
Utilization rate was higher among the female and non-aboriginal samples.
Utilization rate decreased with increased age and disability severity while
utilization rate increased with income, education level, urbanization of
residential area and number of chronic illnesses. Related influential factors for
dental calculus scaling utilization rate were gender, age, ethnicity (aboriginal
or non-aboriginal), education level, urbanization of residence area, income,
catastrophic illnesses, chronic illnesses, disability types, and disability
severity significantly influenced the dental calculus scaling utilization rate.
PMID- 24927518
TI - Using tablet assisted Social StoriesTM to improve classroom behavior for
adolescents with intellectual disabilities.
AB - The present study examined the use of tablet assisted Social StoriesTM
intervention for three high school students with severe intellectual disabilities
whose problem behavior interfered with their learning and caused classroom
disruptions. A multiple probe design across participants was employed to test the
impact of the tablet assisted SS on the participants' target behaviors. During
intervention, the participants read the Social Stories that were created on Prezi
and accessed via Quick Response (QR) codes using a Galaxy Tap smart tablet before
participating in an academic period. Data indicated that the SS intervention
decreased disruptive behavior and increased academic engagement in all three
participants. All three demonstrated generalization of behaviors to a nontargeted
academic period and maintenance of improved behaviors at the 2-week follow-up.
PMID- 24927519
TI - Sculpting the apples of science.
PMID- 24927521
TI - Inner workings: Spraying to see cancer cells.
PMID- 24927523
TI - TM6SF2 is a regulator of liver fat metabolism influencing triglyceride secretion
and hepatic lipid droplet content.
AB - Genome-wide association studies have identified a locus on chromosome 19
associated with plasma triglyceride (TG) concentration and nonalcoholic fatty
liver disease. However, the identity and functional role of the gene(s)
responsible for these associations remain unknown. Of 19 expressed genes
contained in this locus, none has previously been implicated in lipid metabolism.
We performed gene expression studies and expression quantitative trait locus
analysis in 206 human liver samples to identify the putative causal gene.
Transmembrane 6 superfamily member 2 (TM6SF2), a gene with hitherto unknown
function, expressed predominantly in liver and intestine, was identified as the
putative causal gene. TM6SF2 encodes a protein of 351 amino acids with 7-10
predicted transmembrane domains. Otherwise, no other protein features were
identified which could help to elucidate the function of TM6SF2. Protein
subcellular localization studies with confocal microscopy demonstrated that
TM6SF2 is localized in the endoplasmic reticulum and the ER-Golgi intermediate
compartment of human liver cells. Functional studies for secretion of TG-rich
lipoproteins (TRLs) and lipid droplet content were performed in human hepatoma
Huh7 and HepG2 cells using confocal microscopy and siRNA inhibition and
overexpression techniques. In agreement with the genome-wide association data, it
was found that TM6SF2 siRNA inhibition was associated with reduced secretion of
TRLs and increased cellular TG concentration and lipid droplet content, whereas
TM6SF2 overexpression reduced liver cell steatosis. We conclude that TM6SF2 is a
regulator of liver fat metabolism with opposing effects on the secretion of TRLs
and hepatic lipid droplet content.
PMID- 24927525
TI - Antigen expression level threshold tunes the fate of CD8 T cells during primary
hepatic immune responses.
AB - CD8 T-cell responses to liver-expressed antigens range from deletional tolerance
to full effector differentiation resulting in overt hepatotoxicity. The reasons
for these heterogeneous outcomes are not well understood. To identify factors
that govern the fate of CD8 T cells activated by hepatocyte-expressed antigen, we
exploited recombinant adenoassociated viral vectors that enabled us to vary
potential parameters determining these outcomes in vivo. Our findings reveal a
threshold of antigen expression within the liver as the dominant factor
determining T-cell fate, irrespective of T-cell receptor affinity or antigen
cross-presentation. Thus, when a low percentage of hepatocytes expressed cognate
antigen, high-affinity T cells developed and maintained effector function,
whereas, at a high percentage, they became functionally exhausted and silenced.
Exhaustion was not irreversibly determined by initial activation, but was
maintained by high intrahepatic antigen load during the early phase of the
response; cytolytic function was restored when T cells primed under high antigen
load conditions were transferred into an environment of low-level antigen
expression. Our study reveals a hierarchy of factors dictating the fate of CD8 T
cells during hepatic immune responses, and provides an explanation for the
different immune outcomes observed in a variety of immune-mediated liver
pathologic conditions.
PMID- 24927526
TI - Nuclear receptor TLX stimulates hippocampal neurogenesis and enhances learning
and memory in a transgenic mouse model.
AB - The role of the nuclear receptor TLX in hippocampal neurogenesis and cognition
has just begun to be explored. In this study, we generated a transgenic mouse
model that expresses TLX under the control of the promoter of nestin, a neural
precursor marker. Transgenic TLX expression led to mice with enlarged brains with
an elongated hippocampal dentate gyrus and increased numbers of newborn neurons.
Specific expression of TLX in adult hippocampal dentate gyrus via lentiviral
transduction increased the numbers of BrdU(+) cells and BrdU(+)NeuN(+) neurons.
Furthermore, the neural precursor-specific expression of the TLX transgene
substantially rescued the neurogenic defects of TLX-null mice. Consistent with
increased neurogenesis in the hippocampus, the TLX transgenic mice exhibited
enhanced cognition with increased learning and memory. These results suggest a
strong association between hippocampal neurogenesis and cognition, as well as
significant contributions of TLX to hippocampal neurogenesis, learning, and
memory.
PMID- 24927527
TI - Human memory T cells from the bone marrow are resting and maintain long-lasting
systemic memory.
AB - In the bone marrow, a population of memory T cells has been described that
promotes efficient secondary immune responses and has been considered to be
preactivated, owing to its expression of CD69 and CD25. Here we show that human
bone marrow professional memory T cells are not activated but are resting in
terms of proliferation, transcription, and mobility. They are in the G0 phase of
the cell cycle, and their transcriptome is that of resting T cells. The
repertoire of CD4(+) bone marrow memory T cells compared with CD4(+) memory T
cells from the blood is significantly enriched for T cells specific for
cytomegalovirus-pp65 (immunodominant protein), tetanus toxoid, measles, mumps,
and rubella. It is not enriched for vaccinia virus and Candida albicans-MP65
(immunodominant protein), typical pathogens of skin and/or mucosa. CD4(+) memory
T cells specific for measles are maintained nearly exclusively in the bone
marrow. Thus, CD4(+) memory T cells from the bone marrow provide long-term memory
for systemic pathogens.
PMID- 24927528
TI - Pituitary tumor-transforming gene 1 regulates the patterning of retinal mosaics.
AB - Neurons are commonly organized as regular arrays within a structure, and their
patterning is achieved by minimizing the proximity between like-type cells, but
molecular mechanisms regulating this process have, until recently, been
unexplored. We performed a forward genetic screen using recombinant inbred (RI)
strains derived from two parental A/J and C57BL/6J mouse strains to identify
genomic loci controlling spacing of cholinergic amacrine cells, which is a
subclass of retinal interneuron. We found conspicuous variation in mosaic
regularity across these strains and mapped a sizeable proportion of that
variation to a locus on chromosome 11 that was subsequently validated with a
chromosome substitution strain. Using a bioinformatics approach to narrow the
list of potential candidate genes, we identified pituitary tumor-transforming
gene 1 (Pttg1) as the most promising. Expression of Pttg1 was significantly
different between the two parental strains and correlated with mosaic regularity
across the RI strains. We identified a seven-nucleotide deletion in the Pttg1
promoter in the C57BL/6J mouse strain and confirmed a direct role for this motif
in modulating Pttg1 expression. Analysis of Pttg1 KO mice revealed a reduction in
the mosaic regularity of cholinergic amacrine cells, as well as horizontal cells,
but not in two other retinal cell types. Together, these results implicate Pttg1
in the regulation of homotypic spacing between specific types of retinal neurons.
The genetic variant identified creates a binding motif for the transcriptional
activator protein 1 complex, which may be instrumental in driving differential
expression of downstream processes that participate in neuronal spacing.
PMID- 24927529
TI - Structural and functional insight into TAF1-TAF7, a subcomplex of transcription
factor II D.
AB - Transcription factor II D (TFIID) is a multiprotein complex that nucleates
formation of the basal transcription machinery. TATA binding protein-associated
factors 1 and 7 (TAF1 and TAF7), two subunits of TFIID, are integral to the
regulation of eukaryotic transcription initiation and play key roles in
preinitiation complex (PIC) assembly. Current models suggest that TAF7 acts as a
dissociable inhibitor of TAF1 histone acetyltransferase activity and that this
event ensures appropriate assembly of the RNA polymerase II-mediated PIC before
transcriptional initiation. Here, we report the 3D structure of a complex of
yeast TAF1 with TAF7 at 2.9 A resolution. The structure displays novel
architecture and is characterized by a large predominantly hydrophobic
heterodimer interface and extensive cofolding of TAF subunits. There are no
obvious similarities between TAF1 and known histone acetyltransferases. Instead,
the surface of the TAF1-TAF7 complex contains two prominent conserved surface
pockets, one of which binds selectively to an inhibitory trimethylated histone H3
mark on Lys27 in a manner that is also regulated by phosphorylation at the
neighboring H3 serine. Our findings could point toward novel roles for the TAF1
TAF7 complex in regulation of PIC assembly via reading epigenetic histone marks.
PMID- 24927530
TI - An evolving autoimmune microenvironment regulates the quality of effector T cell
restimulation and function.
AB - Defining the processes of autoimmune attack of tissues is important for
inhibiting continued tissue destruction. In type 1 diabetes, it is not known how
cytotoxic effector T cell responses evolve over time in the pancreatic islets
targeted for destruction. We used two-photon microscopy of live, intact,
individual islets to investigate how progression of islet infiltration altered
the behavior of infiltrating islet-specific CD8(+) T cells. During early-islet
infiltration, T-cell interactions with CD11c(+) antigen-presenting cells (APCs)
were stable and real-time imaging of T cell receptor (TCR) clustering provided
evidence of TCR recognition in these stable contacts. Early T cell-APC encounters
supported production of IFN-gamma by T effectors, and T cells at this stage also
killed islet APCs. At later stages of infiltration, T-cell motility accelerated,
and cytokine production was lost despite the presence of higher numbers of
infiltrating APCs that were able to trigger T-cell signaling in vitro. Using
timed introduction of effector T cells, we demonstrate that elements of the
autoimmune-tissue microenvironment control the dynamics of autoantigen
recognition by T cells and their resulting pathogenic effector functions.
PMID- 24927532
TI - Assessing the implications on air pollution of an alternative control-based
criterion.
PMID- 24927531
TI - Pitx2-microRNA pathway that delimits sinoatrial node development and inhibits
predisposition to atrial fibrillation.
AB - The molecular mechanisms underlying atrial fibrillation, the most common
sustained cardiac arrhythmia, remain poorly understood. Genome-wide association
studies uncovered a major atrial fibrillation susceptibility locus on human
chromosome 4q25 in close proximity to the paired-like homeodomain transcription
factor 2 (Pitx2) homeobox gene. Pitx2, a target of the left-sided Nodal signaling
pathway that initiates early in development, represses the sinoatrial node
program and pacemaker activity on the left side. To address the mechanisms
underlying this repressive activity, we hypothesized that Pitx2 regulates
microRNAs (miRs) to repress the sinoatrial node genetic program. MiRs are small
noncoding RNAs that regulate gene expression posttranscriptionally. Using an
integrated genomic approach, we discovered that Pitx2 positively regulates miR-17
92 and miR-106b-25. Intracardiac electrical stimulation revealed that both miR-17
92 and miR-106b-25 deficient mice exhibit pacing-induced atrial fibrillation.
Furthermore electrocardiogram telemetry revealed that mice with miR-17-92 cardiac
specific inactivation develop prolonged PR intervals whereas mice with miR-17-92
cardiac-specific inactivation and miR-106b-25 heterozygosity develop sinoatrial
node dysfunction. Both arrhythmias are risk factors for atrial fibrillation in
humans. Importantly, miR-17-92 and miR-106b-25 directly repress genes, such as
Shox2 and Tbx3, that are required for sinoatrial node development. Together, to
our knowledge, these findings provide the first genetic evidence for an miR loss
of-function that increases atrial fibrillation susceptibility.
PMID- 24927534
TI - Condensation and localization of the partitioning protein ParB on the bacterial
chromosome.
AB - The ParABS system mediates chromosome segregation and plasmid partitioning in
many bacteria. As part of the partitioning mechanism, ParB proteins form a
nucleoprotein complex at parS sites. The biophysical basis underlying ParB-DNA
complex formation and localization remains elusive. Specifically, it is unclear
whether ParB spreads in 1D along DNA or assembles into a 3D protein-DNA complex.
We show that a combination of 1D spreading bonds and a single 3D bridging bond
between ParB proteins constitutes a minimal model for a condensed ParB-DNA
complex. This model implies a scaling behavior for ParB-mediated silencing of
parS-flanking genes, which we confirm to be satisfied by experimental data from
P1 plasmids. Furthermore, this model is consistent with experiments on the
effects of DNA roadblocks on ParB localization. Finally, we show experimentally
that a single parS site is necessary and sufficient for ParB-DNA complex
formation in vivo. Together with our model, this suggests that ParB binding to
parS triggers a conformational switch in ParB that overcomes a nucleation
barrier. Conceptually, the combination of spreading and bridging bonds in our
model provides a surface tension ensuring the condensation of the ParB-DNA
complex, with analogies to liquid-like compartments such as nucleoli in
eukaryotes.
PMID- 24927535
TI - An alcohol-sensing site in the calcium- and voltage-gated, large conductance
potassium (BK) channel.
AB - Ethanol alters BK (slo1) channel function leading to perturbation of physiology
and behavior. Site(s) and mechanism(s) of ethanol-BK channel interaction are
unknown. We demonstrate that ethanol docks onto a water-accessible site that is
strategically positioned between the slo1 calcium-sensors and gate. Ethanol only
accesses this site in presence of calcium, the BK channel's physiological
agonist. Within the site, ethanol hydrogen-bonds with K361. Moreover,
substitutions that hamper hydrogen bond formation or prevent ethanol from
accessing K361 abolish alcohol action without altering basal channel function.
Alcohol interacting site dimensions are approximately 10.7 * 8.6 * 7.1 A,
accommodating effective (ethanol-heptanol) but not ineffective (octanol, nonanol)
channel activators. This study presents: (i) to our knowledge, the first
identification and characterization of an n-alkanol recognition site in a member
of the voltage-gated TM6 channel superfamily; (ii) structural insights on ethanol
allosteric interactions with ligand-gated ion channels; and (iii) a first step
for designing agents that antagonize BK channel-mediated alcohol actions without
perturbing basal channel function.
PMID- 24927536
TI - Targeted inversion and reversion of the blood coagulation factor 8 gene in human
iPS cells using TALENs.
AB - Hemophilia A, one of the most common genetic bleeding disorders, is caused by
various mutations in the blood coagulation factor VIII (F8) gene. Among the
genotypes that result in hemophilia A, two different types of chromosomal
inversions that involve a portion of the F8 gene are most frequent, accounting
for almost half of all severe hemophilia A cases. In this study, we used a
transcription activator-like effector nuclease (TALEN) pair to invert a 140-kbp
chromosomal segment that spans the portion of the F8 gene in human induced
pluripotent stem cells (iPSCs) to create a hemophilia A model cell line. In
addition, we reverted the inverted segment back to its normal orientation in the
hemophilia model iPSCs using the same TALEN pair. Importantly, we detected the F8
mRNA in cells derived from the reverted iPSCs lines, but not in those derived
from the clones with the inverted segment. Thus, we showed that TALENs can be
used both for creating disease models associated with chromosomal rearrangements
in iPSCs and for correcting genetic defects caused by chromosomal inversions.
This strategy provides an iPSC-based novel therapeutic option for the treatment
of hemophilia A and other genetic diseases caused by chromosomal inversions.
PMID- 24927537
TI - Mycobacterium tuberculosis supports protein tyrosine phosphorylation.
AB - Reversible protein phosphorylation determines growth and adaptive decisions in
Mycobacterium tuberculosis (Mtb). At least 11 two-component systems and 11
Ser/Thr protein kinases (STPKs) mediate phosphorylation on Asp, His, Ser, and
Thr. In contrast, protein phosphorylation on Tyr has not been described
previously in Mtb. Here, using a combination of phospho-enrichment and highly
sensitive mass spectrometry, we show extensive protein Tyr phosphorylation of
diverse Mtb proteins, including STPKs. Several STPKs function as dual-specificity
kinases that phosphorylate Tyr in cis and in trans, suggesting that dual
specificity kinases have a major role in bacterial phospho-signaling. Mutation of
a phosphotyrosine site of the essential STPK PknB reduces its activity in vitro
and in live Mtb, indicating that Tyr phosphorylation has a functional role in
bacterial growth. These data identify a previously unrecognized phosphorylation
system in a human pathogen that claims ~ 1.4 million lives every year.
PMID- 24927538
TI - Requirements and limits for life in the context of exoplanets.
AB - The requirements for life on Earth, its elemental composition, and its
environmental limits provide a way to assess the habitability of exoplanets.
Temperature is key both because of its influence on liquid water and because it
can be directly estimated from orbital and climate models of exoplanetary
systems. Life can grow and reproduce at temperatures as low as -15 degrees C,
and as high as 122 degrees C. Studies of life in extreme deserts show that on a
dry world, even a small amount of rain, fog, snow, and even atmospheric humidity
can be adequate for photosynthetic production producing a small but detectable
microbial community. Life is able to use light at levels less than 10(-5) of the
solar flux at Earth. UV or ionizing radiation can be tolerated by many
microorganisms at very high levels and is unlikely to be life limiting on an
exoplanet. Biologically available nitrogen may limit habitability. Levels of O2
over a few percent on an exoplanet would be consistent with the presence of
multicellular organisms and high levels of O2 on Earth-like worlds indicate
oxygenic photosynthesis. Other factors such as pH and salinity are likely to vary
and not limit life over an entire planet or moon.
PMID- 24927539
TI - Marker for type VI secretion system effectors.
AB - Bacteria use diverse mechanisms to kill, manipulate, and compete with other
cells. The recently discovered type VI secretion system (T6SS) is widespread in
bacterial pathogens and used to deliver virulence effector proteins into target
cells. Using comparative proteomics, we identified two previously unidentified
T6SS effectors that contained a conserved motif. Bioinformatic analyses revealed
that this N-terminal motif, named MIX (marker for type six effectors), is found
in numerous polymorphic bacterial proteins that are primarily located in the T6SS
genome neighborhood. We demonstrate that several MIX-containing proteins are T6SS
effectors and that they are not required for T6SS activity. Thus, we propose that
MIX-containing proteins are T6SS effectors. Our findings allow for the
identification of numerous uncharacterized T6SS effectors that will undoubtedly
lead to the discovery of new biological mechanisms.
PMID- 24927540
TI - Atlas of nonribosomal peptide and polyketide biosynthetic pathways reveals common
occurrence of nonmodular enzymes.
AB - Nonribosomal peptides and polyketides are a diverse group of natural products
with complex chemical structures and enormous pharmaceutical potential. They are
synthesized on modular nonribosomal peptide synthetase (NRPS) and polyketide
synthase (PKS) enzyme complexes by a conserved thiotemplate mechanism. Here, we
report the widespread occurrence of NRPS and PKS genetic machinery across the
three domains of life with the discovery of 3,339 gene clusters from 991
organisms, by examining a total of 2,699 genomes. These gene clusters display
extraordinarily diverse organizations, and a total of 1,147 hybrid NRPS/PKS
clusters were found. Surprisingly, 10% of bacterial gene clusters lacked modular
organization, and instead catalytic domains were mostly encoded as separate
proteins. The finding of common occurrence of nonmodular NRPS differs
substantially from the current classification. Sequence analysis indicates that
the evolution of NRPS machineries was driven by a combination of common descent
and horizontal gene transfer. We identified related siderophore NRPS gene
clusters that encoded modular and nonmodular NRPS enzymes organized in a
gradient. A higher frequency of the NRPS and PKS gene clusters was detected from
bacteria compared with archaea or eukarya. They commonly occurred in the phyla of
Proteobacteria, Actinobacteria, Firmicutes, and Cyanobacteria in bacteria and the
phylum of Ascomycota in fungi. The majority of these NRPS and PKS gene clusters
have unknown end products highlighting the power of genome mining in identifying
novel genetic machinery for the biosynthesis of secondary metabolites.
PMID- 24927541
TI - Primary cilia control hedgehog signaling during muscle differentiation and are
deregulated in rhabdomyosarcoma.
AB - The primary cilium acts as a cellular antenna, transducing diverse signaling
pathways, and recent evidence suggests that primary cilia are important in
development and cancer. However, a role for cilia in normal muscle development
and rhabdomyosarcoma (RMS) has not been explored. Here we implicate primary cilia
in proliferation, hedgehog (Hh) signaling, and differentiation of skeletal muscle
cells. Cilia and Hh signaling are highly dynamic during the differentiation of
myoblasts. We show that cilia are assembled during the initial stages of myogenic
differentiation but disappear as cells progress through myogenesis, concomitant
with the destruction of proteins critical for cilia assembly and shortly after
the Hh effector, Gli3, leaves the cilium. Importantly, we show that ablation of
primary cilia strongly suppresses Hh signaling and myogenic differentiation while
enhancing proliferation. Interestingly, our data further indicate that both cilia
assembly and Hh signaling are deregulated in RMS, and cilia respond to Hh ligand
in certain subsets of RMS cells but not others. Together, these findings provide
evidence for an essential role for both primary cilia assembly and disassembly in
the control of Hh signaling and early differentiation in muscle cells. We suggest
that the temporally orchestrated destruction of centrosomal and ciliary proteins
is a necessary antecedent for removal of the primary cilium and cessation of Hh
signaling during myogenic differentiation. Additionally, our results further
stratify RMS populations and highlight cilia assembly and disassembly as
potential RMS drug targets.
PMID- 24927542
TI - DNA double-strand breaks promote methylation of histone H3 on lysine 9 and
transient formation of repressive chromatin.
AB - Dynamic changes in histone modification are critical for regulating DNA double
strand break (DSB) repair. Activation of the Tip60 acetyltransferase by DSBs
requires interaction of Tip60 with histone H3 methylated on lysine 9 (H3K9me3).
However, how H3K9 methylation is regulated during DSB repair is not known. Here,
we demonstrate that a complex containing kap-1, HP1, and the H3K9
methyltransferase suv39h1 is rapidly loaded onto the chromatin at DSBs. Suv39h1
methylates H3K9, facilitating loading of additional kap-1/HP1/suv39h1 through
binding of HP1's chromodomain to the nascent H3K9me3. This process initiates
cycles of kap-1/HP1/suv39h1 loading and H3K9 methylation that facilitate
spreading of H3K9me3 and kap-1/HP1/suv39h1 complexes for tens of kilobases away
from the DSB. These domains of H3K9me3 function to activate the Tip60
acetyltransferase, allowing Tip60 to acetylate both ataxia telangiectasia-mutated
(ATM) kinase and histone H4. Consequently, cells lacking suv39h1 display
defective activation of Tip60 and ATM, decreased DSB repair, and increased
radiosensitivity. Importantly, activated ATM rapidly phosphorylates kap-1,
leading to release of the repressive kap-1/HP1/suv39h1 complex from the
chromatin. ATM activation therefore functions as a negative feedback loop to
remove repressive suv39h1 complexes at DSBs, which may limit DSB repair.
Recruitment of kap-1/HP1/suv39h1 to DSBs therefore provides a mechanism for
transiently increasing the levels of H3K9me3 in open chromatin domains that lack
H3K9me3 and thereby promoting efficient activation of Tip60 and ATM in these
regions. Further, transient formation of repressive chromatin may be critical for
stabilizing the damaged chromatin and for remodeling the chromatin to create an
efficient template for the DNA repair machinery.
PMID- 24927543
TI - Antibody repertoire deep sequencing reveals antigen-independent selection in
maturing B cells.
AB - Antibody repertoires are known to be shaped by selection for antigen binding.
Unexpectedly, we now show that selection also acts on a non-antigen-binding
antibody region: the heavy-chain variable (VH)-encoded "elbow" between variable
and constant domains. By sequencing 2.8 million recombined heavy-chain genes from
immature and mature B-cell subsets in mice, we demonstrate a striking gradient in
VH gene use as pre-B cells mature into follicular and then into marginal zone B
cells. Cells whose antibodies use VH genes that encode a more flexible elbow are
more likely to mature. This effect is distinct from, and exceeds in magnitude,
previously described maturation-associated changes in heavy-chain complementarity
determining region 3, a key antigen-binding region, which arise from junctional
diversity rather than differential VH gene use. Thus, deep sequencing reveals a
previously unidentified mode of B-cell selection.
PMID- 24927544
TI - Abrogation of alpha-synuclein-mediated dopaminergic neurodegeneration in LRRK2
deficient rats.
AB - Missense mutations in the leucine-rich repeat kinase 2 (LRRK2) gene can cause
late-onset Parkinson disease. Past studies have provided conflicting evidence for
the protective effects of LRRK2 knockdown in models of Parkinson disease as well
as other disorders. These discrepancies may be caused by uncertainty in the
pathobiological mechanisms of LRRK2 action. Previously, we found that LRRK2
knockdown inhibited proinflammatory responses from cultured microglia cells.
Here, we report LRRK2 knockout rats as resistant to dopaminergic
neurodegeneration elicited by intracranial administration of LPS. Such resistance
to dopaminergic neurodegeneration correlated with reduced proinflammatory myeloid
cells recruited in the brain. Additionally, adeno-associated virus-mediated
transduction of human alpha-synuclein also resulted in dopaminergic
neurodegeneration in wild-type rats. In contrast, LRRK2 knockout animals had no
significant loss of neurons and had reduced numbers of activated myeloid cells in
the substantia nigra. Although LRRK2 expression in the wild-type rat midbrain
remained undetected under nonpathological conditions, LRRK2 became highly
expressed in inducible nitric oxide synthase (iNOS)-positive myeloid cells in the
substantia nigra in response to alpha-synuclein overexpression or LPS exposures.
Our data suggest that knocking down LRRK2 may protect from overt cell loss by
inhibiting the recruitment of chronically activated proinflammatory myeloid
cells. These results may provide value in the translation of LRRK2-targeting
therapeutics to conditions where neuroinflammation may underlie aspects of
neuronal dysfunction and degeneration.
PMID- 24927545
TI - Plant roots use a patterning mechanism to position lateral root branches toward
available water.
AB - The architecture of the branched root system of plants is a major determinant of
vigor. Water availability is known to impact root physiology and growth; however,
the spatial scale at which this stimulus influences root architecture is poorly
understood. Here we reveal that differences in the availability of water across
the circumferential axis of the root create spatial cues that determine the
position of lateral root branches. We show that roots of several plant species
can distinguish between a wet surface and air environments and that this also
impacts the patterning of root hairs, anthocyanins, and aerenchyma in a
phenomenon we describe as hydropatterning. This environmental response is
distinct from a touch response and requires available water to induce lateral
roots along a contacted surface. X-ray microscale computed tomography and 3D
reconstruction of soil-grown root systems demonstrate that such responses also
occur under physiologically relevant conditions. Using early-stage lateral root
markers, we show that hydropatterning acts before the initiation stage and likely
determines the circumferential position at which lateral root founder cells are
specified. Hydropatterning is independent of endogenous abscisic acid signaling,
distinguishing it from a classic water-stress response. Higher water availability
induces the biosynthesis and transport of the lateral root-inductive signal auxin
through local regulation of tryptophan aminotransferase of Arabidopsis 1 and PIN
formed 3, both of which are necessary for normal hydropatterning. Our work
suggests that water availability is sensed and interpreted at the suborgan level
and locally patterns a wide variety of developmental processes in the root.
PMID- 24927546
TI - Evolution of TNF-induced apoptosis reveals 550 My of functional conservation.
AB - The Precambrian explosion led to the rapid appearance of most major animal phyla
alive today. It has been argued that the complexity of life has steadily
increased since that event. Here we challenge this hypothesis through the
characterization of apoptosis in reef-building corals, representatives of some of
the earliest animals. Bioinformatic analysis reveals that all of the major
components of the death receptor pathway are present in coral with high-predicted
structural conservation with Homo sapiens. The TNF receptor-ligand superfamilies
(TNFRSF/TNFSF) are central mediators of the death receptor pathway, and the
predicted proteome of Acropora digitifera contains more putative coral TNFRSF
members than any organism described thus far, including humans. This high
abundance of TNFRSF members, as well as the predicted structural conservation of
other death receptor signaling proteins, led us to wonder what would happen if
corals were exposed to a member of the human TNFSF (HuTNFalpha). HuTNFalpha was
found to bind directly to coral cells, increase caspase activity, cause apoptotic
blebbing and cell death, and finally induce coral bleaching. Next, immortalized
human T cells (Jurkats) expressing a functional death receptor pathway (WT) and a
corresponding Fas-associated death domain protein (FADD) KO cell line were
exposed to a coral TNFSF member (AdTNF1) identified and purified here. AdTNF1
treatment resulted in significantly higher cell death (P < 0.0001) in WT Jurkats
compared with the corresponding FADD KO, demonstrating that coral AdTNF1
activates the H. sapiens death receptor pathway. Taken together, these data show
remarkable conservation of the TNF-induced apoptotic response representing 550 My
of functional conservation.
PMID- 24927547
TI - Vesiculoviral matrix (M) protein occupies nucleic acid binding site at
nucleoporin pair (Rae1 * Nup98).
AB - mRNA export factor 1 (Rae1) and nucleoporin 98 (Nup98) are host cell targets for
the matrix (M) protein of vesicular stomatitis virus (VSV). How Rae1 functions in
mRNA export and how M protein targets both Rae1 and Nup98 are not understood at
the molecular level. To obtain structural insights, we assembled a 1:1:1 complex
of M*Rae1*Nup98 and established a crystal structure at 3.15-A resolution. We
found that the M protein contacts the Rae1*Nup98 heterodimer principally by two
protrusions projecting from the globular domain of M like a finger and thumb.
Both projections clamp to the side of the beta-propeller of Rae1, with the finger
also contacting Nup98. The most prominent feature of the finger is highly
conserved Methionine 51 (Met51) with upstream and downstream acidic residues. The
complementary surface on Rae1 displays a deep hydrophobic pocket, into which
Met51 fastens like a bolt, and a groove of basic residues on either side, which
bond to the acidic residues of the finger. Notably, the M protein competed for in
vitro binding of various oligonucleotides to Rae1*Nup98. We localized this
competing activity of M to its finger using a synthetic peptide. Collectively,
our data suggest that Rae1 serves as a binding protein for the phosphate backbone
of any nucleic acid and that the finger of M mimics this ligand. In the context
of mRNA export, we propose that a given mRNA segment, after having been
deproteinated by helicase, is transiently reproteinated by Nup98-tethered Rae1.
We suggest that such repetitive cycles provide cytoplasmic stopover sites
required for ratcheting mRNA across the nuclear pore.
PMID- 24927548
TI - Taxodione and arenarone inhibit farnesyl diphosphate synthase by binding to the
isopentenyl diphosphate site.
AB - We used in silico methods to screen a library of 1,013 compounds for possible
binding to the allosteric site in farnesyl diphosphate synthase (FPPS). Two of
the 50 predicted hits had activity against either human FPPS (HsFPPS) or
Trypanosoma brucei FPPS (TbFPPS), the most active being the quinone methide
celastrol (IC50 versus TbFPPS ~ 20 uM). Two rounds of similarity searching and
activity testing then resulted in three leads that were active against HsFPPS
with IC50 values in the range of ~ 1-3 uM (as compared with ~ 0.5 uM for the
bisphosphonate inhibitor, zoledronate). The three leads were the quinone methides
taxodone and taxodione and the quinone arenarone, compounds with known
antibacterial and/or antitumor activity. We then obtained X-ray crystal
structures of HsFPPS with taxodione+zoledronate, arenarone+zoledronate, and
taxodione alone. In the zoledronate-containing structures, taxodione and
arenarone bound solely to the homoallylic (isopentenyl diphosphate, IPP) site,
not to the allosteric site, whereas zoledronate bound via Mg(2+) to the same site
as seen in other bisphosphonate-containing structures. In the taxodione-alone
structure, one taxodione bound to the same site as seen in the
taxodione+zoledronate structure, but the second located to a more surface-exposed
site. In differential scanning calorimetry experiments, taxodione and arenarone
broadened the native-to-unfolded thermal transition (Tm), quite different to the
large increases in DeltaTm seen with biphosphonate inhibitors. The results
identify new classes of FPPS inhibitors, diterpenoids and sesquiterpenoids, that
bind to the IPP site and may be of interest as anticancer and antiinfective drug
leads.
PMID- 24927549
TI - Plasticity of hydrogen bond networks regulates mechanochemistry of cell adhesion
complexes.
AB - Mechanical forces acting on cell adhesion receptor proteins regulate a range of
cellular functions by formation and rupture of noncovalent interactions with
ligands. Typically, force decreases the lifetimes of intact complexes ("slip
bonds"), making the discovery that these lifetimes can also be prolonged ("catch
bonds") a surprise. We created a microscopic analytic theory by incorporating the
structures of selectin and integrin receptors into a conceptual framework based
on the theory of stochastic equations, which quantitatively explains a wide range
of experimental data (including catch bonds at low forces and slip bonds at high
forces). Catch bonds arise due to force-induced remodeling of hydrogen bond
networks, a finding that also accounts for unbinding in structurally unrelated
integrin-fibronectin and actomyosin complexes. For the selectin family,
remodeling of hydrogen bond networks drives an allosteric transition resulting in
the formation of the maximum number of hydrogen bonds determined only by the
structure of the receptor and independent of the ligand. A similar transition
allows us to predict the increase in the number of hydrogen bonds in a particular
allosteric state of alpha5beta1 integrin-fibronectin complex, a conformation
which is yet to be crystallized. We also make a testable prediction that a single
point mutation (Tyr51Phe) in the ligand associated with selectin should
dramatically alter the nature of the catch bond compared with the wild type. Our
work suggests that nature uses a ductile network of hydrogen bonds to engineer
function over a broad range of forces.
PMID- 24927550
TI - Genetically engineering Synechocystis sp. Pasteur Culture Collection 6803 for the
sustainable production of the plant secondary metabolite p-coumaric acid.
AB - p-Coumaric acid is the precursor of phenylpropanoids, which are plant secondary
metabolites that are beneficial to human health. Tyrosine ammonia lyase catalyzes
the production of p-coumaric acid from tyrosine. Because of their photosynthetic
ability and biosynthetic versatility, cyanobacteria are promising candidates for
the production of certain plant metabolites, including phenylpropanoids. Here, we
produced p-coumaric acid in a strain of transgenic cyanobacterium Synechocystis
sp. Pasteur Culture Collection 6803 (hereafter Synechocystis 6803). Whereas a
strain of Synechocystis 6803 genetically engineered to express sam8, a tyrosine
ammonia lyase gene from the actinomycete Saccharothrix espanaensis, accumulated
little or no p-coumaric acid, a strain that both expressed sam8 and lacked
slr1573, a native hypothetical gene shown here to encode a laccase that oxidizes
polyphenols, produced ~82.6 mg/L p-coumaric acid, which was readily purified from
the growth medium.
PMID- 24927551
TI - Differential expression of APE1 and APE2 in germinal centers promotes error-prone
repair and A:T mutations during somatic hypermutation.
AB - Somatic hypermutation (SHM) of antibody variable region genes is initiated in
germinal center B cells during an immune response by activation-induced cytidine
deaminase (AID), which converts cytosines to uracils. During accurate repair in
nonmutating cells, uracil is excised by uracil DNA glycosylase (UNG), leaving
abasic sites that are incised by AP endonuclease (APE) to create single-strand
breaks, and the correct nucleotide is reinserted by DNA polymerase beta. During
SHM, for unknown reasons, repair is error prone. There are two APE homologs in
mammals and, surprisingly, APE1, in contrast to its high expression in both
resting and in vitro-activated splenic B cells, is expressed at very low levels
in mouse germinal center B cells where SHM occurs, and APE1 haploinsufficiency
has very little effect on SHM. In contrast, the less efficient homolog, APE2, is
highly expressed and contributes not only to the frequency of mutations, but also
to the generation of mutations at A:T base pair (bp), insertions, and deletions.
In the absence of both UNG and APE2, mutations at A:T bp are dramatically
reduced. Single-strand breaks generated by APE2 could provide entry points for
exonuclease recruited by the mismatch repair proteins Msh2-Msh6, and the known
association of APE2 with proliferating cell nuclear antigen could recruit
translesion polymerases to create mutations at AID-induced lesions and also at
A:T bp. Our data provide new insight into error-prone repair of AID-induced
lesions, which we propose is facilitated by down-regulation of APE1 and up
regulation of APE2 expression in germinal center B cells.
PMID- 24927552
TI - Oxytocin promotes social bonding in dogs.
AB - Recent evidence suggests that enduring social bonds have fitness benefits.
However, very little is known about the neural circuitry and neurochemistry
underlying the formation and maintenance of stable social bonds outside
reproductive contexts. Oxytocin (OT), a neuropeptide synthetized by the
hypothalamus in mammals, regulates many complex forms of social behavior and
cognition in both human and nonhuman animals. Animal research, however, has
concentrated on monogamous mammals, and it remains unknown whether OT also
modulates social bonds in nonreproductive contexts. In this study we provide
behavioral evidence that exogenous OT promotes positive social behaviors in the
domestic dog toward not only conspecifics but also human partners. Specifically,
when sprayed with OT, dogs showed higher social orientation and affiliation
toward their owners and higher affiliation and approach behaviors toward dog
partners than when sprayed with placebo. Additionally, the exchange of socio
positive behaviors with dog partners triggered the release of endogenous OT,
highlighting the involvement of OT in the development of social relationships in
the domestic dog. These data provide new insight into the mechanisms that
facilitate the maintenance of close social bonds beyond immediate reproductive
interest or genetic ties and complement a growing body of evidence that
identifies OT as one of the neurochemical foundations of sociality in mammalian
species.
PMID- 24927553
TI - Proterozoic oxygen rise linked to shifting balance between seafloor and
terrestrial weathering.
AB - A shift toward higher atmospheric oxygen concentration during the late
Proterozoic has been inferred from multiple indirect proxies and is seen by many
as a prerequisite for the emergence of complex animal life. However, the
mechanisms controlling the level of oxygen throughout the Proterozoic and its
eventual rise remain uncertain. Here we use a simple biogeochemical model to show
that the balance between long-term carbon removal fluxes via terrestrial silicate
weathering and ocean crust alteration plays a key role in determining atmospheric
oxygen concentration. This balance may be shifted by changes in terrestrial
weatherability or in the generation rate of oceanic crust. As a result, the
terrestrial chemical weathering flux may be permanently altered--contrasting with
the conventional view that the global silicate weathering flux must adjust to
equal the volcanic CO2 degassing flux. Changes in chemical weathering flux in
turn alter the long-term supply of phosphorus to the ocean, and therefore the
flux of organic carbon burial, which is the long-term source of atmospheric
oxygen. Hence we propose that increasing solar luminosity and a decrease in
seafloor spreading rate over 1,500-500 Ma drove a gradual shift from seafloor
weathering to terrestrial weathering, and a corresponding steady rise in
atmospheric oxygen. Furthermore, increased terrestrial weatherability during the
late Neoproterozoic may explain low temperature, increases in ocean phosphate,
ocean sulfate, and atmospheric oxygen concentration at this time.
PMID- 24927554
TI - Cofactor-dependent conformational heterogeneity of GAD65 and its role in
autoimmunity and neurotransmitter homeostasis.
AB - The human neuroendocrine enzyme glutamate decarboxylase (GAD) catalyses the
synthesis of the inhibitory neurotransmitter gamma-aminobutyric acid (GABA) using
pyridoxal 5'-phosphate as a cofactor. GAD exists as two isoforms named according
to their respective molecular weights: GAD65 and GAD67. Although cytosolic GAD67
is typically saturated with the cofactor (holoGAD67) and constitutively active to
produce basal levels of GABA, the membrane-associated GAD65 exists mainly as the
inactive apo form. GAD65, but not GAD67, is a prevalent autoantigen, with
autoantibodies to GAD65 being detected at high frequency in patients with
autoimmune (type 1) diabetes and certain other autoimmune disorders. The
significance of GAD65 autoinactivation into the apo form for regulation of
neurotransmitter levels and autoantibody reactivity is not understood. We have
used computational and experimental approaches to decipher the nature of the holo
-> apo conversion in GAD65 and thus, its mechanism of autoinactivation. Molecular
dynamics simulations of GAD65 reveal coupling between the C-terminal domain,
catalytic loop, and pyridoxal 5'-phosphate-binding domain that drives structural
rearrangement, dimer opening, and autoinactivation, consistent with limited
proteolysis fragmentation patterns. Together with small-angle X-ray scattering
and fluorescence spectroscopy data, our findings are consistent with apoGAD65
existing as an ensemble of conformations. Antibody-binding kinetics suggest a
mechanism of mutually induced conformational changes, implicating the flexibility
of apoGAD65 in its autoantigenicity. Although conformational diversity may
provide a mechanism for cofactor-controlled regulation of neurotransmitter
biosynthesis, it may also come at a cost of insufficient development of immune
self-tolerance that favors the production of GAD65 autoantibodies.
PMID- 24927555
TI - Medically important differences in snake venom composition are dictated by
distinct postgenomic mechanisms.
AB - Variation in venom composition is a ubiquitous phenomenon in snakes and occurs
both interspecifically and intraspecifically. Venom variation can have severe
outcomes for snakebite victims by rendering the specific antibodies found in
antivenoms ineffective against heterologous toxins found in different venoms. The
rapid evolutionary expansion of different toxin-encoding gene families in
different snake lineages is widely perceived as the main cause of venom
variation. However, this view is simplistic and disregards the understudied
influence that processes acting on gene transcription and translation may have on
the production of the venom proteome. Here, we assess the venom composition of
six related viperid snakes and compare interspecific changes in the number of
toxin genes, their transcription in the venom gland, and their translation into
proteins secreted in venom. Our results reveal that multiple levels of regulation
are responsible for generating variation in venom composition between related
snake species. We demonstrate that differential levels of toxin transcription,
translation, and their posttranslational modification have a substantial impact
upon the resulting venom protein mixture. Notably, these processes act to varying
extents on different toxin paralogs found in different snakes and are therefore
likely to be as important as ancestral gene duplication events for generating
compositionally distinct venom proteomes. Our results suggest that these
processes may also contribute to altering the toxicity of snake venoms, and we
demonstrate how this variability can undermine the treatment of a neglected
tropical disease, snakebite.
PMID- 24927556
TI - Origins of caprine herding.
PMID- 24927557
TI - How season and serotype determine dengue transmissibility.
PMID- 24927558
TI - Recovery of consciousness is mediated by a network of discrete metastable
activity states.
AB - It is not clear how, after a large perturbation, the brain explores the vast
space of potential neuronal activity states to recover those compatible with
consciousness. Here, we analyze recovery from pharmacologically induced coma to
show that neuronal activity en route to consciousness is confined to a low
dimensional subspace. In this subspace, neuronal activity forms discrete
metastable states persistent on the scale of minutes. The network of transitions
that links these metastable states is structured such that some states form hubs
that connect groups of otherwise disconnected states. Although many paths through
the network are possible, to ultimately enter the activity state compatible with
consciousness, the brain must first pass through these hubs in an orderly
fashion. This organization of metastable states, along with dramatic
dimensionality reduction, significantly simplifies the task of sampling the
parameter space to recover the state consistent with wakefulness on a
physiologically relevant timescale.
PMID- 24927559
TI - Nod/Ripk2 signaling in dendritic cells activates IL-17A-secreting innate lymphoid
cells and drives colitis in T-bet-/-.Rag2-/- (TRUC) mice.
AB - T-bet(-/-).Rag2(-/-) (TRUC) mice spontaneously develop microbiota-driven, TNF
mediated large bowel inflammation that resembles human ulcerative colitis. We
show here that IL-23 and IL-1-dependent secretion of IL-17A by innate lymphoid
cells (ILCs; defined as CD45(+)lin(-)Thy1(hi)NKp46(-)) is a second critical
pathway in this model. Using an in vitro coculture system of bone marrow-derived
dendritic cells (DCs) and freshly isolated FACS-purified ILCs, we demonstrate
that IL-23 and IL-1 secreted by DCs in response to microbial stimulation work
together to induce IL-17A production by ILCs. TNF is not required for IL-17A
secretion by ILCs in vitro but synergizes with IL-17A to induce the expression of
neutrophil-attracting chemokines. Upstream, activation of the IL-23/IL-17A axis
is regulated by nucleotide-binding oligomerization domain containing
(Nod)/receptor-interacting serine-threonine kinase 2 (Ripk2) signals in DCs.
Genetic ablation of the Nod/Ripk2 signaling pathway protects TRUC mice from
developing colitis without affecting the colitogenicity of the intestinal
microbiota. Our data provide insight into the complex network of interactions
between IL-17A-secreting ILCs and other components of the innate immune system in
the development of colitis.
PMID- 24927560
TI - Influenza hemagglutinin stem-fragment immunogen elicits broadly neutralizing
antibodies and confers heterologous protection.
AB - Influenza hemagglutinin (HA) is the primary target of the humoral response during
infection/vaccination. Current influenza vaccines typically fail to elicit/boost
broadly neutralizing antibodies (bnAbs), thereby limiting their efficacy.
Although several bnAbs bind to the conserved stem domain of HA, focusing the
immune response to this conserved stem in the presence of the immunodominant,
variable head domain of HA is challenging. We report the design of a
thermotolerant, disulfide-free, and trimeric HA stem-fragment immunogen which
mimics the native, prefusion conformation of HA and binds conformation specific
bnAbs with high affinity. The immunogen elicited bnAbs that neutralized highly
divergent group 1 (H1 and H5 subtypes) and 2 (H3 subtype) influenza virus strains
in vitro. Stem immunogens designed from unmatched, highly drifted influenza
strains conferred robust protection against a lethal heterologous A/Puerto
Rico/8/34 virus challenge in vivo. Soluble, bacterial expression of such designed
immunogens allows for rapid scale-up during pandemic outbreaks.
PMID- 24927561
TI - Self-assembly of amphiphilic Janus dendrimers into uniform onion-like
dendrimersomes with predictable size and number of bilayers.
AB - A constitutional isomeric library synthesized by a modular approach has been used
to discover six amphiphilic Janus dendrimer primary structures, which self
assemble into uniform onion-like vesicles with predictable dimensions and number
of internal bilayers. These vesicles, denoted onion-like dendrimersomes, are
assembled by simple injection of a solution of Janus dendrimer in a water
miscible solvent into water or buffer. These dendrimersomes provide mimics of
double-bilayer and multibilayer biological membranes with dimensions and number
of bilayers predicted by the Janus compound concentration in water. The simple
injection method of preparation is accessible without any special equipment,
generating uniform vesicles, and thus provides a promising tool for fundamental
studies as well as technological applications in nanomedicine and other fields.
PMID- 24927562
TI - Targeting and in vivo imaging of non-small-cell lung cancer using nebulized
multimodal contrast agents.
AB - One of the main reasons for the dismal prognosis of lung cancer is related to the
late diagnosis of this pathology. In this work, we evaluated the potential of
optimized lung MRI techniques and nebulized ultrasmall multimodal gadolinium
based contrast agents [ultrasmall rigid platforms (USRPs)] as a completely
noninvasive approach for non-small-cell lung cancer (NSCLC) in vivo detection. A
mouse model of NSCLC expressing the luciferase gene was developed. Ultrashort
echo-time free-breathing MRI acquisitions were performed before and after i.v. or
intrapulmonary administration of the nanoparticles to identify and segment the
tumor. After orotracheal or i.v. administration of USRPs, an excellent
colocalization of the position the tumor with MRI, bioluminescence and
fluorescence reflectance imaging, and histology was observed in all mice.
Significantly higher signal enhancements and contrast-to-noise ratios were
observed with orotracheal administration using lower doses, reducing the toxicity
issues and the interobserver variability in tumor detection. The observations
suggested the existence of an unknown original mechanism (different from the
enhanced permeability and retention effect) responsible for this phenomenon. MRI
and USRPs were shown to be powerful imaging tools able to detect, quantify, and
longitudinally monitor the development of submillimetric NSCLCs. The absence of
ionizing radiation and high resolution MRI, along with the complete
noninvasiveness and good reproducibility of the proposed protocol, make this
technique potentially translatable to humans. To our knowledge this is the first
time that the advantages of an orotracheal administration route are demonstrated
for the investigation of the pathomorphological changes due to NSCLCs.
PMID- 24927564
TI - Linear integration of spine Ca2+ signals in layer 4 cortical neurons in vivo.
AB - Sensory information reaches the cortex through synchronously active thalamic
axons, which provide a strong drive to layer 4 (L4) cortical neurons. Because of
technical limitations, the dendritic signaling processes underlying the rapid and
efficient activation of L4 neurons in vivo remained unknown. Here we introduce an
approach that allows the direct monitoring of single dendritic spine Ca(2+)
signals in L4 spiny stellate cells of the vibrissal mouse cortex in vivo. Our
results demonstrate that activation of N-methyl-D-aspartate (NMDA) receptors is
required for sensory-evoked action potential (AP) generation in these neurons. By
analyzing NMDA receptor-mediated Ca(2+) signaling, we identify whisker
stimulation-evoked large responses in a subset of dendritic spines. These sensory
stimulation-activated spines, representing predominantly thalamo-cortical input
sites, were denser at proximal dendritic regions. The amplitude of sensory-evoked
spine Ca(2+) signals was independent of the activity of neighboring spines,
without evidence for cooperativity. Furthermore, we found that spine Ca(2+)
signals evoked by back-propagating APs sum linearly with sensory-evoked synaptic
Ca(2+) signals. Thus, our results identify in sensory information-receiving L4
cortical neurons a linear mode of dendritic integration that underlies the rapid
and reliable transfer of peripheral signals to the cortical network.
PMID- 24927563
TI - Targeting c-MYC by antagonizing PP2A inhibitors in breast cancer.
AB - The transcription factor c-MYC is stabilized and activated by phosphorylation at
serine 62 (S62) in breast cancer. Protein phosphatase 2A (PP2A) is a critical
negative regulator of c-MYC through its ability to dephosphorylate S62. By
inactivating c-MYC and other key signaling pathways, PP2A plays an important
tumor suppressor function. Two endogenous inhibitors of PP2A, I2PP2A, Inhibitor-2
of PP2A (SET oncoprotein) and cancerous inhibitor of PP2A (CIP2A), inactivate
PP2A and are overexpressed in several tumor types. Here we show that SET is
overexpressed in about 50-60% and CIP2A in about 90% of breast cancers. Knockdown
of SET or CIP2A reduces the tumorigenic potential of breast cancer cell lines
both in vitro and in vivo. Treatment of breast cancer cells in vitro or in vivo
with OP449, a novel SET antagonist, also decreases the tumorigenic potential of
breast cancer cells and induces apoptosis. We show that this is, at least in
part, due to decreased S62 phosphorylation of c-MYC and reduced c-MYC activity
and target gene expression. Because of the ubiquitous expression and tumor
suppressor activity of PP2A in cells, as well as the critical role of c-MYC in
human cancer, we propose that activation of PP2A (here accomplished through
antagonizing endogenous inhibitors) could be a novel antitumor strategy to
posttranslationally target c-MYC in breast cancer.
PMID- 24927565
TI - Distinct phases in the positive selection of CD8+ T cells distinguished by
intrathymic migration and T-cell receptor signaling patterns.
AB - Positive selection of CD8 T cells in the thymus is thought to be a multistep
process lasting 3-4 d; however, the discrete steps involved are poorly
understood. Here, we examine phenotypic changes, calcium signaling, and
intrathymic migration in a synchronized cohort of MHC class I-specific thymocytes
undergoing positive selection in situ. Transient elevations in intracellular
calcium concentration ([Ca(2+)]i) and migratory pauses occurred throughout the
first 24 h of positive selection, becoming progressively briefer and accompanied
by a gradual shift in basal [Ca(2+)]i over time. Changes in chemokine-receptor
expression and relocalization from the cortex to medulla occurred between 12 and
24 h after the initial encounter with positive-selecting ligands, a time frame at
which the majority of thymocytes retain CD4 and CD8 expression and still require
T-cell receptor (TCR) signaling to efficiently complete positive selection. Our
results identify distinct phases in the positive selection of MHC class I
specific thymocytes that are distinguished by their TCR-signaling pattern and
intrathymic location and provide a framework for understanding the multistep
process of positive selection in the thymus.
PMID- 24927566
TI - Combination of bexarotene and the retinoid CD1530 reduces murine oral-cavity
carcinogenesis induced by the carcinogen 4-nitroquinoline 1-oxide.
AB - We investigated the effects of bexarotene (a retinoid X receptor agonist), CD1530
(a retinoic acid receptor gamma selective agonist), and the combination of these
two drugs for the prevention of oral carcinogenesis induced by the carcinogen 4
nitroquinoline 1-oxide (4-NQO) in a mouse model of human oral-cavity and
esophageal squamous-cell carcinoma previously generated in our laboratory. We
observed decreased numbers of neoplastic tongue lesions and reduced lesion
severity in the 4-NQO plus CD1530 (4N+C) and 4-NQO plus bexarotene plus CD1530
(4N+B+C) groups compared with the 4-NQO group. RNA-Seq analyses showed increases
in transcripts in cell proliferation/cell cycle progression pathways in the 4-NQO
vs. the untreated group. In addition, beta-catenin and matrix metallopeptidase 9
(MMP9) protein levels and reactive oxygen species (ROS), as assessed by 4
hydroxynonenal (4-HNE) staining, were elevated in tongue tissues 17 wk after the
termination of the 4-NQO treatment. The 4N+B, 4N+C, and 4N+B+C groups showed
dramatically lower levels of beta-catenin, MMP9, and 4-HNE staining compared with
the 4-NQO group. The major reduction in 4-HNE staining in the retinoid treatment
groups suggests a novel mechanism of action, reduction of ROS, by which
bexarotene and CD1530 inhibit carcinogenesis.
PMID- 24927567
TI - The endocannabinoid 2-AG controls skeletal muscle cell differentiation via CB1
receptor-dependent inhibition of Kv7 channels.
AB - Little is known of the involvement of endocannabinoids and cannabinoid receptors
in skeletal muscle cell differentiation. We report that, due to changes in the
expression of genes involved in its metabolism, the levels of the endocannabinoid
2-arachidonoylglycerol (2-AG) are decreased both during myotube formation in
vitro from murine C2C12 myoblasts and during mouse muscle growth in vivo. The
endocannabinoid, as well as the CB1 agonist arachidonoyl-2-chloroethylamide,
prevent myotube formation in a manner antagonized by CB1 knockdown and by CB1
antagonists, which, per se, instead stimulate differentiation. Importantly, 2-AG
also inhibits differentiation of primary human satellite cells. Muscle fascicles
from CB1 knockout embryos contain more muscle fibers, and postnatal mice show
muscle fibers of an increased diameter relative to wild-type littermates.
Inhibition of Kv7.4 channel activity, which plays a permissive role in myogenesis
and depends on phosphatidylinositol 4,5-bisphosphate (PIP2), underlies the
effects of 2-AG. We find that CB1 stimulation reduces both total and Kv7.4-bound
PIP2 levels in C2C12 cells and inhibits Kv7.4 currents in transfected CHO cells.
We suggest that 2-AG is an endogenous repressor of myoblast differentiation via
CB1-mediated inhibition of Kv7.4 channels.
PMID- 24927568
TI - Probing nuclear pore complex architecture with proximity-dependent biotinylation.
AB - Proximity-dependent biotin identification (BioID) is a method for identifying
protein associations that occur in vivo. By fusing a promiscuous biotin ligase to
a protein of interest expressed in living cells, BioID permits the labeling of
proximate proteins during a defined labeling period. In this study we used BioID
to study the human nuclear pore complex (NPC), one of the largest macromolecular
assemblies in eukaryotes. Anchored within the nuclear envelope, NPCs mediate the
nucleocytoplasmic trafficking of numerous cellular components. We applied BioID
to constituents of the Nup107-160 complex and the Nup93 complex, two conserved
NPC subcomplexes. A strikingly different set of NPC constituents was detected
depending on the position of these BioID-fusion proteins within the NPC. By
applying BioID to several constituents located throughout the extremely stable
Nup107-160 subcomplex, we refined our understanding of this highly conserved
subcomplex, in part by demonstrating a direct interaction of Nup43 with Nup85.
Furthermore, by using the extremely stable Nup107-160 structure as a molecular
ruler, we defined the practical labeling radius of BioID. These studies further
our understanding of human NPC organization and demonstrate that BioID is a
valuable tool for exploring the constituency and organization of large protein
assemblies in living cells.
PMID- 24927569
TI - Notch regulation of myogenic versus endothelial fates of cells that migrate from
the somite to the limb.
AB - Multipotent Pax3-positive (Pax3(+)) cells in the somites give rise to skeletal
muscle and to cells of the vasculature. We had previously proposed that this cell
fate choice depends on the equilibrium between Pax3 and Foxc2 expression. In this
study, we report that the Notch pathway promotes vascular versus skeletal muscle
cell fates. Overactivating the Notch pathway specifically in Pax3(+) progenitors,
via a conditional Pax3(NICD) allele, results in an increase of the number of
smooth muscle and endothelial cells contributing to the aorta. At limb level,
Pax3(+) cells in the somite give rise to skeletal muscles and to a subpopulation
of endothelial cells in blood vessels of the limb. We now demonstrate that in
addition to the inhibitory role of Notch signaling on skeletal muscle cell
differentiation, the Notch pathway affects the Pax3:Foxc2 balance and promotes
the endothelial versus myogenic cell fate, before migration to the limb, in
multipotent Pax3(+) cells in the somite of the mouse embryo.
PMID- 24927570
TI - A piggyBac route to transgenic honeybees.
PMID- 24927571
TI - Topologically protected states in one-dimensional continuous systems and Dirac
points.
AB - We study a class of periodic Schrodinger operators on R that have Dirac points.
The introduction of an "edge" via adiabatic modulation of a periodic potential by
a domain wall results in the bifurcation of spatially localized "edge states,"
associated with the topologically protected zero-energy mode of an asymptotic one
dimensional Dirac operator. The bound states we construct can be realized as
highly robust transverse-magnetic electromagnetic modes for a class of photonic
waveguides with a phase defect. Our model captures many aspects of the phenomenon
of topologically protected edge states for 2D bulk structures such as the
honeycomb structure of graphene.
PMID- 24927572
TI - GroEL from the endosymbiont Buchnera aphidicola betrays the aphid by triggering
plant defense.
AB - Aphids are sap-feeding plant pests and harbor the endosymbiont Buchnera
aphidicola, which is essential for their fecundity and survival. During plant
penetration and feeding, aphids secrete saliva that contains proteins predicted
to alter plant defenses and metabolism. Plants recognize microbe-associated
molecular patterns and induce pattern-triggered immunity (PTI). No aphid
associated molecular pattern has yet been identified. By mass spectrometry, we
identified in saliva from potato aphids (Macrosiphum euphorbiae) 105 proteins,
some of which originated from Buchnera, including the chaperonin GroEL. Because
GroEL is a widely conserved bacterial protein with an essential function, we
tested its role in PTI. Applying or infiltrating GroEL onto Arabidopsis
(Arabidopsis thaliana) leaves induced oxidative burst and expression of PTI early
marker genes. These GroEL-induced defense responses required the known coreceptor
BRASSINOSTEROID INSENSITIVE 1-ASSOCIATED RECEPTOR KINASE 1. In addition, in
transgenic Arabidopsis plants, inducible expression of groEL activated PTI marker
gene expression. Moreover, Arabidopsis plants expressing groEL displayed reduced
fecundity of the green peach aphid (Myzus persicae), indicating enhanced
resistance against aphids. Furthermore, delivery of GroEL into tomato (Solanum
lycopersicum) or Arabidopsis through Pseudomonas fluorescens, engineered to
express the type III secretion system, also reduced potato aphid and green peach
aphid fecundity, respectively. Collectively our data indicate that GroEL is a
molecular pattern that triggers PTI.
PMID- 24927573
TI - Energy landscape of self-assembled superlattices of PbSe nanocrystals.
AB - Self-assembly of nanocrystals (NCs) into superlattices is an intriguing
multiscale phenomenon that may lead to materials with novel collective
properties, in addition to the unique properties of individual NCs compared with
their bulk counterparts. By using different dispersion solvents, we synthesized
three types of PbSe NC superlattices--body-centered cubic (bcc), body-centered
tetragonal (bct), and face-centered cubic (fcc)--as confirmed by synchrotron
small-angle X-ray scattering. Solution calorimetric measurements in hexane show
that the enthalpy of formation of the superlattice from dispersed NCs is on the
order of -2 kJ/mol. The calorimetric measurements reveal that the bcc
superlattice is the energetically most stable polymorph, with the bct being 0.32
and the fcc 0.55 kJ/mol higher in enthalpy. This stability sequence is consistent
with the decreased packing efficiency of PbSe NCs from bcc (17.2%) to bct (16.0%)
and to fcc (15.2%). The small enthalpy differences among the three polymorphs
confirm a closely spaced energy landscape and explain the ease of formation of
different NC superlattices at slightly different synthesis conditions.
PMID- 24927574
TI - Taura syndrome virus IRES initiates translation by binding its tRNA-mRNA-like
structural element in the ribosomal decoding center.
AB - In cap-dependent translation initiation, the open reading frame (ORF) of mRNA is
established by the placement of the AUG start codon and initiator tRNA in the
ribosomal peptidyl (P) site. Internal ribosome entry sites (IRESs) promote
translation of mRNAs in a cap-independent manner. We report two structures of the
ribosome-bound Taura syndrome virus (TSV) IRES belonging to the family of
Dicistroviridae intergenic IRESs. Intersubunit rotational states differ in these
structures, suggesting that ribosome dynamics play a role in IRES translocation.
Pseudoknot I of the IRES occupies the ribosomal decoding center at the aminoacyl
(A) site in a manner resembling that of the tRNA anticodon-mRNA codon. The
structures reveal that the TSV IRES initiates translation by a previously unseen
mechanism, which is conceptually distinct from initiator tRNA-dependent
mechanisms. Specifically, the ORF of the IRES-driven mRNA is established by the
placement of the preceding tRNA-mRNA-like structure in the A site, whereas the
40S P site remains unoccupied during this initial step.
PMID- 24927575
TI - Mechanism of staphylococcal multiresistance plasmid replication origin assembly
by the RepA protein.
AB - The staphylococcal multiresistance plasmids are key contributors to the alarming
rise in bacterial multidrug resistance. A conserved replication initiator, RepA,
encoded on these plasmids is essential for their propagation. RepA proteins
consist of flexibly linked N-terminal (NTD) and C-terminal (CTD) domains. Despite
their essential role in replication, the molecular basis for RepA function is
unknown. Here we describe a complete structural and functional dissection of RepA
proteins. Unexpectedly, both the RepA NTD and CTD show similarity to the
corresponding domains of the bacterial primosome protein, DnaD. Although the RepA
and DnaD NTD both contain winged helix-turn-helices, the DnaD NTD self-assembles
into large scaffolds whereas the tetrameric RepA NTD binds DNA iterons using a
newly described DNA binding mode. Strikingly, structural and atomic force
microscopy data reveal that the NTD tetramer mediates DNA bridging, suggesting a
molecular mechanism for origin handcuffing. Finally, data show that the RepA CTD
interacts with the host DnaG primase, which binds the replicative helicase. Thus,
these combined data reveal the molecular mechanism by which RepA mediates the
specific replicon assembly of staphylococcal multiresistant plasmids.
PMID- 24927576
TI - Structural and mechanistic studies of polymerase eta bypass of phenanthriplatin
DNA damage.
AB - Platinum drugs are a mainstay of anticancer chemotherapy. Nevertheless, tumors
often display inherent or acquired resistance to platinum-based treatments,
prompting the search for new compounds that do not exhibit cross-resistance with
current therapies. Phenanthriplatin, cis
diamminephenanthridinechloroplatinum(II), is a potent monofunctional platinum
complex that displays a spectrum of activity distinct from those of the
clinically approved platinum drugs. Inhibition of RNA polymerases by
phenanthriplatin lesions has been implicated in its mechanism of action. The
present study evaluates the ability of phenanthriplatin lesions to inhibit DNA
replication, a function disrupted by traditional platinum drugs. Phenanthriplatin
lesions effectively inhibit DNA polymerases nu, zeta, and kappa and the Klenow
fragment. In contrast to results obtained with DNA damaged by cisplatin, all of
these polymerases were capable of inserting a base opposite a phenanthriplatin
lesion, but only Pol eta, an enzyme efficient in translesion synthesis, was able
to fully bypass the adduct, albeit with low efficiency. X-ray structural
characterization of Pol eta complexed with site-specifically platinated DNA at
both the insertion and +1 extension steps reveals that phenanthriplatin on DNA
interacts with and inhibits Pol eta in a manner distinct from that of cisplatin
DNA adducts. Unlike cisplatin and oxaliplatin, the efficacies of which are
influenced by Pol eta expression, phenanthriplatin is highly toxic to both Pol
eta+ and Pol eta- cells. Given that increased expression of Pol eta is a known
mechanism by which cells resist cisplatin treatment, phenanthriplatin may be
valuable in the treatment of cancers that are, or can easily become, resistant to
cisplatin.
PMID- 24927577
TI - Packing density of rigid aggregates is independent of scale.
AB - Large planetary seedlings, comets, microscale pharmaceuticals, and nanoscale soot
particles are made from rigid, aggregated subunits that are compacted under low
compression into larger structures spanning over 10 orders of magnitude in
dimensional space. Here, we demonstrate that the packing density (thetaf) of
compacted rigid aggregates is independent of spatial scale for systems under weak
compaction. The thetaf of rigid aggregated structures across six orders of
magnitude were measured using nanoscale spherical soot aerosol composed of
aggregates with ~ 17-nm monomeric subunits and aggregates made from uniform
monomeric 6-mm spherical subunits at the macroscale. We find thetaf = 0.36 +/-
0.02 at both dimensions. These values are remarkably similar to thetaf observed
for comet nuclei and measured values of other rigid aggregated systems across a
wide variety of spatial and formative conditions. We present a packing model that
incorporates the aggregate morphology and show that thetaf is independent of both
monomer and aggregate size. These observations suggest that the thetaf of rigid
aggregates subject to weak compaction forces is independent of spatial dimension
across varied formative conditions.
PMID- 24927578
TI - Evidence for elevated and spatially variable geothermal flux beneath the West
Antarctic Ice Sheet.
AB - Heterogeneous hydrologic, lithologic, and geologic basal boundary conditions can
exert strong control on the evolution, stability, and sea level contribution of
marine ice sheets. Geothermal flux is one of the most dynamically critical ice
sheet boundary conditions but is extremely difficult to constrain at the scale
required to understand and predict the behavior of rapidly changing glaciers.
This lack of observational constraint on geothermal flux is particularly
problematic for the glacier catchments of the West Antarctic Ice Sheet within the
low topography of the West Antarctic Rift System where geothermal fluxes are
expected to be high, heterogeneous, and possibly transient. We use airborne radar
sounding data with a subglacial water routing model to estimate the distribution
of basal melting and geothermal flux beneath Thwaites Glacier, West Antarctica.
We show that the Thwaites Glacier catchment has a minimum average geothermal flux
of ~ 114 +/- 10 mW/m(2) with areas of high flux exceeding 200 mW/m(2) consistent
with hypothesized rift-associated magmatic migration and volcanism. These areas
of highest geothermal flux include the westernmost tributary of Thwaites Glacier
adjacent to the subaerial Mount Takahe volcano and the upper reaches of the
central tributary near the West Antarctic Ice Sheet Divide ice core drilling
site.
PMID- 24927579
TI - Anthropogenic changes in sodium affect neural and muscle development in
butterflies.
AB - The development of organisms is changing drastically because of anthropogenic
changes in once-limited nutrients. Although the importance of changing
macronutrients, such as nitrogen and phosphorus, is well-established, it is less
clear how anthropogenic changes in micronutrients will affect organismal
development, potentially changing dynamics of selection. We use butterflies as a
study system to test whether changes in sodium availability due to road salt
runoff have significant effects on the development of sodium-limited traits, such
as neural and muscle tissue. We first document how road salt runoff can elevate
sodium concentrations in the tissue of some plant groups by 1.5-30 times. Using
monarch butterflies reared on roadside- and prairie-collected milkweed, we then
show that road salt runoff can result in increased muscle mass (in males) and
neural investment (in females). Finally, we use an artificial diet manipulation
in cabbage white butterflies to show that variation in sodium chloride per se
positively affects male flight muscle and female brain size. Variation in sodium
not only has different effects depending on sex, but also can have opposing
effects on the same tissue: across both species, males increase investment in
flight muscle with increasing sodium, whereas females show the opposite pattern.
Taken together, our results show that anthropogenic changes in sodium
availability can affect the development of traits in roadside-feeding herbivores.
This research suggests that changing micronutrient availability could alter
selection on foraging behavior for some roadside-developing invertebrates.
PMID- 24927581
TI - QnAs with Thomas Jessell.
PMID- 24927580
TI - Perilipins 2 and 3 lack a carboxy-terminal domain present in perilipin 1 involved
in sequestering ABHD5 and suppressing basal lipolysis.
AB - Lipid droplets (LDs) are a conserved feature of most organisms. Vertebrate
adipocytes have evolved to efficiently store and release lipids for the whole
organism from a single droplet. Perilipin 1, the most abundant lipid-coat protein
in adipocytes, plays a key role in regulating lipolysis. In other tissues such as
liver and muscle, LDs serve very different biological functions, buffering
surplus lipids for subsequent oxidation or export. These tissues express
perilipins 2 or 3, rather than perilipin 1. We sought to understand the role of
perilipins 2 and 3 in regulating basal lipolysis. Bimolecular fluorescence
complementation studies suggested that whereas perilipin 1 prevents the
activation of adipose tissue triacylglycerol lipase by its coactivator, AB
hydrolase domain containing-5 (ABHD5), perilipins 2 and 3 do so less effectively.
These differences are mediated by a conserved region within the carboxy terminus
of perilipin 1 that binds and stabilizes ABHD5 by retarding its degradation by
the proteosome. Chimeric proteins generated by fusing the carboxy terminus of
perilipin 1 to the amino terminus of perilipins 2 or 3 stabilize ABHD5 and
suppress basal lipolysis more effectively than WT perilipins 2 or 3. Furthermore,
knockdown of perilipin 1 in adipocytes leads to replacement of perilipin 2 on
LDs. In these cells we observed reduced ABHD5 expression and LD localization and
a corresponding increase in basal lipolysis. Collectively these data suggest that
whereas perilipin 1 potently suppresses basal lipolysis in adipocytes, perilipins
2 and 3 facilitate higher rates of basal lipolysis in other tissues where
constitutive traffic of fatty acids via LDs is a necessary step in their
metabolism.
PMID- 24927582
TI - Correcting direct effects of ethanol on translation and transcription machinery
confers ethanol tolerance in bacteria.
AB - The molecular mechanisms of ethanol toxicity and tolerance in bacteria, although
important for biotechnology and bioenergy applications, remain incompletely
understood. Genetic studies have identified potential cellular targets for
ethanol and have revealed multiple mechanisms of tolerance, but it remains
difficult to separate the direct and indirect effects of ethanol. We used
adaptive evolution to generate spontaneous ethanol-tolerant strains of
Escherichia coli, and then characterized mechanisms of toxicity and resistance
using genome-scale DNAseq, RNAseq, and ribosome profiling coupled with specific
assays of ribosome and RNA polymerase function. Evolved alleles of metJ, rho, and
rpsQ recapitulated most of the observed ethanol tolerance, implicating
translation and transcription as key processes affected by ethanol. Ethanol
induced miscoding errors during protein synthesis, from which the evolved rpsQ
allele protected cells by increasing ribosome accuracy. Ribosome profiling and
RNAseq analyses established that ethanol negatively affects transcriptional and
translational processivity. Ethanol-stressed cells exhibited ribosomal stalling
at internal AUG codons, which may be ameliorated by the adaptive inactivation of
the MetJ repressor of methionine biosynthesis genes. Ethanol also caused aberrant
intragenic transcription termination for mRNAs with low ribosome density, which
was reduced in a strain with the adaptive rho mutation. Furthermore, ethanol
inhibited transcript elongation by RNA polymerase in vitro. We propose that
ethanol-induced inhibition and uncoupling of mRNA and protein synthesis through
direct effects on ribosomes and RNA polymerase conformations are major
contributors to ethanol toxicity in E. coli, and that adaptive mutations in metJ,
rho, and rpsQ help protect these central dogma processes in the presence of
ethanol.
PMID- 24927583
TI - Global metaanalysis of the nonlinear response of soil nitrous oxide (N2O)
emissions to fertilizer nitrogen.
AB - Nitrous oxide (N2O) is a potent greenhouse gas (GHG) that also depletes
stratospheric ozone. Nitrogen (N) fertilizer rate is the best single predictor of
N2O emissions from agricultural soils, which are responsible for ~ 50% of the
total global anthropogenic flux, but it is a relatively imprecise estimator.
Accumulating evidence suggests that the emission response to increasing N input
is exponential rather than linear, as assumed by Intergovernmental Panel on
Climate Change methodologies. We performed a metaanalysis to test the
generalizability of this pattern. From 78 published studies (233 site-years) with
at least three N-input levels, we calculated N2O emission factors (EFs) for each
nonzero input level as a percentage of N input converted to N2O emissions. We
found that the N2O response to N inputs grew significantly faster than linear for
synthetic fertilizers and for most crop types. N-fixing crops had a higher rate
of change in EF (DeltaEF) than others. A higher DeltaEF was also evident in soils
with carbon >1.5% and soils with pH <7, and where fertilizer was applied only
once annually. Our results suggest a general trend of exponentially increasing
N2O emissions as N inputs increase to exceed crop needs. Use of this knowledge in
GHG inventories should improve assessments of fertilizer-derived N2O emissions,
help address disparities in the global N2O budget, and refine the accuracy of N2O
mitigation protocols. In low-input systems typical of sub-Saharan Africa, for
example, modest N additions will have little impact on estimated N2O emissions,
whereas equivalent additions (or reductions) in excessively fertilized systems
will have a disproportionately major impact.
PMID- 24927584
TI - Evolutionary developmental transcriptomics reveals a gene network module
regulating interspecific diversity in plant leaf shape.
AB - Despite a long-standing interest in the genetic basis of morphological diversity,
the molecular mechanisms that give rise to developmental variation are
incompletely understood. Here, we use comparative transcriptomics coupled with
the construction of gene coexpression networks to predict a gene regulatory
network (GRN) for leaf development in tomato and two related wild species with
strikingly different leaf morphologies. The core network in the leaf
developmental GRN contains regulators of leaf morphology that function in global
cell proliferation with peripheral gene network modules (GNMs). The BLADE-ON
PETIOLE (BOP) transcription factor in one GNM controls the core network by
altering effective concentration of the KNOTTED-like HOMEOBOX gene product.
Comparative network analysis and experimental perturbations of BOP levels suggest
that variation in BOP expression could explain the diversity in leaf complexity
among these species through dynamic rewiring of interactions in the GRN. The
peripheral location of the BOP-containing GNM in the leaf developmental GRN and
the phenotypic mimics of evolutionary diversity caused by alteration in BOP
levels identify a key role for this GNM in canalizing the leaf morphospace by
modifying the maturation schedule of leaves to create morphological diversity.
PMID- 24927586
TI - Correlating the motion of electrons and nuclei with two-dimensional electronic
vibrational spectroscopy.
AB - Multidimensional nonlinear spectroscopy, in the electronic and vibrational
regimes, has reached maturity. To date, no experimental technique has combined
the advantages of 2D electronic spectroscopy and 2D infrared spectroscopy,
monitoring the evolution of the electronic and nuclear degrees of freedom
simultaneously. The interplay and coupling between the electronic state and
vibrational manifold is fundamental to understanding ensuing nonradiative
pathways, especially those that involve conical intersections. We have developed
a new experimental technique that is capable of correlating the electronic and
vibrational degrees of freedom: 2D electronic-vibrational spectroscopy (2D-EV).
We apply this new technique to the study of the 4-(di-cyanomethylene)-2-methyl-6
p-(dimethylamino)styryl-4H-pyran (DCM) laser dye in deuterated dimethyl sulfoxide
and its excited state relaxation pathways. From 2D-EV spectra, we elucidate a
ballistic mechanism on the excited state potential energy surface whereby
molecules are almost instantaneously projected uphill in energy toward a
transition state between locally excited and charge-transfer states, as evidenced
by a rapid blue shift on the electronic axis of our 2D-EV spectra. The change in
minimum energy structure in this excited state nonradiative crossing is evident
as the central frequency of a specific vibrational mode changes on a many
picoseconds timescale. The underlying electronic dynamics, which occur on the
hundreds of femtoseconds timescale, drive the far slower ensuing nuclear motions
on the excited state potential surface, and serve as a excellent illustration for
the unprecedented detail that 2D-EV will afford to photochemical reaction
dynamics.
PMID- 24927585
TI - Probing the paramyxovirus fusion (F) protein-refolding event from pre- to
postfusion by oxidative footprinting.
AB - To infect a cell, the Paramyxoviridae family of enveloped viruses relies on the
coordinated action of a receptor-binding protein (variably HN, H, or G) and a
more conserved metastable fusion protein (F) to effect membrane fusion and allow
genomic transfer. Upon receptor binding, HN (H or G) triggers F to undergo an
extensive refolding event to form a stable postfusion state. Little is known
about the intermediate states of the F refolding process. Here, a soluble form of
parainfluenza virus 5 F was triggered to refold using temperature and was
footprinted along the refolding pathway using fast photochemical oxidation of
proteins (FPOP). Localization of the oxidative label to solvent-exposed side
chains was determined by high-resolution MS/MS. Globally, metastable prefusion F
is oxidized more extensively than postfusion F, indicating that the prefusion
state is more exposed to solvent and is more flexible. Among the first peptides
to be oxidatively labeled after temperature-induced triggering is the hydrophobic
fusion peptide. A comparison of peptide oxidation levels with the values of
solvent-accessible surface area calculated from molecular dynamics simulations of
available structural data reveals regions of the F protein that lie at the heart
of its prefusion metastability. The strong correlation between the regions of F
that experience greater-than-expected oxidative labeling and epitopes for
neutralizing antibodies suggests that FPOP has a role in guiding the development
of targeted therapeutics. Analysis of the residue levels of labeled F
intermediates provides detailed insights into the mechanics of this critical
refolding event.
PMID- 24927587
TI - Let's exploit available knowledge on vegetation fluorescence.
PMID- 24927588
TI - Resting GABA and glutamate concentrations do not predict visual gamma frequency
or amplitude.
AB - Gamma band oscillations arise in neuronal networks of interconnected GABAergic
interneurons and excitatory pyramidal cells. A previous study found a correlation
between visual gamma peak frequency, as measured with magnetoencephalography, and
resting GABA levels, as measured with magnetic resonance spectroscopy (MRS), in
12 healthy volunteers. If true, this would allow studies in clinical populations
testing modulation of this relationship, but this finding has not been
replicated. We addressed this important question by measuring gamma oscillations
and GABA, as well as glutamate, in 50 healthy volunteers. Visual gamma activity
was evoked using an established gratings paradigm, and we applied a beamformer
spatial filtering technique to extract source-reconstructed gamma peak frequency
and amplitude from the occipital lobe. We determined gamma peak frequency and
amplitude from the location with maximal activation and from the location of the
MRS voxel to assess the relationship of GABA with gamma. Gamma peak frequency was
estimated from the highest value of the raw spectra and by a Gaussian fit to the
spectra. MRS data were acquired from occipital cortex. We did not replicate the
previously found correlation between gamma peak frequency and GABA concentration.
Calculation of a Bayes factor provided strong evidence in favor of the null
hypothesis. We also did not find a correlation between gamma activity and
glutamate or between gamma and the ratio of GABA/glutamate. Our results suggest
that cortical gamma oscillations do not have a consistent, demonstrable
relationship to excitatory/inhibitory network activity as proxied by MRS
measurements of GABA and glutamate.
PMID- 24927589
TI - Badger responses to small-scale culling may compromise targeted control of bovine
tuberculosis.
AB - Where wildlife disease requires management, culling is frequently considered but
not always effective. In the British Isles, control of cattle tuberculosis (TB)
is hindered by infection in wild badger (Meles meles) populations. Large-scale
badger culling can reduce the incidence of confirmed cattle TB, but these
benefits are undermined by culling-induced changes in badger behavior (termed
perturbation), which can increase transmission among badgers and from badgers to
cattle. Test-vaccinate/remove (TVR) is a novel approach that entails testing
individual badgers for infection, vaccinating test-negative animals, and killing
test-positive animals. Imperfect capture success, diagnostic sensitivity, and
vaccine effectiveness mean that TVR would be expected to leave some infected and
some susceptible badgers in the population. Existing simulation models predict
that TVR could reduce cattle TB if such small-scale culling causes no
perturbation, but could increase cattle TB if considerable perturbation occurs.
Using data from a long-term study, we show that past small-scale culling was
significantly associated with four metrics of perturbation in badgers: expanded
ranging, more frequent immigration, lower genetic relatedness, and elevated
prevalence of Mycobacterium bovis, the causative agent of TB. Though we could not
reject the hypothesis that culling up to three badgers per social group might
avoid perturbation, we also could not reject the hypothesis that killing a single
badger prompted detectable perturbation. When considered alongside existing model
predictions, our findings suggest that implementation of TVR, scheduled for 2014,
risks exacerbating the TB problem rather than controlling it. Ongoing illegal
badger culling is likewise expected to increase cattle TB risks.
PMID- 24927590
TI - Seamless modification of wild-type induced pluripotent stem cells to the natural
CCR5Delta32 mutation confers resistance to HIV infection.
AB - Individuals homozygous for the C-C chemokine receptor type 5 gene with 32-bp
deletions (CCR5Delta32) are resistant to HIV-1 infection. In this study, we
generated induced pluripotent stem cells (iPSCs) homozygous for the naturally
occurring CCR5Delta32 mutation through genome editing of wild-type iPSCs using a
combination of transcription activator-like effector nucleases (TALENs) or RNA
guided clustered regularly interspaced short palindromic repeats (CRISPR)-Cas9
together with the piggyBac technology. Remarkably, TALENs or CRISPR-Cas9-mediated
double-strand DNA breaks resulted in up to 100% targeting of the colonies on one
allele of which biallelic targeting occurred at an average of 14% with TALENs and
33% with CRISPR. Excision of the piggyBac using transposase seamlessly reproduced
exactly the naturally occurring CCR5Delta32 mutation without detectable exogenous
sequences. We differentiated these modified iPSCs into monocytes/macrophages and
demonstrated their resistance to HIV-1 challenge. We propose that this strategy
may provide an approach toward a functional cure of HIV-1 infection.
PMID- 24927591
TI - Maritime route of colonization of Europe.
AB - The Neolithic populations, which colonized Europe approximately 9,000 y ago,
presumably migrated from Near East to Anatolia and from there to Central Europe
through Thrace and the Balkans. An alternative route would have been island
hopping across the Southern European coast. To test this hypothesis, we analyzed
genome-wide DNA polymorphisms on populations bordering the Mediterranean coast
and from Anatolia and mainland Europe. We observe a striking structure
correlating genes with geography around the Mediterranean Sea with characteristic
east to west clines of gene flow. Using population network analysis, we also find
that the gene flow from Anatolia to Europe was through Dodecanese, Crete, and the
Southern European coast, compatible with the hypothesis that a maritime coastal
route was mainly used for the migration of Neolithic farmers to Europe.
PMID- 24927593
TI - Social identification moderates the effect of crowd density on safety at the
Hajj.
AB - Crowd safety is a major concern for those attending and managing mass gatherings,
such as the annual Hajj or pilgrimage to Mecca (also called Makkah). One threat
to crowd safety at such events is crowd density. However, recent research also
suggests that psychological membership of crowds can have positive benefits. We
tested the hypothesis that the effect of density on safety might vary depending
on whether there is shared social identification in the crowd. We surveyed 1,194
pilgrims at the Holy Mosque, Mecca, during the 2012 Hajj. Analysis of the data
showed that the negative effect of crowd density on reported safety was moderated
by social identification with the crowd. Whereas low identifiers reported reduced
safety with greater crowd density, high identifiers reported increased safety
with greater crowd density. Mediation analysis suggested that a reason for these
moderation effects was the perception that other crowd members were supportive.
Differences in reported safety across national groups (Arab countries and Iran
compared with the rest) were also explicable in terms of crowd identification and
perceived support. These findings support a social identity account of crowd
behavior and offer a novel perspective on crowd safety management.
PMID- 24927592
TI - Regulation of cell proliferation and migration by p62 through stabilization of
Twist1.
AB - The selective autophagy substrate p62 serves as a molecular link between
autophagy and cancer. Suppression of autophagy causes p62 accumulation and
thereby contributes to tumorigenesis. Here we demonstrate that autophagy
deficiency promotes cell proliferation and migration through p62-dependent
stabilization of the oncogenic transcription factor Twist1. p62 binds to Twist1
and inhibits degradation of Twist1. In mice, p62 up-regulation promotes tumor
cell growth and metastasis in a Twist1-dependent manner. Our findings demonstrate
that Twist1 is a key downstream effector of p62 in regulation of cell
proliferation and migration and suggest that targeting p62-mediated Twist1
stabilization is a promising therapeutic strategy for prevention and treatment of
cancer.
PMID- 24927594
TI - Sources and sinks of carbonyl sulfide in an agricultural field in the Southern
Great Plains.
AB - Net photosynthesis is the largest single flux in the global carbon cycle, but
controls over its variability are poorly understood because there is no direct
way of measuring it at the ecosystem scale. We report observations of ecosystem
carbonyl sulfide (COS) and CO2 fluxes that resolve key gaps in an emerging
framework for using concurrent COS and CO2 measurements to quantify terrestrial
gross primary productivity. At a wheat field in Oklahoma we found that in the
peak growing season the flux-weighted leaf relative uptake of COS and CO2 during
photosynthesis was 1.3, at the lower end of values from laboratory studies, and
varied systematically with light. Due to nocturnal stomatal conductance, COS
uptake by vegetation continued at night, contributing a large fraction (29%) of
daily net ecosystem COS fluxes. In comparison, the contribution of soil fluxes
was small (1-6%) during the peak growing season. Upland soils are usually
considered sinks of COS. In contrast, the well-aerated soil at the site switched
from COS uptake to emissions at a soil temperature of around 15 degrees C. We
observed COS production from the roots of wheat and other species and COS uptake
by root-free soil up to a soil temperature of around 25 degrees C. Our dataset
demonstrates that vegetation uptake is the dominant ecosystem COS flux in the
peak growing season, providing support of COS as an independent tracer of
terrestrial photosynthesis. However, the observation that ecosystems may become a
COS source at high temperature needs to be considered in global modeling studies.
PMID- 24927595
TI - Economic scarcity alters the perception of race.
AB - When the economy declines, racial minorities are hit the hardest. Although
existing explanations for this effect focus on institutional causes, recent
psychological findings suggest that scarcity may also alter perceptions of race
in ways that exacerbate discrimination. We tested the hypothesis that economic
resource scarcity causes decision makers to perceive African Americans as
"Blacker" and that this visual distortion elicits disparities in the allocation
of resources. Studies 1 and 2 demonstrated that scarcity altered perceptions of
race, lowering subjects' psychophysical threshold for seeing a mixed-race face as
"Black" as opposed to "White." In studies 3 and 4, scarcity led subjects to
visualize African American faces as darker and more "stereotypically Black,"
compared with a control condition. When presented to naive subjects, face
representations produced under scarcity elicited smaller allocations than control
condition representations. Together, these findings introduce a novel perceptual
account for the proliferation of racial disparities under economic scarcity.
PMID- 24927596
TI - Immersive audiomotor game play enhances neural and perceptual salience of weak
signals in noise.
AB - All sensory systems face the fundamental challenge of encoding weak signals in
noisy backgrounds. Although discrimination abilities can improve with practice,
these benefits rarely generalize to untrained stimulus dimensions. Inspired by
recent findings that action video game training can impart a broader spectrum of
benefits than traditional perceptual learning paradigms, we trained adult humans
and mice in an immersive audio game that challenged them to forage for hidden
auditory targets in a 2D soundscape. Both species learned to modulate their
angular search vectors and target approach velocities based on real-time changes
in the level of a weak tone embedded in broadband noise. In humans, mastery of
this tone in noise task generalized to an improved ability to comprehend spoken
sentences in speech babble noise. Neural plasticity in the auditory cortex of
trained mice supported improved decoding of low-intensity sounds at the training
frequency and an enhanced resistance to interference from background masking
noise. These findings highlight the potential to improve the neural and
perceptual salience of degraded sensory stimuli through immersive computerized
games.
PMID- 24927597
TI - Integration of bacterial lytic polysaccharide monooxygenases into designer
cellulosomes promotes enhanced cellulose degradation.
AB - Efficient conversion of cellulose into soluble sugars is a key technological
bottleneck limiting efficient production of plant-derived biofuels and chemicals.
In nature, the process is achieved by the action of a wide range of cellulases
and associated enzymes. In aerobic microrganisms, cellulases are secreted as free
enzymes. Alternatively, in certain anaerobic microbes, cellulases are assembled
into large multienzymes complexes, termed "cellulosomes," which allow for
efficient hydrolysis of cellulose. Recently, it has been shown that enzymes
classified as lytic polysaccharide monooxygenases (LPMOs) were able to strongly
enhance the activity of cellulases. However, LPMOs are exclusively found in
aerobic organisms and, thus, cannot benefit from the advantages offered by the
cellulosomal system. In this study, we designed several dockerin-fused LPMOs
based on enzymes from the bacterium Thermobifida fusca. The resulting chimeras
exhibited activity levels on microcrystalline cellulose similar to that of the
wild-type enzymes. The dockerin moieties of the chimeras were demonstrated to be
functional and to specifically bind to their corresponding cohesin partner. The
chimeric LPMOs were able to self-assemble in designer cellulosomes alongside an
endo- and an exo-cellulase also converted to the cellulosomal mode. The resulting
complexes showed a 1.7-fold increase in the release of soluble sugars from
cellulose, compared with the free enzymes, and a 2.6-fold enhancement compared
with free cellulases without LPMO enhancement. These results highlight the
feasibility of the conversion of LPMOs to the cellulosomal mode, and that these
enzymes can benefit from the proximity effects generated by the cellulosome
architecture.
PMID- 24927598
TI - An iron-regulated and glycosylation-dependent proteasomal degradation pathway for
the plasma membrane metal transporter ZIP14.
AB - Protein degradation is instrumental in regulating cellular function. Plasma
membrane proteins targeted for degradation are internalized and sorted to
multivesicular bodies, which fuse with lysosomes, where they are degraded. ZIP14
is a newly identified iron transporter with multitransmembrane domains. In an
attempt to dissect the molecular mechanisms by which iron regulates ZIP14 levels,
we found that ZIP14 is endocytosed, extracted from membranes, deglycosylated, and
degraded by proteasomes. This pathway did not depend on the retrograde
trafficking to the endoplasmic reticulum and thus did not involve the well
defined endoplasmic reticulum-associated protein degradation pathway. Iron
inhibited membrane extraction of internalized ZIP14, resulting in higher steady
state levels of ZIP14. Asparagine-linked (N-linked) glycosylation of ZIP14,
particularly the glycosylation at N102, was required for efficient membrane
extraction of ZIP14 and therefore is necessary for its iron sensitivity. These
findings highlight the importance of proteasomes in the degradation of
endocytosed plasma membrane proteins.
PMID- 24927600
TI - Compositional landscape for glass formation in metal alloys.
AB - A high-resolution compositional map of glass-forming ability (GFA) in the Ni-Cr
Nb-P-B system is experimentally determined along various compositional planes.
GFA is shown to be a piecewise continuous function formed by intersecting
compositional subsurfaces, each associated with a nucleation pathway for a
specific crystalline phase. Within each subsurface, GFA varies exponentially with
composition, wheres exponential cusps in GFA are observed when crossing from one
crystallization pathway to another. The overall GFA is shown to peak at multiple
exponential hypercusps that are interconnected by ridges. At these compositions,
quenching from the high-temperature melt yields glassy rods with diameters
exceeding 1 cm, whereas for compositions far from these cusps the critical rod
diameter drops precipitously and levels off to 1 to 2 mm. The compositional
landscape of GFA is shown to arise primarily from an interplay between the
thermodynamics and kinetics of crystal nucleation, or more precisely, from a
competition between driving force for crystallization and liquid fragility.
PMID- 24927602
TI - Predicting outcome in primary biliary cirrhosis.
AB - Primary biliary cirrhosis (PBC) is a slowly progressive autoimmune liver disease
that may ultimately result in liver failure and premature death. Predicting
outcome is of key importance in clinical management and an essential requirement
for patients counselling and timing of diagnostic and therapeutic interventions.
The following factors are associated with progressive disease and worse outcome:
young age at diagnosis, male gender, histological presence of cirrhosis,
accelerated marked uctopenia in relation to the amount of fibrosis, high serum
bilirubin, low serum albumin levels, high serum alkaline phosphatase levels,
esophageal varices, hepatocellular carcinoma (HCC) and lack of biochemical
response to ursodeoxycholic acid (UDCA). The prognostic significance of symptoms
at diagnosis is uncertain. UDCA therapy and liver transplantation have a
significant beneficial effect on the outcome of the disease. The Mayo risk score
in PBC can be used for estimating individual prognosis. The Newcastle Varices in
PBC Score may be a useful clinical tool to predict the risk for development of
esophageal varices. Male gender, cirrhosis and non-response to UDCA therapy in
particular, are risk factors for development of HCC.
PMID- 24927603
TI - What is changing in indications and treatment of hepatic hemangiomas. A review.
AB - Hepatic cavernous hemangioma accounts for 73% of all benign liver tumors with a
frequency of 0.4-7.3% at autopsy and is the second most common tumor seen in the
liver after metastases. Patients affected by hemangioma usually have their tumor
diagnosed by ultrasound abdominal examination for a not well defined pain, but
pain persist after treatment of the hemangioma. The causes of pain can be various
gastrointestinal pathologies including cholelithiasis and peptic ulcer
disease.The malignant trasformation is practically inexistent. Different imaging
modalities are used to diagnosis liver hemangioma including ultrasonography,
computed tomography (CT), magnetic resonance (MR) imaging, and less frequently
scintigraphy, positronemission tomography combined with CT (PET/CT) and
angiography. Imaging-guided biopsy of hemangioma is usually not resorted to
except in extremely atypical cases. The right indications for surgery remain
rupture, intratumoral bleeding, Kasabach-Merritt syndrome and organ or vessels
compression (gastric outlet obstruction, Budd-Chiari syndrome, etc.) represents
the valid indication for surgery and at the same time they are all complications
of the tumor itself. The size of the tumor do not represent a valid indication
for treatment. Liver hemangiomas, when indication exist, have to be treated
firstly by surgery (hepatic resection or enucleation, open, laproscopic or
robotic), but in the recent years other therapies like liver transplantation,
radiofrequency ablation, radiotherapy, trans-arterial embolization, and
chemotherapy have been applied.
PMID- 24927599
TI - High-throughput comparison, functional annotation, and metabolic modeling of
plant genomes using the PlantSEED resource.
AB - The increasing number of sequenced plant genomes is placing new demands on the
methods applied to analyze, annotate, and model these genomes. Today's annotation
pipelines result in inconsistent gene assignments that complicate comparative
analyses and prevent efficient construction of metabolic models. To overcome
these problems, we have developed the PlantSEED, an integrated, metabolism
centric database to support subsystems-based annotation and metabolic model
reconstruction for plant genomes. PlantSEED combines SEED subsystems technology,
first developed for microbial genomes, with refined protein families and
biochemical data to assign fully consistent functional annotations to orthologous
genes, particularly those encoding primary metabolic pathways. Seamless
integration with its parent, the prokaryotic SEED database, makes PlantSEED a
unique environment for cross-kingdom comparative analysis of plant and bacterial
genomes. The consistent annotations imposed by PlantSEED permit rapid
reconstruction and modeling of primary metabolism for all plant genomes in the
database. This feature opens the unique possibility of model-based assessment of
the completeness and accuracy of gene annotation and thus allows computational
identification of genes and pathways that are restricted to certain genomes or
need better curation. We demonstrate the PlantSEED system by producing consistent
annotations for 10 reference genomes. We also produce a functioning metabolic
model for each genome, gapfilling to identify missing annotations and proposing
gene candidates for missing annotations. Models are built around an extended
biomass composition representing the most comprehensive published to date. To our
knowledge, our models are the first to be published for seven of the genomes
analyzed.
PMID- 24927604
TI - Long term changes in liver histology following treatment of chronic hepatitis C
virus.
AB - BACKGROUND AND AIMS: The histologic hallmarks of chronic HCV include inflammation
and fibrosis. The impact of interferon therapy on liver histology was evaluated.
MATERIAL AND METHODS: The study population consisted of 348 patients with chronic
HCV who underwent a baseline liver biopsy, received either no treatment or a
single course of interferon based therapy, were followed for 5 years without any
treatment or additional treatment and then underwent a repeat liver biopsy. The
patients were divided into 3 groups; deferred treatment (NoTx = 47), received
interferon based therapy but failed to achieve SVR (NoSVR = 189) and achieved SVR
(SVR = 112). RESULTS: Patients with NoTx and NoSVR had significant increases in
mean inflammation scores (from 4.3 to 6.3 and 5.4 to 6.7 respectively; p < 0.001
for both) and fibrosis scores (from 0.9 to 1.8 and 1.9 to 2.5; p < 0.001 for
both). The amounts by which inflammation, fibrosis and rate of fibrosis
progression increased were not significantly different between the two groups.
Increases in total inflammation and the piecemeal necrosis sub-score over time
were strongly associated with fibrosis progression. Patients with SVR had a
significant decline in mean inflammation and fibrosis scores (from 6.7 to 2.2 and
3.3 to 1.8; p < 0.001 for both); 40% of patients resolved all fibrosis and 50% of
patients resolved cirrhosis. CONCLUSION: Increases in inflammation are associated
with fibrosis progression and in the absence of SVR interferon treatment does not
appear to affect the long term natural history of this process. Patients with SVR
have resolution of inflammation and fibrosis and many resolve cirrhosis.
PMID- 24927605
TI - Peginterferon alpha-2b plus ribavirin for chronic hepatitis C virus mixed
genotype infection.
AB - BACKGROUND AND AIM: The treatment efficacy of peginterferon plus ribavirin for
patients with HCV genotype 1 is inferior to that in patients with HCV genotype 2,
but the efficacy among patients with mixed HCV genotype 1 + 2 is less clear. We
compared the treatment outcome of peginterferon alpha-2b plus ribavirin among
naive chronic hepatitis C patients in Taiwan with HCV genotype 1 and 2, and mixed
genotype 1 + 2. MATERIAL AND METHODS: In this retrospective cohort study, 150
patients were treated with peginterferon alpha-2b once weekly, plus ribavirin,
for 24 weeks. The endpoint was sustained virological response after receiving at
least one dose of the study medication. RESULTS: There were no differences in
clinical characteristics among the 3 groups. There were significant differences
in rapid virological response rate between patients with genotype 1 and genotype
2 (64.7 vs. 85.5%, respectively; p < 0.05) and a sustained virological response
rate (55.9 vs. 83.6%, respectively; p = 0.001). The rapid virological response
rate differed between the genotype 1 and mixed genotype 1 + 2 groups (64.7 vs.
85.2%, respectively; p < 0.05), but the sustained virological response rate was
similar (55.9 vs. 74.1%; p = 0.101). CONCLUSIONS: Using peginterferon alpha-2b
plus ribavirin for 24 weeks to treat patients with HCV genotype 1 + 2 achieved a
74.1% sustained virological response rate; the treatment efficacy was not
inferior to patients with HCV genotype 1, but the percentage of liver cirrhosis
in mixed genotype 1 + 2 group was higher to 22%, it is worth to be appropriately
valued and studied.
PMID- 24927606
TI - PNPLA3 rs738409 causes steatosis according to viral & IL28B genotypes in
hepatitis C.
AB - BACKGROUND: Hepatitis C virus (HCV) is associated with a higher prevalence of
steatosis compared to the general population. AIM: Our aim was to assess the
impact of PNPLA3 rs738409 G-allele on steatosis in HCV patients. MATERIAL AND
METHODS: We included 474 HCV patients treated with peginterferon plus ribavirin.
PNPLA3 rs738409 was genotyped and patients were classified according to alleles
and genotypes. Steatosis was detected in 46.4% (220/474). Fibrosis was assessed
by Scheuer score. Gene expression was analyzed in Huh7.5 and Huh7 cells using
Real Time-PCR. RESULTS: PNPLA3 allele-G was associated with steatosis [54.1%
(126/233) vs. 39% (94/241)] (p = 0.0001). In HCV-1, allele-G was related to
steatosis [50.6% (82/162) vs. 32.3% (53/164)] (p = 0.001), but did not in HCV-3
[61.9% (26/42) vs. 62% (31/50)] (p = 0.993). PNPLA3 allele-G was associated with
steatosis in patients with IL28B-CT/TT [57.7% (82/142) vs. 37.1% (56/151)] (p =
0.0001), but did not in IL28B-CC [47.8% (43/90) vs. 42% (37/88)] (p = 0.442).
Independent variables associated with steatosis were: PNPLA3 G-allele [O.R. 1.84
(CI95%: 1.06-3.21); p = 0.007], age [O.R. 1.04 (CI95%: 1.01-1.07); p = 0.017],
HCV-genotype 3 [O.R. 2.46 (CI95%: 1.30-4.65); p = 0.006], HOMA > 4 [O.R. 2.72
(CI95%: 1.27-5.82); p = 0.010]. Since PNPLA3 RNA could not be detected on PBMC
from HCV patients, an in vitro analysis was performed. Huh7.5 cells infected with
JFH1 had a decreased PNPLA3 gene expression (fold inhibition = 3.2 +/- 0.2),
while Huh7 cells presented increased PNPLA3 gene expression (fold induction = 1.5
+/- 0.2). CONCLUSION: PNPLA3 allele-G modulated the development of steatosis,
particularly in patients with HCV-1 and IL28B-CT/TT genotype, but was not
associated with SVR. Metabolic but not viral steatosis seems to be PNPLA3
regulated. Gene interaction may result in differential PNPLA3 gene expression
levels in HCV infection.
PMID- 24927607
TI - A phase 2 study of filibuvir in combination with pegylated IFN alfa and ribavirin
for chronic HCV.
AB - OBJECTIVES: Filibuvir is a non-nucleoside inhibitor of hepatitis C virus (HCV)
polymerase. This study evaluated the safety and efficacy of filibuvir plus
pegylated interferon alfa-2a (pegIFN)/ribavirin. MATERIAL AND METHODS: Treatment
naive, HCV genotype-1 patients were randomized to receive filibuvir 300 or 600 mg
twice daily (BID) or placebo plus pegIFN (180 MUg/wk) and ribavirin (1,000/1,200
mg BID) for 24 weeks. Filibuvir patients who achieved defined response through
week 24 discontinued therapy at week 24. All other patients continued on open
label pegIFN/ribavirin through week 48. The primary endpoint was the proportion
of patients who achieved sustained virologic response (SVR) defined as HCV RNA <
15 IU/mL at end of treatment (weeks 24 or 48) and week 72. RESULTS: Overall, 288
patients were randomized and treated. SVR was achieved by 41.7, 39.6, and 45.8%
of patients in the filibuvir 300 mg, 600 mg, and placebo arms, respectively.
While the addition of filibuvir to pegIFN/ribavirin improved on-treatment
virologic response parameters, this did not translate into improved SVR rates due
to a high rate of virologic relapse following completion of therapy (300 mg:
35.9%; 600 mg: 42.9%; placebo: 25.4%). The most commonly reported adverse events
were nausea, fatigue, headache, and insomnia, and were reported at similar rates
across arms. CONCLUSIONS: Filibuvir plus pegIFN/ribavirin did not improve the
percentage of patients achieving SVR compared with administration of
pegIFN/ribavirin alone. However, the agent was well tolerated and was associated
with higher on-treatment virologic response parameters. Further evaluation of
filibuvir in combination with other direct-acting antiviral agents may be
considered.
PMID- 24927608
TI - Long term nucleotide and nucleoside analogs treatment in chronic hepatitis B
HBeAg negative genotype D patients and risk for hepatocellular carcinoma.
AB - BACKGROUND AND RATIONALE OF THE STUDY: Effect of Long-term nucleoside/nucleotide
(NUC) on hepatocellular carcinoma (HCC) incidence in a population of HBeAg
negative genotype D patients has not been adequately studied in real-life
cohorts. Our aim was to evaluate the impact of liver fibrosis and other variables
on HCC incidence in this population of patients. Of 745 patients with chronic
hepatitis B (CHB), 306 HBeAg-negative genotype D were selected and included in
this study. All patients received treatment with NUC for at least 18 months.
Patients with CHB or compensated cirrhosis were included. Patients with HCC
diagnosed before or during the first 18 months of NUC therapy were excluded.
RESULTS: HCC was diagnosed in 2 CHB patients (1.0%) and 23 cirrhosis patients
(20%) (OR = 24.41, 95% CI 5.40 < OR < 153.2; p < 0.0001). Multivariate analysis
revealed that HCC risk was independently associated with age >= 60 years (OR =
6.45, 95% CI 1.22 to 34.0; p = 0.02) and liver cirrhosis (OR = 12.1, 95% CI 1.39
to 106.2; p = 0.02), but not with virological response (VR), and previous
resistance to NUC, or rescue therapy. Multivariate analysis in cirrhosis patients
revealed that only age >= 60 years was an independent risk factor associated with
HCC (p = 0.003). CONCLUSIONS: Liver cirrhosis and age >= 60 years are the
stronger risk factors for HCC in genotype D HBeA-gnegative patients. Previous
resistance to NUC in patients that achieved a VR after rescue therapy was not a
predictive factor regarding HCC. VR does not appear to significantly reduce the
overall incidence of HCC when a patient has already progressed to liver
cirrhosis.
PMID- 24927609
TI - Epidemiology of HCC in Brazil: incidence and risk factors in a ten-year cohort.
AB - BACKGROUND AND AIM: The lack of information about hepatocellular carcinoma (HCC)
in Brazil weakens health policy in preventing deaths from the illness. The aim of
this study was to establish the cumulative incidence and the risk factors for
hepatocellular carcinoma development in patients under a surveillance program.
MATERIAL AND METHODS: 884 patients with compensated cirrhosis were prospectively
followed up for at least five years, from August 1998 until August 2008, with at
least one annual ultrasonography liver examination and serum alpha fetoprotein
(AFP) measurement. RESULTS: Among 884 patients, 72 (8.1%) developed a tumor with
a median follow up of 21.4 months. In the hepatocellular carcinoma group,
hepatitis C virus infection was the major etiological factor (65.3%), 56.9%
(41/72) were male and the mean average age was 57 +/- 10 years. The annual
incidence of hepatocellular carcinoma was 2.9%. 79.2% (57/72) of HCCs were
detected within Milan Criteria, and the mean survival time was 52.3 months,
significantly higher than for those outside Milan, with a mean time of 40.6
months (p = 0.0003). CONCLUSION: The annual incidence of HCC among this large
series of Brazilian cirrhotic patients was around 2.9% with a detection rate of
8.1%, or a cumulative incidence rate over five years of 14.3%. The three
variables related to HCC risk were low serum albumin [HR: 0.518 (0.46-0.78)],
high AFP > 20 ng/mL [HR: 3.16 (1.86-5.38)], and ethnicity (Brazilian-East Asian
descendants vs. other mixed Brazilian ethnicities) [HR: 2.86 (1.48-5.53)].
PMID- 24927610
TI - Preoperative transcatheter arterial chemoembolization for resectable
hepatocellular carcinoma: a single center analysis.
AB - BACKGROUND AND AIM: The effect of preoperative transcatheter arterial
chemoembolization (TACE) on the short- and long-term outcome of resectable
hepatocellular carcinoma (HCC) is controversial. We conducted a retrospective
evaluation of this aspect using data from our center. MATERIAL AND METHODS: A
total of 656 consecutive patients who underwent curative resection of HCC were
divided into two groups based on the preoperative TACE: the liver resection (LR)
group (405 cases) and the TACE-LR group (183 cases). Overall and disease-free
survival curves were constructed using the Kaplan-Meier method and compared with
the log-rank test. The significance of differences in survival was tested using a
log-rank test. Univariate and multivariate analyses were used to identify the
factors that best predicted overall survival or tumor free survival. RESULTS:
Although the cost of LR showed no difference between groups, the overall cost was
significantly higher in the combined group than in the LR group (P < 0.001). The
complication rate after resection was also comparable between the two groups. In
regard to long-term outcome, the 1-, 3-, and 5-year overall survival rates were
83.7, 68.9 and 57.5%, respectively, after direct liver resection and 80.9, 65.0
and 54.1%, respectively, after combined TACE and resection (P = 0.739). The 1-, 3
, and 5-year recurrence- free survival rates were also comparable between two
groups (P = 0.205). Both univariate analysis and multivariate analysis showed
that macro-vascular invasion was the factor that best predicted overall survival
or tumor-free survival rate. CONCLUSION: Preoperative TACE has comparable
intraoperative and short-term outcomes but more overall cost due to repeated
TACE, and the procedure did not significantly improve the overall or tumor-free
survival rate. Preoperative TACE should not, therefore, be recommended as a
routine procedure before resection for resectable HCCs particularly in cases due
to underlying hepatitis B virus (HBV).
PMID- 24927611
TI - Plasma cytokine levels imbalance in cirrhotic patients with impaired glucose
tolerance and diabetes mellitus. A prospective study.
AB - AIMS: To define if there is an imbalance in plasma levels of proinflammatory,
fibrogenic and antifibrogenic cytokines in patients with liver cirrhosis (LC) and
impaired glucose tolerance (IGT) or diabetes mellitus (DM). MATERIAL AND METHODS:
We randomly selected 54 out of 100 patients with LC who had normal fasting plasma
glucose (FPG) levels. Three groups were formed based on an oral glucose tolerance
test (OGTT) results: 18 patients were normal, 18 had IGT, and 18 had DM. Plasma
levels of cytokines were measured: TNF- alpha, soluble tumor necrosis factor
receptor 1 (sTNF-R1), leptin, TGF-beta1, and hepatocyte growth factor (HGF).
Also, fasting plasma insulin (FPI) levels were determined and HOMA2-IR was
calculated. Results were compared with those of a control group of 18 patients
without liver disease nor DM. Intergroup comparison was performed using non
parametric tests. RESULTS: Significantly higher sTNF-R1 and lower TGF-beta1 were
found in patients with IGT and DM compared to controls. Leptin, HGF, and TNF
alpha levels showed no significant differences. According to Child-Pugh
classification all cytokines levels were impaired in groups B or C as compared to
group A. Positive correlations between sTNF-R1 and HOMA2-IR and between leptin
and HOMA2-IR were found. CONCLUSIONS: IGT and DM were associated with
abnormalities of sTNF-R1 and TGF-beta1 compared to non cirrhotic controls. Among
cirrhotic patients impairment of all cytokines were more marked in advanced liver
disease. Finally, sTNF-R1 and leptin correlated with IR. These findings suggest
that IGT and DM may be causally implicated with liver inflammation process.
PMID- 24927612
TI - Right atrial pressure may impact early survival of patients undergoing
transjugular intrahepatic portosystemic shunt creation.
AB - PURPOSE: To elucidate the impact of right atrial (RA) pressure on early mortality
after transjugular intrahepatic portosystemic shunt (TIPS). MATERIAL AND METHODS:
In this single institution retrospective study, 125 patients (M:F = 75:50, mean
age 55 years) who underwent TIPS with recorded intra-procedural RA pressures
between 1999-2012 were studied. Demographic (age, gender), liver disease (Child
Pugh, Model for End Stage Liver Disease or MELD score), and procedure
(indication, urgency, Stent type, portosystemic gradient or PSG reduction,
baseline and post-TIPS RA pressure) data were identified, and the influence of
these parameters on 30- and 90-day mortality was assessed using binary logistic
regression. RESULTS: TIPS were created for variceal hemorrhage (n = 55) and
ascites (n = 70). Hemodynamic success rate was 99% (124/125) and mean PSG
reduction was 13 mmHg. 30- and 90-day mortality rates were 18% (19/106) and 28%
(29/106). Baseline and final RA pressure were significantly associated with 30-
(12 vs. 15 mmHg, P = 0.021; 18 vs. 21 mmHg, P = 0.035) and 90-day (12 vs. 14
mmHg, P = 0.022; 18 vs. 20 mmHg, P = 0.024) survival on univariate analysis.
Predictive usefulness of RA pressure was not confirmed in multivariate analyses.
Area under receiver operator characteristic (AUROC) curve analysis revealed good
pre- and post-TIPS RA pressure predictive capacity for 30- (0.779, 0.810) and 90
day (0.813, 0.788) mortality among variceal hemorrhage patients at 14.5 and 21.5
mm Hg thresholds. CONCLUSION: Intra-procedural RA pressure may have predictive
value for early post-TIPS mortality. Pre-procedure consideration and optimization
of patient cardiac status may enhance candidate selection, risk stratification,
and clinical outcomes, particularly in variceal hemorrhage patients.
PMID- 24927613
TI - Hemodynamic effect of carvedilol vs. propranolol in cirrhotic patients:
Systematic review and meta-analysis.
AB - BACKGROUND: Carvedilol appears to be more effective than propranolol in the
treatment of portal hypertension in cirrhotic patients. Aim. To compare the
effects of carvedilol vs. propranolol on systemic and splanchnic haemodynamics
and to evaluate the adverse events associated with these treatments. MATERIAL AND
METHODS: We performed a systematic review following the Cochrane and PRISMA
recommendations. Randomised controlled trials comparing carvedilol versus
propranolol, in the treatment of portal hypertension in cirrhotic patients with
oesophageal varices, with or without bleeding history were included. The primary
outcome measure was the haemodynamic response to treatment. RESULTS: Four
randomised trials and 153 patients were included; 79 patients received carvedilol
(6.25-50 mg/d) and 74 patients received propranolol (10-320 mg/d). The hepatic
vein pressure gradient (HVPG) decreased more with carvedilol than with
propranolol (MD -2.21; 95% CI: -2.83 to -1.60, I(2) = 0%, P < 0.00001).
Carvedilol was superior to propranolol for reducing HVPG by >= 20% from the
baseline value or to <= 12 mmHg (OR: 2.93; 95% CI: 1.50 to 5.74, I(2) = 22%, P =
0.002). Overall adverse events did not differ between. In conclusion, there is
limited evidence suggesting that carvedilol is more effective than propranolol
for improving the haemodynamic response in cirrhotic patients with portal
hypertension. Long-term randomized controlled trials are needed to confirm this
information.
PMID- 24927614
TI - Adult human liver contains intermediate-type proteasomes with different enzymatic
properties.
AB - BACKGROUND: The 20S proteasome is the proteolytic core of the major intracellular
protein degradative system, the ubiquitin-proteasome system. Since little is
known about proteasomes of human liver, we have investigated the proteasome
spectrum in adult human liver. MATERIAL AND METHODS: 20S proteasomes were
chromatographically purified from adult human liver and from HuH7 cells. They
were divided into subpopulations and subtypes and characterized with regard to
their proteolytic activities using short fluorogenic oligo- and long poly-peptide
substrates. Their subunit composition was studied by immunoblotting. RESULTS:
Proteasomes from adult human liver tissue can be separated into three
subpopulations (I, II, III), each of which is composed of several subtypes, which
total to a spectrum of 14 different subtypes. Two minor subtypes contain only the
immuno-subunits beta1i and beta5i but not their standard counterparts; all others
are intermediate subtypes containing beta1 and beta5 standard- and beta1i and
beta5i immuno-subunits in various compositions. With regard to the proteolytic
activities we observed that a decreasing content of subunit beta1i in the
subtypes goes along with a decreasing ratio of chymotrypsin-like/caspase-like
activity, whereas the degradation rate of a 30 mer polypeptide substrate
increased with decreasing beta1i content. By comparison, 20S proteasomes from
HuH7 cells do not contain immuno-subunits but are pure standard proteasomes,
which can be separated into three subtypes. CONCLUSION: These findings suggest
that adult human liver contains a spectrum of 14 different 20S proteasome
subtypes with different enzymatic properties reflecting most probably an adaptive
response of liver cell functions to challenging factors during lifetime.
PMID- 24927615
TI - Deep sequencing analysis of microRNA expression in porcine serum-induced hepatic
fibrosis rats.
AB - AIM: Recent studies have suggested miRNA dysregulation in liver tissue mediates
the pathogenesis of various liver diseases especially liver fibrosis, but the
microRNA changes during PS-induced hepatic fibrosis are still unknown. The
purpose of this study was to screen the miRNA differences in rat liver fibrosis
model and clarify the relationship of miRNAs with the development of PS-induced
liver fibrosis. MATERIAL AND METHODS: Two fibrotic and two normal liver tissues
from 20 Sprague-Dawley rats were collected and sequenced. MiRNA profiling results
and fibrosis-related genes were validated by quantitative real-time polymerase
chain reaction (qRT-PCR) and bioinformatics was used to predict miRNA targets.
RESULTS: In total, 48 miRNAs were detected to be aberrantly expressed in fibrosis
tissue compared to normal tissue. Further functional analysis of the deregulated
miRNA targets revealed the miRNAs are involved in several biological functions
and pathways. In addition, the expression level of miR-27a and miR-146b and
fibrosis-related genes were significantly up-regulated by using qRT-PCR in
fibrotic liver tissues when compared to the normal liver tissues. CONCLUSION: PS
induced hepatic fibrosis results in up-regulation of the miR-27a and miR-146b in
liver tissues, suggestingmiR-27a and miR-146b would be associated with the
development of PS-induced liver fibrosis and be potential therapeutic targets
during hepatic fibrosis.
PMID- 24927616
TI - Hepatocellular adenoma: different entities for a single lesion.
PMID- 24927617
TI - Rhabdomyolysis in a hepatitis C virus infected patient treated with telaprevir
and simvastatin.
AB - A 46-year old man with a chronic hepatitis C virus infection received triple
therapy with ribavirin, pegylated interferon and telaprevir. The patient also
received simvastatin. One month after starting the antiviral therapy, the patient
was admitted to the hospital because he developed rhabdomyolysis. At admission
simvastatin and all antiviral drugs were discontinued because toxicity due to a
drug-drug interaction was suspected. The creatine kinase peaked at 62,246 IU/L
and the patient was treated with intravenous normal saline. The patient's renal
function remained unaffected. Fourteen days after hospitalization, creatine
kinase level had returned to 230 IU/L and the patient was discharged. Telaprevir
was considered the probable causative agent of an interaction with simvastatin
according to the Drug Interaction Probability Scale. The interaction is due to
inhibition of CYP3A4-mediated simvastatin clearance. Simvastatin plasma
concentration increased 30 times in this patient and statin induced muscle
toxicity is related to the concentration of the statin in blood. In conclusion,
with this case we illustrate that telaprevir as well as statins are susceptible
to clinical relevant drug-drug interactions.
PMID- 24927618
TI - Persistent hepatic encephalopathy secondary to portosystemic shunt occluded with
Amplatzer device.
AB - Hepatic encephalopathy is a frequent complication of cirrhosis, when this event
becomes persistent, treatment compliance should be verified and any precipitating
factor need to be identified. Also the presence of portosystemic shunts, which
are a rare cause of decompensation or persistence hepatic encephalopathy need to
be ruled out. In this paper we report the case of a 57 year old man with
persistent hepatic encephalopathy secondary to the presence of a porto-onfalo
femoral shunt successfully closed with the placement of an Amplatzer device.
PMID- 24927619
TI - Hepatitis B reactivation in patients with multiple myeloma and isolated positive
hepatitis B core antibody: a call for greater cognizance.
AB - Hepatitis B virus (HBV) reactivation is a well-established complication of severe
immunosuppression in patients with hematologic malignancy and positive hepatitis
B surface antigen (HBsAg). Patients who receive high-dose chemotherapy,
corticosteroids, rituximab, or have a bone marrow transplant are particularly at
increased risk for HBV reactivation. However, limited information is available in
the literature regarding HBV reactivation in patients with isolated anti-HBc,
particularly in the setting of multiple myeloma (MM). We report two cases of HBV
reactivation in MM patients with isolated anti-HBc positive with a rather
atypical presentation. In conclusion, our cases highlight that clinicians need to
be cognizant about this potentially fatal but preventable complication of
chemotherapy and immunosuppression.
PMID- 24927620
TI - Severe aplastic anemia-paroxysmal nocturnal hemoglobinuria syndrome in a patient
with non-A-E hepatitis virus infection.
PMID- 24927621
TI - Hepatopulmonary syndrome: Is it time to redefine the MELD exception score for
better organ allocation and outcomes?
PMID- 24927623
TI - Ionization of acids on the quasi-liquid layer of ice.
AB - The ice quasi-liquid layer (QLL) forms on ice surfaces below the bulk ice melting
temperature. It is abundant in the atmosphere, and its importance for atmospheric
chemistry is recognized. In the present work, we have studied the microscopic
mechanisms of acid ionization on the QLL using ab initio molecular dynamics. The
model system QLL is established by nanosecond time scale simulations with
empirical force fields, while the reactivity of the QLL is studied using ab
initio molecular dynamics. Our ab initio simulations reveal that QLL is reactive,
exhibiting stable crystalline point defects, which contribute to efficient acid
solvation, ionization, and proton transfer. We study in detail deuterated
hydrogen iodide (DI) and nitric acid (DNO3). Ionization in both cases benefits
from the abundance of weakly bonded hydrogen-bond single-acceptor double-donor
water molecular species available on the QLL in high relative concentration.
Picosecond time scale ionization is demonstrated for both molecular species. Our
results suggest efficient reactivity of acid ionization and proton transfer at
temperature ranges appropriate for the upper troposphere and lower stratosphere.
PMID- 24927622
TI - Estimating the optimal threshold for a diagnostic biomarker in case of complex
biomarker distributions.
AB - BACKGROUND: Estimating the optimal threshold (and especially the confidence
interval) of a quantitative biomarker to be used as a diagnostic test is
essential for medical decision-making. This is often done with simple methods
that are not always reliable. More advanced methods work well but only for
biomarkers with very simple distributions. In fact, biomarker distributions are
often complex because of a natural heterogeneity in marker expression and other
heterogeneities due to various disease stages, laboratory equipments, etc.
Methods are required to estimate a biomarker optimal threshold in case of
heterogeneity and complex distributions. METHODS: A previously described Bayesian
method developed for normally distributed biomarkers is applied to two flexible
distributions; namely, a Student-t and a mixture of Dirichlet processes. Here,
numerical studies assess the adequacy of the previous method with both
distributions. Two applications are presented: the diagnosis of treatment failure
after prostate cancer treated by ultrasound and the early diagnosis of cancers of
the upper aerodigestive tract. RESULTS: Bayesian inference provided reliable
credible intervals in terms of bias and coverage probability. The two
distributions analysed gave meaningful clinical interpretations in both
applications. CONCLUSIONS: Reliable methods can be used to estimate a biomarker
optimal threshold, even in case of complex distributions.
PMID- 24927624
TI - Outcome of proximal femoral fractures caused by cycling in the young and mid
aged.
AB - BACKGROUND: Cycling is gaining more popularity both as a sport, on and off-road
and also as a means of commute. Cycling accidents harbour significant injury
risks including fractures. Proximal femoral fractures are uncommonly associated
with cycling in the young adult population. The purpose of this study is to
describe this unique pattern of injury as well as the outcome of proximal femoral
fractures caused by cycling in the young to mid age population. METHODS: STUDY
DESIGN: retrospective cohort study. 23 fractures in 22 patients were available
for analysis. 11 were femoral neck fractures, with six displaced ones, and the
rest trochanteric fractures. 21 patients were male patients, and the average age
was 42 (range 27-60). All patients but two were operated within 24 h from
admission. Radiographic analysis included reduction quality, fracture
classification and arthritic changes. Clinical outcome was measured using SF-12
and Oxford hip scores. RESULTS: All fractures healed. Two patients with displaced
femoral neck fractures developed avascular necrosis requiring arthroplasty. One
patient developed an AVN 2 years after a stable trochanteric fracture but did not
require an arthroplasty as of yet. Eight patients required hardware removal due
to symptoms. 77% of patients had resumed cycling at the pre-injury level. Mean SF
12 score was 47.1 +/- 11.7 for the physical component and 53.7 +/- 6.3 for the
mental component. Mean oxford hip score as was 40.1 +/- 12.2. Radiographic
analysis revealed good to acceptable reduction quality. Fracture type, age,
cycling type and reduction were not significantly associated with outcome.
CONCLUSION: Proximal femoral fractures caused by cycling in young to mid-aged
adults are an emerging pattern of injury. Overall favourable result can be
expected in the majority of cases, with displaced femoral neck fractures having a
risk for AVN. Further delineation of the exact cause for this phenomenon is
required with possible intervention for injury prevention.
PMID- 24927625
TI - A new technique for stabilization of injuries at C2-C3 in young children.
AB - BACKGROUND: Reported surgical treatment for injuries and instabilities of the
paediatric cervical spine most commonly involves posterior fusion with internal
fixation, usually posterior wiring. PURPOSE: To present a new simple technique of
stabilization without fusion of the upper cervical spine of young children. STUDY
DESIGN: A technical report. METHODS: Detailed description of the surgical
procedure carried out for stabilizing an unstable flexion-distraction injury in a
23 month-old toddler, with severe head injury and pneumothorax is presented.
RESULTS: A rare unstable flexion-distraction injury in the upper cervical spine
of a toddler was successfully treated with a posterior Number 2 Vicryl
(polyglactin 910) suture fixation, with good healing of the ligaments and
endplates, without fusion. Preservation of motion was achieved without obvious
instability at 63 months post-surgery. CONCLUSIONS: In selected cases of cervical
spine injuries in the young paediatric population, a limited approach to the
injured spinal segments and simple stabilization using suitable degradable
sutures, can provide sufficient stability until healing occurs. ADVANTAGES:
fusion is avoided, growth disturbances are prevented and spinal motion
maintained.
PMID- 24927626
TI - Trade as a structural driver of dietary risk factors for noncommunicable diseases
in the Pacific: an analysis of household income and expenditure survey data.
AB - BACKGROUND: Noncommunicable diseases are a health and development challenge.
Pacific Island countries are heavily affected by NCDs, with diabetes and obesity
rates among the highest in the world. Trade is one of multiple structural drivers
of NCDs in the Pacific, but country-level data linking trade, diets and NCD risk
factors are scarce. We attempted to illustrate these links in five countries. The
study had three objectives: generate cross-country profiles of food consumption
and expenditure patterns; highlight the main 'unhealthy' food imports in each
country to inform targeted policymaking; and demonstrate the potential of HCES
data to analyze links between trade, diets and NCD risk factors, such as obesity.
METHODS: We used two types of data: obesity rates as reported by WHO and
aggregated household-level food expenditure and consumption from Household Income
and Expenditure Survey reports. We classified foods in HIES data into four
categories: imported/local, 'unhealthy'/'healthy', nontraditional/traditional,
processed/unprocessed. We generated cross-country profiles and cross-country
regressions to examine the relationships between imported foods and unhealthy
foods, and between imported foods and obesity. RESULTS: Expenditure on imported
foods was considerable in all countries but varied across countries, with highest
values in Kiribati (53%) and Tonga (52%) and lowest values in Solomon Islands and
Vanuatu (30%). Rice and sugar accounted for significant amounts of imported foods
in terms of expenditure and calories, ranking among the top 3 foods in most
countries. We found significant or near-significant associations in expenditure
and caloric intake between 'unhealthy' and imported foods as well as between
imported foods and obesity, though inferences based on these associations should
be made carefully due to data constraints. CONCLUSIONS: While additional research
is needed, this study supports previous findings on trade as a structural driver
of NCD risk and identifies the top imported foods that could serve as policy
targets. Moreover, this analysis is proof-of-concept that the methodology is a
cost-effective way for countries to use existing data to generate policy-relevant
evidence on links between trade and NCDs. We believe that the methodology is
replicable to other countries globally. A user-friendly Excel tool is available
upon request to assist such analyses.
PMID- 24927627
TI - Ultrastructure of the lung in a murine model of malaria-associated acute lung
injury/acute respiratory distress syndrome.
AB - BACKGROUND: The mechanisms through which infection with Plasmodium spp. result in
lung disease are largely unknown. Recently a number of mouse models have been
developed to research malaria-associated lung injury but no detailed
ultrastructure studies of the disease in its terminal stages in a murine model
have yet been published. The goal was to perform an ultrastructural analysis of
the lungs of mice that died with malaria-associated acute lung injury/acute
respiratory distress syndrome to better determine the relevancy of the murine
models and investigate the mechanism of disease. METHODS: DBA/2 mice were
infected with Plasmodium berghei strain ANKA. Mice had their lungs removed
immediately after death, processed using standard methods and viewed by
transmission electron microscopy (TEM). RESULTS: Infected red blood
cell:endothelium contact, swollen endothelium with distended cytoplasmic
extensions and thickening of endothelium basement membrane were observed. Septa
were thick and filled with congested capillaries and leukocytes and the alveolar
spaces contained blood cells, oedema and cell debris. CONCLUSION: Results show
that the lung ultrastructure of P. berghei ANKA-infected mice has similar
features to what has been described in post-mortem TEM studies of lungs from
individuals infected with Plasmodium falciparum. These data support the use of
murine models to study malaria-associated acute lung injury.
PMID- 24927628
TI - Psychosocial work environment, job mobility and gender differences in turnover
behaviour: a prospective study among the Swedish general population.
AB - BACKGROUND: Throughout the literature, substantial evidence supports associations
between poor psychosocial work characteristics and a variety of ill-health
outcomes. Yet, few reports strategies workers carry out to improve detrimental
work conditions and consequently their health, such as changing jobs. The aim of
this study was to examine if adverse psychosocial work exposure, as measured with
the job demand-control and effort-reward imbalance models, could predict job
mobility over a 5 years observation period. METHOD: Participants were working men
and women (n = 940; 54.3% women), aged 24-60 years from the population of
Gothenburg and surrounding metropolitan area. Job demand-control and effort
reward variables were compared with independent t-tests and chi2-test in persons
with and without job mobility. Multivariate logistic regression was used to
analyse whether psychosocial factors could predict job mobility. All regression
analyses were stratified by gender. RESULTS: Exposure to a combination of high
demands-low control or high imbalance between effort and reward was related to
increased odds of changing jobs (OR 1.63; CI 1.03-2.59 and OR 1.46; CI 1.13-1.89
respectively). When analysing men and women separately, men had a higher OR of
changing jobs when exposed to either high demands-low control (OR 2.72; CI 1.24
5.98) or high effort-reward imbalance (OR 1.74; CI 1.11-2.72) compared to
reference values. The only significant associations for women was slightly
decreased odds for turnover in high reward jobs (OR 0.96; CI 0.92-0.99).
CONCLUSIONS: The results indicate that workers will seek to improve poor work
environment by changing jobs. There were notable gender differences, where men
tended to engage in job mobility when exposed to adverse psychosocial factors,
while women did not. The lack of measures for mechanisms driving job mobility was
a limitation of this study, thus preventing conclusions regarding psychosocial
factors as the primary source for job mobility.
PMID- 24927629
TI - Potato chips and childhood: what does the science say? An unrecognized threat?
AB - With recent rapid progress in technology and advancing lifestyle associated with
modernization, individuals are consuming increasing quantities of unhealthy food,
a major risk factor for the onset of a variety of diseases. In particular, fried
potato chips (FPCs), are the most commonly consumed snack, especially by
children. However, research in the field of nutrition demonstrated that FPCs
encompass significant quantities of acrylamide, a known carcinogen and
neurotoxin. Thus, frequent intake of FPC, especially at younger age, might
generate cumulative amounts of acrylamide in the body, thereby silently
increasing the risk for various diseases. Although intake of a balanced diet can
prevent this scenario, further measures should be set to overcome the oxidative
damage from fried food. This review outlines existing scientific evidence
suggesting an urgent need for systematic study regarding the health effects of
consumption of FPC and French fries in the general population.
PMID- 24927630
TI - Refractory hypoglycemia and subsequent cardiogenic shock in starvation and
refeeding: report of three cases.
AB - OBJECTIVE: Although starvation is associated with high in-hospital mortality, its
related cardiac complications are not sufficiently understood. The aim of this
study was to determine the clinical course and pathogenesis of cardiac
complications in malnourished patients. METHODS: We reviewed three cases of
hypoglycemia and hypotriglyceridemia with cardiac complications in starvation.
RESULTS: This report concerns three patients, respectively suffering from
anorexia nervosa, esophageal carcinoma, and Parkinson's disease. Their ages
ranged from 18 to 70 y, body mass index was 11.5 +/- 1.5 kg/m2 (mean +/- SD), and
the main symptom was coma. The average blood glucose level was 15.7 +/- 7.8 mg/dL
without any history of insulin use or diabetes mellitus. In all cases,
hypoglycemia was refractory and repetitive so that continuous glucose
administration was required to maintain euglycemia. Serum triglyceride and non
esterified fatty acid levels were also very low (7 +/- 4 mg/dL and 10 +/- 9.1
MUEq/L, respectively). Levels of serum potassium, phosphate, and magnesium were
almost normal at admission. The main cardiac complications included Takotsubo
cardiomyopathy and cardiac arrest. All patients survived as a result of intensive
treatment. CONCLUSIONS: Repetitive severe hypoglycemia without known background
causes should be viewed as an important sign. Once this occurs, the
administration of a much higher caloric input than usual accompanied by intensive
monitoring will be required to maintain appropriate glucose levels. The early
identification of such patients seems to be essential to reduce the high risk for
cardiac complications during starvation and refeeding.
PMID- 24927632
TI - [Severe lactic acidosis revealing hematologic malignancy].
AB - A 75-year-old woman is hospitalised for sepsis. The diagnosis of cholecystitis is
made and an antibiotic therapy is debuted. The clinical worsening leads to
realise an urgent cholecystectomy. A sepsis like shock persisted. The
antibiotherapy was changed and a second abdominal look made. A severe lactic
acidosis persisted since the cholecystectomy despite a continuous hemofiltration.
The diagnosis of type B lactic acidosis secondary to malignancy was suspected. An
osteomedullar biopsy revelled B-cell lymphoma EBV induced.
PMID- 24927631
TI - Mechanisms of acquired resistance to androgen receptor targeting drugs in
castration-resistant prostate cancer.
AB - After initial response to androgen receptor (AR) targeting drugs abiraterone or
enzalutamide, most patients develop progressive disease and therefore, castration
resistant prostate cancer remains a terminal disease. Multiple mechanisms
underlying acquired resistance have been postulated. Intratumoral androgen
synthesis may resume after abiraterone treatment. A point mutation in the ligand
binding domain of AR may confer resistance to enzalutamide. Emergence of AR
splice variants lacking the ligand-binding domain may mediate resistance to
abiraterone and enzalutamide. Steroid receptors such as glucocorticoid receptor
may substitute for AR. Drugs with novel mechanisms of action or combination
therapy, along with biomarkers for patient selection, may be needed to improve
the therapy of castration resistant prostate cancer.
PMID- 24927633
TI - Upregulation of heme oxygenase-1 in colorectal cancer patients with increased
circulation carbon monoxide levels, potentially affects chemotherapeutic
sensitivity.
AB - BACKGROUND: Heme oxygenase-1 (HO-1) and its major product carbon monoxide (CO)
are known to be involved in the development and progression of many tumors. The
present study was to elucidate the expression and function of HO-1 in colorectal
cancer (CRC), specially focusing on the circulation CO levels in CRC patients and
the possible roles of HO-1 in chemoresistance of colon cancer cells. METHODS: One
hundred and eighteen patients received resection for colorectal cancer and polyps
at China Medical University Sheng Jing Hospital, were collected in this study. HO
1 expression in CRC tissues was analyzed by immnuohistochemical staining;
circulation CO levels as carboxyhemoglobin (COHb) in CRC patients were analyzed
by an ABL800 FLEX blood gas analyzer. HO-1 expression in murine colon cells C26
and human colon cancer cells HT29 and DLD1 under HO-1 inducer hemin and
anticancer drug pirarubicin (THP) treatment was examined by RT-PCR, and the cell
viability after each treatment was investigated by MTT assay. Data were analyzed
by student's t-test or one-way ANOVA followed by Bonferroni t-test or Fisher's
exact test. RESULTS: HO-1 expression in tumor tissues of CRC (61.0%) was
significantly higher than in normal colorectal tissues and polyps tissues (29.7%,
P < 0.01); well-differentiated CRC seemed to express more HO-1 (81.5%) than
moderately/poorly-differentiated cancers (59.5%, P < 0.05). However, the nuclear
HO-1 expression is apparently higher in moderately/poorly differentiated CRC than
well-differentiated CRC probably suggesting a new mechanism of function involved
in HO-1 in cancer. In parallel with HO-1 expression, circulation CO levels in CRC
patients also significantly accelerated. Moreover, HO-1 expression/induction also
related to the chemosensitivity of colon cells; HO inhibitor zinc protoporphyrin
significantly increased cytotoxicities of THP (i.e., 2.6 - 5.3 folds compared to
cells without zinc protoporphyrin treatment). CONCLUSIONS: These findings
strongly suggested HO-1/COHb is a useful diagnostic and prognostic indicator for
CRC, and inhibition of HO-1 may be a option to enhance the chemotherapeutic
effects of conventional anticancer drugs toward CRC.
PMID- 24927634
TI - Breastfeeding and sucking habits in children enrolled in a mother-child health
program.
AB - BACKGROUND: Early weaning can cause changes in posture and strength of the
phonoarticulatory organs, favoring the installation of undesirable oral habits.
The objective of the research was to evaluate the relationship between the
practice of exclusive breastfeeding and its influence on the development of
deleterious oral habits in children. This was a cross sectional observational
study with 252 children of both sexes, between 30 and 48 months of age, attending
a program of dental care for mothers and newborns. As an instrument of data
collection was a questionnaire semistructured mothers of children with questions
about the form and duration of breastfeeding and non-nutritive oral habits in
children. RESULTS: In this sample, 48.4% of the children were exclusively
breastfed for six months; 20.2% exhibited sucking habits involving the use of a
pacifier, which was more frequent among the girls. As factors associated with the
decreasing of the occurrence of non-nutritive sucking habits, are a longer
exclusive breastfeeding, predominant breastfeeding and breastfeeding. Children
who were breastfed for six months until twelve months in an exclusive way
decreased by 69.0% chances of coming to have non-nutritive sucking habits when
compared with those who were breastfed up to one month. CONCLUSION: The longer
the duration of breastfeeding, that is, exclusive, predominant or breastfeeding,
the lower are the chances of children develop non-nutritive sucking habits.
PMID- 24927636
TI - Prevalence, determinants, and management of chronic kidney disease in Karachi,
Pakistan - a community based cross-sectional study.
AB - BACKGROUND: Chronic kidney disease (CKD) is increasing being recognized as a
global public health problem. However, there is dearth of information on the
prevalence, determinants, and management of CKD from low- and middle-income
countries. The objectives of the study were to determine the 1) prevalence of
CKD; 2) socio-demographic and clinical factors associated with CKD; and 3) the
existing management of these patients with regards to blood pressure control, and
use of antihypertensive medications. METHODS: We conducted a cross-sectional
study on 2873 participants aged >= 40 years in 12 representative communities in
Karachi, Pakistan. The primary outcome was clinically significant CKD defined as
estimated glomerular filtration rate (eGFR) <60 mL/min/1.73 m2 estimated by CKD
EPI (CKD Epidemiology Collaboration) Pakistan equation (0.686 * CKD-EPI1.059) or
urinary albumin to creatinine ratio >= 3 mg/mmol (i.e. KDOQI CKD stage G3, A2 or
worse). RESULTS: The overall prevalence (95% CI) of CKD was 12.5% (11.4 - 13.8%).
The factors independently associated with CKD were older age, hypertension,
diabetes, elevated systolic blood pressure, raised fasting plasma glucose, raised
triglycerides, and history of stroke (p<0.05 for each). About 267 (74.4%, 69.5 -
78.8%) adults with CKD had concomitant hypertension. Of these, 130 (48.7%, 42.6 -
54.9%) were on antihypertensive medications, and less than 20% had their BP
controlled to conventional target of <= 140/90 mm Hg, and only 16.9% (12.6 -
21.9%) were on blockers of renin-angiotensin system alone or in combination with
other drugs. CONCLUSIONS: Clinically significant CKD is common among Pakistani
adults. The conventional risk factors for CKD and poor control of blood pressure
among patients with CKD highlight the need to integrate CKD prevention and
management in the primary care infrastructure in Pakistan, and possibly
neighbouring countries.
PMID- 24927635
TI - Pathognomonic oral profile of Enamel Renal Syndrome (ERS) caused by recessive
FAM20A mutations.
AB - Amelogenesis imperfecta (AI) is a genetically and clinically heterogeneous group
of inherited dental enamel defects. Commonly described as an isolated trait, it
may be observed concomitantly with other orodental and/or systemic features such
as nephrocalcinosis in Enamel Renal Syndrome (ERS, MIM#204690), or gingival
hyperplasia in Amelogenesis Imperfecta and Gingival Fibromatosis Syndrome (AIGFS,
MIM#614253). Patients affected by ERS/AIGFS present a distinctive orodental
phenotype consisting of generalized hypoplastic AI affecting both the primary and
permanent dentition, delayed tooth eruption, pulp stones, hyperplastic dental
follicles, and gingival hyperplasia with variable severity and calcified nodules.
Renal exam reveals a nephrocalcinosis which is asymptomatic in children affected
by ERS. FAM20A recessive mutations are responsible for both syndromes. We suggest
that AIGFS and ERS are in fact descriptions of the same syndrome, but that the
kidney phenotype has not always been investigated fully in AIGFS. The aim of this
review is to highlight the distinctive and specific orodental features of
patients with recessive mutations in FAM20A. We propose ERS to be the preferred
term for all the phenotypes arising from recessive FAM20A mutations. A
differential diagnosis has to be made with other forms of AI, isolated or
syndromic, where only a subset of the clinical signs may be shared. When ERS is
suspected, the patient should be assessed by a dentist, nephrologist and clinical
geneticist. Confirmed cases require long-term follow-up. Management of the
orodental aspects can be extremely challenging and requires the input of multi
disciplinary specialized dental team, especially when there are multiple
unerupted teeth.
PMID- 24927637
TI - Methotrexate-associated lymphoproliferative disorders of the tongue developing in
patients with rheumatoid arthritis: a report of 2 cases and a review.
AB - Incidences of lymphoproliferative disorders (LPDs) in patients with compromised
immune systems associated with immunosuppressants such as methotrexate (MTX)
administered for the treatment of rheumatoid arthritis (RA) are reportedly
increasing. Although extranodal lesions develop in half of the patients with MTX
associated LPDs, only a few studies have reported on intraoral lesions. We
evaluated 2 elderly women with MTX-associated LPDs who had received MTX for the
treatment of RA and presented with atypical ulceration of the tongue. Biopsy
specimens demonstrated polymorphous B-cell LPD, probably associated with MTX.
Epstein-Barr virus (EBV) was identified by immunohistochemistry for latent
membrane protein 1 and by EBV-encoded RNA in situ hybridization. After MTX
withdrawal, in both cases, ulcers showed complete regression at 8 weeks, and no
subsequent treatment was required. Close monitoring of LPDs is mandatory, because
recurrence within 10 months has been reported in half of the patients in whom
LPDs had initially regressed.
PMID- 24927638
TI - Glucose and glutamine metabolism in oral squamous cell carcinoma: insight from a
quantitative metabolomic approach.
AB - OBJECTIVE: To characterize the metabolic system of oral squamous cell carcinoma
(OSCC) by metabolome analysis. STUDY DESIGN: The metabolome profiles, including
the Embden-Meyerhof-Parnas pathway (EMPP), the pentose phosphate pathway, the
tricarboxylic acid cycle (TCAC), and amino acids, were obtained from OSCC and its
surrounding normal tissues (32 patients) using capillary electrophoresis and a
time-of-flight mass spectrometer. RESULTS: Enhancement of glucose consumption and
lactate production (Warburg effect) was observed in OSCC tissues. The decrease of
glucose along with the decrease of the downstream intermediates in the EMPP
suggests that incorporated glucose is mainly consumed for biosynthesis. Glutamine
consumption with the increase of the intermediates in the last half of the TCAC
suggests the involvement of glutaminolysis, in which glutamine is converted to
lactate via the last half of the TCAC. CONCLUSIONS: It is suggested that OSCC
tissues show the Warburg effect, which stems from the combined enhancement of
glucose consumption and glutaminolysis.
PMID- 24927639
TI - Estimation of health-related utility (EQ-5D index) in subjects with seasonal
allergic rhinoconjunctivitis to evaluate health gain associated with sublingual
grass allergen immunotherapy.
AB - BACKGROUND: Grass allergen immunotherapy (AIT) reduces symptom severity in
seasonal allergic rhinoconjunctivitis (ARC) but its impact on general health
related utility has not been characterised for the purposes of economic
evaluation. The aim of this study was to model the preferred measure of utility,
EQ-5D index, from symptom severity and estimate incremental quality adjusted life
years (QALYs) associated with SQ-standardised grass immunotherapy tablet
(GRAZAX(r), 75,000 SQ-T/2,800 BAU, ALK, Denmark). METHODS: Data were analysed
from five consecutive pollen seasons in a randomised placebo controlled trial of
GRAZAX(r). Binomial and Gaussian mixed effects modelling related weekly EQ-5D
index score to daily symptom and medication scores (DSS & DMS respectively). In
turn, daily EQ-5D index was estimated from ARC symptoms and medication use.
RESULTS: DSS and DMS were the principal predictors of 'perfect' health (EQ-5D =
1.000; binomial) and 'imperfect' health (EQ-5D < 1.000; Gaussian). Each unit
increase in DSS and DMS reduced the odds of 'perfect' health (EQ-5D = 1.000) by
27% and 16% respectively, and reduced 'imperfect' health by 0.17 and 0.13,
respectively. Gender remained the only other significant main fixed effect (Male
odds ratio [OR] = 1.82). Incremental estimated EQ-5D index utility for GRAZAX(r)
was observed from day -30 to day +70 of the pooled pollen season; mean daily
utility for GRAZAX(r) = 0.938 units (95%CI 0.932-0.943) vs. 0.914 (0.907-0.921)
for placebo, an incremental difference of 0.0238 (p < 0.001). This translates
into an incremental 0.0324 Quality Adjusted Life Years over the five year study
period. CONCLUSIONS: ARC symptoms and medication use are the main predictors of
EQ-5D index. The incremental QALYs observed for GRAZAX(r) may not fully describe
the health benefits of this treatment, suggesting that economic modelling may be
conservative.
PMID- 24927640
TI - Validation of the Greek OSD-6 quality of life questionnaire in children
undergoing polysomnography.
AB - OBJECTIVE: OSD-6 is a disease specific questionnaire for pediatric obstructive
sleep apnea (OSA). The aims of this study were to validate OSD-6 in Greek
language and correlate OSD-6 with polysomnography results. STUDY DESIGN:
Prospective study. SETTING: Tertiary referral center. SUBJECTS AND METHODS: OSD-6
questionnaire was translated to Greek and back to English. A prospective study
was conducted on children undergoing overnight polysomnography due to snoring and
disrupted sleep. Test-retest evaluation was carried out. Internal consistency and
test-retest reliability were evaluated. Validity was assessed by exploring
correlations between OSD-6 scores and apnea-hypopnea index (AHI), and by
comparing total scores of OSA and non-OSA groups. Responsiveness was assessed by
comparing preoperative to postoperative total scores in OSA children who
underwent adenotonsillectomy. RESULTS: Test-retest evaluation of 91 subjects
showed good internal consistency (Cronbach's alpha 0.860 for test and 0.873 for
retest) and reliability (Pearson's correlation coefficients between test and
retest scores: 0.751-0.546; p<0.01). Total and domains' OSD-6 scores and AHI were
significantly correlated (Spearman's correlation coefficients: 0.277-0.630;
p<0.01), while children with OSA had higher total OSD-6 score than those without
OSA (median (interquartile range): 16 (11) vs. 10 (7), respectively; p<0.01),
indicating good validity. Postoperative OSD-6 scores were significantly lower
than preoperative (2.84 +/- 3.21 vs. 15.42 +/- 6.48, respectively; p<0.001),
suggesting good responsiveness. CONCLUSION: The Greek version of the OSD-6
questionnaire proved to be a valid instrument with satisfactory internal
consistency, reliability, validity and responsiveness. Furthermore, in our study
OSD-6 was significantly correlated to polysomnography results.
PMID- 24927641
TI - Understanding drug resistance in malaria parasites: basic science for public
health.
AB - The worlds of basic scientists and those involved in treating patients and making
public health decisions do not always intersect. Yet, assuring that when patients
are treated, they are efficiently and completely cured, and that public health
decisions are based on solid evidence requires a broad foundation of up to date
basic research. Research on the malaria parasite, Plasmodium falciparum provides
a useful illustration of the role that basic scientific studies have played in
the very long relationship between humans and this deadly parasite. Drugs have
always been a principal tool in malaria treatment. The ongoing struggle between
evolution of resistance to antimalarials by the parasite and public health
responses is used here as an illustration of the key contributions of basic
scientists to this long history.
PMID- 24927642
TI - Self-processing of a barley subtilase expressed in E. coli.
AB - The barley protease BAJ93208 belongs to the subtilase family of serine proteases.
We have expressed BAJ93208 in the cytoplasm of the Escherichiacoli strain SHuffle
C3030 using a rhamnose-inducible promoter. The expression construct included a
(His)6-tag at the N-terminus and a strep-tag at the C-terminus. Western blot
analysis revealed that the protein was processed at the N- and C-terminus. To
exclude that this processing was due to contaminating E. coli proteases, a
mutated BAJ93208 protease was constructed. This inactive mutant was not
processed, demonstrating that the processing was an autocatalytic process. To
define the exact cleavage sites mass spectrometry was used which detected four
differently processed versions of the protease. At the N-terminus, the self
processing removed the internal inhibitor and an additional 19 amino acids. At
the C-terminus there was a cleavage site after Ala(765) which also removed the
strep-tag. This explained the inability to detect the purified (His)6-BAJ93208
strep protease with an anti-strep-tag antibody. Finally, an additional alanine
was removed either at the N-terminus (Ala(119)) or at the C-terminus (Ala(764)).
PMID- 24927643
TI - Biophysical characterization of the outer membrane polysaccharide export protein
and the polysaccharide co-polymerase protein from Xanthomonas campestris.
AB - This study investigated the structural and biophysical characteristics of GumB
and GumC, two Xanthomonas campestris membrane proteins that are involved in
xanthan biosynthesis. Xanthan is an exopolysaccharide that is thought to be a
virulence factor that contributes to bacterial in planta growth. It also is one
of the most important industrial biopolymers. The first steps of xanthan
biosynthesis are well understood, but the polymerization and export mechanisms
remain unclear. For this reason, the key proteins must be characterized to better
understand these processes. Here we characterized, by biochemical and biophysical
techniques, GumB, the outer membrane polysaccharide export protein, and GumC, the
polysaccharide co-polymerase protein of the xanthan biosynthesis system. Our
results suggested that recombinant GumB is a tetrameric protein in solution. On
the other hand, we observed that both native and recombinant GumC present
oligomeric conformation consistent with dimers and higher-order oligomers. The
transmembrane segments of GumC are required for GumC expression and/or stability.
These initial results provide a starting point for additional studies that will
clarify the roles of GumB and GumC in the xanthan polymerization and export
processes and further elucidate their functions and mechanisms of action.
PMID- 24927644
TI - Gross and microscopic pathology of hard and soft corals in New Caledonia.
AB - We surveyed the reefs of Grande Terre, New Caledonia, for coral diseases in 2010
and 2013. Lesions encountered in hard and soft corals were systematically
described at the gross and microscopic level. We sampled paired and normal
tissues from 101 and 65 colonies in 2010 and 2013, respectively, comprising 51
species of corals from 27 genera. Tissue loss was the most common gross lesion
sampled (40%) followed by discoloration (28%), growth anomalies (13%), bleaching
(10%), and flatworm infestation (1%). When grouped by gross lesions, the
diversity of microscopic lesions as measured by Shannon-Wiener index was highest
for tissue loss, followed by discoloration, bleaching, and growth anomaly. Our
findings document an extension of the range of certain diseases such as Porites
trematodiasis and endolithic hypermycosis (dark spots) to the Western Pacific as
well as the presence of a putative cnidarian endosymbiont. We also expand the
range of species infected by cell-associated microbial aggregates, and confirm
the trend that these aggregates predominate in dominant genera of corals in the
Indo-Pacific. This study highlights the importance of including histopathology as
an integral component of baseline coral disease surveys, because a given gross
lesion might be associated with multiple potential causative agents.
PMID- 24927645
TI - High prevalence of toxin producing enteropathogenic Vibrios among estuarine crab
in Ganges delta of West Bengal, India.
PMID- 24927646
TI - Beneficial effects of phlorizin on diabetic nephropathy in diabetic db/db mice.
AB - AIMS: This study observes the effects of phlorizin on diabetic nephrology in
db/db diabetic mice and explores possible underlying mechanisms. METHODS: Sixteen
diabetic db/db mice and eight age-matched db/m mice were divided into three
groups: vehicle-treated diabetic group (DM group), diabetic group treated with
phlorizin (DMT group) and normal control group (CC group). Phlorizin was given in
normal saline solution by intragastric administration for 10 weeks.
Differentially expressed proteins in three groups were identified using iTRAQ
quantitative proteomics and the data were further analyzed with ingenuity pathway
analysis. RESULTS: The body weight and serum concentrations of fasting blood
glucose (FBG), advanced glycation end products (AGEs), total cholesterol,
triglycerides, blood urea nitrogen, creatinine and 24-h urine albumin were
increased in the DM group compared to those of the CC group (P<0.05), and they
were decreased by treatment with phlorizin (P<0.05). Morphologic observations
showed phlorizin markedly attenuated renal injury. Phlorizin prevented diabetic
nephropathy by regulating the expression of a series of proteins involved in
renal and urological disease, molecular transport, free radical scavenging, and
lipid metabolism. CONCLUSIONS: Phlorizin protects mice from diabetic nephrology
and thus may be a novel therapeutic approach for the treatment of diabetic
nephrology.
PMID- 24927648
TI - Establishment of hormone reference intervals for infants born < 30 weeks'
gestation.
AB - OBJECTIVE: Preterm infants, especially those born very preterm (<32 weeks'
gestation), suffer a number of morbidities. Immaturity of the endocrine system
and its potential impact on morbidity is the subject of numerous studies. Hormone
concentrations are sometimes measured in very preterm infants, however there are
little normative data available to be able to interpret the results. The aim of
this study was to describe age appropriate hormone reference intervals for babies
born less than 30 weeks' gestation. STUDY DESIGN: Samples were collected at 1, 4,
7, 14, 21, 28 and 42 days after birth from babies born 23-29 weeks' gestation.
The serum was analyzed for seven hormones by automated chemiluminescent
immunoassay (Siemens Immulite 2000). Results from the 107 infants who survived
beyond 40 weeks' corrected gestational age were included in the data analysis.
RESULTS: Cortisol, dehydroepiandrosterone sulfate, growth hormone and
progesterone levels were highest during the first seven days with levels up to
10,801nmol/L; 26.6MUmol/L; 343mU/L; and >63.6nmol/L respectively. Free thyroxine
levels were as low as <2.6pmol/L for the first 28 days with the nadir at 7days.
Estradiol levels ranged from <73 to 1626pmol/L over the six weeks. Reference
intervals for IGF-1 could not be established as the levels were below the
analyzer's sensitivity. There were no differences in reference intervals between
male and female infants. CONCLUSIONS: We describe gestation appropriate reference
intervals for six hormones measured in babies born <30 weeks' gestation.
Utilization of these reference intervals permits the correct and timely
interpretation of results to the clinician.
PMID- 24927649
TI - The use of biomechanics across sports science and sports medicine.
PMID- 24927647
TI - Serum phospholipid omega-3 polyunsaturated fatty acids and insulin resistance in
type 2 diabetes mellitus and non-alcoholic fatty liver disease.
AB - AIMS: To investigate the relationship between serum phospholipid omega-3
polyunsaturated fatty acids (omega-3 PUFAs) and insulin resistance (IR) in
patients with type 2 diabetes mellitus (T2DM) and non-alcoholic fatty liver
disease (NAFLD). METHODS: 51 patients with T2DM and NAFLD (T2DM+NAFLD group), 50
with T2DM alone (T2DM group), 45 with NAFLD alone (NAFLD group), and 42 healthy
control subjects (NC group) were studied. Serum omega-3 PUFA profiles were
analyzed by gas chromatography, and alanine aminotransferase (ALT), aspartate
aminotransferase (AST), gamma-glutamyltransferase (GGT), and serum lipid
concentrations were measured. Insulin resistance was assessed by the homeostasis
model assessment method (HOMA-IR). RESULTS: HOMA-IR levels were higher in the
T2DM+NAFLD group than in the T2DM, NAFLD and NC groups (p<0.05), as were ALT,
AST, GGT, total cholesterol (TC), low-density lipoprotein cholesterol (LDL-C),
and triglyceride (TG) concentrations (p<0.05). Conversely, serum omega-3 PUFA
levels were significantly lower in the T2DM+NAFLD group than in the other groups
(p<0.05). The omega-3 PUFA level was negatively correlated with HOMA-IR, TC, LDL
C and TG. CONCLUSIONS: Serum phospholipid omega-3 PUFA levels were significantly
decreased in patients with T2DM and NAFLD, and were negatively related with
insulin resistance. Thus, reduced omega-3 PUFAs may play an important role in the
development of T2DM and NAFLD.
PMID- 24927650
TI - [Post-term pregnancy in the broad ligament].
AB - Post-term pregnancy in the broad ligament is rarely described in the literature.
A 33-year-old woman, grava 2 para 1, not followed-up during gestation was
admitted at 44 weeks of gestation. The fetus was dead and in transversal
position, as shown by ultrasound. A cesarean section was performed and the fetus
was extracted from the right broad ligament. The placenta was inserted on the
surface of the posterior wall of the uterus. Blood loss was estimated at 1200 mL
and the patient received isogroup total blood. There was no major complication.
PMID- 24927651
TI - [Diagnosis, treatment, and follow-up of cesarean scar pregnancy].
AB - OBJECTIVES: Cesarean scar pregnancy is a rare entity. This situation may induce
uterine rupture and/or a massive life-threatening hemorrhage. The standard
treatment is laparotomy surgery, but in situ injections to replace invasive
surgery. The objective of this study was to focus on the diagnosis, optimal
management and long-term follow-up of the patients. PATIENTS AND METHODS: Data
from 6 patients with diagnosis of cesarean scar pregnancy between 2007 and 2013
at Lariboisiere hospital were retrospectively collected. RESULTS: Endovaginal
ultrasound succeeded to diagnose all cases. Four patients were treated with in
situ injection of methotrexate performing a vaginal way (n=2) or laparoscopy
(n=2) and two others using systemic injection. One patient was complicated by
hemorrhagic shock requiring iterative embolizations. Three patients achieved a
new pregnancy, with one recurrent scar pregnancy complicated by massive
hemorrhage. DISCUSSION AND CONCLUSION: Diagnosis and treatment of cesarean scar
pregnancies must be done precociously because of high hemorrhage risks.
Endovaginal ultrasound is the gold standard exam. Treatment is non-consensual,
but methotrexate in situ injection is effective and safer. Monitoring the
decrease of HCG levels and ultrasonography supervision of gestational sac size
and its vascularization must be performed. Due to the risk of recurrence, any
subsequent pregnancy shows a high risk of complications.
PMID- 24927652
TI - Assessment and refinement of eukaryotic gene structure prediction with gene
structure-aware multiple protein sequence alignment.
AB - BACKGROUND: Accurate computational identification of eukaryotic gene organization
is a long-standing problem. Despite the fundamental importance of precise
annotation of genes encoded in newly sequenced genomes, the accuracy of predicted
gene structures has not been critically evaluated, mostly due to the scarcity of
proper assessment methods. RESULTS: We present a gene-structure-aware multiple
sequence alignment method for gene prediction using amino acid sequences
translated from homologous genes from many genomes. The approach provides rich
information concerning the reliability of each predicted gene structure. We have
also devised an iterative method that attempts to improve the structures of
suspiciously predicted genes based on a spliced alignment algorithm using
consensus sequences or reliable homologs as templates. Application of our methods
to cytochrome P450 and ribosomal proteins from 47 plant genomes indicated that 50
~ 60 % of the annotated gene structures are likely to contain some defects.
Whereas more than half of the defect-containing genes may be intrinsically
broken, i.e. they are pseudogenes or gene fragments, located in unfinished
sequencing areas, or corresponding to non-productive isoforms, the defects found
in a majority of the remaining gene candidates can be remedied by our iterative
refinement method. CONCLUSIONS: Refinement of eukaryotic gene structures mediated
by gene-structure-aware multiple protein sequence alignment is a useful strategy
to dramatically improve the overall prediction quality of a set of homologous
genes. Our method will be applicable to various families of protein-coding genes
if their domain structures are evolutionarily stable. It is also feasible to
apply our method to gene families from all kingdoms of life, not just plants.
PMID- 24927654
TI - An evaluation of the Head and Neck Cancer Patient Concerns Inventory across the
Merseyside and Cheshire Network.
AB - The Patient Concerns Inventory (PCI-H&N) is a carefully designed 57-item
checklist specifically for use in routine follow-up clinics for patients with
head and neck cancer. Although developmental work at one hospital has been very
positive, its use had not been evaluated across a wider network. The aim of this
project was to evaluate use of the inventory across the Merseyside and Cheshire
cancer network. Patients from 5 hospitals were included and 66 patients, 8
doctors, and 6 nurse specialists took part. Almost all patients found the
inventory easy or very easy to complete and it caused no notable problems with
the running of appointments. Two-thirds felt that all or most of the items
mentioned were talked about in the consultations and no patient felt that the
consultation had been made worse. Two-thirds felt that it had helped them
communicate with the doctor, while some felt that communication was already
excellent and beyond improvement. Only a small minority (12%) thought that it
could or definitely would lead to disappointment because needs might not be met.
Most patients definitely wanted to continue using the inventory and only 5%
definitely did not. Most of the doctors and specialist nurses saw its potential
benefit in clinical practice. However, some practical, administrative, and
educational aspects need to be addressed before it can be used more widely. It is
likely that the inventory will be incorporated into practice at each clinic and
locality in different ways.
PMID- 24927653
TI - The American cranberry: first insights into the whole genome of a species adapted
to bog habitat.
AB - BACKGROUND: The American cranberry (Vaccinium macrocarpon Ait.) is one of only
three widely-cultivated fruit crops native to North America- the other two are
blueberry (Vaccinium spp.) and native grape (Vitis spp.). In terms of taxonomy,
cranberries are in the core Ericales, an order for which genome sequence data are
currently lacking. In addition, cranberries produce a host of important
polyphenolic secondary compounds, some of which are beneficial to human health.
Whereas next-generation sequencing technology is allowing the advancement of
whole-genome sequencing, one major obstacle to the successful assembly from short
read sequence data of complex diploid (and higher ploidy) organisms is
heterozygosity. Cranberry has the advantage of being diploid (2n = 2x = 24) and
self-fertile. To minimize the issue of heterozygosity, we sequenced the genome of
a fifth-generation inbred genotype (F >= 0.97) derived from five generations of
selfing originating from the cultivar Ben Lear. RESULTS: The genome size of V.
macrocarpon has been estimated to be about 470 Mb. Genomic sequences were
assembled into 229,745 scaffolds representing 420 Mbp (N50 = 4,237 bp) with 20X
average coverage. The number of predicted genes was 36,364 and represents 17.7%
of the assembled genome. Of the predicted genes, 30,090 were assigned to
candidate genes based on homology. Genes supported by transcriptome data totaled
13,170 (36%). CONCLUSIONS: Shotgun sequencing of the cranberry genome, with an
average sequencing coverage of 20X, allowed efficient assembly and gene calling.
The candidate genes identified represent a useful collection to further study
important biochemical pathways and cellular processes and to use for marker
development for breeding and the study of horticultural characteristics, such as
disease resistance.
PMID- 24927655
TI - See-and-treat surgery for facial skin cancer.
AB - See-and-treat surgery has been described as an efficient means of streamlining
specialist diagnosis and treatment, and is commonly employed in gynaecology to
reduce the delay between cytological screening and definitive treatment of
cervical neoplasia. Relatively young patients with predominantly benign skin
lesions have been treated in see-and-treat clinics but only in the context of
referrals from primary care. The author describes the treatment of tertiary
referrals for facial skin malignancies under local anaesthesia at these clinics,
and analyses their acceptability to patients. A total of 100 consecutive patients
were included. Data on age, coexisting conditions, diagnosis, site and size of
lesion, operation, and outcomes including complications and completeness of
excision, were collected. A questionnaire seeking patients' opinions was also
used. Ninety patients were treated and 98 lesions were removed, 94% of which were
malignant. The complete excision rate was 95%. There were no complications, and
98% of patients were satisfied with the service. See-and-treat surgery is an
effective, safe, and acceptable means of providing surgical management of facial
skin malignancies.
PMID- 24927656
TI - Cardiac dysrhythmias in pediatric patients during general anesthesia.
PMID- 24927657
TI - [Multiple myeloma].
PMID- 24927658
TI - [Radiological diagnostics of multiple myeloma].
AB - CLINICAL/METHODICAL ISSUE: Robust and reliable imaging methods are required to
estimate the skeletal tumor load in multiple myeloma, as well as for the
diagnosis of extraskeletal manifestations. Imaging also plays an essential role
in the assessment of fracture risk and of vertebral fractures. STANDARD
RADIOLOGICAL METHODS: The conventional skeletal survey has been the gold standard
in the imaging of multiple myeloma for many years. METHODICAL INNOVATIONS: Other
modalities which have been investigated and are in use are whole-body computed
tomography (WBCT), 18F-fluorodeoxyglucose positron emission tomography computed
tomography (FDG PET-CT) and whole-body magnetic resonance imaging (WBMRI). These
techniques are able to depict both mineralized bone and the bone marrow with a
high sensitivity for myeloma lesions. PERFORMANCE: Several studies have shown
that cross-sectional imaging is superior to the skeletal survey in the detection
of myeloma lesions and WBMRI has been shown to be significantly more sensitive
than WBCT for the detection of focal myeloma lesions as well as for diffuse
infiltration. The FDG PET-CT technique has a sensitivity comparable to WBMRI.
ACHIEVEMENTS: Due to the higher sensitivity in the detection of myeloma lesions
WBCT and WBMRI should replace the skeletal survey. PRACTICAL RECOMMENDATIONS: A
WBCT should be performed if there is suspicion of multiple myeloma. If no focal
lesions are found WBMRI or at least MRI of the spine and pelvis should be
additionally performed if available. If WBMRI has been initially performed and
focal lesions are present, an additional WBCT may be performed to assess the
extent of bone destruction and fracture risk. In cases of monoclonal gammopathy
of undetermined significance (MGUS), solitary and smoldering myeloma, a WBMRI, if
available, should be performed in addition to WBCT.
PMID- 24927659
TI - [Imaging in smoldering (asymptomatic) multiple myeloma. Past, present and
future].
AB - CLINICAL ISSUE: Emerging clinical trial data support treatment of high-risk
smoldering multiple myeloma (SMM) upon diagnosis, and not only at the time of
progression to symptomatic complications (multiple myeloma). Early detection of
bone and/or bone marrow involvement by sensitive imaging modalities may help
define SMM patients at a high risk of progression. STANDARD RADIOLOGICAL METHODS:
Current (2011) consensus guidelines recognize skeletal survey as a cornerstone
modality for assessment of bone involvement at initial diagnosis and during
follow-up of SMM. Skeletal survey has severe limitations related to
underdetection of bone lesions and also provides no information on bone marrow
abnormalities. METHODICAL INNOVATIONS: Modern imaging strategies such as
fluorodeoxyglucose positron-emission tomography/CT (FDG PET/CT) and MRI, in
conjunction with functional innovations, provide improved estimates of global
abnormalities in the bone marrow and bone compartments. These methods have the
potential to objectively quantify early transformation from SMM to multiple
myeloma. PERFORMANCE: Although frequently used for staging and risk
prognostication in multiple myeloma, modern imaging techniques have only been
evaluated to a limited extent in SMM. Scant data in SMM indicate the prognostic
value of two or more MRI-detected focal bone marrow abnormalities, which, if
present, predict rapid progression to multiple myeloma. Data evaluating the role
of FDG PET/CT in detecting early bone marrow abnormalities as an aid to
predicting risk or directing treatment in SMM is currently lacking. ACHIEVEMENTS:
The superior specificity and sensitivity of modern imaging techniques compared to
skeletal survey suggest that these should have a place in standard practice
management of patients at a high risk of SMM progression. The model imaging of
the future should be an all-in-one strategy offering high diagnostic performance
for bone marrow abnormalities and low-volume bone lesions, as well as allowing
monitoring by minimizing radiation exposure and the need for contrast agents.
PRACTICAL RECOMMENDATIONS: Newer imaging techniques need to be validated in
prospective clinical trials assessing the SMM to multiple myeloma transition,
with the aim of enabling appropriate management decisions. Efforts are also
needed to improve the costs and availability of whole-body MRI and/or FDG PET/CT,
in order to facilitate their widespread adoption as first-line detection
modalities. Future clinical trials of therapeutic agents using earlier detection
strategies will have to be carefully designed and take into consideration the
risk of lead-time and length-time biases, which might falsely demonstrate longer
overall survival. The English full text version of this article is available at
SpringerLink (under "Supplemental").
PMID- 24927660
TI - [Morphological and functional cartilage imaging].
AB - Excellent morphological imaging of cartilage is now possible and allows the
detection of subtle cartilage pathologies. Besides the standard 2D sequences, a
multitude of 3D sequences are available for high-resolution cartilage imaging.
The first part therefore deals with modern possibilities of morphological
imaging. The second part deals with functional cartilage imaging with which it is
possible to detect changes in cartilage composition and thus early osteoarthritis
as well as to monitor biochemical changes after therapeutic interventions.
Validated techniques such as delayed gadolinium-enhanced magnetic resonance
imaging of cartilage (dGEMRIC) and T2 mapping as well the latest techniques, such
as the glycosaminoglycan chemical exchange-dependent saturation transfer
(gagCEST) technique will be discussed.
PMID- 24927661
TI - Permanent central diabetes insipidus as a complication of sphenoid sinus
mucocele.
AB - Although mucocele is a benign lesion, its unavoidable expansions may result in
irreversible damages in adjacent organs. In spheno-ethmoid mucoceles which are
extremely rare, this condition may cause more severe problems. Central diabetes
insipidus, developed secondary to sphenoid sinus mucocele, was detected in a 54
year-old male patient, who underwent endoscopic sinus surgery 2 times due to
nasal polyposis. Endoscopic sphenoid mucocele marsupialization was performed to
the patient, but despite partial regression in the 1-year follow up, complete
recovery was not observed.
PMID- 24927662
TI - Multiple relapses of visceral leishmaniasis in a patient with HIV in India: a
treatment challenge.
AB - Visceral Leishmaniasis (VL) is an opportunistic infection amongst HIV-infected
people in several endemic countries, and the clinical management of this co
infection poses several challenges. Here we describe a co-infected patient in
India who failed to respond to miltefosine monotherapy and subsequently relapsed
following two further (different) regimens of liposomal amphotericin B. He was
then successfully treated with a combination of 30 mg/kg liposomal amphotericin B
and 14 days of 100mg/day oral miltefosine.
PMID- 24927663
TI - Epidemiology characteristics of respiratory viruses found in children and adults
with respiratory tract infections in southern China.
AB - BACKGROUND: The World Health Organization (WHO) ranks respiratory tract infection
(RTI) as the second leading cause of death worldwide for children under 5 years
of age. The aim of this work was to evaluate the epidemiology characteristics of
respiratory viruses found in children and adults with RTI from July 2009 to June
2012 in southern China. METHODS: In this work, a total of 14 237 nasopharyngeal
swabs (14 237 patients from 25 hospitals) were analyzed, and seven respiratory
viruses (influenza virus, respiratory syncytial virus, parainfluenza virus,
adenovirus, human metapneumovirus, human coronavirus, human bocavirus) were
detected using PCR/RT-PCR from nasopharyngeal swabs. RESULTS: The demographic
characteristics, viral prevalence, age distribution, seasonal distribution, and
pathogen spectrum of the patients with RTIs were analyzed. Co-infection was
observed in 483 specimens, but it was more common in male patients, inpatients,
children, and young adults. It varied by season, being more prevalent in the
spring and summer and less so in the winter. Human coronavirus and human
bocavirus were the most common pathogens, tending to occur in co-infection with
other respiratory viruses. CONCLUSIONS: This work adds to our knowledge of the
epidemiology characteristics of these seven common respiratory viruses among
patients with RTI in southern China. The detection of the specific viral causes
of infection provides a useful starting point for an understanding of illness
attributable to respiratory infection, and might also provide data relevant to
the development of prevention strategies.
PMID- 24927664
TI - Usefulness of broad-range PCR plus sequencing for the diagnosis of bacteremia due
to a lung abscess.
AB - The early detection and treatment of sepsis in patients is essential for a
positive outcome. Microbiological analysis of blood cultures, as the gold
standard for diagnosis, is rather slow. However, more rapid methods like PCR have
become available recently and are being evaluated clinically. We present data
from the monitoring of a patient with sepsis who was on anti-infective treatment.
The patient was positive for Streptococcus pneumoniae by broad-range PCR and
sequence analysis in a blood sample and resected lung tissue specimen, the latter
embedded in paraffin, while blood culture diagnostics remained negative.
PMID- 24927665
TI - Mini review: current molecular methods for the detection and quantification of
hepatitis B virus, hepatitis C virus, and human immunodeficiency virus type 1.
AB - The detection of acute human immunodeficiency virus (HIV), hepatitis B virus
(HBV), and hepatitis C virus (HCV) infection is vital for controlling the spread
of HIV, HBV, and HCV to uninfected individuals. Considering that these viruses
have high replication rates and are undetectable by serological markers, early
detection upon transmission is crucial. Various nucleic acid assays have been
developed for diagnostics and therapeutic monitoring of infections. In the past
decade, rapid and sensitive molecular techniques such as PCR have revolutionized
the detection of a variety of infectious viruses, including HIV, HCV, and HBV.
Here, we describe two of the most commonly used licensed methods for the
detection and quantification of HIV, HCV, and HBV: the cobas TaqScreen MPX (PCR)
test and the Tigris System. We used transcription-mediated amplification to
review and compare the development and efficiency of these technologies.
PMID- 24927666
TI - Comparative expression profiles of genes related to oocyte development in goats
after long-term feeding with biodiesel castor industry residues.
AB - The aim of this study was to determine whether the consumption of detoxified
castor meal (DCM) by goats over a long period of time affects mRNA levels in
oocytes, and in mural granulosa and cumulus cells. A total of 41 adult does were
supplemented (DCM group, n=21) or not (control group, n=20) with detoxified
castor meal (DCM) for a period of 500 days. Then, 13 and 12 does were randomly
selected for slaughter from the DCM and control treatments groups, respectively,
for the determination of the number of visible ovarian follicles, retrieved
cumulus-oocyte complexes (COCs), and viable and non-viable oocytes. The relative
expression levels for distinct genes were determined by quantitative PCR in
viable immature oocytes prior to in vitro maturation (IVM), in oocytes attaining
or not the metaphase stage after IVM, as well as in granulosa cells obtained upon
oocyte collection, and in cumulus cells obtained after IVM. The number of
follicles >=4 mm did not differ between treatments (overall mean 23.3 +/- 2.0)
and no significant differences were observed in the recovery of viable, non
viable, or total mean numbers of oocytes (control group: 44.7 +/- 4.6, DCM group:
54.9 +/- 5.9, respectively) between control and DCM fed goats. The maturation
rate was significantly higher for control than DCM oocytes (58.0% vs. 45.3%;
P<0.05). The mRNA levels in immature COC for controls were significantly higher
for GLUT1 and lower for HSP70 (P<0.05) than for DCM. Following maturation, MII
oocytes from both treatments had mRNA levels that were significantly higher for
GDF9 and lower for BMP15 than for NC oocytes (P<0.05). In cumulus cells, the mRNA
levels were significantly higher for LHR, FSHR, LeptinR, and IGF1, and lower for
MnSOD in the control group compared with the DCM group (P<0.05). In conclusion,
the inclusion of DCM in goat feed for long periods of time changed gene
expression in immature oocytes and in cumulus cells. This was reflected by a
decrease in the in vitro oocyte maturation rate.
PMID- 24927667
TI - Regulation of gene expression by tobacco product preparations in cultured human
dermal fibroblasts.
AB - Skin fibroblasts comprise the first barrier of defense against wounds, and
tobacco products directly contact the oral cavity. Cultured human dermal
fibroblasts were exposed to smokeless tobacco extract (STE), total particulate
matter (TPM) from tobacco smoke, or nicotine at concentrations comparable to
those found in these extracts for 1h or 5h. Differences were identified in
pathway-specific genes between treatments and vehicle using qRT-PCR. At 1h,
IL1alpha was suppressed significantly by TPM and less significantly by STE.
Neither FOS nor JUN was suppressed at 1h by tobacco products. IL8, TNFalpha,
VCAM1, and NFkappaB1 were suppressed after 5h with STE, whereas only TNFalpha and
NFkappaB1 were suppressed by TPM. At 1h with TPM, secreted levels of IL10 and
TNFalpha were increased. Potentially confounding effects of nicotine were
exemplified by genes such as ATF3 (5h), which was increased by nicotine but
suppressed by other components of STE. Within 2h, TPM stimulated nitric oxide
production, and both STE and TPM increased reactive oxygen species. The
biological significance of these findings and utilization of the gene expression
changes reported herein regarding effects of the tobacco product preparations on
dermal fibroblasts will require additional research.
PMID- 24927669
TI - Impact of anti-tacking agents on properties of gas-entrapped membrane and
effervescent floating tablets.
AB - Tackiness caused by the gas-entrapped membrane (Eudragit((r))RL 30D) was usually
observed during storage of the effervescent floating tablets, leading to failure
in floatation and sustained release. In this work, common anti-tacking agents
(glyceryl monostearate (GMS) and talc) were used to solve this tackiness problem.
The impact of anti-tacking agent on the properties of free films and
corresponding floating tablets was investigated. GMS was more effective than talc
in reducing tackiness of the film. Addition and increasing amount of anti-tacking
agents lowered the film mechanical strength, but the coating films were still
strong and flexible enough to resist the generated gas pressure inside the
floating tablet. Wettability and water vapor permeability of the film decreased
with increasing level of anti-tacking agents as a result of their hydrophobicity.
No interaction between anti-tacking agents and polymer was observed as confirmed
by Fourier transform infrared spectroscopy, powder X-ray diffractometry, and
differential scanning calorimetry studies. Increasing amount of anti-tacking
agents decreased time to float and tended to retard drug release of the floating
tablets. Floating properties and drug release were also influenced by type of
anti-tacking agents. The obtained floating tablets still possessed good floating
properties and controlled drug release even though anti-tacking agent had some
effects. The results demonstrated that the tackiness problem of the floating
tablets could be solved by incorporating anti-tacking agent into the gas
entrapped membrane.
PMID- 24927668
TI - Nanoparticle ligand presentation for targeting solid tumors.
AB - Among the many scientific advances to come from the study of nanoscience, the
development of ligand-targeted nanoparticles to eliminate solid tumors is
predicted to have a major impact on human health. There are many reports
describing novel designs and testing of targeted nanoparticles to treat cancer.
While the principles of the technology are well demonstrated in controlled lab
experiments, there are still many hurdles to overcome for the science to mature
into truly efficacious targeted nanoparticles that join the arsenal of agents
currently used to treat cancer in humans. One of these hurdles is overcoming
unwanted biodistribution to the liver while maximizing delivery to the tumor.
This almost certainly requires advances in both nanoparticle stealth technology
and targeting. Currently, it continues to be a challenge to control the loading
of ligands onto polyethylene glycol (PEG) to achieve maximal targeting.
Nanoparticle cellular uptake and subcellular targeting of genes and siRNA also
remain a challenge. This review examines the types of ligands that have been most
often used to target nanoparticles to solid tumors. As the science matures over
the coming decade, careful control over ligand presentation on nanoparticles of
precise size, shape, and charge will likely play a major role in achieving
success.
PMID- 24927671
TI - An increased risk of ovarian cancer associated with polymorphism in BRCC5 gene in
Caucasian populations.
AB - Several reports on the association between the BRCC5 gene polymorphism and
ovarian cancer risk have been published recently, but the estimates of the risk
vary widely. We thus performed a meta-analysis in an effort to determine the
association. To identify the eligible studies, we searched the PubMed, Embase,
and CNKI databases, and reviewed all original studies retrieved as well as their
citations. The risk of ovarian cancer was estimated using odds ratio (OR) and its
95 % confidence interval (CI). Meta-analysis of seven comparisons revealed an
obvious rise in the risk of ovarian cancer under the CC vs. GG contrast model (OR
= 1.52, 95 % CI = 1.07-2.16, P OR = 0.020). A similar increase was also indicated
in the CC vs. GC + GG model (OR = 2.10, 95 % CI = 1.51-2.93, P OR < 0.001). Our
meta-analysis indicates that the BRCC5 polymorphism may be a candidate modifier
of ovarian cancer risk in Caucasians.
PMID- 24927670
TI - Exercise intervention studies in patients with peripheral neuropathy: a
systematic review.
AB - INTRODUCTION: Peripheral neuropathies (PNPs) encompass a large group of disorders
of heterogeneous origin which can manifest themselves with sensory and/or motor
deficits depending on the predominantly affected nerve fiber modality. It
represents a highly prevalent disease group which can be associated with
significant disability and poor recovery. Exercise has the potential to improve
side effects of PNP. OBJECTIVE: Our objective in this systematic review was to
analyze exercise interventions for neuropathic patients in order to evaluate the
possible benefits of exercise. METHODS: Three independent reviewers used PubMed,
MEDPILOT (MEDLINE), Cochrane, and relevant reference lists to obtain the data.
Relevant studies were graded according to the Oxford Levels of Evidence. RESULTS:
Eighteen studies (ten randomized controlled trials and eight controlled clinical
trials) met all inclusion criteria. Three (diabetic) studies were ranked very
high quality [1b (A)], nine high quality (four diabetes, one cancer, four others)
[2b (B)], while six (four diabetes, two others) showed low quality (4/C). Current
data suggests that exercise is a feasible, safe, and promising supportive measure
for neuropathic patients. This is best documented for patients with diabetic
peripheral neuropathy (DPN), suggesting that endurance training has the potential
to prevent the onset of and reduce the progression of DPN. In general, balance
exercises showed the highest effect on the motor as well as sensory symptoms in
all types of PNP. CONCLUSION: Overall, balance training appears to be the most
effective exercise intervention. Studies focusing exclusively on strength, or a
combination of endurance and strength, appear to have a lower impact. For
metabolically-induced neuropathies, endurance training also plays an important
role. Further research with high methodological quality needs to be conducted in
order to establish evidence-based clinical recommendations for neuropathic
patients.
PMID- 24927672
TI - High expression of CASK correlates with progression and poor prognosis of
colorectal cancer.
AB - Calcium/calmodulin-dependent serine protein kinase (CASK), which localizes at
cell-cell adhesion sites and binds to the heparan sulfate proteoglycan syndecan
2, is involved in cell proliferation, cytoskeletal remodeling, and cell
migration. To demonstrate the role of CASK in colorectal cancer (CRC)
carcinogenesis, we examined the expression of CASK and its binding protein
syndecan-2 in human CRC tissues. The expression of CASK was measured in CRC
specimens and the controls from adenomas and normal mucosae by
immunohistochemical staining and Western blot analysis. Syndecan-2 protein level
was tested in CRC samples and the controls by Western blot analysis. The
correlations between CASK expression and clinicopathological variables, including
disease-free survival (DFS) and overall survival (OS), were analyzed. Compared to
the controls, both CASK and syndecan-2 expression were enhanced in CRC tissues.
Furthermore, high expression of CASK and syndecan-2 was significantly correlated
with advanced tumor stage, lymphatic invasion, lymph node metastasis, vascular
invasion, liver metastasis, and unresectable metastatic CRC. Survival analysis
showed that patients with low CASK staining had a significantly better survival
compared to patients with high CASK staining. In multivariate analysis, CASK
overexpression, advanced tumor stage, lymph node metastasis, vasvular invasion,
and liver metastasis were independent prognostic factors of poor DFS and OS. Our
present study indicates that CASK overexpression is associated with an
unfavorable prognosis. CASK is an independent prognostic factor for CRC, which
suggests that it is a novel and crucial predictor for CRC metastasis.
PMID- 24927673
TI - Quantitative assessment of the associations between CD28 T > C polymorphism
(rs3116496) and cancer risk.
AB - Many studies have examined the association between CD28 T > C polymorphism
(rs3116496) and cancer risk in various populations. However, results remained
controversial. To assess this relationship more precisely, a meta-analysis was
performed. A comprehensive literature search was performed using the PubMed
database for relevant articles published (updated to January 1, 2014). Odds
ratios (ORs) and 95 % confidence intervals (CIs) were used to assess the strength
of the association. A total of nine studies were selected for this meta-analysis,
including 3,878 cases and 4,424 controls. The results indicated that CD28 T > C
polymorphism (rs3116496) was not associated with the risk of cancer in overall
population (CC + CT vs. TT, OR = 1.17, 95 %CI = 0.94-1.47, P H = 0.00; CC vs. CT
+ TT, OR = 1.26, 95 %CI = 0.92-1.73, P H = 0.86; CC vs. TT, OR = 1.27, 95 %CI =
0.92-1.74, P H = 0.85; CT vs. TT, OR = 1.15, 95 %CI = 0.91-1.46, P H = 0.00; and
C vs. T, OR = 1.17, 95 %CI = 0.97-1.41, P H = 0.00). In subgroup analysis
according to cancer type, no significant association was found in cervical cancer
or other cancer. However, in the subgroup analysis by ethnicity, the significant
risk was found among Asians (CC + CT vs. TT, OR = 1.51, 95 %CI = 1.24-1.83, P H =
0.05; C vs. T, OR = 1.46, 95 %CI = 1.22-1.74, P H = 0.11), but not among
Caucasians. The result of this meta-analysis suggested that CD28 T > C
polymorphism (rs3116496) may have an increased risk of cancer in Asians.
PMID- 24927674
TI - Arthroscopic assessment of medial malleolar reduction.
AB - INTRODUCTION: Ankle fractures treated with open reduction internal fixation are
fixed in an effort to reestablish anatomic bony alignment and avoid a malunion,
thereby diminishing the risk of post-traumatic arthritis. For a medial malleolar
fracture, an articular step-off is likely more related to the risk of post
traumatic arthritis than is a cortical step-off. However, the external cortical
alignment is often used to judge the adequacy of reduction, as the articular
component of the fracture is not as readily visualized. Arthroscopy has been used
in various articular fractures as an aid to diagnosis and treatment. The current
study prospectively assessed both the quality of medial malleolar reduction on
the articular side using arthroscopy and the adequacy of using cortical cues to
guide the articular reduction. METHODS: Twelve consecutive patients were enrolled
in this prospective diagnostic study. All patients had medial malleolar fractures
that required fixation. The outcome variables of interest were extra-articular
fracture displacement and articular surface displacement. RESULTS: After
reduction and provisional fixation, 10 of the 12 patients had an anatomic
reduction based on cortical cues. On arthroscopy 7 of the 12 patients had an
anatomic reduction. Four of the patients had a slight gap (<1 mm) at the anterior
edge of the fracture. The last patient had an anterior gap just under 2 mm. Two
patients had impaction of the medial malleolus that made reduction difficult and
was recognized during arthroscopy after obtaining a reduction based on cortical
cues. CONCLUSION: The cortical reduction of the medial malleolus often matched up
with the articular reduction. However, in some patients, impaction of the medial
malleolus made it so that the two did not match up. There are some cases in which
extra-articular cues are insufficient to evaluate for intra-articular reduction.
PMID- 24927676
TI - [Not Available].
PMID- 24927677
TI - [Not Available].
PMID- 24927675
TI - MPFL reconstruction using a quadriceps tendon graft: part 2: operative technique
and short term clinical results.
AB - BACKGROUND: We describe the preliminary clinical results of a new operative
technique for MPFL reconstruction using a strip of quadriceps tendon (QT).
METHODS: PATIENTS: 17 patients (7 male, 10 female; mean age 21.5 years +/- 3.9)
have been operated on with this technique. All patients were evaluated
clinically, radiologically and with subjective questionnaires (Tegner-, Lysholm-,
Kujala Score) pre-operatively and post-operatively at 6 and 12 months (m).
SURGICAL TECHNIQUE: A 10 to 12 mm wide, 3mm thick and 8 to 10 cm long strip from
the central aspect of quadriceps tendon is harvested subcutaneously. The tendon
strip is then dissected distally on the patella, left attached, diverged 90
degrees medially underneath the medial prepatellar tissue and fixed with 2
sutures. The graft is fixed in 20 degrees of knee flexion with a bioabsorbable
interference screw. RESULTS: Lysholm score at 6m was 81.9 +/- 11.7 and at 12 m
88.1 +/- 10.9, Kujala score at 12 m was 89.2 +/- 7.1 and Tegner Score was 4.9 +/-
2.0 (6m) and 5.0 +/- 1.9 (12 m). Two patients had a positive apprehension test at
12 months. There was no re-dislocation during the follow-up period. CONCLUSION:
MPFL reconstruction with a strip of QT harvested in a minimal invasive technique
was found to be associated with good short term clinical results. We think that
this technique presents a valuable alternative to common hamstring techniques for
primary MPFL reconstruction in children and adults, as well as for MPFL revision
surgery. LEVEL OF EVIDENCE: IV, prospective case series.
PMID- 24927678
TI - [Not Available].
PMID- 24927679
TI - Tough and flexible CNT-polymeric hybrid scaffolds for engineering cardiac
constructs.
AB - In the past few years, a considerable amount of effort has been devoted toward
the development of biomimetic scaffolds for cardiac tissue engineering. However,
most of the previous scaffolds have been electrically insulating or lacked the
structural and mechanical robustness to engineer cardiac tissue constructs with
suitable electrophysiological functions. Here, we developed tough and flexible
hybrid scaffolds with enhanced electrical properties composed of carbon nanotubes
(CNTs) embedded aligned poly(glycerol sebacate):gelatin (PG) electrospun
nanofibers. Incorporation of varying concentrations of CNTs from 0 to 1.5% within
the PG nanofibrous scaffolds (CNT-PG scaffolds) notably enhanced fiber alignment
and improved the electrical conductivity and toughness of the scaffolds while
maintaining the viability, retention, alignment, and contractile activities of
cardiomyocytes (CMs) seeded on the scaffolds. The resulting CNT-PG scaffolds
resulted in stronger spontaneous and synchronous beating behavior (3.5-fold lower
excitation threshold and 2.8-fold higher maximum capture rate) compared to those
cultured on PG scaffold. Overall, our findings demonstrated that aligned CNT-PG
scaffold exhibited superior mechanical properties with enhanced CM beating
properties. It is envisioned that the proposed hybrid scaffolds can be useful for
generating cardiac tissue constructs with improved organization and maturation.
PMID- 24927680
TI - The effect of a polyurethane coating incorporating both a thrombin inhibitor and
nitric oxide on hemocompatibility in extracorporeal circulation.
AB - Nitric oxide (NO) releasing (NORel) materials have been extensively investigated
to create localized increases in NO concentration by the proton driven
diazeniumdiolate-containing polymer coatings and demonstrated to improve
extracorporeal circulation (ECC) hemocompatibility. In this work, the NORel
polymeric coating composed of a diazeniumdiolated dibutylhexanediamine (DBHD
N2O2)-containing hydrophobic Elast-eonTM (E2As) polyurethane was combined with a
direct thrombin inhibitor, argatroban (AG), and evaluated in a 4 h rabbit
thrombogenicity model without systemic anticoagulation. In addition, the
immobilizing of argatroban to E2As polymer was achieved by either a polyethylene
glycol-containing (PEGDI) or hexane methylene (HMDI) diisocyanate linker. The
combined polymer film was coated on the inner walls of ECC circuits to yield
significantly reduced ECC thrombus formation compared to argatroban alone ECC
control after 4 h blood exposure (0.6 +/- 0.1 AG/HMDI/NORel vs 1.7 +/- 0.2 cm(2)
AG/HMDI control). Platelet count (2.8 +/- 0.3 AG/HMDI/NORel vs 1.9 +/- 0.1 *
10(8)/ml AG/HMDI control) and plasma fibrinogen levels were preserved after 4 h
blood exposure with both the NORel/argatroban combination and the AG/HMDI control
group compared to baseline. Platelet function as measured by aggregometry
remained near normal in both the AG/HMDI/NORel (63 +/- 5%) and AG/HMDI control
(58 +/- 7%) groups after 3 h compared to baseline (77 +/- 1%). Platelet P
selectin mean fluorescence intensity (MFI) as measured by flow cytometry also
remained near baseline levels after 4 h on ECC to ex vivo collagen stimulation
(16 +/- 3 AG/HMDI/NORel vs 11 +/- 2 MFI baseline). These results suggest that the
combined AG/HMDI/NORel polymer coating preserves platelets in blood exposure to
ECCs to a better degree than AG/PEGDI/NORel, NORel alone or AG alone. These
combined antithrombin, NO-mediated antiplatelet effects were shown to improve
thromboresistance of the AG/HMDI/NORel polymer-coated ECCs and move potential
nonthrombogenic polymers closer to mimicking vascular endothelium.
PMID- 24927681
TI - Osteoclast resorption of beta-tricalcium phosphate controlled by surface
architecture.
AB - A resorbable bone graft substitute should mimic native bone in its capacity to
support bone formation and be remodeled by osteoclasts (OCl) or other
multinucleated cells such as foreign body giant cells (FBGC). We hypothesize that
by changing the scale of surface architecture of beta-tricalcium phosphate (TCP),
cellular resorption can be influenced. CD14(+) monocyte precursors were isolated
from human peripheral blood (n = 4 independent donors) and differentiated into
OCl or FBGC on the surface of TCP discs comprising either submicron- or micron
scale surface topographical features (TCPs and TCPb, respectively). On
submicrostructured TCPs, OCl survived, fused, differentiated, and extensively
resorbed the substrate; however, on microstructured TCPb, OCl survival, TRAP
activation, and fusion were attenuated. Importantly, no resorption was observed
on microstructured TCPb. By confocal microscopy, OCl formed on TCPs contained
numerous actin rings allowing for resorption, but not on TCPb. In comparison,
FBGC could not resorb either TCP material, suggesting that osteoclast-specific
machinery is necessary to resorb TCP. By tuning surface architecture, it appears
possible to control osteoclast resorption of calcium phosphate. This approach
presents a useful strategy in the design of resorbable bone graft substitutes.
PMID- 24927682
TI - Articular chondrocytes and mesenchymal stem cells seeded on biodegradable
scaffolds for the repair of cartilage in a rat osteochondral defect model.
AB - This work investigated the ability of co-cultures of articular chondrocytes and
mesenchymal stem cells (MSCs) to repair articular cartilage in osteochondral
defects. Bovine articular chondrocytes and rat MSCs were seeded in isolation or
in co-culture onto electrospun poly(E-caprolactone) (PCL) scaffolds and implanted
into an osteochondral defect in the trochlear groove of 12-week old Lewis rats.
Additionally, a blank PCL scaffold and untreated defect were investigated. After
12 weeks, the extent of cartilage repair was analyzed through histological
analysis, and the extent of bone healing was assessed by quantifying the total
volume of mineralized bone in the defect through microcomputed tomography.
Histological analysis revealed that the articular chondrocytes and co-cultures
led to repair tissue that consisted of more hyaline-like cartilage tissue that
was thicker and possessed more intense Safranin O staining. The MSC, blank PCL
scaffold, and empty treatment groups generally led to the formation of
fibrocartilage repair tissue. Microcomputed tomography revealed that while there
was an equivalent amount of mineralized bone formation in the MSC, blank PCL, and
empty treatment groups, the defects treated with chondrocytes or co-cultures had
negligible mineralized bone formation. Overall, even with a reduced number of
chondrocytes, co-cultures led to an equal level of cartilage repair compared to
the chondrocyte samples, thus demonstrating the potential for the use of co
cultures of articular chondrocytes and MSCs for the in vivo repair of cartilage
defects.
PMID- 24927683
TI - Targeted cancer theranostics using alpha-tocopheryl succinate-conjugated
multifunctional dendrimer-entrapped gold nanoparticles.
AB - Development of multifunctional theranostic nanoplatforms for targeted cancer
imaging and therapy still remains a great challenge. Herein, we report the use of
multifunctional dendrimer-entrapped gold nanoparticles (Au DENPs) covalently
linked with alpha-tocopheryl succinate (alpha-TOS) as a platform for targeted
cancer computed tomography (CT) imaging and therapy. In this study, amine
terminated poly(amidoamine) dendrimers of generation 5 (G5.NH2) conjugated with
fluorescein isothiocyanate (FI), polyethylene glycol (PEG)-modified alpha-TOS,
and PEGylated folic acid (FA) were used as templates to synthesize Au DENPs,
followed by acetylation of the remaining dendrimer terminal amines. The formed
multifunctional Au DENPs were characterized via different techniques. We show
that the Au DENPs conjugated with approximately 9.8 alpha-TOS molecules per
dendrimer and with an Au core size of 3.3 nm are water-dispersible, and stable
under different pH and temperature conditions and in different aqueous media. The
FA modification onto the Au DENPs enables efficient targeting of the particles to
cancer cells overexpressing FA receptors (FAR), and effective targeted CT imaging
of the cancer cells in vitro and the xenografted tumor model in vivo. Likewise,
the covalent conjugation of alpha-TOS does not compromise its therapeutic
activity, instead significantly improves its water solubility. Importantly,
thanks to the role of FA-directed targeting, the formed multifunctional Au DENPs
are able to exert the specific therapeutic efficacy of alpha-TOS to the FAR
overexpressing cancer cells in vitro and the xenografted tumor model in vivo. The
developed multifunctional Au DENPs may hold a great promise to be used as a
unique theranostic nanoplatform for targeted CT imaging and therapy of different
types of cancer.
PMID- 24927684
TI - Amphiphilic carboxymethyl chitosan-quercetin conjugate with P-gp inhibitory
properties for oral delivery of paclitaxel.
AB - An amphiphilic carboxymethyl chitosan-quercetin (CQ) conjugate was designed and
synthesized for oral delivery of paclitaxel (PTX) to improve its oral
bioavailability by increasing its water solubility and bypassing the P-gp drug
efflux pumps. CQ conjugate had low critical micelle concentration (55.14 MUg/mL),
and could self assemble in aqueous condition to form polymeric micelles (PMs).
PTX-loaded CQ PMs displayed a particle size of 185.8 +/- 4.6 nm and
polydispersity index (PDI) of 0.134 +/- 0.056. The drug-loading content (DL) and
entrapment efficiency (EE) were 33.62 +/- 1.34% and 85.63 +/- 1.26%,
respectively. Moreover, PTX-loaded CQ PMs displayed similar sustained-release
profile in simulated gastrointestinal fluids (pH 1.2/pH 6.8) and PBS (pH 7.4). In
situ intestinal absorption experiment showed that PTX-loaded CQ PMs significantly
improved the effective permeability of PTX as compared to verapamil (P < 0.01).
Likewise, PTX-loaded CQ PMs significantly enhanced the oral bioavailability of
PTX, resulting in strong antitumor efficacy against tumor xenograft models with
better safety profile as compared to Taxol((r)) and Taxol((r)) with verapamil.
Overall, the results implicate that CQ PMs are promising vehicles for the oral
delivery of water-insoluble anticancer drugs.
PMID- 24927685
TI - Pattern of brain activation during social cognitive tasks is related to social
competence in siblings discordant for schizophrenia.
AB - Measures of social competence are closely related to actual community functioning
in patients with schizophrenia. However, the neurobiological mechanisms
underlying competence in schizophrenia are not fully understood. We hypothesized
that social deficits in schizophrenia are explained, at least in part, by
abnormally lateralized patterns of brain activation in response to tasks engaging
social cognition, as compared to healthy individuals. We predicted such patterns
would be partly heritable, and therefore affected in patients' nonpsychotic
siblings as well. We used a functional magnetic resonance image paradigm to
characterize brain activation induced by theory of mind tasks, and two tests of
social competence, the Test of Adaptive Behavior in Schizophrenia (TABS), and the
Social Skills Performance Assessment (SSPA) in siblings discordant for
schizophrenia and comparable healthy controls (n = 14 per group). Healthy
individuals showed the strongest correlation between social competence and
activation of right hemisphere structures involved in social cognitive
processing, whereas in patients, the correlation pattern was lateralized to left
hemisphere areas. Unaffected siblings of patients exhibited a pattern
intermediate between the other groups. These results support the hypothesis that
schizophrenia may be characterized by an abnormal functioning of nondominant
hemisphere structures involved in the processing of socially salient information.
PMID- 24927686
TI - Environmental and phylogeographical determinants of the distribution of the Old
World screwworm fly in Indonesia.
AB - The Old World screwworm (OWS) fly, Chrysomya bezziana, is an obligate parasite of
livestock, and the myiasis caused by its larval infestations is economically
important in Indonesia. The current spatial distribution of such a pest depends
on two main factors: the current environmental conditions in which it can
survive; and, its ability to occupy those environments by dispersal, which can be
inferred from phylogeography and population genetics. These indicate that all OWS
flies in Indonesia have mitochondrial cytochrome b (cyt b) haplotypes of the
Asian lineage, and the regional separation of its four sub-lineages is the result
of infrequent long-distance dispersal. We report the first investigation to
associate regional cyt b sub-lineages of the OWS fly with environmental
variables. Principal Components Analysis was used to demonstrate that these sub
lineages are associated with very similar macro-climates throughout Indonesia.
Then, a species distribution model for the OWS fly in Indonesia was obtained by
using the Maxent program. This indicated that elevation captured information not
given by other environmental variables, and cattle density provided the most
useful information by itself. The results of our study provide some important
leads for future research, which will require better, stratified sampling.
PMID- 24927687
TI - Obacunone exhibits anti-proliferative and anti-aromatase activity in vitro by
inhibiting the p38 MAPK signaling pathway in MCF-7 human breast adenocarcinoma
cells.
AB - Overexpression of the aromatase enzyme CYP19 has been implicated in the onset of
estrogen-dependent breast carcinogenesis. Obacunone, a natural compound present
in citrus fruits, has been demonstrated for various biological activities
including anti-cancer and anti-inflammatory properties. In the present study, we
have isolated obacunone and obacunone glucoside (OG) from lemon seeds, then
fractionated these compounds using chromatographic techniques and characterized
them by HPLC, LC-MS, and 2D NMR spectral analysis. To investigate the mechanism
of anti-cancer and anti-aromatase activities of limonoids, their cytotoxic effect
was tested on human breast cancer (MCF-7) and non-malignant (MCF-12F) breast
cells. MTT assays confirmed that obacunone was strongly inhibited MCF-7 cell
proliferation without affecting non-malignant breast cells. Treatment with
obacunone increased apoptosis by up-regulating expression of the pro-apoptotic
protein Bax and down-regulating the anti-apoptotic protein Bcl2, as well as
inducing G1 cell cycle arrest. In addition, obacunone significantly inhibited
aromatase activity in an in vitro enzyme assay. Exposure of MCF-7 breast cancer
cells to obacunone down-regulated expression of inflammatory molecules including
nuclear factor-kappa B (NF-kappaB) and cyclooxygenase-2 (COX-2). Furthermore, we
found that obacunone inhibited COX-2 and NF-kappaB by activation of the p38
mitogen-activated protein kinase (MAPK). Finally, the uptake level of obacunone
into MCF-7 cells was measured by HPLC and its structure was confirmed by LC-HR
MS. This study demonstrated that obacunone may have the potential to prevent
estrogen-responsive breast cancer through inhibition of the aromatase enzyme and
inflammatory pathways, as well as activation of apoptosis.
PMID- 24927688
TI - Another step closer to better understanding of renal cell carcinoma - the new
roles of alkaline phosphatase unravelled.
PMID- 24927689
TI - Serum levels of sclerostin, Dickkopf-1, and secreted frizzled-related protein-4
are not changed in individuals with high bone mass causing mutations in LRP5.
AB - SUMMARY: We compared circulating levels of Wnt inhibitors among patients with
high bone mass mutations in LRP5, unaffected kindred, and unrelated normal
controls. Inhibitors were unchanged in affected and unaffected kindred. We saw no
meaningful differences between controls and affected individuals. LRP5 signaling
may not influence circulating levels of these inhibitors. INTRODUCTION: It is
thought that gain-of-function mutations in LRP5 result in high bone mass
syndromes because these allelic variants confer resistance to the actions of
endogenous inhibitors of Wnt signaling. We therefore attempted to determine if
circulating levels of Wnt inhibitors are altered in patients with gain-of
function mutations in LRP5. METHODS: This is a cross-sectional study in a
university research center. Serum was collected from consented volunteers known
to have either the G171V or N198S gain-of-function mutations in LRP5, kindred
members affected with either mutation, unrelated kindred, and unrelated normal
age-matched controls. BMD was provided or measured on site. RESULTS: There were
no significant differences found in the serum levels of sclerostin (SOST),
Dickkopf-1 (Dkk-1), or secreted frizzled-related protein-4 (SFRP-4) in affected
vs. unaffected individuals from different kindreds or when compared to age
matched unrelated normal individuals. Mean serum SOST values in affected and
unaffected kindred members and unrelated normal controls were 52.7 +/- 6.1, 36.5
+/- 9.6, and 54.8 +/- 5.4, respectively. For Dkk-1, the values were 25.9 +/- 3.4,
25.7 +/- 3.0, and 17.3 +/- 2.3 and for SFRP-4, 38.1 +/- 2.3, 39.8 +/- 3.6, and
28.5 +/- 1.7. Serum levels of RANKL and osteoprotegerin (OPG) were not different
in the three groups. CONCLUSIONS: Circulating levels of endogenous Wnt inhibitors
do not change in patients with gain-of-function mutations in LRP5 including Dkk1,
which is suppressed by Wnt signaling. It may be that circulating levels of Wnt
inhibitors do not reflect changes in target tissues. It is also possible that
other mechanisms besides or in addition to resistance in Wnt inhibitors explains
the skeletal effects of these mutations.
PMID- 24927690
TI - Roux-en Y gastric bypass is superior to duodeno-jejunal bypass in improving
glycaemic control in Zucker diabetic fatty rats.
AB - BACKGROUND: Whilst weight loss results in many beneficial metabolic consequences,
the immediate improvement in glycaemia after Roux-en-Y Gastric bypass (RYGB)
remains intriguing. Duodenal jejunal bypass (DJB) induces similar glycaemic
effects, while not affecting calorie intake or weight loss. We studied diabetic
ZDF(fa/fa) rats to compare the effects of DJB and RYGB operations on glycaemia.
METHODS: Male ZDF(fa/fa) rats, aged 12 weeks underwent RYGB, DJB or sham
operations. Unoperated ZDF(fa/fa) and ZDF(fa/+w)ere used as controls. Body
weight, food intake, fasting glucose, insulin and gut hormones were measured at
baseline and on postoperative days 2, 10 and 35. An oral glucose tolerance test
(OGTT) was performed on days 12 and 26. RESULTS: DJB had similar food intake and
body weight to sham-operated and unoperated control ZDF(fa/fa) rats (p = NS), but
had lower fasting glucose (p < 0.05). RYGB had lower food intake, body weight and
fasting glucose compared to all groups (p < 0.001). DJB prevented the progressive
decline in fasting insulin observed in the sham-operated or unoperated ZDF(fa/fa)
rats, while RYGB with normalized glycaemia reduced the physiological requirement
for raised fasting insulin. CONCLUSIONS: Bypassing the proximal small bowel with
the DJB has mild to moderate body weight independent effects on glucose
homeostasis and preservation of fasting insulin levels in the medium term. These
effects might be further amplified by the additional anatomical and physiological
changes after RYGB.
PMID- 24927691
TI - Caloric intake capacity as measured by a standard nutrient drink test helps to
predict weight loss after bariatric surgery.
AB - BACKGROUND: Instruments that enable to select individuals that will benefit most
from bariatric surgery (BS) are necessary to increase its cost-efficiency. Our
goal was to assess if intake capacity, measured with a standardized test,
predicts response to BS. METHODS: Patients with criteria for BS were randomly
allocated to laparoscopic gastric bypass (LRYGB) or sleeve gastrectomy (LSG). We
measured caloric intake capacity before and 1 year after surgery using a
standardized nutrient drink test. We evaluated if pre-surgery satiation could
predict satiation and weight loss (%) 1 year after surgery using multiple
regression modeling. Descriptive statistics are given as mean +/- SD. RESULTS:
Fourteen women (48 +/- 9 years old, BMI 41 +/- 3 kg/m(2)) were evaluated before
and 11 +/- 2.6 months after surgery (seven LRYGB, seven LSG). Caloric intake
capacity diminished after surgery (-950 +/- 85 kcal on average [70 +/- 8 %
decrease over basal intake capacity]; p=0.002) and similarly in both LRYGB (72 +/
7 % decrease) and LSG groups (68 +/- 8 % decrease); p=0.5. There was a
significant weight reduction after surgery (-32 +/- 10 kg [30 +/- 8 % of total
basal weight]) with a mean post-surgery BMI of 29 +/- 2 kg/m(2). The best
predictive model of weight loss (%) after surgery (R (2)=89 %, p=0.0009)
included: BMI (p=0.0004), surgery type (p=0.01) and pre-surgery intake capacity
(p=0.006). Weight loss was higher in heavier patients and those undergoing LRYGB.
Patients with higher intake capacity had a poorer outcome independently of basal
BMI and surgery type. CONCLUSIONS: Caloric intake capacity, as measured by a
standard nutrient drink test, helps to predict weight loss after bariatric
surgery. This test might be useful in algorithms of obesity treatment decision.
PMID- 24927692
TI - Coronary calcium scores 6 years after bariatric surgery.
AB - BACKGROUND: Obesity is associated with elevated coronary artery calcium (CAC), a
marker of coronary atherosclerosis that is strongly predictive of cardiovascular
events. We evaluated the effects of marked weight loss achieved through Roux-en-Y
gastric bypass surgery (GBS) on CAC scores. METHODS: We performed
echocardiography and computed tomography of the heart in 149 subjects 6 years
after enrollment in a prospective registry evaluating the cardiovascular effects
of GBS. Coronary calcium scores, left ventricular ejection fraction, and left
ventricular mass were measured. RESULTS: At baseline, most coronary risk factors
were similar between the GBS and nonsurgical groups including current smoking,
systolic blood pressure, LDL-C, HDL-C, and TG. However, GBS patients were younger
(4.7 years), less likely to be diabetic, and less likely to be postmenopausal. At
6 years after enrollment, CAC score was significantly lower in patients who
underwent GBS than those without surgery (p < 0.01). GBS subjects had a lower
likelihood of having measureable coronary calcium (odds ratio of CAC > 0 = 0.39;
95 % CI of (0.17, 0.90)). Significant predictors of 0 CAC were GBS, female
gender, younger age, baseline BMI, and baseline LDL-C. Substituting change in BMI
for group status as a predictor variable showed that BMI change also predicted
CAC (p = 0.045). Changes in LDL-C did not predict the CAC differences between
groups (p = 0.67). CONCLUSIONS: Sustained weight loss achieved through bariatric
surgery is associated with less coronary calcification. This effect, which
appears to be independent of changes in LDL-C, may contribute to lower cardiac
mortality in patients with successful GBS.
PMID- 24927693
TI - Revisional bariatric surgery following failed primary laparoscopic sleeve
gastrectomy: a systematic review.
AB - Revisional bariatric surgery following laparoscopic sleeve gastrectomy (LSG)
failure presents a clinical challenge for the bariatric surgeon. Limited evidence
exists in selecting the appropriate revisional operation: laparoscopic gastric
bypass (LGB), laparoscopic re-sleeve gastrectomy (LRSG), or other surgical
intervention (OSI), to address weight regain. We systematically reviewed the
literature to assess the efficacy of existing revisional surgery. A comprehensive
search of electronic databases (e.g., Medline, Embase, Scopus, Web of Science,
and the Cochrane Library) was completed. All randomized controlled trials, non
randomized comparison study, and case series were included. Eleven primary
studies (218 patients) were identified and included in the systematic review.
Studies were grouped into three main categories: LGB, LRSG, and OSI. Preoperative
body mass index (BMI) was 41.9 kg/m(2) (LGB), 38.5 kg/m(2) (LRSG), and 44.4
kg/m(2) (OSI). After conversion to LGB, BMI decreased to 33.7 and 35.7 kg/m(2) at
12 and 24 months of follow-up, respectively. Excess weight loss (EWL) was 60 and
48 % over the same periods. After LRSG, BMI decreased to 30.4 and 35.3 kg/m(2)
with corresponding EWL of 68 and 44 %, at 12 and 24 months, respectively. After
OSI, BMI decreased to 27.3 kg/m(2) with an EWL of 75 % at 24-month follow-up but
could not be analyzed due to incomplete data collection in primary studies. Both
LGB and LRSG achieve effective weight loss following failed LSG. The less
technically challenging nature of LRSG may be more widely applicable. Further
research is required to elicit sustainability in long-term weight loss benefits.
PMID- 24927695
TI - Isolation and characterization of a thermotolerant ene reductase from Geobacillus
sp. 30 and its heterologous expression in Rhodococcus opacus.
AB - Rhodococcus opacus B-4 cells are adhesive to and even dispersible in water
immiscible hydrocarbons owing to their highly lipophilic nature. In this study,
we focused on the high operational stability of thermophilic enzymes and applied
them to a biocatalytic conversion in an organic reaction medium using R. opacus B
4 as a lipophilic capsule of enzymes to deliver them into the organic medium. A
novel thermo- and organic-solvent-tolerant ene reductase, which can catalyze the
enantioselective reduction of ketoisophorone to (6R)-levodione, was isolated from
Geobacillus sp. 30, and the gene encoding the enzyme was heterologously expressed
in R. opacus B-4. Another thermophilic enzyme which catalyzes NAD(+)-dependent
dehydrogenation of cyclohexanol was identified from the gene-expression library
of Thermus thermophilus and the gene was coexpressed in R. opacus B-4 for
cofactor regeneration. While the recombinant cells were not viable in the mixture
due to high reaction temperature, 634 mM of (6R)-levodione could be produced with
an enantiopurity of 89.2 % ee by directly mixing the wet cells of the recombinant
R. opacus with a mixture of ketoisophorone and cyclohexanol at 50 degrees C. The
conversion rate observed with the heat-killed recombinant cells was considerably
higher than that obtained with a cell-free enzyme solution, demonstrating that
the accessibility between the substrates and enzymes could be improved by
employing R. opacus cells as a lipophilic enzyme capsule. These results imply
that a combination of thermophilic enzymes and lipophilic cells can be a
promising approach for the biocatalytic production of water-insoluble chemicals.
PMID- 24927696
TI - Removal of endocrine disruptors and non-steroidal anti-inflammatory drugs through
wastewater chlorination: the effect of pH, total suspended solids and humic acids
and identification of degradation by-products.
AB - Endocrine disrupting chemicals (EDCs) and non-steroidal anti-inflammatory drugs
(NSAIDs) are two groups of emerging pollutants the significance of which rests on
their persistent detection in the aquatic environment and their possible adverse
effects. Wastewater treatment plants are one of the major ways for transporting
such chemicals in the aquatic environment. Chlorination is usually the last stage
of treatment before wastewater being disposed to the aquatic environment. This
work focuses on the evaluation of the effect of chlorine dose and specific
wastewater characteristics (pH, total suspended solids and humic acids) on the
removal of target EDCs and NSAIDs through chlorination. Another objective of this
study is the identification of chlorination by-products of specific EDCs and
NSAIDs and their dependence on contact time. Based on the results it is concluded
that the effect of chlorine dose and humic acids concentration on the degradation
of target compounds during chlorination is minimal. On the contrary, pH is a
critical parameter which highly affects process performance. Moreover, it is
concluded that not only the free available chlorine species, but also the
properties of EDCs and NSAIDs under different pH conditions can affect
chlorination process performance. The effect of TSS on the degradation of the
target compounds during chlorination is more profound for chemicals with high Kow
values and therefore higher affinity to partition to the particulate phase (i.e.
nonylphenols, triclosan). Several degradation by-products were identified through
chlorination of nonylphenol, bisphenol A and diclofenac. The dependence of these
by-products on chlorination contact time is also demonstrated.
PMID- 24927694
TI - Parallels between major depressive disorder and Alzheimer's disease: role of
oxidative stress and genetic vulnerability.
AB - The thesis of this review is that oxidative stress is the central factor in major
depressive disorder (MDD) and Alzheimer's disease (AD). The major elements
involved are inflammatory cytokines, the hypothalamic-pituitary axis, the
hypothalamic-pituitary gonadal, and arginine vasopressin systems, which induce
glucocorticoid and "oxidopamatergic" cascades when triggered by psychosocial
stress, severe life-threatening events, and mental-affective and somatic
diseases. In individuals with a genomic vulnerability to depression, these
cascades may result in chronic depression-anxiety-stress spectra, resulting in
MDD and other known depressive syndromes. In contrast, in subjects with genomic
vulnerability to AD, oxidative stress-induced brain damage triggers specific
antioxidant defenses, i.e., increased levels of amyloid-beta (Abeta) and
aggregation of hyper-phosphorylated tau, resulting in paired helical filaments
and impaired functions related to the ApoEepsilon4 isoform, leading to complex
pathological cascades culminating in AD. Surprisingly, all the AD-associated
molecular pathways mentioned in this review have been shown to be similar or
analogous to those found in depression, including structural damage, i.e.,
hippocampal and frontal cortex atrophy. Other interacting molecular signals,
i.e., GSK-3beta, convergent survival factors (brain-derived neurotrophic factor
and heat shock proteins), and transition redox metals are also mentioned to
emphasize the vast array of intermediates that could interact via comparable
mechanisms in both MDD and AD.
PMID- 24927698
TI - Is there a relationship between dietary MSG and [corrected] obesity in animals or
humans?
AB - The sodium salt of glutamate (monosodium glutamate; MSG) imparts a savory/meaty
taste to foods, and has been used as a flavoring agent for millennia. Past
research on MSG/glutamate has evaluated its physiologic, metabolic and behavioral
actions, and its safety. Ingested MSG has been found to be safe, and to produce
no remarkable effects, except on taste. However, some recent epidemiologic and
animal studies have associated MSG use with obesity and aberrations in fat
metabolism. Reported effects are usually attributed to direct actions of ingested
MSG in brain. As these observations conflict with past MSG research findings, a
symposium was convened at the 13th International Congress on Amino Acids,
Peptides and Proteins to discuss them. The principal conclusions were: (1) the
proposed link between MSG intake and weight gain is likely explained by co
varying environmental factors (e.g., diet, physical activity) linked to the
"nutrition transition" in developing Asian countries. (2) Controlled intervention
studies adding MSG to the diet of animals and humans show no effect on body
weight. (3) Hypotheses positing dietary MSG effects on body weight involve
results from rodent MSG injection studies that link MSG to actions in brain not
applicable to MSG ingestion studies. The fundamental reason is that glutamate is
metabolically compartmentalized in the body, and generally does not passively
cross biologic membranes. Hence, almost no ingested glutamate/MSG passes from gut
into blood, and essentially none transits placenta from maternal to fetal
circulation, or crosses the blood-brain barrier. Dietary MSG, therefore, does not
gain access to brain. Overall, it appears that normal dietary MSG use is unlikely
to influence energy intake, body weight or fat metabolism.
PMID- 24927697
TI - Metabolomic analysis of amino acid and energy metabolism in rats supplemented
with chlorogenic acid.
AB - This study was conducted to investigate effects of chlorogenic acid (CGA)
supplementation on serum and hepatic metabolomes in rats. Rats received daily
intragastric administration of either CGA (60 mg/kg body weight) or distilled
water (control) for 4 weeks. Growth performance, serum biochemical profiles, and
hepatic morphology were measured. Additionally, serum and liver tissue extracts
were analyzed for metabolomes by high-resolution (1)H nuclear magnetic resonance
based metabolomics and multivariate statistics. CGA did not affect rat growth
performance, serum biochemical profiles, or hepatic morphology. However,
supplementation with CGA decreased serum concentrations of lactate, pyruvate,
succinate, citrate, beta-hydroxybutyrate and acetoacetate, while increasing serum
concentrations of glycine and hepatic concentrations of glutathione. These
results suggest that CGA supplementation results in perturbation of energy and
amino acid metabolism in rats. We suggest that glycine and glutathione in serum
may be useful biomarkers for biological properties of CGA on nitrogen metabolism
in vivo.
PMID- 24927699
TI - A novel highly sensitive and selective fluorescent sensor for imaging copper (II)
in living cells.
AB - In this work, we designed and synthesized a novel quinolin-based derivative which
exhibited signaling behaviors for Cu(2+). Upon the addition of Cu(2+) to the
solution of the molecule, it displayed an obvious fluorescence quenching in a
linear fashion due to the formation of a 1:1 metal-ligand complex. This
fluorescent sensor exhibited a rare sensitivity toward Cu(II) (the level of
magnitude could be 6 * 10(-8)), a rapid response (<10 s) and also high
selectivity toward Cu(2+) over other metal ions such as Na(+), K(+), Ca(2+),
Mg(2+), Ba(2+), Fe(3+), Mn(2+), Hg(2+), Pb(2+), Cd(2+), Cr(3+), Co(2+), Zn(2+)
and Al(3+). Simultaneously, the cell imaging experiments and filter paper test
demonstrated its extensive applicability.
PMID- 24927700
TI - Subgroups of Alzheimer's disease: stability of empirical clusters over time.
AB - Although episodic memory impairment is usually the earliest sign of Alzheimer's
disease (AD), there are up to 15% of patients presenting with early impairment in
non-memory cognitive functions (i.e., atypical AD). Stratifying patients with AD
may aid clinical trials. Previous studies divided patients by cognitive profile,
focusing on cross-sectional analyses without testing stability of clusters over
time. We used principal component analysis followed by cluster analyses in 127
patients with AD based on 24 cognitive scores at 0, 6, 12, and 24 months follow
up. We investigated the definition of clusters and their stability over time as
well as interactions of cluster assignment and disease severity. At each time
point, six distinct factors and four distinct clusters were extracted that did
not differ substantially between time points. Clusters were defined by cognitive
profile rather than disease severity. 85% of patients fell into the same cluster
twice, 42% three times, and 17% four times. Subjects with focal semantic
impairment progressed significantly faster than the other cluster.
Longitudinally, focal deficits increased relatively rather than tending toward
average disease severity. The observed similar cluster definitions at each time
point indicate the validity of the approach. Cluster-specific longitudinal
increases of focal impairments and significant between-cluster differences in
disease progression make this approach useful for stratified inclusion into
clinical trials.
PMID- 24927701
TI - Is anterior communicating artery syndrome related to fornix lesions?
AB - Anterior communicating artery (ACoA) syndrome, which may occur after rupture of
ACoA aneurysms, consists of anterograde memory problems, executive dysfunctions,
confabulations, and personality changes. Recently, the employment of diffusion
tensor tractography (DTT) has related ACoA to microstructural lesions in the
cingulum and the fornix, but an accurate characterization of these subjects
should be provided. We report the clinical and neuropsychological findings of a
patient who developed a severe and persistent amnesia together with significant
behavioral changes, as well as her imaging results, where the sole evidence of
brain damage was that of the fornix demonstrated by DTT. The four-year
neuropsychological follow-up of the subject allows exclusion of other causes.
This case demonstrates that microstructural lesions of fornix may lead to
persistent amnesia, executive impairments, and behavioral changes and contributes
to the knowledge of its role in cognition.
PMID- 24927702
TI - Evaluation of whole brain health in aging and Alzheimer's disease: a standard
procedure for scoring an MRI-based brain atrophy and lesion index.
AB - BACKGROUND: The Brain Atrophy and Lesion Index (BALI), a semi-quantitative rating
scale, has been developed to evaluate whole brain structural changes in aging and
Alzheimer's disease (AD). OBJECTIVE: This study describes a standard procedure to
score the BALI and train new raters for reliable BALI evaluation following this
procedure. METHODS: Structural MRI of subjects in the Alzheimer's Disease
Neuroimaging Initiative dataset who had 3.0T, T1, and T2 weighted MRI scans at
baseline and at 6, 12, and 24 month follow-ups were retrieved (n = 122, including
24 AD, 51 mild cognitive impairment patients, and 47 healthy control subjects).
Images were evaluated by four raters following training with a step-by-step BALI
process. Seven domains of structural brain changes were evaluated, and a total
score was calculated as the sum of the sub-scores. RESULTS: New raters achieved
>90% accuracy after two weeks of training. Reliability was shown in both intra
rater correlation coefficients (ICC >= 0.92, p < 0.001) and inter-rater
correlation coefficients (ICC >=0.88, p < 0.001). Mean BALI total scores differed
by diagnosis (F >= 2.69, p <= 0.049) and increased consistently over two years.
CONCLUSION: The BALI can be introduced using a standard procedure that allows new
users to achieve highly reliable evaluation of structural brain changes. This can
advance its potential as a robust method for assessing global brain health in
aging, AD, and mild cognitive impairment.
PMID- 24927704
TI - PSEN1 and PSEN2 gene expression in Alzheimer's disease brain: a new approach.
AB - Presenilin 1 (PSEN1) and presenilin 2 (PSEN2) genes encode the major component of
y-secretase, which is responsible for sequential proteolytic cleavages of amyloid
precursor proteins and the subsequent formation of amyloid-beta peptides. 150 RNA
samples from the entorhinal cortex, auditory cortex and hippocampal regions of
individuals with Alzheimer's disease (AD) and controls elderly subjects were
analyzed with using real-time rtPCR. There were no differences between groups for
PSEN1 expression. PSEN2 was significantly downregulated in the auditory cortex of
AD patients when compared to controls and when compared to other brain regions of
the patients. Alteration in PSEN2 expression may be a risk factor for AD.
PMID- 24927706
TI - Nutraceuticals: a novel concept in prevention and treatment of Alzheimer's
disease and related disorders.
AB - Alzheimer's disease is a growing health problem worldwide. The pharmaceutical
industry has not recently developed any new drugs that have had a significant
impact on the natural history of the disease, so considerable attention has been
given to nutraceuticals and nutritional bioactive compounds that can be obtained
directly from diet or supplementation. These compounds may be able to modify
physiopathological processes responsible for neurodegeneration and/or to have pro
cognitive properties. Here, we review current knowledge on the role of diet
modifications, lipid and carbohydrates consumption, vitamin supplementation, and
the possible effects of antioxidant and nutraceutical compounds with
neuroprotective activity, in the prevention and treatment of Alzheimer's disease
and related disorders.
PMID- 24927705
TI - Positive florbetapir PET amyloid imaging in a subject with frequent cortical
neuritic plaques and frontotemporal lobar degeneration with TDP43-positive
inclusions.
AB - Abnormal neuronal accumulation and modification of TAR DNA binding protein 43
(TDP-43) have recently been discovered to be defining histopathological features
of particular subtypes of frontotemporal dementia and amyotrophic lateral
sclerosis, and are also common in aging, particularly coexisting with hippocampal
sclerosis and Alzheimer's disease pathology. This case report describes a 72 year
old Hispanic male with no family history of neurological disease, who presented
at age 59 with obsessive behavior, anxiety, agitation, and dysphasia. Positron
emission tomography imaging using the amyloid ligand 18F florbetapir (Amyvid) was
positive. Postmortem examination revealed frequent diffuse and neuritic amyloid
plaques throughout the cerebral cortex, thalamus, and striatum, Braak stage II
neurofibrillary degeneration, and frequent frontal and temporal cortex TDP-43
positive neurites with rare nuclear inclusions. The case is unusual and
instructive because of the co-existence of frequent cortical and diencephalic
amyloid plaques with extensive TDP-43-positive histopathology in the setting of
early-onset dementia and because it demonstrates that a positive cortical amyloid
imaging signal in a subject with dementia does not necessarily establish that
Alzheimer's disease is the sole cause.
PMID- 24927708
TI - The partial volume effect in the quantification of 1H magnetic resonance
spectroscopy in Alzheimer's disease and aging.
AB - 1H-MRS variability increases due to normal aging and also as a result of atrophy
in grey and white matter caused by neurodegeneration. In this work, an automatic
process was developed to integrate data from spectra and high-resolution
anatomical images to quantify metabolites, taking into account tissue partial
volumes within the voxel of interest avoiding additional spectra acquisitions
required for partial volume correction. To evaluate this method, we use a cohort
of 135 subjects (47 male and 88 female, aged between 57 and 99 years) classified
into 4 groups: 38 healthy participants, 20 amnesic mild cognitive impairment
patients, 22 multi-domain mild cognitive impairment patients, and 55 Alzheimer's
disease patients. Our findings suggest that knowing the voxel composition of
white and grey matter and cerebrospinal fluid is necessary to avoid partial
volume variations in a single-voxel study and to decrease part of the variability
found in metabolites quantification, particularly in those studies involving
elder patients and neurodegenerative diseases. The proposed method facilitates
the use of 1H-MRS techniques in statistical studies in Alzheimer's disease,
because it provides more accurate quantitative measurements, reduces the inter
subject variability, and improves statistical results when performing group
comparisons.
PMID- 24927707
TI - Synaptophysin and synaptojanin-1 in Down syndrome are differentially affected by
Alzheimer's disease.
AB - Adults with Down syndrome (DS) develop Alzheimer's disease (AD) neuropathology by
40 years of age. Synaptophysin (SYN) consistently declines with age and is
further reduced with sporadic AD. Thus, we hypothesized that SYN would be reduced
in DS with AD. The gene for synaptojanin-1 (SYNJ1), involved in synaptic vesicle
recycling, is on chromosome 21. We measured SYN and SYNJ1 in an autopsy series of
39 cases with DS and 28 without DS, along with 7 sporadic AD cases. SYN was
significantly lower in DSAD compared with DS alone and similar to sporadic AD.
Reduced SYN is associated with AD neuropathology and with Abeta levels in DS, as
is seen in sporadic AD. SYNJ1 was significantly higher in DS and correlated with
several measures of Abeta. SYNJ1 was higher in DSAD and significantly higher than
SYNJ1 in sporadic AD. Although significantly higher in DS, SYNJ1 is further
increased with AD neuropathology suggesting interesting differences in a synapse
associated protein that is overexpressed in trisomy 21.
PMID- 24927709
TI - Non-pharmacological interventions for patients with mild cognitive impairment: a
meta-analysis of randomized controlled trials of cognition-based and exercise
interventions.
AB - BACKGROUND: Non-pharmacological interventions, including cognition-based
intervention and physical exercise, are available for mild cognitive impairment
(MCI), but their efficacy remains uncertain. OBJECTIVE: To evaluate efficacy of
cognition-based intervention and physical exercise on cognitive domains in
patients with MCI. METHODS: We searched MEDLINE, EMBASE, the Cochrane library,
and BIOSIS previews to identify randomized controlled trials (RCTs) that involved
cognition-based intervention and physical exercise for persons who were diagnosed
with MCI. The pooled weight mean difference or standard mean difference (SMD)
were calculated using a random-effect model. RESULTS: We found significant
effects of cognition-based intervention on global cognitive function (SMD 0.37
[0.07, 0.68] p = 0.02). Besides, cognition-based intervention produced
significant effects on executive function reported with TMT-B (SMD 0.8 [0.09,
1.5] p = 0.03) and delayed memory (SMD 0.31 [0.01, 0.61] p = 0.05). A beneficial
improvement in global cognitive function was also seen in the exercise group
compared to the control group (SMD 0.25 [0.08, 0.41] p = 0.003). CONCLUSIONS:
Both of cognition-based intervention and physical exercise had the potential to
improve global cognitive function. Weak evidence of improvements was also
observed for the domains of executive function and delayed memory following
cognition-based intervention. Nevertheless, future standard RCTs are still needed
to identify the clinical value of our results.
PMID- 24927710
TI - Early neuronal loss and axonal/presynaptic damage is associated with accelerated
amyloid-beta accumulation in AbetaPP/PS1 Alzheimer's disease mice subiculum.
AB - The progressive cognitive decline leading to dementia in Alzheimer's disease (AD)
patients is the consequence of a severe loss of synapses and neurons affecting
particular cell subpopulations in selected brain areas, with the subiculum being
one of the earliest regions displaying severe atrophy and pathology. The lack of
significant neuronal loss in most AD models is, in fact, the major shortcoming
for the preclinical evaluation of drugs that could have greater potential in
patients to alleviate or prevent this disease. In this study, using
immunohistochemical and stereological approaches, we have analyzed the
histopathological events in the subiculum of AbetaPP751SwedLondon/PS1M146L mice,
a transgenic model that displays neuronal vulnerability at early ages in
hippocampus and entorhinal cortex. Our results indicate that the subiculum is the
earliest affected region in the hippocampus, showing a selective early loss of
both principal neurons (28%) and SOM-positive interneurons (69%). In addition,
our data demonstrate the existence of an early axonal and synaptic pathology,
which may represent the beginning of the synaptic disruption and loss. These
neurodegenerative processes occur in parallel, and closely related, with the
onset and accelerated progression of the extracellular amyloid-beta deposition,
thus suggesting plaques as major contributors of neuronal/axonal damage. Data
reported here indicate that this AD model displays a selective AD-like
neurodegenerative phenotype in highly vulnerable regions, including the
subiculum, and therefore can be a very useful model for testing the therapeutic
ability of potential compounds to protect neurons and ameliorate disease
symptoms.
PMID- 24927711
TI - The effects of impaired cerebral circulation on Alzheimer's disease pathology:
evidence from animal studies.
AB - Persistent systemic hypoxia, a direct consequence of alterations in vascular
function, can compromise the brain by increasing the risk of developing dementias
such as Alzheimer's disease (AD). Vascular contributions to cognitive impairment
and AD in aged individuals are common, and several vascular risk factors for AD
are linked to hypoxia. Clinical evidence confirms that structural and functional
changes characteristic of AD pathology also occur following hypoxic-ischemic
events such as stroke and traumatic brain injury. Studies with transgenic and non
transgenic mouse models reliably show that hypoxia increases the levels of
amyloid-beta peptides that form the characteristic plaques in AD brains.
Moreover, some studies suggest that vascular lesions also promote tau
phosphorylation, modulate apolipoprotein E expression, and have more profound
effects in aged animals, but additional evidence is needed to establish these
findings. Although the mechanisms underlying hypoxia-related effects remain
unclear, controlled animal studies continue to reveal mechanistic aspects of the
relationship between hypoxia and AD pathology that are necessary for therapeutic
developments. The present review summarizes evidence from rodent studies
regarding the effects of hypoxia on AD-related pathology and evaluates its impact
on understanding human disease.
PMID- 24927713
TI - Hand-held medical robots.
AB - Medical robots have evolved from autonomous systems to tele-operated platforms
and mechanically-grounded, cooperatively-controlled robots. Whilst these
approaches have seen both commercial and clinical success, uptake of these robots
remains moderate because of their high cost, large physical footprint and long
setup times. More recently, researchers have moved toward developing hand-held
robots that are completely ungrounded and manipulated by surgeons in free space,
in a similar manner to how conventional instruments are handled. These devices
provide specific functions that assist the surgeon in accomplishing tasks that
are otherwise challenging with manual manipulation. Hand-held robots have the
advantages of being compact and easily integrated into the normal surgical
workflow since there is typically little or no setup time. Hand-held devices can
also have a significantly reduced cost to healthcare providers as they do not
necessitate the complex, multi degree-of-freedom linkages that grounded robots
require. However, the development of such devices is faced with many technical
challenges, including miniaturization, cost and sterility, control stability,
inertial and gravity compensation and robust instrument tracking. This review
presents the emerging technical trends in hand-held medical robots and future
development opportunities for promoting their wider clinical uptake.
PMID- 24927712
TI - Prevalence of HIV, syphilis, and other sexually transmitted infections among MSM
from three cities in Panama.
AB - Respondent-driven sampling (RDS) was used to conduct a biobehavioral survey among
men who have sex with men (MSM) in three cities in the Republic of Panama. We
estimated the prevalence of HIV, syphilis, and other sexually transmitted
infections (STIs), sociodemographic characteristics, and sexual risk behaviors.
Among 603 MSM recruited, RDS-adjusted seroprevalences (95 % confidence intervals)
were: HIV-David 6.6 % (2.2-11.4 %), Panama 29.4 % (19.7-39.7 %), and Colon 32.6 %
(18.0-47.8 %); active syphilis-David 16.0 % (8.9-24.2 %), Panama 24.7 % (16.7
32.9 %), Colon 31.6 % (14.8-47.5 %); resolved HBV infection-David 10.0 % (4.8
16.8 %), Panama 29.4 % (20.0-38.3 %), and Colon 40.6 % (21.9-54.4 %); herpes
simplex virus type 2-David 38.4 % (27.9-48.9 %), Panama 62.6 % (52.8-71.0 %), and
Colon 72.9 % (57.4-85.8 %). At least a third of MSM in each city self-identified
as heterosexual or bisexual. HIV prevalence is concentrated among MSM. Preventive
interventions should focus on increasing HIV and syphilis testing, and increasing
promotion of condom awareness and use.
PMID- 24927714
TI - WITHDRAWN: Effect of anti-coagulant choice on cord blood processing results.
PMID- 24927715
TI - Mechanism of mesenchymal stem cell-induced neuron recovery and anti-inflammation.
AB - BACKGROUND AIMS: After ischemic or hemorrhagic stroke, neurons in the penumbra
surrounding regions of irreversible injury are vulnerable to delayed but
progressive damage as a result of ischemia and hemin-induced neurotoxicity. There
is no effective treatment to rescue such dying neurons. Mesenchymal stem cells
(MSCs) hold promise for rescue of these damaged neurons. In this study, we
evaluated the efficacy and mechanism of MSC-induced neuro-regeneration and immune
modulation. METHODS: Oxygen-glucose deprivation (OGD) was used in our study. M17
neuronal cells were subjected to OGD stress then followed by co-culture with
MSCs. Rescue effects were evaluated using proliferation and apoptosis assays.
Cytokine assay and quantitative polymerase chain reaction were used to explore
the underlying mechanism. Antibody and small molecule blocking experiments were
also performed to further understand the mechanism. RESULTS: We showed that M17
proliferation was significantly decreased and the rate of apoptosis increased
after exposure to OGD. These effects could be alleviated via co-culture with
MSCs. Tumor necrosis factor-alpha was found elevated after OGD stress and was
back to normal levels after co-culture with MSCs. We believe these effects
involve interleukin-6 and vascular endothelial growth factor signaling pathways.
DISCUSSION: Our studies have shown that MSCs have anti-inflammatory properties
and the capacity to rescue injured neurons.
PMID- 24927717
TI - Pharmacoeconomic impact of up-front use of plerixafor for autologous stem cell
mobilization in patients with multiple myeloma.
AB - BACKGROUND AIMS: Stem cell collection can be a major component of overall cost of
autologous stem cell transplantation (ASCT). Plerixafor is an effective agent for
mobilization; however, it is often reserved for salvage therapy because of its
high cost. We present data on the pharmacoeconomic impact of the use of
plerixafor as an up-front mobilization in patients with multiple myeloma (MM).
METHODS: Patients with MM who underwent ASCT between January 2008 and April 2011
at the Mount Sinai Medical Center were reviewed retrospectively. In April 2010,
practice changes were instituted for patients with MM to delay initiation of
granulocyte-colony-stimulating factor (G-CSF) support from day 0 to day +5 and to
add plerixafor to G-CSF as an up-front autologous mobilization. Targets of
collection were 5-10 * 10(6) CD34(+) cells/kg. RESULTS: Of 50 adults with MM who
underwent ASCT, 25 received plerixafor/filgrastim and 25 received G-CSF alone as
an up-front mobilization. Compared with the control, plerixafor mobilization
yielded higher CD34(+) cell content (16.1 versus 8.4 * 10(6) CD34(+) cells/kg; P
= 0.0007) and required fewer sessions of apheresis (1.9 versus 3.1; P = 0.0001).
In the plerixafor group, the mean number of plerixafor doses required per patient
was 1.8. Although the overall cost of medications was higher in the plerixafor
group, the cost for blood products and overall cost of hospitalization were
similar between the two groups. CONCLUSIONS: Up-front use of plerixafor is an
effective mobilization strategy in patients with MM and does not have a
substantial pharmacoeconomic impact in overall cost of hospitalization combined
with the apheresis procedure.
PMID- 24927716
TI - Multi-site evaluation of the BD Stem Cell Enumeration Kit for CD34(+) cell
enumeration on the BD FACSCanto II and BD FACSCalibur flow cytometers.
AB - BACKGROUND AIMS: Evaluation of the BD Stem Cell Enumeration Kit was conducted at
four clinical sites with flow cytometry CD34(+) enumeration to assess agreement
between two investigational methods: (i) the BD FACSCanto II and BD FACSCalibur
systems and (ii) the predicate method (Beckman Coulter StemKit and StemTrol,
Immunotech SAS, Beckman Coulter, Marseille Cedex 9, France). METHODS: Leftover
and delinked specimens (n = 1032) from clinical flow cytometry testing were
analyzed on the BD FACSCanto II (n = 918) and BD FACSCalibur (n = 905) in normal
and mobilized blood, frozen and thawed bone marrow and leucopheresis and cord
blood anticoagulated with citrate phosphate dextrose, anticoagulant citrate
dextrose-solution A, heparin and ethylenediaminetetraacetate, alone or in
combination. Fresh leucopheresis analysis addressed site equivalency for sample
preparation, testing and analysis. RESULTS: The mean relative bias showed
agreement within predefined parameters for the BD FACSCanto II (-2.81 to 4.31 +/
7.1) and BD FACSCalibur (-2.69 to 5.2 +/-7.9). Results are reported as absolute
and relative differences compared with the predicate for viable CD34(+),
percentage of CD34(+) in CD45(+) and viable CD45(+) populations (or gates). Bias
analyses of the distribution of the predicate low, mid and high bin values were
done using BD FACSCanto II optimal gating and BD FACSCalibur manual gating for
viable CD34(+), percentage of CD34(+) in CD45(+) and viable CD45(+). Bias results
from both investigational methods show agreement. Deming regression analyses
showed a linear relationship with R(2) > 0.92 for both investigational methods.
DISCUSSION: In conclusion, the results from both investigational methods
demonstrated agreement and equivalence with the predicate method for enumeration
of absolute viable CD34(+), percentage of viable CD34(+) in CD45(+) and absolute
viable CD45(+) populations.
PMID- 24927718
TI - Human platelet lysate is a feasible candidate to replace fetal calf serum as
medium supplement for blood vascular and lymphatic endothelial cells.
AB - BACKGROUND AIMS: As angiogenic and lymphangiogenic key players, endothelial cells
(ECs) are promising candidates for vascular regenerative therapies. To culture
ECs in vitro, fetal calf serum (FCS) is most often used. However, some critical
aspects of FCS usage, such as possible internalization of xenogeneic proteins and
prions, must be considered. Therefore, the aim of this project was to determine
if human platelet lysate (hPL) is a suitable alternative to FCS as medium
supplement for the culture of blood vascular and lymphatic endothelial cells.
METHODS: The usability of hPL was tested by analysis of endothelial surface
marker expression, metabolic activity and vasculogenic potential of outgrowth ECs
(OECs), human umbilical vein ECs (HUVECs), and lymphatic ECs (LECs). RESULTS:
Expression of EC markers CD31, VEGFR2, VE-cadherin and CD146 did not differ
significantly between the EC types cultured in FCS or hPL. In addition, OECs,
HUVECs and LECs formed tube-like structures on Matrigel when cultured in hPL and
FCS. With the use of 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazoliumbromid
assays, we found that the metabolic activity of OECs and LECs was slightly
decreased when hPL was used. However, HUVECs and LECs did not show a significant
decrease in metabolic activity, and HUVECs showed a slightly higher activity at
low seeding densities. CONCLUSIONS: The use of hPL on different EC types did not
reveal any substantial negative effects on EC behavior. Thus, hPL appears to be a
favorable candidate to replace FCS as a medium supplement in the culture of ECs.
PMID- 24927719
TI - Interrelation between genotypes of the vitamin D receptor gene and serum sex
hormone concentrations in the Polish elderly population: the PolSenior study.
AB - AIM: Vitamin D co-regulates the synthesis of sex hormones. Therefore, the aim of
this study was to determine whether the presence of certain genotypes of the
vitamin D receptor gene (VDR) is associated with the serum levels of sex hormones
in the elderly Polish population. MATERIALS AND METHODS: The rs10735810,
rs1544410, rs7975232, and rs731236 polymorphisms of VDR, the serum levels of
testosterone and estradiol, as well as free estrogen index (FEI) and free
androgen index (FAI) were evaluated in 360 women and 400 men aged 65-90years
selected from 5695 respondents of the PolSenior survey. RESULTS: Only the
rs1544410 VDR polymorphism was associated with the serum levels of sex hormones.
The prevalence of rs1544410 genotypes was 38% BB, 46% Bb, and 16% bb in women and
41% BB, 44% Bb, and 15% bb in men. In women the frequency of the B allele was
p=0.61 and b allele q=0.39, while in men it was p=0.63 and q=0.37, respectively.
We found significant differences in the serum testosterone level (p<0.0004) and
FAI (p<0.0015) between the rs1544410 genotypes in women but not in men. Higher
mean testosterone level and higher mean FAI were observed in women with a rare bb
genotype in comparison to a common BB genotype. CONCLUSION: We hypothesize that
in women the increase in VDR expression associated with a rare genotype of the
rs1544410 polymorphism of this gene may be associated with an increase in
testosterone and FAI levels.
PMID- 24927721
TI - Meconium drug testing in multiple births in the USA.
AB - Little is published about newborn drug testing in multiple gestations. The
objective of this study was to review the results of meconium drug screening in
multiple births to compare drug(s) and/or drug metabolite(s) detected. A
retrospective analysis was conducted using data from a national reference
laboratory and an academic medical center. The data were de-identified for the
reference laboratory dataset. For the academic center data, a detailed chart
review of the newborn and mother's medical record was performed on cases for
which one or more drug(s) and/or metabolites(s) were identified and confirmed in
meconium. Meconium was analyzed for amphetamine, methamphetamine, barbiturates,
benzodiazepines, cannabinoid metabolites, cocaine metabolites, methadone,
opiates, oxycodone, phencyclidine and propoxyphene. One hundred and forty-two of
1,084 sets of twins and 2 of 20 sets of triplets had mismatched results. The
incidence of mismatched results among the individual drug or drug classes tested
was 0.9% (208 of 23,848 total results). For the panel of drug testing performed,
mismatches were seen in 13% (142 of 1,084) sets of twins and 10% (2 of 20) sets
of triplets. Barbiturates (33%), opiates (30%) and benzodiazepines (28%) were the
most common mismatches in the national reference laboratory dataset.
Benzodiazepines (89%) and opiates (51%) were most common in the academic medical
center dataset with most explained by iatrogenic medications administered to one
infant but not the other. Mismatches for cannabinoids most often occurred when
tetrahydrocannabinol metabolites were present at a low concentration (near lower
reporting limit) in one infant but not the other. Mismatched results of meconium
drug testing in multiples not explainable by differences in prescribed
medications are uncommon and most often occur when an analyte is barely above
reporting cutoff in only one infant. Administration of iatrogenic medications to
one infant but not the other(s) is another frequent cause of such mismatches.
PMID- 24927720
TI - Connectivity-based parcellation of the human frontal polar cortex.
AB - The frontal pole corresponds to Brodmann area (BA) 10, the largest single
architectonic area in the human frontal lobe. Generally, BA10 is thought to
contain two or three subregions that subserve broad functions such as
multitasking, social cognition, attention, and episodic memory. However, there is
a substantial debate about the functional and structural heterogeneity of this
large frontal region. Previous connectivity-based parcellation studies have
identified two or three subregions in the human frontal pole. Here, we used
diffusion tensor imaging to assess structural connectivity of BA10 in 35 healthy
subjects and delineated subregions based on this connectivity. This allowed us to
determine the correspondence of structurally based subregions with the scheme
previously defined functionally. Three subregions could be defined in each
subject. However, these three subregions were not spatially consistent between
subjects. Therefore, we accepted a solution with two subregions that encompassed
the lateral and medial frontal pole. We then examined resting-state functional
connectivity of the two subregions and found significant differences between
their connectivities. The medial cluster was connected to nodes of the default
mode network, which is implicated in internally focused, self-related thought,
and social cognition. The lateral cluster was connected to nodes of the executive
control network, associated with directed attention and working memory. These
findings support the concept that there are two major anatomical subregions of
the frontal pole related to differences in functional connectivity.
PMID- 24927722
TI - Mixture models of nucleotide sequence evolution that account for heterogeneity in
the substitution process across sites and across lineages.
AB - Molecular phylogenetic studies of homologous sequences of nucleotides often
assume that the underlying evolutionary process was globally stationary,
reversible, and homogeneous (SRH), and that a model of evolution with one or more
site-specific and time-reversible rate matrices (e.g., the GTR rate matrix) is
enough to accurately model the evolution of data over the whole tree. However, an
increasing body of data suggests that evolution under these conditions is an
exception, rather than the norm. To address this issue, several non-SRH models of
molecular evolution have been proposed, but they either ignore heterogeneity in
the substitution process across sites (HAS) or assume it can be modeled
accurately using the distribution. As an alternative to these models of
evolution, we introduce a family of mixture models that approximate HAS without
the assumption of an underlying predefined statistical distribution. This family
of mixture models is combined with non-SRH models of evolution that account for
heterogeneity in the substitution process across lineages (HAL). We also present
two algorithms for searching model space and identifying an optimal model of
evolution that is less likely to over- or underparameterize the data. The
performance of the two new algorithms was evaluated using alignments of
nucleotides with 10 000 sites simulated under complex non-SRH conditions on a 25
tipped tree. The algorithms were found to be very successful, identifying the
correct HAL model with a 75% success rate (the average success rate for assigning
rate matrices to the tree's 48 edges was 99.25%) and, for the correct HAL model,
identifying the correct HAS model with a 98% success rate. Finally, parameter
estimates obtained under the correct HAL-HAS model were found to be accurate and
precise. The merits of our new algorithms were illustrated with an analysis of 42
337 second codon sites extracted from a concatenation of 106 alignments of
orthologous genes encoded by the nuclear genomes of Saccharomyces cerevisiae, S.
paradoxus, S. mikatae, S. kudriavzevii, S. castellii, S. kluyveri, S. bayanus,
and Candida albicans. Our results show that second codon sites in the ancestral
genome of these species contained 49.1% invariable sites, 39.6% variable sites
belonging to one rate category (V1), and 11.3% variable sites belonging to a
second rate category (V2). The ancestral nucleotide content was found to differ
markedly across these three sets of sites, and the evolutionary processes
operating at the variable sites were found to be non-SRH and best modeled by a
combination of eight edge-specific rate matrices (four for V1 and four for V2).
The number of substitutions per site at the variable sites also differed
markedly, with sites belonging to V1 evolving slower than those belonging to V2
along the lineages separating the seven species of Saccharomyces. Finally, sites
belonging to V1 appeared to have ceased evolving along the lineages separating S.
cerevisiae, S. paradoxus, S. mikatae, S. kudriavzevii, and S. bayanus, implying
that they might have become so selectively constrained that they could be
considered invariable sites in these species.
PMID- 24927723
TI - Pharmacological management of pain in Australian Aged Care Facilities.
AB - BACKGROUND: up to 80% of residents in aged care facilities (ACFs) experience
pain, and previous studies have found that older patients with pain are often
undertreated. Few studies have been conducted in Australia evaluating the use of
analgesic therapy in ACF residents. OBJECTIVE: to explore the use of analgesics
among ACF residents, including independent predictors of analgesic use, evaluate
analgesic use against pain management guidelines and identify potential
medication management issues. METHODS: a retrospective analysis of 7,309
medicines reviews conducted on Australian ACF residents was undertaken.
Medication use was compared with published guidelines relating to the management
of pain in elderly patients or ACF residents. Multiple variable logistic
regression was used to identify independent predictors of analgesic use. RESULTS:
nearly 91% of residents were prescribed analgesics. Of those, 2,057 residents
were taking regular opioids (28.1%). Only 50% of those taking regular opioids
received regular paracetamol at doses of 3-4 g/day. The concurrent use of
sedatives was high, with 48.4% of those taking regular opioids also taking an
anxiolytic/hypnotic. CONCLUSION: there is a need to optimise the prescribing and
administration of regular paracetamol as a first line and continuing therapy for
pain management in ACF residents, to potentially improve pain management and
reduce opioid requirements. Furthermore, with the risk of falls and fractures
increased by concurrent use of opioids and sedatives, the widespread use of these
drugs in a population already at high risk was concerning, indicating a need for
better education of health professionals in this area.
PMID- 24927724
TI - Motivators for women to attend cervical screening: the influential role of GPs.
AB - BACKGROUND: Participation in organized cervical cancer screening has declined
recently. While research has focussed on barriers to screening participation,
less attention has been paid to what motivates women to attend. Moreover, little
is known about health care provider/practitioner-level barriers and facilitators
to participation. Better understanding of these issues could help inform
strategies to improve participation. OBJECTIVES: To explore the role of GPs in
influencing women's cervical screening behaviours and investigate other
motivators for women to attend for a cervical smear. METHODS: Ten focus groups
were conducted in Ireland, shortly before the launch of a national cervical
screening programme. Discussions were audio-recorded, transcribed verbatim and
transcripts were analysed thematically. RESULTS: GPs greatly influence women's
screening behaviours and can have a positive or negative impact on women's
participation in screening. Four major subthemes emerged in relation to this: the
attitude of the GP; prompting by the GP; trust in the GP and women's
relationships with their GP. Two main motivators to screening participation were
identified: personal reasons/benefits (e.g. potential of smears to be life
saving); and practical issues/convenience. Women's also expressed desires for
what they would like to see incorporated in the national screening programme
(e.g. an 'out-of-hours' service). CONCLUSION: GPs can impact positively and
negatively on women's cervical screening participation. Providing on-going
support to GPs around their cervical screening practices is essential to maximize
screening attendance. Targeted information materials that focus on the personal
reasons and benefits of having smear tests could help stimulate women to
participate.
PMID- 24927725
TI - Factors associated with adherence to glaucoma pharmacotherapy in the primary care
setting.
AB - BACKGROUND: Primary open-angle glaucoma is a leading cause of irreversible
blindness. OBJECTIVES: To identify factors associated with adherence to glaucoma
pharmacotherapy in the primary care setting, focusing on physicians' role.
METHODS: Patients were recruited from primary care clinics and telephone
interviewed using a structured questionnaire that addressed patient-, medication
, environment- and physicians-related factors. Patients' data on pharmacy claims
were retrieved to calculate the medication possession ratio for measuring
adherence. RESULTS: Seven hundred thirty-eight glaucoma patients were
interviewed. The multivariate analysis identified eight variables that were
associated independently with adherence. Barriers to adherence were found to be
low income, believing that 'It makes no difference to my vision whether I take
the drops or not' and relying on someone else for drop instillation (exp(B) =
1.91, P = 0.002; exp(B) = 2.61, P < 0.0001; exp(B) = 2.17, P = 0.001,
respectively). Older age, having a glaucoma patient among close acquaintances,
taking a higher number of drops per day, taking a prostaglandin drug and
reporting that the ophthalmologist had discussed the importance of taking eye
drops as prescribed, were found to promote adherence (exp(B) = 0.96, P < 0.0001;
exp(B) = 0.54, P = 0.014; exp(B) = 0.81, P = 0.001; exp(B) = 0.37, P < 0.0001;
exp(B) = 0.60, P = 0.034, respectively). No association was found between the
patient's relationship with the family physician and adherence to glaucoma
treatment. CONCLUSION: Adherence to glaucoma pharmacotherapy is associated with
patient-related, medication-related, physician-related and environmental factors.
Ophthalmologists have a significant role in promoting adherence. However, the
potential role of family physicians is unfulfilled and unrecognized.
PMID- 24927726
TI - Ionizing radiation affects the expression of Toll-like receptors 2 and 4 in human
monocytic cells through c-Jun N-terminal kinase activation.
AB - Pattern recognition receptors recognize pathogen-associated molecular patterns.
Among these, Toll-like receptors (TLRs) have well-characterized roles in
antibacterial and antiviral immunity. In the present study, the effects of
ionizing radiation on the expression of TLRs and cellular responses to ligands
were investigated in THP1 monocytes (human monocytic leukemia cells) and THP1
derived macrophage cells (macrophage-like cells), which are induced by culturing
in the presence of phorbol 12-myristate 13-acetate. TLR2 and TLR4 expression was
detected in THP1 and macrophage-like cells. X-irradiation caused increased
expression of these TLRs in THP1 and decreased expression in macrophage-like
cells. Responses to FSL-1 (TLR2 ligand) and lipopolysaccharide (LPS, TLR4 ligand)
were estimated by determining the induction of tumor necrosis factor-alpha (TNF
alpha). After FSL-1 or LPS stimulation, TNF-alpha induction was greater in X
irradiated THP1 monocytes than in non-irradiated cells. However, although TNF
alpha expression was not affected by X-irradiation in macrophage-like cells, the
expression of LPS-inducible interferon-beta was lower following X-irradiation of
macrophage-like cells. To clarify the mechanisms of TLR2 and TLR4 regulation by X
irradiation, expression of mitogen-activated protein kinase was investigated.
These experiments showed that c-Jun N-terminal kinase (JNK) mediated increases in
TLR expression in X-irradiated THP1 monocytes and decreases in TLR expression in
X-irradiated macrophage-like cells. This study demonstrates that ionizing
radiation modulates ligand-responsive TLR expression through the JNK pathway,
depending on differentiation state.
PMID- 24927727
TI - Dosimetric comparison of three different treatment modalities for total scalp
irradiation: the conventional lateral photon-electron technique, helical
tomotherapy, and volumetric-modulated arc therapy.
AB - The aim of this study was to compare lateral photon-electron (LPE), helical
tomotherapy (HT), and volumetric-modulated arc therapy (VMAT) plans for total
scalp irradiation. We selected a single adult model case and compared the
dosimetric results for the three plans. All plans mainly used 6-MV photon beams,
and the prescription dose was 60 Gy in 30 fractions. First, we compared the LPE,
HT and VMAT plans, with all plans including a 1-cm bolus. We also compared HT
plans with and without the bolus. The conformity indices for LPE, HT and VMAT
were 1.73, 1.35 and 1.49, respectively. The HT plan showed the best conformity
and the LPE plan showed the worst. However, the plans had similar homogeneity
indexes. The dose to the hippocampus was the highest in the VMAT plan, with a
mean of 6.7 Gy, compared with 3.5 Gy in the LPE plan and 4.8 Gy in the HT plan.
The doses to the optical structures were all within the clinically acceptable
range. The beam-on time and monitor units were highest in the HT plan. The HT
plans with and without a bolus showed similar target coverage and organ-at-risk
(OAR) sparing. The HT plan showed the best target coverage and conformity, with
low doses to the brain and hippocampus. This plan also had the advantage of not
necessarily requiring a bolus. Although the VMAT plan showed better conformity
than the LPE plan and acceptable OAR sparing, the dose to the hippocampus should
be considered when high doses are prescribed.
PMID- 24927728
TI - Hsp90 modulates PPARgamma activity in a mouse model of nonalcoholic fatty liver
disease.
AB - Nonalcoholic fatty liver disease (NAFLD) is a highly prevalent complication of
obesity, yet cellular mechanisms that lead to its development are not well
defined. Previously, we have documented hepatic steatosis in mice carrying a
mutation in the Sec61a1 gene. Here we examined the mechanism behind NAFLD in
Sec61a1 mutant mice. Livers of mutant mice exhibited upregulation of Pparg and
its target genes Cd36, Cidec, and Lpl, correlating with increased uptake of fatty
acid. Interestingly, these mice also displayed activation of the heat shock
response (HSR), with elevated levels of heat shock protein (Hsp) 70, Hsp90, and
heat shock factor 1. In cell lines, inhibition of Hsp90 function reduced
Ppargamma signaling and protein levels. Conversely, overexpression of Hsp90
increased Ppargamma signaling and protein levels by reducing degradation. This
may occur via a physical interaction as Hsp90 and Ppargamma coimmunoprecipitated
in vivo. Furthermore, inhibition of Hsp90 in Sec61a1 mutant hepatocytes also
reduced Ppargamma protein levels and signaling. Finally, overexpression of Hsp90
in liver cell lines increased neutral lipid accumulation, and this accumulation
was blocked by Hsp90 inhibition. Our results show that the HSR and Hsp90 play an
important role in the development of NAFLD, opening new avenues for the
prevention and treatment of this highly prevalent disease.
PMID- 24927730
TI - Influence of coronary vessel dominance on short- and long-term outcome in
patients after ST-segment elevation myocardial infarction.
AB - AIMS: Prognostic importance of coronary vessel dominance in patients with ST
elevation myocardial infarction (STEMI) remains uncertain. The aim of this study
was to assess influence of coronary vessel dominance on the short- and long-term
outcome after STEMI. METHODS AND RESULTS: Coronary angiographic images of
consecutive patients presenting with first STEMI were retrospectively reviewed to
assess coronary vessel dominance. Patients were followed after STEMI during a
median period of 48 (IQR38-61) months for the occurrence of all-cause mortality
and the composite of reinfarction and cardiac death. The population comprised
1131 patients of which 971 (86%) patients had a right dominant, 102 (9%) a left
dominant, and 58 (5%) a balanced system. After 5 years of follow-up, the
cumulative incidence of all-cause mortality was significantly higher in patients
with a left dominant system, compared with a right dominant and balanced system
(log-rank P = 0.013). Moreover, a left dominant system was an independent
predictor for 30-day mortality (OR 2.51, 95% CI 1.11-5.67, P = 0.027) and the
composite of reinfarction and cardiac death within 30-days after STEMI (OR 2.25,
95% CI 1.09-4.61, P = 0.028). In patients surviving first 30-days post-STEMI,
coronary vessel dominance had no influence on long-term outcome. CONCLUSIONS: A
left dominant coronary artery system is associated with a significantly increased
risk of 30-day mortality and early reinfarction after STEMI. After surviving the
first 30-days post-STEMI, coronary vessel dominance had no influence on long-term
outcome.
PMID- 24927729
TI - Structural characterization of human cholesterol 7alpha-hydroxylase.
AB - Hepatic conversion to bile acids is a major elimination route for cholesterol in
mammals. CYP7A1 catalyzes the first and rate-limiting step in classic bile acid
biosynthesis, converting cholesterol to 7alpha-hydroxycholesterol. To identify
the structural determinants that govern the stereospecific hydroxylation of
cholesterol, we solved the crystal structure of CYP7A1 in the ligand-free state.
The structure-based mutation T104L in the B' helix, corresponding to the nonpolar
residue of CYP7B1, was used to obtain crystals of complexes with cholest-4-en-3
one and with cholesterol oxidation product 7-ketocholesterol (7KCh). The
structures reveal a motif of residues that promote cholest-4-en-3-one binding
parallel to the heme, thus positioning the C7 atom for hydroxylation. Additional
regions of the binding cavity (most distant from the access channel) are involved
to accommodate the elongated conformation of the aliphatic side chain. Structural
complex with 7KCh shows an active site rigidity and provides an explanation for
its inhibitory effect. Based on our previously published data, we proposed a
model of cholesterol abstraction from the membrane by CYP7A1 for metabolism.
CYP7A1 structural data provide a molecular basis for understanding of the
diversity of 7alpha-hydroxylases, on the one hand, and cholesterol-metabolizing
enzymes adapted for their specific activity, on the other hand.
PMID- 24927731
TI - Iron deficiency defined as depleted iron stores accompanied by unmet cellular
iron requirements identifies patients at the highest risk of death after an
episode of acute heart failure.
AB - AIM: Acute heart failure (AHF) critically deranges haemodynamic and metabolic
homoeostasis. Iron is a key micronutrient for homoeostasis maintenance. We
hypothesized that iron deficiency (ID) defined as depleted iron stores
accompanied by unmet cellular iron requirements would in this setting predict the
poor outcome. METHODS AND RESULTS: Among 165 AHF patients (age 65 +/- 12 years,
81% men, 31% de novo HF), for ID diagnosis we prospectively applied: low serum
hepcidin reflecting depleted iron stores (<14.5 ng/mL, the 5th percentile in
healthy peers), and high-serum soluble transferrin receptor (sTfR) reflecting
unmet cellular iron requirements (>=1.59 mg/L, the 95th percentile in healthy
peers). Concomitance of low hepcidin and high sTfR (the most profound ID) was
found in 37%, isolated either high sTfR or low hepcidin was found in 29 and 9% of
patients, and 25% of subjects demonstrated preserved iron status. Patients with
low hepcidin and high sTfR had peripheral oedema, high NT-proBNP, high uric acid,
low haemoglobin (P < 0.05), and 5% in-hospital mortality (0% in remaining
patients). During the 12-month follow-up, 33 (20%) patients died. Those with low
hepcidin and high sTfR had the highest 12-month mortality [(41% (95% CI: 29-53%)]
when compared with those with isolated high sTfR [15% (5-25%)], isolated low
hepcidin [7% (0-19%)] and preserved iron status (0%) (P < 0.001). Analogous
mortality patterns were seen separately in anaemics and non-anaemics. CONCLUSION:
Iron deficiency defined as depleted body iron stores and unmet cellular iron
requirements is common in AHF, and identifies those with the poor outcome. Its
correction may be an attractive therapeutic approach.
PMID- 24927732
TI - Lifetime and current prevalence of common DSM-IV mental disorders, their
demographic correlates, and association with service utilisation and disability
in older Australian adults.
AB - OBJECTIVE: To describe lifetime and 12 month prevalence of common DSM-IV mental
disorders, their demographic correlates, and association with service utilisation
and disability in Australians aged 65-85 years of age. METHODS: The sample
included Australian residents aged 65-85 years who participated in the 2007
Australian National Survey of Mental Health and Well-being (n=1905). The
prevalence of DSM-IV mental disorders was estimated using the lay-interviewer
administered World Mental Health version of the Composite International
Diagnostic Interview. RESULTS: Eight percent had experienced an affective
disorder, 10% an anxiety disorder, and 12% a substance use disorder at some point
in their life. Sex, age, and marital status were significant correlates of any
lifetime mental disorder. Approximately, 2%, 4%, and 1% of old age respondents
met criteria for mood, anxiety, and substance use disorders in the past 12
months, respectively. The presence of physical disorder, disability, and greater
treatment service use were associated with any mental disorder in the past 12
months. Prevalence of lifetime and 12 month disorders by age band revealed a
decrease as age increased. CONCLUSIONS: A substantial number of community
dwelling old age Australians have experienced a mental disorder in their
lifetime. Demographic correlates of mental disorder were relatively consistent
between lifetime and 12 month prevalence of disorders, although sex made less of
an impact and the presence of physical disorders more of an impact in recent
disorders. Twelve month prevalence data suggest that a high proportion of old age
Australians still experience mental disorders, predominantly anxiety and major
depression.
PMID- 24927733
TI - Continuation of clozapine in a patient with lymphoma.
PMID- 24927734
TI - Longitudinal trends in the dispensing of psychotropic medications in Australia
from 2009-2012: focus on children, adolescents and prescriber specialty.
AB - OBJECTIVE: Longitudinal trends in the dispensing of antidepressant, antipsychotic
and ADHD medications from 2009-2012 were examined according to age and gender of
patient and prescriber speciality. Of particular interest were changing trends in
the prescription of psychotropic medications to children, adolescents and young
adults. METHOD: Dispensing data for government-subsidised antidepressant,
antipsychotic and ADHD medications were obtained from the database maintained by
the Department of Human Services. Results were expressed in terms of number of
prescriptions dispensed. RESULTS: Over the four- year study period, the
dispensing of antidepressants, antipsychotics and ADHD medications showed overall
increases of 16.1%, 22.7% and 26.1% respectively. The most rapid percentage
increases in antidepressant and antipsychotic dispensing occurred in children
aged 10-14 (35.5% and 49.1% respectively), while ADHD medication dispensing rose
most rapidly in those aged 20-24 (70.9%). Dispensing to males was more common
during childhood for all investigated classes while two-thirds of adult
antidepressant prescribing was to female patients. The most commonly prescribed
antidepressants varied by age and were as follows: fluoxetine (3-19 year olds),
desvenlafaxine (20-24 years) and venlafaxine (>25 years). Risperidone was the
most common antipsychotic dispensed to children under 15, quetiapine to
adolescents and young adults (15-24 years), and olanzapine to adults.
Methylphenidate was the most common ADHD medication in those aged under 25, and
dexamphetamine the most common in adults. Most antidepressants and antipsychotics
were prescribed by GPs (89.9% and 70.6% respectively), while the majority of ADHD
medications were prescribed by paediatricians (59.1%). CONCLUSIONS: Dispensing of
psychotropic medications increased markedly from 2009 to 2012, with notable age
specific trends. General adherence to treatment guidelines is apparent, yet
concerns exist regarding rapid increases in serotonin noradrenaline reuptake
inhibitor (SNRI) antidepressant prescribing, the likely overmedication of persons
with mild psychological distress, and the increasing use of powerful psychotropic
medications in younger populations despite uncertain risk-benefit profiles.
PMID- 24927735
TI - The recovery model and anorexia nervosa.
AB - OBJECTIVE: Treatments in anorexia nervosa (AN) have not been wholly effective
and, accordingly, practices need to be reviewed. The recovery model is an
approach to treatment that has become a guiding principle for mental health
policy worldwide that might provide promise for AN treatment. The model has
received much attention in recent years; however, there is a dearth of literature
exploring how useful this model is for AN. The aim of the current article was to
consider the relevance of this model in AN. METHODS: This article provides a
summary of the recovery model and reviews the literature to establish whether it
is compatible with AN. The possible utility of the approach in AN is explored and
suggestions are made as to how the model might be implemented in treatment.
RESULTS: Qualitative studies examining the patient's perspective of AN support
the recovery model. Many evidenced-based treatments currently used in AN have
elements that are consistent with a recovery model approach. Treatments that are
most consistent with recovery approaches have been effective for those with
chronic AN. CONCLUSION: It is proposed that the model might offer a way in which
to add to current practice and might have particular relevance for those with
chronic AN. Future research is required to better understand how the model can
best be utilised in AN.
PMID- 24927737
TI - Novel genetic associations with serum level metabolites identified by phenotype
set enrichment analyses.
AB - Availability of standardized metabolite panels and genome-wide single-nucleotide
polymorphism data endorse the comprehensive analysis of gene-metabolite
association. Currently, many studies use genome-wide association analysis to
investigate the genetic effects on single metabolites (mGWAS) separately. Such
studies have identified several loci that are associated not only with one but
with multiple metabolites, facilitated by the fact that metabolite panels often
include metabolites of the same or related pathways. Strategies that analyse
several phenotypes in a combined way were shown to be able to detect additional
genetic loci. One of those methods is the phenotype set enrichment analysis
(PSEA) that tests sets of metabolites for enrichment at genes. Here we applied
PSEA on two different panels of serum metabolites together with genome-wide data.
All analyses were performed as a two-step identification-validation approach,
using data from the population-based KORA cohort and the TwinsUK study. In
addition to confirming genes that were already known from mGWAS, we were able to
identify and validate 12 new genes. Knowledge about gene function was supported
by the enriched metabolite sets. For loci with unknown gene functions, the
results suggest a function that is interrelated with the metabolites, and hint at
the underlying pathways.
PMID- 24927739
TI - The Westgate Service and Related Referral, Assessment, and Treatment Processes.
AB - The formerly named "Dangerous and Severe Personality Disorder" (DSPD) units are
no longer standalone services within the criminal justice system in England and
Wales. These sites now provide personality disorder treatment services in the
high-security prison estate as part of the new national Offender Personality
Disorder (OPD) Pathway Strategy. The OPD Pathway intends to take responsibility
for the assessment, treatment, and management of offenders who are likely to have
a personality disorder and who present a high risk of re-offending (men and
women) and serious harm to others (men). Further PD treatment and progression
services are being commissioned in lower security prisons and in the community as
part of the new PD Strategy. While the suitability criteria for the two male high
security PD treatment sites are the same, the individual units have their own
assessment and treatment methods. This article aims to communicate the referral,
assessment, and treatment methods employed within the prison-based Westgate
Personality Disorder Treatment Service, HMP Frankland.
PMID- 24927738
TI - Grasping nettles: cellular heterogeneity and other confounders in epigenome-wide
association studies.
AB - Platform technologies for measurement of CpG methylation at multiple loci across
the genome have made ambitious epigenome-wide association studies affordable and
practicable. In contrast to genetic studies, which estimate the effects of
structural changes in DNA, and transcriptomic studies, which measure genomic
outputs, epigenetic studies can access states of regulation of genome function in
particular cells and in response to specific stimuli. Although many factors
complicate the interpretation of epigenetic variation in human disease, cell
specific methylation patterns and the cellular heterogeneity present in
peripheral blood and tissue biopsies are anticipated to cause the most problems.
In this review, we suggest that the difficulties may be exaggerated and we
explore how cellular heterogeneity may be embraced with appropriate study designs
and analytical tools. We further suggest that systematic mapping of the loci
influenced by age, sex and genetic polymorphisms will bring important biological
insights as well as improved control of epigenome-wide association studies.
PMID- 24927740
TI - Improving Agreement About Intervention Plans in Probation by Decision Support.
AB - Reliability in decision making about intervention plans is a necessary condition
for evidence-based probation work and equal treatment of offenders. Structuring
decision making can improve agreement between clinical decision makers. In a
former study however, we found that in Dutch probation practice structured risk
and needs assessment did not result in acceptable agreement about intervention
plans. The Dutch probation services subsequently introduced a tool for support in
decision making on intervention plans. This article addresses the question
whether the use of this tool results in better agreement between probation
officers. A significant and meaningful improvement in agreement was found on all
domains of the intervention plan. Implications for probation practice are
discussed.
PMID- 24927741
TI - Examining the Direct and Indirect Effects of Fear and Anger on Criminal Decision
Making Among Known Offenders.
AB - Deterrence represents the central theoretical core of the American criminal
justice system, yet relatively little attention has been paid to how emotions
like fear and anger may relate to deterrence. Psychological research has debated
whether negative emotions each have similar impacts on decision making (valence
approaches) or if distinct emotions have unique impacts (appraisal tendency
approaches). This study explores the direct and indirect influences of fear and
anger on hypothetical drunk driving likelihood, including their impact on cost
perceptions. Surveys were administered to 1,013 male and female incarcerated
felony offenders in the Southwestern United States. Using a multivariate path
model and controlling for a number of other individual factors, current fear
related to increased cost perceptions and anger to decreased costs. Anger also
maintained a direct influence on drunk driving, whereas fear did not. Despite
their shared negative valence, fear and anger appear to have dissimilar
influences on cost perceptions and criminal decision making. A better
understanding of these processes may lead to improved crime prevention
approaches.
PMID- 24927742
TI - Reliability and Validity of the Chinese Version of the LSI-R With Probationers.
AB - The Level of Service Inventory-Revised (LSI-R) is an instrument used world-wide
for offender risk/need assessment, and the predominant samples for the LSI-R
literature were Caucasian participants. This study is the first attempt to
examine the reliability and validity of a Chinese version of the LSI-R with 305
probationers (269 males, 36 females) in Guangzhou, China. Factor analysis was
conducted using principal component analysis. The internal consistency was
estimated by Cronbach's alpha for the total and subscales. Under the cross
sectional design, technical violation (TV) was used as a dependent variable for
bivariate correlations and binary logistic regression model to investigate the
concurrent validity of the Chinese version of LSI-R, controlling the age. Results
offered support to this instrument by sound internal consistency. Significant
gender differences were apparent on the total scores, and subscales of Criminal
History, Family/Marital, and Companions. Bivariate correlations and binary
logistic regression analyses supported the concurrent validity of the LSI-R total
score for technical violations, and the subscales of Criminal History and
Education/Employment were significantly associated with technical violations,
which are consistent with the LSI-R literature. Overall, the LSI-R, which has
been translated into Chinese, is a reliable risk/need assessment instrument for
technical violations for probationers in Guangzhou, China. Further studies with
follow-up data for different offender groups are needed. Discussions about the
results, implications, limitations, and further research were presented.
PMID- 24927743
TI - Clinicians in management: a qualitative study of managers' use of influence
strategies in hospitals.
AB - BACKGROUND: Combining a professional and managerial role can be challenging for
doctors and nurses. We aimed to explore influence strategies used by doctors and
nurses who are managers in hospitals with a model of unitary and profession
neutral management at all levels. METHODS: We did a study based on data from
interviews and observations of 30 managers with a clinical background in
Norwegian hospitals. RESULTS: Managers with a nursing background argued that
medical doctors could more easily gain support for their views. Nurses reported
deliberately not disclosing their professional background, and could use a doctor
as their agent to achieve a strategic advantage. Doctors believed that they had
to use their power as experts to influence peers. Doctors attempted to be medical
role models, while nurses spoke of being a role model in more general terms.
Managers who were not able to influence the system directly found informal
workarounds. We did not identify horizontal strategies in the observations and
accounts given by the managers in our study. CONCLUSIONS: Managers' professional
background may be both a resource and constraint, and also determine the
influence strategies they use. Professional roles and influence strategies should
be a theme in leadership development programs for health professionals.
PMID- 24927736
TI - Genetic variation in mitotic regulatory pathway genes is associated with breast
tumor grade.
AB - Mitotic index is an important component of histologic grade and has an etiologic
role in breast tumorigenesis. Several small candidate gene studies have reported
associations between variation in mitotic genes and breast cancer risk. We
measured associations between 2156 single nucleotide polymorphisms (SNPs) from
194 mitotic genes and breast cancer risk, overall and by histologic grade, in the
Breast Cancer Association Consortium (BCAC) iCOGS study (n = 39 067 cases; n = 42
106 controls). SNPs in TACC2 [rs17550038: odds ratio (OR) = 1.24, 95% confidence
interval (CI) 1.16-1.33, P = 4.2 * 10(-10)) and EIF3H (rs799890: OR = 1.07, 95%
CI 1.04-1.11, P = 8.7 * 10(-6)) were significantly associated with risk of low
grade breast cancer. The TACC2 signal was retained (rs17550038: OR = 1.15, 95% CI
1.07-1.23, P = 7.9 * 10(-5)) after adjustment for breast cancer risk SNPs in the
nearby FGFR2 gene, suggesting that TACC2 is a novel, independent genome-wide
significant genetic risk locus for low-grade breast cancer. While no SNPs were
individually associated with high-grade disease, a pathway-level gene set
analysis showed that variation across the 194 mitotic genes was associated with
high-grade breast cancer risk (P = 2.1 * 10(-3)). These observations will provide
insight into the contribution of mitotic defects to histological grade and the
etiology of breast cancer.
PMID- 24927744
TI - Can authorities appreciably enhance the prescribing of oral generic risperidone
to conserve resources? Findings from across Europe and their implications.
AB - BACKGROUND: Generic atypical antipsychotic drugs offer health authorities
opportunities for considerable savings. However, schizophrenia and bipolar
disorders are complex diseases that require tailored treatments. Consequently,
generally there have been limited demand-side measures by health authorities to
encourage the preferential prescribing of generics. This is unlike the situation
with hypertension, hypercholaesterolaemia or acid-related stomach disorders.The
objectives of this study were to compare the effect of the limited demand-side
measures in Western European countries and regions on the subsequent prescribing
of risperidone following generics; to utilise the findings to provide future
guidance to health authorities; and where possible, to investigate the
utilisation of generic versus originator risperidone and the prices for generic
risperidone. METHODS: Principally, this was a segmented regression analysis of
retrospective time-series data of the effect of the various initiatives in
Belgium, Ireland, Scotland and Sweden following the introduction of generic
risperidone. The study included patients prescribed at least one atypical
antipsychotic drug up to 20 months before and up to 20 months after generic
risperidone. In addition, retrospective observational studies were carried out in
Austria and Spain (Catalonia) from 2005 to 2011 as well as one English primary
care organisation (Bury Primary Care Trust (PCT)). RESULTS: There was a
consistent steady reduction in risperidone as a percentage of total selected
atypical antipsychotic utilisation following generics. A similar pattern was seen
in Austria and Spain, with stable utilisation in one English PCT. However, there
was considerable variation in the utilisation of generic risperidone, ranging
from 98% of total risperidone in Scotland to only 14% in Ireland. Similarly, the
price of generic risperidone varied considerably. In Scotland, generic
risperidone was only 16% of pre-patent loss prices versus 72% in Ireland.
CONCLUSION: Consistent findings of no increased prescribing of risperidone post
generics with limited specific demand-side measures suggests no 'spillover'
effect from one class to another encouraging the preferential prescribing of
generic atypical antipsychotic drugs. This is exacerbated by the complexity of
the disease area and differences in the side-effects between treatments. There
appeared to be no clinical issues with generic risperidone, and prices inversely
reflected measures to enhance their utilisation.
PMID- 24927745
TI - Mobile health for non-communicable diseases in Sub-Saharan Africa: a systematic
review of the literature and strategic framework for research.
AB - BACKGROUND: Mobile health (mHealth) approaches for non-communicable disease (NCD)
care seem particularly applicable to sub-Saharan Africa given the penetration of
mobile phones in the region. The evidence to support its implementation has not
been critically reviewed. METHODS: We systematically searched PubMed, Embase, Web
of Science, Cochrane Central Register of Clinical Trials, a number of other
databases, and grey literature for studies reported between 1992 and 2012
published in English or with an English abstract available. We extracted data
using a standard form in accordance with Preferred Reporting Items for Systematic
Reviews and Meta-Analyses guidelines. RESULTS: Our search yielded 475 citations
of which eleven were reviewed in full after applying exclusion criteria. Five of
those studies met the inclusion criteria of using a mobile phone for non
communicable disease care in sub-Saharan Africa. Most studies lacked comparator
arms, clinical endpoints, or were of short duration. mHealth for NCDs in sub
Saharan Africa appears feasible for follow-up and retention of patients, can
support peer support networks, and uses a variety of mHealth modalities. Whether
mHealth is associated with any adverse effect has not been systematically
studied. Only a small number of mHealth strategies for NCDs have been studied in
sub-Saharan Africa. CONCLUSIONS: There is insufficient evidence to support the
effectiveness of mHealth for NCD care in sub-Saharan Africa. We present a
framework for cataloging evidence on mHealth strategies that incorporates health
system challenges and stages of NCD care. This framework can guide approaches to
fill evidence gaps in this area. Systematic review registration: PROSPERO
CRD42014007527.
PMID- 24927746
TI - Suicidal behaviour across the African continent: a review of the literature.
AB - BACKGROUND: Suicide is a major cause of premature mortality worldwide, but data
on its epidemiology in Africa, the world's second most populous continent, are
limited. METHODS: We systematically reviewed published literature on suicidal
behaviour in African countries. We searched PubMed, Web of Knowledge, PsycINFO,
African Index Medicus, Eastern Mediterranean Index Medicus and African Journals
OnLine and carried out citation searches of key articles. We crudely estimated
the incidence of suicide and suicide attempts in Africa based on country-specific
data and compared these with published estimates. We also describe common
features of suicide and suicide attempts across the studies, including
information related to age, sex, methods used and risk factors. RESULTS: Regional
or national suicide incidence data were available for less than one third (16/53)
of African countries containing approximately 60% of Africa's population; suicide
attempt data were available for <20% of countries (7/53). Crude estimates suggest
there are over 34,000 (inter-quartile range 13,141 to 63,757) suicides per year
in Africa, with an overall incidence rate of 3.2 per 100,000 population. The
recent Global Burden of Disease (GBD) estimate of 49,558 deaths is somewhat
higher, but falls within the inter-quartile range of our estimate. Suicide rates
in men are typically at least three times higher than in women. The most
frequently used methods of suicide are hanging and pesticide poisoning. Reported
risk factors are similar for suicide and suicide attempts and include
interpersonal difficulties, mental and physical health problems, socioeconomic
problems and drug and alcohol use/abuse. Qualitative studies are needed to
identify additional culturally relevant risk factors and to understand how risk
factors may be connected to suicidal behaviour in different socio-cultural
contexts. CONCLUSIONS: Our estimate is somewhat lower than GBD, but still clearly
indicates suicidal behaviour is an important public health problem in Africa.
More regional studies, in both urban and rural areas, are needed to more
accurately estimate the burden of suicidal behaviour across the continent.
Qualitative studies are required in addition to quantitative studies.
PMID- 24927747
TI - Remotely-sensed, nocturnal, dew point correlates with malaria transmission in
Southern Province, Zambia: a time-series study.
AB - BACKGROUND: Plasmodium falciparum transmission has decreased significantly in
Zambia in the last decade. The malaria transmission is influenced by
environmental variables. Incorporation of environmental variables in models of
malaria transmission likely improves model fit and predicts probable trends in
malaria disease. This work is based on the hypothesis that remotely-sensed
environmental factors, including nocturnal dew point, are associated with malaria
transmission and sustain foci of transmission during the low transmission season
in the Southern Province of Zambia. METHODS: Thirty-eight rural health centres in
Southern Province, Zambia were divided into three zones based on transmission
patterns. Correlations between weekly malaria cases and remotely-sensed nocturnal
dew point, nocturnal land surface temperature as well as vegetation indices and
rainfall were evaluated in time-series analyses from 2012 week 19 to 2013 week
36. Zonal as well as clinic-based, multivariate, autoregressive, integrated,
moving average (ARIMAX) models implementing environmental variables were
developed to model transmission in 2011 week 19 to 2012 week 18 and forecast
transmission in 2013 week 37 to week 41. RESULTS: During the dry, low
transmission season significantly higher vegetation indices, nocturnal land
surface temperature and nocturnal dew point were associated with the areas of
higher transmission. Environmental variables improved ARIMAX models. Dew point
and normalized differentiated vegetation index were significant predictors and
improved all zonal transmission models. In the high-transmission zone, this was
also seen for land surface temperature. Clinic models were improved by adding dew
point and land surface temperature as well as normalized differentiated
vegetation index. The mean average error of prediction for ARIMAX models ranged
from 0.7 to 33.5%. Forecasts of malaria incidence were valid for three out of
five rural health centres; however, with poor results at the zonal level.
CONCLUSIONS: In this study, the fit of ARIMAX models improves when environmental
variables are included. There is a significant association of remotely-sensed
nocturnal dew point with malaria transmission. Interestingly, dew point might be
one of the factors sustaining malaria transmission in areas of general aridity
during the dry season.
PMID- 24927748
TI - Doppler ultrasound findings correlate with tissue vascularity and inflammation in
surgical pathology specimens from patients with small intestinal Crohn's disease.
AB - BACKGROUND: Crohn's disease (CD) is routinely evaluated using clinical symptoms,
laboratory variables, and the CD activity index (CDAI). However, clinical
parameters are often nonspecific and do not precisely reflect the actual activity
of CD small-intestinal lesions. The purposes of this prospective study were to
compare color Doppler ultrasound (US) findings with histological findings from
surgically resected specimens and confirm the hypothesis that color Doppler US
can distinguish tissue inflammation and fibrosis. METHODS: Among 1764 consecutive
patients who underwent color Doppler US examinations, 10 patients with CD (12
small-intestinal CD lesions) who underwent US examinations before elective small
intestine resection were evaluated in the present study. Areas of thickened
intestinal walls were evaluated in terms of blood flow using color Doppler US
imaging. The blood flow was semiquantitatively classified as "hyper-flow" and
"hypo-flow" according to the Limberg score. Resected lesions were macroscopically
and histopathologically processed. Inflammatory cell infiltration, fibrosis and
vascularity were evaluated by myeloperoxidase (granulocytes), CD163
(macrophages), CD79a (B cells), CD3 (T cells), Masson's trichrome (fibrosis), and
factor VIII staining (vascular walls). All histopathological images were entered
into virtual slide equipment and quantified using a quantitative microscopy
integrated system (TissueMorphTM). RESULTS: There were no significant differences
in disease features or laboratory findings between "hypo-flow" lesions (n = 4)
and "hyper-flow" lesions (n = 8). Histopathologically, "hyper-flow" lesions
showed significantly greater bowel wall vascularity (factor VIII) (p = 0.047) and
inflammatory cell infiltration, including CD163 macrophages (p = 0.008), CD3 T
cells, and CD79a B cells (p = 0.043), than did "hypo-flow" lesions. There was no
apparent association between the blood flow and CDAI. CONCLUSIONS: In this study,
active CD lesions were macroscopically visible in surgical specimens of patients
with increased blood flow on preoperative color Doppler US imaging. Additionally,
these CD lesions exhibited significantly greater vascularity and numbers of
inflammatory leukocytes microscopically. Color Doppler US may predict tissue
inflammation and fibrosis in small-intenstinal CD lesions.
PMID- 24927749
TI - RITA (Reactivating p53 and Inducing Tumor Apoptosis) is efficient against
TP53abnormal myeloma cells independently of the p53 pathway.
AB - BACKGROUND: The aim of this study was to evaluate the efficacy of the p53
reactivating drugs RITA and nutlin3a in killing myeloma cells. METHODS: A large
cohort of myeloma cell lines (n = 32) and primary cells (n = 21) was used for
this study. This cohort contained cell lines with various TP53 statuses and
primary cells with various incidences of deletion of chromosome 17. Apoptosis was
evaluated using flow cytometry with Apo2.7 staining of the cell lines or via the
loss of the myeloma-specific marker CD138 in primary cells. Apoptosis was further
confirmed by the appearance of a subG1 peak and the activation of caspases 3 and
9. Activation of the p53 pathway was monitored using immunoblotting via the
expression of the p53 target genes p21, Noxa, Bax and DR5. The involvement of p53
was further studied in 4 different p53-silenced cell lines. RESULTS: Both drugs
induced the apoptosis of myeloma cells. The apoptosis that was induced by RITA
was not related to the TP53 status of the cell lines or the del17p status of the
primary samples (p = 0.52 and p = 0.80, respectively), and RITA did not commonly
increase the expression level of p53 or p53 targets (Noxa, p21, Bax or DR5) in
sensitive cells. Moreover, silencing of p53 in two TP53(mutated) cell lines
failed to inhibit apoptosis that was induced by RITA, which confirmed that RITA
induced apoptosis in myeloma cells was p53 independent. In contrast, apoptosis
induced by nutlin3a was directly linked to the TP53 status of the cell lines and
primary samples (p < 0.001 and p = 0.034, respectively) and nutlin3a increased
the level of p53 and p53 targets in a p53-dependent manner. Finally, we showed
that a nutlin3a-induced DR5 increase (>= 1.2-fold increase) was a specific and
sensitive marker (p < 0.001) for a weak incidence of 17p deletion within the
samples (<= 19%). CONCLUSION: These data show that RITA, in contrast to nutlin3a,
effectively induced apoptosis in a subset of MM cells independently of p53. The
findings and could be of interest for patients with a 17p deletion, who are
resistant to current therapies.
PMID- 24927751
TI - Differential expression of p42.3 in low- and high-grade gliomas.
AB - BACKGROUND: Malignant gliomas are the most common form of primary malignant brain
tumor. It has recently been suggested that genetic changes are involved in the
progression of malignant gliomas. In previous studies, a novel gene, p42.3, was
characterized as a tumor-specific gene that encodes a mitosis phase-dependent
expression protein which is expressed in gastric cancer, but not in matched
normal tissues. METHODS: In a series of 200 human brain gliomas and 13 normal
tissues, we performed RT-PCR and mRNA in situ hybridization for analysis of p42.3
gene expression in gliomas, including astrocytoma (grade 2), oligoastrocytomas
(grade 2), anaplastic oligoastrocytomas (grade 3), glioblastomas (grade 4) and
normal tissues. Also, the mRNA expression was detected in gliomas by in situ
hybridization. After producing polyclonal antibody to p42.3, we further tested
p42.3 protein expression in astrocytomas and glioblastomas by
immunohistochemistry and Western blot analysis. RESULTS: Our results demonstrated
that overexpression of the p42.3 gene is detected in gliomas, but not in normal
brain tissues. Importantly, p42.3 mRNA expression is correlated with the
pathological features of gliomas. In addition, p42.3 protein is expressed in both
the cytoplasm and the nucleus in astrocytomas, whereas this protein appeared in
the cytoplasm in glioblastomas. CONCLUSIONS: These results indicate that p42.3
might be involved in carcinogenesis as a potential molecular marker for malignant
gliomas.
PMID- 24927750
TI - Documentation of guideline adherence in antenatal records across maternal weight
categories: a chart review.
AB - BACKGROUND: Documentation in medical records fulfills key functions, including
management of care, communication, quality assurance and record keeping. We
sought to describe: 1) rates of standard prenatal care as documented in medical
charts, and given the higher risks with excess weight, whether this documentation
varied among normal weight, overweight and obese women; and 2) adherence to
obesity guidelines for obese women as documented in the chart. METHODS: We
conducted a chart review of 300 consecutive charts of women who delivered a live
singleton at an academic tertiary centre from January to March 2012, computing
Analysis of Variance and Chi Square tests. RESULTS: The proportion of completed
fields on the mandatory antenatal forms varied from 100% (maternal age) to 52.7%
(pre-pregnancy body mass index). Generally, documentation of care was similar
across all weight categories for maternal and prenatal genetic screening tests,
ranging from 54.0% (documentation of gonorrhea/chlamydia tests) to 85.0%
(documentation of anatomy scan). Documentation of education topics varied widely,
from fetal movement in almost all charts across all weight categories but
discussion of preterm labour in only 20.6%, 12.7% and 13.4% of normal weight,
overweight and obese women's charts (p = 0.224). Across all weight categories,
documentation of discussion of exercise, breastfeeding and pain management
occurred in less than a fifth of charts. CONCLUSION: Despite a predominance of
excess weight in our region, as well as increasing perinatal risks with
increasing maternal weight, weight-related issues and other elements of prenatal
care were suboptimally documented across all maternal weight categories, despite
an obesity guideline.
PMID- 24927752
TI - Pulmonary alveolar proteinosis in children on La Reunion Island: a new inherited
disorder?
AB - BACKGROUND: Pulmonary alveolar proteinosis (PAP) is very rare in children. Only a
few small series have been published, with little information about long-term
progression. The objective of our study was to describe the clinical,
radiological and pathological features, and the long-term course of PAP in a
cohort of 34 children from La Reunion Island. METHODS: Data were retrospectively
collected from medical files. Radiological and pathological elements were
reviewed by two pediatric radiologists and three pathologists, respectively.
RESULTS: Thirteen cases were familial and 32/34 (94%) cases were family
connected. Disease onset occurred in the first six months of life in 82% of the
patients. Thoracic computed tomography scans showed the typical "crazy-paving"
pattern in 94% of cases. Respiratory disease was associated with a liver
disorder, with the detection of liver enlargement at diagnosis in 56% of cases.
The course of the disease was characterized by frequent progression to chronic
respiratory insufficiency, accompanied by the appearance of cholesterol
granulomas and pulmonary fibrosis. Overall prognosis was poor, with a mortality
of 59% and an overall five-year survival rate from birth of 64%. Whole-lung
lavages were performed in 21 patients, with no significant effect on survival.
Liver disease progressed to cirrhosis in 18% of children, with no severe
complication. CONCLUSIONS: PAP in children from la Reunion Island is
characterized by an early onset, associated liver involvement, poor prognosis and
frequent progression to lung fibrosis, despite whole-lung lavages treatment. The
geographic clustering of patients and the detection of many familial links
between most of the cases strongly suggest a genetic etiology, with an autosomal
recessive mode of inheritance.
PMID- 24927753
TI - A three-dimensional analysis of the morphological evolution and locomotor
behaviour of the carnivoran hind limb.
AB - BACKGROUND: The shape of the appendicular bones in mammals usually reflects
adaptations towards different locomotor abilities. However, other aspects such as
body size and phylogeny also play an important role in shaping bone design.We
used 3D landmark-based geometric morphometrics to analyse the shape of the hind
limb bones (i.e., femur, tibia, and pelvic girdle bones) of living and extinct
terrestrial carnivorans (Mammalia, Carnivora) to quantitatively investigate the
influence of body size, phylogeny, and locomotor behaviour in shaping the
morphology of these bones. We also investigated the main patterns of
morphological variation within a phylogenetic context. RESULTS: Size and
phylogeny strongly influence the shape of the hind limb bones. In contrast,
adaptations towards different modes of locomotion seem to have little influence.
Principal Components Analysis and the study of phylomorphospaces suggest that the
main source of variation in bone shape is a gradient of slenderness-robustness.
CONCLUSION: The shape of the hind limb bones is strongly influenced by body size
and phylogeny, but not to a similar degree by locomotor behaviour. The slender
robust "morphological bipolarity" found in bone shape variability is probably
related to a trade-off between maintaining energetic efficiency and withstanding
resistance to stresses. The balance involved in this trade-off impedes the
evolution of high phenotypic variability. In fact, both morphological extremes
(slender/robust) are adaptive in different selective contexts and lead to a
convergence in shape among taxa with extremely different ecologies but with
similar biomechanical demands. Strikingly, this "one-to-many mapping" pattern of
evolution between morphology and ecology in hind limb bones is in complete
contrast to the "many-to-one mapping" pattern found in the evolution of
carnivoran skull shape. The results suggest that there are more constraints in
the evolution of the shape of the appendicular skeleton than in that of skull
shape because of the strong biomechanical constraints imposed by terrestrial
locomotion.
PMID- 24927754
TI - Effect of seasonal variation on hospital admission due to cardiovascular disease
findings from an observational study in a divisional hospital in Bangladesh.
AB - BACKGROUND: Seasonal variation in the hospital admission due to cardiovascular
disease (CVDs) has been widely reported. However, very limited data on Bangladesh
is available regarding this matter. The aim of the current study was to
investigate the effect of seasonal variation on hospital admission due to CVDs in
a leading hospital of Bangladesh. METHODS: Over a period of two years (from May
2010 to April 2012), the number of patients hospitalized due to various CVDs and
number of death among these hospitalized patients were recorded on a day-to-day
basis. The data were recorded according to the chief reason of hospital admission
such as myocardial infarction or MI (acute, old and non-ST elevation), unstable
angina (UA), exaggeration of stable angina, acute left ventricular failure (LVF),
cardiomyopathy (ischemic and dilated) or heart failure, syncope and arrhythmia.
The data were cumulated and analyzed on month-wise and season-wise manner.
RESULTS: A total of 8371 patients were admitted over the study period (5909 male
and 2462 female; M/F ratio - 2.4:1). The highest number of patients were admitted
during winter (n = 2839, 33.9%) and lowest during summer (n = 1648, 19.7%). The
hospital admission was also significantly higher in winter compared to other
seasons (p-value versus summer, autumn and spring was 0.018, 0.020 and 0.023
respectively). Acute MI (n = 2374), Acute LVF (n = 1582) and UA (n = 1277) were
the top three reasons for hospitalization. Number of death also significantly
higher in winter compared to other seasons (p-value versus summer, winter and
spring was 0.044, 0.050 and 0.014 respectively). CONCLUSION: A seasonal variation
in the hospital admission due to CVDs with a peak in winter was clearly
demonstrated in the study. These data could be useful to improve causative
prevention measures, therapeutic management, and educational strategies.
PMID- 24927755
TI - Non-motor symptoms profile and burden in drug naive versus long-term Parkinson's
disease patients.
AB - BACKGROUND: Recent studies have demonstrated that, contrary to common perception
non-motor symptoms (NMS) occur and may dominate early and untreated stage of
Parkinson's disease (PD). OBJECTIVE: The aim of this ongoing study was to
describe the overall NMS profile and burden in drug naive PD patients (DNPD)
compared to a group of long-term PD patients (LTPD, disease duration >=15 years).
METHODS: Cross sectional UK data from a multicenter (16 sites) collaboration were
obtained and specifically NMS dataset from validated scales were analysed in DNPD
and LTPD patients. The NMS scale (NMSS) was used as the primary outcome variable.
RESULTS: Out of a current database of 468 PD patients, 57 were DNPD (58% males,
mean age 64.8 years, median Hoehn and Yahr stage 1) and 25 were LTPD (44%, mean
age 67.6 years, median Hoehn and Yahr stage 3). DNPD patients had a significantly
lower (p = 0.001) NMSS score (mean 45.5, range 1-150) compared to the LTPD
patients (mean 74.0, range 6-155), but 26.3% had severe and 19.3% had very severe
burden of NMSS using NMSS cutoff scores. In comparison, 20.0% of the LTPD
patients had severe and 60.0% very severe burden of NMS (p = 0.003). CONCLUSIONS:
NMS are common in DNPD patients and over 45% may have severe to very severe
burden of NMS, which is a key determinant of quality of life. In LTPD patients
not only the burden of "very severe" NMS is significantly higher, but there are
also differences in the profile of expression of NMS.
PMID- 24927756
TI - Metabolite and peptide levels in plasma and CSF differentiating healthy controls
from patients with newly diagnosed Parkinson's disease.
AB - BACKGROUND: Parkinson's disease (PD) is a progressive, multi-focal
neurodegenerative disease for which there is no effective disease modifying
treatment. A critical requirement for designing successful clinical trials is the
development of robust and reproducible biomarkers identifying PD in preclinical
stages. OBJECTIVE: To investigate the potential for a cluster of biomarkers
visualized with multiple analytical platforms to provide a clinically useful
tool. METHODS: Gas Chromatography-Mass Spectrometry (GC-TOFMS) based metabolomics
and immunoassay-based protein/peptide analyses on samples from patients with PD
diagnosed in Northern Sweden. Low molecular weight compounds from both plasma and
cerebrospinal fluid (CSF) from 20 healthy subjects (controls) and 20 PD patients
at the time of diagnosis (baseline) were analyzed. RESULTS: In plasma, we found a
significant increase in several amino acids and a decrease in C16-C18 saturated
and unsaturated fatty acids in patients as compared to control subjects. We also
observed an increase in plasma levels of pyroglutamate and 2-oxoisocaproate
(ketoleucine) that may be indicative of increased metabolic stress in patients.
In CSF, there was a generally lower level of metabolites in PD as compared to
controls, with a specific decrease in 3-hydroxyisovaleric acid, tryptophan and
creatinine. Multivariate analysis and modeling of metabolites indicates that
while the PD samples can be separated from control samples, the list of detected
compounds will need to be expanded in order to define a robust predictive model.
CSF biomarker immunoassays of candidate peptide/protein biomarkers revealed a
significant decrease in the levels of Abeta-38 and Abeta-42, and an increase in
soluble APPalpha in CSF of patients. Furthermore, these peptides showed
significant correlations to each other, and positive correlations to the CSF
levels of several 5- and 6-carbon sugars. However, combining these metabolites
and proteins/peptides into a single model did not significantly improve the
statistical analysis. CONCLUSIONS: Together, this metabolomics study has detected
significant alterations in plasma and CSF levels of a cluster of amino acids,
fatty acids and sugars based on clinical diagnosis and levels of known protein
and peptide biomarkers.
PMID- 24927757
TI - Ferromagnetic Spin Coupling through the 3,4'-Biphenyl Moiety in Arylamine
Oligomers-Experimental and Computational Study.
AB - This report describes the study of a dimer d2+ and a linear trimer t3+ of
amminium radical cations coupled by 3,4'-biphenyl spin coupling units. The
synthesis of the parent diamine and triamine and their optical and
electrochemical properties obtained by UV-visible and cyclic voltammetry are
presented. The chemical doping of the parent diamine d and triamine t was
performed quantitatively to obtain samples containing the corresponding dimer d2+
and trimer t3+ in almost pure high-spin states as evidenced by pulsed EPR
nutation spectroscopy. The J coupling constants of the corresponding S = 1 and S
= 3/2 spin states were measured (J/k = 135 K) and compared quantitatively to DFT
calculations.
PMID- 24927759
TI - Health diplomacy: a new approach to the Muslim world?
AB - Three years ago, the Lancet's frontispiece stated "Health is now the most
important foreign policy issue of our time" and last year, the Director-General
of WHO, Margaret Chan, in her opening address, to the Executive Board at its
132nd Session said "health diplomacy works". The nascent field of health
diplomacy provides a political framework which aims to deliver the dual goals of
improved health in target populations and enhanced governmental relations between
collaborating countries. Any government that offered tangible health improvement
as a component of aid to a nation with whom they wished to develop stronger
diplomatic links would have an advantage in developing a deeper relationship with
its citizens.Here we suggest several different mechanisms through which such
links could be developed or enhanced, including: provision of relevant health
solutions, applied research, cultural alignment and the development of
collaborative networks. The Islamic tradition promotes the practice of medicine
as a service to humanity. Physical and spiritual wellbeing are intimately related
in popular Muslim consciousness. Thoughtful Health Diplomacy therefore has the
potential to bridge the perceived divides between Western and predominantly
Muslim nations.
PMID- 24927758
TI - Drug costs in the management of metastatic castration-resistant prostate cancer
in Canada.
AB - BACKGROUND: For Canadian men, prostate cancer (PCa) is the most common cancer and
the 3rd leading cause of cancer mortality. Men dying of PCa do so after failing
castration. The management of metastatic castration-resistant prostate cancer
(mCRPC) is complex and the associated drug treatments are increasingly costly.
The objective of this study was to estimate the cost of drug treatments over the
mCRPC period, in the context of the latest evidence-based approaches. METHODS:
Two Markov models with Monte-Carlo microsimulations were developed in order to
simulate the management of the disease and to estimate the cost of drug
treatments in mCRPC, as per Quebec's public healthcare system. The models include
recently approved additional lines of treatment after or before docetaxel (i.e.
abiraterone and cabazitaxel). Drug exposure and survival were based on clinical
trial results and clinical practice guidelines found in a literature review. All
costs were assigned in 2013 Canadian dollars ($). Only direct drug costs were
estimated. RESULTS: The mean cost of mCRPC drug treatments over an average period
of 28.1 months was estimated at $48,428 per patient (95% Confidence Interval:
$47,624 to $49,232). The mean cost increased to $104,071 (95% CI: $102,373 -
$105,770) per patient when one includes abiraterone initiation prior to docetaxel
therapy. Over the mCRPC period, luteinizing hormone-releasing hormone agonists
(LHRHa) prescribed to maintain castrate testosterone levels accounted for 20.4%
of the total medication cost, whereas denosumab prescribed to decrease bone
related events accounted for 30.5% of costs. When patients received cabazitaxel
in sequence after abiraterone and docetaxel, the mCRPC medications cost per
patient per month increased by 60.2%. The total cost of medications for the
treatment of each annual Canadian cohort of 4,000 mCRPC patients was estimated at
$ 193.6 million to $416.3 million. CONCLUSIONS: Our study estimates the direct
drug costs associated with mCRPC treatments in the Canadian healthcare system.
Recently identified effective yet not approved therapies will become part of the
spectrum of mCRPC treatments, and may potentially increase the cost.
PMID- 24927760
TI - An expert-based job exposure matrix for large scale epidemiologic studies of
primary hip and knee osteoarthritis: the Lower Body JEM.
AB - BACKGROUND: When conducting large scale epidemiologic studies, it is a challenge
to obtain quantitative exposure estimates, which do not rely on self-report where
estimates may be influenced by symptoms and knowledge of disease status. In this
study we developed a job exposure matrix (JEM) for use in population studies of
the work-relatedness of hip and knee osteoarthritis. METHODS: Based on all 2227
occupational titles in the Danish version of the International Standard
Classification of Occupations (D-ISCO 88), we constructed 121 job groups
comprising occupational titles with expected homogeneous exposure patterns in
addition to a minimally exposed job group, which was not included in the JEM. The
job groups were allocated the mean value of five experts' ratings of daily
duration (hours/day) of standing/walking, kneeling/squatting, and whole-body
vibration as well as total load lifted (kg/day), and frequency of lifting loads
weighing >=20 kg (times/day). Weighted kappa statistics were used to evaluate
inter-rater agreement on rankings of the job groups for four of these exposures
(whole-body vibration could not be evaluated due to few exposed job groups). Two
external experts checked the face validity of the rankings of the mean values.
RESULTS: A JEM was constructed and English ISCO codes were provided where
possible. The experts' ratings showed fair to moderate agreement with respect to
rankings of the job groups (mean weighted kappa values between 0.36 and 0.49).
The external experts agreed on 586 of the 605 rankings. CONCLUSION: The Lower
Body JEM based on experts' ratings was established. Experts agreed on rankings of
the job groups, and rankings based on mean values were in accordance with the
opinion of external experts.
PMID- 24927761
TI - Adjudin protects against cerebral ischemia reperfusion injury by inhibition of
neuroinflammation and blood-brain barrier disruption.
AB - Neuroinflammation mediated by activation of microglia and interruption of the
blood-brain barrier (BBB) is an important factor that contributes to neuron death
and infarct area diffusion in ischemia reperfusion injury. Finding novel
molecules to regulate neuroinflammation is of significant clinical value. We have
previously shown that adjudin, a small molecule compound known to possess
antispermatogenic function, attenuates microglia activation by suppression of the
NF-kappaB pathway. In this study we continued to explore whether adjudin could be
neuroprotective by using the transient middle cerebral artery occlusion (tMCAO)
model. Adjudin treatment after reperfusion significantly decreased the infarction
volume and neuroscore compared to the vehicle group. Staining of CD11b showed
that adjudin markedly inhibited microglial activation in both the cortex and the
striatum, accompanied by a reduction in the expression and release of cytokines
TNF-alpha, IL-1beta and IL-6. Concomitantly, adjudin noticeably prevented BBB
disruption after ischemia and reperfusion, as indicated by the reduction of IgG
detection in the brain cortex and striatum versus the vehicle group. This finding
was also corroborated by immunofluorescence staining and immunoblotting of tight
junction-related proteins ZO-1, JAM-A and Occludin, where the reduction of these
proteins could be attenuated by adjudin treatment. Moreover, adjudin obviously
inhibited the elevated MMP-9 activity after stroke. Together these data
demonstrate that adjudin protects against cerebral ischemia reperfusion injury,
and we present an effective neuroinflammation modulator with clinical potential.
PMID- 24927762
TI - Placental infections with histologically confirmed Plasmodium falciparum are
associated with adverse birth outcomes in India: a cross-sectional study.
AB - BACKGROUND: Few studies have assessed placental malaria infections from low
transmission areas by histopathology to define their impact and underlying
mechanisms. METHODS: Peripheral smears and rapid diagnostic tests (RDTs),
placental smears and histological samples, birth weight and gestational age were
collected from 2,282 deliveries in three hospitals during a one-year (2006-2007)
continuous cross-sectional survey in Madhya Pradesh. Placental histopathology
included all 50 cases positive by microscopy or RDT plus 456 randomly selected
samples of women negative for malaria by microscopy or RDT. Histological
examination included parasites, inflammatory cells, pigment in fibrin, and
morphological changes. RESULTS: There were 52 histology-positive cases; 38
(73.1%) active (acute and chronic) and 14 past infections. Intervillous
parasitaemia was low (60% had < 1% parasitaemia) and monocytosis mostly mild
(63%). Compared with uninfected placentas, acute Plasmodium falciparum infections
were associated with stillbirth (RR 3.8, 95% CI 1.2-12.1), lower maternal
haemoglobin (mean difference: 1.5 g/dL, 95% CI 0.5-2.5), lower birth weight (mean
difference 451 g, 95% CI 169-609) and shorter gestation (mean difference 0.8
weeks, 95% CI 0.2-1.4). Chronic or past infections were not associated with these
outcomes. Among the 11 peripheral Plasmodium vivax cases, placental parasites
were absent, but they were associated with increased placental polymorphonuclear
cells. CONCLUSIONS: Malaria associated stillbirth and low birth weight in women
with low protective immunity may result, at least in part, from a shortened
gestation triggered by acute infection, stressing the importance of early malaria
detection.
PMID- 24927764
TI - Unit of observation versus unit of analysis.
PMID- 24927763
TI - Evidence based medicine: a movement in crisis?
PMID- 24927765
TI - Afghanistan: a healthy future?
PMID- 24927766
TI - Patients are deceived over benefits and risks of screening, MPs hear.
PMID- 24927767
TI - Commissioners in Bristol agree to procurement policy changes after legal
challenge.
PMID- 24927768
TI - Melioidosis presenting as lymphadenitis: a case report.
AB - BACKGROUND: Melioidosis is an infection caused by the facultative intracellular
gram-negative bacterium; Burkholderia pseudomallei. It gives rise to protean
clinical manifestations and has a varied prognosis. Although it was rare in Sri
Lanka increasing numbers of cases are being reported with high morbidity and
mortality. Here we report a case of melioidosis presenting with lymphadenitis
which was diagnosed early and treated promptly with a good outcome. CASE
PRESENTATION: A 53-year-old Sinhalese woman with diabetes presented with fever
and left sided painful inguinal lymphadenitis for one month. She had undergone
incision and drainage of a thigh abscess three months previously and had been
treated with a short course of antibiotics. There was no record that abscess
material was tested microbiologically.She had neutrophil leukocytosis and
elevated inflammatory markers. Initial pus culture revealed a scanty growth of
"Pseudomonas sp." and Escherichia coli which were sensitive to ceftazidime and
resistant to gentamicin.Due to the history of diabetes, recurrent abscess
formation and the suggestive sensitivity pattern of the bacterial isolates, we
actively investigated for melioidosis. The bacterial isolate was subsequently
identified as B. pseudomallei by polymerase chain reaction and antibodies to
melioidin antigen were found to be raised at a titre of 1:160.The patient was
treated with high dose intravenous ceftazidime for four weeks followed by
eradication therapy with cotrimoxazole and doxycycline. As the patient was
intolerant to cotrimoxazole, the antibiotics were changed to a combination of co
amoxyclav and doxycycline and continued for 12 weeks. The patient was well after
6 months without any relapse. CONCLUSIONS: Melioidosis is an emerging infection
in South Asia. It may present with recurrent abscesses. Therefore it is very
important to send pus for culture whenever an abscess is drained. However, it
should be noted that the reporting laboratory may be unfamiliar with this
bacterium and the isolate may be misidentified as Pseudomonas or even E. coli.
Melioidosis should be suspected when an isolate with the typical antibiotic
sensitivity pattern of ceftazidime sensitivity and gentamicin resistance is
cultured, especially in a patient with diabetes. This will expedite diagnosis and
prompt treatment leading to an excellent prognosis.
PMID- 24927769
TI - Prevalence of self-reported early glaucoma eye drop bottle exhaustion and
associated risk factors: a patient survey.
AB - BACKGROUND: One barrier to patient adherence with chronic topical glaucoma
treatment is an inadequate amount of medication available between prescription
refills. We examined the self-reported prevalence of early exhaustion of glaucoma
eye drops prior to a scheduled refill, and associated risk factors. METHODS: This
cross-sectional survey was performed at a University-based clinical practice.
Glaucoma patients at the University of Washington who were experienced with eye
drop application and were on a steady regimen of self-administered glaucoma drops
in both eyes took a survey at the time of clinic examination. The main outcome
measure was self-reported early eye drop bottle exhaustion. RESULTS: 236 patients
were eligible and chose to participate. In general, patients included were
relatively healthy (mean 2.3 comorbid medical conditions). Sixty patients (25.4%)
reported any problem with early exhaustion of eye drop bottles, and this was
associated with visual acuity <= 20/70 in the better eye (P = .049). Twelve
patients (5.1%) reported that they "often" (5-7 times per year), "usually" (8-11
times per year) or "always" ran out of eye drops prior to a scheduled refill.
Patients affected by this higher level (>= 5 times yearly) of eye drop bottle
exhaustion were more likely to have poor visual acuity in their worse eye <=
20/70 (P = .015) and had significantly lower worse-eye logMAR (P = .043).
CONCLUSIONS: Self-reported early glaucoma bottle exhaustion regularly affected 5%
of patients in our population and 25% reported early exhaustion at least once;
the main risk factor was poor vision in at least one eye. These results may not
be generalizable to a broad patient population, or to those inexperienced with
eye drop self-administration. However, this pilot study compels further
evaluation and consideration of early eye drop bottle exhaustion in glaucoma
patients.
PMID- 24927771
TI - Risk of thyroid dysfunction in patients with solid tumors treated with VEGF
receptor tyrosine kinase inhibitors: a critical literature review and meta
analysis.
AB - We performed a systematic review and meta-analysis of thyroid function
abnormalities associated with seven vascular endothelial growth factor receptor
(VEGFR) targeted tyrosine kinase inhibitors (sorafenib, sunitinib, axitinib,
cediranib, pazopanib, regorafenib and vandetanib). Eligible studies included
randomized Phase II and III trials of patients with solid tumors on sorafenib OR
sunitinib OR axitinib OR cediranib OR pazopanib OR regorafenib OR vandetanib;
describing events of hypothyroidism or hyperthyroidism. Our search strategy
yielded 195 potentially relevant citations on the seven agents from
Pubmed/Medline, CENTRAL Cochrane registry and ASCO meeting library. After
exclusion of ineligible studies, a total of 12 clinical trials were considered
eligible for the meta-analysis, including six sunitinib studies, four cediranib
studies and two axitinib studies. Patients treated with these agents had a
significantly increased risk of all-grade hypothyroidism and the relative risk
(RR) of all-grade hypothyroidism was 3.59 (95% CI = 2.40-5.38, p <= 0.0001).
Exploratory subgroup analysis showed no effect of tumor types or agent used on
the RR of hypothyroidism. Our meta-analysis has demonstrated that these three
agents are associated with a significantly increased risk of all-grade
hypothyroidism; with no difference - on subgroup analysis - between sunitinib and
cediranib. Clinicians should be aware of these risks and perform regular thyroid
function monitoring.
PMID- 24927770
TI - MicroRNA response to hypoxic stress in soft tissue sarcoma cells: microRNA
mediated regulation of HIF3alpha.
AB - BACKGROUND: Hypoxia is often encountered in solid tumors and known to contribute
to aggressive tumor behavior, radiation- and chemotherapy resistance resulting in
a poor prognosis for the cancer patient. MicroRNAs (miRNAs) play a role in the
regulation of the tumor cell response to hypoxia, however, not much is known
about the involvement of miRNAs in hypoxic signalling pathways in soft tissue
sarcomas (STS). METHOD: A panel of twelve STS cell lines was exposed to
atmospheric oxygen concentrations (normoxia) or 1% oxygen (hypoxia) for up to 48
h. Hypoxic conditions were verified and miRNA expression profiles were assessed
by LNATM oligonucleotide microarrays and RT-PCR after 24 h. The expression of
target genes regulated by hypoxia responsive miRNAs is examined by end-point PCR
and validated by luciferase reporter constructs. RESULTS: Exposure of STS cell
lines to hypoxic conditions gave rise to upregulation of Hypoxia Inducible Factor
(HIF) 1alpha protein levels and increased mRNA expression of HIF1 target genes
CA9 and VEGFA. Deregulation of miRNA expression after 24 h of hypoxia was
observed. The most differentially expressed miRNAs (p<0.001) in response to
hypoxia were miR-185-3p, miR-485-5p, miR-216a-5p (upregulated) and miR-625-5p
(downregulated). The well-known hypoxia responsive miR-210-3p could not be
reliably detected by the microarray platform most likely for technical reasons,
however, its upregulation upon hypoxic stress was apparent by qPCR. Target
prediction algorithms identified 11 potential binding sites for miR-485-5p and a
single putative miR-210-3p binding site in the 3'UTR of HIF3alpha, the least
studied member of the HIF family. We showed that HIF3alpha transcripts,
expressing a 3'UTR containing the miR-485-5p and miR-210-3p target sites, are
expressed in all sarcoma cell lines and upregulated upon hypoxia. Additionally,
luciferase reporter constructs containing the 3'UTR of HIF3alpha were used to
demonstrate regulation of HIF3alpha by miR-210-3p and miR-485-5p. CONCLUSION:
Here we provide evidence for the miRNA mediated regulation of HIF3alpha by
hypoxia responsive miRNAs in STS, which may help to tightly regulate and fine
tune the hypoxic response. This provides a better insight into the mechanisms
underlying the hypoxic response in STS and may ultimately yield information on
novel prognostic and predictive markers or targets for treatment.
PMID- 24927772
TI - Source and regulation of flux variability in Escherichia coli.
AB - BACKGROUND: Metabolic responses are essential for the adaptation of
microorganisms to changing environmental conditions. The repertoire of flux
responses that the metabolic network can display in different external conditions
may be quantified applying flux variability analysis to genome-scale metabolic
reconstructions. RESULTS: A procedure is developed to classify and quantify the
sources of flux variability. We apply the procedure to the latest Escherichia
coli metabolic reconstruction, in glucose minimal medium, with an additional
constraint to account for the mechanism coordinating carbon and nitrogen
utilization mediated by alpha-ketoglutarate. Flux variability can be decomposed
into three components: internal, external and growth variability. Unexpectedly,
growth variability is the only significant component of flux variability in the
physiological ranges of glucose, oxygen and ammonia uptake rates. To obtain
substantial increases in metabolic flexibility, E. coli must decrease growth rate
to suboptimal values. This growth-flexibility trade-off gives a straightforward
interpretation to recent work showing that most overall cell-to-cell flux
variability in a population of E. coli can be attained sampling a small number of
enzymes most likely to constrain cell growth. Importantly, it provides an
explanation for the global reorganization occurring in metabolic networks during
adaptations to environmental challenges. The calculations were repeated with a
pathogenic strain and an old reconstruction of the commensal strain, having less
than 50% of the reactions of the latest reconstruction, obtaining the same
general conclusions. CONCLUSIONS: In E. coli growing on glucose, growth
variability is the only significant component of flux variability for all
physiological conditions explored. Increasing flux variability requires reducing
growth to suboptimal values. The growth-flexibility trade-off operates in
physiological and evolutionary adaptations, and provides an explanation for the
global reorganization occurring during adaptations to environmental challenges.
The results obtained do not rely on the knowledge of kinetic and regulatory
details of the system and are highly robust to incomplete or incorrect knowledge
of the reaction network.
PMID- 24927774
TI - Allele-specific expression and eQTL analysis in mouse adipose tissue.
AB - BACKGROUND: The simplest definition of cis-eQTLs versus trans, refers to genetic
variants that affect expression in an allele specific manner, with implications
on underlying mechanism. Yet, due to technical limitations of expression
microarrays, the vast majority of eQTL studies performed in the last decade used
a genomic distance based definition as a surrogate for cis, therefore exploring
local rather than cis-eQTLs. RESULTS: In this study we use RNAseq to explore
allele specific expression (ASE) in adipose tissue of male and female F1 mice,
produced from reciprocal crosses of C57BL/6J and DBA/2J strains. Comparison of
the identified cis-eQTLs, to local-eQTLs, that were obtained from adipose tissue
expression in two previous population based studies in our laboratory, yields
poor overlap between the two mapping approaches, while both local-eQTL studies
show highly concordant results. Specifically, local-eQTL studies show ~60%
overlap between themselves, while only 15-20% of local-eQTLs are identified as
cis by ASE, and less than 50% of ASE genes are recovered in local-eQTL studies.
Utilizing recently published ENCODE data, we also find that ASE genes show
significant bias for SNPs prevalence in DNase I hypersensitive sites that is ASE
direction specific. CONCLUSIONS: We suggest a new approach to analysis of allele
specific expression that is more sensitive and accurate than the commonly used
fisher or chi-square statistics. Our analysis indicates that technical
differences between the cis and local-eQTL approaches, such as differences in
genomic background or sex specificity, account for relatively small fraction of
the discrepancy. Therefore, we suggest that the differences between two eQTL
mapping approaches may facilitate sorting of SNP-eQTL interactions into true cis
and trans, and that a considerable portion of local-eQTL may actually represent
trans interactions.
PMID- 24927775
TI - High-throughput, automated quantification of white matter neurons in mild
malformation of cortical development in epilepsy.
AB - INTRODUCTION: In epilepsy, the diagnosis of mild Malformation of Cortical
Development type II (mMCD II) predominantly relies on the histopathological
assessment of heterotopic neurons in the white matter. The exact diagnostic
criteria for mMCD II are still ill-defined, mainly because findings from previous
studies were contradictory due to small sample size, and the use of different
stains and quantitative systems. Advance in technology leading to the development
of whole slide imaging with high-throughput, automated quantitative analysis
(WSA) may overcome these differences, and may provide objective, rapid, and
reliable quantitation of white matter neurons in epilepsy. This study quantified
the density of NeuN immunopositive neurons in the white matter of up to 142
epilepsy and control cases using WSA. Quantitative data from WSA was compared to
two other systems, semi-automated quantitation, and the widely accepted method of
stereology, to assess the reliability and quality of results from WSA. RESULTS:
All quantitative systems showed a higher density of white matter neurons in
epilepsy cases compared to controls (P = 0.002). We found that, in particular,
WSA with user-defined region of interest (manual) was superior in terms of larger
sampled size, ease of use, time consumption, and accuracy in region selection and
cell recognition compared to other methods. Using results from WSA manual, we
proposed a threshold value for the classification of mMCD II, where 78% of
patients now classified with mMCD II were seizure-free at the second post
operatively follow up. CONCLUSION: This study confirms the potential role of WSA
in future quantitative diagnostic histology, especially for the histopathological
diagnosis of mMCD.
PMID- 24927776
TI - High-sensitive troponin T assay for the diagnosis of acute myocardial infarction:
an economic evaluation.
AB - BACKGROUND: Delayed diagnosis and treatment of Acute Myocardial Infarction (AMI)
has a major adverse impact on prognosis in terms of both morbidity and mortality.
Since conventional cardiac Troponin assays have a low sensitivity for diagnosing
AMI in the first hours after myocardial necrosis, high-sensitive assays have been
developed. The aim of this study was to assess the cost effectiveness of a high
sensitive Troponin T assay (hsTnT), alone or combined with the heart-type fatty
acid-binding protein (H-FABP) assay in comparison with the conventional cardiac
Troponin (cTnT) assay for the diagnosis of AMI in patients presenting to the
hospital with chest pain. METHODS: We performed a cost-utility analysis (quality
adjusted life years-QALYs) and a cost effectiveness analysis (life years gained
LYGs) based on a decision analytic model, using a health care perspective in the
Dutch context and a life time time-horizon. The robustness of model predictions
was explored using one-way and probabilistic sensitivity analyses. RESULTS: For a
life time incremental cost of 30.70 Euros, use of hsTnT over conventional cTnT
results in gain of 0.006 Life Years and 0.004 QALY. It should be noted here that
hsTnT is a diagnostic intervention which costs only 4.39 Euros/test more than the
cTnT test. The ICER generated with the use of hsTnT based diagnostic strategy
comparing with the use of a cTnT-based strategy, is 4945 Euros per LYG and 7370
Euros per QALY. The hsTnT strategy has the highest probability of being cost
effective at thresholds between 8000 and 20000 Euros per QALY. The combination of
hsTnT and h-FABP strategy's probability of being cost effective remains lower
than hsTnT at all willingness to pay thresholds. CONCLUSION: Our analysis
suggests that hsTnT assay is a very cost effective diagnostic tool relative to
conventional TnT assay. Combination of hsTnT and H-FABP does not offer any
additional economic and health benefit over hsTnT test alone.
PMID- 24927777
TI - Evidence for the use of glomerulomegaly as a surrogate marker of glomerular
damage and for alpha-linolenic acid-rich oils in the treatment of early obesity
related glomerulopathy in a diet-induced rodent model of obesity.
AB - Obesity-related glomerulopathy (ORG) is a unique and emerging condition that can
lead to renal failure. Early detection, aided by an earlier diagnostic marker,
would improve patient outcomes; this could be facilitated by an accurate model.
Such a model would be useful to examine interventions like dietary fatty acids,
which are known to influence renal diseases in later stages. In this study, obese
prone rats were provided high-fat (55% of energy) diets for 12 weeks to generate
a model of diet-induced obesity. The rats were subsequently provided dietary oils
with various levels of alpha-linolenic acid (ALA) and linoleic acid (LA) for 8
weeks, as follows: (g ALA:LA per 100 g oil): canola/flax (20:18), canola (8:18),
soy (9:53), high-oleic canola/canola (5:16), high-oleic canola (2:15), lard/soy
(1:8), and safflower (0.2:73). The model developed obesity, glomerulomegaly,
proteinuria, and scarce glomerular damage with an indolent course. Morphometry
and histology revealed glomerulomegaly as the first renal structural alteration.
The utility of this marker as a predictor for the presence of ORG and renal
injury was evidenced by its correlation to visceral adiposity (p < 0.0001, r =
0.44), proteinuria (p < 0.0001, rho = 0.55), change in proteinuria (p = 0.0092,
rho = 0.42), and glomerular damage (p < 0.0001, rho = 0.48). Renal triglyceride
ALA:LA was strongly correlated with dietary ALA:LA (p < 0.0005, rho = 0.96), and
inversely associated with mean glomerular volume (p = 0.02, rho = -0.82). The
diet-induced obese model accurately represents early ORG, and implicates
glomerulomegaly as an early surrogate diagnostic marker. Early intervention with
ALA-rich dietary oils slowed glomerular enlargement; these findings warrant
further clinical investigation to promote optimal patient outcomes.
PMID- 24927773
TI - Molecular aspects of development and regulation of endometriosis.
AB - Endometriosis is a common and painful condition affecting women of reproductive
age. While the underlying pathophysiology is still largely unknown, much
advancement has been made in understanding the progression of the disease. In
recent years, a great deal of research has focused on non-invasive diagnostic
tools, such as biomarkers, as well as identification of potential therapeutic
targets. In this article, we will review the etiology and cellular mechanisms
associated with endometriosis as well as the current diagnostic tools and
therapies. We will then discuss the more recent genomic and proteomic studies and
how these data may guide development of novel diagnostics and therapeutics. The
current diagnostic tools are invasive and current therapies primarily treat the
symptoms of endometriosis. Optimally, the advancement of "-omic" data will
facilitate the development of non-invasive diagnostic biomarkers as well as
therapeutics that target the pathophysiology of the disease and halt, or even
reverse, progression. However, the amount of data generated by these types of
studies is vast and bioinformatics analysis, such as we present here, will be
critical to identification of appropriate targets for further study.
PMID- 24927778
TI - Description of gas-phase ion/neutral interactions in differential ion mobility
spectrometry: CV prediction using calibration runs.
AB - Differential ion mobility spectrometry (DMS) coupled to mass spectrometry is
increasingly used in both quantitative analyses of biological samples and as a
means of removing background interferences for enhanced selectivity and improved
quality of mass spectra. However, DMS separation efficiency using dry inert gases
often lacks the required selectivity to achieve baseline separation. Polar gas
phase modifiers such as alcohols are therefore frequently employed to improve
selectivity via clustering/declustering processes. The choice of an optimal
modifier currently relies on trial and error experiments, making method
development a tedious activity. It was the goal of this study to establish a
means of CV prediction for compounds using a homologous series of alcohols as gas
phase modifiers. This prediction was based on linear regression of compensation
voltages of two calibration runs for the alcohols with the lowest and the highest
molecular weights and readily available descriptors such as proton affinity and
gas phase acidity of the modifier molecules. All experiments were performed on a
commercial quadrupole linear ion trap mass spectrometer equipped with a DMS
device between electrospray ionization source and entrance quadrupole lens. We
evaluated our approach using a homologous series of 4-alkylbenzoic acids and a
selection of 23 small molecules of high chemical diversity. Predicted CV values
typically deviated from the experimentally determined values by less than 0.5 V.
Several test compounds changed their ion mobility behavior for the investigated
gas phase modifiers (e.g., from type B to type A) and thus could thus not be
evaluated.
PMID- 24927779
TI - [Management and prevention of complications in open urethral surgery. End-to-end
anastomosis and buccal mucosa urethroplasty].
AB - Open operative procedures for urethral reconstruction (i.e. stricture resection
with primary end-to-end anastomosis and buccal mucosa urethroplasty) are
established and proven techniques with a low complication profile and high
success rates. The aim of this operative technique is removal of the urethral
obstruction to avoid far-reaching complications for the whole urinary tract.
Despite excellent long-term results, complications are not completely avoidable
in both techniques. A successful complication management requires the complete
spectrum of reconstructive techniques in addition to appropriate operative
expertise in order to be able to achieve the optimal result even in cases of
complications. Simultaneously the recognition of potential risks contributes to a
further reduction of early and delayed complications both in the approach to the
operative intervention as well as intraoperatively.
PMID- 24927780
TI - Pregnancy outcomes in older women.
PMID- 24927781
TI - Message from the Editor-in-Chief.
PMID- 24927782
TI - A comparison of maternal and neonatal outcomes of pregnancy with mental
disorders: results of an analysis using propensity score-based weighting.
AB - PURPOSE: To assess and compare maternal and neonatal outcomes of pregnancy with
or without mental disorders. METHODS: We performed a retrospective cohort study
of births at our institution from January 2009 to December 2011, which included
all live singleton births during these 3 years. Women emergently transferred to
our institution in the middle of their pregnancies were excluded. Associations
between mental disorders and perinatal outcomes were estimated using statistical
analysis, and multivariable analysis was performed using propensity score-based
weighting. RESULTS: A total of 1,166 women were included, 152 (13.0 %) of whom
had mental disorders. Comparison of maternal characteristics showed that women
with mental disorders were significantly more likely to be multiparous, smokers,
recipients of public assistance, unmarried, and to have inadequate perinatal
care. Comparison of perinatal outcomes showed that preterm births (PTB) before 37
weeks were significantly increased in women with mental disorders (10.5 vs. 6.0
%, P = 0.037). There were no significant differences in low birth weight (LBW),
pregnancy-induced hypertension, and gestational diabetes mellitus. Multivariable
analysis using propensity score weighting showed that after adjusting for other
factors, women with mental disorders were more likely than women without mental
disorders to have PTB before 34 weeks [adjusted odds ratio (OR) 4.79, 95 %
confidence interval (CI) 1.49-15.4; P = 0.009], PTB before 37 weeks (adjusted OR
2.46, 95 % CI 1.62-3.69; P < 0.001), or LBW (adjusted OR 1.83; 95 % CI 1.32-2.55;
P < 0.001). CONCLUSION: Maternal mental disorders were associated with adverse
birth outcomes and socioeconomic disadvantage.
PMID- 24927783
TI - Impact of Qigong on quality of life, pain and depressive symptoms in older adults
admitted to an intermediate care rehabilitation unit: a randomized controlled
trial.
AB - BACKGROUND AND AIMS: Qigong has been used as a complementary therapy to improve
different health-related problems. This study aims to test the effects of Qigong
on quality of life, pain and depressive symptoms in older hospitalized patients.
METHODS: In this randomized controlled single blind study, we randomized 58 >=50
years adults admitted to a post-acute intermediate care rehabilitation facility,
to receive a 90 min, bi-weekly, 4-week structured Qigong intervention plus usual
care and rehabilitation (N = 29) or usual care and rehabilitation alone (N = 29).
Outcomes included quality of life (0-100 points visual analogical scale), pain (0
10 points scale), and depressive symptoms (5-item modified Yesavage Geriatric
Depression Scale). We also evaluated participants' compliance and safety.
RESULTS: Of the enrolled 58 participants (mean age +/- SD = 74.3 +/- 8.2 years,
88 % women) we dropped-out four in the control group. No statistically
significant differences in baseline characteristics were shown between groups,
including age, gender, marital status, education, comorbidity and functional
status, main diagnostic at admission and number of rehabilitation sessions. In an
intention-to-treat analysis (repeated measures ANOVA) the intervention group
experienced a significant improvement in quality of life (mean increase of 19
points vs 2.6 points for controls, p = 0.002). Pain and depressive symptoms
improved in both groups. Adherence was good (79 % of participants completed the
whole program). No adverse events were reported. CONCLUSIONS: According to our
results, a structured Qigong intervention, together with usual care, might
contribute to improve quality of life of patients admitted to a post-acute
intermediate care rehabilitation unit, compared to usual care.
PMID- 24927784
TI - Economic evaluation of surgical insertion of ventilation tubes for the management
of persistent bilateral otitis media with effusion in children.
AB - BACKGROUND: The surgical insertion of Ventilation Tubes (VTs) for the management
of persistent bilateral Otitis Media with Effusion (OME) in children remains a
contentious issue due to the varying opinions regarding the risks and benefits of
this procedure. The aim of this study was to evaluate the economic impact of VTs
insertion for the management of persistent bilateral OME in children, providing
an additional perspective on the management of one of the commonest medical
conditions of childhood. METHODS: A decision-tree model was constructed to assess
the cost-effectiveness of VTs strategy compared with the Hearing Aids (HAs) alone
and HAs plus VTs strategies. The model used data from published sources, and
assumed a 2-year time horizon and UK NHS perspective for costs. Outcomes were
computed as Quality-Adjusted Life-Years (QALYs) by attaching a utility value to
the total potential gains in Hearing Level in decibels (dBHL) over 12 and 24
months. Modelling uncertainty in the specification of decision-tree probabilities
and QALYs was performed through Monte Carlo simulation. Expected Value of Perfect
Information (EVPI) and partial EVPI (EVPPI) analyses were conducted to estimate
the potential value of future research and uncertainty associated with the key
parameters. RESULTS: The VTs strategy was more effective and less costly when
compared with the HAs plus VTs strategy, while the incremental cost-effectiveness
ratio for the VTs strategy compared with the HAs strategy was L 5,086 per QALY
gained. At the willingness-to-pay threshold of L 20,000 per QALY, the probability
that the VTs strategy is likely to be more cost-effective was 0.58. The EVPI
value at population level of around L 9.5 million at the willingness-to-pay
threshold of L 20,000 indicated that future research in this area is potentially
worthwhile, while the EVPPI analysis indicated considerable uncertainty
surrounding the parameters used for computing the QALYs for which more precise
estimates would be most valuable. CONCLUSIONS: The VTs strategy is a cost
effective option when compared with the HAs alone and HAs plus VTs strategies,
but the need for additional information from future study is evident to inform
this surgical treatment choice. Future studies of surgical and non-surgical
treatment of OME in childhood should evaluate the economic impact of pertinent
interventions to provide greater context.
PMID- 24927786
TI - Maternal perceptions of social context and adherence to maternal and child health
(MCH) clinic recommendations among marginalized Bedouin mothers.
AB - National maternal and child health (MCH) care systems often deliver universal
health care recommendations that do not take into consideration the social
context of infant care (IC) for marginalized groups. We examined associations
between maternal perceptions of social context (MPSC) and adherence by minority
Bedouin mothers in Israel to three commonly recommended IC practices. We
conducted personal interviews with 464 mothers visiting 14 MCH clinics using a
structured questionnaire based on findings from a previous focus-group study, and
guided by constructs of the Health Beliefs Model. Items were tested for validity
and reliability. We used multivariate analysis to identify MPSC constructs
associated with adherence to MCH clinic recommendations (timely postnatal first
visit, sustaining breastfeeding, and use of infant car seat). Social context,
when perceived as a barrier to IC, was negatively associated with adherence to
timely first postnatal MCH clinic visit (odds ratio, 95 %, confidence intervals
(OR 1.45, 95 % CI 1.24, 1.70) and use of infant car seat (OR 1.43, 95 % CI 1.21,
1.69). However, social context was positively associated with sustained
breastfeeding (OR 0.54, 95 % CI 0.37, 0.79). Perceptions of the severity of
infant health problems, and family financial and relationship problems had less
significant associations with adherence to MCH clinic recommendations. Adherence
by marginalized mothers to MCH clinic recommendations is related to their
perceptions of social context. When there are higher financial and other living
conditions barriers mothers tend toward lower adherence to these recommendations.
MCH policy makers and service providers must consider MPSC in planning and
delivery of MCH recommendations.
PMID- 24927785
TI - No association between identified multiple sclerosis non-MHC risk loci and
neuromyelitis optica.
AB - Neuromyelitis optica (NMO) and multiple sclerosis (MS) are both autoimmune
inflammatory and demyelinating disorders of the central nervous system. Recently,
more than 50 MS-susceptibility single-nucleotide polymorphisms (SNPs) have been
detected outside the major histocompatibility complex (MHC) region. In this
study, we aimed to evaluate the association of these identified non-MHC MS risk
loci with Chinese patients with NMO. Thirty-five non-MHC SNPs were selected and
genotyped by matrix-assisted laser desorption/ionization time-of-flight mass
spectrometry (MALDI-TOF MS) in 110 NMO patients and 332 controls from
southeastern China. Among the 35 SNPs, only one, rs1800693 in the TNFRSF1A locus,
was nominally associated with NMO (P = 0.045, OR = 1.550, 95% CI = 1.007-2.384).
However, none of the 35 SNPs was associated with NMO after Bonferroni correction.
Our results showed no association between these identified non-MHC MS risk loci
and NMO, suggesting there are genetic differences in the etiology of NMO and MS.
PMID- 24927787
TI - Utilisation of health services and geography: deconstructing regional differences
in barriers to facility-based delivery in Nepal.
AB - While established that geographical inaccessibility is a key barrier to the
utilisation of health services, it remains unknown whether disparities are driven
only by limited access to these services, or are also attributable to health
behaviour. Significant disparities exist in health outcomes and the coverage of
many critical health services between the mountains region of Nepal and the rest
of the country, yet the principal factors driving these regional disparities are
not well understood. Using national representative data from the 2011 Nepal
Demographic and Health Survey, we examine the extent to which observable factors
explain the overall differences in the utilisation of maternal health services.
We apply nonlinear Blinder-Oaxaca-type decomposition methods to quantify the
effect that differences in measurable characteristics have on the regional
coverage gap in facility-based delivery. The mean coverage of facility-based
deliveries was 18.6 and 36.3 % in the mountains region and the rest of Nepal,
respectively. Between 54.8 and 74.1 % of the regional coverage gap was explained
by differences in observed characteristics. Factors influencing health behaviours
(proxied by mothers' education, TV viewership and tobacco use, and household
wealth) and subjective distance to the health facility were the major factors,
contributing between 52.9 and 62.5 % of the disparity. Mothers' birth history was
also noteworthy. Policies simultaneously addressing access and health behaviours
appear necessary to achieve greater coverage and better health outcomes for women
and children in isolated areas.
PMID- 24927788
TI - Tongxinluo attenuates neuronal loss and enhances neurogenesis and angiogenesis in
the ipsilateral thalamus and improves neurological outcome after focal cortical
infarction in hypertensive rats.
AB - PURPOSE: Tongxinluo, a well-known traditional Chinese medicine complex, has been
widely used for the treatment of cerebrovascular diseases in China. The present
study was to explore whether treatment with tongxinluo could improve neurological
function and alleviate secondary damage in the ipsilateral thalamus after focal
cortical infarction in hypertensive rats. METHODS: Tongxinluo was given through
oral gavage starting 24 h after distal middle cerebral artery occlusion (MCAO).
Neurological function was assessed and then rats were sacrificed 7 and 14 days
after MCAO. Brains were harvested for examining infarction volume, Nissl staining
and immunofluorescence analysis. RESULTS: Compared with vehicle treatment,
tongxinluo remarkably improved neurological function without reducing infarction
volume, attenuated neuronal loss and astrocyte activation in the ipsilateral
thalamus 7 and 14 days after MCAO (all p < 0.05). Also, tongxinluo markedly
increased the number of BrdU+/nestin+ and BrdU+/NeuN+ cells 14 days after MCAO.
Moreover, vascular density, the number of BrdU+ vascular endothelial cells, and
vascular perimeter in the ipsilateral thalamus were markedly increased in the
tongxinluo group relative to that of the vehicle group (all p < 0.05).
CONCLUSION: Administration of tongxinluo 24 h after cortical infarction may
promote neurogenesis and angiogenesis in the ipsilateral thalamus and improves
neurological function after cortical infarction in rats.
PMID- 24927789
TI - In vitro glucuronidation kinetics of deoxynivalenol by human and animal
microsomes and recombinant human UGT enzymes.
AB - The mycotoxin deoxynivalenol (DON), formed by Fusarium species, is one of the
most abundant mycotoxins contaminating food and feed worldwide. Upon ingestion,
the majority of the toxin is excreted by humans and animal species as glucuronide
conjugate. First in vitro data indicated that DON phase II metabolism is strongly
species dependent. However, kinetic data on the in vitro metabolism as well as
investigations on the specific enzymes responsible for DON glucuronidation in
human are lacking. In the present study, the DON metabolism was investigated
using human microsomal fractions and uridine-diphosphoglucuronyltransferases
(UGTs) as well as liver microsomes from five animal species. Only two of the
twelve tested human recombinant UGTs led to the formation of DON glucuronides
with a different regiospecificity. UGT2B4 predominantly catalyzed the formation
of DON-15-O-glucuronide (DON-15GlcA), while for UGT2B7 the DON-3-O-glucuronide
(DON-3GlcA) metabolite prevailed. For human UGTs, liver, and intestinal
microsomes, the glucuronidation activities were low. The estimated apparent
intrinsic clearance (Clapp,int) for all human UGT as well as tissue homogenates
was <1 mL/min mg protein. For the animal liver microsomes, moderate Clapp,int
between 1.5 and 10 mL/min mg protein were calculated for carp, trout, and porcine
liver. An elevated glucuronidation activity was detected for rat and bovine liver
microsomes leading to Clapp,int between 20 and 80 mL/min mg protein. The obtained
in vitro data points out that none of the animal models is suitable for
estimating the human DON metabolism with respect to the metabolite pattern and
formation rate.
PMID- 24927790
TI - The use of equivalent radiation dose in the evaluation of late effects after
childhood cancer treatment.
AB - PURPOSE: In epidemiologic research radiation-associated late effects after
childhood cancer are usually analyzed without considering fraction dose.
According to radiobiological principles, fraction dose is an important
determinant of late effects. We aim to provide the rationale for using equivalent
dose in 2-Gy fractions (EQD2(alpha/beta)) as the measure of choice rather than
total physical dose as prescribed according to the clinical protocol. METHODS:
Between 1966 and 1996, 597 (43.8%) children in our cohort of 1,362 5-year
childhood cancer survivors (CCS) received radiotherapy before the age of 18 years
as part of their primary cancer treatment. Detailed information from individual
patients' charts was collected and physical doses were converted into the
EQD2(alpha/beta), which includes total dose, fraction dose, and the tissue
specific alpha/beta ratio. The use of EQD2(alpha/beta) is illustrated in examples
studies describing different analyses using EQD2(alpha/beta) and physical dose.
RESULTS: Radiotherapy information was obtained for 510 (85.4%) CCS. Multivariable
analyses rendered different risk estimates for total body irradiation in
EQD2(alpha/beta)-based vs. physical-dose-based models. For other radiotherapy
regimens, risk estimates were similar. CONCLUSIONS: Using the total physical dose
is not adequate for advanced analyses of radiation-associated late effects in
CCS. Therefore, it is advised that for future studies the EQD2(alpha/beta) is
used, because the EQD2(alpha/beta) incorporates the fraction dose, and the tissue
specific alpha/beta ratio. Furthermore, it enables comparisons across
fractionation regimens and allows for summing doses delivered by various
contemporary and future radiation modalities. IMPLICATIONS FOR CANCER SURVIVORS:
Risk estimates of radiation-associated side effects expressed in EQD2(alpha/beta)
provide more precise, clinically relevant information for cancer survivor
screening guidelines.
PMID- 24927791
TI - The Rodin-Ohno hypothesis that two enzyme superfamilies descended from one
ancestral gene: an unlikely scenario for the origins of translation that will not
be dismissed.
AB - BACKGROUND: Because amino acid activation is rate-limiting for uncatalyzed
protein synthesis, it is a key puzzle in understanding the origin of the genetic
code. Two unrelated classes (I and II) of contemporary aminoacyl-tRNA synthetases
(aaRS) now translate the code. Observing that codons for the most highly
conserved, Class I catalytic peptides, when read in the reverse direction, are
very nearly anticodons for Class II defining catalytic peptides, Rodin and Ohno
proposed that the two superfamilies descended from opposite strands of the same
ancestral gene. This unusual hypothesis languished for a decade, perhaps because
it appeared to be unfalsifiable. RESULTS: The proposed sense/antisense alignment
makes important predictions. Fragments that align in antiparallel orientations,
and contain the respective active sites, should catalyze the same two reactions
catalyzed by contemporary synthetases. Recent experiments confirmed that
prediction. Invariant cores from both classes, called Urzymes after Ur =
primitive, authentic, plus enzyme and representing ~20% of the contemporary
structures, can be expressed and exhibit high, proportionate rate accelerations
for both amino-acid activation and tRNA acylation. A major fraction (60%) of the
catalytic rate acceleration by contemporary synthetases resides in segments that
align sense/antisense. Bioinformatic evidence for sense/antisense ancestry
extends to codons specifying the invariant secondary and tertiary structures
outside the active sites of the two synthetase classes. Peptides from a designed,
46-residue gene constrained by Rosetta to encode Class I and II ATP binding sites
with fully complementary sequences both accelerate amino acid activation by ATP
~400 fold. CONCLUSIONS: Biochemical and bioinformatic results substantially
enhance the posterior probability that ancestors of the two synthetase classes
arose from opposite strands of the same ancestral gene. The remarkable
acceleration by short peptides of the rate-limiting step in uncatalyzed protein
synthesis, together with the synergy of synthetase Urzymes and their cognate
tRNAs, introduce a new paradigm for the origin of protein catalysts, emphasize
the potential relevance of an operational RNA code embedded in the tRNA acceptor
stems, and challenge the RNA-World hypothesis.
PMID- 24927792
TI - Ectopic ACTH-secreting pituitary adenomas within the sphenoid sinus.
AB - Ectopic pituitary adenomas are defined by the presence of adenomatous pituitary
tissue outside the sella and distinctly separate from the pituitary gland.
Ectopic ACTH-secreting pituitary adenomas (EAPAs) are a rare cause of Cushing's
syndrome. Detecting these radiologically can prove difficult, in part, due to
their typically small size and unpredictable anatomical location. In ACTH
dependent Cushing's syndrome, if, despite comprehensive testing, the source of
excess ACTH remains occult (including negative work up for ectopic ACTH syndrome)
thought should be given to the possibility of the patient harboring an EAPA. In
most cases, ectopic ACTH pituitary adenomas within the sphenoid sinus will
manifest with symptoms of hormonal excess, have an obvious sphenoid sinus mass on
pre-operative imaging and will demonstrate resolution of hypercortisolism after
surgical excision if located and removed. Twenty cases of EAPAs have been
reported in the literature to date. This paper will review the current literature
on all previously reported EAPAs within the sphenoid sinus in addition to the
current case.
PMID- 24927793
TI - Epigenetic silencing of TSHR gene in thyroid cancer patients in relation to their
BRAF V600E mutation status.
AB - Promoter hypermethylation of multiple genes have been identified to play a role
in thyroid cancers and most prominent among them is TSHR gene promoter
hypermethylation in particular showing a close association with BRAF gene-altered
status. Thus, the aim of this study was to analyze the TSHR gene promoter
hypermethylation in a series of thyroid tumor tissues in the backdrop of their
BRAF gene mutational status. Methylation-specific PCR (MS-PCR) was used for
detection of promoter methylation while BRAF gene mutational status was analyzed
by PCR followed by DNA sequencing in the same series of 60 thyroid tumor tissues.
The promoter region of TSHR gene was found to be methylated in 25 % (15 of 60) of
the thyroid cancer patients. Patients having elevated TSH levels showed strong
association with methylation (OR = 4.0, P = 0.02). BRAF V600E mutation was found
in 25 % (15 of 60) patients and among them TSHR promoter was methylated in 73.3 %
(11 of 15) patients and only 26.7 % (4 of 15) patients with mutated BRAF showed
the absence of TSHR promoter methylation. We found a significant association
between the presence of methylation in TSHR with the BRAF V600E mutation-positive
cases (P < 0.05). In conclusion, our study showed a high implication of TSHR gene
methylation and its significant association with BRAF V600E mutation in thyroid
tumors, depicting a positive connection between TSHR pathway and MAP Kinase
pathway.
PMID- 24927795
TI - Prediction of open urinary tract in laparoscopic partial nephrectomy by virtual
resection plane visualization.
AB - BACKGROUND: The purpose of this study is presenting a method to predict the
presence of an open urinary tract and the position of the opening in laparoscopic
partial nephrectomy from three dimensional (3D) computed tomography (CT) images
by using novel image segmentation and visualization techniques. METHODS: From CT
images of patients who underwent laparoscopic partial nephrectomy, 3D regions of
the kidney, urinary tract, and tumor were segmented. For each patient, multiple
virtual resection planes of the kidney with different surgical margins (1 mm to 5
mm, every 1 mm) were generated and the presence of an open urinary tract and the
position of the opening were predicted from the images. RESULTS: We compared the
predictions with actual operations in 5 cases by using recorded video of the
operations and operative notes. In terms of the presence of an open urinary
tract, agreement of the predictions and the intraoperative results was obtained
in all patients. The expected positions of the openings were close to those in
the actual operations. CONCLUSIONS: We have developed a method to virtually
visualize the resection plane of laparoscopic partial nephrectomy. Image
segmentation methods used in this study were precise and effective. The
comparison indicated that our method accurately predicted the presence of an open
urinary tract and the position of the opening and provided useful preoperative
information.
PMID- 24927794
TI - The association between diabetes and depression: a very disabling condition.
AB - Rates of depression are significantly increased in diabetic patients, and even
more in the elderly. About 20-30% of patients with diabetes suffer from
clinically relevant depressive disorders, 10% of which being affected by the
major depression disorder. Moreover, people with depression seem to be more prone
to develop an associated diabetes mellitus, and depression can worsen glycemic
control in diabetes, with higher risk to develop complications and adverse
outcomes, whereas improving depressive symptoms is generally associated with a
better glycemic control. Thus, the coexistence of depression and diabetes has a
negative impact on both lifestyle and quality of life, with a reduction of
physical activity and an increase in the request for medical care and
prescriptions, possibly increasing the healthcare costs and the susceptibility to
further diseases. These negative aspects are particularly evident in the elderly,
with further decrease in the mobility, worsening of disability, frailty,
geriatric syndromes and increased mortality. Healthcare providers should be aware
of the possible coexistence of depression and diabetes and of the related
consequences, to better manage the patients affected by these two pathological
conditions.
PMID- 24927796
TI - Palmitoylethanolamide stimulates phagocytosis of Escherichia coli K1 by
macrophages and increases the resistance of mice against infections.
AB - BACKGROUND: Palmitoylethanolamide (PEA), an endogenous lipid and a congener of
anandamide, possesses a wide range of effects related to metabolic and cellular
homeostasis including anti-inflammatory and neuroprotective properties. METHODS:
In vitro, we studied the ability of macrophages to phagocytose Escherichia coli
K1 after stimulation with increasing doses of PEA. In vivo, wild-type mice were
treated with PEA intraperitoneally 12 hours and 30 minutes before infection.
Meningoencephalitis or sepsis was induced by intracerebral or intraperitoneal
infection with E. coli K1. RESULTS: Stimulation of macrophages with PEA for 30
minutes increased the phagocytosis of E. coli K1 without inducing the release of
TNFalpha or CXCL1. Intracellular killing of E. coli K1 was higher in PEA
stimulated than in unstimulated peritoneal macrophages and microglial cells. Pre
treatment with PEA significantly increased survival of mice challenged
intracerebrally or intraperitoneally with E. coli K1. This effect was associated
with a decreased production of CXCL1, IL-1beta and IL-6 in homogenates of spleen
and cerebellum in mice treated with PEA. CONCLUSIONS: Our observations suggest
that these protective effects of PEA in mice can increase the resistance to
bacterial infections without the hazard of collateral damage by excessive
stimulation of phagocytes.
PMID- 24927797
TI - The combination of FDG PET and dynamic contrast-enhanced MRI improves the
prediction of disease-free survival in patients with advanced breast cancer after
the first cycle of neoadjuvant chemotherapy.
AB - PURPOSE: The aim of this study was to investigate the potential of FDG PET/CT and
MRI in predicting disease-free survival (DFS) after neoadjuvant chemotherapy
(NAC) and surgery in patients with advanced breast cancer. METHODS: The analysis
included 54 women with advanced breast cancer. All patients received three cycles
of NAC, underwent curative surgery, and then received three cycles of additional
chemotherapy. Before and after the first cycle of NAC, all patients underwent
sequential PET/CT and MRI. All patients were analysed using a diverse range of
parameters. including maximal standardized uptake value (SUV), percent change in
SUV (DeltaSUV), initial slope of the enhancement curve (MRslope), apparent
diffusion coefficient (ADC), tumour size, change in MRslope (DeltaMRslope),
change in ADC (DeltaADC), change in tumour size (Deltasize) and other
clinicopathological parameters]. The relationships between covariates and DFS
after surgery were analysed using the Kaplan-Meier method and the multivariate
Cox proportional hazards model. Time-dependent receiver operating characteristic
curves were used to determine the optimal cut-off values of imaging parameters
for DFS. RESULTS: Of the 54 patients, 13 (24 %) experienced recurrence at a
median follow-up of 38 months (range 25 - 45 months). Univariate and multivariate
analyses showed that a lesser decline in SUV, a lesser decline in MRslope, a
lesser increase in ADC, and ER negativity were significantly associated with a
poorer DFS (P = 0.0006, DeltaSUV threshold -41 %; P = 0.0016, DeltaMRslope
threshold -6 %; P = 0.011, DeltaADC threshold 11 %; and P = 0.0086, ER status,
respectively). Patients with a combination of DeltaSUV >-41 % and DeltaMRslope >
6 % showed a significantly higher recurrence rate (77.8 %) than the remaining of
patients (13.3 %, P < 0.0001). CONCLUSION: Functional parameters of both FDG PET
and MRI after the first cycle of NAC are useful for predicting DFS in patients
with advanced breast cancer. This approach could lead to an improvement in
patient care because ineffective NAC agents could be avoided and more aggressive
therapy could be used in high-risk patients.
PMID- 24927799
TI - A case of recurrent intestinal ganglioneuromatous polyposis accompanied with
mesenteric schwannoma.
PMID- 24927798
TI - Molecular mechanism of acute radiation enteritis revealed using proteomics and
biological signaling network analysis in rats.
AB - BACKGROUND AND AIMS: Radiation enteritis (RE) has emerged as a significant
complication that can progress to severe gastrointestinal disease and the
mechanisms underlying its genesis remain poorly understood. The aim of this study
was to identify temporal changes in protein expression potentially associated
with acute inflammation and to elucidate the mechanism underlying radiation
enteritis genesis. METHODS: Male Sprague-Dawley rats were irradiated in the
abdomen with a single dose of 10 Gy to establish an in vivo model of acute
radiation enteritis. Two-dimensional fluorescence difference gel electrophoresis,
matrix-assisted laser desorption/ionization time-of-flight spectrometer (MALDI
TOF) tandem mass spectrometry, and peptide mass fingerprinting were used to
determine differentially expressed proteins between normal and inflamed
intestinal mucosa. Additionally, differentially expressed proteins were evaluated
by KO Based Annotation System to find the biological functions associated with
acute radiation enteritis. RESULTS: Intensity changes of 86 spots were detected
with statistical significance (ratio >= 1.5 or <= 1.5, P < 0.05). Sixty one of
the 86 spots were identified by MALDI-TOF/TOF tandem mass spectrometry. These
radiation-induced proteins with biological functions showed that the FAS pathway
and glycolysis signaling pathways were significantly altered using the KOBAS
tool. CONCLUSIONS: Our results reveal an underlying mechanism of radiation
induced acute enteritis, which may help clarify the pathogenesis of RE and point
to potential targets for therapeutic interventions.
PMID- 24927800
TI - Diverticulosis and the risk of interval colorectal cancer.
AB - BACKGROUND: Diverticulosis, a prevalent condition at screening colonoscopy, has
been associated with colorectal cancers that develop after a clearing
colonoscopy, or interval cancers. AIMS: To quantify the overall risk of
diverticulosis in the development of interval cancers and examine this
association in relevant subgroups. METHODS: Using a linked database containing
SEER tumor registry data and Medicare claims, we identified patients aged >=69
years with colorectal cancer who underwent colonoscopy within 6 months of
diagnosis. Patients with an additional colonoscopy from 36 to 6 months prior to
cancer diagnosis were characterized as having interval cancers. We compared
characteristics of patients with interval cancers and detected cancers according
to a diagnosis of diverticulosis not associated with a colonoscopy procedure from
1991 through the date of the most recent colonoscopy in both univariate and
multivariate models. RESULTS: A previous diagnosis of diverticulosis was
documented in 14,452 (26.9 %) patients with detected cancers compared to 2,905
(69.3 %) patients with interval cancers (p < 0.001); these results were
consistent in multivariable analysis. Moreover, the association was found as well
in the proximal colon (OR 2.88, 95 % CI 2.66, 3.12), distal colon (OR 3.56, 95 %
CI 3.09, 4.11), and rectum (OR 4.07, 95 % CI 3.34, 4.95). The vast majority of
diverticulosis diagnoses were without complications such as hemorrhage or
diverticulitis. CONCLUSIONS: Diverticulosis was strongly associated with interval
colorectal cancers in all segments of the colon. Given its known predominance in
the left colon, the findings argue against impaired visualization of lesions at
colonoscopy as the only pathogenic factor.
PMID- 24927801
TI - Endoscopic resection as a first therapy for gastric epithelial atypia: is it
reasonable?
AB - BACKGROUND AND AIMS: Gastric atypical cell (GAC), an indefinite pathologic
finding, often requires repeated biopsy or other diagnostic treatments, such as
endoscopic mucosal resection (EMR), endoscopic submucosal dissection (ESD), or
operation (OP). The aim of this study was to analyze the initial endoscopic and
histologic findings of GAC and to discuss the necessity of EMR/ESD at
establishing a correct diagnosis. METHODS: This retrospective study enrolled 96
patients proven as GAC on index forceps biopsy. ESD (17/96, 17.7%), EMR (5/96,
5.2%), OP (20/96, 20.8%), and other treatment or follow-up (54/96, 56.3%) were
performed. We analyzed the initial endoscopic and histologic characteristics of
GAC lesions, predictive of neoplasm. RESULTS: After diagnostic modalities, the
final pathologic diagnoses were cancer (36/96, 37.6%), dysplasia (9/96, 9.4%),
and non-neoplasm (51/96, 53.0%). In univariate analysis, age [odds ratio (OR)
1.04, 95% confidence interval (CI) 1.01-1.07], lesion size of 10 mm or greater
(OR 3.94, 95% CI 1.61-9.61), lesion with depressed type (OR 2.50, 95% CI 1.09
5.72), and presence of H. pylori (OR 2.83, 95% CI 1.11-7.25) were risk factors
for neoplasm. In multivariate analysis, lesion size of 10 mm or greater (OR 3.63,
95% CI 1.23-10.66), lesion with depressed type (OR 2.86, 95% CI 1.11-7.38) were
independent risk factors for cancer. CONCLUSION: Considering the neoplastic risk
of GAC, which could be missed on biopsy, more comprehensive tissue sampling via
EMR/ESD might be necessary to establish a definite diagnosis.
PMID- 24927802
TI - Information-seeking and sharing behavior following genomic testing for diabetes
risk.
AB - As the practice of medicine has become more patient-driven, patients are
increasingly seeking health information within and outside of their doctor's
office. Patients looking for information and support are often turning to the
Internet as well as family and friends. As part of a study to understand the
impact of delivery method of genomic testing for type 2 diabetes risk on
comprehension and health-related behaviors, we assessed participants' information
seeking and sharing behaviors after receiving their results in-person with a
genetic counselor or online through the testing company's website. We found that
32.6 % of participants sought information after receiving the genomic test
results for T2DM; 80.8 % of those that did seek information turned to the
Internet. Eighty-eight percent of participants reported that they shared their
T2DM risk results, primarily with their spouse/partner (65 %) and other family
members (57 %) and children (19 %); 14 % reported sharing results with their
health provider. Sharing was significantly increased in those who received
results in-person from the genetic counselor (p = 0.0001). Understanding
patients' interests and needs for additional information after genomic testing
and with whom they share details of their health is important as more information
and clinical services are available and accessed outside the clinician's office.
Genetic counselors' expertise and experience in creating educational materials
and promoting sharing of genetic information can facilitate patient engagement
and education.
PMID- 24927803
TI - The link between ethnicity, social disadvantage and mental health problems in a
school-based multiethnic sample of children in The Netherlands.
AB - To investigate to what extent differences in prevalence and types of mental
health problems between ethnic minority and majority youth can be explained by
social disadvantage. Mental health problems were assessed in a sample of 1,278
schoolchildren (55% Dutch, 32% Moroccan and 13% Turkish; mean age: 12.9 +/- 1.8)
using the Strengths and Difficulties Questionnaire self-report and teacher
report. Measures of family socioeconomic status, neighbourhood deprivation,
perceived discrimination, family structure, repeating a school year, housing
stability and neighbourhood urbanization were used as indicators of social
disadvantage, based on which a cumulative index was created. Ethnic minority
youth had more externalizing and fewer internalizing problems than majority
youth. Perceived discrimination and living in an unstable social environment were
associated with mental health problems, independent of ethnicity. A dose-response
relationship was found between social disadvantage and mental health problems.
The adjusted odds ratio for mental health problems was 4.16 (95% CI 2.49-6.94)
for more than four compared with zero indicators of social disadvantage. Social
disadvantage was more common in ethnic minority than in majority youth,
explaining part of the differences in prevalence of mental health problems.
Ethnic minority youth in the Netherlands have a different profile of mental
health problems than majority youth. In all ethnic groups, the risk of mental
health problems increases with the degree of social disadvantage. The higher
prevalence of externalizing problems among ethnic minority youth is explained
partly by their disadvantaged social position. The findings suggest that social
factors associated with ethnicity are likely to explain mental health problems in
ethnic groups.
PMID- 24927805
TI - Inequalities in multiple health outcomes by education, sex, and race in 93 US
counties: why we should measure them all.
AB - INTRODUCTION: Regular reporting of health inequalities is essential to monitoring
progress of efforts to reduce health inequalities. While reporting of population
health became increasingly common, reporting of a subpopulation group breakdown
of each indicator of the health of the population is rarely a standard practice.
This study reports education-, sex-, and race-related inequalities in four health
outcomes in each of the selected 93 counties in the United States in a systematic
and comparable manner. METHODS: This study is a cross-sectional analysis of
large, publicly available data, 2008, 2009, and 2010 Behavioral Risk Factor
Surveillance System (BRFSS) Selected Metropolitan/Micropolitan Area Risk Trends
(SMART) and 2008, 2009, and 2010 United States Birth Records from the National
Vital Statistics System. The study population is American adults older than 25
years of age residing in the selected 93 counties, representing about 30% of the
US population, roughly equally covering all geographic regions of the country.
Main outcome measures are: (1) Attribute (group characteristic)-specific
inequality: education-, sex-, or race-specific inequality in each of the four
health outcomes (poor or fair health, poor physical health days, poor mental
health days, and low birthweight) in each county; (2) Overall inequality: the
average of these three attribute-specific inequalities for each health outcome in
each county; and (3) Summary inequality in total morbidity: the weighted average
of the overall inequalities across the four health outcomes in each county.
RESULTS: The range of inequality across the counties differed considerably by
health outcome; inequality in poor or fair health had the widest range and the
highest median among inequalities in all health outcomes. In more than 70% of the
counties, education-specific inequality was the largest in all health outcomes
except for low birthweight. CONCLUSIONS: It is feasible to extend population
health reporting to include reporting of a subpopulation group breakdown of each
indicator of the health of the population at a small jurisdictional level using
publicly available data. No single group characteristic or health outcome
represents the whole picture of health inequalities in a population. Examining
multiple group characteristics and outcomes in a comparable manner is essential
in reporting health inequalities.
PMID- 24927806
TI - Vascular and interventional radiology radiofrequency ablation of benign thyroid
nodules and recurrent thyroid cancers: literature review.
AB - Thermal radiofrequency ablation is a relatively new, minimally invasive modality
employed as an alternative to surgery in patients with benign thyroid nodules and
recurrent thyroid cancers. Different recommendations are necessary for the
optimal use of radiofrequency ablation for thyroid nodules. These recommendations
are based on a comprehensive analysis of the current literature, the results of
multicenter studies, and expert consensus.
PMID- 24927807
TI - Vitamin d in the general population of young adults with autism in the faroe
islands.
AB - Vitamin D deficiency has been proposed as a possible risk factor for developing
autism spectrum disorder (ASD). 25-Hydroxyvitamin D3 (25(OH)D3) levels were
examined in a cross-sectional population-based study in the Faroe Islands. The
case group consisting of a total population cohort of 40 individuals with ASD
(aged 15-24 years) had significantly lower 25(OH)D3 than their 62 typically
developing siblings and their 77 parents, and also significantly lower than 40
healthy age and gender matched comparisons. There was a trend for males having
lower 25(OH)D3 than females. Effects of age, month/season of birth, IQ, various
subcategories of ASD and Autism Diagnostic Observation Schedule score were also
investigated, however, no association was found. The very low 25(OH)D3 in the ASD
group suggests some underlying pathogenic mechanism.
PMID- 24927808
TI - Irradiated tumor cells of lipopolysaccharide stimulation elicit an enhanced anti
tumor immunity.
AB - PURPOSE: Lipopolysaccharide (LPS) is a major component of the outer surface
membrane of Gram-negative bacteria which has been proved an effective immune
enhancer. Here, we investigated the anti-tumor effect of irradiated tumor cells
that stimulated by LPS in mouse xenografts models. METHODS: Tumor cells were
irradiated after stimulation with 1 MUg/mL LPS for 48 h. The C57BL/6 mice were
immunized subcutaneously with irradiated tumor cells. The anti-tumor effect of
lymphocytes of immunized mice was investigated. The cytotoxicity of spleen
lymphocytes from immunized mice was determined by a standard (51)Cr-release
assay. The roles of immune cell subsets in anti-tumor activity were assessed by
injected intraperitoneally with monoclonal antibodies. RESULTS: We observed that
the vaccine of irradiated tumor cell with LPS-stimulated elicited a stronger
protective anti-tumor immunity than other controls. Adoptive transfer of
lymphocytes of immunized mice showed that the cellular immune response was
involved in the anti-tumor effect. And this effect was achieved by activation of
antigen-specific CD8(+) T cell response and reduction of myeloid-derived
suppressor cells (MDSCs, Gr1(+) CD11b (+) ), which were confirmed by depletion of
immune cell subsets and flow cytometry analysis. CONCLUSIONS: In summary, our
study showed that stimulation of LPS was able to enhance anti-tumor immunity of
vaccination with tumor cells after irradiation treatment, which might be a new
strategy for cancer therapy.
PMID- 24927810
TI - The threat of the dyscompetent resident: A plea to make the implicit more
explicit!
AB - Although several examples of frameworks dealing with students' unprofessional
behaviour are available, guidance on how to deal locally or regionally with
dysfunctional residents is limited (Hickson et al. in Acad Med 82(11):1040-1048,
2007b; Leape and Fromson in Ann Intern Med 144(2):107-115, 2006). Any 'rules' are
mostly unwritten, and often emerge by trial and error within the specialty
training programme (Stern and Papadakis in N Engl J Med 355(17):1794-1799, 2006).
It is nevertheless of utmost importance that objectives, rules and guidelines
comparable to those existing in undergraduate training (Project Team Consilium
Abeundi van Luijk in Professional behaviour: teaching, assessing and coaching
students. Final report and appendices. Mosae Libris, 2005; van Mook et al. in
Neth J Crit Care 16(4):162-173, 2010a) are developed for postgraduate training.
And that implicit rules are made explicit. This article outlines a framework
based on the lessons learned from contemporary postgraduate medical training
programmes.
PMID- 24927809
TI - Cultivation of Rickettsia amblyommii in tick cells, prevalence in Florida lone
star ticks (Amblyomma americanum).
AB - BACKGROUND: Rickettsia amblyommii is a bacterium in the spotted fever group of
organisms associated with the lone star tick (LST), Amblyomma americanum. The LST
is the most commonly reported tick to parasitize humans in the southeastern US.
Within this geographic region, there have been suspected cases of Rocky Mountain
spotted fever (RMSF) where the causative agent, R. rickettsii, was not identified
in the local tick population. In these areas, patients with clinical signs of
RMSF had low or no detectable antibodies to R. rickettsii, resulting in an
inability to confirm a diagnosis. METHODS: R. amblyommii was cultivated from host
seeking LSTs trapped in Central Florida and propagated in ISE6 (Ixodes
scapularis) and AAE2 (A. americanum) cells. Quantitative PCR targeting the 17-kD
gene of Rickettsia spp. identified the genus of the organism in culture. Variable
regions of groEL, gtlA and rompA genes were amplified and sequenced to confirm
the species. The prevalence of R. amblyommii in LSTs within the geographic region
was determined by qPCR followed by conventional PCR and direct sequencing.
RESULTS: Analyses of amplified sequences from the cultured organism were 100%
homologous to R. amblyommii. The overall prevalence of Rickettsia spp. in the
local population of LSTs was 57.1% and rompA sequence analysis identified only R.
amblyommii in LSTs. CONCLUSIONS: A Florida strain of R. amblyommii was
successfully cultivated in two tick cell lines. Further evaluation of the new
strain and comparisons to the other geographic strains is needed. The prevalence
of this SFG organism in the tick population warrants further investigation into
the organism's ability to cause clinical disease in mammalian species.
PMID- 24927811
TI - A prospective observational study to assess the diagnostic accuracy of clinical
decision rules for children presenting to emergency departments after head
injuries (protocol): the Australasian Paediatric Head Injury Rules Study
(APHIRST).
AB - BACKGROUND: Head injuries in children are responsible for a large number of
emergency department visits. Failure to identify a clinically significant
intracranial injury in a timely fashion may result in long term neurodisability
and death. Whilst cranial computed tomography (CT) provides rapid and definitive
identification of intracranial injuries, it is resource intensive and associated
with radiation induced cancer. Evidence based head injury clinical decision rules
have been derived to aid physicians in identifying patients at risk of having a
clinically significant intracranial injury. Three rules have been identified as
being of high quality and accuracy: the Canadian Assessment of Tomography for
Childhood Head Injury (CATCH) from Canada, the Children's Head Injury Algorithm
for the Prediction of Important Clinical Events (CHALICE) from the UK, and the
prediction rule for the identification of children at very low risk of clinically
important traumatic brain injury developed by the Pediatric Emergency Care
Applied Research Network (PECARN) from the USA. This study aims to prospectively
validate and compare the performance accuracy of these three clinical decision
rules when applied outside the derivation setting. METHODS/DESIGN: This study is
a prospective observational study of children aged 0 to less than 18 years
presenting to 10 emergency departments within the Paediatric Research in
Emergency Departments International Collaborative (PREDICT) research network in
Australia and New Zealand after head injuries of any severity. Predictor
variables identified in CATCH, CHALICE and PECARN clinical decision rules will be
collected. Patients will be managed as per the treating clinicians at the
participating hospitals. All patients not undergoing cranial CT will receive a
follow up call 14 to 90 days after the injury. Outcome data collected will
include results of cranial CTs (if performed) and details of admission,
intubation, neurosurgery and death. The performance accuracy of each of the rules
will be assessed using rule specific outcomes and inclusion and exclusion
criteria. DISCUSSION: This study will allow the simultaneous comparative
application and validation of three major paediatric head injury clinical
decision rules outside their derivation setting. TRIAL REGISTRATION: The study is
registered with the Australian New Zealand Clinical Trials Registry (ANZCTR)-
ACTRN12614000463673 (registered 2 May 2014).
PMID- 24927812
TI - A multi-year assessment of the environmental impact of transgenic Eucalyptus
trees harboring a bacterial choline oxidase gene on biomass, precinct vegetation
and the microbial community.
AB - A 4-year field trial for the salt tolerant Eucalyptus globulus Labill. harboring
the choline oxidase (codA) gene derived from the halobacterium Arthrobacter
globiformis was conducted to assess the impact of transgenic versus non
transgenic trees on biomass production, the adjacent soil microbial communities
and vegetation by monitoring growth parameters, seasonal changes in soil microbes
and the allelopathic activity of leaves. Three independently-derived lines of
transgenic E. globulus were compared with three independent non-transgenic lines
including two elite clones. No significant differences in biomass production were
detected between transgenic lines and non-transgenic controls derived from same
seed bulk, while differences were seen compared to two elite clones. Significant
differences in the number of soil microbes present were also detected at
different sampling times but not between transgenic and non-transgenic lines. The
allelopathic activity of leaves from both transgenic and non-transgenic lines
also varied significantly with sampling time, but the allelopathic activity of
leaves from transgenic lines did not differ significantly from those from non
transgenic lines. These results indicate that, for the observed variables, the
impact on the environment of codA-transgenic E. globulus did not differ
significantly from that of the non-transformed controls on this field trial.
PMID- 24927814
TI - Unusual implant-related soft tissue reaction presenting as a swollen leg: a case
report.
AB - INTRODUCTION: There are several causes of peri-implant edema, pain, and swelling
around implants after orthopedic fixation device application for fracture repair.
The most common and well-known reason is infection, however, granulomas
associated with foreign body reactions are rarely seen. In this report we present
a case of a granulomatous reaction mimicking a hydatid cyst and sarcoma. We
emphasize the importance of differential diagnosis in triggering appropriate
management of the patient. Our case was unusual; to the best of our knowledge no
similar clinical or pathological findings have been reported in current
literature. CASE PRESENTATION: A 56-year-old Turkish man who had been treated for
a right femoral fracture via a plate fixation 10 years prior underwent an
operation to treat pain and swelling around the plate. A hydatid cyst-like mass
was observed during surgery, but subsequent examination revealed that no hydatid
cyst was present; both malignancy and infection were also absent. CONCLUSIONS:
Although infection is generally the first possibility that should be considered
in a patient complaining of pain and swelling in the vicinity of an implant,
malignancies, hydatid cysts, and (finally) foreign body reactions should also be
kept in mind as differential diagnoses. A soft-tissue reaction around a
previously implanted plate should be managed carefully. Pre-operative
radiological assessment, and biopsy to allow pathological and microbiological
examination, should be considered in all suspected cases.
PMID- 24927813
TI - Genetic inhibition of the atypical kinase Wee1 selectively drives apoptosis of
p53 inactive tumor cells.
AB - BACKGROUND: Tumorigenesis is the result of genomic or epigenomic insults and
subsequent loss of the proper mechanisms to respond to these alterations leading
to unscheduled growth. Tumors arising from these mutations often have altered
cell cycles that offer proliferative advantages and lead to the accumulation of
additional mutations that can lead to more aggressive phenotypes. Nevertheless,
tumor cells must still adhere to the basic tenets of the cell cycle program to
ensure their survival by DNA duplication, chromosomal segregation and
cytokinesis. The atypical tyrosine kinase Wee1 plays a key role in regulating the
cell cycle at the DNA synthesis and mitotic checkpoints via phosphorylation and
subsequent inactivation of cyclin-dependent kinases (CDKs) in both healthy and
tumorigenic cells. METHODS: To assess the role of Wee1 in tumor cell
proliferation we performed small interfering RNA (siRNA) experiments in a panel
of diverse cell lines derived from various tissue origins. We also tested the
hypothesis that any potential effects would be as a result of the kinase activity
of Wee1 by siRNA rescue studies with wild-type or kinase-dead versions of Wee1.
RESULTS: We find that, in general, cells with wild-type p53 activity are not
susceptible to loss of Wee1 protein via siRNA. However, Wee1 siRNA treatment in
tumor cells with an inherent loss of p53 activity results in a deregulated cell
cycle that causes simultaneous DNA synthesis and premature mitosis and that these
effects are kinase dependent. These cumulative effects lead to potent inhibition
of cellular proliferation and ultimately caspase-dependent apoptosis in the
absence of co-treatment with cytotoxic agents. CONCLUSIONS: These results suggest
that, while Wee1 acts as a tumor suppressor in the context of normal cell growth
and its functional loss can be compensated by p53-dependent DNA damage repairing
mechanisms, specific inhibition of Wee1 has deleterious effects on the
proliferation and survival of p53 inactive tumors. In total, targeting the
atypical kinase Wee1 with an siRNA-based therapeutic or a selective ATP
competitive small molecule inhibitor would be a feasible approach to targeting
p53 inactive tumors in the clinic.
PMID- 24927815
TI - Liquid oral suspension adefovir dipivoxil (GS-02-526): an update on treatments
for hepatitis B infection.
AB - Though the global epidemiology of hepatitis B virus infection has declined due to
effective immunization, chronic hepatitis B (CHB) remains a serious public health
problem and there is still a need for more treatment options that are efficient,
safe and simple for different kinds of CHB patients. Adefovir dipivoxil (ADV)
liquid suspension (GS-02-526), as a new form of oral ADV, not only has competent
antiviral efficacy, but is also more convenient for patients with swallowing
difficulties or patients with impaired renal function requiring dosage
adjustment. The clinical data evaluating the safety, tolerability and antiviral
activity of liquid suspension of ADV as well as its tablet are summarized in this
article. The availability of liquid oral suspension of ADV would allow more
patients to receive timely and reasonable antiviral treatments.
PMID- 24927816
TI - Strengthening the capacity of managers in pharmaceutical services based on
Primary Health Care (PHC) at different levels of the health system.
AB - INTRODUCTION: Distance learning methods have been widely used because of their
advantages to continuing professional development processes. The Primary Health
Care (PHC) is a strategy which has been implemented in order to improve the
efficiency of health systems. Due to the need for access to medicines and
technologies regardless of the strengthening of health systems, a new approach
that better integrates both pharmaceutical services and health systems has been
implemented. CASE DESCRIPTION: This is a case study which consists of describing
the process of restructuring, developing and implementing the second version of
the Virtual Course on Primary Health Care-based Pharmaceutical Services for
managers (CVSERVFAPS-12). The main objective is to strengthen the capacity of
managers in pharmaceutical services, based on PHC at different levels of the
health system, in order to support the restructuring and empowering of these
services and, consequently, the health systems in the American region. DISCUSSION
AND EVALUATION: Many evaluation tools were applied to identify the
achievement/improvement of planned competencies. The intervention proposals were
collectively built and intended to be more than an academic exercise, looking
forward to being implemented as a strategic intervention to promote changes in
the pharmaceutical services of the American region. The main strengths identified
for the second version of the course were related to the quality of the didactic
material and content. Additionally, the tutors' support was commented upon as a
positive aspect. The main challenges faced in this rebuilding process related to
the due dates of the activities and lectures as well as the time to capture and
assimilate the content. CONCLUSIONS: The CVSERVFAPS-Pilot was reformulated and
CVSERVFAPS-12 is consistent with the issues raised in the pilot course's
evaluation in 2011, which were successfully implemented. The use of the distance
learning strategy, through a virtual environment, for the application of the
Virtual Course on PHC- based pharmaceutical services for managers, is appropriate
and confirmed its role in public policy promotion through effective retention and
distribution of health workers.
PMID- 24927817
TI - Improving analysis of transcription factor binding sites within ChIP-Seq data
based on topological motif enrichment.
AB - BACKGROUND: Chromatin immunoprecipitation (ChIP) coupled to high-throughput
sequencing (ChIP-Seq) techniques can reveal DNA regions bound by transcription
factors (TF). Analysis of the ChIP-Seq regions is now a central component in gene
regulation studies. The need remains strong for methods to improve the
interpretation of ChIP-Seq data and the study of specific TF binding sites
(TFBS). RESULTS: We introduce a set of methods to improve the interpretation of
ChIP-Seq data, including the inference of mediating TFs based on TFBS motif over
representation analysis and the subsequent study of spatial distribution of
TFBSs. TFBS over-representation analysis applied to ChIP-Seq data is used to
detect which TFBSs arise more frequently than expected by chance. Visualization
of over-representation analysis results with new composition-bias plots reveals
systematic bias in over-representation scores. We introduce the BiasAway
background generating software to resolve the problem. A heuristic procedure
based on topological motif enrichment relative to the ChIP-Seq peaks' local
maximums highlights peaks likely to be directly bound by a TF of interest. The
results suggest that on average two-thirds of a ChIP-Seq dataset's peaks are
bound by the ChIP'd TF; the origin of the remaining peaks remaining undetermined.
Additional visualization methods allow for the study of both inter-TFBS spatial
relationships and motif-flanking sequence properties, as demonstrated in case
studies for TBP and ZNF143/THAP11. CONCLUSIONS: Topological properties of TFBS
within ChIP-Seq datasets can be harnessed to better interpret regulatory
sequences. Using GC content corrected TFBS over-representation analysis, combined
with visualization techniques and analysis of the topological distribution of
TFBS, we can distinguish peaks likely to be directly bound by a TF. The new
methods will empower researchers for exploration of gene regulation and TF
binding.
PMID- 24927818
TI - Characterization of tau oligomeric seeds in progressive supranuclear palsy.
AB - BACKGROUND: Progressive supranuclear palsy (PSP) is a neurodegenerative tauopathy
which is primarily defined by the deposition of tau into globose-type
neurofibrillary tangles (NFT). Tau in its native form has important functions for
microtubule dynamics. Tau undergoes alternative splicing in exons 2, 3, and 10
which results in six different isoforms. Products of splicing on exon 10 are the
most prone to mutations. Three repeat (3R) and four repeat (4R) tau, like other
disease-associated amyloids, can form oligomers which may then go on to further
aggregate and form fibrils. Recent studies from our laboratory and others have
provided evidence that tau oligomers, not NFTs, are the most toxic species in
neurodegenerative tauopathies and seed the pathological spread of tau. RESULTS:
Analysis of PSP brain sections revealed globose-type NFTs, as well as both
phosphorylated and unphosphorylated tau oligomers. Analysis of PSP brains via
Western blot and ELISA revealed the presence of increased levels of tau oligomers
compared to age-matched control brains. Oligomers were immunoprecipitated from
PSP brain and were capable of seeding the oligomerization of both 3R and 4R tau
isoforms. CONCLUSIONS: This is the first time tau oligomers have been
characterized in PSP. These results indicate that tau oligomers are an important
component of PSP pathology, along with NFTs. The ability of PSP brain-derived tau
oligomers to seed 3R and 4R tau suggests that these oligomers represent the
pathological species responsible for disease propagation and the presence of
oligomers in a pure neurodegenerative tauopathy implies a common
neuropathological process for tau seen in diseases with other amyloid proteins.
PMID- 24927819
TI - National targets, process transformation and local consequences in an NHS
emergency department (ED): a qualitative study.
AB - BACKGROUND: In the attempt to reduce waiting times in emergency departments,
various national health services have used benchmarking and the optimisation of
patient flows. The aim of this study was to examine staff attitudes and
experience of providing emergency care following the introduction of a 4 hour
wait target, focusing on clinical, organisational and spatial issues. METHODS: A
qualitative research design was used and semi-structured interviews were
conducted with 28 clinical, managerial and administrative staff members working
in an inner-city emergency department. A thematic analysis method was employed
and NVivo 8 qualitative data analysis software was used to code and manage the
emerging themes. RESULTS: The wait target came to regulate the individual and
collective timescales of healthcare work. It has compartmentalised the previous
unitary network of emergency department clinicians and their workspace. It has
also speeded up clinical performance and patient throughput. It has disturbed
professional hierarchies and facilitated the development of new professional
roles. A new clinical information system complemented these reconfigurations by
supporting advanced patient tracking, better awareness of time, and continuous,
real-time management of emergency department staff. The interviewees had concerns
that this target-oriented way of working forces them to have a less personal
relationship with their patients. CONCLUSIONS: The imposition of a wait-target in
response to a perceived "crisis" of patients' dissatisfaction led to the
development of a new and sophisticated way of working in the emergency
department, but with deep and unintended consequences. We show that there is a
dynamic interrelation of the social and the technical in the complex environment
of the ED. While the 4 hour wait target raised the profile of the emergency
department in the hospital, the added pressure on clinicians has caused some
concerns over the future of their relationships with their patients and
colleagues. To improve the sustainability of such sudden changes in policy
direction, it is important to address clinicians' experience and satisfaction.
PMID- 24927820
TI - Whole-genome QTL analysis for MAGIC.
AB - KEY MESSAGE: An efficient whole genome method of QTL analysis is presented for
Multi-parent advanced generation integrated crosses. Multi-parent advanced
generation inter-cross (MAGIC) populations have been developed for mice and
several plant species and are useful for the genetic dissection of complex
traits. The analysis of quantitative trait loci (QTL) in these populations
presents some additional challenges compared with traditional mapping approaches.
In particular, pedigree and marker information need to be integrated and founder
genetic data needs to be incorporated into the analysis. Here, we present a
method for QTL analysis that utilizes the probability of inheriting founder
alleles across the whole genome simultaneously, either for intervals or markers.
The probabilities can be found using three-point or Hidden Markov Model (HMM)
methods. This whole-genome approach is evaluated in a simulation study and it is
shown to be a powerful method of analysis. The HMM probabilities lead to low
rates of false positives and low bias of estimated QTL effect sizes. An
implementation of the approach is available as an R package. In addition, we
illustrate the approach using a bread wheat MAGIC population.
PMID- 24927821
TI - Marker-assisted introgression of a QTL region to improve rust resistance in three
elite and popular varieties of peanut (Arachis hypogaea L.).
AB - KEY MESSAGE: Successful introgression of a major QTL for rust resistance, through
marker-assisted backcrossing, in three popular Indian peanut cultivars generated
several promising introgression lines with enhanced rust resistance and higher
yield. Leaf rust, caused by Puccinia arachidis Speg, is one of the major
devastating diseases in peanut (Arachis hypogaea L.). One QTL region on linkage
group AhXV explaining upto 82.62 % phenotypic variation for rust resistance was
validated and introgressed from cultivar 'GPBD 4' into three rust susceptible
varieties ('ICGV 91114', 'JL 24' and 'TAG 24') through marker-assisted
backcrossing (MABC). The MABC approach employed a total of four markers including
one dominant (IPAHM103) and three co-dominant (GM2079, GM1536, GM2301) markers
present in the QTL region. After 2-3 backcrosses and selfing, 200 introgression
lines (ILs) were developed from all the three crosses. Field evaluation
identified 81 ILs with improved rust resistance. Those ILs had significantly
increased pod yields (56-96 %) in infested environments compared to the
susceptible parents. Screening of selected 43 promising ILs with 13 markers
present on linkage group AhXV showed introgression of the target QTL region from
the resistant parent in 11 ILs. Multi-location field evaluation of these ILs
should lead to the release of improved varieties. The linked markers may be used
in improving rust resistance in peanut breeding programmes.
PMID- 24927822
TI - Effects of stacked quantitative resistances to downy mildew in lettuce do not
simply add up.
AB - KEY MESSAGE: In a stacking study of eight resistance QTLs in lettuce against
downy mildew, only three out of ten double combinations showed an increased
resistance effect under field conditions. Complete race nonspecific resistance to
lettuce downy mildew, as observed for the nonhost wild lettuce species Lactuca
saligna, is desired in lettuce cultivation. Genetic dissection of L. saligna's
complete resistance has revealed several quantitative loci (QTL) for resistance
with field infection reductions of 30-50 %. To test the effect of stacking these
QTL, we analyzed interactions between homozygous L. saligna CGN05271 chromosome
segments introgressed into the genetic background of L. sativa cv. Olof. Eight
different backcross inbred lines (BILs) with single introgressions of 30-70 cM
and selected predominately for quantitative resistance in field situations were
intercrossed. Ten developed homozygous lines with stacked introgression segments
(double combinations) were evaluated for resistance in the field. Seven double
combinations showed a similar infection as the individual most resistant parental
BIL, revealing epistatic interactions with 'less-than-additive' effects. Three
double combinations showed an increased resistance level compared to their
parental BILs and their interactions were additive, 'less-than-additive'
epistatic and 'more-than-additive' epistatic, respectively. The additive
interaction reduced field infection by 73 %. The double combination with a 'more
than-additive' epistatic effect, derived from a combination between a susceptible
and a resistant BIL with 0 and 30 % infection reduction, respectively, showed an
average field infection reduction of 52 %. For the latter line, an attempt to
genetically dissect its underlying epistatic loci by substitution mapping did not
result in smaller mapping intervals as none of the 22 substitution lines reached
a similar high resistance level. Implications for breeding and the inheritance of
L. saligna's complete resistance are discussed.
PMID- 24927823
TI - Enantioselective one-pot synthesis of dihydroquinolones via BINOL-derived Lewis
acid catalysis.
AB - A high-yielding and diastereoselective route to biologically significant 2-aryl-
and 2-alkyl-3-amido dihydroquinolones has been developed in up to 90 : 10 e.r. by
employing a novel Lewis acidic BINOL-derived copper(ii) catalyst.
PMID- 24927824
TI - cGMP: transition from bench to bedside: a report of the 6th International
Conference on cGMP Generators, Effectors and Therapeutic Implications.
AB - Essential physiological homeostatic processes such as vascular tone, fluid
balance, cardiorenal function, and sensory processes are regulated by the second
messenger cyclic guanosine 3', 5'-monophosphate (cGMP). Dysregulation of cGMP
dependent pathways plays an important role in cardiovascular diseases such as
hypertension, pulmonary hypertension, heart failure, or erectile dysfunction.
Thus, the cGMP pathway consisting of the cGMP-generating guanylyl cyclases,
protein kinases, and phosphodiesterases (PDE) has evolved to an important drug
target and is the focus of a wide variety of research fields ranging from
unraveling mechanisms on the molecular level to understanding the regulation of
physiological and pathophysiological processes by cGMP. Based on the results from
basic and preclinical research, therapeutic drugs have been developed which
modulate the cGMP pathway and are investigated in clinical trials. Riociguat, a
nitric oxide (NO)-independent soluble guanylyl cyclase stimulator; recombinant B
type natriuretic peptide (BNP); or recombinant atrial natriuretic peptide (ANP)
and PDE5 inhibitors are cGMP-modulating drugs that are already available for the
treatment of pulmonary hypertension, acute heart failure, and erectile
dysfunction, respectively. The latest results from basic to clinical research on
cGMP were presented on the 6th International Conference on cGMP in Erfurt,
Germany, and are summarized in this article.
PMID- 24927826
TI - Biologically-related or emotionally-connected: who would be the better surrogate
decision-maker?
AB - As an incapacitated patient is unable to make decisions regarding their care,
physicians turn to next-of-kin when appointing a surrogate decision-maker in the
absence of an advanced directive. With the increasing complexity of modern
families, physicians are facing new ethical dilemmas when choosing the individual
to make end-of-life decisions for their patients. Legal definitions and
hierarchies are no longer adhering to the purpose of a surrogate-decision maker,
which is to maintain a patient's autonomy. Moral criteria for surrogates, which
emphasize the importance of making decisions that align with the patient's
desires and wishes and negate biological relationships over emotional
connections, are becoming much more important. This paper explores a case study
in which physicians must appoint a surrogate decision-maker for an incapacitated
patient, forced to choose between a biological relationship and a strong
emotional connection.
PMID- 24927825
TI - Neurocognitive impairment associated with predominantly early stage HIV infection
in Abuja, Nigeria.
AB - Detailed neuropsychological testing was performed on 133 human immunodeficiency
virus (HIV) seropositive (SP) and 77 HIV seronegative (SN) individuals, 86 % with
early stage HIV infection in Nigeria, to determine the frequency of HIV-related
neurocognitive impairment among the HIV-infected group. The tests were
administered to assess the following seven ability domains: speed of information
processing, attention/working memory, executive functioning, learning, memory,
verbal fluency, and motor function motor. Demographically corrected individual
test scores and scores for each domain or reflecting a global deficit (a global
deficit score, or GDS) were compared for the SP and SN groups. SP participants
were older, had fewer years of education, were more likely to be married,
differed in ethnicity, and had higher depression scores than SN individuals.
Within the seven ability domains, SP performed worse than SN with respect to
speed of information processing, executive function, learning, memory, and verbal
fluency and also on the global measure. SP were also more frequently impaired on
tests of SIP, and there was a borderline increase in the frequency of global
impairment. On the individual tests, SP performed worse than SN on four tests
that assessed learning, verbal fluency, memory, and motor function (the Timed
Gait). SP subjects, however, performed better than SN on the Finger-tapping test,
also a motor task. Performance by SP subjects was not associated on the timed
gait which showed a borderline statistically significant correlation with CD4
counts. However, there were significant correlations between viral load
measurements and individual tests of speed of information processing, executive
function, learning, and verbal fluency and with overall executive function and a
borderline correlation with the GDS. Depression scores for SP were associated
with impairment on only a single test of executive function. These results
demonstrate the ability of these assessments to identify areas of impairment that
may be specifically linked to a history of HIV infection among individuals in
Nigeria. Confirmation of these findings awaits analyses using data from a larger
number of control subjects.
PMID- 24927827
TI - Effects of repetitive exposure to anesthetics and analgesics in the Tg2576 mouse
Alzheimer's model.
AB - The use of anesthetics and sedatives has been suggested to be a contributor to
Alzheimer's disease neuropathogenesis. We wanted to address the in vivo relevance
of those substances in the Tg2576 Alzheimer's mouse model. Tg7526 mice were
anesthesia-sedated for 90 min once a week for 4 weeks. Y maze, Congo Red, and
amyloid beta (Abeta) immunochemistry were performed. We did not find any
significant change in the navigation behavior of the exposed mice compared to the
controls. Significantly less deposition of Abeta in the CA1 area of the
hippocampus and frontal cortex of mice exposed to isoflurane, propofol, diazepam,
ketamine, and pentobarbital was observed. In the dentate gyrus, Abeta deposition
was significantly greater in the group treated with pentobarbital. Congo Red
staining evidenced significantly fewer fibrils in the cortex of mice exposed to
diazepam, ketamine, or pentobarbital. The adopted repetitive exposure did not
cause a significant detriment in Tg7526 mouse.
PMID- 24927828
TI - Absorbable and nonabsorbable packing after functional endoscopic sinus surgery:
systematic review and meta-analysis of outcomes.
AB - The purpose of the study was to perform a systematic review and meta-analysis of
the literature to compare the efficacy (and other postoperative outcomes) of
nonabsorbable versus absorbable nasal packing after functional endoscopic sinus
surgery (FESS) for the treatment of chronic rhinosinusitis. Studies were
considered for inclusion if they were published in English language, were
randomized clinical trials, and reported on outcomes following postoperative
synechia. The primary outcome for meta-analysis was the incidence of
postoperative synechia; pooled odds ratios (ORs) and 95 % confidence intervals
(CIs) were calculated using fixed-effects models. Five studies, involving 241
nasal cavities in each treatment group, were included in the systematic review.
The prevalence of synechia ranged from 4.6 to 8.0 % in the absorbable groups and
from 8.0 to 35.7 % in the nonabsorbable groups. Postoperative bleeding was lower
in the absorbable groups, whereas there was no clear finding regarding
postoperative pain. Postoperative edema was generally similar between groups.
There were no consistent findings regarding bleeding and pain on packing removal.
Two studies using the same type of packing material were included in the meta
analysis. The combined OR (0.33, 95 % CI 0.04-2.78) for postoperative synechia
did not significantly favor (P = 0.308) absorbable packing over nonabsorbable
packing. Although there is some evidence in the available literature that
absorbable nasal packing may provide superior outcomes to nonabsorbable packing
after FESS, the lack of homogeneity between studies makes definitive conclusions
impossible. Further randomized clinical trials are needed to compare the efficacy
of different types of absorbable nasal packing for preventing synechia after
FESS.
PMID- 24927829
TI - Facial and lower cranial nerve function preservation in lateral approach for
craniocervical schwannomas.
AB - The purpose of this study was to discuss surgical approach selection, surgical
procedures, and treatment strategy for preservation of the facial and lower
cranial nerve function in craniocervical schwannomas surgery. Between 2002 and
2011, 44 craniocervical schwannomas were operated in Xinhua hospital of Shanghai,
China by the same surgical team. The records were reviewed retrospectively
regarding clinical presentation, radiographic assessment, surgical approaches
selection, surgical procedures and facial and lower cranial nerve follow-up
outcomes. Headache or neck pain was present in 30 patients (68.2 %) and cervical
mass in 9 patients (20.5 %). Cranial nerve impairments, mainly involving the
vagus nerve, were present in 19 patients (43.2 %) and hypoglossal nerve in five
patients (11.4 %). 22 tumors were intra- and extracranial, 10 were intra-cranial
and 12 were extra-cranial. According to the tumor region, infratemporal fossa
type A approach, petrous occipital transsigmoid approach and transcervial
approach were selected for tumor removal. Gross-total resection was achieved in
40 patients (90.9 %). Adjunctive radiosurgery was used in the management of
residual tumor in two patients; tumor control was ultimately obtained in all
cases. During follow-up period, good facial function was obtained in 42 patients
(95.5 %) and complete compensation of lower cranial nerve function was achieved
in all patients. The preoperative estimation of tumor in nature is of great
importance in the determination of proper surgical planning of craniaocervical
schwannomas. Facial nerve and lower cranial nerve function can be preserved in
maximal degree by proper surgical approaches and careful operative manipulation.
Initial surgical resection followed by radiosurgery may be an effective option
for some special patients.
PMID- 24927830
TI - Detecting protein-protein interactions/complex components using mass spectrometry
coupled techniques.
AB - Proteins play important roles in biochemical processes. Most biological functions
are realized through protein-protein interactions (PPI). Co-immunoprecipitation
is the most straightforward method to detect PPI. With the development of modern
mass spectrometry (MS), throughput, sensitivity, and confidence for the detection
of PPI can be readily achieved by scaling up traditional antibody-based
strategies. Herein, we describe a typical workflow for general PPI detection
using mass spectrometry coupled techniques, covering from Co-immunoprecipitation
(Co-IP), to gel display, in-gel digestion, liquid chromatography mass
spectrometry (LC-MS) analysis, as well as result interpretation and statistic
filtering. This protocol provides an overview of the technique as well as
practical tips.
PMID- 24927831
TI - Analysis of transcription factor networks using IVV method.
AB - We have developed a simple and totally in vitro selection procedure based on cell
free cotranslation using a highly stable and efficient in vitro virus (IVV). Cell
free cotranslation of tagged bait and prey proteins is advantageous for the
formation of protein complexes and allows high-throughput analysis of protein
protein interactions (PPI) as a result of providing in vitro instead of in vivo
preparation of bait proteins. The use of plural selection rounds and a two-step
purification of the IVV selection, followed by in vitro post-selection, is
advantageous for decreasing false positives. This simple IVV selection system
based on cell-free cotranslation is applicable to high-throughput and
comprehensive analysis of transcription factor networks.
PMID- 24927832
TI - Next-generation sequencing coupled with a cell-free display technology for
reliable interactome of translational factors.
AB - Next-generation sequencing (NGS) has been applied to various kinds of omics
studies, resulting in many biological and medical discoveries. However, high
throughput protein-protein interactome datasets derived from detection by
sequencing are scarce, because protein-protein interaction analysis requires many
cell manipulations to examine the interactions. The low reliability of the high
throughput data is also a problem. Here, we describe a cell-free display
technology combined with NGS that can improve both the coverage and reliability
of interactome datasets. This in vitro method is suitable for exploring the
interactome networks of transcription factors.
PMID- 24927833
TI - Chromatin immunoprecipitation protocol for mammalian cells.
AB - The genomic approach (ChIP-seq) we introduce here is now a widely used powerful
tool to explore protein-DNA interaction at genome-wide level in high resolution.
This technology opens up the way to understand how local event mediated by
protein-protein or protein-DNA interactions lead to the dynamic changes of
overall chromosome structure and how variety of proteins make a regulatory
network for the faithful execution of various chromosomal functions (i.e.,
transcription, replication, recombination, repair, and partition).
PMID- 24927834
TI - Detecting protein-DNA interactions using a modified yeast one-hybrid system.
AB - The yeast one-hybrid (Y1H) system has been among the methods of choice to detect
protein-DNA interactions. However, conventional Y1H systems with a single
auxotrophic reporter gene often suffer from high incidence of false positives to
demonstrate a limited power in large-scale screenings. Here we describe a refined
Y1H system that uses two independent bait sequences, each controlling a distinct
reporter gene integrated in the host genome. With these modifications and a
method of targeted DNA methylation, we succeeded in efficient isolation of clones
for methylated DNA-binding proteins from mammalian cDNA libraries.
PMID- 24927835
TI - RNA sequencing: from sample preparation to analysis.
AB - The introduction of RNA sequencing (RNA-seq), as a direct result of rapid
progression of next-generation sequencing technologies, has revolutionized the
world of transcriptomics. It enables quantification of the complete set of RNA
with all its isoforms in a given cell, in far greater accuracy than before. Here,
we provide a step-by-step guide to the sample preparation in RNA-seq and an
overview of the bioinformatic analysis that is required following the cDNA
sequencing.
PMID- 24927836
TI - Detecting expressed genes using CAGE.
AB - Cap analysis of gene expression (CAGE) provides accurate high-throughput
measurement of RNA expression. By the large-scale analysis of 5' end of
transcripts using CAGE method, it enables not only determination of the
transcription start site but also prediction of promoter region. Here we provide
a protocol for the construction of no-amplification non-tagging CAGE libraries
for Illumina next-generation sequencers (nAnT-iCAGE). We have excluded the
commonly used PCR amplification and cleavage of restriction enzyme to eliminate
any potential biases. As a result, we achieved less biased simple preparation
process.
PMID- 24927837
TI - A tutorial to identify nonlinear associations in gene expression time series
data.
AB - The study of gene regulatory networks is the basis to understand the biological
complexity of several diseases and/or cell states. It has become the core of
research in the field of systems biology. Several mathematical methods have been
developed in the last decade, especially in the analysis of time series gene
expression data derived from microarrays and sequencing-based methods. Most of
the models available in the literature assumes linear associations among genes
and do not infer directionality in these connections or uses a priori biological
knowledge to set the directionality. However, in several cases, a priori
biological information is not available. In this context, we describe a
statistical method, namely nonlinear vector autoregressive model to estimate
nonlinear relationships and also to infer directionality at the edges of the
network by using the temporal information of the time series gene expression data
without a priori biological information.
PMID- 24927838
TI - Inference of TFRNs (2).
AB - This chapter introduces to a study aiming at comprehensively understanding the
transcription factor regulatory networks (TFRNs) that govern the process of a
cell differentiation. Here we focus on the adipocyte differentiation. For the
cell differentiation, we inferred its TFRN using the Bayesian network (BN)
method. BNs have been widely used to estimate TFRNs. Many BN methods have been
developed to estimate networks from TF expression data. However, BN-based methods
require huge computational time to estimate large-scale networks. This chapter
introduces to a BN-based deterministic method with reduced computational time.
This approach generates all the combinational subnetworks of three TFs, estimates
networks of the subnetworks by BN, and unites the networks into a single large
network. This method decreases the search space of predicting TFRNs without
degrading the solution accuracy compared with the greedy hill climbing (GHC)
method. This chapter also presents a massively parallel implementation for the BN
based inference of TFRNs. The system enables us to estimate large-scale (>10,000
transcripts) multiple TFRNs from expression profiles of various tissues and
conditions. The comparison among estimated TFRNs of adipose tissues with stimulus
induction is conducted. The various regulations to Ucp1 (uncoupled protein 1) in
those networks may reflect different responses of the tissues under the stimulus
induction.
PMID- 24927839
TI - Identification of the minimal connected network of transcription factors by
transcriptomic and genomic data integration.
AB - Thanks to high-throughput experiments, biological conditions can be investigated
at both the entire genomic and transcriptomic levels. In addition, protein
protein interaction (PPI) data are widely available for well-studied organisms,
such as human. In this chapter, we will present an integrative approach that
makes use of these data to find the PPI module involving the key regulated
transcription factors shared by a number of given conditions. These conditions
could be for instance different cancer types. Briefly, for the studied
conditions, we need to identify commonly affected chromosomal regions subjected
to copy number alterations together with the identification of differentially
expressed list of genes in each condition. Transcription factor activity will be
inferred from these regulated gene lists. Then, we will define TFs, for which the
activity could be explained by an associative effect of both loci copy number
alteration and gene expression levels of their coding genes. PPI networks could
be mined, afterwards, using appropriate algorithms to find the significant module
that connect those TFs together. This module could be viewed as the minimal
connected network of TFs, the regulation of which is shared between the
investigated conditions.
PMID- 24927840
TI - Modeling and simulation using CellDesigner.
AB - In silico modeling and simulation are effective means to understand how the
regulatory systems function in life. In this chapter, we explain how to build a
model and run the simulation using CellDesigner, adopting the standards such as
SBML and SBGN.
PMID- 24927841
TI - Human genome network platform: a resource for TFRN analysis.
AB - Genome Network Project (GNP) (Carninci et al., Science 309:1559-1563, 2005)
Platform was developed as an integrated database, opening to the public the
research findings within the GNP initiatives. Since the first release in 2006, it
has gained a large amount of access from all over the world with public favor.
The platform is unique and useful in that various types of experimental data for
transcriptome analysis are intensively collected, organized, integrated, and
visualized with major public datasets; and it can be freely accessed through a
single interface with advanced search functionalities. This chapter describes the
outline of GNP Platform, mainly elaborating on gene description model GNP
Platform employed, major functionalities the platform provides, and a few
examples of exploring the GNP Platform.
PMID- 24927842
TI - Identification of transcription factors activated in thymic epithelial cells
during embryonic thymus development.
AB - Differentiation of many immune-related cells is controlled by the expression
levels and the activation status of transcription factors (TFs). We here describe
a method to identify candidate TFs activated during the development of thymic
epithelial cells (TECs) in the embryo. RNAs are isolated from fetal thymic organ
cultures of wild-type and mutant mice and are subsequently analyzed by using a
combination of comprehensive expression analysis and in silico data analysis in
order to predict the TFs that might be activated.
PMID- 24927843
TI - Analysis of NFATc1-centered transcription factor regulatory networks in
osteoclast formation.
AB - Osteoclasts are bone-resorbing cells that differentiate from the
macrophage/monocyte lineage. The master transcription factor NFATc1 has a central
role in the process of this differentiation. Thus, it is important to understand
the NFATc1-centered transcription factor regulatory networks (TFRNs) in terms of
the mechanisms of NFATc1 expression and activation as well as the gene expression
regulated by NFATc1. The Genome Network Project has provided a unique opportunity
for the analysis of NFATc1-centered TFRNs in osteoclasts. Here we introduce a
report on the application of the methods established by the project for
osteoclast biology and a summarization of the results obtained in this project
thus far.
PMID- 24927844
TI - Transcriptional regulation in adipogenesis through PPARgamma-dependent and
independent mechanisms by prostaglandins.
AB - Adipogenesis is controlled by complex mechanisms, and transcription factors are
involved in its regulation. PPARgamma is a ligand-dependent transcription factor
and the most important one for adipogenesis. Although prostaglandin (PG) D2
metabolites have been reported as being the ligands of PPARgamma, the endogenous
PPARgamma ligand in adipocytes remains unclear. Here, we show the methods for the
general analysis of adipocyte differentiation and the protocols for promoter
analysis, fluorescence EMSA, and chromatin immunoprecipitation assay for the
transcriptional regulation of the SREBP-1c-activated lipocalin-type PGD synthase
gene in adipocytes. Moreover, we describe that PGD2 and its metabolites are
involved in the regulation of adipogenesis through PPARgamma-dependent and
independent mechanisms.
PMID- 24927845
TI - Analysis of TFRNs associated with steroid hormone-related cancers.
AB - Estrogen and androgen are important endocrine hormones that control physiological
functions in reproductive organs and play roles in the generation and/or
progression of steroid hormone-related cancers. Their cognate receptors function
as hormone-dependent transcription factors, which directly regulate the
expression of their target genes. Genome-wide analysis of hormone receptor
related networks will provide new insights into the understanding of the
molecular mechanism orchestrated by estrogen and androgen receptors, and will
enable the development of new methods for the diagnosis and treatment of steroid
hormone-related cancers.
PMID- 24927846
TI - Repositioning monocyte TFRN into fibroblasts.
AB - Repositioning of cell-specific Transcription Factor Regulatory Networks (TFRNs)
into another cell type is a pragmatic approach to elicit desired functions in
impaired cells and also to investigate transcription regulations underlying
cellular functions. However, a systematic method to identify a set of
transcription factors (TFs) which can efficiently promote TFRN in a foreign cell
type is lacking. Here, we demonstrate a perturbation-matrix method for the
identification and repositioning of monocyte TFRNs in dermal fibroblasts.
PMID- 24927847
TI - An estimate of the cost of burnout on early retirement and reduction in clinical
hours of practicing physicians in Canada.
AB - BACKGROUND: Interest in the impact of burnout on physicians has been growing
because of the possible burden this may have on health care systems. The
objective of this study is to estimate the cost of burnout on early retirement
and reduction in clinical hours of practicing physicians in Canada. METHODS:
Using an economic model, the costs related to early retirement and reduction in
clinical hours of physicians were compared for those who were experiencing
burnout against a scenario in which they did not experience burnout. The January
2012 Canadian Medical Association Masterfile was used to determine the number of
practicing physicians. Transition probabilities were estimated using 2007-2008
Canadian Physician Health Survey and 2007 National Physician Survey data.
Adjustments were also applied to outcome estimates based on ratio of actual to
planned retirement and reduction in clinical hours. RESULTS: The total cost of
burnout for all physicians practicing in Canada is estimated to be $213.1 million
($185.2 million due to early retirement and $27.9 million due to reduced clinical
hours). Family physicians accounted for 58.8% of the burnout costs, followed by
surgeons for 24.6% and other specialists for 16.6%. CONCLUSION: The cost of
burnout associated with early retirement and reduction in clinical hours is
substantial and a significant proportion of practicing physicians experience
symptoms of burnout. As health systems struggle with human resource shortages and
expanding waiting times, this estimate sheds light on the extent to which the
burden could be potentially decreased through prevention and promotion activities
to address burnout among physicians.
PMID- 24927848
TI - Imaging mimics of primary malignant tumors of the central nervous system (CNS).
AB - Imaging has become a central part of the evaluation of lesions of the central
nervous system. Despite patterns of the appearances of several types of central
nervous system malignancies and improving resolution of imaging techniques, there
are other processes that can display similar characteristics. Time and again,
vascular, inflammatory, and vascular lesions will mimic a neoplastic process,
requiring tissue diagnosis. With the introduction of advanced magnetic resonance
imaging (MRI) and positron emission tomography (PET) imaging in the evaluation of
the brain tumor, there has been improvement in determining whether a lesion is
neoplastic, and further advances may lead to noninvasive pathological and
molecular diagnoses.
PMID- 24927849
TI - The anti-lymphoma activities of anti-CD137 monoclonal antibodies are enhanced in
FcgammaRIII(-/-) mice.
AB - Agonistic monoclonal antibodies (mAbs) directed against the co-signaling molecule
CD137 (4-1BB) elicit potent anti-tumor immunity in mice. This anti-tumor immunity
has traditionally been thought to result from the ability of the Fab portion of
anti-CD137 to function as an analog for CD137L. Although binding of CD137 by anti
CD137 mAbs has the potential to cross-link the Fc fragments, enabling Fc
engagement of low to moderate affinity Fc gamma receptors (FcgammaR), the
relative import of such Fc-FcgammaR interactions in mediating anti-CD137
associated anti-tumor immunity is unknown. We studied the ability of a rat anti
mouse CD137 mAb (2A) to mediate the anti-tumor response against the EL4E7
lymphoma in WT and FcgammaR(-/-) strains. 2A-treated FcRgamma(-/-) mice had
improved anti-tumor immunity against EL4E7, which could be completely
recapitulated in FcgammaRIII(-/-) animals. These improved anti-tumor responses
were associated with increased splenic CD8beta T cell and dendritic cell (DC)
populations. Furthermore, there was an increase in the number of DCs expressing
high levels of the CD40, CD80, and CD86 molecules that are associated with more
effective antigen presentation. Our results demonstrate an unexpected inhibitory
role for FcgammaRIII in the anti-tumor function of anti-CD137 and underscore the
need to consider antibody isotype when engineering therapeutic mAbs.
PMID- 24927850
TI - Prostate cancer outcomes in France: treatments, adverse effects and two-year
mortality.
AB - BACKGROUND: This very large population-based study investigated outcomes after a
diagnosis of prostate cancer (PCa) in terms of mortality rates, treatments and
adverse effects. METHODS: Among the 11 million men aged 40 years and over covered
by the general national health insurance scheme, those with newly managed PCa in
2009 were followed for two years based on data from the national health insurance
information system (SNIIRAM). Patients were identified using hospitalisation
diagnoses and specific refunds related to PCa and PCa treatments. Adverse effects
of PCa treatments were identified by using hospital diagnoses, specific
procedures and drug refunds. RESULTS: The age-standardised two-year all-cause
mortality rate among the 43,460 men included in the study was 8.4%, twice that of
all men aged 40 years and over. Among the 36,734 two-year survivors, 38% had
undergone prostatectomy, 36% had been treated by hormone therapy, 29% by
radiotherapy, 3% by brachytherapy and 20% were not treated. The frequency of
treatment-related adverse effects varied according to age and type of treatment.
Among men between 50 and 69 years of age treated by prostatectomy alone, 61% were
treated for erectile dysfunction and 24% were treated for urinary disorders. The
frequency of treatment for these disorders decreased during the second year
compared to the first year (erectile dysfunction: 41% vs 53%, urinary disorders:
9% vs 20%). The frequencies of these treatments among men treated by external
beam radiotherapy alone were 7% and 14%, respectively. Among men between 50 and
69 years with treated PCa, 46% received treatments for erectile dysfunction and
22% for urinary disorders. For controls without PCa but treated surgically for
benign prostatic hyperplasia, these frequencies were 1.5% and 6.0%, respectively.
CONCLUSIONS: We report high survival rates two years after a diagnosis of PCa,
but a high frequency of PCa treatment-related adverse effects. These frequencies
remain underestimated, as they are based on treatments for erectile dysfunction
and urinary disorders and do not reflect all functional outcomes. These results
should help urologists and general practitioners to inform their patients about
outcomes at the time of screening and diagnosis, and especially about potential
treatment-related adverse effects.
PMID- 24927853
TI - Effect of particle geometry on triple line motion of nano-fluid drops and deposit
nano-structuring.
AB - We illustrate the importance of particle geometry on droplet contact line
pinning, 'coffee-stain' formation and nano-structuring within the resulting
rings. We present the fundamentals of pure liquid droplet evaporation and then
discuss the effect of particles on the evaporation process. The resulting coffee
stain patterns and particle structuring within them are presented and discussed.
In the second part, we turn our attention to the effect of particle geometry on
the evaporation process. A wide range of particle shapes, categorised according
to aspect ratio, from the simple shape of a sphere to the highly irregular shapes
of platelets and tubes is discussed. Particle geometry effect on evaporation
behaviour was quantified in terms of change in contact angle and contact radius
for the stick-slip cases. Consequently the hysteretic energy barrier pinning the
droplets was estimated, showing an increasing trend with particle aspect ratio.
The three-phase contact line (TL) motion kinetics are complemented with analysis
of the nano-structuring behaviour of each shape, leading to the identification of
the two main parameters affecting nanoparticle self-assembly behaviour at the
wedge. Flow velocity and wedge constraints were found to have antagonist effects
on particle deposition, although these varied with particle shape. This
description should help in understanding the drying behaviour of more complex
fluids. Furthermore, knowing the fundamentals of this simple and inexpensive
surface patterning technique should permit its tailoring to the needs of many
potential applications.
PMID- 24927851
TI - Cost effectiveness of group follow-up after structured education for type 1
diabetes: a cluster randomised controlled trial.
AB - BACKGROUND: This study examines the cost effectiveness of group follow-up after
participation in the Dose Adjustment for Normal Eating (DAFNE) structured
education programme for type 1 diabetes. METHODS: Economic evaluation conducted
alongside a cluster randomised controlled trial involving 437 adults with type 1
diabetes in Ireland. Group follow-up involved two group education 'booster'
sessions post-DAFNE. Individual follow-up involved two standard one-to-one
hospital clinic visits. Incremental costs, quality-adjusted life years (QALYs)
gained and cost effectiveness were estimated at 18 months. Uncertainty was
explored using sensitivity analysis and by estimating cost effectiveness
acceptability curves. RESULTS: Group follow-up was associated with a mean
reduction in QALYs gained of 0.04 per patient (P value, 0.052; 95% CI, -0.08 to
0.01, intra-class correlation (ICC), 0.033) and a mean reduction in total
healthcare costs of ?772 (P value, 0.020; 95% CI, -1,415 to -128: ICC, 0.016) per
patient. At alternative threshold values of ?5,000, ?15,000, ?25,000, ?35,000,
and ?45,000, the probability of group follow-up being cost effective was
estimated to be 1.000, 0.762, 0.204, 0.078, and 0.033 respectively. CONCLUSIONS:
The results do not support implementation of group follow-up as the sole means of
follow-up post-DAFNE. Given the reported cost savings, future studies should
explore the cost effectiveness of alternative models of group care for diabetes.
TRIAL REGISTRATION: Current Controlled Trials ISRCTN79759174 (assigned: 9
February 2007).
PMID- 24927852
TI - Effective suppression of dengue virus using a novel group-I intron that induces
apoptotic cell death upon infection through conditional expression of the Bax C
terminal domain.
AB - INTRODUCTION: Approximately 100 million confirmed infections and 20,000 deaths
are caused by Dengue virus (DENV) outbreaks annually. Global warming and rapid
dispersal have resulted in DENV epidemics in formally non-endemic regions.
Currently no consistently effective preventive measures for DENV exist, prompting
development of transgenic and paratransgenic vector control approaches.
Production of transgenic mosquitoes refractory for virus infection and/or
transmission is contingent upon defining antiviral genes that have low
probability for allowing escape mutations, and are equally effective against
multiple serotypes. Previously we demonstrated the effectiveness of an anti-viral
group I intron targeting U143 of the DENV genome in mediating trans-splicing and
expression of a marker gene with the capsid coding domain. In this report we
examine the effectiveness of coupling expression of DeltaN Bax to trans-splicing
U143 intron activity as a means of suppressing DENV infection of mosquito cells.
RESULTS: Targeting the conserved DENV circularization sequence (CS) by U143
intron trans-splicing activity appends a 3' exon RNA encoding DeltaN Bax to the
capsid coding region of the genomic RNA, resulting in a chimeric protein that
induces premature cell death upon infection. TCID50-IFA analyses demonstrate an
enhancement of DENV suppression for all DENV serotypes tested over the identical
group I intron coupled with the non-apoptotic inducing firefly luciferase as the
3' exon. These cumulative results confirm the increased effectiveness of this
alphaDENV-U143-DeltaN Bax group I intron as a sequence specific antiviral that
should be useful for suppression of DENV in transgenic mosquitoes. Annexin V
staining, caspase 3 assays, and DNA ladder observations confirm DCA-DeltaN Bax
fusion protein expression induces apoptotic cell death. CONCLUSION: This report
confirms the relative effectiveness of an anti-DENV group I intron coupled to an
apoptosis-inducing DeltaN Bax 3' exon that trans-splices conserved sequences of
the 5' CS region of all DENV serotypes and induces apoptotic cell death upon
infection. Our results confirm coupling the targeted ribozyme capabilities of the
group I intron with the generation of an apoptosis-inducing transcript increases
the effectiveness of infection suppression, improving the prospects of this
unique approach as a means of inducing transgenic refractoriness in mosquitoes
for all serotypes of this important disease.
PMID- 24927854
TI - [Two cases of sinus histiocytosis with massive cervical lymphadenopathy
(Destombes-Rosai-Dorfman disease)].
AB - INTRODUCTION: Sinus histiocytosis with massive lymphadenopathy is a rare disease.
Its location is ubiquitous, but the most frequent is cervical lymph nodes.
OBSERVATION: We report 2 cases of sinus histiocytosis with massive
lymphadenopathy. The patients were respectively 9 and 30 years of age. They both
presented with bilateral cervical polylymphadenopathy with a cervical compression
syndrome in the first patient. The diagnosis was made histologically by
demonstrating histiocyte emperipolesis, in the first case, and by immuno
histochemistry in the second. The treatment was corticosteroids, resulting in an
almost total involution. DISCUSSION: Sinus histiocytosis with massive
lymphadenopathy is a clinical and a specific pathology, but its etiopathogenesis
remains to be elucidated. The cervical localization is common. The definitive
diagnosis is histological. There is currently no codified treatment. The outcome
is usually favorable, apart from mechanical and systemic complications that are
important prognostic factors.
PMID- 24927855
TI - First direct comparison of clinical outcomes between European and Asian cohorts
in transcatheter aortic valve implantation: the Massy study group vs. the PREVAIL
JAPAN trial.
AB - BACKGROUND: The efficacy and safety of transcatheter aortic valve implantation
(TAVI) in Asian populations were unknown. The purpose of this study was to
compare directly the clinical outcomes of the first Japanese trial and a European
single-center experience after TAVI. METHODS AND RESULTS: Between April 2010 and
October 2011, 64 patients were included in the PREVAIL JAPAN multicenter trial
which was set up to evaluate the safety and efficacy of the Edwards SAPIEN XTTM
(Edwards Lifesciences, Irvine, CA, USA) in high-risk Japanese patients with
severe aortic stenosis. Between March 2010 and January 2012, 237 consecutive
patients treated with TAVI using the Edwards SAPIEN XTTM prosthesis at Institut
Cardiovasculaire Paris Sud were prospectively included in the Massy cohort. We
compared the clinical outcomes of these two cohorts. Patients were of similar age
(83.4+/-6.6 years vs. 84.5+/-6.1 years, p=0.25), but logistic EuroSCORE was
higher in the Massy cohort (20.2+/-11.7% vs. 15.6+/-8.0%, p<0.01). Body surface
area was smaller in the PREVAIL JAPAN cohort (1.41+/-0.14m(2) vs. 1.72+/
0.18m(2); p<0.01) as was the annulus diameter (20.4+/-1.46mm vs. 22.0+/-1.84mm,
p<0.01). The transfemoral approach was used in 57.8% in the Japanese cohort vs.
51.5% in the Massy cohort. Device success was similar (89.1% vs. 94.1%, p=0.21,
respectively), as well as 30-day and 6-month survival rates (92.2% vs. 90.7% and
89.1% vs. 83.1%, p=0.71 and p=0.25, respectively). The incidence of major
vascular complications was not significantly different between the two groups
(9.4% vs. 5.9%, p=0.23, respectively). A higher post-procedural mean pressure
gradient was observed in the PREVAIL JAPAN cohort (12.7+/-11.4mmHg vs. 10.1+/
3.6mmHg, p=0.01), but satisfactory improvement in 6-month functional status was
obtained in both cohorts (76.5% vs. 77.2%, p=0.91). CONCLUSIONS: Clinical
outcomes after TAVI in the patients included in the PREVAIL JAPAN trial were
acceptable and as safe as that of a single-center European cohort.
PMID- 24927856
TI - Phase 1b study of otlertuzumab (TRU-016), an anti-CD37 monospecific ADAPTIRTM
therapeutic protein, in combination with rituximab and bendamustine in relapsed
indolent lymphoma patients.
AB - PURPOSE: CD37 is cell surface tetraspanin present on normal and malignant B
cells. Otlertuzumab (TRU-016) is a novel humanized anti-CD37 protein therapeutic
that triggers direct caspase independent apoptosis of malignant B cells and
induces antibody-dependent cell-mediated cytotoxicity. This study evaluated the
safety, pharmacokinetics, and efficacy of otlertuzumab administered in
combination with rituximab and bendamustine to patients with relapsed, indolent B
cell non-Hodgkin Lymphoma (NHL). METHODS: Patients with relapsed or refractory
NHL received otlertuzumab (10 or 20 mg/kg) intravenously (IV) on days 1 and 15,
bendamustine (90 mg/m(2)) on days 1 and 2, and rituximab (375 mg/m(2)) on day 1
for up to six 28 day cycles. Responses were determined using standard criteria.
RESULTS: Twelve patients were treated with 6 patients at each dose level; median
age was 57 years (range, 51-79), and median number of prior regimens was 3
(range, 1-4). All patients had relapsed after prior rituximab including 7
refractory to their most recent previous treatment. In the 10 and 20 mg/kg dose
cohorts, the mean half-life was 8 and 10 days following the first dose, and 12 or
14 days following 12 doses of otlertuzumab, respectively. Overall response rate
was 83% (10/12) with 4 CRs (32%). The most frequent adverse events were
neutropenia, nausea, fatigue, leukopenia, and insomnia; most were grade 1 or 2.
CONCLUSIONS: Otlertuzumab in combination with rituximab and bendamustine was well
tolerated and induced responses in the majority of patients with relapsed
indolent B-NHL. NCI Clinical Trials Network registration: NCT01317901.
PMID- 24927858
TI - Esterase mediated resistance against synthetic pyrethroids in field populations
of Rhipicephalus (Boophilus) microplus (Acari: Ixodidae) in Punjab districts of
India.
AB - Detection of resistance levels against cypermethrin and deltamethrin, the most
commonly used synthetic pyrethroids (SP), in Rhipicephalus (Boophilus) microplus
collected from thirteen districts of Punjab (India) was carried out using adult
immersion test. The regression graphs of probit mortality of ticks plotted
against log values of concentrations of drugs were utilized for the determination
of slope of mortality, lethal concentration for 50% (LC50), 95% (LC95) and
resistance factor (RF). On the basis of the data generated on variables
(mortality, egg mass weight, reproductive index and percentage inhibition of
oviposition) the resistance levels were categorized. Against cypermethrin RFs of
1.48-11.22 were recorded in 12 isolates whereas, one isolate was susceptible.
Resistance factors against deltamethrin were 2.4-38.54 and all 13 isolates were
found to be resistant. Quantitative analysis of general esterase activity
(measured by the production of the metabolite naphthol) revealed a range of 3.34
+/- 0.30-13.75 +/- 1.33 and 1.31 +/- 0.15-8.09 +/- 0.68 MUmol/min/mg protein for
alpha and beta-esterase activity, respectively in different field isolates.
Further, multiple pairwise comparisons of the mean values with susceptible strain
(Tukey, P = 0.05) revealed significant elevated levels of both alpha-esterase and
beta-esterase in nine tick isolates resistant to both deltamethrin and
cypermethrin. The data generated on acaricide resistant status and esterase
mediated mechanism in ticks will help in formulating tick control strategy for
the region.
PMID- 24927857
TI - Novel antitumour indole alkaloid, Jerantinine A, evokes potent G2/M cell cycle
arrest targeting microtubules.
AB - Natural products play a pivotal role in the treatment of cancer; identification
of compounds such as taxanes and the vinca alkaloids were seminal landmarks in
natural product drug discovery. Jerantinine A, a novel Aspidosperma alkaloid
isolated from plant species Tabernaemontana corymbosa, was previously reported to
possess cytotoxic activity against vincristine-resistant nasopharyngeal carcinoma
cells and is therefore an ideal candidate for biological investigation.
Furthermore, Tabernaemontana corymbosa, has been placed in the endangered list of
threatened species by the International Union for Conservation of Nature thus
making it a priority to elucidate the biological activity of this alkaloid.
Herein, we report detailed biological evaluation of jerantinine A on various
human-derived carcinoma cell lines. Our preliminary screens showed that
significant inhibition of cell growth and colony formation accompanied time- and
dose-dependent induction of apoptosis in human cancer cell lines after treatment
with jerantinine A. Dose-dependent accumulations of cleaved PARP and caspase 3
further confirmed apoptosis. Profound G2/M cell cycle arrest was observed 24 h
after treatment in all cell lines. Characteristics of mitotic arrest including
inhibition of tubulin polymerisation, microtubule disruption, aneuploidy, and
cyclin B1 down-regulation were clearly observed. The potent anti-proliferative,
pro-apoptotic, and tubulin-destabilising activities of jerantinine A warrant
further development of this molecule as a potential chemotherapeutic agent.
PMID- 24927859
TI - Defect reconstruction over the olecranon with the distally extended lateral arm
flap.
AB - Defect reconstruction over the olecranon should be reliable, quick, relatively
simple and with minimal complications. More recently, perforator flaps have been
described with the benefit of minimal donor site morbidity when compared with
muscle flaps or flaps relying on the major arteries of the upper extremity. So
far, most of these flaps were harvested on the upper arm and rotated 180 degrees
into the defect. The aim of the present study was to analyse the results with the
proximally based, distally extended lateral arm flap for soft-tissue
reconstruction over the olecranon. The subcutaneous tissue layer in this area is
thinner than in the upper arm, and less rotation of the pedicle is necessary. The
location of the perforator just proximal to the lateral epicondyle and the
precise territory of the flap are well known. Nine consecutive male patients with
a mean age of 57+/-27 years presenting with soft-tissue defects after surgical
treatment of bursitis (eight cases) or a pressure sore (one case) were operated
on. The mean operation time was 60+/-15 min. In eight of the nine cases, the flap
healed uneventfully or with a minor complication (fistula). One patient underwent
revision surgery due to marginal flap necrosis. The defect was closed with a
local advancement flap. In conclusion, the flap was reliable, relatively simple
and quick to harvest, and yielded acceptable aesthetic results with minimal
bulging over the olecranon. Postoperative recovery was relatively painless and
short.
PMID- 24927860
TI - The use of computed tomography for the estimation of DIEP flap weights in breast
reconstruction: a simple mathematical formula.
AB - BACKGROUND: Estimation of the volume of abdominal tissue is desirable when
planning autologous abdominal based breast reconstruction. However, this can be
difficult clinically. The aim of this study was to develop a simple, yet reliable
method of calculating the deep inferior epigastric artery perforator flap weight
using the routine preoperative computed tomography angiogram (CTA) scan. METHODS:
Our mathematical formula is based on the shape of a DIEP flap resembling that of
an isosceles triangular prism. Thus its volume can be calculated with a standard
mathematical formula. Using bony landmarks three measurements were acquired from
the CTA scan to calculate the flap weight. This was then compared to the actual
flap weight harvested in both a retrospective feasibility and prospective study.
RESULTS: In the retrospective group 17 DIEP flaps in 17 patients were analyzed.
Average predicted flap weight was 667 g (range 293-1254). The average actual flap
weight was 657 g (range 300-1290) giving an average percentage error of 6.8% (p
value for weight difference 0.53). In the prospective group 15 DIEP flaps in 15
patients were analyzed. Average predicted flap weight was 618 g (range 320-925).
The average actual flap weight was 624 g (range 356-970) giving an average
percentage error of 6.38% (p-value for weight difference 0.57). CONCLUSIONS: This
formula is a quick, reliable and accurate way of estimating the volume of
abdominal tissue using the preoperative CTA scan.
PMID- 24927861
TI - Lymphatic drainage patterns from primary cutaneous tumours of the forehead:
refining the recommendations for selective neck dissection.
AB - BACKGROUND: Recommended selective neck dissections for primary cutaneous tumours
on the head and neck are based largely on clinical recurrence data acquired prior
to the era of lymphatic mapping and sentinel lymph node (SLN) biopsy. This study
aimed to examine lymphatic drainage patterns from the forehead in order to
provide evidence upon which to base recommendations regarding the extent of neck
dissection. METHODS: Data were collected prospectively from all patients
undergoing lymphoscintigraphy following diagnosis of a primary cutaneous tumour
on the forehead. The site of injection of radioisotope was documented using a co
ordinate-based mapping system. The forehead was divided into glabellar, supra
orbital and anterior temple zones. The location of all SLNs was recorded and
drainage patterns were analysed. RESULTS: Between 1994 and 2006, 152 patients
underwent lymphoscintigraphy for primary cutaneous tumours on the forehead.
Drainage was to 3.0 SLNs in 2.1 lymph node fields (mean values). Drainage was to
ipsilateral SLNs in 85% of cases. Between zones there were significant
differences in drainage patterns and the frequency of bilateral drainage. From
the glabellar zone, drainage was more frequently to a higher number of SLNs and
SLN fields, and to level I nodes. CONCLUSIONS: The forehead can be divided into
zones with patterns of lymphatic drainage that vary significantly in terms of
number of SLNs, number of SLN sites, likelihood of drainage to contralateral SLNs
and predictability of drainage pattern. Drainage to level 1 nodes from the
anterior temple is rare, suggesting that it may be safe to exclude this level
when performing a selective neck dissection for tumours in this zone.
PMID- 24927862
TI - Correction of the hypertrophic conchal bowl without cartilage excision.
AB - We present our technique for conchal bowl reduction. The procedure is fast, easy
to learn and reproducible. The technique has now been used in a consecutive
series of 208 ears. We describe the steps taken and the outcomes. The main aims
of this procedure were; the preservation of conchal bowl width and avoidance of
cartilage excision. This cartilage can then be used for grafting if a rhinoplasty
is considered in the future.
PMID- 24927863
TI - Immediate breast reconstruction with acellular dermal matrix: factors affecting
outcome.
AB - BACKGROUND: The use of acellular dermal matrix (ADM) for coverage of the lower
pole in immediate implant-based breast reconstruction has changed surgeons'
practice. We present our experience using a porcine ADM (Strattice), focusing on
short-term outcomes, patient selection, and technique adaptations that may
influence outcome. METHODS: A two-center, retrospective, cohort study was
performed from December 2008 to October 2012 at Guy's and St. Thomas' Hospitals,
London, and Clinic Pyramide, Zurich. The study period was divided into two
periods: Period 1 which spanned from December 2008 to October 2010 and Period 2
from January 2011 to October 2012 wherein technique adaptations were introduced.
Short-term complications after reconstructive surgery were compared between
Periods 1 and 2. RESULTS: A total of 149 patients underwent 200 reconstructions
(110 one-stage and 90 two-stage) following oncologic (134 breasts) or
prophylactic (66 breasts) mastectomy. The mean follow-up was 22.2 months. The
total complication rate was 32.5%, including infection, 11.5%; hematoma, 5%;
seroma, 10.5%; skin necrosis, 3.5%; and serious wound breakdowns with implant
exposure, 1.5%. Complications resulted in 3% requiring an early exchange of
implant/expander and in 12.5% requiring explantation. A significant reduction in
total complications, infection, implant exposure, and implant loss were noted in
Period 2. Multivariate analysis showed time period of surgery (Period 1), single
stage reconstruction, and patient characteristics (mastectomy weight>600 g, or
body mass index (BMI)>30, or smoking) to be statistically significant risk
factors for the development of postoperative complications. Neoadjuvant
chemotherapy showed a trend towards higher complication rates. CONCLUSION: The
high rate of early complications in this study was mostly related to patient
characteristics and learning curves and highlights the importance of patient
selection and technique principles in optimizing the outcome.
PMID- 24927864
TI - Vacuum-assisted lipectomy technique for optimized skin flap defatting.
PMID- 24927865
TI - The primary excision of benign dermal cylindroma on the scalp in the correct
layer is crucial to avoid recurrence with cranial bone erosion.
PMID- 24927866
TI - Cement in cement revision of the femoral component using a collarless triple
taper: a midterm clinical and radiographic assessment.
AB - This study describes the midterm clinical and radiological results of the cement
in cement technique for the femur using a collarless triple taper. Radiographic
assessment was made retrospectively from 44 patients at two time points. Clinical
outcomes included the Oxford Hip Score, EQ5D and Self Reported Patient
Satisfaction Scale. Implant and patient survival were also recorded. The mean
clinical follow up period was 5years 3months and the radiological follow up
2years 10months. The mean OHS was 34, the mean EQ5D 0.814 and the mean SAPS 94.
Kaplan-Meier survival with revision, as the end point was 95.2% at 11years with a
survivorship of 76.5% with death as the end point. Cement in cement revision
using a collarless triple tapered stem demonstrates promising results both
clinically and radiologically at midterm follow up.
PMID- 24927867
TI - High failure rates of the Spectron EF stem at a minimum of 10 year's follow-up.
AB - We prospectively followed 112 hips, undergoing THA with a Spectron EF stem. At
mean follow-up of 11.2years, 21 patients had died. We obtained radiological
follow-up in 99% and clinical follow-up in 100% of the surviving 91 hips. Fifty
four percent demonstrated osteolysis in at least one Gruen zone. Twenty-two hips
required revision for all causes, with a further five stems radiologically loose.
With endpoint being stem revision for aseptic loosening or radiological failure,
survivorship at 11years was 0.783. We believe the addition of a rougher surface
finish has contributed to the high levels of osteolysis and stem failure seen
with the Spectron EF.
PMID- 24927868
TI - Risk factors for manipulation after total knee arthroplasty: a pooled electronic
health record database study.
AB - A commercially available software platform, Explorys (Explorys, Inc., Cleveland,
OH), was used to mine a pooled electronic healthcare database consisting of the
medical records of more than 27 million patients. A total of 229,420 patients had
undergone a total knee arthroplasty; 3470 (1.51%) patients were identified to
have undergone manipulation under anesthesia. Individual risk factors of being
female, African American race, age less than 60, BMI >30 and nicotine dependence
were determined to have relative risk of 1.25, 2.20, 3.46, 1.33 and 1.32
respectively. Depressive disorder, diabetes mellitus, opioid abuse/dependence and
rheumatoid arthritis were not significant risk factors. African Americans under
the age of 60 at time of TKA had the greatest incidence of MUA (5.17%) and
relative risk of 3.73 (CI: 3.36, 4.13).
PMID- 24927869
TI - Comparison of health care costs between aseptic and two stage septic hip
revision.
AB - The number of septic and aseptic total hip arthroplasty (THA) revisions will
increase, which involves a greater financial burden. We here provide a
retrospective consecutive analysis of the major variable direct costs involved in
revision THA for aseptic and septic failure. A total of 144 patients (30 septic,
114 aseptic) treated between January 1, 2009 and March 31, 2012 was included. The
management of septic THA loosening is much more expensive than that of aseptic
loosening ($14,379.8 vs. $5,487.4). This difference is mainly attributable to the
two-stage exchange technique used for septic failure (hospital stay: 40.2 vs.
15.6 days) and significantly higher implant costs ($3,930.9 vs. $2,298.2). The
septic implantation part is on average $3,384.6 more expensive than aseptic
procedures (P < .001).
PMID- 24927870
TI - First Evidence of Comorbidity of Problem Gambling and Other Psychiatric Problems
in a Representative Urban Sample of South Africa.
AB - We investigate the extent to which problem gambling in a recent South African
sample, as measured by the Problem Gambling Severity Index (PGSI), is comorbid
with depression, anxiety and substance abuse. Data are from the 2010 South
African National Urban Prevalence Study of Gambling Behavior. A representative
sample of the urban adult population in South Africa (N = 3,000). Responses to
the 9-item PGSI and ratings on the Beck Depression Index, the Beck Anxiety
Inventory, and the World Health Organization Alcohol, Smoking and Substance
Involvement Screening Tool (WHO ASSIST). Cross tabulations and Chi square
analyses along with logistic regression analyses with and without controls for
socio-demographic and/or socio-economic variables were used to identify
comorbidities. The prevalence of depression, anxiety, alcohol and substance use
were clearly higher among the sample at risk for problem gambling. Black African
racial status and living in areas characterized by migrant mining workers was
associated with increased risk of problem gambling and comorbidities. There is
strong evidence that findings of comorbidities between pathological gambling and
depression, anxiety and substance abuse in developed countries generalize to the
developing country of South Africa. Historical context, however, gives those
comorbidities a unique demographic distribution.
PMID- 24927871
TI - Dendritic cells transduced with CPEB4 induced antitumor immune response.
AB - Much evidence leads to the exploration of immunologic approaches for eliminating
tumor cells. Cytoplasmic polyadenylation element binding protein 4 (CPEB4) is
considered to be a novel therapeutical target for glioblastoma. In this study, we
transduced DCs with CPEB4 to explore the immune response in vivo. We found that
DCs transduced with recombinant adenovirus encoding CPEB4 could induce specific
cytotoxic T lymphocytes (CTLs) to lyse glioma cells and augment the number of IFN
gamma secreting T-cells in mice. In addition, the modified DCs could effectively
protect mice from lethal challenges against glioma cells, reduce tumor growth and
increase the mice life span. These results suggest that the DC transduced with
CPEB4 may induce anti-tumor immunity against glioma cells and might be used as an
efficient tumor vaccine in clinical applications.
PMID- 24927872
TI - Automated processing of fluorescence in-situ hybridization slides for HER2
testing in breast and gastro-esophageal carcinomas.
AB - BACKGROUND: HER2 fluorescence in-situ hybridization (FISH) is used in breast and
gastro-esophageal carcinoma for determining HER2 gene amplification and patients'
eligibility for HER2 targeted therapeutics. Traditional manual processing of the
FISH slides is labor intensive because of multiple steps that require hands on
manipulation of the slides and specifically timed intervals between steps. This
highly manual processing also introduces inter-run and inter-operator variability
that may affect the quality of the FISH result. Therefore, we sought to
incorporate an automated processing instrument into our FISH workflow. METHODS:
Twenty-six cases including breast (20) and gastro-esophageal (6) cancer
comprising 23 biopsies and three excision specimens were tested for HER2 FISH
(Pathvysion, Abbott) using the Thermobrite Elite (TBE) system (Leica). Up to 12
slides can be run simultaneously. All cases were previously tested by the
Pathvysion HER2 FISH assay with manual preparation. Twenty cells were counted by
two observers for each case; five cases were tested on three separate runs by
different operators to evaluate the precision and inter-operator variability.
RESULTS: There was 100% concordance in the scoring between the manual and TBE
methods as well as among the five cases that were tested on three runs. Only one
case failed due to poor probe hybridization. In total, seven cases were positive
for HER2 amplification (HER2:CEP17 ratio >2.2) and the remaining 19 were negative
(HER2:CEP17 ratio <1.8) utilizing the 2007 ASCO/CAP scoring criteria. Due to the
automated denaturation and hybridization, for each run, there was a reduction in
labor of 3.5h which could then be dedicated to other lab functions. CONCLUSION:
The TBE is a walk away pre- and post-hybridization system that automates FISH
slide processing, improves work flow and consistency and saves approximately 3.5h
of technologist time. The instrument has a small footprint thus occupying minimal
counter space. TBE processed slides performed exceptionally well in comparison to
the manual technique with no disagreement in HER2 amplification status.
PMID- 24927873
TI - Complex/variant translocations in chronic myelogenous leukemia (CML): genesis and
prognosis with 4 new cases.
AB - In 5-10% of cases with CML, variant or complex translocations (CT) are seen that
may result in atypical fluorescence in situ hybridization signal patterns. Dual
color, dual fusion fluorescence in situ hybridization (D-FISH) patterns are
instrumental in identifying the genesis of these CT, but their prognostic
implications remain controversial. The most common mechanism is a two-step
process in which a standard two-way translocation (9;22) is followed by
subsequent rearrangements involving other chromosomes. The second common
mechanism is the one-step process wherein breakage occurs simultaneously on
different chromosomes leading to CT. The typical D-FISH pattern seen with the one
step mechanism is 1F2G2R, while the pattern for the two-step mechanism can be
variable (2F1G1R, 1F1G1R, 1F1G2R, 1F2G1R, etc.). We have studied 4 cases of CT
using metaphase FISH with triple color, dual fusion ASS1, ABL1 and BCR probes to
understand the genesis of these CT. All the patients were treated with imatinib,
but only patients 3 and 4 showed remission. Our results indicate that the CT in
cases 1, 3 and 4 arose from a one-step mechanism and case 2 from a multi-step
mechanism. Response to imatinib varied from full remission to no response. Long
term follow-up is necessary to evaluate the prognostic implications of these CT.
PMID- 24927874
TI - JK1 (FAM134B) represses cell migration in colon cancer: a functional study of a
novel gene.
AB - BACKGROUND: JK1 is a novel cancer-related gene with unknown functional role in
carcinogenesis. The aim of this study is to investigate the role of JK1 gene in
carcinogenesis in an in vitro cell proliferation and migration analysis model.
METHODS: Small hairpin RNAs (shRNA) were designed to knock-down JK1 expression in
colon cancer cell line (SW480) using transduction ready lentiviral particles.
Cell proliferation and cell migration assays were performed on multiple
extracellular matrices to investigate the cellular effects of JK1 in colon cancer
cells. A non-cancer colonic epithelial cell line (FHC) was used to compare the
expression of JK1 in cancer cell line. RESULTS: JK1 knock-down did not affect
cellular proliferation or survival in colon cancer. However, the manipulation
increased cancer cell migration rates on collagen and fibronectin substrates.
CONCLUSIONS: JK1 was shown for the first time to have a functional role in the
pathogenesis of colon cancer. The results imply that JK1 represses the capacity
of cancer cells to migrate within their tissue. They also concurred with the
previous findings of JK1 activity correlations with clinical and pathological
features in colon cancer. The capacity may have utility as a means to prevent
cancer cells forming metastases.
PMID- 24927875
TI - Advances in treating amyotrophic lateral sclerosis: insights from
pathophysiological studies.
AB - Amyotrophic lateral sclerosis (ALS) is the most frequently occurring of the
neuromuscular degenerative disorders, with a median survival time of 3-5 years.
The pathophysiological mechanisms underlying ALS are multifactorial, with a
complex interaction between genetic factors and molecular pathways. To date 16
genes and loci have been associated with ALS, with mutations in DNA/RNA
regulating genes including the recently described c9orf72 (chromosome 9 open
reading frame 72) gene, suggesting an important role for dysregulation of RNA
metabolism in ALS pathogenesis. Further, dysfunction of molecular pathways,
including glutamate-mediated excitotoxicity, has been identified in sporadic and
familial ALS, indicating the existence of a common pathogenic pathway. These
pathophysiological insights have suggested novel therapeutic approaches,
including stem cell and genetics-based strategies, providing hope for feasible
treatment of ALS.
PMID- 24927877
TI - Assembling more O2 uptake responses: is it possible to merely stack the repeated
transitions?
AB - Kinetic parameters for pulmonary O2 uptake at exercise onset are estimated by non
linear regression on repeated responses assembled together. The native data
contain the information, thus the "stacking" of the responses should provide
correct values and uncertainties. Kinetic parameters and corresponding
uncertainties (computed from the asymptotic standard errors; ASE) were estimated
on 10(4) simulated noisy responses (with time constant tau=25s), repeated 10
times and assembled over an increasing number of repetitions (Nr) by "stacking"
or ensemble averaging the responses processed to obtain 1s bins ("1-s-bins").
Independent of the assembling method, the average estimated tau amounted to
~25.05 s. Independent of Nr, the "1-s-bins" and the "stacking" yielded an ASE/SD
ratio for tau amounting to ~0.52 and ~0.98, respectively, resulting in a
probability of including tau=25s within the estimated uncertainty from an
individual kinetics amounting to ~70% and >94% for the "1-s-bins" and the
"stacking", respectively. In conclusion, the "stacking" allowed obtaining, also
for individual kinetics, coherent estimated parameters and associated
uncertainties.
PMID- 24927876
TI - Elevated expression levels of miR-143/5 in saphenous vein smooth muscle cells
from patients with Type 2 diabetes drive persistent changes in phenotype and
function.
AB - Type 2 diabetes (T2DM) promotes premature atherosclerosis and inferior prognosis
after arterial reconstruction. Vascular smooth muscle cells (SMC) respond to
patho/physiological stimuli, switching between quiescent contractile and
activated synthetic phenotypes under the control of microRNAs (miRs) that
regulate multiple genes critical to SMC plasticity. The importance of miRs to SMC
function specifically in T2DM is unknown. This study was performed to evaluate
phenotype and function in SMC cultured from non-diabetic and T2DM patients, to
explore any aberrancies and investigate underlying mechanisms. Saphenous vein SMC
cultured from T2DM patients (T2DM-SMC) exhibited increased spread cell area,
disorganised cytoskeleton and impaired proliferation relative to cells from non
diabetic patients (ND-SMC), accompanied by a persistent, selective up-regulation
of miR-143 and miR-145. Transfection of premiR-143/145 into ND-SMC induced
morphological and functional characteristics similar to native T2DM-SMC;
modulating miR-143/145 targets Kruppel-like factor 4, alpha smooth muscle actin
and myosin VI. Conversely, transfection of antimiR-143/145 into T2DM-SMC
conferred characteristics of the ND phenotype. Exposure of ND-SMC to transforming
growth factor beta (TGFbeta) induced a diabetes-like phenotype; elevated miR
143/145, increased cell area and reduced proliferation. Furthermore, these
effects were dependent on miR-143/145. In conclusion, aberrant expression of miR
143/145 induces a distinct saphenous vein SMC phenotype that may contribute to
vascular complications in patients with T2DM, and is potentially amenable to
therapeutic manipulation.
PMID- 24927878
TI - Racial and ethnic diversity in studies of late-life mental health.
PMID- 24927879
TI - Fear of aging moderates post-traumatic stress disorder symptoms among older
adults exposed to Hurricane Sandy.
PMID- 24927880
TI - Genomic characterization and expression pattern of Bf/C2 and C4 in miiuy croaker
and molecular evolution analysis on mammals and fishes.
AB - The complement system plays an important role in both innate and adaptive host
defense against the invading microorganisms in vertebrates. It can be activated
by three pathways: the classical, alternative and lectin pathways. Bf/C2 and C4,
as members of complement, play a pivotal role in the activation of the complement
system. In our study, we identified Bf/C2 and C4 genes and genomic structure in
miiuy croaker, and expression patterns of Bf/C2 and C4 genes was analyzed. In
healthy miiuy croaker tissues, Bf/C2 and C4 genes were found to be ubiquitously
expressed in all ten tested tissues. Analysis of expression of Bf/C2 and C4 genes
after bacterial infection showed a significant up-regulated in liver. The
evolutionary analysis showed that the ancestral lineages of Bf/C2 and C4 genes in
mammals and fishes experienced positive selection indicated that the ancestors of
mammals and fishes had further evolved to adapt to their environment,
respectively. A series of maximum likelihood (ML) methods were used to study the
evolution on vertebrates' Bf/C2 and C4 genes. One and five positive selection
sites were found in mammals of Bf/C2 and C4 genes, but no positive selection site
was found in fishes of Bf/C2 and C4 genes, indicating that Bf/C2 and C4 genes in
mammals and fishes underwent different evolutionary patterns.
PMID- 24927881
TI - Stability of severely stiff elbows after complete open release: treatment by
ligament repair with suture anchors and hinged external fixator.
AB - BACKGROUND: Instability is a crucial issue in severe post-traumatic elbow
stiffness during complete-release surgery. This study aimed to evaluate the
efficacy of ligament repair using a suture anchor in the operative treatment of
severely stiff elbows for which a hinged external fixator was indicated. METHODS:
We retrospectively reviewed 46 cases of severely stiff elbows (flexion arc <60
degrees ) undergoing open release. During the operation, all 46 elbows were noted
to have instability. Suture anchors were applied to restore the ligament if it
was impossible to repair the ligament directly, and a hinged external fixator was
simultaneously applied to protect the vulnerable ligament and facilitate
rehabilitation. No allograft or autograft was used in any of our cases. The
stability, arc of motion, Mayo Elbow Performance Score, ulnar nerve symptoms, and
radiographs were evaluated. RESULTS: At a mean follow-up of 24.3 months, the
postoperative Mayo Elbow Performance Score was 91 points, as compared with 63
points preoperatively. The mean flexion arc improved from 25 degrees to 126
degrees . Three patients presented with moderate elbow instability when the
hinged external fixator was removed; however, all of them regained stability by
the last follow-up. Furthermore, 7 cases of new-onset nerve palsy were noted;
however, all of them resolved with conservative management. None of the patients
required secondary surgery for any reason. CONCLUSIONS: Repair of an avulsed
collateral ligament with suture anchors and hinged external fixation was
effective in restoring functional mobility in patients with severe post-traumatic
elbow stiffness after complete release. This could be an option for treating
ankylosed, severely or very severely stiff elbows.
PMID- 24927882
TI - Is resection of the tendon edge necessary to enhance the healing process? An
evaluation of the homeostasis of apoptotic and inflammatory processes in the
distal 1 cm of a torn supraspinatus tendon: part I.
AB - BACKGROUND: We hypothesize that the expression of proapoptotic and antiapoptotic
molecules and cytokines is dependent on the distance from the torn supraspinatus
tendon edge and this expression may influence its potential for healing. The aim
of this work is to evaluate the expression of proapoptotic Bax molecule and
caspases 3, 8, and 9; antiapoptotic Bcl-2 molecule; and proinflammatory tumor
necrosis factor (TNF) alpha and anti-inflammatory interleukin 10 (IL-10) in 3
sections taken from a 1-cm section of the edge of a torn supraspinatus tendon: 3
mm distal and 3 mm proximal, as well as the remaining 4-mm middle section between
them. METHODS: Nine patients, with a mean age of 58 years, were included in the
study. All fulfilled strict inclusion criteria regarding the morphology of the
tear and reconstruction technique. Samples were taken from the ruptured
supraspinatus tendon at the time of arthroscopic repair. Quantitative real-time
polymerase chain reaction assay was used for analysis. RESULTS: The expression of
caspases 9, 8 and 3; Bax; and TNF-alpha significantly decreased from the distal
to the proximal parts of the tendon edge (P < .05). However, a significant
increase in Bcl-2 and IL-10 expression was also found in the same direction (P <
.05). CONCLUSIONS: Tenocytes can reduce the expression of proapoptotic caspases
3, 8, and 9 and Bax, as well as proinflammatory TNF-alpha, by increasing the
expression of Bcl-2 and IL-10 within 1 cm of the supraspinatus edge in a distal
to proximal direction. Resection 4 to 7 mm from the edge of the torn
supraspinatus tendon may enhance the healing process by reaching a reasonable
compromise between molecular homeostasis of apoptotic and inflammatory processes
and mechanical aspects of rotator cuff reconstruction.
PMID- 24927883
TI - Reverse shoulder arthroplasty for malignant tumors of proximal humerus.
AB - BACKGROUND: Reverse shoulder arthroplasty (RSA) provides an alternative for
shoulder girdle reconstruction after wide transarticular resection of the
proximal humerus for malignant tumor. The aim of this study was to evaluate
midterm outcomes of this therapeutic option. METHODS: Ten patients with RSA were
included and reviewed with 24 months of minimum follow-up. Proximal resection of
malignant tumor included the "V" deltoid insertion in 4 patients. The humeral
stem was coated (with an allograft in 2 patients and a cement mantel in 3) or
left uncoated (5 patients). RESULTS: At a mean follow-up of 42 months, among 8
prosthesis available for evaluation (2 patients died), the Constant and Murley
score averaged 52 points, the 11-item version of the Disabilities of the Arm,
Shoulder and Hand (QuickDASH) score averaged 29.5 points, the Subjective Shoulder
Value averaged 58%, and the Musculoskeletal Tumor Society score averaged 20.25
points. Mean forward active elevation reached 122 degrees , external rotation at
side was -2 degrees , and internal rotation was to L4. Three prostheses were
unstable. The involvement of "V" deltoid insertion at surgery trended to worsen
the clinical outcome. Atrophy of the anterior deltoid occurred in most of
patients who had a previous transdeltoid biopsy. Radiographic evaluation found 1
stem loosening, 1 stem at risk, and 3 scapular notches without glenoid loosening.
CONCLUSION: Use of RSA after resection of a malignant tumor of the proximal
humerus seems to be an acceptable option to preserve function. However,
radiographic evolution is worrisome, and long-term study remains necessary to
validate this therapeutic option with follow-up.
PMID- 24927884
TI - Diagnostic accuracy of magnetic resonance imaging for subscapularis tendon tears
using radial-slice magnetic resonance images.
AB - BACKGROUND: Magnetic resonance imaging has low diagnostic accuracy for
subscapularis tendon tears. This study investigated the utility of radial-slice
magnetic resonance images for diagnosing subscapularis tendon tears. MATERIALS
AND METHODS: We investigated 55 shoulders in 54 patients with rotator cuff tears
evident during arthroscopic shoulder surgery. The intraoperative finding of a
subscapularis tendon tear was compared with the identification of a subscapularis
tendon tear on preoperative radial, transverse, and oblique sagittal images using
a 3.0-T system. The sensitivity and specificity of diagnostic images generated
using different imaging methods for subscapularis tendon tears were investigated.
RESULTS: A subscapularis tendon tear was present in 38 shoulders (69.1%). When
the diagnostic accuracy of the magnetic resonance images was compared with the
arthroscopic findings, the radial images had 94.7% sensitivity and 82.4%
specificity, the transverse images had 57.9% sensitivity and 100% specificity,
and the oblique sagittal images had 60.5% sensitivity and 100% specificity.
CONCLUSION: Radial-slice magnetic resonance images have high sensitivity for
subscapularis tendon tears and are useful for diagnosing these lesions. In
particular, the sensitivity for tears in the superior part of the subscapularis
tendon is higher than that of conventional methods.
PMID- 24927885
TI - Regulating cell death at, on, and in membranes.
AB - Bcl-2 family proteins are central regulators of apoptosis. Various family members
are located in the cytoplasm, endoplasmic reticulum, and mitochondrial outer
membrane in healthy cells. However during apoptosis most of the interactions
between family members that determine the fate of the cell occur at the membranes
of intracellular organelles. It has become evident that interactions with
membranes play an active role in the regulation of Bcl-2 family protein
interactions. Here we provide an overview of various models proposed to explain
how the Bcl-2 family regulates apoptosis and discuss how membrane binding affects
the structure and function of each of the three categories of Bcl-2 proteins (pro
apoptotic, pore-forming, and anti-apoptotic). We also examine how the Bcl-2
family regulates other aspects of mitochondrial and ER physiology relevant to
cell death.
PMID- 24927896
TI - Transcriptional regulation of Drosophila gonad formation.
AB - The formation of the Drosophila embryonic gonad, involving the fusion of clusters
of somatic gonadal precursor cells (SGPs) and their ensheathment of germ cells,
provides a simple and genetically tractable model for the interplay between cells
during organ formation. In a screen for mutants affecting gonad formation we
identified a SGP cell autonomous role for Midline (Mid) and Longitudinals lacking
(Lola). These transcriptional factors are required for multiple aspects of SGP
behaviour including SGP cluster fusion, germ cell ensheathment and gonad
compaction. The lola locus encodes more than 25 differentially spliced isoforms
and we have identified an isoform specific requirement for lola in the gonad
which is distinct from that in nervous system development. Mid and Lola work in
parallel in gonad formation and surprisingly Mid overexpression in a lola
background leads to additional SGPs at the expense of fat body cells. Our
findings support the idea that although the transcription factors required by
SGPs can ostensibly be assigned to those being required for either SGP
specification or behaviour, they can also interact to impinge on both processes.
PMID- 24927897
TI - Biopsy-proven acute interstitial nephritis, 1993-2011: a case series.
AB - BACKGROUND: Acute interstitial nephritis (AIN) is an important cause of acute
kidney injury, especially in hospitalized patients. The cause and outcome of AIN,
particularly that due to drugs, is changing with prevalent medication use. The
effectiveness of steroids for treatment of AIN is debated. STUDY DESIGN: Case
series. SETTING & PARTICIPANTS: 133 patients with biopsy-proven AIN from 1993
through 2011 at a single center. OUTCOMES: Recovery of kidney function by 6
months, either complete, partial, or none. Complete recovery was defined as
improvement in serum creatinine level to within 25% of baseline (or < 1.4 mg/dL),
and partial recovery, as a >= 50% decrease in serum creatinine level from its
peak value but not reaching within 25% of its baseline value. RESULTS: Causes of
AIN included drugs (70%), autoimmune diseases (20%), and infections (4%). Drug
induced AIN was due to antibiotics in 49%, proton pump inhibitors (PPIs) in 14%,
and nonsteroidal anti-inflammatory drugs (NSAIDs) in 11%. Overall, the top 3 drug
causes were omeprazole (12%), amoxicillin (8%), and ciprofloxacin (8%). Patients
with drug-induced compared to non-drug-induced AIN were older and had higher
baseline kidney function, but more severe acute kidney injury. Patients with PPI
induced AIN were older, were less symptomatic, and had longer durations of drug
exposure and longer delays in getting kidney biopsy and steroids than for
antibiotic-induced or NSAID-induced AIN. At 6 months postbiopsy, 49% of patients
with drug-induced AIN treated with steroids achieved complete recovery; 39%,
partial recovery; and 12%, no recovery. Correlates of poor recovery included a
longer duration of drug exposure (15 vs 30 vs 130 days for complete, partial, and
no recovery, respectively; P = 0.04) and longer delay in starting steroid therapy
(8 vs 11 vs 35 days, respectively; P = 0.05). LIMITATIONS: Retrospective study,
selection bias in patients who had kidney biopsy, single-center experience.
CONCLUSIONS: The cause of AIN may be shifting; PPIs are emerging as an important
contributor to this disease. Delays in discontinuation of the culprit drug and in
initiating steroid treatment adversely affect recovery of kidney function.
PMID- 24927898
TI - First-year outcomes of incident peritoneal dialysis patients in the United
States.
AB - BACKGROUND: Patterns of early outcomes in peritoneal dialysis (PD) are not well
studied and dialysis providers need to establish a baseline of key outcomes for
continuous quality improvement initiatives. STUDY DESIGN: Retrospective cohort
study. SETTING & PARTICIPANTS: Incident PD patients from Fresenius Medical Care,
North America from January 1 through December 31, 2009. FACTORS: Case-mix,
comorbid illness, and baseline laboratory values. OUTCOMES: Death,
hospitalization, peritonitis, and switch to hemodialysis (HD) within the first
year on PD therapy. MEASUREMENTS: Event rates and outcome predictors. RESULTS: Of
1,677 incident PD patients, 1,313 started on PD therapy and 367 switched from HD
therapy within the first 90 days. Normalized first-year event rates for
mortality, switch to HD therapy, peritonitis, and hospitalization were 10, 27,
42, and 128 per 100 patient-years, respectively. 336 of 463 (72.6%) first
peritonitis episodes and 637 of 939 (67.8%) first hospitalizations occurred
within the first 6 months of PD treatment. Black race, higher body mass index,
non-Hispanic ethnicity, peripheral vascular disease, and low weekly Kt/V
associated with peritonitis risk. Dialysis vintage, female sex, diabetes,
congestive heart failure, peripheral vascular disease, and history of limb
amputation along with lower laboratory values for albumin, hemoglobin, and
phosphorus and weekly Kt/V associated with hospitalization. Switchers to HD
therapy (n=350) used central venous catheters 81.4% of the time as initial access
(still 78.3% at 90 days later) because of lack of permanent access. LIMITATIONS:
Residual confounding from unmeasured variables and exclusion of patients with a
training day but who never started home PD therapy. CONCLUSIONS: Despite low
first-year mortality, incident PD patients had high morbidity, particularly
within the first 3-6 months. Increased focus to identify patients at greatest
risk for peritonitis and hospitalization, as well as evaluation of care processes
and implementation of preventive strategies, is required. Access planning for
transition to HD therapy needs more attention, even during the first PD year.
PMID- 24927899
TI - Optimized dosing of cefazolin in patients treated with nocturnal home
hemodialysis.
PMID- 24927900
TI - Natural-focal diseases: mapping experience in Russia.
AB - BACKGROUND: Natural-focal diseases constitute a serious hazard for human health.
Agents and vectors of such diseases belong to natural landscapes. The aim of this
study is to identify the diversity and geography of natural-focal diseases in
Russia and to develop cartographic approaches for their mapping, including
mathematical-cartographical modeling. Russian medico-geographical mapping of
natural-focal diseases is highly developed regionally and locally but extremely
limited at the national level. To solve this problem, a scientific team of the
Faculty of Geography at Lomonosov Moscow State University has developed and
implemented a project of a medico-geographical Atlas of Russia "Natural-Focal
Diseases". METHODS: The mapping is based on medical statistics data. The Atlas
contains a series of maps on disease incidence, long-term dynamics of disease
morbidity, etc. In addition, other materials available to the authors were used:
mapping of the natural environment, field data, archival materials, analyzed
satellite images, etc. The maps are processed using ArcGIS (ESRI) software
application. Different methods of rendering of mapped phenomena are used
(geographical ranges, diagrams, choropleth maps etc.). RESULTS: A series of
analytical, integrated, and synthetic maps shows disease incidence in the
population at both the national and regional levels for the last 15 years. Maps
of the mean annual morbidity of certain infections and maps of morbidity dynamics
and nosological profiles allow for a detailed analysis of the situation for each
of 83 administrative units of the Russian Federation. The degree of epidemic
hazard in Russia by natural-focal diseases is reflected in a synthetic medico
geographical map that shows the degree of epidemic risks due to such diseases in
Russia and allows one to estimate the risk of disease manifestation in a given
region. CONCLUSIONS: This is the first attempt at aggregation and public
presentation of diverse and multifaceted information about natural-focal diseases
in Russia. Taken in entirety, the maps that have been prepared for the Atlas will
enable researchers to evaluate the stability of epidemic manifestation of
individual diseases and the susceptibility of a given territory to disease
transmission. The results can be used for sanitary monitoring and disease
prevention.
PMID- 24927901
TI - Subject-specific real-time respiratory liver motion compensation method for
ultrasound-MRI/CT fusion imaging.
AB - PURPOSE: Ultrasound-MRI/CT fusion imaging is widely used in minimal invasive
surgeries, such as liver biopsy and tumor ablation. However, respiration-induced
quasi-periodic liver motion and deformation cause unacceptable misalignment of
the fusion images (i.e., fusion error). A subject-specific liver motion model
based on skin-mounted position sensor and corresponding ultrasound liver image
sequence was developed to compensate for liver motion. METHODS: External
surrogate respiratory motion signal is used to predict internal liver motion. An
electromagnetic position sensor fixed on abdominal skin is introduced to track
the respiratory motion, and 2D ultrasound images are used to track the liver
motion synchronously. Based on these measurements, a subject-specific model
describing the relationship of respiratory skin motion and internal liver motion
is built and applied in real time (ultrasound-MRI/CT fusion imaging system) to
predict and to compensate for the liver motion due to respiratory movement.
Feasibility experiments and clinical trials were carried out on a phantom and
eight volunteers. RESULTS: Qualitative and quantitative analyses and visual
inspections performed by experienced clinicians show that the proposed model
could effectively compensate for the liver motion, and the ratio of motion
compensated fusion error to the original varied from 10 % (0.96/9.40 mm) to 28 %
(2.90/10.22 mm). CONCLUSIONS: An online liver motion modeling and compensation
method was developed that provides surgeons with stable and accurate
multimodality fusion images in real time.
PMID- 24927902
TI - A long noncoding RNA Sox2ot regulates lung cancer cell proliferation and is a
prognostic indicator of poor survival.
AB - Sox2 overlapping transcript (Sox2ot) is a long noncoding RNA (lncRNA), localized
on human chromosome 3q26.33, which is frequently amplified in lung squamous cell
carcinomas (SCCs). However, its roles in lung cancer remain under investigation.
In this study, we found that Sox2ot was up-regulated over two folds in 53.01% of
human primary lung cancers (44/83). The expression level of Sox2ot is
significantly higher in SCCs than that in adenocarcinomas (ADCs) of the lung.
Further study found high Sox2ot expression predicted poor survival in lung cancer
patients (P=0.0053), implying Sox2ot is a novel prognostic factor. In two human
lung cancer cell lines, HCC827 and SK-MES-1, knocking down Sox2ot inhibited cell
proliferation by inducing G2/M arrest, with a concomitant decrease of cells in S
phase. Reduced protein levels of Cyclin B1 and Cdc2 were also observed.
Importantly, knocking down Sox2ot decreased EZH2 expression and reintroduction of
EZH2 allowed Sox2ot knockdown cells progressed through G2/M phase, which
correlates with the restoration of Cyclin B1 and Cdc2 expressions. Altogether,
our data suggested that Sox2ot plays an important role in regulating lung cancer
cell proliferation, and may represent a novel prognostic indicator for the
disease.
PMID- 24927903
TI - Preparation and sonodynamic activities of water-soluble tetra-alpha-(3
carboxyphenoxyl) zinc(II) phthalocyanine and its bovine serum albumin conjugate.
AB - Sonodynamic therapy (SDT) is a new approach for cancer treatment, involving the
synergistic effect of ultrasound and certain chemical compounds termed as
sonosensitizers. A water-soluble phthalocyanine, namely tetra-alpha-(3
carboxyphenoxyl) zinc(II) phthalocyanine (ZnPcC4), has been prepared and
characterized. The interactions between ZnPcC4 and bovine serum albumin (BSA)
were also investigated by absorption and fluorescence spectroscopy. It was found
that there were strong interactions between ZnPcC4 and BSA with a binding
constant of 6.83*10(7)M(-1). A non-covalent BSA conjugate of ZnPcC4 (ZnPcC4-BSA)
was prepared. Both ZnPcC4 and ZnPcC4-BSA exhibited efficient sonodynamic
activities against HepG2 human hepatocarcinoma cells. Compared with ZnPcC4,
conjugate ZnPcC4-BSA showed a higher sonodynamic activity with an IC50 value of
7.5MUM. Upon illumination with ultrasound, ZnPcC4-BSA can induce an increase of
intracellular reactive oxygen species (ROS) level, resulting in cellular
apoptosis. The results suggest that the albumin conjugates of zinc(II)
phthalocyanines functionalized with carboxyls can serve as promising
sonosensitizers for sonodynamic therapy.
PMID- 24927904
TI - Ultrasound-assisted extraction of amino acids from grapes.
AB - Recent cultivar techniques on vineyards can have a marked influence on the final
nitrogen content of grapes, specifically individual amino acid contents.
Furthermore, individual amino acid contents in grapes are related to the final
aromatic composition of wines. A new ultrasound-assisted method for the
extraction of amino acids from grapes has been developed. Several extraction
variables, including solvent (water/ethanol mixtures), solvent pH (2-7),
temperature (10-70 degrees C), ultrasonic power (20-70%) and ultrasonic frequency
(0.2-1.0s(-)(1)), were optimized to guarantee full recovery of the amino acids
from grapes. An experimental design was employed to optimize the extraction
parameters. The surface response methodology was used to evaluate the effects of
the extraction variables. The analytical properties of the new method were
established, including limit of detection (average value 1.4mmolkg(-)(1)), limit
of quantification (average value 2.6mmolkg(-)(1)), repeatability (average
RSD=12.9%) and reproducibility (average RSD=15.7%). Finally, the new method was
applied to three cultivars of white grape throughout the ripening period.
PMID- 24927905
TI - International Conference on Nutrition and the Brain--Preface.
PMID- 24927906
TI - Critical levels of brain atrophy associated with homocysteine and cognitive
decline.
AB - Few B-vitamin trials to lower homocysteine (Hcy) have reported evidence of
beneficial effects on cognition in older adults with cognitive impairment or
Alzheimer's disease. This article reviews the role of Hcy in cognitive decline.
It also considers some reasons why meta-analyses have failed to find effects of B
vitamin treatment. Findings from the successful VITACOG trial are examined from a
new perspective of critical levels of Hcy and brain atrophy that may impact on
the efficacy of B-vitamin treatment. It appears that there is a critical level of
brain shrinkage, possibly mediated by elevated Hcy, which when reached, results
in cognitive decline, especially in episodic memory performance. Supplements,
food sources, and effects of folic acid fortification are discussed in relation
to B12 deficiency.
PMID- 24927907
TI - Diffuse alveolar hemorrhage as a presentation of acute poststreptococcal
glomerulonephritis.
PMID- 24927908
TI - Sarcoidosis presenting with pancytopenia.
PMID- 24927909
TI - Clinical outcomes with beta-blockers for myocardial infarction: a meta-analysis
of randomized trials.
AB - BACKGROUND: Debate exists about the efficacy of beta-blockers in myocardial
infarction and their required duration of usage in contemporary practice.
METHODS: We conducted a MEDLINE/EMBASE/CENTRAL search for randomized trials
evaluating beta-blockers in myocardial infarction enrolling at least 100
patients. The primary outcome was all-cause mortality. Analysis was performed
stratifying trials into reperfusion-era (> 50% undergoing reperfusion or
receiving aspirin/statin) or pre-reperfusion-era trials. RESULTS: Sixty trials
with 102,003 patients satisfied the inclusion criteria. In the acute myocardial
infarction trials, a significant interaction (Pinteraction = .02) was noted such
that beta-blockers reduced mortality in the pre-reperfusion (incident rate ratio
[IRR] 0.86; 95% confidence interval [CI], 0.79-0.94) but not in the reperfusion
era (IRR 0.98; 95% CI, 0.92-1.05). In the pre-reperfusion era, beta-blockers
reduced cardiovascular mortality (IRR 0.87; 95% CI, 0.78-0.98), myocardial
infarction (IRR 0.78; 95% CI, 0.62-0.97), and angina (IRR 0.88; 95% CI, 0.82
0.95), with no difference for other outcomes. In the reperfusion era, beta
blockers reduced myocardial infarction (IRR 0.72; 95% CI, 0.62-0.83) (number
needed to treat to benefit [NNTB] = 209) and angina (IRR 0.80; 95% CI, 0.65-0.98)
(NNTB = 26) at the expense of increase in heart failure (IRR 1.10; 95% CI, 1.05
1.16) (number needed to treat to harm [NNTH] = 79), cardiogenic shock (IRR 1.29;
95% CI, 1.18-1.41) (NNTH = 90), and drug discontinuation (IRR 1.64; 95% CI, 1.55
1.73), with no benefit for other outcomes. Benefits for recurrent myocardial
infarction and angina in the reperfusion era appeared to be short term (30 days).
CONCLUSIONS: In contemporary practice of treatment of myocardial infarction, beta
blockers have no mortality benefit but reduce recurrent myocardial infarction and
angina (short-term) at the expense of increase in heart failure, cardiogenic
shock, and drug discontinuation. The guideline authors should reconsider the
strength of recommendations for beta-blockers post myocardial infarction.
PMID- 24927910
TI - Hypoglycemic hemiparesis: the stroke masquerader.
PMID- 24927911
TI - Improving adherence for management of acute exacerbation of chronic obstructive
pulmonary disease.
AB - BACKGROUND: Clinical practice guidelines recommend 40-60 mg of prednisone
equivalent for 10-14 days for patients with acute exacerbations of chronic
obstructive pulmonary disease (COPD). However, the amount of corticosteroid
prescribed varies widely in clinical practice. Using the electronic health
record, we implemented an evidence-based order set to standardize treatment of
patients hospitalized with acute exacerbations of COPD. METHODS: This is a pre-
and post-intervention study on patients hospitalized between January 1, 2009 and
September 30, 2012 with primary discharge diagnosis of COPD (International
Classification of Diseases, 9(th) Revision code: 491.xx, 492.xx, and 496) and
receipt of at least one dose of corticosteroid at our tertiary care hospital.
Data on baseline demographics, dose of corticosteroid in prednisone equivalent
administered during the first 48 hours and during the entire hospitalizations
were collected from the electronic health record. Evidence-based guidelines were
used to build and implement acute exacerbations of COPD management electronic
ordersets in our electronic health record, Epic (Verona, WI). We divided the
study into 2 time periods (January 1, 2009 through February 28, 2011 as pre- [n =
203] and March 1, 2011 through September 30, 2012 as post-intervention periods [n
= 217]). The primary outcome measure was corticosteroid dose administered in the
first 48 hours. Secondary outcome measures were corticosteroid dosage during the
entire hospitalization, length of stay, hospital follow-up rates, and 30-day
readmission rates. RESULTS: A total of 420 patients with acute exacerbations of
COPD were included in the study. In the post-intervention period, the median
amount of corticosteroid used in the first 48 hours was significantly reduced
(306.2 mg vs 156.25 mg, P < .0001), as was that used during the entire
hospitalization (352.5 mg vs 175 mg, P < .0001). There was no difference in
hospital follow-up rates, length of stay, or 30-day readmission rates between the
2 periods. CONCLUSIONS: Evidence-based electronic ordersets improve compliance
with clinical practice guidelines and reduce the total dose of corticosteroid
administered in patients hospitalized with acute exacerbations of COPD.
PMID- 24927912
TI - Serious and commonly overlooked side effect of prolonged use of PPI.
PMID- 24927913
TI - Age-specific bone tumour incidence rates are governed by stem cell exhaustion
influencing the supply and demand of progenitor cells.
AB - Knudson's carcinogenic model, which simulates incidence rates for retinoblastoma,
provides compelling evidence for a two-stage mutational process. However, for
more complex cancers, existing multistage models are less convincing. To fill
this gap, I hypothesize that neoplasms preferentially arise when stem cell
exhaustion creates a short supply of progenitor cells at ages of high
proliferative demand. To test this hypothesis, published datasets were employed
to model the age distribution of osteochondroma, a benign lesion, and
osteosarcoma, a malignant one. The supply of chondrogenic stem-like cells in
femur growth plates of children and adolescents was evaluated and compared with
the progenitor cell demand of longitudinal bone growth. Similarly, the supply of
osteoprogenitor cells from birth to old age was compared with the demands of bone
formation. Results show that progenitor cell demand-to-supply ratios are a good
risk indicator, exhibiting similar trends to the unimodal and bimodal age
distributions of osteochondroma and osteosarcoma, respectively. The hypothesis
also helps explain Peto's paradox and the finding that taller individuals are
more prone to cancers and have shorter lifespans. The hypothesis was tested, in
the manner of Knudson, by its ability to convincingly explain and demonstrate,
for the first time, a bone tumour's bimodal age-incidence curve.
PMID- 24927915
TI - Eicosapentaenoic acid induces neovasculogenesis in human endothelial progenitor
cells by modulating c-kit protein and PI3-K/Akt/eNOS signaling pathways.
AB - Human endothelial progenitor cells (hEPCs) derived from bone marrow play a
crucial in the prevention of ischemic injuries in the course of postnatal
neovasculogenesis. Frequent fish oil (FO) consumption is reportedly associated
with a significantly lower incidence of cardiovascular disease. However, the
molecular mechanisms of eicosapentaenoic acid (EPA)/docosahexaenoic acid (DHA)
are not well elucidated, and the beneficial effect of FO consumption on
neovasculogenesis has not been demonstrated yet. In the current study, we
investigated the effects of EPA/DHA and FO consumption on neovasculogenesis by
using vascular tube formation assay, Western blotting, real-time polymerase chain
reaction, immunohistochemical staining and Doppler imaging in both in vitro and
in vivo models. The results demonstrate that EPA and DHA dose-dependently enhance
the neovasculogenesis and cell migration of hEPCs in vitro. The mechanisms of
action included up-regulation of the c-kit protein as well as the phosphorylation
of the ERK1/2, Akt and endothelial nitric oxide synthase signaling molecules in
hEPCs. Furthermore, EPA significantly suppressed the expression of microRNA 221
in vitro. In experimental animal models, FO consumption significantly induced the
formation of new blood vessels (neovasculogenesis) and prevented ischemia. Taken
together, it is suggested that FO consumption enhances neovasculogenesis mainly
through the effects of EPA in hEPCs, thereby exerting a preventive effect against
ischemic injury.
PMID- 24927914
TI - Inflammation-induced fetal growth restriction in rats is associated with altered
placental morphometrics.
AB - INTRODUCTION: Evidence links alterations in placental shape and size to fetal
growth restriction (FGR). Here we determined whether alterations in placental
morphometrics are linked to FGR induced by abnormal maternal inflammation.
METHODS: We used an inflammation-induced model of FGR in which pregnant rats
receive lipopolysaccharide (LPS) on gestational days (GD) 13.5-16.5. Fetal
weights were matched to various parameters of placental morphometrics including
weight, area, minor and major axes lengths and thickness. RESULTS: Compared with
saline administration, LPS administration was associated with altered placental
morphometrics, including reduced placental weight, decreased placental area and a
trend towards reduced placental thickness. When data were dichotomized as FGR or
normal-sized fetuses within treatment groups, a significant increase in the
placental-weight-to-fetal-weight ratio and placental thickness was observed only
in the saline-associated FGR subgroup. Multivariable linear regression revealed
that the lengths of the major and minor placental axes were predictors of fetal
weight, regardless of treatment modality. Subgroup regression analysis by
treatment revealed that the lengths of the major and minor placental axes were
predictors of fetal weight in the saline-treatment group while only the minor
placental axis was a predictor of fetal weight in the LPS cohort. Finally,
placental area and the length of the minor placental axis were correlated with
implantation site location only in the saline-treatment group.
DISCUSSION/CONCLUSION: These findings indicate that inflammation-induced FGR is
associated with alterations in placental morphometrics. Our data reveal that the
mechanisms leading to inflammation-induced FGR may be different from the
mechanisms leading to idiopathic FGR.
PMID- 24927917
TI - Prickle1 is necessary for the caudal migration of murine facial branchiomotor
neurons.
AB - Facial branchiomotor neurons (FBMs) of vertebrates typically develop in
rhombomere 4 (r4), and in mammals and several other vertebrate taxa, migrate
caudally into r6 and subsequently laterally and ventrally to the pial surface.
How similar or dissimilar these migratory processes between species are at a
molecular level remains unclear. In zebrafish and mouse, mutations in certain PCP
genes disrupt normal caudal migration of FBMs. Zebrafish prickle1a (prickle-like
1a) and prickle1b, two orthologs of Prickle1, act non-cell-autonomously and cell
autonomously, respectively, to regulate FBM migration. Here, we show that, in
Prickle1 (C251X/C251X) mice which have reduced Prickle1 expression, the caudal
migration of FBMs is affected. Most FBM neurons do not migrate caudally along the
floor plate. However, some neurons perform limited caudal migration such that the
neurons eventually lie near the pial surface from r4 to anterior r6. FBMs in
Prickle1 (C251X/C251X) mice survive until P0 and form an ectopic nucleus dorsal
to the olivo-cochlear efferents of r4. Ror2, which modifies the PCP pathway in
other systems, is expressed by the migrating mouse FBMs, but is not required for
FBM caudal migration. Our results suggest that, in mice, Prickle1 is part of a
molecular mechanism that regulates FBM caudal migration and separates the FBM and
the olivo-cochlear efferents. This defective caudal migration of FBMs in
Prickle1C251X mutants resembles Vangl2 mutant defects. In contrast to other
developing systems that show similar defects in Prickle1, Wnt5a and Ror2, the
latter two only have limited or no effect on FBM caudal migration.
PMID- 24927919
TI - Phenotypic characterization of craniofacial bone marrow stromal cells: unique
properties of enhanced osteogenesis, cell recruitment, autophagy, and apoptosis
resistance.
AB - Previous studies have shown that craniofacial bone marrow stromal cells (MSCs)
have greater osteogenic potential than appendicular bone MSCs. However, detailed
phenotypic characterization of MSCs from bone marrow in the different sites
remains unclear. To investigate bone repair and regeneration of craniofacial MSCs
and the regulatory mechanisms underlying their unique properties, we compared
osteogenesis, cell recruitment, autophagy, and apoptosis resistance of MSCs from
the mandible (M-MSCs) to those from tibia (T-MSCs) in vitro and in vivo. Compared
with T-MSCs, M-MSCs formed more colonies, possessed stronger proliferation
activity, exhibited higher expression of pluripotency genes such as Oct4 and
Nanog, and held stronger osteogenic differentiation in osteogenic medium.
Moreover, M-MSCs had greater autophagy and anti-apoptotic capacities than T-MSCs
under hypoxia and serum deprivation conditions. M-MSCs were found to be more
capable of recruiting more MSCs than T-MSCs. When these MSCs were transplanted
into mandible critical-sized defects, more bone formed in the M-MSC-treated
animals than in their T-MSC counterparts. Collectively, these findings reveal
that MSCs have unique characteristics and bone-repairing properties from the
mandible as compared with those from tibia, presumably by enhanced osteogenic
potential, cell recruitment, autophagy and apoptosis resistance.
PMID- 24927918
TI - Characterization and evaluation of mesenchymal stem cells derived from human
embryonic stem cells and bone marrow.
AB - Embryonic stem cells (ESCs) and mesenchymal stem cells (MSCs) have been studied
for years as primary cell sources for regenerative biology and medicine. MSCs
have been derived from cell and tissue sources, such as bone marrow (BM), and
more recently from ESCs. This study investigated MSCs derived from BM, H1- and H9
ESC lines in terms of morphology, surface marker and growth factor receptor
expression, proliferative capability, modulation of immune cell growth and
multipotency, in order to evaluate ESC-MSCs as a cell source for potential
regenerative applications. The results showed that ESC-MSCs exhibited spindle
shaped morphology similar to BM-MSCs but of various sizes, and flow cytometric
immunophenotyping revealed expression of characteristic MSC surface markers on
all tested cell lines except H9-derived MSCs. Differences in growth factor
receptor expression were also shown between cell lines. In addition, ESC-MSCs
showed greater capabilities for cell proliferation, and suppression of leukocyte
growth compared to BM-MSCs. Using standard protocols, induction of ESC-MSC
differentiation along the adipogenic, osteogenic, or chondrogenic lineages was
less effective compared to that of BM-MSCs. By adding bone morphogenetic protein
7 (BMP7) into transforming growth factor beta 1 (TGFbeta1)-supplemented induction
medium, chondrogenesis of ESC-MSCs was significantly enhanced. Our findings
suggest that ESC-MSCs and BM-MSCs show differences in their surface marker
profiles and the capacities of proliferation, immunomodulation, and most
importantly multi-lineage differentiation. Using modified chondrogenic medium
with BMP7 and TGFbeta1, H1-MSCs can be effectively induced as BM-MSCs for
chondrogenesis.
PMID- 24927920
TI - Kruppel-like factor 4 expression in osteoblasts represses osteoblast-dependent
osteoclast maturation.
AB - Kruppel-like factor 4 (KLF4) is a zinc-finger-type transcription factor with a
restricted expression pattern during skeletal development. We have previously
shown that KLF4 represses osteoblast mineralization concomitant with a down
regulation in the expression of a number of osteoblastic genes, both in vivo and
in vitro. In addition to the cell-autonomous effects of KLF4 in osteoblasts,
transgenic osteoblastic-KLF4 mice show severe defects in osteoclast maturation.
Wild-type bone-marrow-derived macrophages co-cultured with KLF4-expressing
osteoblasts exhibit reduced formation of multinuclear osteoclasts as compared
with control cultures overexpressing green fluorescent protein. Significantly,
the transduction of Runx2, a master regulator of osteoblastogenesis, together
with KLF4 into osteoblasts restores the reduction in osteoclastogenesis induced
by KLF4 alone. Various extracellular matrix molecules are down-regulated by KLF4
overexpression but this down-regulation can be partially restored by the co
transduction of Runx2. These results suggest that osteoblastic-KLF4 affects
osteoclast maturation by regulating cell-matrix interactions and reinforce the
importance of the regional down-regulation of KLF4 expression in the subset of
osteoblasts for normal skeletal modeling and remodeling.
PMID- 24927922
TI - A critical ACR appropriateness Criteria omission.
PMID- 24927921
TI - Dose perturbation due to catheter materials in high-dose-rate interstitial
(192)Ir brachytherapy.
AB - PURPOSE: Catheters made of either metal or plastic are currently used in
brachytherapy treatment to insert radiative sources into patients. However, the
radiation dose perturbations due to catheter attenuation are not taken into
account in treatment planning. The purpose of this work is to quantify the
effects of catheter composition on dose distribution and study their impacts on
the overall treatment with high-dose-rate (192)Ir sources. METHODS AND MATERIALS:
Dose perturbations are first studied in a simplified case consisting of two
parallel catheters. The catheter wall is either composed of stainless steel or
polyoxymethylene. The attenuations are studied as the distance between the two
catheters is varied from 5 to 30 mm. Dose perturbations resulting from
irradiation are evaluated with a Monte Carlo GEANT4 dose calculation algorithm.
The dose differences are further investigated with seven typical high-dose-rate
prostate treatment plans involving 17 catheters. RESULTS: The dose differences
compared with water in the simplified case reach -4.3 +/- 0.1% for stainless
steel and 1.7 +/- 0.5% for polyoxymethylene at 10 mm above the source when the
catheters are separated by a distance of 5 mm. Dose perturbations are reduced in
real treatment plans because of the contributions of the many dwell positions.
Stainless steel and polyoxymethylene catheters induce on an average a dose
difference of -1.3 +/- 0.3% and 0.1 +/- 0.2%, respectively in the target.
CONCLUSIONS: The dose differences reported in this work do not warrant any
changes in the clinical procedures.
PMID- 24927923
TI - Response to Dr Sabater.
PMID- 24927924
TI - Novel BCR-ABL1 fusion identified by targeted next-generation sequencing in a
patient with an atypical myeloproliferative neoplasm.
AB - An unusual case of a BCR-ABL1-positive myeloproliferative neoplasm is presented
with discordant molecular and cytogenetic characterization at diagnosis. More
extensive molecular characterization of the fusion messenger RNA transcript using
a next-generation sequencing approach identified a novel BCR-calcineurin-binding
protein 1-ABL1 variant, which has not been described previously. This case
highlights the potential utility of next-generation sequencing for a single
target application to resolve rare and unusual tumor genetic variants when
standard molecular diagnostic methods are inconclusive. Although the relationship
of this novel BCR-ABL1 fusion to the atypical pathologic features and initially
suboptimal therapeutic response profile remains speculative, this case indicates
that accurate molecular characterization of rare variants has diagnostic and
potentially prognostic relevance.
PMID- 24927925
TI - Prediction of adaptation difficulties by country of origin, cumulate psychosocial
stressors and attitude toward integrating: a Swedish study of first-generation
immigrants from Somalia, Vietnam and China.
AB - BACKGROUND: Different types of accumulated stress have been found to have
negative consequences for immigrants' capacity to adapt to the new environment.
It remains unclear which factors have the greatest influence. AIMS: The study
investigated whether immigrants' experience of great difficulty in adapting to a
new country could best be explained by (1) country of origin, (2) exposure to
accumulated stressors before arrival or (3) after arrival in the new country
and/or (4) reserved attitude toward integrating into the new society. METHODS:
The 119 first-generation immigrants from Somalia, Vietnam and China, living in
Malmo, Sweden, were interviewed in a standardized manner. RESULTS: Experiencing
great difficulty in adapting to Sweden was independent of length of residence,
but significantly related to all four influences, studied one at a time. Country
of origin was also related to stressors and attitude. When the effects of the
other influences were mutually controlled for, only exposure to accumulated
stressors in Sweden (and especially experiencing
discrimination/xenophobia/racism) accounted for great adaptation difficulty.
Stressors in Sweden had a greater effect if the immigrant had been exposed to
stressors earlier. CONCLUSIONS: Immigrants' long-term experiences of great
difficulty in adapting to a new country were explained primarily by exposure to
accumulated stressors while moving to and living in the new country, rather than
by their backgrounds or attitudes toward integrating. This suggests promoting
strategies to avoid discrimination and other stressors in the host country.
PMID- 24927926
TI - Results of a pragmatic effectiveness-implementation hybrid trial of the Family
Check-up in community mental health agencies.
AB - This study reports the results of a pragmatic effectiveness-implementation hybrid
trial of the Family Check-Up (FCU) conducted in three community mental health
agencies with 40 participating therapists. Seventy-one families with children
between 5 and 17 years of age participated. Intervention fidelity and level of
adoption were acceptable; families reported high service satisfaction; and
therapists reported high acceptability. Families in the FCU condition experienced
significantly reduced youth conduct problems in comparison to usual care and
completion of the FCU resulted in larger effects. This study provides promising
evidence that implementing the FCU in community mental health agencies has the
potential to improve youth behavior outcomes.
PMID- 24927927
TI - Dopamine D(2)/D(3) receptor binding of [(123)I]epidepride in risperidone
treatment chronic MK-801-induced rat schizophrenia model using nanoSPECT/CT
neuroimaging.
AB - INTRODUCTION: Epidepride is a compound with an affinity in picomolar range for
D2/D3 receptors. The aim of this work was designed to investigate the diagnostic
possibility of [(123)I]epidepride imaging platform for risperidone-treatment
chronic MK-801-induced rat schizophrenia model. METHODS: Rats received repeated
administration of MK-801 (dissolved in saline, i.p., 0.3 mg/kg/day) or saline for
4 weeks. After 1-week administration of MK-801, rats in MK-801+risperidone group
received risperidone (0.5 mg/kg/day) intraperitoneally 15 min prior to MK-801
administration for the rest of 3-week treatment. We obtained serial
[(123)I]epidepride neuroimages from nanoSPECT/CT and evaluated the alteration of
specific binding in striatum and midbrain. RESULTS: Risperidone reversed chronic
MK-801-induced decrease in social interaction duration. IHC and ELISA analysis
showed consistent results that chronic MK-801 treatment significantly decreased
striatal and midbrain D2R expression but repeated risperidone administration
reversed the effect of MK-801 treatment. In addition, [(123)I]epidepride
nanoSPECT/CT neuroimaging revealed that low specific [(123)I]epidepride binding
ratios caused by MK-801 in striatum and midbrain were statistically alleviated
after 1- and 2-week risperidone administration, respectively. CONCLUSIONS: We
established a rat schizophrenia model by chronic MK-801 administration for 4
weeks. [(123)I]Epidepride nanoSPECT neuroimaging can trace the progressive
alteration of D2R expression in striatum and midbrain caused by long-lasting MK
801 treatment. Besides diagnosing illness stage of disease, [(123)I]epidepride
can be a useful tool to evaluate therapeutic effects of antipsychotic drug in
chronic MK-801-induced rat schizophrenia model.
PMID- 24927928
TI - Risk-adjusted outcome prediction with initial post-cardiac arrest illness
severity: implications for cardiac arrest survivors being considered for early
invasive strategy.
AB - BACKGROUND: Early CATH is recommended for cardiac arrest survivors with STEMI or
suspicion for coronary ischemia. Comatose patients are at risk of death from
neurologic injury irrespective of CATH, but post-procedural mortality data do not
distinguish between causes of death. Pittsburgh Post Cardiac Arrest Category
(PCAC) is a validated, early post-cardiac arrest illness severity score based on
initial cardiopulmonary dysfunction and neurologic examination. We evaluated the
association between early coronary angiography (CATH) and patient outcome after
adjusting for initial post-cardiac arrest illness severity. METHODS:
Retrospective study of a prospective cardiac arrest database at a single site. We
included 1011 adult survivors of non-traumatic in-hospital or out-of-hospital
cardiac arrest from 2005 to 2012, then stratified by PCAC and immediate CATH.
Logistic regression tested the association between immediate CATH and patient
outcomes, adjusting for PCAC. RESULTS: Overall, 273 (27%) received immediate
CATH. Patients with immediate CATH had higher proportions of good outcome in all
but the most severe stratum of illness severity (11% vs. 6%; p=0.11). The primary
mode of death was neurologic for all but the least severe stratum. Adjusting for
PCAC, immediate CATH was associated with favorable discharge disposition (OR
1.92; 95%CI 1.20, 3.07; p=0.006) and modified Rankin scale (OR 1.95; 95%CI 1.12,
3.38; p=0.02). CONCLUSIONS: The benefit of CATH is less clear in the most severe
stratum of illness, in which the high risk of mortality is primarily from
neurologic causes. PCAC is a risk-stratification tool that provides pre
procedural risk-adjusted outcome prediction for post-cardiac arrest patients
being evaluated for immediate CATH.
PMID- 24927929
TI - ESHRE task force on ethics and Law22: preimplantation genetic diagnosis.
AB - This Task Force document discusses some relatively unexplored ethical issues
involved in preimplantation genetic diagnosis (PGD). The document starts from the
wide consensus that PGD is ethically acceptable if aimed at helping at-risk
couples to avoid having a child with a serious disorder. However, if understood
as a limit to acceptable indications for PGD, this 'medical model' may turn out
too restrictive. The document discusses a range of possible requests for PGD that
for different reasons fall outwith the accepted model and argues that instead of
rejecting those requests out of hand, they need to be independently assessed in
the light of ethical criteria. Whereas, for instance, there is no good reason for
rejecting PGD in order to avoid health problems in a third generation (where the
second generation would be healthy but faced with burdensome reproductive choices
if wanting to have children), using PGD to make sure that one's child will have
the same disorder or handicap as its parents, is ethically unacceptable.
PMID- 24927930
TI - Resident involvement in postoperative conversations: an underused opportunity.
AB - BACKGROUND: Because of established attending-patient and family relationships and
time constraints, residents are often excluded from the immediate postoperative
conversation with family. Interpersonal and communication skills are a core
competency, and the postoperative conversation is an opportunity to develop these
skills. Our objective is to assess attitudes, experience, and comfort regarding
resident participation during postoperative conversations with families.
MATERIALS AND METHODS: Residents and attending surgeons in an academic surgery
center were surveyed regarding resident involvement in the postoperative
conversation with families. Paper surveys were administered anonymously.
Nonparametric statistics compared responses. RESULTS: There were 45 survey
respondents (23 residents, 22 attendings). All residents rated postoperative
conversations with families, as "important" or "very important". Residents
reported being "comfortable" or "very comfortable" with postoperative
conversations. However, on average, residents reported fewer than 10
postoperative conversation experiences per year. Feedback was received by <30% on
postoperative communication skills, but 88% wanted feedback. Most attendings
reported it is "important" or "very important" for residents to communicate well
with families during postoperative conversations, but rated residents'
performance as significantly lower than the residents' self-assessments (P <
0.001). Attendings on average were only "somewhat comfortable" or "moderately
comfortable" with residents conducting postoperative conversations with families,
and only 68% reported allowing residents to do so. When bad news was involved,
only 27% allowed resident participation. Most attendings (86%) believed residents
need more opportunities with postoperative conversations. CONCLUSIONS: Although
most residents reported being comfortable with postoperative conversations, these
survey results indicate that they have few opportunities. Developing a workshop
on communication skills focused on the postoperative conversations with families
may be beneficial.
PMID- 24927931
TI - Cortical and Clonal Contribution of Tbr2 Expressing Progenitors in the Developing
Mouse Brain.
AB - The individual contribution of different progenitor subtypes towards the mature
rodent cerebral cortex is not fully understood. Intermediate progenitor cells
(IPCs) are key to understanding the regulation of neuronal number during cortical
development and evolution, yet their exact contribution is much debated.
Intermediate progenitors in the cortical subventricular zone are defined by
expression of T-box brain-2 (Tbr2). In this study we demonstrate by using the
Tbr2(Cre) mouse line and state-of-the-art cell lineage labeling techniques, that
IPC derived cells contribute substantial proportions 67.5% of glutamatergic but
not GABAergic or astrocytic cells to all cortical layers including the earliest
generated subplate zone. We also describe the laminar dispersion of clonally
derived cells from IPCs using a recently described clonal analysis tool (CLoNe)
and show that pair-generated cells in different layers cluster closer (142.1 +/-
76.8 MUm) than unrelated cells (294.9 +/- 105.4 MUm). The clonal dispersion from
individual Tbr2 positive intermediate progenitors contributes to increasing the
cortical surface. Our study also describes extracortical contributions from Tbr2+
progenitors to the lateral olfactory tract and ventromedial hypothalamic nucleus.
PMID- 24927932
TI - Roles of MAPKAPK-2 and HSP27 in the reduction of renal ischemia-reperfusion
injury by ischemic postconditioning in rats.
AB - PURPOSE: Ischemic postconditioning is a procedure during which intermittent
reperfusions are performed in the early phase of reperfusion to protect organs
from ischemia/reperfusion injury. And in this study, we mainly investigated the
injury-alleviative role of mitogen-activated protein kinase-activating protein
kinase-2 (MAPKAPK-2) and heat shock protein 27 (HSP27) in renal ischemic
reperfusion injury during the procedure of ischemic postconditioning. METHODS:
Sprague-Dawley rats were randomly divided into four groups. The injury models
were prepared by clipping the left renal pedicle of rats after ligating the right
renal pedicle for 60 min. In the ischemic postconditioning group, sequential
reperfusions were done for 10 s and another ischemia for 10 s for six cycles
after kidney ischemia for 60 min. In addition, the specific inhibitor SB203580
was injected through caudal vein before ischemia. Serum creatinine, blood urea
nitrogen and the expression of HSP27 and MAPKAPK-2 were detected 1, 3, 6 and 24 h
later after reperfusion. Furthermore, phosphorylation of HSP27 and MAPKAPK-2
protein contents, histological changes and apoptosis were compared 24 h later
after reperfusion. RESULTS: Our data showed that ischemic postconditioning
attenuated the renal dysfunction and cell apoptosis induced by I/R and increased
phosphorylation of MAPKAPK-2 and HSP27. The results indicated that ischemic
postconditioning decreased apoptosis and improved renal function. CONCLUSIONS:
Taken together, it is suggested that the renal protective effect may be related
to the levels of HSP27 and MAPKAPK-2 activation.
PMID- 24927933
TI - Environmental and stressful factors affecting the occurrence of kidney stones and
the kidney colic.
AB - The first renal disease described from Hippocrates is nephrolithiasis with renal
colic, which is the pain of stone passage and is also a common renal problem with
easily recognizable characteristics. There has been much written about dietary
factors, which have unequivocally been proved to play an important role in the
formation of kidney stones. In this regard, it is of interest that the
contribution of factors such as stressful events, life style, or occupation in
the formation of kidney stones has not been well studied. This review examines
the clinical evidence of the stressful events and other environmental factors
affecting the occurrence of kidney stones.
PMID- 24927934
TI - Posterior instrumentation after a failed balloon kyphoplasty in the thoracolumbar
junction: a case report.
AB - INTRODUCTION: Balloon kyphoplasty provides symptomatic relief of vertebral
compression fractures in elderly patients. Peri-operative complications are rare;
however, they can potentially be devastating. To the best of our knowledge,
complications during balloon kyphoplasty have not been described previously in
published case reports. CASE PRESENTATION: A 66-year-old man who was a farmer of
Caucasian origin presented with a 6-month history of back pain after a fall. We
discovered a significant T12 wedge compression fracture, so we performed a T12
balloon kyphoplasty. Approximately 2 weeks after being discharged from our
hospital, the patient presented with increasing back pain. He presented for a
second time with excruciating pain on the left side of his thoracolumbar region,
so he was admitted to our ward. X-rays did not show any further fractures or
compromise, but magnetic resonance imaging showed extensive edema in the T11 and
L1 vertebral bodies as well as fluid tracking from the T11-T12 disc into the
vertebral body. Nine days after being discharged, the patient presented to the
outpatient clinic with severe back pain. Magnetic resonance imaging at that visit
showed edema at the levels above and below the T11/T12 disc. He was put into a
brace and given 300mg of morphine, which did not provide any pain resolution.
Posterior instrumentation from T9 to L2 (pedicle fixation of T9-T10 as well as L1
L2, rods in between and a crosslink above T11-T12) was performed as the final
treatment, and the patient was discharged uneventfully. CONCLUSION: Patients
presenting with residual pain over a previous balloon kyphoplasty level should
raise high suspicion for a fracture or complication involving the levels above
and/or below the balloon kyphoplasty. The best way to treat fractures that
develop after a failed balloon kyphoplasty is to instrument and fuse posteriorly.
Our present case report shows that a high level of suspicion for possible new
fractures should be maintained for all similar cases.
PMID- 24927935
TI - The identification of wadB, a new glycosyltransferase gene, confirms the branched
structure and the role in virulence of the lipopolysaccharide core of Brucella
abortus.
AB - Brucellosis is a worldwide extended zoonosis caused by Brucella spp. These gram
negative bacteria are not readily detected by innate immunity, a virulence
related property largely linked to their surface lipopolysaccharide (LPS). The
role of the LPS lipid A and O-polysaccharide in virulence is well known.
Moreover, mutation of the glycosyltransferase gene wadC of Brucella abortus,
although not affecting O-polysaccharide assembly onto the lipid-A core section
causes a core oligosaccharide defect that increases recognition by innate
immunity. Here, we report on a second gene (wadB) encoding a LPS core
glycosyltransferase not involved in the assembly of the O-polysaccharide-linked
core section. As compared to wild-type B. abortus, a wadB mutant was sensitive to
bactericidal peptides and non-immune serum, and was attenuated in mice and
dendritic cells. These observations show that as WadC, WadB is also involved in
the assembly of a branch of Brucella LPS core and support the concept that this
LPS section is a virulence-related structure.
PMID- 24927936
TI - Cardiopathogenic mediators generated by GATA4 signaling upon co-activation with
endothelin-1 and Trypanosoma cruzi infection.
AB - Trypanosoma cruzi (Tc), the etiological agent of Chagas disease, triggers
multiple responses in the myocardium, a central organ of infection and pathology
in the host. Parasite-driven induction of diverse regulators of cardiovascular
function, including the vasoconstrictor endothelin-1 (ET-1), the inducible form
of nitric oxide synthase (iNOS) and the B-type natriuretic peptide (BNP), has
been linked to the development of severe chagasic cardiomyopathy. Our current
goal was to analyze the participation of the zinc finger transcription factor
GATA4, critically implicated in pathological cardiac hypertrophic response, in
the generation of key mediators involved in the pathogenesis of Tc-elicited heart
dysfunction. In this study, we found that the combined effects of Tc and ET-1 on
atrial myocytes promoted the protein expression, phosphorylation and DNA-binding
activity of GATA4, leading to augmented protein levels of iNOS and increased
nitric oxide release. Moreover, Tc- and ET-1-co-activation of cardiomyocytes
resulted in enhanced GATA4-dependent secretion of BNP. Accordingly, mice with
chronic chagasic cardiomyopathy showed increased expression of GATA4, iNOS and
BNP at inflammatory lesions in cardiac muscle. Our findings support a role for
the GATA4 signaling pathway in the myocardial production of pathogenic mediators
associated with Chagas heart disease, and may help define novel therapeutic
targets.
PMID- 24927937
TI - Acceptance of controlled drinking among treatment specialists of alcohol
dependence in Japan.
AB - AIMS: This study evaluated the acceptance of controlled drinking (CD) goals among
physicians specializing in the treatment of alcohol dependence (AD) in Japan.
METHODS: A mailed questionnaire survey was sent to physician members of the
Japanese Society of Alcohol-Related Problems (n = 232) who were specialists in
the treatment of AD in Japan. The evaluated items included the acceptance of CD
goals, the definition of CD, the reasons for accepting or rejecting CD and the
patient factors used to make treatment-goal decisions. RESULTS: CD as an interim
goal on the way toward abstinence was accepted by about two-thirds of the
specialists, while CD as a final goal was accepted by about one-third of
specialists. Specialists supported harm-free drinking and a satisfactory quality
of life, rather than alcohol consumption limits, as the definition of CD. Of
note, a significantly higher percentage of specialists who rejected CD, compared
with those who accepted CD, supported the disease model of AD as grounds for
their decision. Specialists who accepted CD relied mostly on factors such as the
severity of dependence, attitude toward CD and abstinence, and the level of
psychological dependence and social stability, when making treatment-goal
decisions. CONCLUSION: CD was accepted as an interim goal by two-thirds and as a
final goal by one-third of Japanese physician specialists. Despite differences in
drinking cultures and treatment circumstances, great similarities were found
between this study and those conducted in Europe and North America with regard to
the reasoning of treatment providers and the use of patient characteristics to
make treatment-goal decisions.
PMID- 24927939
TI - Cancer survivors face substantial financial burdens, US report says.
PMID- 24927938
TI - Combination treatment with doxorubicin and gamitrinib synergistically augments
anticancer activity through enhanced activation of Bim.
AB - BACKGROUND: A common approach to cancer therapy in clinical practice is the
combination of several drugs to boost the anticancer activity of available drugs
while suppressing their unwanted side effects. In this regard, we examined the
efficacy of combination treatment with the widely-used genotoxic drug doxorubicin
and the mitochondriotoxic Hsp90 inhibitor gamitrinib to exploit disparate stress
signaling pathways for cancer therapy. METHODS: The cytotoxicity of the drugs as
single agents or in combination against several cancer cell types was analyzed by
MTT assay and the synergism of the drug combination was evaluated by calculating
the combination index. To understand the molecular mechanism of the drug
synergism, stress signaling pathways were analyzed after drug combination. Two
xenograft models with breast and prostate cancer cells were used to evaluate
anticancer activity of the drug combination in vivo. Cardiotoxicity was assessed
by tissue histology and serum creatine phosphokinase concentration. RESULTS:
Gamitrinib sensitized various human cancer cells to doxorubicin treatment, and
combination treatment with the two drugs synergistically increased apoptosis. The
cytotoxicity of the drug combination involved activation and mitochondrial
accumulation of the proapoptotic Bcl-2 family member Bim. Activation of Bim was
associated with increased expression of the proapoptotic transcription factor
C/EBP-homologous protein and enhanced activation of the stress kinase c-Jun N
terminal kinase. Combined drug treatment with doxorubicin and gamitrinib
dramatically reduced in vivo tumor growth in prostate and breast xenograft models
without increasing cardiotoxicity. CONCLUSIONS: The drug combination showed
synergistic anticancer activities toward various cancer cells without aggravating
the cardiotoxic side effects of doxorubicin, suggesting that the full therapeutic
potential of doxorubicin can be unleashed through combination with gamitrinib.
PMID- 24927940
TI - An open-pollinated design for mapping imprinting genes in natural populations.
AB - With the increasing recognition of its role in trait and disease development, it
is crucial to account for genetic imprinting to illustrate the genetic
architecture of complex traits. Genetic mapping can be innovated to test and
estimate effects of genetic imprinting in a segregating population derived from
experimental crosses. Here, we describe and assess a design for imprinting
detection in natural plant populations. This design is to sample maternal plants
at random from a natural population and collect open-pollinated (OP) seeds
randomly from each maternal plant and germinate them into seedlings. A two-stage
hierarchical platform is constructed to jointly analyze maternal and OP progeny
markers. Through tracing the segregation and transmission of alleles from the
parental to progeny generation, this platform allows parent-of-origin-dependent
gene expression to be discerned, providing an avenue to estimate the effect of
imprinting genes on a quantitative trait. The design is derived to estimate
imprinting effects expressed at the haplotype level. Its usefulness and
utilization were validated through computer simulation. This OP-based design
provides a tool to detect the genomic distribution and pattern of imprinting
genes as an important component of heritable variation that is neglected in
traditional genetic studies of complex traits.
PMID- 24927941
TI - Acceptability of self-collection sampling for HPV-DNA testing in low-resource
settings: a mixed methods approach.
AB - BACKGROUND: Vaginal self-sampling with HPV-DNA tests is a promising primary
screening method for cervical cancer. However, women's experiences, concerns and
the acceptability of such tests in low-resource settings remain unknown. METHODS:
In India, Nicaragua, and Uganda, a mixed-method design was used to collect data
from surveys (N = 3,863), qualitative interviews (N = 72; 20 providers and 52
women) and focus groups (N = 30 women) on women's and providers' experiences with
self-sampling, women's opinions of sampling at home, and their future needs.
RESULTS: Among surveyed women, 90% provided a self- collected sample. Of these,
75% reported it was easy, although 52% were initially concerned about hurting
themselves and 24% were worried about not getting a good sample. Most surveyed
women preferred self-sampling (78%). However it was not clear if they responded
to the privacy of self-sampling or the convenience of avoiding a pelvic
examination, or both. In follow-up interviews, most women reported that they
didn't mind self-sampling, but many preferred to have a provider collect the
vaginal sample. Most women also preferred clinic-based screening (as opposed to
home-based self-sampling), because the sample could be collected by a provider,
women could receive treatment if needed, and the clinic was sanitary and provided
privacy. Self-sampling acceptability was higher when providers prepared women
through education, allowed women to examine the collection brush, and were
present during the self-collection process. Among survey respondents, aids that
would facilitate self-sampling in the future were: staff help (53%), additional
images in the illustrated instructions (31%), and a chance to practice beforehand
with a doll/model (26%). CONCLUSION: Self-and vaginal-sampling are widely
acceptable among women in low-resource settings. Providers have a unique
opportunity to educate and prepare women for self-sampling and be flexible in
accommodating women's preference for self-sampling.
PMID- 24927942
TI - A simple and efficient synthesis of fused morpholine pyrrolidines/piperdines with
potential insecticidal activities.
AB - A simple and efficient synthesis of fused morpholine pyrrolidine/piperdine core
structures was accomplished via a Petasis-borono Mannich reaction of cyclic amino
alcohols, glyoxal and arylboronic acids with moderate to good yields. The
bioassay data showed that the synthesized compounds displayed selective
insecticidal activities against armyworm and root-knot nematode.
PMID- 24927943
TI - Reinstating salience effects over time: the influence of stimulus changes on
visual selection behavior over a sequence of eye movements.
AB - Recently, we showed that salience affects initial saccades only in a static
stimulus environment; subsequent saccades were unaffected by salience but,
instead, were directed in line with task requirements (Siebold, van Zoest, &
Donk, PLoS ONE 6(9): e23552, 2011). Yet multiple studies have shown that people
tend to fixate salient regions more often than nonsalient ones when they are
looking at images--in particular, when salience is defined by dynamic changes.
The goal of the present study was to investigate how oculomotor selection beyond
an initial saccade is affected by salience as derived from changing, as opposed
to static, stimuli. Observers were presented with displays containing two
fixation dots, one target, one distractor, and multiple background elements. They
were instructed to fixate on one of the fixation dots and make a speeded eye
movement to the target, either directly or preceded by an initial eye movement to
the other fixation dot. In Experiment 1, target and distractor differed in
orientation contrast relative to the background, such that one was more salient
than the other, whereas in Experiments 2 and 3, the orientation contrast between
the two elements was identical. Here, salience was implemented by a continuous
luminance flicker or by a difference in luminance contrast, respectively, which
was presented either simultaneously with display onset or contingent upon the
first saccade. The results showed that in all experiments, initial saccades were
strongly guided by salience, whereas second saccades were consistently goal
directed if the salience manipulation was present from display onset. However, if
the flicker or luminance contrast was presented contingent upon the initial
saccade, salience effects were reinstated. We argue that salience effects are
short-lived but can be reinstated if new information is presented, even when this
occurs during an eye movement.
PMID- 24927944
TI - Gaze direction and the extraction of egocentric distance.
AB - The angular declination of a target with respect to eye level is known to be an
important cue to egocentric distance when objects are viewed or can be assumed to
be resting on the ground. When targets are fixated, angular declination and the
direction of the gaze with respect to eye level have the same objective value.
However, any situation that limits the time available to shift gaze could leave
to-be-localized objects outside the fovea, and, in these cases, the objective
values would differ. Nevertheless, angular declination and gaze declination are
often conflated, and the role for retinal eccentricity in egocentric distance
judgments is unknown. We report two experiments demonstrating that gaze
declination is sufficient to support judgments of distance, even when
extraretinal signals are all that are provided by the stimulus and task
environment. Additional experiments showed no accuracy costs for extrafoveally
viewed targets and no systematic impact of foveal or peripheral biases, although
a drop in precision was observed for the most retinally eccentric targets. The
results demonstrate the remarkable utility of target direction, relative to eye
level, for judging distance (signaled by angular declination and/or gaze
declination) and are consonant with the idea that detection of the target is
sufficient to capitalize on the angular declination of floor-level targets
(regardless of the direction of gaze).
PMID- 24927945
TI - Slope estimation and viewing distance of the observer.
AB - The overestimation of geographical slant is one of the most sizable visual
illusions. However, in some cases estimates of close-by slopes within the range
of the observer's personal space have been found to be rather accurate. We
propose that the seemingly diverse findings can be reconciled when taking the
viewing distance of the observer into account. The latter involves the distance
of the observer from the slope (personal space, action space, and vista space)
and also the eye-point relative to the slope. We separated these factors and
compared outdoor judgments to those collected with a three-dimensional (3D) model
of natural terrain, which was within arm's reach of the observer. Slope was
overestimated in the outdoors at viewing distances between 2 m and 138 m. The 3D
model reproduced the errors in monocular viewing; however, performance was
accurate with stereoscopic viewing. We conclude that accurate slant perception
breaks down as soon as the situation exits personal space, be it physically or be
it by closing one eye.
PMID- 24927946
TI - The impact of immigration and visible minority status on psychosis symptom
profile.
AB - PURPOSE: Immigrants have heightened risks of psychotic disorders, and it is
proposed that migration influences symptom profiles. The purpose of this study
was to investigate if either migration experience and/or visible minority status
affected symptom profiles, using a cross-culturally validated five-factor model
of the Positive and Negative Syndrome Scale (PANSS), in patients with broadly
defined psychotic disorders. METHODS: PANSS was assessed in a large catchment
area based sample of patients with psychotic disorders verified with the
Structured Clinical Interview for DSM-IV (n = 1,081). Symptom profiles based on
Wallwork et al. five-factor model were compared for Norwegians (73 %), white
immigrants (10.5 %), and visible minority groups (16.5 %). RESULTS: Visible
minorities were significantly younger, had less education, more often a
schizophrenia diagnosis and higher PANSS positive, negative and
disorganized/concrete factor scores than Norwegians and white immigrants. After
controlling for confounders only the items "Delusions" and "Difficulty in
abstract thinking" differed between groups. Multivariate analyses indicated that
these items were not associated with immigration per se, but rather belonging to
a visible minority. CONCLUSION: We found mostly similarities in psychotic
symptoms between immigrants and Norwegians when using a cross-culturally
validated five-factor model of the PANSS. Immigration did not directly influence
psychotic symptom profiles but visible minority groups had higher levels of
"Delusions" and "Difficulty in abstract thinking", both symptoms that are
partially context dependent.
PMID- 24927947
TI - How equitable are psychological therapy services in South East London now? A
comparison of referrals to a new psychological therapy service with participants
in a psychiatric morbidity survey in the same London borough.
AB - PURPOSE: Psychological therapy services are sometimes characterised as being
small and inequitable, with an over-representation of white middle class women.
The 'Improving Access to Psychological Therapies (IAPT)' initiative is a
programme in England that attempts to make evidence-based therapies accessible to
more people more equitably. The aim of this study is to assess whether an IAPT
service is delivering an equitable service a London borough. Patients using
services at the Southwark IAPT service (n = 4,781) were compared with a sub-group
of participants in the South East London Community Health study (SELCOH) with
diagnosable mental health problems and who were also resident in Southwark (n =
196). METHODS: We compared Southwark IAPT patients and SELCOH participants on
equity criteria of age, gender, ethnicity, occupational status and benefits
status. To investigate if referral pathways influenced equity, patients referred
by their general practitioner (GP pathway) (n = 3,738) or who self-referred (self
referral pathway) (n = 482) were compared with SELCOH participants. RESULTS:
Southwark IAPT patients significantly differed from SELCOH participants on all
our equity criteria and similar differences were found with GP pathway patients.
However, self-referrals did not differ from the SELCOH group on age, gender,
ethnicity and benefit status. CONCLUSIONS: When compared to a community sample
with diagnosable mental disorders, health disparities were found with the overall
Southwark IAPT service and with GP pathway patients. Although unemployed people
did access IAPT, fewer disparities were found with the self-referral pathway
patients, suggesting that the IAPT self-referral pathway may be important in
reducing inequitable access to services.
PMID- 24927948
TI - Patient, psychiatrist and family carer experiences of community treatment orders:
qualitative study.
AB - PURPOSE: Current literature on personal experiences of community treatment orders
(CTO) is limited. This paper examines participants' experiences of the mechanisms
via which the CTO was designed to work: the conditions that form part of the
order and the power of recall. We also report an emergent dimension, legal clout
and participants' impressions of CTO effectiveness. This paper will contribute to
a fuller picture of how the law is implemented and how CTOs operate in practice.
METHODS: In-depth qualitative interviews were conducted with a purposive sample
of 26 patients, 25 psychiatrists and 24 family carers about their experiences and
views of CTOs. Data were analysed using the constant comparative method. RESULTS:
All three sample groups perceived the chief purpose of CTOs to be medication
enforcement and that its legal clout was central to achieving medication
adherence. Understanding of how the inbuilt mechanisms of the CTO work varied
considerably: participants expressed uncertainty regarding the enforceability of
discretionary conditions and the criteria for recall. We found mixed evidence
regarding whether recall simplified responses to relapse or risk. The range of
experiences and views identified within each group suggests that there is no
single definitive experience or view of CTOs. CONCLUSIONS: The (perceived) focus
of the CTO on medication adherence combined with the variations in understanding
within and across groups might not only have consequences for how CTOs are viewed
and subsequently experienced, but also for broader goals in patient care and
patient and carer involvement.
PMID- 24927951
TI - Prevalence of portal vein thrombosis in Egyptian patients with Budd-Chiari
syndrome.
PMID- 24927949
TI - Genomic and phenotypic attributes of novel salinivibrios from stromatolites,
sediment and water from a high altitude lake.
AB - BACKGROUND: Salinivibrios are moderately halophilic bacteria found in salted
meats, brines and hypersaline environments. We obtained three novel conspecific
Salinivibrio strains closely related to S. costicola, from Socompa Lake, a high
altitude hypersaline Andean lake (approx. 3,570 meters above the sea level).
RESULTS: The three novel Salinivibrio spp. were extremely resistant to arsenic
(up to 200 mM HAsO42-), NaCl (up to 15%), and UV-B radiation (19 KJ/m2,
corresponding to 240 minutes of exposure) by means of phenotypic tests. Our
subsequent draft genome ionsequencing and RAST-based genome annotation revealed
the presence of genes related to arsenic, NaCl, and UV radiation resistance. The
three novel Salinivibrio genomes also had the xanthorhodopsin gene cluster
phylogenetically related to Marinobacter and Spiribacter. The genomic taxonomy
analysis, including multilocus sequence analysis, average amino acid identity,
and genome-to-genome distance revealed that the three novel strains belong to a
new Salinivibrio species. CONCLUSIONS: Arsenic resistance genes, genes involved
in DNA repair, resistance to extreme environmental conditions and the possible
light-based energy production, may represent important attributes of the novel
salinivibrios, allowing these microbes to thrive in the Socompa Lake.
PMID- 24927950
TI - Acid-fast bacilli culture positivity and drug resistance in abdominal
tuberculosis in Mumbai, India.
AB - BACKGROUND AND AIM: Culture positivity for Mycobacterium tuberculosis complex
(MTB) in abdominal tuberculosis (TB) using Lowenstein Jensen medium and Bactec
system varies from 25 % to 36 %. Data on the prevalence of drug resistance in
primary abdominal TB is scant. Our aim was to study the acid-fast bacilli (AFB)
culture positivity rate in primary abdominal TB using Bactec Mycobacterial Growth
Indicator Tubes (MGIT) system and the prevalence of drug resistance in these
patients. METHOD: Records of patients with abdominal TB (diagnosed on clinical
features, endoscopy, histology, microbiology) seen during the period 2008 to 2013
were retrieved from the Gastroenterology and Microbiology departments. Patients
with extra-abdominal TB (five pulmonary, two nodal), adnexal (one), and HIV (one)
were excluded from analysis. RESULTS: Of 61 patients, 31 (50.8 %) had a positive
AFB culture. In the 30 culture-negative patients, histology showed non-caseating
granulomas in 25 patients. Drug sensitivity pattern was analyzed in 18 patients;
resistance was detected in eight (14.3 % of all patients and 44.4 % of patients
in whom drug sensitivity was done) including three (5.4 % of all subjects and
16.6 % in whom drug sensitivity was available) who were multidrug-resistant.
CONCLUSIONS: The rate of AFB culture positivity in primary abdominal TB was 50.8
% using Bactec MGIT. Likelihood of drug resistance was seen in 14.3 %, of whom
5.4 % were multidrug-resistant.
PMID- 24927952
TI - Spectrum of small bowel perforation in a tertiary care hospital of south India:
predictors of morbidity and mortality.
PMID- 24927953
TI - Use of oral gadobenate dimeglumine to visualise the oesophagus during magnetic
resonance angiography in patients with atrial fibrillation prior to catheter
ablation.
AB - BACKGROUND: Atrio-oesophageal fistula was first reported as a fatal complication
of surgical endocardial and percutaneous endocardial radiofrequency ablation for
atrial fibrillation, with an incidence after catheter ablation between 0.03% and
0.5%. Magnetic resonance angiography (MRA) was usually performed to obtain pre
procedural 3D images, used to merging into an electro-anatomical map, guiding
step-by-step ablation strategy of AF. Our aim was to find an easy, safe and cost
effective way to enhance the oesophagus during MRA. METHODS: In 105 consecutive
patients, a right-left phase encoding, free breathing, 3D T1 MRA sequence was
performed in the axial plane, >24 hours before catheter ablation, using an
intravenous injection of gadobenate dimeglumine contrast medium. The oesophagus
was enhanced using an oral gel solution of 0.7 mL gadobenate dimeglumine contrast
medium mixed with approximately 40 mg thickened water gel, which was swallowed by
the patients on the scanning table, immediately before the MRA sequence
acquisition. RESULTS: The visualisation of the oesophagus was obtained in 104/105
patients and images were successfully merged, as left atrium and pulmonary veins,
into an electro-anatomical map, during percutaneous endocardial radiofrequency
ablation. All patients tolerated the study protocol and no immediate or late
complication was observed with the oral contrast agent administration. The free
breathing MRA sequence used in our protocol took 7 seconds longer than MRA breath
hold conventional sequence. CONCLUSION: Oesophagus visualization with oral
gadobenate dimeglumine is feasible for integration of oesophagus anatomy images
into the electro-anatomical map during AF ablation, without undesirable side
effects and without significantly increasing cost or examination time.
PMID- 24927954
TI - Predictors of burnout: results from a prospective community study.
AB - The possible link between work strain and subsequent mental disorders has
attracted public attention in many European countries. Burnout has become a
favored concept within this context. Most burnout research has concentrated on
various professional groups and less so on ordinary community samples. We
analyzed the data collected from a 30-year community sample during seven
measuring occasions, beginning in 1978. In the last assessment (2008), we
included for the first time the Maslach Burnout Inventory (MBI). Making the
diagnosis of a lifetime mental disorder a predictor for burnout required us to
compile the cumulative prevalence rate over all seven occasions. We also
evaluated various psycho-social predictors of burnout over the life cycle of our
sample. Concurrent associations of the MBI with subscales from the SCL-90-R were
also investigated. The relationship of burnout with several SCL-90-R subscales
demonstrated that, in all dimensions, burnout is associated with significant
psychopathology. Persons with a lifetime mood disorder, and especially those with
a combination of mood and anxiety disorders, had a higher risk for subsequent
burnout. Various partnership problems were another predictor for burnout. In
conclusion, the role of mental disorder as an occupational illness remains
controversial. Various forms of such disorders as well as some psycho-social
predictors can predispose to burnout. By contrast, work-related predictors appear
to be less important.
PMID- 24927955
TI - Effects of a microRNA binding site polymorphism in SLC19A1 on methotrexate
concentrations in Chinese children with acute lymphoblastic leukemia.
AB - MicroRNAs (miRNAs) are a class of short non-coding RNA that can specially bind to
the 3'-untranslated region of target mRNAs and regulate gene expression at the
posttranscriptional level. This study investigated the effects of a miRNA binding
site polymorphism (rs1051296) in solute carrier family 19, member 1 (SLC19A1) on
serum methotrexate (MTX) concentrations in Chinese children with acute
lymphoblastic leukemia (ALL). Genotyping for SLC19A1 rs1051296 G>T in 131
children with ALL was performed using the Sequenom MassArray system. A total of
131 patients received high-dose MTX treatment, and serum MTX concentrations were
measured by a fluorescence polarization immunoassay 24 (MTX C24h) and 42 h (MTX
C42h) after administration. The frequency of the rs1051296 T allele observed in
this study (46.2 %) was significantly lower than that previously observed in a
European population (60.7 %, P = 0.002). There was significant association
between rs1051296 G>T and MTX C24h (29.97, 32.34, and 39.01 umol/L for GG, GT,
and TT genotypes, respectively, P = 0.04). The percentage of patients with an MTX
concentration above the therapeutic threshold (40 MUmol/L) was significantly
lower in GG carriers compared with that in GT and TT carriers (8.6 % for GG
genotype vs. 26.8 and 40.0 % for CT and TT genotypes, respectively, P = 0.02).
Delayed elimination of MTX (C42h > 1 MUmol/L) was less frequent in GG carriers
than in GT and TT carriers. Rs1051296 G>T was associated with MTX plasma
concentration, suggesting that miRNAs might be involved in the post
transcriptional regulation of SLC19A1.
PMID- 24927956
TI - Value of neutrophil lymphocyte ratio in patients with hepatocellular carcinoma
undergoing TAE combined with Sorafenib.
PMID- 24927957
TI - Prognostic impact of circulating monocytes and lymphocyte-to-monocyte ratio on
previously untreated metastatic non-small cell lung cancer patients receiving
platinum-based doublet.
AB - The link between circulating lymphocyte-to-monocyte ratio (LMR) and newly
diagnosed metastatic non-small cell lung cancer (NSCLC) is not fully defined. The
study was conducted to evaluate the prognostic impact of LMR on survival outcomes
in previously untreated metastatic NSCLC patients receiving platinum-based
doublet. Chemotherapy-naive metastatic NSCLC patients undergoing platinum-based
doublet were retrospectively enrolled. Clinical features regarding gender, age,
Eastern Cooperative Oncology Group (ECOG) performance status, histology, absolute
lymphocyte count (ALC), absolute monocyte count (AMC) and LMR were collected to
determinate their prognostic impact on progression-free survival (PFS) and
overall survival (OS). Up to 370 patients were eligible for the study. By
univariate analysis, ECOG performance status, histology, ALC, AMC and LMR were
showed to be significantly associated with PFS and OS. In subsequent Cox
multivariate analysis, non-squamous cell carcinoma, ALC >= 2.45 * 10(9)/L, AMC
<0.45 * 10(9)/L and LMR >= 4.56 were demonstrated to be independently correlated
with better PFS. In addition, independent favorable prognostic factors for OS
were only limited to LMR >= 4.56 and non-squamous cell carcinoma, whereas ECOG
performance status of 2 and AMC >= 0.45 * 10(9)/L remained as independently
inferior prognostic indicators for OS. Our findings implicate that circulating
AMC and LMR are regarded as independent prognostic factors for PFS and OS in
previously untreated metastatic NSCLC patients receiving platinum-based doublet.
PMID- 24927958
TI - What factors determine Belgian general practitioners' approaches to detecting and
managing substance abuse? A qualitative study based on the I-Change Model.
AB - BACKGROUND: General practitioners (GPs) are considered to play a major role in
detecting and managing substance abuse. However, little is known about how or why
they decide to manage it. This study investigated the factors that influence GP
behaviours with regard to the abuse of alcohol, illegal drugs, hypnotics, and
tranquilisers among working Belgians. METHODS: Twenty Belgian GPs were
interviewed. De Vries' Integrated Change Model was used to guide the interviews
and qualitative data analyses. RESULTS: GPs perceived higher levels of substance
abuse in urban locations and among lower socioeconomic groups. Guidelines, if
they existed, were primarily used in Flanders. Specific training was unevenly
applied but considered useful. GPs who accepted abuse management cited strong
interpersonal skills and available multidisciplinary networks as facilitators.GPs
relied on their clinical common sense to detect abuse or initiate management.
Specific patients' situations and their social, psychological, or professional
dysfunctions were cited as cues to action.GPs were strongly influenced by their
personal representations of abuse, which included the balance between their
professional responsibilities toward their patients and the patients'
responsibilities in managing their own health as well the GPs' abilities to cope
with unsatisfying patient outcomes without reaching professional exhaustion. GPs
perceived substance abuse along a continuum ranging from a chronic disease (whose
management was part of their responsibility) to a moral failing of untrustworthy
people. Alcohol and cannabis were more socially acceptable than other drugs.
Personal experiences of emotional burdens (including those regarding substance
abuse) increased feelings of empathy or rejection toward
patients.Multidisciplinary practices and professional experiences were cited as
important factors with regard to engaging GPs in substance abuse management. Time
constraints and personal investments were cited as important
barriers.Satisfaction with treatment was rare. CONCLUSIONS: Motivational factors,
including subjective beliefs not supported by the literature, were central in
deciding whether to manage cases of substance abuse. A lack of theoretical
knowledge and training were secondary to personal attitudes and motivation.
Personal development, emotional health, self-awareness, and self-care should be
taught to and fostered among GPs to help them maintain a patient-centred focus.
Health authorities should support collaborative care.
PMID- 24927959
TI - Control of Japanese encephalitis in Asia: the time is now.
AB - Japanese encephalitis (JE) virus is the most common vaccine-preventable cause of
encephalitis in Asia. Recent progress in the development and availability of
improved JE vaccines has revitalized the prospects for JE control. There now are
a number of safe and effective vaccines, two WHO prequalified vaccines available
for pediatric use, at least one vaccine considered affordable for use in lower
income countries, and a GAVI Alliance commitment to provide financial support to
eligible countries for campaigns for children aged 9 months through 14 years.
While challenges remain, this tremendous progress means there is a better
opportunity than at any time in the past to prevent the substantial morbidity and
mortality from this disease.
PMID- 24927960
TI - Diabetic cardiomyopathy is associated with defective myocellular copper
regulation and both defects are rectified by divalent copper chelation.
AB - BACKGROUND: Heart disease is the leading cause of death in diabetic patients, and
defective copper metabolism may play important roles in the pathogenesis of
diabetic cardiomyopathy (DCM). The present study sought to determine how
myocardial copper status and key copper-proteins might become impaired by
diabetes, and how they respond to treatment with the Cu (II)-selective chelator
triethylenetetramine (TETA) in DCM. METHODS: Experiments were performed in Wistar
rats with streptozotocin (STZ)-induced diabetes with or without TETA treatment.
Cardiac function was analyzed in isolated-perfused working hearts, and myocardial
total copper content measured by particle-induced x-ray emission spectroscopy
(PIXE) coupled with Rutherford backscattering spectrometry (RBS). Quantitative
expression (mRNA and protein) and/or activity of key proteins that mediate LV
tissue-copper binding and transport, were analyzed by combined RT-qPCR, western
blotting, immunofluorescence microscopy, and enzyme activity assays. Statistical
analysis was performed using Student's t-tests or ANOVA and p-values of < 0.05
have been considered significant. RESULTS: Left-ventricular (LV) copper levels
and function were severely depressed in rats following 16-weeks' diabetes, but
both were unexpectedly normalized 8-weeks after treatment with TETA was
instituted. Localized myocardial copper deficiency was accompanied by decreased
expression and increased polymerization of the copper-responsive transition-metal
binding metallothionein proteins (MT1/MT2), consistent with impaired anti-oxidant
defences and elevated susceptibility to pro-oxidant stress. Levels of the high
affinity copper transporter-1 (CTR1) were depressed in diabetes, consistent with
impaired membrane copper uptake, and were not modified by TETA which,
contrastingly, renormalized myocardial copper and increased levels and cell
membrane localization of the low-affinity copper transporter-2 (CTR2). Diabetes
also lowered indexes of intracellular (IC) copper delivery via the copper
chaperone for superoxide dismutase (CCS) to its target cuproenzyme, superoxide
dismutase-1 (SOD1): this pathway was rectified by TETA treatment, which
normalized SOD1 activity with consequent bolstering of anti-oxidant defenses.
Furthermore, diabetes depressed levels of additional intracellular copper
transporting proteins, including antioxidant-protein-1 (ATOX1) and copper
transporting-ATPase-2 (ATP7B), whereas TETA elevated copper-transporting-ATPase-1
(ATP7A). CONCLUSIONS: Myocardial copper deficiency and defective cellular copper
transport/trafficking are revealed as key molecular defects underlying LV
impairment in diabetes, and TETA-mediated restoration of copper regulation
provides a potential new class of therapeutic molecules for DCM.
PMID- 24927962
TI - rTRM-web: a web tool for predicting transcriptional regulatory modules for ChIP
seq-ed transcription factors.
AB - Transcription factors (TFs) bind to specific DNA regions, although their binding
specificities cannot account for their cell type-specific functions. It has been
shown in well-studied systems that TFs combine with co-factors into
transcriptional regulatory modules (TRMs), which endow them with cell type
specific functions and additional modes of regulation. Therefore, the prediction
of TRMs can provide fundamental mechanistic insights, especially when
experimental data are limiting or when no regulatory proteins have been
identified. Our method rTRM predicts TRMs by integrating genomic information from
TF ChIP-seq data, cell type-specific gene expression and protein-protein
interaction data. Here we present a freely available web interface to rTRM
(http://www.rTRM.org/) supporting all the options originally described for rTRM
while featuring flexible display and network calculation parameters, publication
quality figures as well as annotated information on the list of genes
constituting the TRM.
PMID- 24927963
TI - The 10-year trend of periprocedural complication following carotid artery
stenting; single center experience.
AB - PURPOSE: Carotid endarterectomy and stenting are used to treat carotid stenosis,
with the volume of carotid artery procedures increasing over the past decade. We
investigated the 10-year trend of periprocedural complications with an increasing
procedure volume of carotid stenting at a single tertiary hospital. METHODS: We
collected 416 consecutive cases (384 patients) of carotid artery stenting
performed for either symptomatic (231 cases, 55.5 %) or asymptomatic (185 cases,
44.5 %) internal carotid artery stenosis at a single center. Periprocedural
complication was defined as any stroke, myocardial infarction, or death.
Procedure-related outcome included any dissection, hemodynamic event, or
periprocedural complication. RESULTS: The mean age was 68.8 years (82.8 % males;
range of 20-89 years); 23.9 % were older than 75 years. Before the procedure,
99.3 and 56.0 % of patients received antiplatelet and lipid-lowering medication,
respectively. The overall periprocedural complication rate was 3.6 % (1.6 and 5.2
% in the asymptomatic and symptomatic group, respectively). The composite outcome
of any stroke or death was 3.4 %. Periprocedural complication and procedure
related outcome showed a decremental trend with increasing procedure volume, and
this trend remained after adjusting for confounders. CONCLUSIONS: Our study
suggests that carotid stenting at an experienced center might reduce the
periprocedural complications. Our periprocedural complication rate of carotid
artery stenting may be comparable to, or somewhat lower than, that reported in
other clinical trials.
PMID- 24927961
TI - Hypoglycaemia, chronic kidney disease and death in type 2 diabetes: the Hong Kong
diabetes registry.
AB - BACKGROUND: In patients with type 2 diabetes, chronic kidney disease (CKD) is
associated with increased risk of hypoglycaemia and death. Yet, it remains
uncertain whether hypoglycaemia-associated mortality is modified by CKD. METHODS:
Type 2 diabetic patients, with or without CKD at enrolment were observed between
1995 and 2007, and followed up till 2009 at hospital medical clinics. We used
additive interaction, estimated by relative excess risk due to interaction (RERI)
and attributable proportion due to interaction (AP) to examine possible
synergistic effects between CKD and severe hypoglycaemia (defined as
hospitalisations due to hypoglycaemia in the 12 months prior to enrolment) on the
risk of death. RESULTS: In this cohort of 8,767 type 2 diabetic patients [median
age: 58 (interquartile range: 48 to 68) years; disease duration: 5 (1 to 11)
years, men: 47.0%], 1,070 (12.2%) had died during a median follow-up period of
6.66 years (3.42-10.36) with 60,379 person-years.Upon enrolment, 209 patients had
severe hypoglycaemia and 194 developed severe hypoglycaemia during follow-up (15
patients had both). In multivariable analysis and using patients without severe
hypoglycaemia nor CKD as the referent group (683 deaths in 7,598 patients),
severe hypoglycaemia alone (61 deaths in 272 patients) or CKD alone (267 death in
781 patients) were associated with increased risk of death [Hazard ratio, HR:
1.81(95%CI: 1.38 to 2.37) and 1.63 (1.38 to 1.93) respectively]. Having both risk
factors (59 deaths in 116 patients) greatly enhanced the HR of death to 3.91
(2.93 to 5.21) with significant interaction (RERI: 1.46 and AP: 0.37, both p
values < 0.05). CONCLUSIONS: Severe hypoglycaemia and CKD interact to increase
risk of death in type 2 diabetes patients.
PMID- 24927964
TI - Intrahepatic flow redistribution in patients treated with radioembolization.
AB - INTRODUCTION: In planning Yttrium-90 ((90)Y)-radioembolizations, strategy
problems arise in tumours with multiple arterial supplies. We aim to demonstrate
that tumours can be treated via one main feeding artery achieving flow
redistribution by embolizing accessory vessels. METHODS: One hundred (90)Y
radioembolizations were performed on 90 patients using glass microspheres. In 19
lesions/17 patients, accessory branches were found feeding a minor tumour portion
and embolized. In all 17 patients, the assessment of the complete perfusion was
obtained by angiography and single photon emission computerized tomography
computerized tomography (SPECT-CT). Dosimetry, toxicity, and tumor response rate
of the patients treated after flow redistribution were compared with the 83
standard-treated patients. Seventeen lesions in 15 patients with flow
redistribution were chosen as target lesions and evaluated according to mRECIST
criteria. RESULTS: In all patients, the complete tumor perfusion was assessed
immediately before radioembolization by angiography in all patients and after the
(90)Y-infusion by SPECT-CT in 15 of 17 patients. In the 15 assessable patients,
the response rate in their 17 lesions was 3 CR, 8 PR, and 6 SD. Dosimetric and
toxicity data, as well tumour response rate, were comparable with the 83 patients
with regular vasculature. CONCLUSIONS: All embolization procedures were performed
successfully with no complications, and the flow redistribution was obtained in
all cases. Results in term of toxicity, median dose administered, and
radiological response were comparable with standard radioembolizations. Our
findings confirmed the intratumoral flow redistribution after embolizing the
accessory arteries, which makes it possible to treat the tumour through its
single main feeding artery.
PMID- 24927965
TI - Comment to: update with level 1 studies of the European Hernia Society guidelines
on the treatment of inguinal hernia in adult patients, Miserez M et al. DOI
10.1007/s10029-014-1236-6.
PMID- 24927966
TI - Preperitoneal femoral hernioplasty: an "umbrella" technique.
AB - INTRODUCTION: Tension-free inguinal mesh-plug hernioplasty is well established.
However, femoral hernia repair remains challenging and controversial. We aimed to
evaluate a preperitoneal approach of tension-free hernioplasty for femoral hernia
upon the anatomy rationality. METHODS: A prospective study of 62 patients between
October 1999 and June 2011 received femoral hernioplasty in our hospital. This
repair method involved a preperitoneal approach accomplished under regional or
local anesthesia with mesh and plug; the emphasis was put on fulfilling the
abdominal defect, i.e., the myopectineal orifice, with the plug flattened like an
"umbrella", above the femoral ring but not to fill the femoral ring. RESULTS: All
cases receiving preperitoneal tension-free hernioplasty had a smooth recovery.
There were no severe complications, and no recurrences were detected within a 0.5
to 4-year follow-up. No specific restrictions with regard to activity were
placed on the patients after surgery. All cases were able to return to normal
life, including work, within 2 weeks. CONCLUSIONS: The preperitoneal tension-free
hernioplasty may be a more effective method of femoral hernia repair; meanwhile,
we must re-understand the anatomy of femoral hernia correctly so as to restore
the anatomic and physiologic functions at this region optimally.
PMID- 24927968
TI - Prenatal hydronephrosis: postnatal evaluation and management.
AB - Congenital hydronephrosis is one of the most common anomalies identified on
antenatal ultrasound. The underlying etiology of congenital hydronephrosis is
multifold, ranging from transient hydronephrosis in utero to clinically
significant congenital anomalies of the kidney and urinary tract. While
traditional management of hydronephrosis was aimed at relieving symptoms, the
advent of routine prenatal ultrasound has led to a shift in the goal of treatment
to prevention of renal injury in the asymptomatic patient. However, despite this
focus on renal preservation, the diagnostic criteria for identification of
children "at risk" for renal damage that can be alleviated by surgical treatment
remain a subject of debate. Both antenatal and postnatal imaging studies have
been evaluated as indicators for potential reversible renal damage and have been
used as potential indicators of the need for surgical intervention. The aim of
this review is to discuss the current literature regarding the role of postnatal
clinical and radiographic evaluation to identify children who may benefit from
early surgical intervention.
PMID- 24927969
TI - Effect of renin-angiotensin system inhibitors on long-term survival in patients
treated with beta blockers and antiplatelet agents after acute myocardial
infarction (from the MONICA/KORA Myocardial Infarction Registry).
AB - Angiotensin-converting enzyme inhibitors (ACEIs) and angiotensin receptor
blockers (ARBs) have shown to decrease mortality and cardiovascular morbidity
especially in high-risk patients after acute myocardial infarction (AMI). Aim of
this study was to assess the association between ACEI or ARB treatment (ACEI/ARB)
at hospital discharge and long-term survival after AMI in real-life patient care.
From a German population-based AMI registry, 3,544 patients (75.4% men), aged 28
to 74 years, hospitalized with an incident AMI between 2000 and 2008, surviving
at least 24 hours and treated with beta blockers and antiplatelet agents at
discharge were included in this study. All data were collected by standardized
interviews and chart review. End point of this study was all-cause mortality at 3
follow-up periods: 1, 3, and 5 years after AMI. Mortality was assessed for all
registered patients in 2010. Survival analyses and multivariable Cox regression
analyses were conducted. Of the 3,544 patients, 83.7% received ACEI/ARB and 90.1%
were treated with statins at hospital discharge. During a median follow-up period
of 5.0 years (interquartile range 1.0 years), 9.3% patients died. In the
multivariable Cox models adjusting for a number of covariates, use of ACEI/ARB
showed a significantly inverse relation with 1-, 3-, and 5-year mortality (e.g.,
5-year mortality: hazard ratio 0.74, 95% confidence interval 0.59 to 0.94, p =
0.015), and the hazard ratios for mortality did not differ significantly between
the 3 examined follow-up periods. In conclusion, use of ACEI/ARB at hospital
discharge is independently associated with long-term survival benefit in patients
with incident AMI already treated with other guideline-recommended cardiovascular
drugs.
PMID- 24927971
TI - Long-term survival with revascularization in South Asians admitted with an acute
coronary syndrome (from the Alberta Provincial Project for Outcomes Assessment in
Coronary Heart Disease Registry).
AB - People of South Asian (SA) descent are particularly susceptible to acute coronary
syndromes (ACS). Yet, little information exists regarding their overall
prognosis. The purpose of this study was to compare short- and long-term clinical
outcomes of SA and European Canadians admitted with an ACS. Using the Alberta
Provincial Project for Outcomes Assessment in Coronary Heart Disease registry,
63,393 patients with ACS were reviewed (January 1999 to March 2012). After
excluding Chinese patients, 1,825 SAs were compared with 60,791 European
Canadians. Both groups were propensity matched, and outcomes were compared.
Adjustment was performed using a 3:1 propensity matching technique. Adjusted 30
day and 1-year mortality rates were similar between SA and European patients with
ACS (2.6% vs 2.7%, p = 0.93; 5.0% vs 4.8%, respectively, p = 0.75). Repeat
angiography did not differ (9.9% vs 9.2%, p = 0.35), yet repeat revascularization
within 1 year was greater in SA patients (9.8% vs 7.6%, p <0.01). Improved long
term survival (median 64 months, interquartile range 66 months) was noted with SA
patients (hazard ratio [HR] 0.82, 95% confidence interval [CI] 0.71 to 0.95). In
particular, long-term survival was observed in SA patients receiving coronary
artery bypass grafting (HR 0.75, 95% CI 0.52 to 1.08) and percutaneous coronary
intervention (HR 0.75, 95% CI 0.59 to 0.96). In conclusion, SA patients treated
with revascularization appear to have improved long-term survival after ACS,
compared with European Canadians. As such, clinicians should be cognitive of
ethnic-based outcomes when determining therapeutic strategies in patient
management.
PMID- 24927970
TI - Impact of trimetazidine on incidence of myocardial injury and contrast-induced
nephropathy in diabetic patients with renal dysfunction undergoing elective
percutaneous coronary intervention.
AB - Trimetazidine is an anti-ischemic agent with antioxidant activity. This study
evaluated the effect of periprocedural administration of trimetazidine on the
incidence of percutaneous coronary intervention (PCI)-induced myocardial injury
and contrast-induced nephropathy (CIN) in diabetic patients with mild-to-moderate
renal dysfunction. One hundred patients with a mean glomerular filtration rate of
48 +/- 16 (ml/min/1.73 m(2)) were prospectively enrolled, then randomly assigned
to receive (50 patients; trimetazidine group) or not receive (50 patients;
control group) periprocedural trimetazidine (70 mg/day) for 72 hours. The serum
creatinine level was measured pre-PCI, 72 hours, and 10 days thereafter. An
increase in the serum creatinine level by >0.5 mg/dl or 0.25% of the baseline
value is considered as CIN. Cardiac troponin I levels were measured before and 6,
12, and 24 hours after PCI. Mean age of the study cohort was 59 +/- 6 years (men
68%). The serum creatinine level in the control group increased significantly 3
days after PCI and decreased on the tenth day. However, it showed no significant
change in the trimetazidine group. Incidence of CIN was 12% in the trimetazidine
group and 28% in the control group (p <0.05). Cardiac troponin I levels were
significantly reduced in the trimetazidine group (6 hours: 8 +/- 0.3 vs 16 +/-
0.2 pg/ml, 12 hours: 13 +/- 0.9 vs 24 +/- 0.8 pg/ml, 24 hours: 7 +/- 0.7 vs 14 +/
0.3 pg/ml, p <0.001). In conclusion, trimetazidine intake before elective PCI in
diabetic patients with mild-to-moderate renal dysfunction is associated with
decreased incidence of CIN and myocardial injury.
PMID- 24927972
TI - In-hospital complications associated with reoperations of implantable
cardioverter defibrillators.
AB - Repeat implantable cardioverter defibrillator (ICD) procedures are increasing and
may be associated with higher risks for complications. To provide more
information for clinical decision making, especially in light of recent
defibrillator advisories, we examined a large national cohort to characterize
repeat ICD procedural outcomes. Using data from the National Cardiovascular Data
Registry (ICD Registry), we compared patient characteristics, reasons for ICD
implantation, and associated in-hospital adverse events among 92,751 patients
receiving their first device and 81,748 patients who underwent repeat procedures
with (n = 31,057) and without (n = 50,691) lead involvement. Hierarchical
multivariable logistic regression was used to determine the predictors of in
hospital complications. Complication rates were higher in those who underwent
repeat ICD procedures with lead involvement (lead implantation or revision),
compared with patients who underwent initial implants (3.2% vs 2.6%, p <0.001) or
versus those with pocket-only (e.g., generator change only) procedures (3.2% vs
0.6%, p <0.001). There were significantly more in-hospital deaths, lead
dislodgements, and infections requiring antibiotics in the lead involvement
cohort. Compared with those who had a pocket-only procedure, the multivariable
adjusted odds ratio of any complication were increased at 4.20 (95% confidence
interval: 3.66 to 4.82, p <0.001) in patients who underwent repeat procedures
with lead involvement excluding lead extraction or 7.11 (95% confidence interval:
5.96 to 8.48, p <0.001) in procedures involving lead extractions. In conclusion,
repeat ICD procedures, when involving the addition or revision of a lead with or
without concurrent lead extraction, are associated with higher complication rates
compared with initial implants and with those who underwent pocket-only
procedures.
PMID- 24927973
TI - Relation of contrast-induced nephropathy to long-term mortality after
percutaneous coronary intervention.
AB - There is little information on the effect of contrast-induced nephropathy (CIN)
on long-term mortality after percutaneous coronary intervention in patients with
or without chronic kidney disease (CKD). Of 4,371 patients who had paired serum
creatinine (SCr) measurements before and after percutaneous coronary intervention
and were discharged alive in the Coronary REvascularization Demonstrating Outcome
Study in Kyoto registry, the incidence of CIN (an increase in SCr of >=0.5 mg/dl
from the baseline) was 5% in our study cohort. The rate of CIN in patients with
CKD was 11%, although it was 2% without CKD (p <0.0001). During a median follow
up of 42.3 months after discharge, 374 patients (8.6%) died. After adjustment for
prespecified confounders, CIN was significantly correlated with long-term
mortality in the entire cohort (hazard ratio [HR] 2.26, 95% confidence interval
[CI] 1.62 to 2.29, p <0.0001) and in patients with CKD (HR 2.62, 95% CI 1.91 to
3.57, p <0.0001) but not in patients without CKD (HR 1.23, 95% CI 0.47 to 2.62, p
= 0.6). Sensitivity analyses confirmed these results using the criteria defined
as elevations of the SCr by >=25% and 0.3 mg/dl from the baseline, respectively.
In conclusion, CIN was significantly correlated with long-term mortality in
patients with CKD but not in those without CKD.
PMID- 24927974
TI - The positive inside rule is stronger when followed by a transmembrane helix.
AB - The translocon recognizes transmembrane helices with sufficient level of
hydrophobicity and inserts them into the membrane. However, sometimes less
hydrophobic helices are also recognized. Positive inside rule, orientational
preferences of and specific interactions with neighboring helices have been shown
to aid in the recognition of these helices, at least in artificial systems. To
better understand how the translocon inserts marginally hydrophobic helices, we
studied three naturally occurring marginally hydrophobic helices, which were
previously shown to require the subsequent helix for efficient translocon
recognition. We find no evidence for specific interactions when we scan all
residues in the subsequent helices. Instead, we identify arginines located at the
N-terminal part of the subsequent helices that are crucial for the recognition of
the marginally hydrophobic transmembrane helices, indicating that the positive
inside rule is important. However, in two of the constructs, these arginines do
not aid in the recognition without the rest of the subsequent helix; that is, the
positive inside rule alone is not sufficient. Instead, the improved recognition
of marginally hydrophobic helices can here be explained as follows: the positive
inside rule provides an orientational preference of the subsequent helix, which
in turn allows the marginally hydrophobic helix to be inserted; that is, the
effect of the positive inside rule is stronger if positively charged residues are
followed by a transmembrane helix. Such a mechanism obviously cannot aid C
terminal helices, and consequently, we find that the terminal helices in multi
spanning membrane proteins are more hydrophobic than internal helices.
PMID- 24927975
TI - Pattern of drug overdose and chemical poisoning among patients attending an
emergency department, western Saudi Arabia.
AB - Poisoning is a medical emergency that represent a major health problem all over
the world. Studies on drug overdose and chemical poisoning are very limited in
Saudi Arabia (SA). We aimed to describe the current pattern and assess risk
factors of drug overdose and chemical poisoning in King Khalid National Guard
hospital, Jeddah, SA. Medical records of patients attended emergency department
in King Khalid National Guard hospital during the period from January 2008 to
December 2012 due to drug overdose and chemical poisoning were reviewed. A total
of 129 cases were included in the study. The majority of the population was Saudi
(97.7 %), and almost half of them were females (54.3 %). Children under 12 years
were the most affected age group (44.2 %). Drug overdose was the most common
cause of poisoning (92.2 %). Analgesics and non-steroidal anti-inflammatory drugs
represented the highest percentage of used medications (20.4 %). The most
commonly reported symptoms were symptoms of the central nervous system (57.4 %)
followed by GIT symptoms (41.9 %). Intentional poisoning was reported in 34 cases
(26.4 %). Female patients were significantly more likely to attempt suicide than
male patients (OR = 7.22, 95 % CI = 1.70, 30.62). Children continue to be at high
risk for medication and chemical poisoning. Accessibility to medications at homes
encountered for most of poisoning cases among children. Implementing methods to
raise public awareness and minimize children access to medications would
significantly contribute to reducing burden of this problem on the community.
PMID- 24927976
TI - Physicians' tobacco intervention counseling in a tertiary care hospital of South
India.
AB - The tobacco epidemic is one of the biggest public health threats in the present
world with a substantial contribution to mortality and morbidity. Patients'
visits to their doctors for illnesses and health check-ups offer a great
opportunity to screen them for tobacco use and also counsel them to quit tobacco
use. This cross sectional study was carried out in out-patient departments of
General Medicine and Pulmonary Medicine of a tertiary care medical college
teaching hospital in Dakshina Kannada district of Karnataka state of India
between April 2012 and July 2012 among the patients aged 18 years or above who
were diagnosed as suffering from tobacco related diseases. Exit interview was
conducted on the patients after obtaining a written informed consent using a pre
designed semi-structured questionnaire. Data was entered, analyzed using SPSS v17
and Descriptive statistics, Fisher Exact test, Bivariate and multivariable
logistic regression analyses were used. The present study reveals that 305 (87.1
%), 281 (80.3 %) and 257 (73.1 %) of the 350 participants were asked, assessed
and advised respectively by the treating physicians to quit tobacco use where as
only 18 (15.1 %) were assisted in their efforts to quit tobacco. Physician's
counseling inventions were significantly associated with patient's age, sex,
education, marital status and socio economic status of the patients as well as
the treating physician's experience of more than 3 years. There is a need to
incorporate tobacco history taking as a vital sign during medical history taking
and this should be made as a routine in medical schools.
PMID- 24927977
TI - An outbreak of pertussis in rural Texas: an example of the resurgence of the
disease in the United States.
AB - During 2012, an increase in the number of pertussis cases or outbreaks was
reported among most states within the United States. The majority of these cases
included previously vaccinated children between the ages of 7-10 years. This
underscores the growing concern regarding current immunization practices and
vaccine efficacy, especially as it pertains to pertussis prevention within this
age group. In the fall of 2012, an outbreak of pertussis occurred within a school
district in a rural Texas county that was reflective of this national pattern.
Our objective is to describe this outbreak, highlight the similarities with the
national trend, and identify strategies for better disease prevention. The cases
in this outbreak were interviewed and laboratory testing done. Information
regarding exposure and immunization history among cases was obtained.
Immunization audits of the affected institutions were also conducted. We
performed a descriptive analysis of the collected data using EPI-INFO software
v.3.5.3. A total of 34 cases were identified in this outbreak, of which 23 were
PCR confirmed and 11 were epidemiologically linked. Ages ranged from 5 months to
12 years, and 62 % were among children aged 7-10 years. All cases were up-to-date
on their pertussis vaccinations. Immunization coverage rate was over 90 % within
each of the affected institutions. The characteristics of this outbreak bear
striking similarities to the current national trend in terms of age groups and
immunization status of the affected cases. Increased focus on this vulnerable
target group, including heightened scrutiny of vaccine efficacy and delivery, is
indicated.
PMID- 24927978
TI - Secondary traumatic stress among emergency nurses: a cross-sectional study.
AB - Emergency department nurses are required to deal with emotional trauma issues on
a daily basis, which may result in them experiencing symptoms of secondary
traumatic stress, a consequence of stress experienced when helping or wanting to
help a person traumatised or suffering. This study measured emergency department
nurses' self-reported levels of secondary traumatic stress. Registered nurses (n
= 117) working at three emergency departments in the Western geographical region
of Ireland were invited to complete the secondary traumatic stress scale (STSS).
A response rate of 90% (n = 105) was achieved. Most participants (n = 67/64%) met
the criteria for secondary traumatic stress. A statistically significant finding
was that the highest proportion (82%) of secondary traumatic stress existed in
the staff nurse group (p = 0.042). Moreover, for those nurses reporting secondary
traumatic stress, statistical significance was found for the variables 'change of
career considered' (p = 0.017) and 'finds alcohol helpful in alleviating work
related stress' (p = 0.004), when compared with nurses not reporting secondary
traumatic stress. The findings suggest the need to examine current crisis
management interventions and to introduce new systems to support nurses in Irish
emergency departments. Moreover, because different types of traumatic events in
the ED require different types of interventions, the prevention and management of
STS among emergency department nurses must be tackled using a variety of
approaches.
PMID- 24927979
TI - The effect of static muscle forces on the fracture strength of the intact distal
radius in vitro in response to simulated forward fall impacts.
AB - The distal radius fracture (DRF) is a particularly dominant injury of the wrist,
commonly resulting from a forward fall on an outstretched hand. In an attempt to
reduce the prevalence, costs, and potential long-term pain/deformities associated
with this injury, in vivo and in vitro investigations have sought to classify the
kinematics and kinetics of DRFs. In vivo forward fall work has identified a
preparatory muscle contraction that occurs in the upper extremity prior to peak
impact force. The present investigation constitutes the first attempt to
systematically determine the effect of static muscle forces on the fracture
threshold of the distal radius in vitro. Paired human cadaveric forearm specimens
were divided into two groups, one that had no muscle forces applied (i.e., right
arms) and the other that had muscle forces applied to ECU, ECRL, FCU and FCR
(i.e., left arms), with magnitudes based on peak muscle forces and in vivo lower
bound forward fall activation patterns. The specimens were secured in a custom
built pneumatic impact loading device and subjected to incremental impacts at pre
fracture (25 J) and fracture (150 J) levels. Similar fracture forces (6565 (866)N
and 8665 (5133)N), impulses (47 (6)Ns and 57 (30)Ns), and energies (152 (38)J and
144 (45)J) were observed for both groups of specimens (p>0.05). Accordingly, it
is suggested that, at the magnitudes presently simulated, muscle forces have
little effect on the way the distal radius responds to forward fall initiated
impact loading.
PMID- 24927980
TI - Photophysical characterisation and studies of the effect of palladium(II)
5,10,15,20-tetrakis-(4-sulfonatophenyl)-porphyrin on isometric contraction of
isolated human mesenteric artery: good news for photodynamic therapy.
AB - BACKGROUND: Considering the important roles of porphyrins in biological systems
and their promising use in photodynamic therapy (PDT), the present work
investigated the photophysical properties of palladium(II) 5,10,15,20-tetrakis-(4
sulfonatophenyl)-porphyrin (PdTSPP) and the effects of non-activated by light
form of this porphyrin on contractile behaviour of isolated healthy endothelium
denuded human mesenteric arteries. METHODS: The photophysical characterisation of
PdTSPP: the formation of the triplet states and the singlet oxygen were studied
using laser flash photolysis. The effect of PdTSPP on the isometric contraction
of artery segments from human mesentery was assessed utilising the precise method
of artery isometric tension recording using Mulvany-Halpern wire myograph.
RESULTS: We found that PdTSPP had a high lifetime of the triplet states
tauT=270MUs. The calculated Stern Volmer rate constant kq=1.7*10(9)M(-1)s(-1)
showed an efficient quenching by oxygen that indicated formation of singlet
oxygen, O2((1)Deltag). The photophysical parameters of PdTSPP, in particular its
ability to generate O2((1)Deltag) has defined it as an exceptionally interesting
molecule for PDT. The results of the contraction study showed that PdTSPP applied
in increasing concentrations (1-100MUM) had no effect on the basal tone of human
mesenteric artery under isometric condition. Furthermore, PdTSPP failed to
potentiate or to attenuate the isometric contraction of the artery preparations
precontracted with high extracellular potassium (42mM KCl) or with 1nM endothelin
1. CONCLUSIONS: The excellent photophysical properties of PdTSPP as well as the
lack of an effect on the contractility of human vasculature in vitro characterise
PdTSPP as a suitable compound for potential medical applications.
PMID- 24927981
TI - Fertility preservation by photodynamic therapy combined with conization in young
patients with early stage cervical cancer: a pilot study.
AB - BACKGROUND: Vaginal radical trachelectomy (VRT) is the standard fertility
preserving procedure for early stage cervical cancer patients. There have been
reports in the literature, however, that VRT to be too radical procedure for
early stage cervical cancer, as its post-operative obstetric morbidity was high.
In this study, PDT with Loop electrosurgical excision procedure (LEEP) or
conization was investigated as a less radical fertility preserving treatment
alternative to VRT for early stage cervical cancer patients. METHODS: We analyzed
data of 21 patients with early stage cervical cancer (stages IA-IIA) who
underwent PDT with LEEP/conization from 2003 to 2012. LEEP or conization was
performed before PDT in every case. For patients in stage IB1 or above, only
those who were confirmed to be free of malignancy in frozen section by pelvic
lymph node dissection received PDT. Surface photoillumination with red laser
light at a wavelength of 630nm was applied to the cervix and the endocervical
canal 48h after intravenous injection of 2mg/kg of photosensitizer. RESULTS:
Median age of the 21 patients was 31 years old (range: 22-43), 19 patients
(90.5%) of whom were nulliparous. Majority of the lesions were at stage IA1
(47.6%) or IB1 (42.9%). Histologically, 80.9% were squamous cell carcinoma. 5
patients (23.8%) had a lesion of 2cm or larger in diameter. There was one
recurrence (4.7%) and no death during 52.6 months (6-114 months). Of the 13 women
who attempted to get pregnant, 10 (76.9%) women conceived a total of 11
pregnancies. The first and second trimester miscarriages were 2 and 1
respectively, and 7 (70%) of the pregnancies reached the third trimester, of
which 5 delivered at term. No tumor-related deaths or PDT-related severe adverse
effects were noted. CONCLUSION: PDT combined with LEEP/conization could be an
effective fertility sparing conservative treatment for young patients with early
stage cervical cancer.
PMID- 24927982
TI - Quantitative evaluation and visualization of size effect on cellular uptake of
gold nanoparticles by multiphoton imaging-UV/Vis spectroscopic analysis.
AB - With ever-increasing applications of nanoscale materials in the biomedical field,
the impact of nanoparticle size on cellular uptake efficiency, dynamics, and
mechanism has attracted numerous interests but still leaves many open questions.
A combined "multiphoton imaging-UV/Vis spectroscopic analysis" method was applied
for the first time for quantitative visualization and evaluation of the cellular
uptake process of different-sized (15-, 30-, 50-, and 80-nm) gold nanoparticles
(AuNPs). Quantitative analysis of the size effect on cellular uptake behavior of
AuNPs from a stack of three-dimensional multiphoton laser scanning microscopy
images is obtained. The technique allows for differentiating AuNPs present in
external and internal subcellular components, giving detailed information for
elucidating cellular uptake dynamics without particle labeling. The data show
that the internalization extent of AuNPs is highly dependent on particles' sizes
and incubation time. Due to sedimentation, 50- and 80-nm AuNPs are taken up to a
greater extent than 15- and 30-nm particles after exposure for 24 h. However, the
smaller particles' uptake velocity is significantly faster in the first 10 h,
indicating a disparity in uptake kinetics for different-sized AuNPs. The finding
from this study will improve our understanding of the cellular uptake mechanisms
of different-sized nanoparticles and has great implications in developing AuNP
based drug carriers with various sizes for different purposes.
PMID- 24927983
TI - Difficult peripheral venous access: clinical evaluation of a catheter inserted
with the Seldinger method under ultrasound guidance.
AB - PURPOSE: A preliminary observational study was undertaken to evaluate the risk of
failure of ultrasound-guided peripheral intravenous catheterization of a deep arm
vein for a maximum of 7 days, after peripheral intravenous (PIV) cannulation
failure. METHODS: This prospective study included patients referred to the
intensive care unit for placement of a central line, a polyurethane cannula
commercialized for arterial catheterization was used for peripheral venous
cannulation. Catheter length and diameter were chosen based on preliminary
ultrasound measurements of vein diameter and skin-vein distance. RESULTS:
Catheterization was successful for all 29 patients. Mean vein diameter was 0.42
+/- 0.39 cm; mean vein depth was 0.94 +/- 0.52 cm. Mean catheter duration was 6
(median 7) days. Two occluded catheters were removed prematurely. No
thrombophlebitis, catheter infection, or extravasation was observed. CONCLUSION:
Our results suggest that catheters inserted with the Seldinger method are adapted
to prolonged peripheral deep-vein infusion. Ultrasound can play a role in
catheter monitoring by identifying early thrombosis formation.
PMID- 24927984
TI - Early reversible acute kidney injury is associated with improved survival in
septic shock.
AB - INTRODUCTION: The fact that acute kidney injury (AKI) is associated with worse
clinical outcomes forms the basis of most AKI prognostic scoring systems.
However, early reversibility of renal dysfunction in acute illness is not
considered in such systems. We sought to determine whether early (<=24 hours
after shock documentation) reversibility of AKI was independently associated with
in-hospital mortality in septic shock. METHODS: Patient information was derived
from an international database of septic shock cases from 28 different
institutions in Canada, the United States and Saudi Arabia. Data from a final
cohort of 5443 patients admitted with septic shock between Jan 1996 and Dec 2009
was analyzed. The following 4 definitions were used in regards to AKI status: (1)
reversible AKI = AKI of any RIFLE severity prevalent at shock diagnosis or
incident at 6 hours post-diagnosis that reverses by 24 hours, (2) persistent AKI
= AKI prevalent at shock diagnosis and persisting during the entire 24 hours post
shock diagnosis, (3) new AKI = AKI incident between 6 and 24 hours post-shock
diagnosis, and (4) improved AKI = AKI prevalent at shock diagnosis or incident at
6 hours post followed by improvement of AKI severity across at least one RIFLE
category over the first 24 hours. Cox proportional hazards were used to determine
the association between AKI status and in-hospital mortality. RESULTS: During the
first 24 hours, reversible AKI occurred in 13.0%, persistent AKI in 54.9%, new
AKI in 11.7%, and no AKI in 22.4%. In adjusted analyses, reversible AKI was
associated with improved survival (HR, 0.64; 95% CI, 0.53-0.77) compared to no
AKI (referent), persistent AKI (HR, 0.99; 95% CI, 0.88-1.11), and new AKI (HR,
1.41; 95% CI, 1.22-1.62). Improved AKI occurred in 19.1% with improvement across
any RIFLE category associated with a significant decrease in mortality (HR, 0.53;
95% CI, 0.45-0.63). More rapid antimicrobial administration, lower Acute
Physiology and Chronic Health Evaluation II score, lower age, and a smaller
number of failed organs (excluding renal) on the day of shock as well as
community-acquired infection were independently associated with reversible AKI.
CONCLUSION: In septic shock, reversible AKI within the first 24 hours of
admission confers a survival benefit compared to no, new, or persistent AKI.
Prognostic AKI classification schemes should consider integration of early AKI
reversibility into the scoring system.
PMID- 24927985
TI - Frequency of acute changes found on head computed tomographies in critically ill
patients: a retrospective cohort study.
AB - PURPOSE: The frequency of positive findings on computed tomography (CT) of the
head in critically ill patients who develop neurologic dysfunction is not known.
MATERIALS AND METHODS: Cohort study of head CTs for patients admitted to 3
intensive care units from 2005 to 2010. We documented the frequency of acute
changes for all head CTs and for the subgroup of patients with altered mental
status (AMS). We also examined associations between patient characteristics or
medications administered before head CT and the odds of an acute change on head
CT using multivariate logistic regression. RESULTS: During 11 338 intensive care
unit admissions, there were 901 eligible head CTs on 706 patients (6% of
patients). Among head CTs, 155 (17.2%) assessed concern of new focal deficit, 99
(11.0%) concern for a seizure, and 635 (70.5%) for AMS. Acute changes were found
on 109 (12.1%; 95% confidence interval [CI], 10.0%-14.2%) of all head CTs, and
30% (22.4%-36.9%) of patients with focal deficits, 16.2% (8.8%-23.5%) of patients
with seizures but only 7.4% (5.4%-9.4%) for patients with AMS. A diagnosis of
sepsis was associated with a decreased odds of an acute change on head CT for all
head CTs (odds ratio 0.61; 95% CI, 0.40-0.95; P = .028) but was not significantly
associated with a decreased risk among the cohort of head CTs for AMS (odds ratio
0.82; 95% CI, 0.41-1.62; P = .56). No other factors were associated with an
altered risk of acute change on head CT for all patients in our cohort or for
those with AMS. CONCLUSIONS: Acute changes on head CTs performed for concern
regarding new focal neurologic deficit or seizures are frequent compared with
those performed for AMS with a nonfocal examination. No specific patient
characteristics or medications were associated with a large change in the
likelihood of finding an acute change for patients with AMS.
PMID- 24927986
TI - The handyman's brain: a neuroimaging meta-analysis describing the similarities
and differences between grip type and pattern in humans.
AB - BACKGROUND: Handgrip is a ubiquitous human movement that was critical in our
evolution. However, the differences in brain activity between grip type (i.e.
power or precision) and pattern (i.e. dynamic or static) are not fully
understood. In order to address this, we performed Activation Likelihood
Estimation (ALE) analysis between grip type and grip pattern using functional
magnetic resonance imaging (fMRI) data. ALE provides a probabilistic summary of
the BOLD response in hundreds of subjects, which is often beyond the scope of a
single fMRI experiment. METHODS: We collected data from 28 functional magnetic
resonance data sets, which included a total of 398 male and female subjects.
Using ALE, we analyzed the BOLD response during power, precision, static and
dynamic grip in a range of forces and age in right handed healthy individuals
without physical impairment, cardiovascular or neurological dysfunction using a
variety of grip tools, feedback and experimental training. RESULTS: Power grip
generates unique activation in the postcentral gyrus (areas 1 and 3b) and
precision grip generates unique activation in the supplementary motor area (SMA,
area 6) and precentral gyrus (area 4a). Dynamic handgrip generates unique
activation in the precentral gyrus (area 4p) and SMA (area 6) and of particular
interest, both dynamic and static grip share activation in the area 2 of the
postcentral gyrus, an area implicated in the evolution of handgrip. According to
effect size analysis, precision and dynamic grip generates stronger activity than
power and static, respectively. CONCLUSION: Our study demonstrates specific
differences between grip type and pattern. However, there was a large degree of
overlap in the pre and postcentral gyrus, SMA and areas of the frontal-parietal
cerebellar network, which indicates that other mechanisms are potentially
involved in regulating handgrip. Further, our study provides empirically based
regions of interest, which can be downloaded here within, that can be used to
more effectively study power grip in a range of populations and conditions.
PMID- 24927987
TI - Syntax gradually segregates from semantics in the developing brain.
AB - An essential computational component of the human language faculty is syntax as
it regulates how words are combined into sentences. Although its neuroanatomical
basis is well-specified in adults, its emergence in the maturing brain is not yet
understood. Using event-related functional magnetic resonance imaging (fMRI) in a
cross-sectional design, we discovered, that in contrast to what is known about
adults 3-to-4- and 6-to-7-year-old children do not process syntax independently
from semantics at the neural level already before these two types of information
are integrated for the interpretation of a sentence. It is not until the end of
the 10th year of life that children show a neural selectivity for syntax,
segregated and gradually independent from semantics, in the left inferior frontal
cortex as in the adult brain. Our results indicate that it takes until early
adolescence for the domain-specific selectivity of syntax within the language
network to develop.
PMID- 24927988
TI - Evaluation of viability-qPCR detection system on viable and dead Salmonella
serovar Enteritidis.
AB - The propidium monoazide (PMA) coupled with PCR (viability PCR) is used in
foodborne pathogen detection in order to detect only viable bacteria. Originally
presented to fully remove the signal of dead bacteria, the limits of the
viability PCR rapidly came out in the literature. In this study, the use of PMA
in a viability-qPCR (v-qPCR) was assessed on viable and dead cells of Salmonella
enterica subsp. enterica serovar Enteritidis. The PMA treatment protocol was
modified (dark incubation duration, concentration of PMA) to evaluate if a
complete negative signal of dead Salmonella was possible. However, none of these
modifications was found to improve the removal of the remaining qPCR signal
observed in the presence of dead bacteria. The present research also underlines
that PMA may unexpectedly decrease the qPCR signal observed on living S.
Enteritidis at low concentration. Finally, the use of S. Enteritidis cells killed
by processes altering or not the cell-wall/membrane gives us a clue to answering
the question about the non-total extinction of the signal of dead cells sample in
the v-qPCR assay. Indeed, the data strongly indicate that the remaining qPCR
signal observed in non-culturable cells does not only depend on the cell
wall/membrane integrity of the bacteria. According to these results, the authors
suggest that for a rapid and reliable foodborne bacteria detection system, an
enrichment followed by a qPCR analysis should be preferred to a v-qPCR.
PMID- 24927989
TI - Direct and fast detection of Alexandrium minutum algae by using high frequency
microbalance.
AB - In this paper, a simple detection of a toxic algae, Alexandrium minutum, was
developed using highly sensitive quartz crystal microbalance. In terms of
performance, compared with other conventional analytical tools, the main interest
of our immunosensor is based on a fast and direct detection of these living
cells. This system requires the use of one monoclonal antibody directed against
the surface antigen of A. minutum. We demonstrate that the whole living and
motile algae are caught and detected. The high specificity of the biosensor is
also demonstrated by testing several other dinoflagellate species. The frequency
shift is correlated to the A. minutum cell concentration. This simple system is
potentially promising for environmental monitoring purposes.
PMID- 24927990
TI - Reconstructive surgery after burns: a 10-year follow-up study.
AB - BACKGROUND: There is minimal insight into the prevalence of reconstructive
surgery after burns. The objective of this study was to analyse the prevalence,
predictors, indications, techniques and medical costs of reconstructive surgery
after burns. METHODS: A retrospective cohort study was conducted in the three
Dutch burn centres. Patients with acute burns, admitted from January 1998 until
December 2001, were included. Data on patient and injury characteristics and
reconstructive surgery details were collected in a 10-year follow-up period.
RESULTS: In 13.0% (n=229/1768) of the patients with burns, reconstructive surgery
was performed during the 10-year follow-up period. Mean number of reconstructive
procedure per patient were 3.6 (range 1-25). Frequently reconstructed locations
were hands and head/neck. The most important indication was scar contracture and
the most applied technique was release plus random flaps/skin grafting. Mean
medical costs of reconstructive surgery per patient over 10-years were ?8342.
CONCLUSIONS: With this study we elucidated the reconstructive needs of patients
after burns. The data presented can be used as reference in future studies that
aim to improve scar quality of burns and decrease the need for reconstructive
surgery.
PMID- 24927991
TI - Inpatient peer support for adult burn survivors-a valuable resource: a
phenomenological analysis of the Australian experience.
AB - Peer support has long been recognised as an essential component of a supportive
network for people facing adversity. In particular, burn survivor peer support is
a valuable and credible resource available to those rehabilitating from a severe
burn. The aim of this study was to explore burn survivors' experiences of
providing and receiving inpatient peer support to develop an in-depth
understanding of the influence during the rehabilitation journey. In 2011, twenty
one burn survivors were recruited from four severe burn units across Australia. A
qualitative phenomenological methodology was used to construct themes depicting
survivors' experiences. Participants were selected through purposeful sampling,
and data collected through in-depth individual semi-structured interviews. Data
were analysed using Colaizzi's phenomenological method of data analysis. Central
to burn rehabilitation was the notion of peer support having a significant impact
on burn survivors' psychosocial rehabilitation. The emergent theme 'Burn Survivor
Peer Support' identified five cluster themes: (1) Encouragement, inspiration and
hope (2) Reassurance (3) The Importance of Timing (4) The Same Skin (5)
Appropriate Matching. These findings demonstrate that peer support assists with
fostering reassurance, hope and motivation in burn rehabilitation. A national
network based on a clinician led inpatient burn survivor peer support programme
could provide burn survivors across Australia, and in particular remote access
locations, with the benefits of peer support necessary to endure the
rehabilitation journey.
PMID- 24927992
TI - High effectiveness of triptolide, an active diterpenoid triepoxide, in
suppressing Kir-channel currents from human glioma cells.
AB - Triptolide (Trip), a diterpene triepoxide isolated from medicinal vine
Trypterygium wilfordii Hook. F. possessed multiple biological activities
including antineoplastic actions. However, no report concerning its effects on
ion currents has been published. In this study, we attempted to determine whether
this compound has any effects on ion currents in malignant glioma cells. The mRNA
expression of KCNJ10 (Kir4.1) was detected in U373 glioma cells. The inwardly
rectifying K(+) currents (IK(IR)) in U373 cells were almost fully blocked by
BaCl2 (1mM). Trip (30 nM-10 MUM) effectively decreased the amplitude of IK(IR) in
a concentration-dependent manner with an IC50 value of 0.72 MUM. In chlorotoxin
treated U373 cells, Trip-mediated block of IK(IR) remained effective. Addition of
Trip (3 MUM) slightly inhibited the amplitude of Ca(2+)-activated K(+) current
and sustained K(+) outward current in U373 cells. In cell-attached configuration,
when Trip was added to the bath, the activity of inwardly rectifying K(+) (Kir)
channels diminished with no change in single-channel conductance. Its suppression
of Kir channels was accompanied by a reduction in the slow component of mean open
time. Under current-clamp conditions, addition of Trip depolarized the membrane
along with changes in frequency histogram of resting potential. Block by this
component of Kir4.1 channels may be an important mechanism underlying its actions
on the functional activity of glioma cells. Targeting at Kir4.1 channels may be
clinically useful as an adjunctive regimen to anti-cancer drugs.
PMID- 24927993
TI - The influence of selected antihypertensive drugs on zinc, copper, and iron status
in spontaneously hypertensive rats.
AB - Mineral homeostasis in hypertensive patients may be affected by hypotensive
drugs. The aim of this study was to assess the influence of selected
antihypertensive drugs on mineral homeostasis in a rat model of hypertension.
Eight-week-old male spontaneously hypertensive rats (SHRs) were treated with
perindopril, metoprolol, indapamide, amlodipine, or no drug for 45 days. In
another experiment, the SHRs were treated with indapamide or amlodipine in the
presence of zinc and copper gluconate supplement. Lipids, glucose, and insulin
levels along with superoxide dismutase and catalase activities were assayed in
serum. Iron, zinc, and copper concentrations in serum, erythrocytes, and tissues
were determined using the flame atomic absorption spectrometry. Blood pressure
was measured using a tail-cuff plethysmograph. Treatment with indapamide and
amlodipine was found to significantly lower zinc levels in serum, erythrocytes,
livers, and spleens of the SHRs, as well as copper levels in the kidneys,
compared with the control no-drug group. A markedly higher concentration of
glucose was found in the indapamide-treated rats. Supplementing the indapamide
treated SHRs with zinc and copper gluconate resulted in a significant decrease in
both systolic and diastolic blood pressure, and also lowered serum glucose and
triglyceride concentrations and HOMA (homeostasis model assessment-insulin
resistance) values. The results show that indapamide and amlodipine disturb zinc
and copper homeostasis in SHRs. Supplementation with zinc and copper restores
mineral homeostasis in SHRs treated with indapamide and amlodipine, and also
corrects metabolic imbalances while improving the antihypertensive efficiency of
indapamide.
PMID- 24927994
TI - Inhibition of cardiac two-pore-domain K+ (K2P) channels--an emerging
antiarrhythmic concept.
AB - Effective and safe pharmacological management of cardiac arrhythmia still
constitutes a major clinical challenge. Outward potassium currents mediated by
two-pore-domain potassium (K2P) channels promote repolarization of excitable
cells. In the heart, inhibition or genetic inactivation of K2P currents results
in action potential prolongation. Human K2P3.1 (TASK-1) channels are
predominantly expressed in the atria and represent targets for the treatment of
atrial fibrillation. In addition, stretch-sensitive K2P2.1 (TREK-1) channels are
implicated in mechanoelectrical feedback and arrhythmogenesis in atrial and
ventricular tissue. K2P current inhibition by clinically used antiarrhythmic
drugs indicates a role of the channels as potential drug targets. This work
summarizes the current knowledge on function, pharmacology, and significance of
cardiac K2P channels. Therapeutic implications with emphasis on atrial
fibrillation are highlighted.
PMID- 24927995
TI - Prenatal lipopolysaccharide disrupts maternal behavior, reduces nest odor
preference in pups, and induces anxiety: studies of F1 and F2 generations.
AB - The present study analyzed the transgenerational effects of lipopolysaccharide
(LPS; 100 MUg/kg) administration on gestational day 18 (GD18) of parental
generation on maternal-pups interaction of F1 and F2 generations. Also the long
term behavioral effects were observed in male of F2 generation. In F1 generation,
the reproductive performance, maternal behavior, maternal aggressive behavior,
and general activity in the open field in adulthood were analyzed. In F2
generation, body weight at birth and at weaning, nest odor preference, and
general activity in the open field and elevated plus maze in adulthood were
assessed. Compared to controls, results showed that in the F1 generation,
prenatal LPS exposure (1) increased the latency to full maternal behavior, but
all of the females grouped the pups and presented full maternal behavior, (2)
reduced the total time boxing and fighting, increased the frequency of retrieving
the pups, and increased the number of bites, and (3) did not affect reproductive
performance or general activity. In F2 generation, compared with controls, the
LPS group exhibited (1) a decrease in body weight at weaning, (2) a decrease in
nest odor preference, (3) a decrease in the percentage of time spent in the open
arms, a decrease in the percentage of time spent in the center, and an increase
in the time spent in the closed arms in the elevated plus maze, and (Huang et
al.) no affect behavior in the open field. Prenatal LPS exposure improved
maternal care in the F1 generation with regard to nursing and pup survival but
did not improve the motivational parameters of maternal behavior likely because
of a reduction of maternal stimulation by the pups. In the F2 generation, the
reduction of nest odor preference in the pups suggests a less maternal
recognition. In adulthood, these rats exhibited increased anxiety-like behavior.
These data did not result from motor alterations because rats in both the F1 and
F2 generations did not show alterations in open field behavior. This transfer of
information across generations likely occurred through nongenetic means because
the endotoxin was administered at the end of pregnancy. These results may have
implications for clinical therapeutics in human disorders and evolution.
PMID- 24927997
TI - Skeletal muscle myogenesis is regulated by G protein-coupled receptor kinase 2.
AB - G protein-coupled receptor kinase 2 (GRK2) is an important serine/threonine
kinase regulating different membrane receptors and intracellular proteins.
Attenuation of Drosophila Gprk2 in embryos or adult flies induced a defective
differentiation of somatic muscles, loss of fibers, and a flightless phenotype.
In vertebrates, GRK2 hemizygous mice contained less but more hypertrophied
skeletal muscle fibers than wild-type littermates. In C2C12 myoblasts,
overexpression of a GRK2 kinase-deficient mutant (K220R) caused precocious
differentiation of cells into immature myotubes, which were wider in size and
contained more fused nuclei, while GRK2 overexpression blunted differentiation.
Moreover, p38MAPK and Akt pathways were activated at an earlier stage and to a
greater extent in K220R-expressing cells or upon kinase downregulation, while the
activation of both kinases was impaired in GRK2-overexpressing cells. The
impaired differentiation and fewer fusion events promoted by enhanced GRK2 levels
were recapitulated by a p38MAPK mutant, which was able to mimic the inhibitory
phosphorylation of p38MAPK by GRK2, whereas the blunted differentiation observed
in GRK2-expressing clones was rescued in the presence of a constitutively active
upstream stimulator of the p38MAPK pathway. These results suggest that balanced
GRK2 function is necessary for a timely and complete myogenic process.
PMID- 24927996
TI - FW-04-806 inhibits proliferation and induces apoptosis in human breast cancer
cells by binding to N-terminus of Hsp90 and disrupting Hsp90-Cdc37 complex
formation.
AB - BACKGROUND: Heat shock protein 90 (Hsp90) is a promising therapeutic target and
inhibition of Hsp90 will presumably result in suppression of multiple signaling
pathways. FW-04-806, a bis-oxazolyl macrolide compound extracted from China
native Streptomyces FIM-04-806, was reported to be identical in structure to the
polyketide Conglobatin. METHODS: We adopted the methods of chemproteomics,
computational docking, immunoprecipitation, siRNA gene knock down, Quantitative
Real-time PCR and xenograft models on the research of FW-04-806 antitumor
mechanism, through the HER2-overexpressing breast cancer SKBR3 and HER2
underexpressing breast cancer MCF-7 cell line. RESULTS: We have verified the
direct binding of FW-04-806 to the N-terminal domain of Hsp90 and found that FW
04-806 inhibits Hsp90/cell division cycle protein 37 (Cdc37) chaperone/co
chaperone interactions, but does not affect ATP-binding capability of Hsp90,
thereby leading to the degradation of multiple Hsp90 client proteins via the
proteasome pathway. In breast cancer cell lines, FW-04-806 inhibits cell
proliferation, caused G2/M cell cycle arrest, induced apoptosis, and
downregulated Hsp90 client proteins HER2, Akt, Raf-1 and their phosphorylated
forms (p-HER2, p-Akt) in a dose and time-dependent manner. Importantly, FW-04-806
displays a better anti-tumor effect in HER2-overexpressed SKBR3 tumor xenograft
model than in HER2-underexpressed MCF-7 model. The result is consistent with cell
proliferation assay and in vitro apoptosis assay applied for SKBR-3 and MCF-7.
Furthermore, FW-04-806 has a favorable toxicity profile. CONCLUSIONS: As a novel
Hsp90 inhibitor, FW-04-806 binds to the N-terminal of Hsp90 and inhibits
Hsp90/Cdc37 interaction, resulting in the disassociation of Hsp90/Cdc37/client
complexes and the degradation of Hsp90 client proteins. FW-04-806 displays
promising antitumor activity against breast cancer cells both in vitro and in
vivo, especially for HER2-overexpressed breast cancer cells.
PMID- 24927998
TI - [Potential role of CRELD1 gene in the pathogenesis of atrioventricular septal
defect].
AB - OBJECTIVE: To screen potential mutation of the CRELD1 gene in congenital
atrioventricular septal defect (AVSD) and explore its functional implications.
METHODS: Fragments encompassing the 11 coding exons of CRELD1 gene, including at
least 50 bp of flanking intronic regions, were amplified with PCR and subjected
to DNA sequencing. Results of sequencing were compared with predicted sequence
from the GenBank database. Eukaryotic expression vector pcDNA3.1CRELD1 containing
the mutational sequence was constructed. Western blotting and real-time
fluorescent quantitative reverse transcription polymerase chain reaction (FQ RT
PCR) was applied to examine the expression of CRELD1, Tenascin C and Aggrecan.
RESULTS: C857G was identified in a girl with an isolated partial AVSD. The
mutation has resulted in a substitution of Alanine for Proline at amino acid 286
in the first cbEGF domain. Western blotting and FQ RT-PCR confirmed that the
P286R missense mutation has been a gain-of-function mutation. Compared with the
unloaded control, the Aggrecan mRNA expression was downregulated for both wild
type and mutant type samples (t=140.27 vs. 26.36, P < 0.01). The downregulation
was more significant in mutant type (t=25.69, P=0.002). There was no significant
difference of the Tenascin C expression between wild-type and the unload control
(t=1.167, P> 0.05), whilst the Tenascin C expression was up-regulated in mutant
type (t=6.66, P=0.022). CONCLUSION: Mutation of the CRELD1 gene may increase the
risk for AVSD rather than being directly causative. The P286R mutation of CRELD1
can downregulate the expression of Aggrecan and upregulates the expression of
Tenascin C protein, both of which are crucial to extracellular matrix in the
formation of the atrioventricular septum. The P286R mutation of CRELD1 may be
correlated to the occurrence of AVSD.
PMID- 24927999
TI - [Genetic analysis of ASS1, ASL and SLC25A13 in citrullinemia patients].
AB - OBJECTIVE: To detect potential mutations of Y9ASS1, ASL and SLC25A13 genes in
four patients manifesting citrullinemia. METHODS: Genomic DNA was extracted from
peripheral blood leukocytes. Exons and their flanking sequences of the three
genes were amplified with polymerase chain reaction and subjected to direct DNA
sequencing. RESULTS: Based on DNA sequence analysis, one case was diagnosed with
argininosuccinate synthetase deficiency, and the mutation type (ASS1 gene) was
c.236C>T (p.S79F) + c.431C>G (p.P144R). Two cases were diagnosed with
argininosuccinic aciduria (ASL gene), and their gene mutations were c.434A>G
(p.D145G) + c.1366C>T (p.R456W) and c.331C>T (p.R111W) + IVS8+2insT,
respectively. A thirteen months boy who carried a heterozygous 851del4 mutation
(SLC25A13 gene) was diagnosed with citrullinemia adult-onset type II. CONCLUSION:
Through analysis of relevant pathogenic genes, four patients have been diagnosed.
PMID- 24928000
TI - [Analysis of FGFR2 gene mutations in two Chinese families with Crouzon syndrome].
AB - OBJECTIVE: To detect potential mutations of fibroblast growth factor receptor 2
gene (FGFR2) in two Chinese families with Crouzon syndrome. METHODS: Genomic DNA
was extracted from peripheral blood leukocytes of 20 members from two affected
families. All of the 18 exons of the FGFR2 gene were amplified with polymerase
chain reaction and sequenced after purification. RESULTS: A missense mutation
c.868T>C (p.W290R) in exon 8 of the FGFR2 gene was found solely in 2 affected
members from family 1. Another missense mutation c.833G>T (p.C278F) in exon 8 was
found solely in 5 affected members of family 2. CONCLUSION: The missense
mutations of the FGFR2 gene are responsible for the Crouzon syndrome in the two
families. The c.868T>C missense mutation is reported for the first time in
Chinese population.
PMID- 24928001
TI - [Identification of a pathogenic microduplication in a Chinese split-hand/split
foot malformation family].
AB - OBJECTIVE To identify the potential pathogenic mutation in a Chinese family with
split hand/foot malformation (SHFM). METHODS Affymetrix SNP 6.0 array was used to
perform a genome-wide copy number variations scan, and quantitative real-time PCR
(qPCR) was applied to validate the identified genomic duplication. RESULTS A ~560
kb microduplication on the chromosome 10q24 was identified. The qPCR assay
confirmed the presence of this microduplication in all the available affected
family members. CONCLUSION The ~560 kb microduplication is probably the
pathogenic mutation underlying the SHFM phenotype in the studied family.
PMID- 24928002
TI - [Genetic analysis and prenatal diagnosis of two Chinese families with split hand
foot malformation].
AB - OBJECTIVE: To identify genomic aberrations underlying pathogenesis of split hand
foot malformation (SHFM) in two Chinese families, and to provide genetic
counseling and prenatal diagnosis for them. METHODS: Two sets of peripheral blood
and amniotic fluid samples were collected from the patients. One was processed
with routine culture and karyotype analysis. For another set, DNA was extracted
and analyzed with array-based comparative genomic hybridization (array-CGH).
RESULTS: Karyotype analysis of peripheral blood samples for both probands was
normal. Karyotype analysis of the amniotic fluid from family 1 has found no
abnormality. However, analysis of amniotic fluid samples from the second family
showed del(7)(q21q22.1). By array-CGH analysis, both blood and amniotic fluid
samples from the first family showed a 662.3 kb dup(10q24.31q24.32). Array-CGH
analysis of the blood sample from the second family was normal, whilst analysis
of amniotic fluid sample revealed a 19.97 Mb del(7q11.23q21.3). CONCLUSION: Array
CGH features high resolution, high accuracy and rapid diagnosis for unbalanced
chromosomal aberration. The dup(10q24.31q24.32) and 19.97 Mb del(7q11.23q21.3)
have been the cause of SHFM in the two families. Genetic counseling and prenatal
diagnosis have been provided for both families in order to prevent this birth
defect.
PMID- 24928003
TI - [Genetic analysis for a family with Cockayne syndrome].
AB - OBJECTIVE: To identify potential mutations among three sisters from a Chinese
family suspected with Cockayne syndrome for growth and psychomotor retardation,
and to offer genetic counseling and prenatal diagnosis for the family. METHODS: G
banded karyotyping, microarray comparative genomic hybridization (CM-CGH), whole
genome exon high-throughput sequencing and Sanger sequencing were employed to
identify potential genetic variations for the three patients and their parents.
RESULTS: Whole exome sequencing has identified two novel missense mutations,
i.e., c.1595A>G (p.Asp532Gly) and c.1607T>G (p.Leu536Trp), in exon 7 of excision
repair cross-complementing rodent repair deficiency, complementation group 6
(ERCC6) gene. Sanger sequencing confirmed that all of the three sisters have
inherited one of the mutations (c.1607T>G) from their father and another
(c.1595A>G) from their mother. CONCLUSION: Three sisters have all been identified
as double heterozygote for mutations c.1607T>G and c.1595A>G and were diagnosed
with Cockayne syndrome.
PMID- 24928004
TI - [Analysis of CYP21A2 gene mutations in two families with 21-hydroxylase
deficiency].
AB - OBJECTIVE: To analyze CYP21A2 gene mutation in two families with 21-hydroxylase
deficiency (21-OHD) and to explore the correlation between genotype and clinical
phenotype. METHODS: Two patients with 21-OHD and their families were
investigated. CYP21A2 gene mutation was analyzed by PCR and direct sequencing.
RESULTS: The probands from family 1 and 2 have been respectively diagnosed with
simple virilizing and non-classical 21-OHD. Both showed increased baseline serum
17hydroxyprogesterone, testosterone and adrenocorticotropic hormone (ACTH), but
had no evidence of salt loss. Computer tomography revealed bilateral adrenal
hyperplasia in both patients. After 1 year treatment, both had conceived
successfully. DNA sequencing revealed that the proband of family 1 had compound
heterozygous mutations for IVS2 13 A>G and Ile172Asn. Her father was heterozygous
for Ile172Asn, whilst her mother and brother were heterozygous for IVS213A/C>G.
In family 2, the proband was heterozygous for Arg341Trp and Gln318X. Her father,
sister and nephew were heterozygous for Arg341Trp, whilst her mother was
heterozygous for Gln318X. her brother and niece were non-affected. Carriers of
single heterozygous mutations in both families had no clinical sign. CONCLUSION:
In both families, the disease has been caused by compound heterozygous mutations,
for which there has been a good genotype-phenotype agreement. Screening of
CYP21A2 gene can facilitate both diagnosis and genetic counseling.
PMID- 24928005
TI - [Mutation analysis of STK11 gene in a Chinese family with Peutz-Jeghers
syndrome].
AB - OBJECTIVE: To investigate STK11 gene mutation in a pedigree with Peutz-Jeghers
syndrome (PJS). METHODS: A pedigree of PJS was investigated. DNA was extracted
from peripheral blood samples from affected and unaffected members of the
pedigree and 100 unrelated healthy controls. PCR was performed to amplify all of
the 9 coding exons of STK11 gene. PCR products were directly sequenced to detect
mutation. RESULTS: A missense mutation p.F354L (c.1062C>G) in exon 8 of the STK11
gene has been identified in all patients with PJS, but was not found in normal
individuals from the pedigree and 100 unrelated controls. CONCLUSION: A missense
mutation p.F354L of STK11 gene probably underlies the disease in this pedigree.
PMID- 24928006
TI - [Study of mutations of presenilin 1 gene in early-onset familial Alzheimers
disease].
AB - OBJECTIVE: Mutations of presenilin 1 (PSEN1) gene are the most frequent cause for
familial Alzheimers disease (AD). This study was set to explore potential
mutation of PSEN1 gene in a Chinese family featuring early-onset Alzheimers
disease (FAD). METHODS: DNA was isolated from peripheral blood samples from 17
members of the FAD family as well as 10 patients with sporadic Alzheimers disease
and 100 healthy subjects. With polymerase chain reaction (PCR) and Sanger
sequencing, exons 113 of the PSEN1 gene were analyzed. RESULTS: DNA sequencing
has revealed a heterozygous point mutation from G to A at position 1133
(Gly378Glu) of exon 11 of PSEN1 gene in 6 members from the family, among whom 5
were patients with dementia, whilst the remaining 1 was clinically normal but
under onset age. The same mutation was not found in all other patients and the
normal controls. CONCLUSION: A novel missense mutation of the PSEN1 gene,
Gly378Glu, probably underlies the autosomal dominant early-onset FAD in this
Chinese family.
PMID- 24928007
TI - [Array comparative genomic hybridization analysis of early-stage arrested human
embryos].
AB - OBJECTIVE: To investigate chromosomal euploidies in early-stage arrested human
embryos. METHODS: To determine the euploidy status of the 24 chromosomes, 13
embryos were analyzed, which included 5 arrested at 4-cell stage, 4 arrested at 8
cell stage, and 4 embryos at blastocyst stage regardless of their morphological
scores. All embryos were subjected to biopsy, whole genome amplification, and
array comparative genome hybridization analysis. RESULTS: Chromosome euploidies
of the arrested embryos can be normal, aberrant and chaotic. Mosaicism is
prevalent in early stage cleavage, whilst most of the blastocysts, even with poor
morphology, are normal diploid. CONCLUSION: Arrested embryo may have normal
chromosomes euploidy. Mosaicism is common in cleavage stage embryos. Early stage
embryo arrest may not be solely attributable to chromosomal aneuploidies and
needs further research.
PMID- 24928008
TI - [Relationship between Line 1 methylation and clinical data of non-small cell lung
cancer].
AB - OBJECTIVE: To explore the impact of Line-1 methylation on clinical features of
non-small cell lung cancer and its connection with smoking and other living
habits. METHODS: Pyrosequencing was used to determine the extent of Line-1
methylation in cancer and adjacent tissues derived from 197 patients with primary
non-small cell lung cancer. Non-conditional logistic regression analysis was
performed to correlate the level of Line-1 methylation with clinical features and
living habits of the patients. RESULTS: Line-1 methylation for cancer tissue and
adjacent tissue has measured 68.20+/-11.63 and 78.90+/-2.09, respectively (P <
0.01), and has been associated with TNM staging, smoking history and
histopathological types. CONCLUSION: Lung cancer tissue Line-1 methylation level
is closely related with clinical features and smoking. There is also a
correlation between histopathological types of lung cancer and relative
hypomethylation of Line-1.
PMID- 24928009
TI - [Effect of PARP1 inhibitor PJ34 on multi-drug resistance in human multiple
myeloma cell line and its relationship with FA/BRCA pathway].
AB - OBJECTIVE: To investigate the effect of PARP1 inhibitor PJ34 on multi-drug
resistance in a human multiple myeloma cell line and its connection with FA/BRCA
pathway in DNA damage repair. METHODS: A CCK8 assay was used to measure the
inhibition rate. Real-time quantitative PCR was used to detect expression changes
of DNA repair genes involved in the FA/BRCA pathway. Western blotting assay was
used to detect expression of key protein FANCD2 in the FA/BRCA pathway. Annexin
VFITC/PI double staining flow cytometry was used to measure cell apoptosis
induced by PJ34. A COMET assay was used to detect the effect of PJ34 on DNA
damage repair. RESULTS: PJ34 could significantly enhance the sensitivity of
RPMI8226/R cells to melphalan. The IC50 value of melphalan was dropped from 20.43
mol/L to 7.8 mol/L. PJ34 could inhibit the DNA damage repair, and the effect was
related with the inhibition of FA/BRCA pathway. PJ34 and melphalan showed a
synergistic effect in promoting the apoptosis of RPMI8226/R cells. CONCLUSION:
PJ34 can reverse the resistance of RPMI8226/R cells to melphalan by inhibiting
the FA/BRCA pathway, which in turn can induce suppression of DNA damage repair.
Therefore, PJ34 may have clinical value in overcoming the multi-drug resistance
of multiple myeloma.
PMID- 24928010
TI - [Expression of peroxisome proliferators-activated receptor in glioma and its
effect on the growth of human glioma cells].
AB - OBJECTIVE: To study the expression of peroxisome proliferators-activated receptor
(PPAR) in human glioma tissue and its influence on tumor growth. METHODS:
Expression of PPAR mRNA in glioma tissue was determined by real-time reverse
transcription polymerase chain reaction (RT-PCR). Subsequently, MTT (3-(4, 5)
dimethylthiahiazo(-z-y1)-3, 5-di-phenytetrazoliumromide) assay, flow cytometry,
reactive oxygen species assay kit and Western blotting were used to assay U87
cells with agonist activity of PPAR. RESULTS: The data demonstrated that the
expression of PPAR in glioma was low and negatively correlated with its
pathological grade. Activation of PPAR suppresses tumor cell proliferation,
delays the cell cycle at G1 phrase, and induces apoptosis and accumulation of
reactive oxygen species (ROS) in U87 cells. CONCLUSION: The expression of PPAR
mRNA in human glioma was low. PPAR protein plays a critical role in the
progression of glioma via the PPAR signal pathway.
PMID- 24928011
TI - [Identification of a NFB responsive element within the dimethylarginine
dimethylaminohydrolase 2 gene promoter].
AB - OBJECTIVES: To identify a NFB responsive element within the dimethylarginine
dimethylaminohydrolase 2 gene (DDAH) promoter and demonstrate its role in DDAH2
transactivation. METHODS: DDAH2 promoter was analyzed with software to identify
potential binding sites of transcription factors. A series of truncated DDAH2
promoter luciferase reporter plasmids were constructed and transfected into human
embryonic kidney derived HEK293 cells. Luciferase assays were carried out to
analyze the activity of the promoter. Electrophoresis mobility shift assay (EMSA)
and chromatin immunoprecipitation (ChIP) were used to identify the NFB responsive
element in vitro and in vivo. DDAH2 promoter luciferase reporter plasmid with
mutated NFB site was constructed and transfected into cells, and its activity was
compared with that of the wild-type plasmid. RESULTS: Potential bindings sites of
many transcription factors were found within the DDAH2 promoter. The
transcription activity of the DDAH2 promoter was high, and -530 to -437 was a
positive regulating region. -476 to -469 of the DDAH2 promoter was a NFB
responsive element, to which NFB can specifically bind. Mutation of the NFB
element could significantly decrease the DDAH2 promoter activity. CONCLUSION:
476 to -469 of the DDAH2 promoter was a NFB responsive element and is important
for the transactivation of DDAH2.
PMID- 24928012
TI - [Advances in research based on twins reared apart].
AB - The design of twins reared apart, very rare genetic epidemiological resources has
been hailed as fascinating experiment of nature. However, not so many studies
have been based on it due to the difficulty in recruiting the participants. It
also makes the only existing research on twins raised apart particularly
valuable. How to utilize these resources fully will be the focus of this research
area. This review will overview its design background, basic hypothesis, and
current status of research and give advice for the research in this field in
China.
PMID- 24928013
TI - [Recent advances of study on hereditary diffuse leukoencephalopathy with
spheroids].
AB - Hereditary diffuse leukoencephalopathy with neuroaxonal spheroids (HDLS) is a
rare autosomal dominant leukoencephalopathy disease, and colony stimulating
factor 1 receptor (CSF1R) is the only gene in which mutations are known to cause
HDLS. HDLS should be suspected in individuals with progressive neurological
decline, characteristic MR imaging findings, and positive family history. This
article reviews recent advance in imaging findings, clinical manifestations,
genetic counseling and management in HDLS.
PMID- 24928014
TI - [Advance in studies on dopamine system related genetic polymorphisms associated
with nicotine dependence].
AB - Nicotine is the main component for smoking addiction. It is widely believed that
nicotine dependence is heritable. Many studies are committed to study the effects
of specific gene polymorphisms connect with nicotine dependence. Release of
dopamine has been considered the most important channel for nicotine dependence.
This paper provides a review for recent advance in studies on dopamine system
related genetic polymorphisms associated with nicotine dependence.
PMID- 24928015
TI - [Genetic diagnosis of Duchenne/Becker muscular dystrophy by MLPA].
AB - OBJECTIVE: To assess the value of multiplex ligation-dependent probe
amplification (MLPA) for the genetic and prenatal diagnosis of Duchenne/Becker
muscular dystrophy (DMD/BMD). METHODS: Forty seven patients clinically diagnosed
or suspected with DMD/BMD were recruited. Deletion or duplication of the 79 exons
of the DMD gene were detected by MLPA. PCR and sequencing were used to detect
single exon deletion. MLPA was also used for identifying carriers. For cases
requesting prenatal diagnosis, short tandem repeat (STR) capillary
electrophoresis, linkage analysis and MLPA were applied to determine fetal DMD
gene. RESULTS: Among the 47 patients, deletions and duplications encompassing one
or more exons were identified in 31 and 7 cases with MLPA, respectively. Seven
patients had single exon deletions. However, one of which was actually a point
mutation in the probe-conjugated region and was confirmed by PCR and sequencing.
Of the 23 mothers with MLPA positive sons, 13 were found to carry either
deletions or duplications. Prenatal diagnosis has identified 2 male affected
fetuses and 3 female carrier fetuses in the 13 cases examined, which was in
conformity with linkage analysis. CONCLUSION: Our data demonstrated that MLPA is
a rapid, direct and reliable method for detecting deletions or duplications of
the DMD gene. It can also indicate small changes within the sequences detected by
the probe. Combing MLPA with PCR, sequencing and linkage analysis could make the
genetic diagnosis of DMD/BMD more accurate.
PMID- 24928016
TI - [Screening and analysis of a new mutation of COL1A1 gene in a family with
osteogenesis imperfecta].
AB - OBJECTIVE: To investigate mutation of COL1A1 gene and analyze the relationship
between genotype and clinical phenotype in a family with osteogenesis imperfecta
(OI). METHODS: The family history of an OI pedigree, along with clinical data,
was collected. Blood samples from the proband and his families, as well as 50
normal controls, were collected. Mutation of COL1A1 gene was screened using PCR
high resolution melting (PCR-HRM) and validated by sequencing. RESULTS: PCR HRM
method showed an abnormal result in proband COL1A133_34 exons, which Tm was
87.7C, in contrast to the normal control (wt) Tm of 87.9+/-0.06C. There was a
significant difference between the proband and the normal control with the
standardization curve and the difference curves. DNA sequencing showed that
Y9COL1A1 gene exons 33_34 has lost a C base (c.2321delC), which resulted in a
frameshift mutation and caused an premature termination codon (UAA) at amino acid
334, i.e., p.Pro774LeufsX334 The father and grandfather of the proband, both
suffered from OI, were verified to be heterozygous for the same mutation. The
same mutation was not found in 50 normal controls. Database search confirmed this
to be a novel mutation. Pedigree analysis suggested that it has an autosomal
dominant inheritance. The proband and patients from the family were clinically
diagnosed as OI type I. CONCLUSION: The study has identified a novel mutation of
COL1A1 gene, c.2321delC. This frameshift mutation has caused a premature stop
codon and reduced collagen type synthesis, characterized by a lighter OI clinical
phenotype.
PMID- 24928017
TI - [A family with interphalangeal synarthrophysis].
PMID- 24928018
TI - [Multiple endocrine neoplasia type 2A caused by a p.C618R RET proto-oncogene
mutation in a Chinese pedigree].
AB - OBJECTIVE: To explore the clinical characteristics and significance of RET proto
oncogene screening in multiple endocrine neoplasia type 2A (MEN2A). METHODS:
Comprehensive medical history was obtained for 5 members from a 3-generation
family from southern China. Clinical investigations have included biochemical
testing, imaging, and screening of germline RET proto-oncogene mutations.
RESULTS: Genetic screening has revealed a missense mutation at codon 618(TGC>CGC)
of exon 10 in 3 patients(p.C618R), which was consistent with their clinical
manifestations. For the 3 individuals, the age at diagnosis was 21, 26 and 36 yr,
and the maximum diameter of medullary thyroid carcinoma was 22, 25 and 39 cm,
respectively. The 36-year-old female patient initially underwent right total
thyroidectomy plus right neck lymph node dissection. Four years later, she again
underwent left adrenal tumorectomy and left total thyroidectomy plus left neck
lymph node dissection. The 21-year-old male patient underwent right total
thyroidectomy plus right modified neck dissection. The follow-up was respectively
146 and 26 months following the initial operation. Two patients still presented
elevated calcitonin and had bilateral neck lymph node masses and/or left thyroid
masses on imaging examination. The 26-year-old female patient, who presented
bilateral thyroid masses and elevated calcitonin, has refused thyroidectomy.
CONCLUSION: Combined family survey and RET gene screening can facilitate early
diagnosis and surgical treatment to improve the prognosis.
PMID- 24928019
TI - [Screening of variation in the promoter of PPARGC1A gene and study of its
association with the risk of type 2 diabetes in ethnic Hans from Beijing].
AB - OBJECTIVE: To study the baseline distribution of polymorphisms in the promoter of
peroxisome proliferators activated receptor co-activator 1 (PPARGC1A) gene in
ethnic Hans from Beijing, and to assess their association with type 2 diabetes
(T2DM). METHODS: A 2-stage study was designed. Firstly, the promoter region of
PPAGC1A gene was screened with PCRRFLP in a small population (n=216,
T2DM/control: 104/112), which was followed by a replication study of a larger
group (n=1546, T2DM/control: 732/814). Fasting plasma glucose, insulin, blood
lipid, height, weight, waist circumference, and blood pressure were measured in
all subjects. Potential association was assessed by logistic regression. Linkage
disequilibrium and haplotype analysis were conducted with Haploview software.
RESULTS: Five polymorphisms were identified with Sanger sequencing, among which T
2120C (rs3755857), -1999C/G (rs2946386) and -1437T/C (rs2970870) were included
for genotypic analysis based on their moderate levels of heterozygosity. No
significant difference was found between the two groups. When adjusted for age
and gender confounding, we have combined the OR values from population 1 and
population 2 based on Mantel-Haenszel fixed model, and recognized a mild
contribution of C allele of -1999C/G (rs2946386) to the 1.18-fold risk of T2DM
(P=0.03, OR=118). No haplotype was associated with T2DM after permutation
correction. CONCLUSION: The C allele of -1999C/G ( rs2946386) in the promoter
region of the PPARGC1A gene is mildly associated with T2DM. Variations in the
promoter region of the PPARGC1A gene seem not to confer the risk of T2DM in our
population.
PMID- 24928020
TI - [Association study of LIS1 and TSNAX genes with bipolar disorder in Chinese Han
population].
AB - OBJECTIVE: To assess the association of neural development-related genes LIS1and
TSNAX with bipolar disorder in a Chinese Han population. METHODS: Three hundred
and eight five patients (including 188 males and 197 females) from Guangzhou
Brain Hospital with bipolar disorder meeting the Diagnostic and Statistic Manual
of Bipolar Disorder (BDI) (Fourth Edition) criteria and 475 healthy controls from
the local community were recruited. Ten single nucleotide polymorphisms (SNPs) of
the LIS1 and TSNAX genes were genotyped by GoldenGate genotyping assay on an
Illumina Beadstation 500 machine. Association analyses of SNPs and haplotypes
were performed with Plink 1.07 software. RESULTS: Analysis of the total sample
has failed to find any association of SNP or haplotype of the two genes with BDI
(P> 0.05). When patients were divided into subgroups with or without psychotic
symptom, no significant association of the two genes was found with psychotic BDI
or non-psychotic BDI (P> 0.05). No significant association was found between any
SNP and haplotype of two genes and female BDI or male BDI, nor were significant
association found between age of onset and LIS1 and TSNAX gene polymorphisms.
CONCLUSION: Our results indicated that LIS1 and TSNAX genes are not associated
with susceptibility to bipolar I disorder in Chinese Han population.
PMID- 24928021
TI - [Association of programmed cell death 1 gene polymorphisms with dilated
cardiomyopathy in Chinese Han population].
AB - OBJECTIVE: To investigate the association between programmed death 1 (PDCD1) gene
polymorphism and dilated cardiomyopathy (DCM). METHODS: Two single nucleotide
polymorphisms (SNPs) of the PDCD1 gene, rs2227981, rs2227982, were genotyped and
detected in 340 DCM patients and 401 healthy controls using the method of
polymerase chain reaction-restriction fragment length polymorphism (PCRRFLP). The
genotype frequencies and allele frequencies of SNPs were compared between DCM
patients and normal controls. RESULTS: The genotype and allele distributions of
rs2227982 were significantly different between the patients with DCM and the
controls. The frequencies of TT genotype and T allele of rs2227982 were higher in
the patients than those in the controls (35.3% vs. 23.4%, P < 0.01, OR=2.37,
95%CI: 1.57-3.57; 58.5% vs. 47.4%, P < 0.01, OR=1.58, 95%CI: 1.28-1.93,
respectively). No association was observed for rs2227981 between the DCM patients
and the controls. CONCLUSION: rs2227982 in PDCD1 gene is association with DCM in
Chinese Han population, which supported PDCD1 as a susceptibility gene for DCM.
TT genotypes and T allele in rs2227982 may be associated with significantly
increased risk of DCM.
PMID- 24928022
TI - [Impact of six genetic polymorphisms on Warfarin maintenance dose variation in
Chinese Han population].
AB - OBJECTIVE: To evaluate the effect of VKORC1, CYP2C9, GGCX, PROC, EPHX1 and CYP4F2
gene polymorphisms on Warfarin maintenance dose variation in Chinese Han
Population. METHODS: Four hundred eighty-eight patients with prosthetic heart
valves, atrial fibrillation or pulmonary thromboembolism and achieved stable
Warfarin dose were enrolled. TaqMan probe or direct sequencing were used to
genotype Y9VKORC1, CYP2C9, GGCX, EPHX1 and CYP4F2 gene polymorphisms. Demographic
characteristics, stable therapeutic dose of Warfarin and concomitant medications
were collected for all patients. The effect of VKORC1, CYP2C9, GGCX, PROC, EPHX1
and CYP4F2 gene polymorphisms, demographic characteristics and concomitant
medications on Warfarin daily maintenance dose were analyzed with statistical
method. RESULTS: VKORC1 and CYP2C9 gene polymorphisms could explain more than 50%
Warfarin maintenance dose variation in recruited patients, while CYP4F2 gene
polymorphisms could only explain 1%. GGCX, PROC and EPHX1 gene polymorphisms had
no impact no Warfarin maintenance dose. VKORC1 and CYP2C9 gene polymorphisms have
a greater impact on Warfarin maintenance dose compared with demographic
characteristics and concomitant medications. CONCLUSION: VKORC1 and CYP2C9 gene
polymorphisms have a significant impact on Warfarin maintenance dose in Chinese
Han population.
PMID- 24928023
TI - [Characterization of muscular involvement in patients with Duchenne muscular
dystrophy by magnetic resonance imaging].
AB - OBJECTIVE: To study the order and degree of muscular affection in patients with
Duchenne muscular dystrophy (DMD) during the course of disease. METHODS:
Multiplex ligation dependent probe amplification (MLPA) was used to detect
potential mutation of dystrophin gene. Magnetic resonance imaging (MRI) was used
to scan the anteromedial aspect of thigh muscles. RESULTS: All of the 6 patients
were found to have deletion or duplication mutations. The order of affection has
been gluteus maximus, adductor magnus, quadriceps femoris, rectus femoris and
biceps muscle of the thigh, while semimembranous muscle, semitendinosus,
sartorius muscle and musculus gracilis are selectively affected and in a
decreasing order. CONCLUSION: MRI can reflect the order, extent and degree of
skeletal muscle involvement in patients with DMD, and can reflect pathological
changes of damaged skeletal muscle at each stage, which may provide an important
means for patient examination and diagnosis. No apparent correlation between the
severity of disease and the nature of mutations was noted.
PMID- 24928024
TI - [Value of second-time invasive prenatal diagnosis in the current circumstances].
AB - OBJECTIVE: To assess the safety of repeated invasive prenatal diagnosis primarily
due to failed culture of amniotic cells. METHODS: Between January 2000 and
October 2012, 167 cases required repeated invasive prenatal diagnosis among a
total of 5304 amniocentesis cases. Clinical outcome and karyotypes were analyzed
to calculate the rate of fetal loss. RESULTS: For the 167 re-sampled cases, the
indications have included failed amniocyte culture (121 cases), chromosome
mosaicisms (23 cases), failed amniocentesis (21 cases), and request for
confirmation (2 cases). No fetal loss has occurred. All samples were cultured
successfully. Fourteen cases (8.38%) have been found with an abnormal karyotype.
Four mosaic trisomic cases (2 mosaic trisomy 16, 1 mosaic trisomy 20, and 1
mosaic trisomy 8) were verified to be normal. CONCLUSION: Repeated invasive
prenatal diagnosis does not increase the rate of fetal loss. It can be
recommended to cases with failed amniocyte culture. Caution should be undertaken
when counseling prenatally detected mosaicism trisomies.
PMID- 24928025
TI - [Predictive value of HLA-DRB1 gene for the treatment of unexplained recurrent
spontaneous abortion with paternal lymphocyte alloimmunization therapy in Henan
Hans].
AB - OBJECTIVE: To explore the value of HLA-DRB1 gene in predicting the outcome of
unexplained recurrent spontaneous abortion (URSA) treated with paternal
lymphocyte alloimmunization therapy (PLAT) in Henan Hans. METHODS: Three hundred
URSA patients were recruited. Following PLAT treatment, they were divided into
two groups according to the outcome of pregnancy. Polymerase chain reaction
sequence specific primer (PCR-SSP) were conducted to analyze the HLA-DRB1 gene.
RESULTS: For those who have received PLAT treatment, the frequency of HLA-DRB1*11
was significantly lower in successfully treated cases than those with abortion
(0.052 vs. 0.110, P < 0.05, OR=0448), whilst the frequency of HLA-DRB1*15 was
significantly greater in the former (0.207 vs. 0.100, P < 0.05, OR=2.352).
CONCLUSION: For patients who have received PLAT treatment, those with HLA-DRB1*15
are more likely to conceive that those with HLA-DRB1*11.
PMID- 24928026
TI - [Development of a method for the separation of HLA-A, -B and -C haploid using
biotinylated probe and streptavidin magnetic beads].
AB - OBJECTIVE: To develop a method for separating the human leukocyte antigen (HLA)
A, -B and -C haploid using biotinylated probes and streptavidin magnetic beads in
order to solve ambiguous HLA genotyping results. METHODS: Based on sequence
information of HLA alleles from the IMGT/HLA database, the 5-biotinylated probes
were designed. The probe was mixed and extended with corresponding genomic DNA,
and incubated with streptavidin magnetic beads, which could form a streptavidin
magnetic beads-biotin-probe DNA complex. The unique DNA haploid binding to
corresponding probe was isolated after washes and elution. The separated haploid
genomic DNA was used as template for HLA-A, -B and -C loci amplification and
sequencing analysis. RESULTS: Among the 12 HLA-A probes, 19 HLA-B probes and 13
HLA-C probes, DNA sequencing has confirmed that 9 HLA-A probes, 9 HLA-B probes
and 5 HLA-C probes could successfully separate the haploid from genomic DNA
samples. CONCLUSION: The developed method for HLA-A, -B and -C haploid separation
is reliable, which can solve certain ambiguity and improve the accuracy of HLA
genotyping.
PMID- 24928027
TI - [Study of genetic polymorphisms of 12 short tandem repeats on X chromosome in
ethnic Han population from Hebei Province].
AB - OBJECTIVE: To investigate genetic polymorphisms of 12 X chromosome short tandem
repeat (X-STR) loci in ethnic Hebei Han population using an Investigator Argus X
12 amplification kit. METHODS: DNA was extracted for 198 unrelated individuals
(96 males and 102 females) and amplified with a fluorescence labeled multiplex
PCR system. PCR products were separated and genotyped with capillary array
electrophoresis. RESULTS: Only DXS10103 and DXS10101 showed significant linkage
disequilibrium at the 12 X-STR loci. One hundred and forty-eight alleles,
including 22 off-ladder (OL) alleles, were observed at the 12 X-STR loci in the
population. The heterozygosity and polymorphic information content (PIC) were
0.5074-0.9143 and 0.4377-0.9079, respectively. The power of discrimination (PD)
was 0.5074-0.9143 in males and 0.6876-0.9863 in females. The mean exclusion
chance was 0.4377-0.9079 in the trios cases and 0.2984-0.8373 in the duo cases,
respectively. CONCLUSION: The Investigator Argus X12 amplification system is
highly polymorphic in ethnic Han population from Hebei and is useful for personal
identification and paternity testing.
PMID- 24928028
TI - [Facioscapulohumeral muscular dystrophy - twelve cases from two pedigrees].
PMID- 24928029
TI - [Osteopoikilosis - a family with five cases].
PMID- 24928030
TI - Drug development and discovery: challenges and opportunities.
PMID- 24928031
TI - Prognostic and predictive relevance of circulating tumor cells in patients with
non-small-cell lung cancer.
PMID- 24928032
TI - Mortality in Parkinson's disease is not associated with the severity of early
dopaminergic defect.
AB - BACKGROUND: Although there is a relationship between the extent of striatal
dopaminergic defect and the severity of motor symptoms in Parkinson's disease
(PD), studies investigating associations between dopamine and mortality in PD
have been scarce. If a relationship were established, dopamine restoring
neuroprotective treatments could be used to decrease mortality. The objective of
this study was to determine whether the initial degree of hypodopaminergic
defect, as measured by 6-[(18)F]fluoro-L-DOPA positron emission tomography (FDOPA
PET), can predict patient survival. METHODS: The study population included a
cohort of 88 recently diagnosed and untreated patients with PD who were
clinically examined and scanned with FDOPA-PET between the years 1998 and 2000.
The date of exit for the survival analysis was in April 2013 with a follow-up
interval of 13-15 years. The survival model included FDOPA uptake, age, sex and
symptom severity as explaining factors. Death certificates of the patients were
obtained, and causes of death were analyzed. RESULTS: Mortality rate was 56.8%.
Although higher age (p < 0.001) and greater motor symptom severity (p < 0.05)
were associated with increased mortality, there was no association between
survival and FDOPA uptake in any striatal subregion (p > 0.48). CONCLUSION:
Unlike age and early motor symptom severity, dopamine synthesis capacity, as
measured with PET, does not predict survival in PD.
PMID- 24928033
TI - Varicella zoster virus DNA does not accumulate in infected human neurons.
AB - Varicella zoster virus (VZV) is an exclusively human neurotropic
alphaherpesvirus. It is unclear why human neurons infected in vitro with VZV at
low multiplicity of infection do not exhibit a cytopathic effect (CPE) even
though all VZV genes are transcribed, VZV proteins from all kinetic classes are
translated and minimal infectious virus is produced. Here, we show that the lack
of VZV-induced CPE correlates with the low abundance of viral DNA.
PMID- 24928034
TI - Downregulation of IRF4 induces lytic reactivation of KSHV in primary effusion
lymphoma cells.
AB - Primary effusion lymphoma (PEL), associated with the latent infection by KSHV,
constitutively expresses interferon-regulatory factor 4 (IRF4). We recently
showed that IRF4 differentially regulates expression of cellular interferon
stimulated genes (ISGs) and viral genes (Forero et al., 2013). Here, using
inducible IRF4 knockdown, we demonstrate that IRF4 silencing results in enhanced
transcription of KSHV replication transactivator RTA. As a result viral
transcription is increased leading to virus reactivation. Taken together, our
results show that IRF4 helps maintain the balance between latency and KSHV
reactivation in PEL cells.
PMID- 24928035
TI - Increased Serpin A5 levels in the cervicovaginal fluid of HIV-1 exposed
seronegatives suggest that a subtle balance between serine proteases and their
inhibitors may determine susceptibility to HIV-1 infection.
AB - HIV-exposed seronegative individuals (HESNs) are persons who remain seronegative
despite repeated exposure to HIV, suggesting an in vivo resistance mechanism to
HIV. Elucidation of endogenous factors responsible for this phenomenon may aid in
the development of new classes of microbicides and therapeutics. We compared
cervicovaginal protein abundance profiles between high-risk HESN and two control
groups: low-risk HESN and HIV-positives. Four iTRAQ-based quantitative
experiments were performed using samples classified based on presence/absence of
particular gynaecological conditions. After statistical analysis, two proteins
were shown to be differentially abundant between high-risk HESNs and control
groups. Serpin A5, a serine proteinase inhibitor and Myeloblastin, a serine
protease, were up- and downregulated, respectively. Commercially available ELISA
assays were used to confirm differential Serpin A5 levels. These results suggest
that HIV resistance in CVF of HESNs is the result of a delicate balance between
two complementary mechanisms: downregulation of serine proteinases and
upregulation of their inhibitors.
PMID- 24928036
TI - Cell entry of lymphocytic choriomeningitis virus is restricted in myotubes.
AB - In mice persistently infected since birth with the prototypic arenavirus
lymphocytic choriomeningitis viurs, viral antigen and RNA are readily detected in
most organs and cell types but remarkably absent in skeletal muscle. Here we
report that mouse C2C12 myoblasts that are readily infected by LCMV, become
highly refractory to LCMV infection upon their differentiation into myotubes.
Myotube's resistance to LCMV was not due to an intracellular restriction of virus
replication but rather an impaired cell entry mediated by the LCMV surface
glycoprotein. Our findings provide an explanation for the observation that in
LCMV carrier mice myotubes, which are constantly exposed to blood-containing
virus, remain free of viral antigen and RNA despite myotubes express high levels
of the LCMV receptor alpha dystroglycan and do not pose an intracellular blockade
to LCMV multiplication.
PMID- 24928037
TI - The R35 residue of the influenza A virus NS1 protein has minimal effects on
nuclear localization but alters virus replication through disrupting protein
dimerization.
AB - The influenza A virus NS1 protein has a nuclear localization sequence (NLS) in
the amino terminal region. This NLS overlaps sequences that are important for RNA
binding as well as protein dimerization. To assess the significance of the NS1
NLS on influenza virus replication, the NLS amino acids were individually mutated
to alanines and recombinant viruses encoding these mutations were rescued.
Viruses containing NS1 proteins with mutations at R37, R38 and K41 displayed
minimal changes in replication or NS1 protein nuclear localization. Recombinant
viruses encoding NS1 R35A were not recovered but viruses containing second site
mutations at position D39 in addition to the R35A mutation were isolated. The
mutations at position 39 were shown to partially restore NS1 protein dimerization
but had minimal effects on nuclear localization. These data indicate that the
amino acids in the NS1 NLS region play a more important role in protein
dimerization compared to nuclear localization.
PMID- 24928038
TI - Position of the kissing-loop interaction associated with PTE-type 3'CITEs can
affect enhancement of cap-independent translation.
AB - The Panicum mosaic virus-like translation enhancer (PTE) functions as a cap
independent translation enhancer (3'CITE) in members of several Tombusviridae
genera including 7/19 carmoviruses. For nearly all PTE, a kissing-loop connects
the element with a hairpin found in several conserved locations in the genomic
RNA (5' terminal hairpin or ~100 nt from the 5' end) and small subgenomic RNA
(~63 nt from the 5' end). Moving the interaction closer to the 5' end in reporter
mRNAs using Saguaro cactus virus (SCV) sequences had either a minimal or
substantial negative effect on translation. Movement of the kissing loop from
position 104 to the SCV 5' terminal hairpin also reduced translation by 4-fold.
These results suggest that relocating the PTE kissing loop closer to the 5' end
reduces PTE efficiency, in contrast to results for the Barley yellow dwarf BTE
and Tomato bushy stunt virus Y-shaped 3'CITEs, suggesting that different 3'CITEs
have different bridging requirements.
PMID- 24928040
TI - The application of multiple miRNA response elements enables oncolytic
adenoviruses to possess specificity to glioma cells.
AB - Adenovirus-mediated virotherapy is one of the promising therapeutic approaches
for glioma treatment. However, its replication efficiency and specificity still
failed to meet the requirements for clinical treatment. To improve the anti-tumor
activity and specificity of oncolytic adenoviruses (OA), we applied multiple
miRNA response elements (MREs) of miR-124, miR-128, miR-146b and miR-218, whose
expressions were downregulated in glioma cells, to enable OA to be specific to
glioma. Adenoviral E1A protein regulated by these 4 MREs (OA-4MREs) was shown to
be highly expressed in glioma cells, but not in normal cells. The selective E1A
expression led to glioma-specific replication and cytotoxicity of OA-4MREs.
Animal experiments also showed that OA-4MREs exhibited improved anti-tumor
activities for both subcutaneous and intracranial glioma xenografts, without
significant toxicity to normal brain and liver tissues. Collectively, we
demonstrated that oncolytic adenovirus, whose replication was regulated by MREs,
may be promising biological agents for glioma treatment.
PMID- 24928039
TI - Identification and characterization of a macrophage-tropic SIV envelope
glycoprotein variant in blood from early infection in SIVmac251-infected
macaques.
AB - Macrophages play an important role in HIV/SIV pathogenesis by serving as a
reservoir for viral persistence in brain and other tissues. Infected macrophages
have been detected in brain early after infection, but macrophage-tropic viruses
are rarely isolated until late-stage infection. Little is known about early
variants that establish persistent infection in brain. Here, we characterize a
unique macrophage-tropic SIV envelope glycoprotein (Env) variant from two weeks
post-infection in blood of an SIVmac251-infected macaque that is closely related
to sequences in brain from animals with neurological disease. SIVmac251 clones
expressing this Env are highly fusogenic, and replicate efficiently in T cells
and macrophages. N173 and N481 were identified as novel determinants of
macrophage tropism and neutralization sensitivity. These results imply that
macrophage-tropic SIV capable of establishing viral reservoirs in brain can be
present in blood during early infection. Furthermore, these SIVmac251 clones will
be useful for studies on pathogenesis, eradication, and vaccines.
PMID- 24928041
TI - DC-SIGN plays a stronger role than DCIR in mediating HIV-1 capture and transfer.
AB - The C-type lectin receptors (CLRs) expressed on dendritic cells (DCs), in
particular DC-SIGN and DCIR, likely play an important role in HIV-1 early
infection. Here, we systematically compared the capture and transfer capability
of DC-SIGN and DCIR using a wide range of HIV-1 isolates. Our results indicated
that DC-SIGN plays a stronger role than DCIR in DC-mediated HIV-1 capture and
transfer. This was further strengthened by the data from transient and stable
transfectants, showing that DC-SIGN had better capability, compared with DCIR in
HIV-1 capture and transfer. Following constructing and analyzing a series of
soluble DC-SIGN and DCIR truncates and chimeras, we demonstrated that the neck
domain, but not the CRD, renders DC-SIGN higher binding affinity to gp120 likely
via the formation of tetramerization. Our findings provide insights into CLR
mediated HIV-1 capture and transfer, highlighting potential targets for
intervention strategies against gp120-CLR interactions.
PMID- 24928042
TI - The nuclear retention signal of HPV16 L2 protein is essential for incoming viral
genome to transverse the trans-Golgi network.
AB - The Human papillomavirus (HPV) capsid is composed of the major and minor capsid
proteins, L1 and L2, respectively. Infectious entry requires a complex series of
conformational changes in both proteins that lead to uptake and allow uncoating
to occur. During entry, the capsid is disassembled and host cyclophilins
dissociate L1 protein from the L2/DNA complex. Herein, we describe a mutant HPV16
L2 protein (HPV16 L2-R302/5A) that traffics pseudogenome to the trans-Golgi
network (TGN) but fails to egress. Our data provide further evidence that HPV16
traffics through the TGN and demonstrates that L2 is essential for TGN egress.
Furthermore, we show that cyclophilin activity is required for the L2/DNA complex
to be transported to the TGN which is accompanied by a reduced L1 protein levels.
PMID- 24928043
TI - Mapping of the exchangeable and dispensable domains of the RNA 2-encoded 2A(HP)
protein of arabis mosaic nepovirus.
AB - The N-terminal domains of the RNA 2-encoded 2A(HP) proteins of the arabis mosaic
(ArMV) and grapevine fanleaf (GFLV) nepoviruses were shown to be highly variable
and a hotspot for intra- and inter-species recombination events. Chimeric ArMV-NW
clones in which the N-terminal domain of 2A(HP) or the entire 2A(HP) of GFLV
isolates replaced the corresponding domains of ArMV retained their infectivity,
showing that the 2A(HP) proteins of ArMV-NW and GFLV are exchangeable. ArMN-NW
clones with deletions of the N-terminal, core, or C-terminal domains of the ArMV
NW 2A(HP) were infectious in Chenopodium quinoa although viral RNA (especially
RNA 2) accumulated at reduced levels. In contrast, deletion of the entire 2A(HP)
protein or of the C-terminal two thirds of the protein abolished infectivity of
the ArMV-NW clones. These results suggest that multiple functional domains are
distributed throughout the 2A(HP) protein and are essential for the accumulation
of viral RNA 2.
PMID- 24928044
TI - Diversity of the murine antibody response targeting influenza A(H1N1pdm09)
hemagglutinin.
AB - We infected mice with the 2009 influenza A pandemic virus (H1N1pdm09), boosted
with an inactivated vaccine, and cloned immunoglobulins (Igs) from HA-specific B
cells. Based on the redundancy in germline gene utilization, we inferred that
between 72-130 unique IgH VDJ and 35 different IgL VJ combinations comprised the
anti-HA recall response. The IgH VH1 and IgL VK14 variable gene families were
employed most frequently. A representative panel of antibodies were cloned and
expressed to confirm reactivity with H1N1pdm09 HA. The majority of the
recombinant antibodies were of high avidity and capable of inhibiting H1N1pdm09
hemagglutination. Three of these antibodies were subtype-specific cross-reactive,
binding to the HA of A/South Carolina/1/1918(H1N1), and one further reacted with
A/swine/Iowa/15/1930(H1N1). These results help to define the genetic diversity of
the influenza anti-HA antibody repertoire profile induced following infection and
vaccination, which may facilitate the development of influenza vaccines that are
more protective and broadly neutralizing. IMPORTANCE: Protection against
influenza viruses is mediated mainly by antibodies, and in most cases this
antibody response is narrow, only providing protection against closely related
viruses. In spite of this limited range of protection, recent findings indicate
that individuals immune to one influenza virus may contain antibodies (generally
a minority of the overall response) that are more broadly reactive. These
findings have raised the possibility that influenza vaccines could induce a more
broadly protective response, reducing the need for frequent vaccine strain
changes. However, interpretation of these observations is hampered by the lack of
quantitative characterization of the antibody repertoire. In this study, we used
single-cell cloning of influenza HA-specific B cells to assess the diversity and
nature of the antibody response to influenza hemagglutinin in mice. Our findings
help to put bounds on the diversity of the anti-hemagglutinin antibody response,
as well as characterizing the cross-reactivity, affinity, and molecular nature of
the antibody response.
PMID- 24928045
TI - Membrane rearrangements mediated by coronavirus nonstructural proteins 3 and 4.
AB - Coronaviruses replicate their genomes in association with rearranged cellular
membranes. The coronavirus nonstructural integral membrane proteins (nsps) 3, 4
and 6, are key players in the formation of the rearranged membranes. Previously,
we demonstrated that nsp3 and nsp4 interact and that their co-expression results
in the relocalization of these proteins from the endoplasmic reticulum (ER) into
discrete perinuclear foci. We now show that these foci correspond to areas of
rearranged ER-derived membranes, which display increased membrane curvature.
These structures, which were able to recruit other nsps, were only detected when
nsp3 and nsp4 were derived from the same coronavirus species. We propose, based
on the analysis of a large number of nsp3 and nsp4 mutants, that interaction
between the large luminal loops of these proteins drives the formation of
membrane rearrangements, onto which the coronavirus replication-transcription
complexes assemble in infected cells.
PMID- 24928046
TI - Biogenesis of non-structural protein 1 (nsp1) and nsp1-mediated type I interferon
modulation in arteriviruses.
AB - Type I interferons (IFNs-alpha/beta) play a key role for the antiviral state of
host, and the porcine arterivirus; porcine reproductive and respiratory syndrome
virus (PRRSV), has been shown to down-regulate the production of IFNs during
infection. Non-structural protein (nsp) 1 of PRRSV has been identified as a viral
IFN antagonist, and the nsp1alpha subunit of nsp1 has been shown to degrade the
CREB-binding protein (CBP) and to inhibit the formation of enhanceosome thus
resulting in the suppression of IFN production. The study was expanded to other
member viruses in the family Arteriviridae: equine arteritis virus (EAV), murine
lactate dehydrogenase-elevating virus (LDV), and simian hemorrhagic fever virus
(SHFV). While PRRSV-nsp1 and LDV-nsp1 were auto-cleaved to produce the nsp1alpha
and nsp1beta subunits, EAV-nsp1 remained uncleaved. SHFV-nsp1 was initially
predicted to be cleaved to generate three subunits (nsp1alpha, nsp1beta, and
nsp1gamma), but only two subunits were generated as SHFV-nsp1alphabeta and SHFV
nsp1gamma. The papain-like cysteine protease (PLP) 1alpha motif in nsp1alpha
remained inactive for SHFV, and only the PLP1beta motif of nsp1beta was
functional to generate SHFV-nsp1gamma subunit. All subunits of arterivirus nsp1
were localized in the both nucleus and cytoplasm, but PRRSV-nsp1beta, LDV
nsp1beta, EAV-nsp1, and SHFV-nsp1gamma were predominantly found in the nucleus.
All subunits of arterivirus nsp1 contained the IFN suppressive activity and
inhibited both interferon regulatory factor 3 (IRF3) and NF-kappaB mediated IFN
promoter activities. Similar to PRRSV-nsp1alpha, CBP degradation was evident in
cells expressing LDV-nsp1alpha and SHFV-nsp1gamma, but no such degradation was
observed for EAV-nsp1. Regardless of CBP degradation, all subunits of arterivirus
nsp1 suppressed the IFN-sensitive response element (ISRE)-promoter activities.
Our data show that the nsp1-mediated IFN modulation is a common strategy for all
arteriviruses but their mechanism of action may differ from each other.
PMID- 24928047
TI - Processing bodies accumulate in human cytomegalovirus-infected cells and do not
affect viral replication at high multiplicity of infection.
AB - Translationally silenced mRNAs are recruited to two major classes of RNA granules
in the cytoplasm, processing bodies (PBs) and stress granules (SGs). We show that
PBs accumulated after human cytomegalovirus (HCMV) infection. PB assembly after
HCMV infection was also detected in the presence of the protein synthesis
inhibitor, cycloheximide, but required active RNA synthesis. UV-inactivated HCMV
virions were sufficient to induce PB accumulation in HFF cells treated with
cycloheximide. Viral IE1 RNA did not colocalize with PBs, and we could not detect
an effect of PB accumulation on viral growth. These results may indicate that
HCMV inhibits the colocalization of IE1 mRNA with PBs, preventing IE1 mRNA decay
and translational inhibition.
PMID- 24928048
TI - Porcine reproductive and respiratory syndrome virus infection activates NOD2-RIP2
signal pathway in MARC-145 cells.
AB - Nucleotide-binding oligomerization domains (NOD)-like receptors (NLRs) evolve as
a group of germline-encoded receptors that detect cytosolic pathogen-associated
molecular patterns. Porcine reproductive and respiratory syndrome virus (PRRSV)
is an Arterivirus that has been devastating the swine industry worldwide. By
examining the expression kinetics of ten selected NLRs, NOD2 and NLRP3 were found
to be continuously up-regulated in PRRSV-infected MARC-145 cells during 48 h of
post-infection. Further study revealed that PRRSV infection enhanced the
expression and phosphorylation of RIP2. Knockdown of NOD2 and RIP2 by siRNA
significantly decreased PRRSV-induced phosphorylation of NF-kappaB subunit p65,
JNK, Erk and p38 MAPK, as well as the expression of IL-6, IL-8, TNF-alpha, and
RANTES in MARC-145 cells. Moreover, increased expression of NOD2 and RIP2 mRNA
were observed in alveolar macrophages isolated from PRRSV-challenged piglets at
3, 7 and 10 day post-challenge. Collectively, our results revealed that PRRSV
infection activates NOD2-RIP2 signaling pathway to induce pro-inflammatory
response.
PMID- 24928049
TI - Modulation of innate immune signaling by the secreted form of the West Nile virus
NS1 glycoprotein.
AB - West Nile virus (WNV) employs several different strategies to escape the innate
immune response. We have previously demonstrated that the WNV NS1 protein
interferes with signal transduction from Toll-like receptor 3 (TLR3). NS1 is a
glycoprotein that can be found intracellularly or associated with the plasma
membrane. In addition, NS1 is secreted to high levels during flavivirus
infections. We investigated whether the secreted form of NS1 inhibits innate
immune signaling pathways in uninfected cells. Secreted NS1 (sNS1) was purified
from supernatants of cells engineered to express the protein. Purified sNS1
associated with and repressed TLR3-induced cytokine production by HeLa cells, and
inhibited signaling from TLR3 and other TLRs in bone marrow-derived macrophages
and dendritic cells. Footpad administration of sNS1 showed the protein associated
predominantly with macrophages and dendritic cells in the draining lymph node.
Additionally, sNS1 significantly reduced TLR3 signaling and WNV replicon particle
mediated cytokine transcription in popliteal lymph nodes.
PMID- 24928050
TI - Mutational profiling of the variability of individual amino acid positions in the
hepatitis B virus matrix domain.
AB - The hepatitis B virus (HBV) is formed by budding. A stretch of 22 amino acids
(aa) (matrix domain, MD, R103 - S124) in the large envelope protein L is crucial
for virion formation and probably establishes contact to the nucleocapsid. Here,
we assess the impact of sequence variations at numerous individual aa positions
within the MD on virion formation. We generated panels of L mutants covering all
19 possible aa for 11 positions and tested the capacity of these mutants to
rescue virus production by an L-defective HBV genome. At four positions (L112,
R113, P117, W122), any replacement of the wild type (WT) aa reduced virus
assembly to undetectable levels. Virus production was strongly diminished by
substitutions at five other positions (R103, T106, S115, H116, A119). Only two
tested positions (D114, Q118) tolerated several substitutions. The restricted
positions may represent promising targets for the development of novel antiviral
strategies.
PMID- 24928051
TI - Production and characterization of high-titer serum-free cell culture grown
hepatitis C virus particles of genotype 1-6.
AB - Recently, cell culture systems producing hepatitis C virus particles (HCVcc) were
developed. Establishment of serum-free culture conditions is expected to
facilitate development of a whole-virus inactivated HCV vaccine. We describe
generation of genotype 1-6 serum-free HCVcc (sf-HCVcc) from Huh7.5 hepatoma cells
cultured in adenovirus expression medium. Compared to HCVcc, sf-HCVcc showed 0.6
2.1 log10 higher infectivity titers (4.7-6.2 log10 Focus Forming Units/mL),
possibly due to increased release and specific infectivity of sf-HCVcc. In
contrast to HCVcc, sf-HCVcc had a homogeneous single-peak density profile. Entry
of sf-HCVcc depended on HCV co-receptors CD81, LDLr, and SR-BI, and clathrin
mediated endocytosis. HCVcc and sf-HCVcc were neutralized similarly by chronic
phase patient sera and by human monoclonal antibodies targeting conformational
epitopes. Thus, we developed serum-free culture systems producing high-titer
single-density sf-HCVcc, showing similar biological properties as HCVcc. This
methodology has the potential to advance HCV vaccine development and to
facilitate biophysical studies of HCV.
PMID- 24928052
TI - A two-center retrospective review of the hematologic evaluation and laboratory
abnormalities in suspected victims of non-accidental injury.
AB - Investigation for bleeding disorders in the context of suspected non-accidental
injury (NAI) is inconsistent. We reviewed the hematologic evaluation of children
who presented with symptoms of bleeding and/or bruising suspicious for NAI to
determine the frequency of hematologic tests, abnormal hematologic laboratory
results, and hematologic diagnoses. A retrospective cohort study design was
employed at two freestanding academic children's hospitals. ICD-9 codes for NAI
were used to identify 427 evaluable patients. Medical records were queried for
the details of clinical and laboratory evaluations at the initial presentation
concerning for NAI. The median age for the population was 326 days (range 1 day
14 years), 58% were male. Primary bleeding symptoms included intracranial
hemorrhage (31.8%) and bruising (68.2%). Hematologic laboratory tests performed
included complete blood cell count in 62.3%, prothrombin time (PT) in 55.0%, and
activated partial thromboplastin time (aPTT) in 53.6%; fibrinogen in 27.6%;
factor activity in 17.1%; von Willebrand disease evaluation in 14.5%; and
platelet function analyzer in 11.7%. Prolonged laboratory values were seen in
22.5% of PT and 17.4% of aPTT assays; 66.0% of abnormal PTs and 87.5% of abnormal
aPTTs were repeated. In our cohort, 0.7% (3 of 427) of the population was
diagnosed with a condition predisposing to bleeding. In children with bleeding
symptoms concerning for NAI, hemostatic evaluation is inconsistent. Abnormal
tests are not routinely repeated, and investigation for the most common bleeding
disorder, von Willebrand disease, is rare. Further research into the extent and
appropriate timing of the evaluation is warranted.
PMID- 24928053
TI - The effects of apple pomace, bentonite and calcium superphosphate on swine manure
aerobic composting.
AB - The effects of additives such as apple pomace, bentonite and calcium
superphosphate on swine manure composting were investigated in a self-built
aerated static box (90 L) by assessing their influences on the transformation of
nitrogen, carbon, phosphorous and compost maturity. The results showed that
additives all prolonged the thermophilic stage in composting compared to control.
Nitrogen losses amounted to 34-58% of the initial nitrogen, in which ammonia
volatilization accounted for 0.3-4.6%. Calcium superphosphate was helpful in
facilitating composting process as it significantly reduced the ammonia
volatilization during thermophilic stage and increased the contents of total
nitrogen and phosphorous in compost, but bentonite increased the ammonia
volatilization and reduced the total nitrogen concentration. It suggested that
calcium superphosphate is an effective additive for keeping nitrogen during swine
manure composting.
PMID- 24928054
TI - Efficacy of ovarian tissue cryopreservation in a major European center.
AB - PURPOSE: To evaluate the effect of cryopreservation and thawing of ovarian tissue
from oncological patients opting for fertility preservation on ovarian tissue
viability. METHODS: In this prospective cohort study, the ovarian tissue
viability before and after cryopreservation and thawing was measured for 25 newly
diagnosed oncological patients who had their ovarian tissue cryopreserved.
Outcome measures were follicle integrity (histology), follicle viability (Calcein
viability assay), steroid hormone production (estradiol and progesterone
production in vitro) and overall tissue viability (glucose uptake in vitro). This
study was conducted at a Cryobank for storage of ovarian tissue in a university
hospital. RESULTS: Cryopreserved/thawed ovarian tissue showed a decreased glucose
uptake when compared to tissue that had not been cryopreserved. In addition, a
diminished E2 and P4 production was observed after cryopreservation and thawing,
despite the fact that numbers of viable follicles as determined by the Calcein
viability assay were comparable. Histological examination revealed a higher
percentage of degenerated follicles after cryopreservation and thawing.
CONCLUSIONS: Ovarian tissue cryopreservation and thawing impairs the viability of
ovarian tissue in oncological patients opting for fertility preservation.
PMID- 24928055
TI - Expression of the estrogen receptors and steroidogenic enzymes involved in
estradiol formation in the monkey vagina.
AB - OBJECTIVE: Estrogens are well recognized to have beneficial effects on
vulvovaginal atrophy because of menopause. The distribution of estrogen receptors
and enzymes responsible for estradiol (E2) formation within the vagina may
provide insight into how dehydroepiandrosterone, a precursor of both estrogens
and androgens, improves vulvovaginal atrophy. STUDY DESIGN: The purpose of the
study was to determine where the steroidogenic enzymes responsible for E2
formation as well as estrogen receptors are localized in vaginal specimens
collected from cynomolgus monkeys (Macaca fascicularis), the closest model to the
human. HSD3B1, HSD17B1, HSD17B5, HSD17B12, aromatase (CYP19A1), estrogen receptor
(ER)-alpha, and ER-beta were measured or localized by quantitative real-time
polymerase chain reaction, immunohistochemistry, and immunofluorescence.
Estrogens were quantified by liquid chromatography/tandem mass spectrometry.
RESULTS: All steroidogenic enzymes and estrogen receptors are localized mainly in
the superficial layer of the stratified squamous epithelium, blood vessel walls,
and muscle fibers of the vagina. Immunolabeling of HSD17B5 and HSD17B12 shows
that these enzymes are uniformly distributed from the basal membrane to the
superficial keratinized cells, whereas HSD3B1 and aromatase are particularly
localized in the outer (external) portion of the epithelial layer. ER-alpha and
ER-beta are also distributed within the vaginal epithelium, with expression
especially elevated at the basal membrane level. CONCLUSION: The enzymes
responsible for E2 formation as well as ERs are expressed mainly in the
superficial layer of the stratified epithelium as well as the muscle layer of the
vagina. The present data provide morphologic and biochemical support for the role
of local dehydroepiandrosterone transformation into estrogens in regulating
epithelial cell maturation, pH, fluid secretion, smooth muscle activity, and
blood flow regulation in the primate vagina.
PMID- 24928057
TI - Modelling beyond data is uninformative: a comment on "State-space modelling
reveals proximate causes of harbour seal population declines" by Matthiopoulos et
al.
AB - Detailed models have the potential to reveal important processes underlying
patterns in data. However, model fitting depends on the availability of
sufficient data, and the results obtained from the models depend on detailed
assumptions. In a recent paper, Matthiopoulos et al. fitted Bayesian state space
models to a limited dataset and attempted to explain the recent trajectory of the
harbour seal population in the Moray Firth, in northern Scotland. They went on to
suggest that the results could help explain recent declines in other nearby
populations. This Comment describes the implications of understating the
uncertainty that the model required for convergence, questions the robustness of
the results, highlights the differences between the areas, and cautions against
extrapolating across these populations. The distinction between models that can
be fitted to a dataset and those that provide useful information about the
systems that generated the data is also considered.
PMID- 24928058
TI - Electrically conducting silver/guar gum/poly(acrylic acid) nanocomposite.
AB - This article describes the synthesis of an electrically conducting silver/guar
gum/poly(acrylic acid) nanocomposite hydrogel. The synthesis process started with
grafting acrylic acid monomers onto the natural polymer guar gum by the use of
ammonium persulphate as a free radical initiator in acid medium. Guar
gum/poly(acrylic acid) graft copolymer was separated from the polymerization
medium, purified and subjected to crosslinking treatment, using alkaline
epichlorohydrin as a crosslinking agent. Silver nitrate solution was added during
the crosslinking treatment in varying concentrations, that the reaction
conditions affect crosslinking of guar gum/poly(acrylic acid) graft copolymer to
a hydrogel, as well as reduction of silver nitrate to silver nanoparticles,
giving rise to the formation of silver/guar gum/poly(acrylic acid) nanocomposite.
Factors affecting the grafting reaction as well as those affecting the
crosslinking/reduction treatment were optimized. The so synthesized nanocomposite
hydrogel samples were fully characterized, regarding their contents of silver
nanoparticles and swelling ratio. The electrical conductivity of the
nanocomposite hydrogel was studied and it was found to be affected by the
swelling ratio of the hydrogel as well as its content of silver nanoparticles.
PMID- 24928056
TI - Calcium-activated chloride channels anoctamin 1 and 2 promote murine uterine
smooth muscle contractility.
AB - OBJECTIVE: To determine the presence of calcium activated chloride channels
anoctamin 1 (ANO1) and 2 (ANO2) in human and murine uterine smooth muscle (MUSM)
and evaluate the physiologic role for these ion channels in murine myometrial
contractility. STUDY DESIGN: We performed reverse transcription polymerase chain
reaction to determine whether ANO1 and 2 are expressed in human and murine
uterine tissue to validate the study of this protein in mouse models.
Immunohistochemical staining of ANO1 and 2 was then performed to determine
protein expression in murine myometrial tissue. The function of ANO1 and 2 in
murine uterine tissue was evaluated using electrophysiologic studies, organ bath,
and calcium flux experiments. RESULTS: ANO1 and 2 are expressed in human and MUSM
cells. Functional studies show that selective antagonism of these channels
promotes relaxation of spontaneous MUSM contractions. Blockade of ANO1 and 2
inhibits both agonist-induced and spontaneous transient inward currents and
abolishes G-protein coupled receptor (oxytocin) mediated elevations in
intracellular calcium. CONCLUSION: The calcium activated chloride channels ANO1
and 2 are present in human and murine myometrial tissue and may provide novel
potential therapeutic targets to achieve effective tocolysis.
PMID- 24928059
TI - Patient satisfaction: opportunities for quality improvement.
PMID- 24928060
TI - Re: "counterpoint: overdiagnosis in breast cancer screening".
PMID- 24928061
TI - Are we Spain?
PMID- 24928062
TI - Evaluation of the bioactivity of influenza vaccine strains in vitro suggests that
the introduction of new strains in the 2010 Southern Hemisphere trivalent
influenza vaccine is associated with adverse events.
AB - In Australia, during the 2010 Southern Hemisphere (SH) influenza season, there
was an unexpected increase in post-marketing adverse event reports of febrile
seizures (FS) in children under 5 years of age shortly after vaccination with the
CSL trivalent influenza vaccine (CSL 2010 SH TIV) compared to previous CSL TIVs
and other licensed 2010 SH TIVs. The present study describes the outcomes of a
series of in vitro experiments directed at elucidating the root cause. The
scientific investigations found that a subset of paediatric donors displayed
elevated cytokine/chemokine responses to the CSL 2010 SH TIV but not to previous
CSL TIVs nor other 2010 SH TIVs. The induction of elevated cytokines/chemokines
in paediatric whole blood correlated with elevated NF-kappaB activation in a
HEK293 cell reporter assay. The data indicate that the introduction of the
B/Brisbane/60/2008 strain within the CSL manufacturing process (such as occurred
in the preceding 2009/10 NH season) appears to have raised the pyrogenic
potential of the CSL 2009/10 NH TIV but that this was insufficient to elicit FS
in children <5 years. The 2010 SH season coincided with the first introduction of
the H1N1 A/California/07/2009 in combination with the B/Brisbane/60/2008 strain.
Our data demonstrates that the introduction of the H1N1 A/California/07/2009 (and
to a much lesser degree, H3N2 A/Wisconsin/15/2009) in combination with
B/Brisbane/60/2008 (as expressed through the CSL method of manufacture) combined
and likely compounded the bioactivity of the CSL 2010 SH TIV. This was associated
with stronger immune responses, which in a proportion of children <5 years were
associated with FS. The assays and systems developed during these investigations
should greatly assist in determining the bioactivity of new influenza strains,
and thus aid with the manufacture of CSL TIVs indicated for use in the paediatric
population.
PMID- 24928063
TI - Effect of Asian sand dust on Japanese cedar pollinosis.
AB - OBJECTIVE: Asian sand dust (ASD), originating in the deserts of Mongolia and
China, spreads over large areas and is associated with adverse effects on human
health in East Asia, including asthma, heart disease, and some allergic diseases.
However, the effect of ASD on patients with seasonal allergic rhinitis caused by
Japanese cedar pollen (SAR-JCP), the most common form of allergic rhinitis,
remains unclear. The aim of this study was to investigate the effect of ASD on
SAR-JCP patients. METHODS: A total of 41 patients with SAR-JCP recorded nasal and
ocular allergic symptom scores in a diary. We assessed the influence of ASD
events on patients with SAR-JCP during the JCP season and before and after the
JCP season. RESULTS: ASD events did not influence nasal and ocular allergy
symptoms during the JCP season. Scores for sneezing and runny nose were
significantly increased by ASD events in the pre-JCP season. Ocular symptom
scores were significantly increased by ASD events in the post-JCP season.
CONCLUSION: Our results suggest that ASD may exacerbate allergy symptoms even
before mass scattering of JCP, which usually does not cause allergic symptoms in
patients with SAR-JCP. ASD also induced conjunctivitis symptoms after the JCP
season. However, we did not observe any adverse effects of ASD on allergic
symptoms during the JCP season.
PMID- 24928064
TI - Expression of claudin-3 in the esophagus and larynx of rat reflux model.
AB - OBJECTIVE: The aim of this study was to investigate the association between
laryngeal expression of claudin-3 and laryngopharyngeal reflux (LPR) in a rat
reflux model. METHODS: Eight Wistar rats were divided into two groups. Four rats
underwent total esophageal myectomy to induce reflux, and the remainder underwent
a sham operation as a control. All animals were sacrificed 12 weeks after surgery
to perform tissue histology and Western blot analysis. RESULTS: Lymphocyte
infiltration increased significantly in the study group in both esophageal and
laryngeal samples (P=0.001, 0.002, respectively). Both esophageal and laryngeal
expressions of claudin-3 were significantly lower in the study group when
compared with that in the control group (P=0.045, 0.037, respectively).
CONCLUSION: The results of this study suggest that a decrease in claudin-3 could
be a sensitive indicator of reflux laryngitis in rats.
PMID- 24928065
TI - Relationship between positive bacterial culture in maxillary sinus and surgical
outcomes in chronic rhinosinusitis with nasal polyps.
AB - OBJECTIVES: There are many studies on clinical prognosis following endoscopic
sinus surgery (ESS) for the treatment of chronic rhinosinusitis with nasal polyp
(CRSwNP). However, there are no independent reports on bacterial infection as a
factor that influences surgical outcomes. We investigated the association between
bacterial infection and surgical outcomes following ESS. METHODS: This
retrospective review of medical records was performed on 71 patients with CRSwNP
that was refractory to medical treatment and who were diagnosed between July 2007
and June 2012. The extent of the polyps and the Lund-Mackay CT score (L-M score)
were preoperatively evaluated in all the patients. For this analysis, patients
were classified into three groups (normal flora, culture-positive, and culture
negative) according to their intraoperative bacterial culture results. We
compared the objective endoscopic findings between these groups at 6-months
postsurgery. RESULTS: Bacteria were cultured in 55 of the 71 patients (77%). Of
these, 43 patients (61%) demonstrated endoscopic improvement at the 6-month
follow-up examination. The preoperative L-M score and polyp grade demonstrated no
significant statistical differences in terms of surgical outcome, but the cure
rate was statistically higher in culture-negative patients in comparison with
normal flora and culture-positive patients (87.5% vs. 46.2% vs. 54.8,
respectively). CONCLUSION: Intraoperative culture results can be a prognostic
factor for the clinical outcomes of ESS in CRSwNP patients. Hence, the
intraoperative culturing of pathologic secretions and the postoperative
administration of susceptible antibiotics could improve surgical results.
PMID- 24928066
TI - Exploratory associations with tumor necrosis factor-alpha, disinhibition and
suicidal endorsement after traumatic brain injury.
AB - PURPOSE: To examine the relationship of Tumor Necrosis Factor (TNF)-alpha to
disinhibition and suicidal endorsement after traumatic brain injury (TBI).
PARTICIPANTS: Adults with moderate to severe TBI (acute serum levels: n=48, n=543
samples; acute CSF levels: n=37, n=389 samples; chronic serum levels: n=48, n=326
samples). MAIN MEASURES: TNFalpha levels (CSF, Serum) from time of injury to 12
months post-injury; Frontal Systems Behavior Scale - Disinhibition Subscale at 6
and 12 months post-injury; Patient Health Questionnaire at 6 and 12 months post
injury. RESULTS: Participants with TBI had significantly higher CSF and serum
TNFalpha levels than healthy controls (p<0.05). Acute and chronic serum TNFalpha
was significantly associated with disinhibition at 6 months post-injury (p=0.009,
p=0.029 respectively), and 6 month disinhibition was associated with suicidal
endorsement at both 6 and 12 months (p=0.045, p=0.033 respectively) and
disinhibition at 12 months post-injury (p<0.001). CONCLUSION: These preliminary
data suggest a biological to behavioral pathway of suicidality after TBI, from
TNFalpha to disinhibition to suicidal endorsement. Future investigation is
warranted to validate these findings and clarify what biological mechanisms might
underlie these relationships.
PMID- 24928067
TI - Gut expansion and contraction in the predatory soil mite Pergamasus longicornis
(Mesostigmata: Parasitidae): a stiff system.
AB - Mite digestive processes are inferred from gut expansion and contraction time in
the free-living predatory soil mite Pergamasus longicornis (Berlese), estimated
using a temporal series of histological sections. Gut regions (bar the rectal
vesicle) behave broadly in unison for rapid initial filling (ingestion half-life
about 2-3 min; max 8 min), but behave heterogeneously when slowly emptying
(digestion/egestion half-life from about 2-3 h; max 8.5 h). Anterior gut regions
fill and empty the earliest. Posterior gut regions take the longest to fill and
to empty. Switching first from filling-predominating to emptying-predominating in
the gut occurs around 2 h from the start of feeding. Median time for the initial
completion of gut filling and for the commencement of gut emptying is 10 min and
12.5 h, respectively, from the start of feeding. Three phases of gut changes are
critically discussed: rapid filling, concentration by fluid loss (via coxal
glands), and slow emptying. Independent corroboration of coxal droplet formation
is included. Predictions to confirm or refute postulated mechanisms of salivary,
coxal or rectal water balance are given. Overall total gut filling (ingestion)
plus gut emptying (digestion/egestion) time in this poikilotherm is approximately
29-52.5 h (1+ - 2+ days) at room temperature from the start of feeding on large
dipteran prey ([Formula: see text] gut emptyings per day). Pergamasus longicornis
exhibits the stiff digestive system of an intermittent 'bolus' feeder.
PMID- 24928068
TI - Towards plant wires.
AB - In experimental laboratory studies we evaluate a possibility of making electrical
wires from living plants. In scoping experiments we use lettuce seedlings as a
prototype model of a plant wire. We approximate an electrical potential transfer
function by applying direct current voltage to the lettuce seedlings and
recording output voltage. We analyse oscillation frequencies of the output
potential and assess noise immunity of the plant wires. Our findings will be used
in future designs of self-growing wetware circuits and devices, and integration
of plant-based electronic components into future and emergent bio-hybrid systems.
PMID- 24928069
TI - An uncommon presentation of eosinophilic granulomatosis with polyangiitis: a case
report.
AB - INTRODUCTION: Eosinophilic granulomatosis with polyangiitis is a rare and
potentially fatal disease if not readily diagnosed. Cerebral involvement is
extremely rare and clinical presentation as hemorrhagic stroke is even rarer.
CASE PRESENTATION: A 58-year-old Caucasian man was admitted to our medical unit
because of a computed tomography-diagnosed hemorrhagic stroke with right-sided
hemiparesis and fever. A chest computed tomography scan also revealed multiple
bilateral pulmonary infiltrates; coronary artery, and carotid and left vertebral
artery calcifications were also observed. Empiric antimicrobial therapy with
cephalosporins was promptly undertaken; low-molecular-weight heparin was
introduced as prophylaxis for venous thromboembolism. Over the following days,
magnetic resonance imaging scans showed a regression of the hemorrhagic
framework, also revealing hypoxic areas consistent with acute ischemic lesions.
With a computed tomography scan showing a worsening of his pulmonary framework,
antimicrobial therapy was modified and corticosteroids were introduced. A new
blood cell count revealed further increased leukocytosis (17.49 * 10(3) MUL),
characterized by a surprising rise of eosinophilic cells (32.8%). Angiography of
the coronary arteries found diffuse dilatations with severe signs of endothelial
damage. Such an unexpected framework induced a strong suspicion that the stroke
was the expression of a systemic vasculitis, which had triggered his cerebral,
coronary, and pulmonary frameworks. The search for antineutrophil cytoplasmic
antibody was positive for perinuclear antineutrophil cytoplasmic antibody, and
eosinophilic granulomatosis with polyangiitis was diagnosed. Explaining to the
patient the rarity of his disease, and what the most typical presentations of
eosinophilic granulomatosis with polyangiitis were, he revealed that before
admission he had had scalp injuries, in the nuchal region, and had taken
corticosteroids as self-medication, with subsequent disappearance of the lesions.
Therefore, high-dose corticosteroid treatment was started, and at discharge he
was in good clinical condition with a slight right-sided hyposthenia.
CONCLUSIONS: A diagnosis of eosinophilic granulomatosis with polyangiitis is
often difficult, but we are convinced that intake of corticosteroids on a self
prescribed basis may have obscured the clinical presentation. Therefore, this
case also suggests how the growing phenomenon of self-medication can be harmful,
and that a careful investigation of clinical history is still an act of paramount
importance.
PMID- 24928071
TI - Special issue on photosynthesis research for sustainability.
PMID- 24928070
TI - A human-specific allelic group of the MHC DRB1 gene in primates.
AB - BACKGROUND: Diversity among human leukocyte antigen (HLA) molecules has been
maintained by host-pathogen coevolution over a long period of time. Reflecting
this diversity, the HLA loci are the most polymorphic in the human genome. One
characteristic of HLA diversity is long-term persistence of allelic lineages,
which causes trans-species polymorphisms to be shared among closely related
species. Modern humans have disseminated across the world after their exodus from
Africa, while chimpanzees have remained in Africa since the speciation event
between humans and chimpanzees. It is thought that modern humans have recently
acquired resistance to novel pathogens outside Africa. In the present study, we
investigated HLA alleles that could contribute to this local adaptation in humans
and also studied the contribution of natural selection to human evolution by
using molecular data. RESULTS: Phylogenetic analysis of HLA-DRB1 genes identified
two major groups, HLA Groups A and B. Group A formed a monophyletic clade
distinct from DRB1 alleles in other Catarrhini, suggesting that Group A is a
human-specific allelic group. Our estimates of divergence time suggested that
seven HLA-DRB1 Group A allelic lineages in humans have been maintained since
before the speciation event between humans and chimpanzees, while chimpanzees
possess only one DRB1 allelic lineage (Patr-DRB1*03), which is a sister group to
Group A. Experimental data showed that some Group A alleles bound to peptides
derived from human-specific pathogens. Of the Group A alleles, three exist at
high frequencies in several local populations outside Africa. CONCLUSIONS: HLA
Group A alleles are likely to have been retained in human lineages for a long
period of time and have not expanded since the divergence of humans and
chimpanzees. On the other hand, most orthologs of HLA Group A alleles may have
been lost in the chimpanzee due to differences in selective pressures. The
presence of alleles with high frequency outside of Africa suggests these HLA
molecules result from the local adaptations of humans. Our study helps elucidate
the mechanism by which the human adaptive immune system has coevolved with
pathogens over a long period of time.
PMID- 24928072
TI - Energetic and nutritional constraints on infant brain development: implications
for brain expansion during human evolution.
AB - The human brain confronts two major challenges during its development: (i)
meeting a very high energy requirement, and (ii) reliably accessing an adequate
dietary source of specific brain selective nutrients needed for its structure and
function. Implicitly, these energetic and nutritional constraints to normal brain
development today would also have been constraints on human brain evolution. The
energetic constraint was solved in large measure by the evolution in hominins of
a unique and significant layer of body fat on the fetus starting during the third
trimester of gestation. By providing fatty acids for ketone production that are
needed as brain fuel, this fat layer supports the brain's high energy needs well
into childhood. This fat layer also contains an important reserve of the brain
selective omega-3 fatty acid, docosahexaenoic acid (DHA), not available in other
primates. Foremost amongst the brain selective minerals are iodine and iron, with
zinc, copper and selenium also being important. A shore-based diet, i.e., fish,
molluscs, crustaceans, frogs, bird's eggs and aquatic plants, provides the
richest known dietary sources of brain selective nutrients. Regular access to
these foods by the early hominin lineage that evolved into humans would therefore
have helped free the nutritional constraint on primate brain development and
function. Inadequate dietary supply of brain selective nutrients still has a
deleterious impact on human brain development on a global scale today,
demonstrating the brain's ongoing vulnerability. The core of the shore-based
paradigm of human brain evolution proposes that sustained access by certain
groups of early Homo to freshwater and marine food resources would have helped
surmount both the nutritional as well as the energetic constraints on mammalian
brain development.
PMID- 24928073
TI - Validation of Hill-type muscle models in relation to neuromuscular recruitment
and force-velocity properties: predicting patterns of in vivo muscle force.
AB - We review here the use and reliability of Hill-type muscle models to predict
muscle performance under varying conditions, ranging from in situ production of
isometric force to in vivo dynamics of muscle length change and force in response
to activation. Muscle models are frequently used in musculoskeletal simulations
of movement, particularly when applied to studies of human motor performance in
which surgically implanted transducers have limited use. Musculoskeletal
simulations of different animal species also are being developed to evaluate
comparative and evolutionary aspects of locomotor performance. However, such
models are rarely validated against direct measures of fascicle strain or
recordings of muscle-tendon force. Historically, Hill-type models simplify
properties of whole muscle by scaling salient properties of single fibers to
whole muscles, typically accounting for a muscle's architecture and series
elasticity. Activation of the model's single contractile element (assigned the
properties of homogenous fibers) is also simplified and is often based on
temporal features of myoelectric (EMG) activation recorded from the muscle.
Comparison of standard one-element models with a novel two-element model and with
in situ and in vivo measures of EMG, fascicle strain, and force recorded from the
gastrocnemius muscles of goats shows that a two-element Hill-type model, which
allows independent recruitment of slow and fast units, better predicts temporal
patterns of in situ and in vivo force. Recruitment patterns of slow/fast units
based on wavelet decomposition of EMG activity in frequency-time space are
generally correlated with the intensity spectra of the EMG signals, the strain
rates of the fascicles, and the muscle-tendon forces measured in vivo, with
faster units linked to greater strain rates and to more rapid forces. Using
direct measures of muscle performance to further test Hill-type models, whether
traditional or more complex, remains critical for establishing their accuracy and
essential for verifying their applicability to scientific and clinical studies of
musculoskeletal function.
PMID- 24928074
TI - Neurolymphomatosis: a case series of clinical manifestations, treatments, and
outcomes.
AB - BACKGROUND: Neurolymphomatosis (NL) is a rare clinical entity characterized by
infiltration of malignant lymphocytes into the peripheral nervous system. We
analyzed the clinicoradiological features, treatments, and outcomes in NL
patients. METHODS: We identified six patients with NL seen at The University of
Texas MD Anderson Cancer Center from 01/2010 to 10/2012. We extracted clinical
presentations, imagings, CSF cytology, and electrodiagnostic studies from medical
records. One patient had a nerve biopsy. We defined therapy response as clinical
improvement of neurological deficits. FINDINGS: The mean age at onset was 57.1
years. Most were predominantly men with non-Hodgkin lymphoma. Positron emission
tomography (PET) was positive in five patients. Nerve conduction demonstrated
mononeuritis multiplex, plexopathy, demyelination, and axonal
polyradiculoneuropathy, whereas electromyography was nonspecific. All patients
received systemic chemotherapy, four intrathecal chemotherapy, and three
intravenous immunoglobulin, plasma exchange or both. One patient who received
intravenous immunoglobulin showed mild neurological improvement. Two patients
responded, and the median overall survival was 15 months. CONCLUSIONS: NL is an
increasingly recognized complication of NHL and leukemia. A high clinical
suspicion is necessary for correct diagnosis. In the present series, patients
with leukemia had mononeuritis multiplex, whereas those with lymphoma had
plexopathy. Electrodiagnosis and PET scans were useful diagnostic tools. No
factors correlated with poorer prognosis. International collaborative studies
will help to better determine the risk factors of NL, response to treatment and
outcomes.
PMID- 24928075
TI - Psychological distress after subarachnoid hemorrhage: patient support groups can
help us better detect it.
AB - INTRODUCTION: One-quarter of subarachnoid hemorrhage (SAH) survivors develop
psychological distress. This impedes recovery. Limitations to existing care
pathways mean that distress often goes undetected. We need to know how to better
identify it. OBJECTIVES: Support groups for SAH exist in many countries. No
research has been conducted on them. Distressed patients might be concentrated
amongst them. If true, this could offer a low-cost way to help better identify
distress. We conducted the first study to determine which survivors access such
groups, their needs and compared them to the wider SAH population. METHODS: 414
UK SAH survivors affiliated with national support groups were recruited. They
completed a questionnaire, including validated measures of anxiety, depression
and posttraumatic stress, and asked whether they had been examined or treated for
distress post-SAH. Regression established factors associated with treatment.
RESULTS: Participants' mean age at SAH was 44.6 and 312 (75%) were female. Most
(68.4%) reported a cerebral aneurysm as their SAH's cause and were treated with
coiling. Median years since SAH were three. Over three-quarters of participants
were experiencing clinical distress. Regression found distressed participants
were twice as likely to have been examined and treated for distress.
Nevertheless, 47% of distressed participants had not been examined for distress
post-SAH and 55% had not been offered treatment. CONCLUSION: Psychological
distress is overrepresented amongst support groups. Members also tend to be
younger and female. Establishing referral pathways from support groups to health
systems might improve detection of distress and allow the benefits of improved
survival from SAH to be fully realized.
PMID- 24928076
TI - Paraneoplastic downbeat nystagmus associated with cerebellar hypermetabolism
especially in the nodulus.
AB - A 52-year-old man with vertigo and imbalance for two weeks showed spontaneous
downbeat (DBN), horizontal gaze-evoked, and positional apogeotropic nystagmus
along with severe limb and truncal ataxia. Gadolinium-enhanced brain MRI was
normal, but whole body and brain 2-deoxy-2-[F18]fluoro-d-glucose-positron
emission tomography revealed hypermetabolism in the right lower lobe of the lung
and the cerebellum, especially in the nodulus. The lesion in the lung was
confirmed as mixed cell carcinoma. Paraneoplastic DBN may be associated with
cerebellar hypermetabolism, especially in the nodulus.
PMID- 24928077
TI - Antisecretory factor (AF) exerts no effects on intracranial pressure (ICP) waves
and ICP in patients with idiopathic normal pressure hydrocephalus and idiopathic
intracranial hypertension.
AB - BACKGROUND: Antisecretory factor (AF) and derivates thereof counteract brain
edema and inflammation, and normalize ICP dynamics. The aim of the present study
was to assess whether AF normalized the abnormal ICP waves, indicative of
impaired intracranial compliance, seen in patients with idiopathic normal
pressure hydrocephalus (iNPH) and idiopathic intracranial hypertension (IIH). The
hypothesis was that brain swelling contributes to the abnormal ICP waves.
METHODS: The study enrolled patients undergoing diagnostic ICP wave monitoring
for either iNPH or IIH. The ICP waves and ICP were recorded continuously before
and after oral administration of Salovum(r) (0.5 g/kg body weight/day divided by
three doses), a freeze-dried egg yolk enriched in AF activity. Mean ICP wave
amplitude (MWA), mean ICP wave rise time coefficient (MWRTC), and mean ICP were
compared before and after Salovum(r) administration. RESULTS: A total of 10 iNPH
patients and 8 IIH patients were included. No significant changes in the ICP wave
indices or ICP were seen after Salovum(r) administration. Neither any significant
time-dependent effect was observed. CONCLUSION: The lack of effect of Salovum(r)
on ICP wave indices and ICP in iNPH and IIH may provide indirect evidence that
brain swelling does not play a crucial role in the ICP wave indices or ICP of
these conditions.
PMID- 24928078
TI - A case of adult-onset reducing body myopathy presenting a novel clinical feature,
asymmetrical involvement of the sternocleidomastoid and trapezius muscles.
AB - We herein report a 32-year-old woman with adult-onset reducing body myopathy
(RBM) who had a mutation in the four-and-a-half LIM domain 1 gene (FHL1) and
showed a marked asymmetrical involvement of sternocleidomastoid and trapezius
muscles. At 30 years of age she noticed bilateral foot drop, and over the next
two years developed difficulty raising her right arm. At 32 years of age she was
admitted to our hospital for a diagnostic evaluation. Neurological examination
showed moderate weakness and atrophy of her right sternocleidomastoid muscle,
right trapezius muscle, and bilateral upper proximal muscles. There were severe
weakness and atrophy of her bilateral tibialis anterior muscles. Her deep tendon
reflexes were hypoactive in her upper extremities. Her serum creatine kinase
level was mildly increased. Muscle biopsy specimens from the left tibialis
anterior muscle revealed marked variation in fiber size, some necrotic or
regenerating fibers, and reducing bodies. Gene analysis of FHL1 demonstrated a
mutation: a heterozygous missense mutation of c.377G>A (p. C126T) in FHL1.
Compared with previous adult-onset RBM cases harboring mutations in FHL1, our
case was characterized by asymmetrical atrophy of the sternocleidomastoid and
trapezius muscles.
PMID- 24928079
TI - Sudden death in Parkinson's disease: a retrospective autopsy study.
AB - The aim of this paper is to reveal the causes of death and to verify sudden death
of Parkinson's disease (PD) in an autopsy study. We reviewed the clinical data
and the causes of death in 16 PD patients who had postmortem examinations. Prior
to autopsy, nine patients died of known causes: five patients died of aspiration
pneumonia, two of myocardial infarction, one of asphyxia, and one of dilated
cardiomyopathy. Autopsy confirmed that the putative causes of death were
compatible with the pathological ones. The remaining seven patients died suddenly
of unknown causes. Autopsy revealed that the causes of death were asphyxia in two
patients and perforation of a duodenal ulcer in one patient. Autopsy did not
determine the causes of unknown death in the remaining four patients.
Consequently, autopsy revealed that eight patients died of swallowing problems
such as aspiration pneumonia and asphyxia, four of sudden death, three of cardiac
problems, and one of a gastrointestinal problem. Although there was a bias that
all patients had a postmortem examination, our study revealed that several PD
patients died of sudden death without any satisfactory causes of death determined
even by autopsy. Therefore, we propose that a non-negligible number of PD
patients die of sudden death.
PMID- 24928080
TI - Natural course of LGI1 encephalitis: 3-5 years of follow-up without
immunotherapy.
AB - Antibodies against LGI1 (leucin-rich glioma-inactivated 1 protein) are associated
with limbic encephalitis (LE), which is characterized by a favorable outcome
following immunotherapy. Here, we present two cases, where antibodies against
LGI1 were detected in the sera 36 and 53 months after acute LE, respectively, and
none of the patients received immunotherapy. LE showed characteristics of LGI1
encephalitis in both cases, including low sodium content in the sera;
disorientation, hallucination, short-term memory loss; and epileptic seizures.
One patient had faciobrachial tonic seizures. MRI indicated bilateral
inflammation of the hippocampus in one case. We reviewed longitudinal clinical
and MRI data covering 53 and 36 months after LE without immunotherapy,
respectively. Both patients became seizure-free and spontaneously recovered with
mild/moderate cognitive impairment. No relapses have been observed. Follow-up
brain MRI indicated early hippocampal sclerosis and global brain atrophy in one
case characterized by more pronounced cognitive deficit. Memory and verbal
fluency were affected most during the natural course of LGI1 encephalitis. LGI1
encephalitis had a monophasic course and spontaneously improved, suggesting that
a relatively benign natural course may contribute to the favorable outcome
observed after immunotherapy. Our data also indicate that LGI1 antibodies can be
present in the sera without clinical disease activity.
PMID- 24928081
TI - Tau protein, beta-amyloid1-42 and clusterin CSF levels in the differential
diagnosis of Parkinsonian syndrome with dementia.
AB - BACKGROUND: Parkinson's disease (PD), PD with dementia (PDD) and Lewy body
dementia (DLB) are synucleinopathies. PDD and DLB are sometimes considered a
transition between PD and Alzheimer dementia (AD). Finding in vivo markers or
their combination could help in the differential diagnosis of these
neurodegenerative (ND) diseases. OBJECTIVE: The aim of this study was to assess
cerebrospinal fluid (CSF) levels of tau protein, betaamyloid1-42 and clusterin
and to compare these levels among patients with probable PD, PDD, DLB and AD.
METHODS: CSF levels of ND markers were assessed in 96 patients (27 patients with
PD, 14 with PDD, 14 with DLB, 17 with AD and 24 subjects as a control group).
RESULTS: In all of the groups of patients, beta-amyloid1-42 levels were
decreasing in the order PD>PDD>DLB>AD, whereas tau protein and the tau
protein/beta-amyloid1-42 index were increasing in the same order (PDC (PvuII) and c454-351A>G (XbaI)
are risk biomarkers for breast cancer development.
AB - There are several risk factors related to Breast Cancer (BC) risks and response
to chemotherapy with SERMs. Recently some single nucleotide polymorphisms (SNPs)
on ESR1 gene have been associated to this disease. However, data are still
inconclusive. The present study aimed to investigate the association of SNPs c454
397T>C (also called PvuII) and c454-351A>G (so called XbaI) to incidence of
sporadic BC; ERalpha expression in BC; tamoxifen hormonetherapy (HT-TMX)
responsiveness. To do so, a cohort of BC patients was analyzed through
retrospective data collection, immunohistochemistry to ERalpha protein, and
genotyping for PvuII and XbaI SNPs by PCR-RFLP, confirmed by sequencing.
Significant difference in PvuII alleles frequencies were found BC patients when
compared to control samples. Patients with P allele have a 5.14-fold increased BC
risk. We found higher P and X alleles frequencies in ERalpha positive BC and the
pp and xx genotypes were observed exclusively in patients with HT-TMX-responsive
BC. Taken together, data indicates that P allele as a novel sporadic BC biomarker
whereas p and x alleles enhanced chemotherapy responsiveness.
PMID- 24928089
TI - Analysis of circulating microRNAs that are specifically increased in
hyperlipidemic and/or hyperglycemic sera.
AB - MicroRNAs (miRNAs) are small non-coding RNA sequences that regulate gene
expression post-transcriptionally by translation inhibition or mRNA degradation.
The aim of the present study was to analyze serum miRNAs modulated by
hyperlipidemia and/or hyperglycemia and to correlate them with biochemical
parameters within lipid metabolism. Five selected circulating miRNAs (miR-125a
5p, miR-146a, miR-10a, miR-21 and miR-33a) were individually analyzed by TaqMan
miRNA assays along with lipid and inflammation parameters in sera from 20
hyperlipidemic (HL) and/or hyperglycemic (HG) patients, and compared with data
from five normolipidemic/normoglycemic subjects. Results showed: (1) the levels
of all the analyzed circulating miRNA were increased in HL sera and correlated
positively with sera's lipid and inflammatory parameters; (2) circulating miR
125a-5p and miR-146a levels were increased in HG and/or HL sera; (3) all selected
miRNAs were detected in alpha-lipoprotein fraction from sera, and miR-33a was
also present in beta-lipoprotein fraction; (4) miRNA concentrations were
increased in the alpha-lipoprotein fraction from HL sera. These data show a
statistically significant correlation of the analyzed miRNA with increased
lipids, specifically with alpha- and beta-lipoproteins, and CRP and IL-1beta
levels in HL and/or HG sera, suggesting a contribution of these miRNAs to the
atherosclerotic process.
PMID- 24928091
TI - Optimal decision making in heterogeneous and biased environments.
AB - The issue of optimal performance in speeded two-choice tasks has played a
substantial role in the development and evaluation of decision making theories.
For difficulty-homogeneous environments, the means to achieve optimality are
prescribed by the sequential probability ratio test (SPRT), or equivalently, by
the drift diffusion model (DDM). Biases in the external environments are easily
accommodated into these models by adopting a prior integration bias. However, for
difficulty-heterogeneous environments, the issue is more elusive. I show that in
such cases, the SPRT and the DDM are no longer equivalent and both are
suboptimal. Optimality is achieved by a diffusion-like accumulation of evidence
while adjusting the choice thresholds during the time course of a trial. In the
second part of the paper, assuming that decisions are made according to the
popular DDM, I show that optimal performance in biased environments mandates
incorporating a dynamic-bias component (a shift in the drift threshold) in
addition to the prior bias (a shift in the starting point) into the model. These
conclusions support a conjecture by Hanks, Mazurek, Kiani, Hopp, and Shadlen,
(The Journal of Neuroscience, 31(17), 6339-6352, 2011) and contradict a recent
attempt to refute this conjecture by arguing that optimality is achieved with the
aid of prior bias alone (van Ravenzwaaij et al., 2012). The psychological
plausibility of such "mathematically optimal" strategies is discussed. The
current paper contributes to the ongoing effort to understand optimal behavior in
biased and heterogeneous environments and corrects prior conclusions with respect
to optimality in such conditions.
PMID- 24928092
TI - Long-term semantic representations moderate the effect of attentional refreshing
on episodic memory.
AB - The McCabe effect (McCabe, Journal of Memory and Language 58:480-494, 2008)
refers to an advantage in episodic memory (EM) retrieval for memoranda studied in
complex span versus simple span tasks, particularly for memoranda presented in
earlier serial positions. This finding has been attributed to the necessity to
refresh memoranda during complex span tasks that, in turn, promotes content
context binding in working memory (WM). Several frameworks have conceptualized WM
as being embedded in long-term memory. Thus, refreshing may be less efficient
when memoranda are not well-established in long-term semantic memory (SM). To
investigate this, we presented words and nonwords in simple and complex span
trials in order to manipulate the long-term semantic representations of the
memoranda with the requirement to refresh the memoranda during WM. A recognition
test was administered that required participants to make a remember-know decision
for each memorandum recognized as old. The results replicated the McCabe effect,
but only for words, and the beneficial effect of refreshing opportunities was
exclusive to recollection. These results extend previous research by indicating
that the predictive relationship between WM refreshing and long-term EM is
specific to recollection and, furthermore, moderated by representations in long
term SM. This supports the predictions of WM frameworks that espouse the
importance of refreshing in content-context binding, but also those that view WM
as being an activated subset of and, therefore, constrained by the contents of
long-term memory.
PMID- 24928083
TI - Oxaliplatin, fluorouracil, and leucovorin with or without cetuximab in patients
with resected stage III colon cancer (PETACC-8): an open-label, randomised phase
3 trial.
AB - BACKGROUND: Since the 1990s, fluorouracil-based adjuvant chemotherapy has
significantly reduced the risk of tumour recurrence in patients with stage III
colon cancer. We aimed to assess whether the addition of cetuximab to standard
adjuvant oxaliplatin, fluorouracil, and leucovorin chemotherapy (FOLFOX4) in
patients with stage III colon cancer improved disease-free survival (DFS).
METHODS: For this open-label, randomised phase 3 study done in nine European
countries, we enrolled patients through an interactive voice response system to
the central randomisation centre, with a central stratified permuted block
randomisation procedure. We randomly assigned patients with resected (R0) stage
III disease (1:1) to receive 12 cycles of FOLFOX4 twice a week with or without
cetuximab. Patients were stratified by N-status (N1 vs N2), T-status (T1-3 vs
T4), and obstruction or perforation status (no obstruction and no perforation vs
obstruction or perforation or both). A protocol amendment (applied in June, 2008,
after 2096 patients had been randomly assigned to treatment-restricted enrolment
to patients with tumours wild-type at codons 12 and 13 in exon 2 of the KRAS gene
(KRAS exon 2 wild-type). The primary endpoint was DFS. Analysis was intention to
treat in all patients with KRAS exon 2 wild-type tumours. The study is registered
at EudraCT, number 2005-003463-23. FINDINGS: Between Dec 22, 2005, and Nov 5,
2009, 2559 patients from 340 sites in Europe were randomly assigned. Of these
patients, 1602 had KRAS exon 2 wild-type tumours (intention-to-treat population),
791 in the FOLFOX4 plus cetuximab group and 811 in the FOLFOX4 group. Median
follow-up was 3.3 years (IQR 3.2-3.4). In the experimental and control groups,
DFS was similar in the intention-to-treat population (hazard ratio [HR] 1.05; 95%
CI 0.85-1.29; p=0.66), and in patients with KRAS exon 2/BRAF wild-type (n=984, HR
0.99; 95% CI 0.76-1.28) or KRAS exon 2-mutated tumours (n=742, HR 1.06; 95% CI
0.82-1.37). We noted heterogeneous responses to the addition of cetuximab in
preplanned subgroup analyses. Grade 3 or 4 acne-like rash (in 209 of 785 patients
[27%] vs four of 805 [<1%]), diarrhoea (113 [14%] vs 70 [9%]), mucositis (63 [8%]
vs 10 [1%]), and infusion-related reactions (55 [7%] vs 30 [4%]) were more
frequent in patients treated with FOLFOX4 plus cetuximab than in those patients
who received FOLFOX4 alone. INTERPRETATION: The addition of cetuximab to FOLFOX4
did not improve DFS compared with FOLFOX4 alone in patients with KRAS exon 2 wild
type resected stage III colon cancer. This trial cannot conclude on the benefit
of cetuximab in the studied population, but the heterogeneity of response
suggests that further investigation of the role of FOLFOX4 plus cetuximab in
specific patient subgroups is warranted. FUNDING: Federation Francophone de
Cancerologie Digestive (FFCD), Merck KGaA, and Sanofi-Aventis.
PMID- 24928093
TI - Enhanced neutrophil extracellular trap generation in rheumatoid arthritis:
analysis of underlying signal transduction pathways and potential diagnostic
utility.
AB - INTRODUCTION: Neutrophil extracellular traps (NETs) have recently been implicated
in a number of autoimmune conditions, including rheumatoid arthritis (RA). We
examined the underlying signaling pathways triggering enhanced NETosis in RA and
ascertained whether the products of NETosis had diagnostic implications or
usefulness. METHODS: Neutrophils were isolated from RA patients with active
disease and from controls. Spontaneous NET formation from RA and control
neutrophils was assessed in vitro with microscopy and enzyme-linked immunosorbent
assay (ELISA) for NETosis-derived products. The analysis of the signal
transduction cascade included reactive oxygen species (ROS) production,
myeloperoxidase (MPO), neutrophil elastase (NE), peptidyl arginine deiminase 4
(PAD4), and citrullinated histone 3 (citH3). NET formation was studied in
response to serum and synovial fluid and immunoglobulin G (IgG) depleted and
reconstituted serum. Serum was analyzed for NETosis-derived products, for which
receiver operator characteristic (ROC) curves were calculated. RESULTS:
Neutrophils from RA cases exhibited increased spontaneous NET formation in vitro,
associated with elevated ROS production, enhanced NE and MPO expression, nuclear
translocation of PAD4, PAD4-mediated citrullination of H3, and altered nuclear
morphology. NET formation in both anti-citrullinated peptide antibody (ACPA)
positive and -negative RA was abolished by IgG depletion, but restored only with
ACPA-positive IgG. NETosis-derived products in RA serum demonstrated diagnostic
potential, the ROC area under the curve for cell-free nucleosomes being >97%,
with a sensitivity of 91% and a specificity of 92%. No significant difference was
observed between ACPA-positive and -negative cases. CONCLUSIONS: Signaling
elements associated with the extrusion of NETs are significantly enhanced to
promote NETosis in RA compared with healthy controls. NETosis depended on the
presence of ACPA in ACPA-positive RA serum. The quantitation of NETosis-derived
products, such as cell-free nucleosomes in serum, may be a useful complementary
tool to discriminate between healthy controls and RA cases.
PMID- 24928094
TI - Organochlorine pesticide level differences among female inhabitants from
Veracruz, Puebla and Tabasco, Mexico.
AB - Organochlorine pesticides have been used in Mexico in malaria control programs
and against ectoparasites. The objective of this study was to compare the levels
of organochlorine pesticides: HCB, alpha-beta-gamma-HCH, pp'-DDE, op'-DDT and pp'
DDT in adipose tissue of female inhabitants from three Mexican states: Veracruz,
Puebla and Tabasco. Data analyses indicated higher beta-HCH levels in Puebla
inhabitants. When comparing the mean values of the pp'-DDE concentrations among
the three states, no statistically significant differences were noted. A trend of
increasing concentrations of op'-DDT from Veracruz to Puebla and Tabasco was
observed. Significantly higher pp'-DDT concentrations in Veracruz as compared to
Puebla and Tabasco were determined. Using factorial analysis of three age
categories (>30, 31-50, <51) organochlorine pesticide concentrations increases
with age of participants, indicating time of exposure as a principal factor of
organochlorine pesticides accumulation in adipose tissue.
PMID- 24928095
TI - Government says Public Health England should challenge it over health issues when
necessary.
PMID- 24928096
TI - Diabetes and oral implant failure: a systematic review.
AB - The aim of this systematic review and meta-analysis was to investigate whether
there are any effects of diabetes mellitus on implant failure rates,
postoperative infections, and marginal bone loss. An electronic search without
time or language restrictions was undertaken in March 2014. The present review
followed the Preferred Reporting Items for Systematic Reviews and Meta-Analyses
(PRISMA) guidelines. Eligibility criteria included clinical human studies. The
search strategy resulted in 14 publications. The I (2) statistic was used to
express the percentage of total variation across studies due to heterogeneity.
The inverse variance method was used for the random effects model when
heterogeneity was detected or for the fixed effects model when heterogeneity was
not detected. The estimates of an intervention for dichotomous outcomes were
expressed in risk ratio and in mean difference in millimeters for continuous
outcomes, both with a 95% confidence interval. There was a statistically
significant difference (p = .001; mean difference = 0.20, 95% confidence interval
= 0.08, 0.31) between diabetic and non-diabetic patients concerning marginal bone
loss, favoring non-diabetic patients. A meta-analysis was not possible for
postoperative infections. The difference between the patients (diabetic vs. non
diabetic) did not significantly affect implant failure rates (p = .65), with a
risk ratio of 1.07 (95% confidence interval = 0.80, 1.44). Studies are lacking
that include both patient types, with larger sample sizes, and that report the
outcome data separately for each group. The results of the present meta-analysis
should be interpreted with caution because of the presence of uncontrolled
confounding factors in the included studies.
PMID- 24928097
TI - Reactionary Dentinogenesis and Neuroimmune Response in Dental Caries.
AB - Reactionary dentin formation is an adaptive secretory response mediated by
odontoblasts to moderate dentin injury. The implications of this process for
neuroimmune interactions operating to contain pathogens have not been fully
appreciated. The purpose of the present study was to describe the relationship
between reactionary dentinogenesis, the neurogenic changes of dental pulp
innervation, and dendritic cell recruitment to caries progression, using a
comparative immunohistochemical approach in human teeth from young adult
individuals. Reactionary dentin formation during dentin caries progression is
associated with changes in the integrity of junctional complexes within the
odontoblast layer. Diminished coexpression of Cx43 and zonula occludens 1 implies
a reduced level of intercellular connectivity between odontoblasts. Dentin caries
also causes overexpression of growth-associated protein 43, a modulator of neural
plasticity that promotes extensive sprouting of nerve endings into the
reactionary dentin matrix. At the same time, an elevated number of HLA-DR
positive dendritic cells infiltrate the odontoblast layer and subsequently invade
reactionary dentin formed underneath the early caries-affected regions.
Simultaneous odontoblast layer remodeling, nerve fiber sprouting, and activation
of dendritic cells during caries progression suggest a coordinated neuroimmune
response to fight caries pathogen invasion and to promote dentin-pulp healing. We
propose that reactionary dentin formation hinders pathogen invasion and supports
defensive neuroimmune interactions against infection. The eventual understanding
of this complex scenario may contribute to the development of novel approaches to
dental caries treatment.
PMID- 24928098
TI - The human miRNA repertoire of different blood compounds.
AB - BACKGROUND: MiRNAs from body fluids gain more and more attraction as biomarker
candidates. Besides serum, patterns from whole blood are increasingly considered
as markers for human pathologies. Usually, the contribution of different cell
types to the respective signature remains however unknown. In this study we
provide insights into the human miRNome of different compounds of the blood
including CD3, CD14, CD15, CD19, CD56 positive cells as well as exosomes.
METHODS: We measured the miRNA repertoire for each cell type and whole blood for
two individuals at three time points over the course of one year in order to
provide evidence that the cell type miRNomes can be reproducibly detected.
RESULTS: For measurements repeated after 24 hours we found on average correlation
of 0.97, even after one year profiles still correlated with 0.96, demonstrating
the enormous stability of the cell type specific miRNomes. Highest correlation
was found for CD15 positive cells, exceeding Pearson correlation of 0.99. For
exosomes a significantly higher variability of miRNA expression was detected. In
order to estimate the complexity and variability of the cell type specific
miRNomes, we generated profiles for all considered cell types in a total of seven
unaffected individuals. While CD15 positive cells showed the most complex miRNome
consisting of 328 miRNAs, we detected significantly less miRNAs (186, p = 1.5*10(
5)) in CD19 positive cells. Moreover, our analysis showed functional enrichment
in many relevant categories such as onco-miRNAs and tumor miRNA suppressors.
Interestingly, exosomes were enriched just for onco-miRNAs but not for miRNA
tumor suppressors. CONCLUSION: In sum, our results provide evidence that blood
cell type specific miRNomes are very consistent between individuals and over
time.
PMID- 24928099
TI - Transcatheter closure of a pulmonary arteriovenous malformation in a patient with
hereditary hemorrhagic telangiectasia.
AB - Pulmonary arteriovenous malformations (PAVM) are rare pulmonary vascular
anomalies. Over 50 % of the cases are associated with hereditary hemorrhagic
telangiectasia or Osler-Weber-Rendu Syndrome. Untreated PAVMs progressively
enlarge and can cause significant right-to-left shunting. Surgical- and catheter
based approaches have been used in the management of PAVM. We report a case of a
74-year-old man who presented with dyspnea and hypoxia and was found to have a
large right-sided PAVM. He underwent percutaneous closure of the PAVM with an
Amplatzer device with significant improvement of his symptoms.
PMID- 24928100
TI - Time Course of Calcium Concentrations and Risk Factors for Hypocalcemia in
Patients Receiving Denosumab for the Treatment of Bone Metastases From Cancer.
AB - BACKGROUND: Severe hypocalcemia sometimes develops during denosumab treatment for
bone metastases from cancer and is, therefore, an important issue. However,
limited information is available on the risk factors for hypocalcemia and the
appropriate interval for monitoring serum calcium concentration. OBJECTIVE: The
present study aimed to identify the risk factors for grade >=2 hypocalcemia and
to investigate the time course of serum calcium concentrations in patients
receiving denosumab for bone metastases from cancer. METHOD: The medical records
of 66 cancer patients treated with denosumab between April 2012 and August 2013
were retrospectively reviewed. RESULT: Of the 66 enrolled patients, 11, 5, and 1
developed grade 1, 2, and 3 hypocalcemia, respectively. All 4 patients with a
baseline estimated glomerular filtration rate (eGFR) of <30 mL/min developed
hypocalcemia. Hypocalcemia occurred in only 20%, 24%, and 15% of patients with an
eGFR of 30 to 59, 60 to 89, and >=90 mL/min, respectively. Multivariate logistic
regression analysis revealed that lower eGFR values (odds ratio, 1.72 per 10
mL/min decrease, P = 0.02) were significantly associated with grade >=2
hypocalcemia. In 11 patients who developed hypocalcemia during the first
treatment course, the mean calcium concentrations decreased from 9.8 mg/dL at
baseline to 8.4 mg/dL during the first week and reached a nadir of 8.1 mg/dL
during the second week. CONCLUSION: Our results support more frequent monitoring
of serum calcium concentrations at baseline and during the first 2 weeks of
treatment in patients receiving denosumab, especially those with an eGFR <30
mL/min.
PMID- 24928101
TI - Association of deep-vein thrombosis (DVT) with missed doses of prophylactic
antithrombotic medications in ICU patients: a case-control study.
AB - BACKGROUND: Medications for prevention of venous thromboembolism (VTE) are
routinely prescribed in critically ill patients. OBJECTIVE: To identify any
association between missed doses of VTE prophylaxis medications and acute, in
hospital deep-vein thrombosis (DVT). METHODS: Case-control study in hospitalized
adult patients at high risk for developing VTE, defined as an ICU length of stay
(LOS) of at least 24 hours. Cases were defined as patients with acute DVT during
hospitalization, and controls were patients with no documented DVT. Multivariate
logistic regression was used to assess the odds of acute DVT in patients with any
missed dose of prophylactic antithrombotic medication. RESULTS: Of 920 patients,
59 (6.4%) experienced an acute, in-hospital DVT. Overall, 64% of patients missed
at least 1 dose of VTE prophylaxis medication, and 33% missed more than 3 doses.
In the univariate analysis, there was no significant association between any
missed dose of prophylaxis medication and acute DVT (odds ratio [OR] = 0.96; CI =
0.56-1.7). Multivariate logistic regression modeling confirmed no association
between missed doses of pharmacological VTE medications and acute DVT (OR = 0.69
[0.39-1.2]; P = 0.21). Prolonged hospital LOS was associated with increased odds
of acute DVT (LOS = 4-6 days; OR = 0.75 [0.21-2.6]; LOS = 7-13 days; OR = 2.3
[0.9-5.9]; and LOS = >=14 days; OR = 6.4 [2.6-15.9]). CONCLUSION: We found no
evidence of a relationship between any missed dose of prophylactic antithrombotic
medication and development of acute DVT. The odds of acute DVT increased in
patients with prolonged hospital LOS.
PMID- 24928102
TI - Comparison of Glucose Measures in Patients Receiving Concomitant Paroxetine and
Pravastatin to Other SSRI/Statin Combinations.
AB - BACKGROUND: An analysis of the US Food and Drug Administration's Adverse Event
Reporting System database found evidence suggesting that the combination of the
cholesterol-lowering drug pravastatin and the antidepressant paroxetine, produced
a significant increase in average blood glucose measures. OBJECTIVE: Our intent
was to investigate the reproducibility of these findings by conducting a similar
analysis of administrative and prescription claims data from patients receiving
primary care at the Palo Alto Medical Foundation. METHOD: We conducted an
observational, retrospective review of 2149 adult patient records to compare mean
measures of blood glucose between groups receiving concomitant paroxetine and
pravastatin with the pooled means of those receiving 19 different combinations of
a statin and a selective serotonin reuptake inhibitor (SSRI) antidepressant.
Analysis of covariance (ANCOVA) was used to compare random glucose, fasting
glucose, and glycosylated hemoglobin mean values, while adjusting for the
covariates of age, gender, body mass index, and weight in patients with and
without a diagnosis of diabetes mellitus. RESULT: A total of 65 observations were
analyzed from patients receiving concomitant paroxetine and pravastatin and 2084
from those receiving 19 other statin-SSRI pairs. The ANCOVA showed that
significant covariate relationships included the diagnosis of diabetes mellitus,
male gender, and body weight. After adjusting for covariates, no significant
difference was found between the 3 mean glucose measures of the pravastatin
paroxetine pair groups and the corresponding means of the pooled statin-SSRI pair
groups (P > 0.05). CONCLUSION: Outpatients receiving concomitant pravastatin and
paroxetine did not have significantly different mean glucose measures as compared
with those receiving other statin-SSRI drug combinations.
PMID- 24928103
TI - Paclitaxel-Induced Fingerprints Loss.
PMID- 24928104
TI - Association of 24 bp duplication of human CHIT1 gene with asthma in a
heterozygous population of north India: a case-control study.
AB - PURPOSE: CHIT1 is expressed by pulmonary macrophages, which is typically the site
of entry for many environmental fungi that may increase the risk of pulmonary
fungal infection and lead to hypersensitivity. The conserved expression of this
gene in humans suggests its physiological importance in the mammalian lung.
METHODS: The present study was conducted with a total of 964 subjects, including
483 healthy controls and 481 asthma patients. DNA samples were extracted from
blood, and the genotyping was done using polymerase chain reaction method.
RESULTS: Statistical analysis revealed that the 24 bp duplication in CHIT1 gene
polymorphism shows highly significant association in heterozygous (wild/dup)
genotype with OR 1.74, 95 % CI (1.29-2.36), and p = 0.000. However, the
homozygous mutant genotype (dup/dup) was found to be non-significant with OR
1.06, 95% CI (0.69-1.63), and p = 0.786. The combination of both wild/dup and
dup/dup was also found to be highly significant with OR 1.57, 95% CI (1.18-2.11),
and p = 0.002. CONCLUSIONS: This is the first study conducted in India which
reports a significant association between 24 bp duplication in CHIT1 gene
polymorphism and asthma in the studied North Indian population.
PMID- 24928105
TI - Types of food and nutrient intake in India: a literature review.
AB - Nowadays India is undergoing an impressive economic growth accompanied by a very
slow decline, almost stagnation, in malnutrition levels. In developing countries,
studies on dietary patterns and their relationship with nutritional status are
scarce. Over the years some nutritional studies have been performed to explore
different types of food consumed in various Indian regions, among different
social samples. The aim of the present paper is to review and describe trends in
food and nutrition intake patterns in the different states of India. The review
was carried out in PubMed, using the advanced research criteria: [food* OR ("meal
pattern*") OR ("eating pattern*")] AND ("nutrient intake") AND India*. PubMed
research gave back 84 results and out of these, 7 papers due to their focus on
food intake and consumption levels in India have been included in this study.
Food intake patterns showed that most of the Indians are vegetarians and that
food items rich in micronutrients (pulses, other vegetables, fruits, nuts,
oilseeds and animal foods) are generally consumed less frequently. Poor and
monotonous cereals-based diet may promote inadequate nutrition intakes according
to Recommended Daily Allowance (RDA) standards.
PMID- 24928106
TI - Current status of NICUs in India: a nationwide survey and the way forward.
AB - The number of Neonatal Intensive Care Units (NICUs) and Special Care Newborn
Units (SCNUs) in the country has increased exponentially. However, their current
status of functioning is not known. A structured questionnaire survey of 70 NICUs
spread across the country was conducted to assess their infrastructure, staffing,
equipment, patient profile and their involvement in research and training.
Majority of the units were well staffed and led by neonatologists trained in
India and abroad. All had facilities for mechanical ventilation and were equipped
with sophisticated imported equipment. Yet, availability of in-house blood gas
and X-ray, microbiology facility, invasive blood pressure monitoring and support
of ophthalmologist was not universal. More than half had published papers in
scientific journals and were having recognized training programs in neonatology.
Though tremendous progress is visible since the last surveys, the number of NICUs
is still grossly insufficient. The current and future gap in trained manpower is
however daunting, and intensive efforts for expanding the in-service training
programs and innovative approaches to training are required. There is an urgent
need to improve the quality of care by launching collaborative quality
improvement programs and mandatory periodic accreditation managed by independent
empowered organizations. The focus has to move forward from simply 'survival till
discharge' to 'intact complete life survival'. Simultaneously, the NICU care has
to stay available and affordable for the masses.
PMID- 24928107
TI - Occult HBV infection in multi transfused thalassemia patients.
AB - OBJECTIVE: To determine the prevalence of Hepatitis B virus (HBV) and Hepatitis C
virus (HCV) infection in multitransfused thalassemic patients, with an aim to
further highlight the need for donor screening strategy with supplementary
molecular diagnostic tools for high risk population. METHODS: The study was
conducted in 174 thalassemic subjects from Thalassemia unit of Central Red Cross
Blood Bank, Cuttack, Odisha, India. Sero molecular diagnosis was followed to
detect antigen, antibody and DNA in the study subjects. RESULTS: Prevalence of
antibody to Hepatitis C, HBsAg, Anti HBs and Anti HBc were found to be 3.4 %, 0.5
%, 30.4 % and 21.8 % respectively. HBV seropositivity increased with increase in
number of transfusions. Anti HBc was 12 %, 26.8 % and 71.4 % in subjects who
received <40, 40-80 and >80 units of transfusions respectively. HBV DNA was
detected in 50 % (3/6) of subjects having anti HBc as the only marker (Occult HBV
infection). More so, it was detected in 16.12 % (5/31) of cases who were sero
positive for both Anti HBs and Anti HBc. CONCLUSIONS: These results indicate that
thalassemic subjects need detailed screening of transfusion products. Fifty
percent of occult HBV infection is a major concern suggesting inclusion of viral
DNA amplification test along with antigen/antibody detection.
PMID- 24928108
TI - Panoramic radiography and cone-beam computed tomography findings in preoperative
examination of impacted mandibular third molars.
AB - BACKGROUND: Preoperative radiographic examination of impacted mandibular third
molars (IMTM) is essential to prevent inferior alveolar nerve injury during
extraction. The purpose of this study was to evaluate the correlation between
cone-beam computed tomography (CBCT) and digital panoramic radiography (DPR)
findings in preoperative examination of IMTM. METHODS: This retrospective study
included 298 teeth in 191 individuals. The relationship between the inferior
alveolar canal (IAC) and the IMTM (buccal, lingual, interradicular or inferior),
the position of the IMTM with respect to the IAC (contact, no contact), the
morphologic shape of the mandible in the IMTM region (round, lingual extended,
lingual concave), the type of IMTM (vertical, horizontal or angular) and the
number of roots of the IMTM were evaluated on CBCT images. DPR images were
evaluated for the number of roots of the IMTM and for the most common
radiographic findings indicating a relationship between the IAC and the IMTM
(darkening of the roots, diversion of the IAC, narrowing of the IAC and
interruption of the white line). Data were statistically analyzed with Cramer V
coefficient, Kappa statistic, chi-square and Fisher's exact test. RESULTS: There
was a significant difference in number of roots detected on DPR versus CBCT
images. There was a significant association between the type of IMTM and the
morphologic shape of the mandible on CBCT images. Darkening of the roots and
interruption of the white line on DPR images were significantly associated with
the presence of contact between the IMTM and the IAC on CBCT images. CONCLUSIONS:
Panoramic radiography is inadequate, whereas CBCT is useful to detect multiple
roots of IMTM. When darkening of the roots and interruption of the white line are
observed on panoramic images, there is increased likelihood of contact between
the IMTM and the IAC. CBCT is required in these cases.
PMID- 24928109
TI - Intestinal obstruction due to dual gastrointestinal atresia in infants: diagnosis
and management of 3 cases.
AB - BACKGROUND: Several types of congenital lesions can cause complete or incomplete
obstruction of the intestine. Our purpose is to present 3 neonates with dual
intestinal type I atresia, i.e., simultaneous obstructive lesions at 2 locations
in which the atresia manifested as diaphragm-like tissue. CASE PRESENTATION: All
3 cases were female infants ranging in age from 2 to 14 months. The common
symptom in all cases was intermittent persistent vomiting. In some cases the
vomitus was bilious, and other symptoms included abdominal distention and delayed
meconium passage. Prior surgeries at another hospital were unsuccessful at
relieving the symptoms in one case. One case had dual lesions in the colon, one
dual lesions in the duodenum, and one atresia at both the distal portion of the
ileum and the descending colon. Surgical exploration and removal of the lesions
at our hospital was successful in all cases, and the infants were discharged in
good condition. CONCLUSIONS: Type I atresia can manifest as a diaphragm-like
tissue obstructing the continuity of gastrointestinal tract, and in rare cases
multiple areas may be present. Base on the intermittent nature of the associated
symptoms, diagnosis can be difficult and is often delayed. Physicians should be
aware of this condition during the work-up of an infant with persistent
intermittent vomiting.
PMID- 24928112
TI - Analytical chemistry and the Chemical Weapons Convention.
PMID- 24928110
TI - Adherence inhibition of Cronobacter sakazakii to intestinal epithelial cells by
lactoferrin.
AB - Cronobacter sakazakii is now recognized as an opportunistic pathogen and has been
implicated in rare but severe cases of necrotizing enterocolitis, meningitis, and
sepsis in neonates. The first step in bacterial pathogenesis requires that the
organism adheres to host cells surfaces; therefore, agents that inhibit adherence
might be useful for preventing infections. Lactoferrin, an iron binding protein
found in milk, has been shown to inhibit bacterial adherence by direct
interaction and disruption of bacterial surfaces. Therefore, the goal of this
research was to assess the ability of two different types of bovine lactoferrin,
alone and in combination with a 1:1 blend of galactooligosaccharides and
polydextrose, to inhibit adherence of C. sakazakii to a HEp-2 human cell line.
Results showed that the adherence of C. sakazakii was significantly reduced at a
minimum lactoferrin concentration of 10 mg/ml. However, in combination with the
oligosaccharide blend, no synergistic effect was observed in adherence
inhibition. These results suggest that lactoferrin might interact with C.
sakazakii and directly inhibit adhesion to tissue culture cells.
PMID- 24928113
TI - Development and validation of an ELISA kit for the detection of ricin toxins from
biological specimens and environmental samples.
AB - Ricin is a toxin that can be easily extracted from seeds of Ricinus communis
plants. Ricin is considered to be a major bio-threat as it can be freely and
easily acquired in large quantities. A deliberate release of such toxin in
civilian populations would very likely overwhelm existing public health systems,
resulting in public fear and social unrest. There is currently no commercially
available or FDA-approved prophylaxis such as vaccines, or therapeutic antitoxins
or antidotes, available for ricin intoxication. Patient treatment is typically
supportive care based on symptoms, often designed to reinforce the body's natural
response. This paper describes the development and validation of a robust ELISA
test kit, which can be used to screen for ricin in biological specimens such as
whole blood and faeces. Faecal specimens are shown in this study to have better
diagnostic sensitivity and a wider diagnostic window compared to whole blood.
From these results, it is concluded that faeces is the most suitable clinical
specimen for diagnosis of ricin poisoning via the oral route. The ELISA test kit
can also detect ricin in environmental samples. An advantage of this ELISA kit
over other commercial off-the-shelf (COTS) detection kits currently on the market
that are developed to screen environmental samples only is its ability to
diagnose ricin poisoning from clinical specimens as well as detect ricin from
environmental samples.
PMID- 24928114
TI - Model system for targeted drug release triggered by immune-specific signals.
AB - A new sense-and-act system was realized by integrating a biocatalytic/bioaffinity
electrode responding to immune signals represented by an antibody and a polymer
modified electrode loaded with drug-mimicking species. The release of the drug
mimicking species was achieved specifically in response to a signal antibody,
thus demonstrating for the first time an immune-induced drug-releasing process.
The present approach promises new options for future applications in controlled
drug release and personalized medicine.
PMID- 24928115
TI - A new ELISA for the quantification of equine procalcitonin in plasma as potential
inflammation biomarker in horses.
AB - In human medicine, procalcitonin (PCT) is a very common and well-established
biomarker for sepsis. Even though sepsis is also a leading cause of death in
foals and adult horses, up to now, no data about the role of equine PCT in septic
horses has been available. Based on monoclonal antibodies targeted against human
PCT, we report here the development of a sandwich ELISA for the quantification of
equine PCT in equine plasma samples. The ELISA was characterized for intra- and
interassay variance and a working range from 25 to 1,000 ng mL(-1) was defined as
within this range; both intra- and interassay variances were below 15 %. The
target recovery ranged between 73 and 106 %. The ELISA was used to determine the
equine PCT concentration in 24 healthy and 5 septic horses to show the potential
for clinical evaluation of equine PCT. Significantly different (P = 0.0006) mean
equine PCT concentrations were found for the healthy control group and the sepsis
group (47 and 8,450 ng mL(-1)).
PMID- 24928116
TI - Determination of Tuta absoluta pheromones in water and tomato samples by
headspace-gas chromatography-mass spectrometry.
AB - Tuta absoluta is a tomato pest which is originally from South America. This pest
was detected in eastern Spain in 2006 and it rapidly invaded various European
countries and spread throughout the Mediterranean basin. The usual detection of
this pest is based on the physical evaluation of the crops and in the exhaustive
count of potential insects in dedicated traps. The early detection of that pest
on the basis of more objective or measurable indicators is desirable. In this
case, the combination of headspace and gas chromatography with mass spectrometric
detection is proposed for the identification of Tuta absoluta pest using two of
its pheromone components as markers. These components, namely: (3E,8Z,11Z)
tetradecatrien-1-yl acetate and (3E,8Z)-tetradecadien-1-yl acetate, are
characteristic of Tuta absoluta and they are not present in other insect
pheromones. The developed combination allows the determination of both components
in water and tomato samples with limits of detection in the range from 25-32 ng/L
to 89-111 ng/kg, respectively. The precision, expressed as relative standard
deviation, was better than 4.6% for water samples and better than 7.1% when
tomato samples were analyzed. The relative recovery values varied in the interval
94-100 and 83-99% for water and tomato samples.
PMID- 24928117
TI - Active and progressive: a new duality of MS classification.
PMID- 24928118
TI - Sustained focal antitumor activity of bevacizumab in recurrent glioblastoma.
AB - OBJECTIVES: To investigate the relevance of bevacizumab (BEV)-induced diffusion
restricted lesions and T1-hyperintense lesions in patients with recurrent
glioblastoma. METHODS: We prospectively screened 74 BEV-treated patients with
recurrent glioblastoma for (1) diffusion-restricted lesions and/or, (2) lesions
with a hyperintense signal on precontrast T1-weighted images. We further
evaluated overall survival (OS), histopathology of the lesions, and patterns of
progression. RESULTS: Twenty-five of 74 patients (34%) developed T1-hyperintense
lesions, whereas diffusion-restricted lesions could be detected in 35 of 74
patients (47%). In 21 of 74 patients (28%), the lesions displayed both features
("double-positive"). OS for patients with double-positive lesions was 13.0
months; patients with neither of these lesions had an OS of 6.6 months (p <
0.005). Histologic evaluation of double-positive lesions revealed extensive
calcified necrosis in 4 of 4 patients. Notably, these double-positive lesions
were rarely involved in further tumor progression. However, they were associated
with an increase in distant recurrences at BEV failure. CONCLUSIONS: BEV-induced
double-positive MRI lesions are a predictive imaging marker associated with a
substantial survival benefit and with improved local control in patients with
recurrent glioblastoma. Our data suggest that these lesions are the result of a
sustained focal antitumor activity of BEV.
PMID- 24928119
TI - Ataxia plus myoclonus in a 23-year-old patient due to STUB1 mutations.
PMID- 24928120
TI - RoPE: a safety line but tangles remain.
PMID- 24928121
TI - White matter changes with age utilizing quantitative diffusion MRI.
AB - OBJECTIVE: To investigate the relationship between older age and mean cerebral
white matter fiber bundle lengths (FBLs) in specific white matter tracts in the
brain using quantified diffusion MRI. METHODS: Sixty-three healthy adults older
than 50 years underwent diffusion tensor imaging. Tractography tracings of
cerebral white matter fiber bundles were derived from the diffusion tensor
imaging data. RESULTS: Results revealed significantly shorter FBLs in the
anterior thalamic radiation for every 1-year increase over the age of 50 years.
CONCLUSIONS: We investigated the effects of age on FBL in specific white matter
tracts in the brains of healthy older individuals utilizing quantified diffusion
MRI. The results revealed a significant inverse relationship between age and FBL.
Longitudinal studies of FBL across a lifespan are needed to examine the specific
changes to the integrity of white matter.
PMID- 24928122
TI - Comment: challenges in defining the clinical spectrum of neurogenetic disorders.
PMID- 24928123
TI - Recurrent stroke predictors differ in medically treated patients with pathogenic
vs. other PFOs.
AB - OBJECTIVE: To examine predictors of stroke recurrence in patients with a high vs
a low likelihood of having an incidental patent foramen ovale (PFO) as defined by
the Risk of Paradoxical Embolism (RoPE) score. METHODS: Patients in the RoPE
database with cryptogenic stroke (CS) and PFO were classified as having a
probable PFO-related stroke (RoPE score of >6, n = 647) and others (RoPE score of
<=6 points, n = 677). We tested 15 clinical, 5 radiologic, and 3
echocardiographic variables for associations with stroke recurrence using Cox
survival models with component database as a stratification factor. An
interaction with RoPE score was checked for the variables that were significant.
RESULTS: Follow-up was available for 92%, 79%, and 57% at 1, 2, and 3 years.
Overall, a higher recurrence risk was associated with an index TIA. For all other
predictors, effects were significantly different in the 2 RoPE score categories.
For the low RoPE score group, but not the high RoPE score group, older age and
antiplatelet (vs warfarin) treatment predicted recurrence. Conversely,
echocardiographic features (septal hypermobility and a small shunt) and a prior
(clinical) stroke/TIA were significant predictors in the high but not low RoPE
score group. CONCLUSION: Predictors of recurrence differ when PFO relatedness is
classified by the RoPE score, suggesting that patients with CS and PFO form a
heterogeneous group with different stroke mechanisms. Echocardiographic features
were only associated with recurrence in the high RoPE score group.
PMID- 24928124
TI - Symptom onset in autosomal dominant Alzheimer disease: a systematic review and
meta-analysis.
AB - OBJECTIVE: To identify factors influencing age at symptom onset and disease
course in autosomal dominant Alzheimer disease (ADAD), and develop evidence-based
criteria for predicting symptom onset in ADAD. METHODS: We have collected
individual-level data on ages at symptom onset and death from 387 ADAD pedigrees,
compiled from 137 peer-reviewed publications, the Dominantly Inherited Alzheimer
Network (DIAN) database, and 2 large kindreds of Colombian (PSEN1 E280A) and
Volga German (PSEN2 N141I) ancestry. Our combined dataset includes 3,275
individuals, of whom 1,307 were affected by ADAD with known age at symptom onset.
We assessed the relative contributions of several factors in influencing age at
onset, including parental age at onset, age at onset by mutation type and family,
and APOE genotype and sex. We additionally performed survival analysis using data
on symptom onset collected from 183 ADAD mutation carriers followed
longitudinally in the DIAN Study. RESULTS: We report summary statistics on age at
onset and disease course for 174 ADAD mutations, and discover strong and highly
significant (p < 10(-16), r2 > 0.38) correlations between individual age at
symptom onset and predicted values based on parental age at onset and mean ages
at onset by mutation type and family, which persist after controlling for APOE
genotype and sex. CONCLUSIONS: Significant proportions of the observed variance
in age at symptom onset in ADAD can be explained by family history and mutation
type, providing empirical support for use of these data to estimate onset in
clinical research.
PMID- 24928126
TI - Neural control of the heart: recent concepts and clinical correlations.
PMID- 24928125
TI - Prevalence of fatigue in Parkinson disease and its clinical correlates.
AB - OBJECTIVE: To assess in a noninterventional setting the prevalence and severity
of fatigue in patients with Parkinson disease (PD). METHODS: This was a cross
sectional study conducted in Italian patients with PD. Objectives included the
evaluation of the current prevalence and severity of fatigue in patients with PD
measured using the 16-item Parkinson Fatigue Scale (PFS-16), distressing fatigue
(defined as a PFS-16 mean score >=3.3), and assessment of its clinical
correlates. RESULTS: A total of 402 patients were enrolled and 394 patients
completed the PFS-16 questionnaire with a PFS-16 mean (+/-SD) score of 2.87 +/-
0.99. Of these, 136 patients (33.8%) reported distressing fatigue (PFS-16 mean
score >=3.3). Patients with distressing fatigue were older (p = 0.044) and had a
longer duration of PD (p < 0.0001) than those without distressing fatigue. The
presence of distressing fatigue was associated with higher total Unified
Parkinson's Disease Rating Scale (UPDRS) scores, poorer quality of life (39-item
Parkinson's Disease Questionnaire [PDQ-39]), worse social and psychological
behaviors, a higher severity of depressive symptoms, and a higher prevalence of
sleep disorders (all p < 0.001). Logistic regression analyses revealed that
higher total UPDRS scores, female sex, depression, sleep disorders, as well as
higher UPDRS activities of daily living scores and PDQ-39 mobility scores
increase the likelihood of distressing fatigue in patients with PD. CONCLUSIONS:
Approximately one-third of patients with PD have distressing fatigue, which is
significantly associated with depression and sleep disorders. The fact that the
presence of fatigue worsens patient quality of life supports the need to better
diagnose and treat this debilitating symptom.
PMID- 24928127
TI - PRRT2 and hemiplegic migraine: a complex association.
PMID- 24928128
TI - High-dose methotrexate with or without rituximab in newly diagnosed primary CNS
lymphoma.
AB - OBJECTIVE: To evaluate the efficacy of rituximab (R) when added to high-dose
methotrexate (HD-MTX) in patients with newly diagnosed immunocompetent primary
CNS lymphomas (PCNSLs). METHODS: Immunocompetent adults with newly diagnosed
PCNSL treated at The Johns Hopkins Hospital between 1995 and 2012 were
investigated. From 1995 to 2008, patients received HD-MTX monotherapy (8 g/m2
initially every 2 weeks and after complete response [CR] monthly to complete 12
months of therapy). From 2008 to 2012, patients received the same HD-MTX with
rituximab (375 mg/m2) with each HD-MTX treatment. CR rates and median overall and
progression-free survival were analyzed for each patient cohort in this single
institution, retrospective study. RESULTS: A total of 81 patients were
identified: 54 received HD-MTX (median age 66 years) while 27 received HD-MTX/R
(median age 65 years). CR rates were 36% in the HD-MTX cohort and 73% in the HD
MTX/R cohort (p = 0.0145). Median progression-free survival was 4.5 months in the
HD-MTX cohort and 26.7 months in the HD-MTX/R cohort (p = 0.003). Median overall
survival was 16.3 months in the HD-MTX cohort and has not yet been reached in the
HD-MTX/R cohort (p = 0.01). CONCLUSIONS: The addition of rituximab to HD-MTX
appears to improve CR rates as well as overall and progression-free survival in
patients with newly diagnosed PCNSL. Comparisons of long-term survival in the 2
cohorts await further maturation of the data. CLASSIFICATION OF EVIDENCE: This
study provides Class III evidence that in immunocompetent patients with PCNSL, HD
MTX plus rituximab compared with HD-MTX alone improves CR and overall survival
rates.
PMID- 24928129
TI - Fabrication of polyelectrolyte multilayered vesicles as inhalable dry powder for
lung administration of rifampicin.
AB - A polyelectrolyte complex based on chitosan and carrageenan was used to coat
rifampicin-loaded vesicles and obtain a dry powder for inhalation by spray
drying. The polymer complexation on vesicle surface stabilized them and improved
their adhesion on airways and epithelia cells. Uncoated liposomes were small in
size, negatively charged and able to incorporate large amounts of rifampicin
(70%). Coated vesicles were still able to load adequate amounts of drug (~70%)
but the coating process produced larger particles (1 MUm) that were positively
charged and with a spherical shape. Aerosol performances, evaluated using the
next-generation impactor, showed that coated vesicles reached the 50% of fine
particle fraction and the smallest mass median aerodynamic diameter (2 MUm).
Rifampicin-loaded uncoated and coated vesicles slowly reduced the A549 cell
viability over a 48-h incubation time. Moreover, in vitro coated formulations had
a strong ability to be easily internalized and to greatly prolong the residence
time of their components in A549 cells compared to uncoated liposomes that were
rapidly internalized and just as quickly removed.
PMID- 24928130
TI - Controlled-release triple anti-inflammatory therapy based on novel
gastroretentive sponges: characterization and magnetic resonance imaging in
healthy volunteers.
AB - The current work aimed to develop novel composite sponges of chitosan (CH)
chondroitin sulfate (CS) as a low-density gastroretentive delivery system for
lornoxicam (LOR). This triple anti-inflammatory therapy-loaded matrices are
expected to expand and float upon contact with gastric fluids for prolonged
times. CH and CS solutions (3%, w/w) were prepared, mixed in different ratios,
lyophilized, coated with magnesium stearate and compressed. The CH:CS
interpolymer complex (IPC) was evaluated via FT-IR, DSC, and XRD. The compressed
sponges were evaluated for appearance, structure, porosity, pore diameter,
density, wetting-time, floating characteristics, adhesion-retention, and LOR
release. The gastroretentivity of the best achieved magnetite-loaded sponges was
monitored in healthy volunteers via MRI. The interaction between CH (protonated
amino groups) and CS (anionic carboxylate/sulfate groups) proved IPC formation.
DSC and XRD studies confirmed loss of LOR crystallinity. The sponges possessed
interconnecting porous-network structures. The porosity, mean pore diameter, and
bulk density of CH:CS (10:3) IPC sponges were 11.779%, 25.4 nm, and 0.670 g/mL,
respectively. They showed complete wetting within seconds, gradual size-expansion
within minutes and prolonged adhesion for hours. Controlled LOR-release profiles
were tailored over 12h to satisfy individual patient needs. Monitoring of sponges
via MRI proved their gastroretentivity for at least 5h.
PMID- 24928131
TI - Microfluidics-assisted engineering of polymeric microcapsules with high
encapsulation efficiency for protein drug delivery.
AB - In this study, microfluidic technology was employed to develop protein
formulations. The microcapsules were produced with a biphasic flow to create
water-oil-water (W/O/W) double emulsion droplets with ultrathin shells. Optimized
microcapsule formulations containing 1% (w/w) bovine serum albumin (BSA) in the
inner phase were prepared with poly(vinyl alcohol), polycaprolactone and
polyethylene glycol. All the particles were found to be intact and with a
particle size of 23-47 MUm. Furthermore, the particles were monodisperse, non
porous and stable up to 4 weeks. The encapsulation efficiency of BSA in the
microcapsules was 84%. The microcapsules released 30% of their content within 168
h. This study demonstrates that microfluidics is a powerful technique for
engineering formulations for therapeutic proteins.
PMID- 24928132
TI - Validation of the combined ATR-FTIR/tape stripping technique for monitoring the
distribution of surfactants in the stratum corneum.
AB - The physical presence of surfactants in the skin is linked to their skin
irritation potential. Combined ATR-FTIR spectroscopy and tape stripping
experiments in vitro on porcine ear skin were used to investigate the spatial
distribution of sodium lauryl ether sulfate (SLES) in the stratum corneum and to
assess its effects on conformational order of stratum corneum intercellular
lipids, secondary structure of keratin and skin hydration. It was possible to
monitor the spatial distribution of SLES in the stratum corneum for the first
time by subtracting spectra of untreated from treated skin samples and without
the need of a perdeuterated form. This method of analysis was evaluated by
addressing potential error sources such as differences in removed amounts of
corneocytes and intra-individual changes in stratum corneum composition as a
function of depth. The obtained results indicate a penetration of SLES into deep
layers of the stratum corneum. Furthermore, SLES treatment led to significantly
decreased skin hydration levels, whereas the secondary structure of keratin
remained nearly unaffected. The reliability of this semi-quantitative method of
analysis was confirmed by receiving a coefficient of determination of 0.9963
after making a correlation of deep depended absorbances of two different
characteristic bands with different absorption coefficients.
PMID- 24928133
TI - Enhancement in corneal permeability of riboflavin using calcium sequestering
compounds.
AB - Ethylenediaminetetraacetic acid, ethylenediamine-N,N'-disuccinic acid and
ethylene glycol-bis(2-aminoethylether)-N,N,N',N'-tetraacetic acid are
polyaminocarboxylic acids that are able to sequester metal ions. Calcium is
implicated in maintenance of intercellular matrix, zonula occludens (tight
junctions) and zonula adherens of epithelium and endothelium cells. Corneal
epithelium is impervious to many aqueous formulations due to it being lipophilic,
whereby transcellular drug transit is resisted, whilst tight junctions restrict
access via the paracellular route. Research has shown that integrity of tight
junctions breaks down through loss of Ca(2+) for endothelial and epithelial
cells. This study investigates different Ca(2+) sequestering compounds and their
effect on corneal permeability of riboflavin at physiological pH. Riboflavin is a
topically administered ocular drug applied during UV-induced corneal cross
linking for the treatment of keratoconus.
PMID- 24928134
TI - Enhancement of the in vitro penetration of quercetin through pig skin by combined
microneedles and lipid microparticles.
AB - Silicon microneedle patches were investigated, alone or in combination with lipid
microparticles (LMs), as a system to improve the in vitro skin penetration of the
antioxidant flavonoid, quercetin. LMs loaded with quercetin were prepared by melt
emulsification and sonication. The flavonoid content of LMs was 11.7+/-0.3% and
their mean diameter and polydispersity index were 8.1 MUm and 0.66, respectively.
Emulsions containing quercetin, free or microencapsulated, were applied to
untreated- or microneedle-treated pig skin mounted in Franz diffusion cells. The
amount of flavonoid penetrated into the stratum corneum and viable epidermis were
measured by HPLC, after validated tape-stripping and bead mill homogenization
procedures, respectively. Compared to intact skin, a marked increase in quercetin
levels permeated into the stratum corneum (from 1.19 +/- 0.12 MUg/cm(2) to 2.23
+/- 0.54 MUg/cm(2)) and viable epidermis (from 0.10 +/- 0.01 MUg/cm(2) to 0.56 +/
0.27 MUg/cm(2)) was achieved when skin was treated with the flavonoid-loaded LMs
in combination with microneedle arrays. Conversely, perforation of the cutaneous
surface by microneedles did not produce any significant improvement in the skin
penetration of non-encapsulated quercetin. The enhanced (5.5-fold) intra
epidermal delivery of quercetin attained by the LM/microneedle strategy described
here, is particularly relevant since the main quercetin site of action is in the
epidermis.
PMID- 24928135
TI - Development of novel benznidazole formulations: physicochemical characterization
and in vivo evaluation on parasitemia reduction in Chagas disease.
AB - This work aims to develop novel benznidazole (BZN) solid dispersions (SD) to
improve its solubility and bioavailability properties. Low-substituted
hydroxypropylcellulose (L-HPC) and sodium deoxycholate (NaDC) were evaluated as
carriers. BZN solid dispersions containing different ratios of carrier were
prepared by a freeze-drying process and characterized by SEM, powder X-ray
diffraction (XRD), differential scanning calorimetry (DSC) and dissolution
studies. The reduced BNZ crystallinity in the new formulations was confirmed by
XRD, and supported by DSC. BNZ:L-HPC solid dispersion at a 1:3 ratio (w/w) (SD
1:3 L-HPC) improved the BNZ dissolution rate (85% at 5 min) in comparison with
BNZ raw material (23% at 5 min). However, NaDC formulations showed a prolonged
release (24% at 30 min for SD-1:3 NaDC), due to the formation of a sustained
release matrix in acidic medium. In vivo studies performed in a murine model of
Chagas disease showed that the formulation achieving the highest parasitemia
suppression at a low dose of 25mg/kg/day after five days of treatment was SD-1:3
L-HPC (60% of parasitemia suppression versus 33% of suppression exerted by BNZ),
suggesting that BNZ:L-HPC systems enhance the bioavailability of the drug.
PMID- 24928136
TI - The effect of palmitoylation on the conformation and physical stability of a
model peptide hormone.
AB - Peptides are ideal drug candidates due to their potency and specificity, but
suffer from a short half-life and low membrane permeability. Acylation can
overcome these limitations but the consequences to stability under different
formulation conditions and stresses are largely unreported. Using synchrotron
radiation circular dichroism (SRCD), we show that palmitoylation of a 28 amino
acid peptide hormone (pI 9.82) induced a structural transition from 310-helix to
alpha-helix, irrespective of buffer type and pH investigated (5.5-8.0) when
compared to the non acylated analogues. These conformational preferences were
retained in the presence of non-ionic micelles but not anionic micelles, which
induced an alpha-helical structure for all peptides. Palmitoylation promoted an
irreversible peptide denaturation under thermal stress at pH >= 6.5 and increased
the propensity for loss of helical structure under high photon flux (here used as
a novel accelerated photostability test). The presence of either ionic or non
ionic micelles did not recover these conformational changes over the same
irradiation period. These results demonstrate that acylation can change peptide
conformation and decrease thermal-/photo-stability, with important consequences
for drug-development strategies.
PMID- 24928137
TI - Chitosan-coated liposomes for topical vaginal therapy: assuring localized drug
effect.
AB - The choice of drug therapy in pregnant patients suffering from vaginal infections
is limited by the safety profile of the drug. Assuring the efficient topical
therapy to avoid systemic absorption is considered the best therapy option.
Chitosan-coated liposomes have been developed and optimized to assure localized
therapy of clotrimazole. Chitosan was selected as mucoadhesive polymer both to
prolong system's retention at the vaginal site and act on biofilms responsible
for high recurrence of infections. Sonicated liposomes were coated with chitosan
in three different concentrations, namely 0.1, 0.3 and 0.6% (w/v). Clotrimazole
containing (22 MUg/mg lipid) chitosan-coated liposomes were in the size range of
100-200 nm. The in vitro release studies confirmed prolonged release of
clotrimazole from both non-coated and chitosan-coated liposomes as compared to
control. The ex vivo penetration experiments performed on the pregnant sheep
vaginal tissue showed that coated liposomes assured increased clotrimazole tissue
retention and reduced its penetration as compared to the control. Mucin studies
revealed that the coating with lower chitosan concentration increased the
system's mucoadhesive potential, as compared to coating with higher
concentrations. These results provide a good platform for further in vivo animal
studies on mucoadhesive liposomes destined to localized vaginal therapy.
PMID- 24928138
TI - Effect of crystal habits on the surface energy and cohesion of crystalline
powders.
AB - The role of surface properties, influenced by particle processing, in particle
particle interactions (powder cohesion) is investigated in this study. Wetting
behaviour of mefenamic acid was found to be anisotropic by sessile drop contact
angle measurements on macroscopic (>1cm) single crystals, with variations in
contact angle of water from 56.3 degrees to 92.0 degrees . This is attributed to
variations in surface chemical functionality at specific facets, and confirmed
using X-ray photoelectron spectroscopy (XPS). Using a finite dilution inverse gas
chromatography (FD-IGC) approach, the surface energy heterogeneity of powders was
determined. The surface energy profile of different mefenamic acid crystal habits
was directly related to the relative exposure of different crystal facets.
Cohesion, determined by a uniaxial compression test, was also found to relate to
surface energy of the powders. By employing a surface modification (silanisation)
approach, the contribution from crystal shape from surface area and surface
energy was decoupled. By "normalising" contribution from surface energy and
surface area, needle shaped crystals were found to be ~2.5* more cohesive
compared to elongated plates or hexagonal cuboid shapes crystals.
PMID- 24928139
TI - Use of chemostat cultures mimicking different phases of wine fermentations as a
tool for quantitative physiological analysis.
AB - BACKGROUND: Saccharomyces cerevisiae is the most relevant yeast species
conducting the alcoholic fermentation that takes place during winemaking.
Although the physiology of this model organism has been extensively studied,
systematic quantitative physiology studies of this yeast under winemaking
conditions are still scarce, thus limiting the understanding of fermentative
metabolism of wine yeast strains and the systematic description, modelling and
prediction of fermentation processes. In this study, we implemented and validated
the use of chemostat cultures as a tool to simulate different stages of a
standard wine fermentation, thereby allowing to implement metabolic flux analyses
describing the sequence of metabolic states of S. cerevisae along the wine
fermentation. RESULTS: Chemostat cultures mimicking the different stages of
standard wine fermentations of S. cerevisiae EC1118 were performed using a
synthetic must and strict anaerobic conditions. The simulated stages corresponded
to the onset of the exponential growth phase, late exponential growth phase and
cells just entering stationary phase, at dilution rates of 0.27, 0.04, 0.007 h-1,
respectively. Notably, measured substrate uptake and product formation rates at
each steady state condition were generally within the range of corresponding
conversion rates estimated during the different batch fermentation
stages.Moreover, chemostat data were further used for metabolic flux analysis,
where biomass composition data for each condition was considered in the
stoichiometric model. Metabolic flux distributions were coherent with previous
analyses based on batch cultivations data and the pseudo-steady state assumption.
CONCLUSIONS: Steady state conditions obtained in chemostat cultures reflect the
environmental conditions and physiological states of S. cerevisiae corresponding
to the different growth stages of a typical batch wine fermentation, thereby
showing the potential of this experimental approach to systematically study the
effect of environmental relevant factors such as temperature, sugar
concentration, C/N ratio or (micro) oxygenation on the fermentative metabolism of
wine yeast strains.
PMID- 24928140
TI - Access to health services for undocumented immigrants in Apulia.
AB - This paper, part of a larger epidemiological study carried out between 2004 and
2010, analyzed immigrants frequenting the largest Apulian regional hospital (Bari
Policlinico). Our aim was to evaluate the perception on the part of undocumented
immigrants of their rights of access to the National Health Care services and
whether this privilege is actually utilized. An anonymous multi-language
questionnaire was distributed to all patients with STP (code number for temporary
presence of foreigners) at the immigrant outpatient Infectious Diseases Clinic of
Bari from June 2009 to June 2010. Questions were related to nationality, date of
arrival in Italy, use of health facilities in the 2 years prior to the
compilation of the questionnaire, and their understanding of STP. The patients
were also screened for infectious diseases (HIV-Ab, HBsAg, HCV-Ab, VDRL, TPHA and
Mantoux). A total of 256/272 patients completed the questionnaire; the meaning of
STP was unknown to 156/256 (60.9%) patients, only 54/256 (21%) knew the exact
meaning of STP and only 42/54 (76.6%) of the latter knew how long STP was valid.
Moreover, 128/256 (50.7%) were aware that doctors from the emergency unit were
not allowed to notify police regarding presence of illegal immigrants. Regarding
clinical data 3% were HIV+ (8/256), 5% (13 patients) positive for TPHA, 5% for
HBsAg, 2% were HCV (five patients). A >10 mm diameter infiltrate of Mantoux test
was noted for 44% of patients. A lower prevalence than expected for infections
such as HIV, HBV or HCV was noted for immigrants compared to data from their
countries of origin. At present, large-scale political solutions to the
challenges of facilitating access to health facilities for undocumented
immigrants are lacking in Italy. The development of communication systems is
fundamental to improving access to health services and to creating links between
immigrants and the healthcare system.
PMID- 24928141
TI - Influences of smoking and caffeine consumption on trigeminal pain processing.
AB - BACKGROUND: Many human and animal studies have shown the influence of nicotine
and caffeine on pain perception and processing. This study aims to investigate
whether smoking or caffeine consumption influences trigeminal pain processing.
METHODS: Sixty healthy subjects were investigated using simultaneous recordings
of the nociceptive blink reflex (nBR) and pain related evoked potentials (PREP)
following nociceptive electrical stimulation on both sides of the forehead (V1).
Thirty subjects were investigated before and after smoking a cigarette, as well
as before and after taking a tablet of 400 mg caffeine. RESULTS: After smoking
PREP showed decreased N2 and P2 latencies indicating central facilitation at
supraspinal (thalamic or cortical) level. PREP amplitudes were not changed. NBR
showed a decreased area under the curve (AUC) indicating central inhibition at
brainstem level. After caffeine intake no significant changes were observed
comparing nBR and PREP results before consumption. CONCLUSIONS: Smoking
influences trigeminal pain processing on supraspinal and brainstem level. In the
investigated setting, caffeine consumption does not significantly alter
trigeminal pain processing. This observation might help in the further
understanding of the pathophysiology of pain disorders that are associated with
excessive smoking habits such as cluster headache. Previous smoking has to be
taken into account when performing electrophysiological studies to avoid bias of
study results.
PMID- 24928142
TI - Peripheral administration of morphine attenuates postincisional pain by
regulating macrophage polarization through COX-2-dependent pathway.
AB - BACKGROUND: Macrophage infiltration to inflammatory sites promotes wound repair
and may be involved in pain hypersensitivity after surgical incision. We recently
reported that the development of hyperalgesia during chronic inflammation is
regulated by macrophage polarity, often referred to as proinflammatory (M1) or
anti-inflammatory (M2) macrophages. Although opioids such as morphine are known
to alter the inflammatory milieu of incisional wounds through interactions with
immunocytes, the macrophage-mediated effects of morphine on the development of
postincisional pain have not been well investigated. In this study, we examined
how morphine alters pain hypersensitivity through phenotypic shifts in local
macrophages during the course of incision-induced inflammation. RESULTS: Local
administration of morphine in the early phase, but not in the late phase
alleviated mechanical hyperalgesia, and this effect was reversed by clodronate
induced peripheral depletion of local macrophages. At the morphine-injected
incisional sites, the number of pro-inflammatory F4/80+iNOS+M1 macrophages was
decreased during the course of pain development whereas increased infiltration of
wound healing F4/80+CD206+M2 macrophages was observed during the early phase.
Morphine increased the gene expression of endogenous opioid, proenkephalin, and
decreased the pronociceptive cytokine, interleukin-1beta. Heme oxygenase (HO)-1
promotes the differentiation of macrophages to the M2 phenotype. An inhibitor of
HO-1, tin protoporphyrin reversed morphine-induced analgesic effects and the
changes in macrophage phenotype. However, local expression levels of HO-1 were
not altered by morphine. Conversely, cyclooxygenase (COX)-2, primarily produced
from peripheral macrophages in acute inflammation states, was up-regulated in the
early phase at morphine-injected sites. In addition, the analgesic effects and a
phenotype switching of infiltrated macrophages by morphine was reversed by local
administration of a COX inhibitor, indomethacin. CONCLUSIONS: Local
administration of morphine alleviated the development of postincisional pain,
possibly by altering macrophage polarity at the incisional sites. A morphine
induced shift in macrophage phenotype may be mediated by a COX-2-dependent
mechanism. Therefore, MU-opioid receptor signaling in macrophages may be a
potential therapeutic target during the early phase of postincisional pain
development.
PMID- 24928143
TI - ZebRA: An overview of retinoic acid signaling during zebrafish development.
AB - Retinoic acid (RA), the main active vitamin A derivative, is crucial for embryo
development, regulating cellular processes, embryo patterning and organogenesis.
Many studies performed in mammalian or avian models have successfully undertaken
the investigation of the role played by RA during embryogenesis. Since the early
1980s, the zebrafish (Danio rerio) has emerged as a powerful developmental model
to study the in vivo role of RA during embryogenesis. Unlike mammalian models,
zebrafish embryogenesis is external, not only allowing the observation of the
translucent embryo from the earliest steps but also providing an easily
accessible system for pharmacological treatment or genetic approaches. Therefore,
zebrafish research largely participates in deciphering the role of RA during
development. This review aims at illustrating different concepts of RA signaling
based on the research performed on zebrafish. Indeed, RA action relies on a
multitude of cross-talk with other signaling pathways and requires a coordinated,
dynamic and fine-regulation of its level and activity in both temporal and
spatial dimensions. This review also highlights major advances that have been
discovered using zebrafish such as the observation of the RA gradient in vivo for
the first time, the effects of RA signaling in brain patterning, its role in
establishing left-right asymmetry and its effects on the development of a variety
of organs and tissues including the heart, blood, bone and fat. This review
demonstrates that the zebrafish is a convenient and powerful model to study
retinoic acid signaling during vertebrate embryogenesis. This article is part of
a Special Issue entitled: Nuclear receptors in animal development.
PMID- 24928145
TI - Novel recessive myotilin mutation causes severe myofibrillar myopathy.
AB - We identified the first homozygous and hence recessive mutation in the myotilin
gene (MYOT) in a family affected by a severe myofibrillar myopathy (MFM). MFM is
a rare, progressive and devastating disease of human skeletal muscle with
distinct histopathological pattern of protein aggregates and myofibrillar
degeneration. So far, only heterozygous missense mutations in MYOT have been
associated with autosomal dominant myofibrillar myopathy, limb-girdle muscular
dystrophy type 1A and distal myopathy. Myotilin itself is highly expressed in
skeletal and cardiac muscle and is localized at the Z-disc and therefore
interacts in sarcomere assembly. We performed whole-exome sequencing in a German
family clinically diagnosed with MFM and identified a homozygous mutation in exon
2, c.16C > G (p.Arg6Gly). Using laser microdissection followed by quantitative
mass spectrometry, we identified the myotilin protein as one component showing
the highest increased abundance in the aggregates in the index patient. We
suggest that the combined approach has a high potential as a new tool for the
confirmation of unclassified variants which are found in whole-exome sequencing
approaches.
PMID- 24928144
TI - 'Neuroinflammation' differs categorically from inflammation: transcriptomes of
Alzheimer's disease, Parkinson's disease, schizophrenia and inflammatory diseases
compared.
AB - 'Neuroinflammation' has become a widely applied term in the basic and clinical
neurosciences but there is no generally accepted neuropathological tissue
correlate. Inflammation, which is characterized by the presence of perivascular
infiltrates of cells of the adaptive immune system, is indeed seen in the central
nervous system (CNS) under certain conditions. Authors who refer to microglial
activation as neuroinflammation confuse this issue because autoimmune
neuroinflammation serves as a synonym for multiple sclerosis, the prototypical
inflammatory disease of the CNS. We have asked the question whether a data
driven, unbiased in silico approach may help to clarify the nomenclatorial
confusion. Specifically, we have examined whether unsupervised analysis of
microarray data obtained from human cerebral cortex of Alzheimer's, Parkinson's
and schizophrenia patients would reveal a degree of relatedness between these
diseases and recognized inflammatory conditions including multiple sclerosis. Our
results using two different data analysis methods provide strong evidence against
this hypothesis demonstrating that very different sets of genes are involved.
Consequently, the designations inflammation and neuroinflammation are not
interchangeable. They represent different categories not only at the
histophenotypic but also at the transcriptomic level. Therefore, non-autoimmune
neuroinflammation remains a term in need of definition.
PMID- 24928146
TI - The Functional Reach Test: strategies, performance and the influence of age.
AB - BACKGROUND: The Functional Reach Test (FRT) is a clinical assessment of the risk
of falls in elderly or disabled subjects. However, the FRT is complex (involving
the leg, hip and trunk joints) and previous studies have shown that several
different strategies can be used to complete the test. OBJECTIVES: To describe
the strategies used by healthy, adult subjects when performing the FRT and to
assess the influence of age on choice of the strategy. METHOD: This was a pilot
study in which 29 non-fallers (18 under-50s and 11 over-75s) were asked to
perform the FRT on a force platform in a motion analysis laboratory. A total of
18 reflective markers were placed on the body. The main outcome measures were the
FRT score, the centre of pressure (CoP) excursion, and kinetic and kinematic test
data. The two age groups were compared using a non-parametric, two-sample Mann
Whitney U test. A cluster analysis of the entire population grouped subjects
together according to their functional similarities. RESULTS: The older subjects
displayed a smaller CoP anteroposterior displacement (P<0.01), greater backwards
displacement of the pelvis (P<0.05) and less trunk rotation during the FRT
(P=0.024) than the younger subjects. The cluster analysis split the population
into two groups, which differed in terms of age, FRT score, pelvis translation,
and CoP displacement. CONCLUSION: Our results suggest that at the moment of trunk
flexion, elderly subjects use pelvic translation in order to limit forward
displacement of the CoP and prevent forward imbalance.
PMID- 24928148
TI - Innate and intrinsic antiviral immunity in skin.
AB - As the body's most exposed interface with the environment, the skin is constantly
challenged by potentially pathogenic microbes, including viruses. To sense the
invading viruses, various types of cells resident in the skin express many
different pattern-recognition receptors (PRRs) such as C-type lectin receptors
(CLRs), Toll-like receptors (TLRs), nucleotide-binding oligomerization domain
(NOD)-like receptors (NLRs), retinoic acid-inducible gene I (RIG-I)-like
receptors (RLRs) and cytosolic DNA sensors, that can detect the pathogen
associated molecular patterns (PAMPs) of the viruses. The detection of viral
PAMPs initiates two major innate immune signaling cascades: the first involves
the activation of the downstream transcription factors, such as interferon
regulatory factors (IRFs), nuclear factor kappa B (NF-kappaB) and activator
protein 1 (AP-1), which cooperate to induce the transcription of type I
interferons and pro-inflammatory cytokines. The second signaling pathway involves
the caspase-1-mediated processing of IL-1beta and IL-18 through the formation of
an inflammasome complex. Cutaneous innate immunity including the production of
the innate cytokines constitutes the first line of host defence that limits the
virus dissemination from the skin, and also plays an important role in the
activation of adaptive immune response, which represents the second line of
defence. More recently, the third immunity "intrinsic immunity" has emerged, that
provides an immediate and direct antiviral defense mediated by host intrinsic
restriction factors. This review focuses on the recent advances regarding the
antiviral immune systems, highlighting the innate and intrinsic immunity against
the viral infections in the skin, and describes how viral components are
recognized by cutaneous immune systems.
PMID- 24928147
TI - Reprogramming approaches in cardiovascular regeneration.
AB - OPINION STATEMENT: Reconstitution of cardiac muscle as well as blood vessels to
provide sufficient oxygenation and nutrients to the myocardium is an important
component of any therapeutic approach for cardiac repair after injury. Recent
reports of reprogramming somatic cells directly to cells of another lineage
raised the possibility of using cell reprogramming for cardiac regenerative
therapy. Here, we provide an overview of the current reprogramming strategies to
generate cardiomyocytes (CMs), endothelial cells (ECs) and smooth muscle cells
(SMCs), and the implications of these methods for cardiac regeneration. We also
discuss the challenges and limitations that need to be addressed for the
development of future therapies.
PMID- 24928149
TI - Effect of pressure on the chromatographic separation of enantiomers under
reversed-phase conditions.
AB - Commercially available ultra high pressure liquid chromatography (UHPLC)
equipment offers the ability to explore the influence of backpressure on
chromatographic separations. However, the influence of pressure on the
chromatographic separation of enantiomers on chiral stationary phases remains
largely unexplored. In this investigation we surveyed the effects of pressure on
the separation of enantiomers using several reversed-phase chiral stationary
phases. The experiments were conducted at constant flow rate and column
temperature, using isocratic conditions. The only variable parameter was
pressure, which was adjusted using a post-column backpressure regulator. For the
separation of enantiomers on chiral stationary phases, an increase in pressure
from approximately 2,000 psi (138 bar) to approximately 8,000 psi (552 bar) at
constant flow rate and temperature led to an increase of retention factors for
some analytes and a decrease for others. Achiral separations on a C-18 stationary
phase always led only to an increase of retention factor. Interestingly, changes
in pressure led to small changes in enantioselectivity during reversed-phase
chiral separation of enantiomers, suggesting that such studies may be of value
for better understanding the mechanisms underlying chromatographic
enantioseparation.
PMID- 24928150
TI - From cultural traditions to cumulative culture: parameterizing the differences
between human and nonhuman culture.
AB - Diverse species exhibit cultural traditions, i.e. population-specific profiles of
socially learned traits, from songbird dialects to primate tool-use behaviours.
However, only humans appear to possess cumulative culture, in which cultural
traits increase in complexity over successive generations. Theoretically, it is
currently unclear what factors give rise to these phenomena, and consequently why
cultural traditions are found in several species but cumulative culture in only
one. Here, we address this by constructing and analysing cultural evolutionary
models of both phenomena that replicate empirically attestable levels of cultural
variation and complexity in chimpanzees and humans. In our model of cultural
traditions (Model 1), we find that realistic cultural variation between
populations can be maintained even when individuals in different populations
invent the same traits and migration between populations is frequent, and under a
range of levels of social learning accuracy. This lends support to claims that
putative cultural traditions are indeed cultural (rather than genetic) in origin,
and suggests that cultural traditions should be widespread in species capable of
social learning. Our model of cumulative culture (Model 2) indicates that both
the accuracy of social learning and the number of cultural demonstrators interact
to determine the complexity of a trait that can be maintained in a population.
Combining these models (Model 3) creates two qualitatively distinct regimes in
which there are either a few, simple traits, or many, complex traits. We suggest
that these regimes correspond to nonhuman and human cultures, respectively. The
rarity of cumulative culture in nature may result from this interaction between
social learning accuracy and number of demonstrators.
PMID- 24928151
TI - Memory effects in biochemical networks as the natural counterpart of extrinsic
noise.
AB - We show that in the generic situation where a biological network, e.g. a protein
interaction network, is in fact a subnetwork embedded in a larger "bulk" network,
the presence of the bulk causes not just extrinsic noise but also memory effects.
This means that the dynamics of the subnetwork will depend not only on its
present state, but also its past. We use projection techniques to get explicit
expressions for the memory functions that encode such memory effects, for generic
protein interaction networks involving binary and unary reactions such as complex
formation and phosphorylation. Remarkably, in the limit of low intrinsic copy
number noise such expressions can be obtained even for nonlinear dependences on
the past. We illustrate the method with examples from a protein interaction
network around epidermal growth factor receptor (EGFR), which is relevant to
cancer signalling. These examples demonstrate that inclusion of memory terms is
not only important conceptually but also leads to substantially higher
quantitative accuracy in the predicted subnetwork dynamics.
PMID- 24928152
TI - Transmission of intra-cellular genetic information: a system proposal.
AB - One of the great challenges of the scientific community on theories of genetic
information, genetic communication and genetic coding is to determine a
mathematical structure related to DNA sequences. In this paper we propose a model
of an intra-cellular transmission system of genetic information similar to a
model of a power and bandwidth efficient digital communication system in order to
identify a mathematical structure in DNA sequences where such sequences are
biologically relevant. The model of a transmission system of genetic information
is concerned with the identification, reproduction and mathematical
classification of the nucleotide sequence of single stranded DNA by the genetic
encoder. Hence, a genetic encoder is devised where labelings and cyclic codes are
established. The establishment of the algebraic structure of the corresponding
codes alphabets, mappings, labelings, primitive polynomials (p(x)) and code
generator polynomials (g(x)) are quite important in characterizing error
correcting codes subclasses of G-linear codes. These latter codes are useful for
the identification, reproduction and mathematical classification of DNA
sequences. The characterization of this model may contribute to the development
of a methodology that can be applied in mutational analysis and polymorphisms,
production of new drugs and genetic improvement, among other things, resulting in
the reduction of time and laboratory costs.
PMID- 24928153
TI - Modeling forest ecosystem responses to elevated carbon dioxide and ozone using
artificial neural networks.
AB - Rising atmospheric levels of carbon dioxide and ozone will impact productivity
and carbon sequestration in forest ecosystems. The scale of this process and the
potential economic consequences provide an incentive for the development of
models to predict the types and rates of ecosystem responses and feedbacks that
result from and influence of climate change. In this paper, we use phenotypic and
molecular data derived from the Aspen Free Air CO2 Enrichment site (Aspen-FACE)
to evaluate modeling approaches for ecosystem responses to changing conditions.
At FACE, it was observed that different aspen clones exhibit clone-specific
responses to elevated atmospheric levels of carbon dioxide and ozone. To identify
the molecular basis for these observations, we used artificial neural networks
(ANN) to examine above and below-ground community phenotype responses to elevated
carbon dioxide, elevated ozone and gene expression profiles. The aspen community
models generated using this approach identified specific genes and subnetworks of
genes associated with variable sensitivities for aspen clones. The ANN model also
predicts specific co-regulated gene clusters associated with differential
sensitivity to elevated carbon dioxide and ozone in aspen species. The results
suggest ANN is an effective approach to predict relevant gene expression changes
resulting from environmental perturbation and provides useful information for the
rational design of future biological experiments.
PMID- 24928154
TI - Photoacoustic resonance spectroscopy for biological tissue characterization.
AB - By "listening to photons," photoacoustics allows the probing of chromosomes in
depth beyond the optical diffusion limit. Here we report the photoacoustic
resonance effect induced by multiburst modulated laser illumination, which is
theoretically modeled as a damped mass-string oscillator and a resistor-inductor
capacitor (RLC) circuit. Through sweeping the frequency of multiburst modulated
laser, the photoacoustic resonance effect is observed experimentally on phantoms
and porcine tissues. Experimental results demonstrate different spectra for each
phantom and tissue sample to show significant potential for spectroscopic
analysis, fusing optical absorption and mechanical vibration properties. Unique
RLC circuit parameters are extracted to quantitatively characterize phantom and
biological tissues.
PMID- 24928155
TI - Development of a prediction method for skin sensitization using novel cysteine
and lysine derivatives.
AB - INTRODUCTION: The Direct Peptide Reactivity Assay (DPRA) was developed as an
alternative simple and versatile method for predicting skin sensitization. Here,
we describe a novel Amino acid Derivative Reactivity Assay (ADRA) involving 2
amino acid derivatives: N-(2-(1-naphthyl)acetyl)-l-cysteine (NAC) and alpha-N-(2
(1-naphthyl)acetyl)-l-lysine (NAL), in which each amino-terminal residue is
introduced into a naphthalene ring. ADRA measurements were conducted at 281nm,
which improved baseline stability, and were less influenced by other substances
in the reaction solutions than DPRA measurements that are conducted at 220nm.
METHODS: Chemically synthesized NAC and NAL were dissolved in phosphate buffers
of pH9.5 and 12.0, respectively. Each solution, test chemical solution, and
phosphate buffer, were mixed in 96-well microplates and incubated in the dark for
24h at 25 degrees C. Following incubation, samples were diluted 10 times with a
mixed solution of 25% acetonitrile/0.5% trifluoroacetic acid (TFA) in water, and
NAC and NAL levels were quantified in each sample and control using a high
performance liquid chromatography (HPLC) system. The reactivity of NAC/NAL was
calculated as the percent depletion based on the decrease in the non-reacted
NAC/NAL concentration in the samples relative to the average concentration in the
control; the average NAC/NAL reduction score was calculated. A 2-class
classification model was developed using ADMEWORKS/ModelBuilder, and an optimal
average score that could discriminate between sensitizers and non-sensitizers was
determined. RESULTS: A total of 82 test chemicals were applied to ADRA for
comparison against DPRA. The prediction accuracy of ADRA was 88%, which was
similar to that of DPRA. DISCUSSION: ADRA was used to quantify NAC/NAL at 281nm,
which showed high accuracy for the prediction of skin sensitization, similar to
that of DPRA. Therefore, ADRA could be used to expand the range of chemicals
tested in skin sensitization analyses.
PMID- 24928156
TI - Radiology education: keeping pace with changing times, new technology, and
increased challenges.
PMID- 24928157
TI - Radiology resident mammography training: interpretation difficulty and error
making patterns.
AB - RATIONALE AND OBJECTIVES: The purpose of this study was to better understand the
concept of mammography difficulty and how it affects radiology resident
performance. MATERIALS AND METHODS: Seven radiology residents and three expert
breast imagers reviewed 100 mammograms, consisting of bilateral medial lateral
oblique and craniocaudal views, using a research workstation. The cases consisted
of normal, benign, and malignant findings. Participants identified abnormalities
and scored the difficulty and malignant potential for each case. Resident
performance (sensitivity, specificity, and area under the receiver operating
characteristic curve [AUC]) was calculated for self- and expert-assessed high and
low difficulties. RESULTS: For cases classified by self-assessed difficulty, the
resident AUCs were 0.667 for high difficulty and 0.771 for low difficulty cases
(P = .010). Resident sensitivities were 0.707 for high and 0.614 for low
difficulty cases (P = .113). Resident specificities were 0.583 for high and 0.905
for low difficulty cases (P < .001). For cases classified by expert-assessed
difficulty, the resident AUCs were 0.583 for high and 0.783 for low difficulty
cases (P = .001). Resident sensitivities were 0.558 for high and 0.796 for low
difficulty cases (P < .001). Resident specificities were 0.714 for high and 0.740
for low difficulty cases (P = .807). CONCLUSIONS: Increased self- and expert
assessed difficulty is associated with a decrease in resident performance in
mammography. However, while this lower performance is due to a decrease in
specificity for self-assessed difficulty, it is due to a decrease in sensitivity
for expert-assessed difficulty. These trends suggest that educators should
provide a mix of self- and expert-assessed difficult cases in educational
materials to maximize the effect of training on resident performance and
confidence.
PMID- 24928159
TI - A tissue phantom model for training residents in ultrasound-guided liver biopsy.
AB - RATIONALE AND OBJECTIVES: The apprenticeship model for training of percutaneous
liver biopsy has limitations, and costs of commercially available simulation
models can be prohibitive. We created an inexpensive tissue phantom for liver
biopsy simulation and evaluated the utility of this model for training radiology
residents. MATERIALS AND METHODS: A bovine-porcine tissue phantom was devised as
a simulation model and consisted of bovine liver with a porcine rib layer and
inserted pimento olives simulating target lesions. Training sessions (a 20-minute
didactic lecture and a 90-minute practice session) were offered to all residents
in a diagnostic radiology residency. Effect of training was assessed by
questionnaire before and after training. Level of knowledge of topics covered in
the didactic session, confidence in technical skills, and anxiety level were
evaluated on a five-point scale (1, poor to 5, excellent). RESULTS: Thirty-five
of 38 residents received training on the models (~$40). Mean reported value score
for training was 4.88/5. Improvement was greatest for knowledge of technique (2.3
4.1/5, P < .001) and knowledge of postprocedure care (2.2-4.1/5, P < .001).
Technical confidence increased (2.4-3.8/5, P < .001) and anxiety related to
performing liver biopsy improved (2.7-3.7/5, P < .001). Residents with no prior
experience in liver biopsy (n = 21) had significantly greater increases in all
categories than residents with prior experience (n = 14), except for knowledge
about obtaining informed consent and anxiety levels. CONCLUSIONS: Utilization of
an inexpensively created bovine/porcine liver biopsy simulation model was well
perceived by radiology residents and can be used as an educational tool during
residency.
PMID- 24928158
TI - Teaching point of care ultrasound skills in medical school: keeping radiology in
the driver's seat.
AB - RATIONALE AND OBJECTIVES: Ultrasound is used increasingly in medical practice as
a tool for focused bedside diagnosis and technical assistance during procedures.
Widespread availability of small portable units has put this technology into the
hands of many physicians and medical students who lack dedicated training,
leaving the education and introduction of this key modality increasingly to
physicians from other specialties. We developed a radiology-led program to teach
ultrasound skills to preclinical medical students. MATERIALS AND METHODS: To
develop this new ultrasound program we 1) established a program leader, 2)
developed teaching materials, 3) created a hands-on interactive program, and 4)
recruited the necessary instructors. The program was piloted with the first-year
medical student class of 154 students. The introductory session was assessed by
pre- and post-activity Likert scale-based surveys. RESULTS: Of 154 (68.8%)
students, 106 completed a voluntary online survey before starting the program and
145 students (94.2%) completed a voluntary survey after the session. Students
found the program educationally valuable (4.64 of 5) and reported that it
improved their understanding of ultrasound imaging (4.7 of 5). Students' reported
confidence in identifying abdominal organs, intra-abdominal fluid, and Morison
pouch that was significantly higher on the postactivity survey compared to the
presurvey (P < .001 for all). CONCLUSIONS: We piloted a radiology-led program to
teach ultrasound skills to preclinical medical students. Students found the
experience enjoyable and educationally valuable.
PMID- 24928160
TI - Residents' ability to interpret radiology images: development and improvement of
an assessment tool.
AB - RATIONALE AND OBJECTIVES: Despite increasing radiology coverage, nonradiology
residents continue to preliminarily interpret basic radiologic studies
independently, yet their ability to do so accurately is not routinely assessed.
MATERIALS AND METHODS: An online test of basic radiologic image interpretation
was developed through an iterative process. Educational objectives were
established, then questions and images were gathered to create an assessment. The
test was administered online to first-year interns (postgraduate year [PGY] 1)
from 14 different specialties, as well as a sample of third- and fourth-year
radiology residents (PGY3/R2 and PGY4/R3). RESULTS: Over a 2-year period, 368
residents were assessed, including PGY1 (n = 349), PGY3/R2 (n = 14), and PGY4/R3
(n = 5) residents. Overall, the test discriminated effectively between interns
(average score = 66%) and advanced residents (R2 = 86%, R3 = 89%; P < .05). Item
analysis indicated discrimination indices ranging from -0.72 to 48.3 (mean =
3.12, median 0.58) for individual questions, including four questions with
negative discrimination indices. After removal of the negatively indexed
questions, the overall predictive value of the instrument persisted and
discrimination indices increased for all but one of the remaining questions
(range 0.027-70.8, mean 5.76, median 0.94). CONCLUSIONS: Validation of an initial
iteration of an assessment of basic image-interpretation skills led to revisions
that improved the test. The results offer a specific test of radiologic reading
skills with validation evidence for residents. More generally, results
demonstrate a principled approach to test development.
PMID- 24928161
TI - The key image and case log application: new radiology software for teaching file
creation and case logging that incorporates elements of a social network.
AB - RATIONALE AND OBJECTIVES: To create novel radiology key image software that is
easy to use for novice users, incorporates elements adapted from social
networking Web sites, facilitates resident and fellow education, and can serve as
the engine for departmental sharing of interesting cases and follow-up studies.
MATERIALS AND METHODS: Using open-source programming languages and software,
radiology key image software (the key image and case log application, KICLA) was
developed. This system uses a lightweight interface with the institutional
picture archiving and communications systems and enables the storage of key
images, image series, and cine clips. It was designed to operate with minimal
disruption to the radiologists' daily workflow. Many features of the user
interface have been inspired by social networking Web sites, including image
organization into private or public folders, flexible sharing with other users,
and integration of departmental teaching files into the system. We also review
the performance, usage, and acceptance of this novel system. RESULTS: KICLA was
implemented at our institution and achieved widespread popularity among
radiologists. A large number of key images have been transmitted to the system
since it became available. After this early experience period, the most commonly
encountered radiologic modalities are represented. A survey distributed to users
revealed that most of the respondents found the system easy to use (89%) and fast
at allowing them to record interesting cases (100%). Hundred percent of
respondents also stated that they would recommend a system such as KICLA to their
colleagues. CONCLUSIONS: The system described herein represents a significant
upgrade to the Digital Imaging and Communications in Medicine teaching file
paradigm with efforts made to maximize its ease of use and inclusion of
characteristics inspired by social networking Web sites that allow the system
additional functionality such as individual case logging.
PMID- 24928162
TI - Radiology resident recruitment: A study of the impact of web-based information
and interview day activities.
AB - RATIONALE AND OBJECTIVES: Residency recruitment is a critical and expensive
process. A program's Web site may improve recruitment, but little is known about
how applicants use program sites or what constitutes optimal content. The
importance of an interview day and interactions with a program's residents has
been described, but candidate preferences for various activities and schedules
have not been widely reported. We investigated contemporary use and perceived
utility of information provided on radiology program Web sites, as well as
preferences for the interview day experience. MATERIALS AND METHODS: Using an
anonymous cross-sectional survey, we studied 111 candidates who were interviewed
between November 1, 2012 and January 19, 2013 for a diagnostic radiology
residency position at our institution. Participation in this institutional review
board-approved study was entirely voluntary, and no identifying information was
collected. Responses were sealed and not analyzed until after the match. RESULTS:
A total of 70 candidates returned a completed survey (63% response rate). Optimal
content considered necessary for a "complete" Web site was identified. The most
important factor in deciding where to apply was geographical connection to a
program. "AuntMinnie" was the most popular source of program information on
social media. Candidates overwhelmingly preferred one-on-one faculty interviews
but had no preference between a Saturday and weekday schedule. The ideal
interview experience should include a "meet and greet" with residents off campus
and a personal interview with the program director. The overall "feel" or
"personality" of the program was critical to a candidate's rank order decision.
CONCLUSIONS: Our findings offer insight into what factors make programs appealing
to radiology applicants. This information will be useful to medical educators
engaged in career counseling and recruitment.
PMID- 24928163
TI - Residents' performance in the interpretation of on-call "triple-rule-out" CT
studies in patients with acute chest pain.
AB - RATIONALE AND OBJECTIVES: To evaluate the performance of radiology residents in
the interpretation of on-call, emergency "triple-rule-out" (TRO) computed
tomographic (CT) studies in patients with acute chest pain. MATERIALS AND
METHODS: The study was institutional review board-approved and Health Insurance
Portability and Accountability Act compliant. Data from 617 on-call TRO studies
were analyzed. Dedicated software enables subspecialty attendings to grade
discrepancies in interpretation between preliminary trainee reports and their
final interpretation as "unlikely to be significant" (minor discrepancies) or
"likely to be significant" for patient management (major discrepancies). The
frequency of minor, major and all discrepancies in resident's TRO interpretations
was compared to 609 emergent non-electrocardiography (ECG)-synchronized chest CT
studies using Pearson chi(2) test. RESULTS: Minor discrepancies occurred more
often in the TRO group (9.1% vs. 3.9%, P < .001), but there was no difference in
the frequency of major discrepancies (2.1% vs. 2.8%, P = .55). Minor
discrepancies in the TRO group most commonly resulted from missed extrathoracic
findings with missed liver lesions being the most frequent. Major discrepancies
mostly encompassed cardiac and extracardiac vascular findings but did not result
in unnecessary interventions, significant immediate changes in management, or
adverse patient outcomes. CONCLUSIONS: On-call resident interpretation of TRO CT
studies in patients with acute chest pain is congruent with final subspecialty
attending interpretation in the overwhelming majority of cases. The rate of
discrepancies likely to affect patient management in this domain is not different
from emergent non-ECG-synchronized chest CT.
PMID- 24928164
TI - Radiology 101: Water.
PMID- 24928165
TI - Engineered aggregation inhibitor fusion for production of highly amyloidogenic
human islet amyloid polypeptide.
AB - Human islet amyloid polypeptide (IAPP) is the major component of pancreatic
amyloid deposits in type 2 diabetes. The structural conversion of IAPP from a
monomeric state into amyloid assemblies is the subject of intense research.
Recombinant production of IAPP is, however, difficult due to its extreme
aggregation propensity. Here we describe a novel strategy for expression of IAPP
in Escherichia coli, based on an engineered protein tag, which sequesters IAPP
monomers and prevents IAPP aggregation. The IAPP-binding protein HI18 was
selected by phage display from a beta-wrapin library. Fusion of HI18 to IAPP
enabled the soluble expression of the construct. IAPP was cleaved from the fusion
construct and purified to homogeneity with a yield of 3mg of isotopically labeled
peptide per liter of culture. In the monomeric state, IAPP was largely disordered
as evidenced by far-UV CD and liquid-state NMR spectroscopy but competent to form
amyloid fibrils according to atomic force microscopy. These results demonstrate
the ability of the engineered beta-wrapin HI18 for shielding the hydrophobic
sequence of IAPP during expression and purification. Fusion of aggregation
inhibiting beta-wrapins is a suitable approach for the recombinant production of
aggregation-prone proteins.
PMID- 24928166
TI - Animal welfare and society concerns finding the missing link.
AB - Young adults in developed countries are distanced from agriculture and the meat
industry needs to do a better job of communicating with them. A major welfare
concern is slaughter without stunning. Other concerns, such as poor stunning or
high levels of bruising, can be easily corrected by management who is committed
to maintaining high standards. Another concern is biological system overload,
occurring when animals are bred for more productivity. Researchers and industry
need to determine optimum production levels instead of maximums. Retailers are
major drivers of animal welfare standards enforcement and they respond to
pressure from both activists and consumers.
PMID- 24928167
TI - Editor's choice--The effect of supervision on walking distance in patients with
intermittent claudication: a meta-analysis.
AB - BACKGROUND: A number of reviews have reported the influence of exercise therapy
(ET) for the treatment of intermittent claudication (IC). However, a complete
overview of different types of ET is lacking. The aim of this meta-analysis was
to study the effect of supervision on walking capacity in patients with IC. It
was hypothesized that there was a positive treatment effect in relation to the
intensity of supervision and improvement in walking capacity (i.e., a "dose
response" hypothesis). METHODS: A systematic search in the Cochrane Central
Register of Controlled Trials, MEDLINE, and EMBASE databases was performed. Only
randomized controlled trials (RCTs) evaluating the efficacy of an ET in IC were
included. Type of supervision, treadmill protocol, length of ET, total training
volume, and change in walking distance were extracted. RCTs were categorised
according to type of support: no exercise, walking advice, home-based exercise
(HB-ET), and supervised exercise therapy (SET). A standardised mean difference
between pre- and post-training maximal walking distance (MWD) and pain-free
walking distance (PFWD) was calculated for all subgroups at 6 weeks, and 3 and 6
months of follow up. RESULTS: Thirty studies involving 1406 patients with IC were
included. The overall quality was moderate-to-good, although number of included
patients varied widely (20-304). The intensity of supervision was directly
related to MWD and PFWD. SET was superior to other conservative treatment
regimens with respect to improvement in walking distances at all follow-ups.
However, the difference between HB-ET and SET at 6 months of follow up was not
significant. CONCLUSION: Supervised exercise therapy for intermittent
claudication is superior to all other forms of exercise therapy. Intensity of
supervision is related to improved walking distance.
PMID- 24928169
TI - Use of animals in research and reporting of animal experiments -- the need for
improvement.
PMID- 24928168
TI - Identification and genetic characterization of avian-origin H3N2 canine influenza
viruses isolated from the Liaoning province of China in 2012.
AB - A total of 158 serum samples and 510 nasal swab specimens were collected between
September 2010 and May 2012, from dogs exhibiting respiratory symptoms, in order
to investigate the epidemiology of H3N2 canine influenza viruses (CIVs) in the
Liaoning province of China. Serological surveillance demonstrated that 10.8 %
(17/158) of serum samples were positive for H3N2 canine influenza. Two H3N2
influenza viruses, A/canine/Liaoning/27/2012 and A/canine/Liaoning/H6/2012, were
isolated from pet dogs in 2012. Phylogenetic analysis indicated that the genes
from these two viruses were closely related to those of avian-origin, H3N2
subtype CIVs from China and Thailand. Genetic analysis of eight genes revealed
that these two H3N2 canine influenza isolates were highly similar (99.2-99.8 %)
to the current common strains in Asia. Analysis of the genotype demonstrated that
each gene of the two strains in this study had the same genotype (K, G, E, 3B, F,
2D, F, 1E) as those prevalent in H3N2 CIVs. Our findings further confirm that
avian-origin H3N2 canine influenza has become established in China. Conducting
extensive serological and epidemiological surveillance is necessary to develop an
effective vaccine against this disease.
PMID- 24928170
TI - Fatal infection with Taenia martis metacestodes in a ring-tailed lemur (Lemur
catta) living in an Italian zoological garden.
AB - A case of fatal infection caused by larval forms of Taenia martis in a ring
tailed lemur (Lemur catta) living in the Rome zoological garden is described. The
animal, living in a semi-natural pen with other 15 conspecific individuals and
being fed with fresh fruit and vegetables, yoghurt and eggs, was transported to
the Istituto Zooprofilattico of Rome for post-mortem examination. The anamnesis
included, ten days before the death, apathy, lack of appetite, abdominal
distension and diarrhoea. A severe exudative fibrinous-purulent peritonitis with
numerous adhesions between the abdominal wall and the bowel loops was detected.
After intestine removal, two free and viable, 4 cm long, whitish, leaf-like
parasitic forms were pinpointed. Macroscopic examination of the two parasites
allowed their identification as larval stages of cestodes, identified via
molecular analysis as T. martis metacestodes. This report represents the first
record of T. martis infection in the host species and in a zoological garden and
for the pathological relevance of the infection.
PMID- 24928172
TI - The military physician and contested medical humanitarianism: a dueling identity?
AB - A critical issue in the study of humanitarianism is who counts as a medical
humanitarian. Military physicians are often characterized as caught between the
potentially incompatible roles of physician and military professional. Medical
NGOs, such as Medecins Sans Frontieres (MSF), have also vociferously rejected
military medical humanitarianism: questioning the mandate, skills, and
appropriateness of military involvement in humanitarian medicine as well as the
potential impact on 'humanitarian space'. Yet many military doctors contest this.
Consequently this study examines the ways in which primarily British military
physicians identify and manage their identities as both medical humanitarians and
soldiers. The research utilized a mixed method, grounded theory approach
involving systematic document searches/expert identification of a core literature
of 300 policy and peer reviewed documents, plus grey literature and 53 formal
medical post operational reports from units serving in Iraq and Afghanistan
between 2004 and 2012. Semi structured interviews involved purposive sampling (34
respondents) ranging from a former Surgeon General to more junior staff. Methods
also included an analysis of the original data and literature from the 2003
Medical Services Delphi study (involving an additional 40 experts and an
extensive literature review) on military medical identity/future roles as well as
direct observation of military doctors in Iraq and Afghanistan (two, 2 month
research trips). The research concluded that military physicians conceived of
themselves as autonomous medical humanitarians with an individual morality rooted
in civilian medical ethics that facilitated resistance to the potentially
hegemonic military identity. Nevertheless military physicians were part of a
medical organization with fundamentally different priorities from those of
civilian humanitarian physicians. Furthermore, the perceived emergence of
multiple civilian 'humanitarianisms' has legitimated a space for the military
physician alongside other variants of humanitarianism. This study contributes to
the growing body of work on the self-identity of health professionals as
humanitarians in conflict settings and demonstrates how the military medical
identity fits into a fragmented civilian humanitarianism.
PMID- 24928171
TI - Providing male rats deficient in iron and n-3 fatty acids with iron and alpha
linolenic acid alone affects brain serotonin and cognition differently from
combined provision.
AB - BACKGROUND: We recently showed that a combined deficiency of iron (ID) and n-3
fatty acids (n-3 FAD) in rats disrupts brain monoamine metabolism and produces
greater memory deficits than ID or n-3 FAD alone. Providing these double
deficient rats with either iron (Fe) or preformed docosahexaenoic acid
(DHA)/eicosapentaenoic acid (EPA) alone affected brain monoamine pathways
differently from combined repletion and even exacerbated cognitive deficits
associated with double-deficiency. Iron is a co-factor of the enzymes responsible
for the conversion of alpha-linolenic acid (ALA) to EPA and DHA, thus, the
provision of ALA with Fe might be more effective in restoring brain EPA and DHA
and improving cognition in double-deficient rats than ALA alone. METHODS: In this
study we examined whether providing double-deficient rats with ALA and Fe, alone
or in combination, can correct deficits in monoamine metabolism and cognition
associated with double-deficiency. Using a 2 * 2 design, male rats with
concurrent ID and n-3 FAD were fed an Fe + ALA, Fe + n-3 FAD, ID + ALA, or ID + n
3 FAD diet for 5 weeks (postnatal day 56-91). Biochemical measures, and spatial
working and reference memory (using the Morris water maze) were compared to age
matched controls. RESULTS: In the hippocampus, we found a significant Fe * ALA
interaction on DHA: Compared to the group receiving ALA alone, DHA was
significantly higher in the Fe + ALA group. In the brain, we found significant
antagonistic Fe * ALA interactions on serotonin concentrations. Provision of ALA
alone impaired working memory compared with age-matched controls, while in the
reference memory task ALA provided with Fe significantly improved performance.
CONCLUSION: These results indicate that providing either iron or ALA alone to
double-deficient rats affects serotonin pathways and cognitive performance
differently from combined provision. This may be partly explained by the
enhancing effect of Fe on the conversion of ALA to EPA and DHA.
PMID- 24928173
TI - The in vitro and in vivo profile of aclidinium bromide in comparison with
glycopyrronium bromide.
AB - This study characterised the in vitro and in vivo profiles of two novel long
acting muscarinic antagonists, aclidinium bromide and glycopyrronium bromide,
using tiotropium bromide and ipratropium bromide as comparators. All four
antagonists had high affinity for the five muscarinic receptor sub-types (M1-M5);
aclidinium had comparable affinity to tiotropium but higher affinity than
glycopyrronium and ipratropium for all receptors. Glycopyrronium dissociated
faster from recombinant M3 receptors than aclidinium and tiotropium but more
slowly than ipratropium; all four compounds dissociated more rapidly from M2
receptors than from M3 receptors. In vitro, aclidinium, glycopyrronium and
tiotropium had a long duration of action at native M3 receptors (>8 h versus 42
min for ipratropium). In vivo, all compounds were equi-potent at reversing
acetylcholine-induced bronchoconstriction. Aclidinium, glycopyrronium and
ipratropium had a faster onset of bronchodilator action than tiotropium.
Aclidinium had a longer duration of action than glycopyronnium (time to 50%
recovery of effect [t1/2 offset] = 29 h and 13 h, respectively); these compare
with a t1/2 offset of 64 h and 8 h for tiotropium and ipratropium, respectively.
Aclidinium was less potent than glycopyrronium and tiotropium at inhibiting
salivation in conscious rats (dose required to produce half-maximal effect [ED50]
= 38, 0.74 and 0.88 MUg/kg, respectively) and was more rapidly hydrolysed in rat,
guinea pig and human plasma compared with glycopyrronium or tiotropium. These
results indicate that while aclidinium and glycopyrronium are both potent
antagonists at muscarinic receptors with similar kinetic selectivity for M3
receptors versus M2, aclidinium has a longer dissociation half-life at M3
receptors and a longer duration of bronchodilator action in vivo than
glycopyrronium. The rapid plasma hydrolysis of aclidinium, coupled to its kinetic
selectivity, may confer a reduced propensity for systemic anticholinergic side
effects with aclidinium versus glycopyrronium and tiotropium.
PMID- 24928174
TI - Don't fuel the fire: decreasing intravenous haloperidol use in high risk patients
via a customized electronic alert.
AB - Delivering useful clinical decision support to providers who are ordering high
risk drugs for high risk patients is imperative for safe pharmacotherapy. This
paper presents a focused electronic clinical decision support intervention
designed to decrease the risk of corrected QT interval (QTc) related adverse drug
events in a high risk patient population. Results showed that a customized alert
can both decrease the number of alerts sent to providers while still improving
the safety of prescribing practices for intravenous haloperidol. The alert
leveraged components of the electronic health record to significantly decrease
the rate of inappropriate prescription of intravenous haloperidol in patients
with QTc >500 ms from 50% to 14%. The results also suggest providers may abandon
the appropriate prescription of a medication in response to an alert. The
findings support the necessity of careful targeting of electronic alerts and
monitoring for unintended consequences when implementing these types of
electronic alerts.
PMID- 24928175
TI - Tweeting it off: characteristics of adults who tweet about a weight loss attempt.
AB - OBJECTIVE: The purpose of this study was to describe adults who use Twitter
during a weight loss attempt and to compare the positive and negative social
influences they experience from their offline friends, online friends, and family
members. MATERIALS AND METHODS: Participants (N=100, 80% female, mean age=37.65,
SD=8.42) were recruited from Twitter. They completed a brief survey about their
experiences discussing their weight loss attempt with their online and offline
friends and provided responses to open-ended questions on the benefits and
drawbacks of discussing weight on Twitter, Facebook, and weight-specific social
networks. RESULTS: Participants rated their connections on Twitter and weight
loss-specific social networks to be significantly greater sources of positive
social influence for their weight loss (F(3)=3.47; p<0.001) and significantly
lesser sources of negative social influence (F(3)=40.39 and F(3)=33.68 (both
p<0.001)) than their offline friends, family, and Facebook friends. Greater
positive social influence from Twitter and Facebook friends was associated with
greater weight loss in participants' most recent weight loss attempt (r=0.30,
r=0.32; p<0.01). The most commonly reported benefits of tweeting about weight
loss include social support, information, and accountability. The most common
drawbacks reported are that interactions were too brief and lacked personal
connection. DISCUSSION: People who discuss their weight loss on Twitter report
more social support and less negativity from their Twitter friends than their
Facebook friends and in-person relationships. CONCLUSIONS: Online social networks
should be explored as a tool for connecting patients who lack weight loss social
support from their in-person relationships.
PMID- 24928176
TI - Temporal trends of hemoglobin A1c testing.
AB - OBJECTIVE: The study of utilization patterns can quantify potential overuse of
laboratory tests and find new ways to reduce healthcare costs. We demonstrate the
use of distributional analytics for comparing electronic health record (EHR)
laboratory test orders across time to diagnose and quantify overutilization.
MATERIALS AND METHODS: We looked at hemoglobin A1c (HbA1c) testing across 119,000
patients and 15 years of hospital records. We examined the patterns of HbA1c
ordering before and after the publication of the 2002 American Diabetes
Association guidelines for HbA1c testing. We conducted analyses to answer three
questions. What are the patterns of HbA1c ordering? Do HbA1c orders follow the
guidelines with respect to frequency of measurement? If not, how and why do they
depart from the guidelines? RESULTS: The raw number of HbA1c orderings has
steadily increased over time, with a specific increase in low-measurement
orderings (<6.5%). There is a change in ordering pattern following the 2002
guideline (p<0.001). However, by comparing ordering distributions, we found that
the changes do not reflect the guidelines and rather exhibit a new practice of
rapid-repeat testing. The rapid-retesting phenomenon does not follow the 2009
guidelines for diabetes diagnosis either, illustrated by a stratified HbA1c value
analysis. DISCUSSION: Results suggest HbA1c test overutilization, and
contributing factors include lack of care coordination, unexpected values
prompting retesting, and point-of-care tests followed by confirmatory laboratory
tests. CONCLUSIONS: We present a method of comparing ordering distributions in an
EHR across time as a useful diagnostic approach for identifying and assessing the
trend of inappropriate use over time.
PMID- 24928178
TI - More light behind gene expression.
AB - Light-regulated gene expression, mediated by photoreceptors, acts as a
multifaceted regulator to control the abundance of functional genes at different
levels. Two recent genome-wide studies by Wu et al. and Liu et al. show that
light controls gene expression at post-transcriptional and translational level
through alternative splicing and translational regulation, respectively.
PMID- 24928177
TI - Applying MetaMap to Medline for identifying novel associations in a large
clinical dataset: a feasibility analysis.
AB - OBJECTIVE: We describe experiments designed to determine the feasibility of
distinguishing known from novel associations based on a clinical dataset
comprised of International Classification of Disease, V.9 (ICD-9) codes from 1.6
million patients by comparing them to associations of ICD-9 codes derived from
20.5 million Medline citations processed using MetaMap. Associations appearing
only in the clinical dataset, but not in Medline citations, are potentially
novel. METHODS: Pairwise associations of ICD-9 codes were independently
identified in both the clinical and Medline datasets, which were then compared to
quantify their degree of overlap. We also performed a manual review of a subset
of the associations to validate how well MetaMap performed in identifying
diagnoses mentioned in Medline citations that formed the basis of the Medline
associations. RESULTS: The overlap of associations based on ICD-9 codes in the
clinical and Medline datasets was low: only 6.6% of the 3.1 million associations
found in the clinical dataset were also present in the Medline dataset. Further,
a manual review of a subset of the associations that appeared in both datasets
revealed that co-occurring diagnoses from Medline citations do not always
represent clinically meaningful associations. DISCUSSION: Identifying novel
associations derived from large clinical datasets remains challenging. Medline as
a sole data source for existing knowledge may not be adequate to filter out
widely known associations. CONCLUSIONS: In this study, novel associations were
not readily identified. Further improvements in accuracy and relevance for tools
such as MetaMap are needed to realize their expected utility.
PMID- 24928179
TI - Diversity and ancestry of flatworms infecting blood of nontetrapod craniates
"fishes".
AB - We herein review all published molecular studies (life history, taxonomy, and
phylogeny) and summarize all GenBank sequences and primer sets for the "fish
blood flukes". Further, by analysing new and all available sequence data for the
partial D1-D2 domains of 28S from 83 blood fluke taxa, we explore the
evolutionary expansion of flatworm parasitism in the blood of craniates. Based on
this analysis, the blood flukes infecting marine bony fishes (Euteleostei) are
monophyletic. The clade comprising the chondrichthyan blood fluke plus the marine
euteleost blood flukes is the sister group to tetrapod blood flukes (spirorchiids
and schistosomes). The innominate blood fluke cercariae from freshwater
gastropods were monophyletic and sister to the clade comprising spirorchiids and
schistosomes, but low nodal support indicated that they may represent a distinct
blood fluke lineage with phylogenetic affinities also to fish blood flukes. Blood
flukes that utilize gastropod intermediate hosts were monophyletic (unidentified
gastropod cercariae+tetrapod blood flukes) and those utilizing bivalves and
polychaetes were monophyletic (marine fish blood flukes). Low or no taxon
sampling among blood flukes of basal fish lineages and primary division
freshwater fish lineages are significant data gaps needing closure. We also note
that no record of an infection exists in a hagfish (Myxiniformes), lamprey
(Petromyzontiformes), or nontetrapod sarcopterygiian, i.e., coelacanth
(Coelacanthimorpha) or lungfish (Dipnoi). The present phylogenetic analysis
reiterated support for monophyly of Schistosomatidae and paraphyly of
spirorchiids, with the blood flukes of freshwater turtles basal to those of
marine turtles and schistosomes.
PMID- 24928180
TI - Techniques for the diagnosis of Fasciola infections in animals: room for
improvement.
AB - The common liver fluke, Fasciola hepatica, causes fascioliasis, a significant
disease in mammals, including livestock, wildlife and humans, with a major
socioeconomic impact worldwide. In spite of its impact, and some advances towards
the development of vaccines and new therapeutic agents, limited attention has
been paid to the need for practical and reliable methods for the diagnosis of
infection or disease. Accurate diagnosis is central to effective control,
particularly given an emerging problem with drug resistance in F. hepatica.
Traditional coprological techniques have been widely used, but are often
unreliable. Although there have been some advances in establishing immunologic
techniques, these tools can suffer from a lack of diagnostic specificity and/or
sensitivity. Nonetheless, antigen detection tests seem to have considerable
potential, but have not yet been adequately evaluated in the field. Moreover,
advanced nucleic acid-based methods appear to offer the most promise for the
diagnosis of current infection. This chapter (i) provides a brief account of the
biology and significance of F. hepatica/fascioliasis, (ii) describes key
techniques currently in use, (iii) compares their advantages/disadvantages and
(iv) reviews polymerase chain reaction-based methods for specific diagnosis
and/or the genetic characterization of Fasciola species.
PMID- 24928181
TI - Reevaluating the evidence for Toxoplasma gondii-induced behavioural changes in
rodents.
AB - The ubiquitous protozoan parasite Toxoplasma gondii has been associated with
behavioural changes in various hosts, including humans. In rodents, these
behavioural changes are thought to represent adaptive manipulation by T. gondii
to enhance transmission from intermediate hosts to the feline definitive host. In
this review, we have tabulated evidence of changes in motor coordination,
learning, memory, locomotion, anxiety, response to novelty and aversion to feline
odour in rodents experimentally infected with T. gondii. In general, there was no
consistent indication of the direction or magnitude of behavioural changes in
response to infection. This may be due to the use, in these experimental studies,
of different T. gondii strains, different host species and sexes and/or different
methodologies to measure behaviour. A particular problem with studies of
behavioural manipulation is likely to be the validity of behavioural tests, that
is, whether they are actually measuring the traits that they were designed to
measure. We suggest that future studies can be improved in three major ways.
First, they should use multiple tests of behaviour, followed by multivariate data
analysis to identify behavioural constructs such as aversion, anxiety and
response to novelty. Second, they should incorporate longitudinal measurements on
the behaviour of individual hosts before and after infection, so that within
individual and between-individual variances and covariances in behavioural traits
can be estimated. Finally, they should investigate how variables such as parasite
strain, host species and host sex interact with parasite infection to alter host
behaviour, in order to provide a sound foundation for research concerning the
proximate and ultimate mechanism(s) responsible for behavioural changes.
PMID- 24928183
TI - Left ventricular diastolic performance at rest is essential for exercise capacity
in patients with non-complicated myocardial infarction.
AB - INTRODUCTION: In patients with recent myocardial infarction (MI) limited exercise
capacity during physical activity is an important symptom and the base for future
treatment. The myocardial injury after MI leads to both systolic and diastolic
left ventricular (LV) dysfunction. OBJECTIVE: The aim of this study was to assess
the relevance of systolic and diastolic LV function for cardiopulmonary exercise
capacity in patients with prior MI. METHODS: Sixty-five consecutive patients
after first MI without signs and symptoms of heart failure, aged 52 +/- 6 years,
were included in the study. The following echo parameters were evaluated: LV
ejection fraction (LVEF), peak early and late diastolic velocities (E, A),
deceleration time of E wave (dec t E), ratio of early trans-mitral to early
annular diastolic velocities (E/e'), velocity propagation of early filling (Vp),
and diameters and volumes of LV and left atrium (LA). CPET variables included:
oxygen uptake at peak exercise (peak VO2), oxygen pulse (VO2 HR), VE/VCO2 slope,
circulatory power (CP) and recovery half time (T1/2). RESULTS: Significant
correlations were demonstrated between peak VO2 and E/e' (p < 0.001), peak VO2
and dec t E (p < 0.001), VO2 HR and E/e' (p = 0.002) and between VE/VCO2 and E/e'
(p < 0.001). Twenty patients with elevated LV filling pressure achieved
significantly lower peak VO2 (1624 vs. 1932 ml, p = 0.027) VO2 HR (11.70 vs.
14.05, p = 0.011) and CP (287,073 vs. 361,719, p = 0.014). By using multivariate
regression model we found that only E/e' (p = 0.001) and dec t E (p = 0.008)
significantly contributed to peak VO2. CONCLUSIONS: Diastolic dysfunction,
particularly LV filling pressure, determine exercise capacity, despite
differences in LV ejection fraction in patients with prior MI.
PMID- 24928184
TI - Unresolved pulmonary embolism leading to a diagnosis of pulmonary artery sarcoma.
AB - Pulmonary artery sarcomas (PAS) are rare tumors with a poor prognosis. They are
often misdiagnosed as pulmonary embolism (PE) leading to futile anticoagulation
treatment and delay in proper diagnosis. We present a case of a patient who was
initially misdiagnosed and anticoagulated for presumed pulmonary embolism.
Progressive symptoms and additional imaging led to the diagnosis of intimal
pulmonary artery sarcoma for which he underwent surgical resection. This case
serves as a reminder to consider pulmonary artery sarcoma in the differential
diagnosis of patients with dyspnea and filling defects on CT pulmonary angiogram
offering the potential for resection prior to metastasis.
PMID- 24928185
TI - Testing a novel pictorial medication sheet to improve adherence in veterans with
heart failure and cognitive impairment.
AB - OBJECTIVES: (a) To evaluate efficacy of a pictorial medication sheet to improve
adherence in veterans with heart failure (HF) and cognitive impairment (CI); (b)
to describe acceptance of the intervention. BACKGROUND: CI is prevalent in HF and
is associated with worsened medication adherence. The Veteran's Administration
has developed a medication image library; however, use of images to improve
adherence has not been tested. METHODS: Thirty-six veterans with HF and CI were
enrolled and provided pictorial medication sheets and an optional alarmed
pillbox. Adherence pre-and post-intervention was determined by 30-day pill
counts. Acceptance was assessed from interviews. RESULTS: Twenty-seven veterans
(75%) completed the study. Overall medication adherence was poor, however there
was significant improvement from pre-intervention (M = 79.74, SD = +/-16.98) to
post-intervention (M = 84.74, SD = +/-10.00) adherence (t(26) = 2.16, p < .05,
Cohen's d = .42). CONCLUSIONS: This pilot study provides preliminary evidence
that medication images improve adherence with complex medication regimens. The
intervention was well received by patients.
PMID- 24928186
TI - Positron emission tomography (PET) has limited utility in the staging of
pancreatic adenocarcinoma.
AB - BACKGROUND: Positron emission tomography (PET) as an adjunct to conventional
imaging in the staging of pancreatic adenocarcinoma is controversial. Herein, we
assess the utility of PET in identifying metastatic disease and evaluate the
prognostic potential of standard uptake value (SUV). METHODS: Imaging and follow
up data for patients diagnosed with pancreatic adenocarcinoma were reviewed
retrospectively. Resectability was assessed based on established criteria, and
sensitivity, specificity, and accuracy of PET were compared to those of
conventional imaging modalities. RESULTS: For 123 patients evaluated 2005-2011,
PET and CT/MRI were concordant in 108 (88 %) cases; however, PET identified
occult metastatic lesions in seven (5.6 %). False-positive PETs delayed surgery
for three (8.3 %) patients. In a cohort free of metastatic disease in 78.9 % of
cases, the sensitivity and specificity of PET for metastases were 89.3 and 85.1
%, respectively, compared with 62.5 and 93.5 % for CT and 61.5 and 100.0 % for
MRI. Positive predictive value and negative predictive value of PET were 64.1 and
96.4 %, respectively, compared with 75.0 and 88.9 % for CT and 100.0 and 91.9 %
for MRI. Average difference in maximum SUV of resectable and unresectable lesions
was not statistically significant (5.65 vs. 6.5, p = 0.224) nor was maximum SUV a
statistically significant predictor of survival (p = 0.18). CONCLUSION: PET is
more sensitive in identifying metastatic lesions than CT or MRI; however, it has
a lower specificity, lower positive predictive value, and in some cases, can
delay definitive surgical management. Therefore, PET has limited utility as an
adjunctive modality in staging of pancreatic adenocarcinoma.
PMID- 24928187
TI - Measuring surgical quality: a national clinical registry versus administrative
claims data.
AB - BACKGROUND: This study compared postoperative complications of patients who
underwent pancreaticoduodenectomy (PD) recorded in the National Surgical Quality
Improvement Program (NSQIP) to patients who underwent PD recorded in the
Healthcare Cost and Utilization Project (HCUP) National Inpatient Sample (NIS).
METHODS: Data included 8,822 PD cases recorded in NSQIP and 9,827 PD cases
recorded in NIS performed between 2005 and 2010. Eighteen postoperative adverse
outcomes were identified in NSQIP and then matched to corresponding International
Classification of Diseases, 9th Revision, Clinical Modification (ICD-9-CM) codes
in NIS. Using logistic regression, the relationship between database and
postoperative complications was determined while accounting for patient factors.
RESULTS: Patients undergoing PD in the NIS were more likely to have several
adverse outcomes, including urinary tract infection (odds ratio (OR) = 1.42, p <
0.001), pneumonia (OR = 1.51, p < 0.001), renal insufficiency (OR = 2.39, p <
0.001), renal failure (OR = 1.67, p = 0.005), graft/prosthetic failure (OR =
9.35, p < 0.001), and longer length of stay (1.1 days, p < 0.001). They were less
likely to have cardiac arrest (OR = 0.45, p = 0.002), postoperative sepsis (OR =
0.38, p < 0.001), deep vein thrombosis (OR = 0.18, p < 0.001), and
cerebrovascular accident (OR = 0.04, p = 0.003). CONCLUSIONS: There is
considerable discordance between NSQIP and NIS in the assessment of postoperative
complications following PD, which underscores the value of recognizing the
capabilities and limitations of each data source.
PMID- 24928188
TI - FreeSolv: a database of experimental and calculated hydration free energies, with
input files.
AB - This work provides a curated database of experimental and calculated hydration
free energies for small neutral molecules in water, along with molecular
structures, input files, references, and annotations. We call this the Free
Solvation Database, or FreeSolv. Experimental values were taken from prior
literature and will continue to be curated, with updated experimental references
and data added as they become available. Calculated values are based on
alchemical free energy calculations using molecular dynamics simulations. These
used the GAFF small molecule force field in TIP3P water with AM1-BCC charges.
Values were calculated with the GROMACS simulation package, with full details
given in references cited within the database itself. This database builds in
part on a previous, 504-molecule database containing similar information.
However, additional curation of both experimental data and calculated values has
been done here, and the total number of molecules is now up to 643. Additional
information is now included in the database, such as SMILES strings, PubChem
compound IDs, accurate reference DOIs, and others. One version of the database is
provided in the Supporting Information of this article, but as ongoing updates
are envisioned, the database is now versioned and hosted online. In addition to
providing the database, this work describes its construction process. The
database is available free-of-charge via
http://www.escholarship.org/uc/item/6sd403pz .
PMID- 24928189
TI - Defining dose-limiting toxicity for phase 1 trials of molecularly targeted
agents: results of a DLT-TARGETT international survey.
AB - INTRODUCTION: It is increasingly clear that definitions of dose-limiting toxicity
(DLT) established for phase 1 trials of cytotoxic agents are not suitable for
molecularly targeted agents because of specific toxicity profiles. An
international survey collected expertise on the definition of DLT, as part of an
initiative aimed at presenting new guidelines for phase 1 trials of targeted
agents. METHODS: A 15-question survey was sent to corresponding authors of phase
1 reports. Questions involved: duration of the DLT assessment period,
incorporation of specific grade 1 (G1) or G2 toxicity and their minimum duration
to qualify as DLT, exclusion of specific G3 and inclusion of dose
modification/delay. RESULTS: Among the 400 investigators contacted, 93 replied of
whom 65 completed the questionnaires. A total of 87% opted for an extended DLT
assessment period beyond cycle 1, with the proviso not to delay patient accrual.
Reanalysis at the end of the study of all safety data was proposed in order to
recommend the phase 2 dose. Most respondents (92%) suggested including dose
modification in the definition of DLT when dose intensity was decreased to 70%.
Whilst moderate toxicity was deemed relevant by 70%, the G1/2 toxicities selected
to define DLT however varied. CONCLUSION: The majority of experts favoured a
longer DLT assessment period as well as incorporation of specific G2 toxicities
into the DLT definition. However, no clear consensus existed on a re-definition
of DLT. Therefore analyses of a large international data warehouse were also used
to develop guidelines presented in a companion paper.
PMID- 24928190
TI - Review of therapeutic drug monitoring of anticancer drugs part two--targeted
therapies.
AB - Most of oral targeted therapies are tyrosine kinase inhibitors (TKIs). Oral
administration generates a complex step in the pharmacokinetics (PK) of these
drugs. Inter-individual PK variability is often large and variability observed in
response is influenced not only by the genetic heterogeneity of drug targets, but
also by the pharmacogenetic background of the patient (e.g. cytochome P450 and
ABC transporter polymorphisms), patient characteristics such as adherence to
treatment and environmental factors (drug-drug interactions). Retrospective
studies have shown that targeted drug exposure, reflected in the area under the
plasma concentration-time curve (AUC) correlates with treatment response
(efficacy/toxicity) in various cancers. Nevertheless levels of evidence for
therapeutic drug monitoring (TDM) are however heterogeneous among these agents
and TDM is still uncommon for the majority of them. Evidence for imatinib
currently exists, others are emerging for compounds including nilotinib,
dasatinib, erlotinib, sunitinib, sorafenib and mammalian target of rapamycin
(mTOR) inhibitors. Applications for TDM during oral targeted therapies may best
be reserved for particular situations including lack of therapeutic response,
severe or unexpected toxicities, anticipated drug-drug interactions and/or
concerns over adherence treatment. Interpatient PK variability observed with
monoclonal antibodies (mAbs) is comparable or slightly lower to that observed
with TKIs. There are still few data with these agents in favour of TDM
approaches, even if data showed encouraging results with rituximab, cetuximab and
bevacizumab. At this time, TDM of mAbs is not yet supported by scientific
evidence. Considerable effort should be made for targeted therapies to better
define concentration-effect relationships and to perform comparative randomised
trials of classic dosing versus pharmacokinetically-guided adaptive dosing.
PMID- 24928191
TI - Effects of spray and stretch on postneedling soreness and sensitivity after dry
needling of a latent myofascial trigger point.
AB - OBJECTIVES: To investigate (1) the effect of spray and stretch versus control on
reducing postneedling soreness of 1 latent myofascial trigger point (MTrP) and
(2) whether higher levels of psychological distress are associated with increased
postneedling pain intensity. DESIGN: A 72-hour follow-up, single-blind randomized
controlled trial. SETTING: University community. PARTICIPANTS: Healthy volunteers
(N=70; 40 men, 30 women) aged 18 to 36 years (mean age, 21+/-4y) with latent MTrP
in 1 upper trapezius muscle. INTERVENTION: All subjects received a dry needling
application over the upper trapezius muscle. Then, participants were randomly
divided into 2 groups: an intervention group, which received spray and stretch
over the needled trapezius muscle, and a control group, which did not receive any
intervention. MAIN OUTCOME MEASURES: Visual analog scale (at postneedling,
posttreatment, and 6, 12, 24, 48, and 72h after needling), pressure pain
threshold (at preneedling, postneedling, and 24 and 48h after needling).
Psychological distress was evaluated by using the Symptom Checklist-90-Revised.
RESULTS: Repeated-measures analysis of variance demonstrated a significant
interaction between group and time (F3,204.8=3.19; P<.05; etap(2)=.04) for
changes in postneedling soreness. Between-group differences were significant only
immediately after intervention (P=.002), and there were no differences found
between groups after 6 hours of the intervention (P>.05). Repeated measures of
covariance showed that none of the psychological covariates affected these
results. Somatization, anxiety, interpersonal sensitivity, and hostility were
significantly correlated (P<.05) with postneedling pain intensity. Repeated
measures analysis of variance did not show a significant effect of spray and
stretch on mechanical hyperalgesia (F2.6,175=1.9; P=.131; etap(2)=.02).
CONCLUSIONS: The spray and stretch had a short-term (<6h) effect in reducing
postneedling soreness of a latent MTrP. Pressure pain threshold did not
significantly change after spray and stretch. Psychological factors are related
to postneedling pain.
PMID- 24928192
TI - Deoxyribonucleotides as genetic and metabolic regulators.
AB - For >35 yr, we have known that the accuracy of DNA replication is controlled in
large part by the relative concentrations of the 4 canonical deoxyribonucleoside
5'-triphosphates (dNTPs) at the replisome. Since this field was last reviewed, ~8
yr ago, there has been increased understanding of the mutagenic pathways as they
occur in living cells. At the same time, aspects of deoxyribonucleotide
metabolism have been shown to be critically involved in processes as diverse as
cell cycle control, protooncogene expression, cellular defense against HIV
infection, replication rate control, telomere length control, and mitochondrial
function. Evidence supports a relationship between dNTP pools and microsatellite
repeat instability. Relationships between dNTP synthesis and breakdown in
controlling steady-state pools have become better defined. In addition, new
experimental approaches have allowed definitive analysis of mutational pathways
induced by dNTP pool abnormalities, both in Escherichia coli and in yeast.
Finally, ribonucleoside triphosphate (rNTP) pools have been shown to be critical
determinants of DNA replication fidelity. These developments are discussed in
this review article.
PMID- 24928193
TI - Taking steps to increase the trustworthiness of scientific research.
AB - To enjoy the public's trust, the research community must first be clear about
what it is expected to do and then avoid the incidents that prevent it from
meeting those expectations. Among other things, there are expectations that
published scientific results will be reliable, that research has the potential to
contribute to the common good, and that research will be conducted ethically.
Consequently, the scientific community needs to avoid lapses that prevent it from
meeting these three expectations. This requires a strong commitment to
trustworthy research practices, as well as mechanisms that diminish lapses that
inevitably occur in complex endeavors such as scientific research. The author
presents a model to assess the strength of commitment to trustworthy research and
explores proven quality assurance mechanisms that can diminish lapses in research
injurious to the public's trust. Some mechanisms identify in advance ways that
things can go wrong so that steps can be taken to prevent them from going wrong
in the first place. Other mechanisms investigate past errors or near misses to
discover their causes so that they can be addressed to avoid similar future
instances. The author explains why such methods are useful to efforts to promote
research worthy of the public's trust.
PMID- 24928194
TI - Sources of error in the retracted scientific literature.
AB - Retraction of flawed articles is an important mechanism for correction of the
scientific literature. We recently reported that the majority of retractions are
associated with scientific misconduct. In the current study, we focused on the
subset of retractions for which no misconduct was identified, in order to
identify the major causes of error. Analysis of the retraction notices for 423
articles indexed in PubMed revealed that the most common causes of error-related
retraction are laboratory errors, analytical errors, and irreproducible results.
The most common laboratory errors are contamination and problems relating to
molecular biology procedures (e.g., sequencing, cloning). Retractions due to
contamination were more common in the past, whereas analytical errors are now
increasing in frequency. A number of publications that have not been retracted
despite being shown to contain significant errors suggest that barriers to
retraction may impede correction of the literature. In particular, few cases of
retraction due to cell line contamination were found despite recognition that
this problem has affected numerous publications. An understanding of the errors
leading to retraction can guide practices to improve laboratory research and the
integrity of the scientific literature. Perhaps most important, our analysis has
identified major problems in the mechanisms used to rectify the scientific
literature and suggests a need for action by the scientific community to adopt
protocols that ensure the integrity of the publication process.
PMID- 24928195
TI - Intestinal deletion of leptin signaling alters activity of nutrient transporters
and delayed the onset of obesity in mice.
AB - The importance of B-isoform of leptin receptor (LEPR-B) signaling in the
hypothalamus, pancreas, or liver has been well characterized, but in the
intestine, a unique site of entry for dietary nutrition into the body, it has
been relatively ignored. To address this question, we characterized a mouse model
deficient for LEPR-B specifically in intestinal epithelial cells (IECs).
(IEC)LEPR-B-knockout (KO) and wild-type (WT) mice were generated by Cre-Lox
strategy and fed a normal or high-fat diet (HFD). The analyses of the animals
involved histology and immunohistochemistry of intestinal mucosa, indirect
calorimetric measurements, whole-body composition, and expression and activities
of nutrient transporters. (IEC)LEPR-B-KO mice exhibited a 2-fold increase in
length of jejunal villi and have normal growth on a normal diet but were less
susceptible (P<0.01) to HFD-induced obesity. No differences occurred in energy
intake and expenditure between (IEC)LEPR-B-WT and -KO mice, but (IEC)LEPR-B-KO
mice fed an HFD showed increased excreted fats (P<0.05). Activities of the
Na(+)/glucose cotransporter SGLT-1 and GLUT2 were unaffected in LEPR-B-KO
jejunum, while GLUT5-mediated fructose transport and PepT1-mediated peptide
transport were substantially reduced (P<0.01). These data demonstrate that
intestinal LEPR-B signaling is important for the onset of diet-induced obesity.
They suggest that intestinal LEPR-B could be a potential per os target for
prevention against obesity.
PMID- 24928196
TI - Overweight and CpG methylation of the Pomc promoter in offspring of high-fat-diet
fed dams are not "reprogrammed" by regular chow diet in rats.
AB - This study aimed to determine whether epigenetic malprogramming induced by high
fat diet (HFD) has an obesogenic effect on nonmated and mated female rats and
their offspring. Further, it aimed to reprogram offspring's epigenetic
malprogramming and phenotype by providing normal diet after weaning. Body weight
(BW) was measured, and plasma and hypothalamic arcuate nuclei were collected for
analysis of hormones, mRNA, and DNA CpG methylation of the promoter of Pomc, a
key factor in control of food intake. In nonmated females, HFD decreased
Pomc/leptin ratio by ~38%. This finding was associated with Pomc promoter
hypermethylation. While heavier during pregnancy, during lactation HFD dams
showed sharper BW decrease (2.5-fold) and loss of Pomc promoter hypermethylation.
Moreover, their weight loss was correlated with demethylation (r=-0.707) and with
gadd45b mRNA expression levels (r=0.905). Even though offspring of HFD dams ate
standard chow from weaning, they displayed increased BW, Pomc promoter
hypermethylation, and vulnerability to HFD challenge (3-fold kilocalorie intake
increase). These findings demonstrate a long-term effect of maternal HFD on CpG
methylation of the Pomc promoter in the offspring, which was not reprogrammed by
standard chow from weaning. Further, the results suggest a possible mechanism of
demethylation of the Pomc promoter following pregnancy and lactation.
PMID- 24928197
TI - Diminished skeletal muscle microRNA expression with aging is associated with
attenuated muscle plasticity and inhibition of IGF-1 signaling.
AB - Older individuals have a reduced capacity to induce muscle hypertrophy with
resistance exercise (RE), which may contribute to the age-induced loss of muscle
mass and function, sarcopenia. We tested the novel hypothesis that dysregulation
of microRNAs (miRNAs) may contribute to reduced muscle plasticity with aging.
Skeletal muscle expression profiling of protein-coding genes and miRNA was
performed in younger (YNG) and older (OLD) men after an acute bout of RE. 21
miRNAs were altered by RE in YNG men, while no RE-induced changes in miRNA
expression were observed in OLD men. This striking absence in miRNA regulation in
OLD men was associated with blunted transcription of mRNAs, with only 42 genes
altered in OLD men vs. 175 in YNG men following RE, demonstrating a reduced
adaptability of aging muscle to exercise. Integrated bioinformatics analysis
identified miR-126 as an important regulator of the transcriptional response to
exercise and reduced lean mass in OLD men. Manipulation of miR-126 levels in
myocytes, in vitro, revealed its direct effects on the expression of regulators
of skeletal muscle growth and activation of insulin growth factor 1 (IGF-1)
signaling. This work identifies a mechanistic role of miRNA in the adaptation of
muscle to anabolic stimulation and reveals a significant impairment in exercise
induced miRNA/mRNA regulation with aging.
PMID- 24928199
TI - Obesity, hypertension and diabetes mellitus affect complication rate of different
nephrectomy techniques.
AB - INTRODUCTION: To investigate whether obesity, hypertension, and diabetes mellitus
(DM) would increase post-nephrectomy complication rates using standardized
classification method. METHODS: We retrospectively included 843 patients from
March 2006 to November 2012, of whom 613 underwent radical nephrectomy (RN) and
229 had partial nephrectomy (PN). Modified Clavien classification system was
applied to quantify complication severity of nephrectomy. Fisher's exact or chi
square test was used to assess the relationship between complication rates and
obesity, hypertension, as well as DM. RESULTS: The prevalence of obesity,
hypertension, and DM was 11.51%, 30.84%, 8.78%, respectively. The overall
complication rate was 19.31%, 30.04%, 35.71% and 36.36% for laparoscopic radical
nephrectomy (LRN), open-RN, LPN and open-PN respectively. An increasing trend of
low grade complication rate as BMI increased was observed in LRN (P=.027) and
open-RN (P<.001). Obese patients had greater chance to have low grade
complications in LRN (OR=4.471; 95% CI: 1.290-17.422; P=0.031) and open-RN
(OR=2.448; 95% CI: 1.703-3.518; P<.001). Patients with hypertension were more
likely to have low grade complications, especially grade ii complications in open
RN (OR=1.526; 95% CI: 1.055-2.206; P=.026) and open PN (OR=2.032; 95% CI: 1.199
3.443; P=.009). DM was also associated with higher grade i complication rate in
open-RN (OR=2.490; 95% CI: 331-4.657; P=.016) and open-PN (OR=4.425; 95% CI:
1.815-10.791; P=.013). High grade complication rates were similar in comparison.
CONCLUSIONS: Obesity, hypertension, and DM were closely associated with increased
post-nephrectomy complication rates, mainly low grade complications.
PMID- 24928198
TI - RLIP76 regulates HIF-1 activity, VEGF expression and secretion in tumor cells,
and secretome transactivation of endothelial cells.
AB - This study was undertaken to reveal the mechanisms by which RLIP76 regulates
endothelial cell angiogenic responses. RLIP76 is an effector of the angiogenic
modulator, R-Ras. RLIP76 is overexpressed in many tumors, required for tumor
angiogenesis, and blockade of RLIP76 results in tumor regression in multiple
models. We report here that RLIP76 was required for expression and secretion of
vascular endothelial growth factor (VEGF) in carcinoma and melanoma cells.
Conditioned medium derived from RLIP76-depleted tumor cells, but not control
knockdown cells, could not stimulate proliferation, migration, or Matrigel cord
formation in endothelial cell cultures, which indicates that RLIP76 regulates
angiogenic components of the tumor cell secretome. Recombinant VEGF added to
conditioned medium from RLIP76-knockdown tumor cells restored these endothelial
cell functions. Transcriptional activity of hypoxia-inducible factor 1 (HIF-1),
which drives VEGF expression, was blocked in RLIP76-depleted tumor cells. RLIP76
was required for PI3-kinase activation, known to regulate HIF-1, in these cells.
However, HIF-1alpha expression and nuclear localization were unaffected by RLIP76
knockdown, which suggests that RLIP76 regulates HIF-1 at the functional level.
Thus, RLIP76 regulates tumor cell transactivation of endothelial cells via
control of VEGF expression and secretion, providing a new important link in the
mechanism of tumor cell induction of angiogenesis.
PMID- 24928200
TI - Combining De Ley-Doudoroff and methylerythritol phosphate pathways for enhanced
isoprene biosynthesis from D-galactose.
AB - An engineered Escherichia coli strain was developed for enhanced isoprene
production using D-galactose as substrate. Isoprene is a valuable compound that
can be biosynthetically produced from pyruvate and glyceraldehyde-3-phosphate
(G3P) through the methylerythritol phosphate pathway (MEP). The Leloir and De Ley
Doudoroff (DD) pathways are known existing routes in E. coli that can supply the
MEP precursors from D-galactose. The DD pathway was selected as it is capable of
supplying equimolar amounts of pyruvate and G3P simultaneously. To exclusively
direct D-galactose toward the DD pathway, an E. coli DeltagalK strain with
blocked Leloir pathway was used as the host. To obtain a fully functional DD
pathway, a dehydrogenase encoding gene (gld) was recruited from Pseudomonas
syringae to catalyze D-galactose conversion to D-galactonate. Overexpressions of
endogenous genes known as MEP bottlenecks, and a heterologous gene, were
conducted to enhance and enable isoprene production, respectively. Growth test
confirmed a functional DD pathway concomitant with equimolar generation of
pyruvate and G3P, in contrast to the wild-type strain where G3P was limiting.
Finally, the engineered strain with combined DD-MEP pathway exhibited the highest
isoprene production. This suggests that the equimolar pyruvate and G3P pools
resulted in a more efficient carbon flux toward isoprene production. This
strategy provides a new platform for developing improved isoprenoid producing
strains through the combined DD-MEP pathway.
PMID- 24928201
TI - 4R-cembranoid protects against diisopropylfluorophosphate-mediated
neurodegeneration.
AB - Many organophosphorous esters synthesized for applications in industry,
agriculture, or warfare irreversibly inhibit acetylcholinesterase, and acute
poisoning with these compounds causes life-threatening cholinergic
overstimulation. Following classical emergency treatment with atropine, an oxime,
and a benzodiazepine, surviving victims often suffer brain neurodegeneration.
Currently, there is no pharmacological treatment to prevent this brain injury.
Here we show that a cyclic diterpenoid, (1S,2E,4R,6R,7E,11E)-cembra-2,7,11-triene
4,6-diol (4R) ameliorates the damage caused by diisopropylfluorophosphate (DFP)
in the hippocampal area CA1. DFP has been frequently used as a surrogate for the
warfare nerve agent sarin. In rats, DFP is lethal at the dose used to cause brain
damage. Therefore, to observe brain damage in survivors, the death rate was
reduced by pre-administration of the peripherally acting antidotes pyridostigmine
and methyl atropine or its analog ipratropium. Pyridostigmine bromide, methyl
atropine nitrate, and ipratropium bromide were dissolved in saline and injected
intramuscularly at 0.1mg/kg, 20mg/kg, and 23mg/kg, respectively. DFP (9mg/kg)
dissolved in cold water was injected intraperitoneally. 4R (6mg/kg) dissolved in
DMSO was injected subcutaneously, either 1h before or 5 or 24h after DFP.
Neurodegeneration was assessed with Fluoro-Jade B and amino cupric silver
staining; neuroinflammation was measured by the expression of nestin, a marker of
activated astrocytes. Forty-eight hours after DFP administration, 4R decreased
the number of dead neurons by half when injected before or after DFP. 4R also
significantly decreased the number of activated astrocytes. These data suggest
that 4R is a promising new drug that could change the therapeutic paradigm for
acute poisoning with organophosphorous compounds by the implementation of a
second-stage intervention after the classical countermeasure treatment.
PMID- 24928202
TI - A sore spot: central or peripheral generation of chronic neuropathic spontaneous
pain?
PMID- 24928203
TI - Pre-activated blood platelets and a pro-thrombotic phenotype in APP23 mice
modeling Alzheimer's disease.
AB - Platelet activation and thrombus formation play a critical role in primary
hemostasis but also represent a pathophysiological mechanism leading to acute
thrombotic vascular occlusions. Besides, platelets modulate cellular processes
including inflammation, angiogenesis and neurodegeneration. On the other hand,
platelet activation and thrombus formation are altered in different diseases
leading to either bleeding complications or pathological thrombus formation. For
many years platelets have been considered to play a role in neuroinflammatory
diseases such as Alzheimer's disease (AD). AD is characterized by deposits of
amyloid-beta (Abeta) and strongly related to vascular diseases with platelets
playing a critical role in the progression of AD because exposure of platelets to
Abeta induces platelet activation, platelet Abeta release, and enhanced platelet
adhesion to collagen in vitro and at the injured carotid artery in vivo. However,
the molecular mechanisms and the relation between vascular pathology and amyloid
beta plaque formation in the pathogenesis of AD are not fully understood.
Compelling evidence is suggestive for altered platelet activity in AD patients.
Thus we analyzed platelet activation and thrombus formation in aged AD transgenic
mice (APP23) known to develop amyloid-beta deposits in the brain parenchyma and
cerebral vessels. As a result, platelets are in a pre-activated state in blood of
APP23 mice and showed strongly enhanced integrin activation, degranulation and
spreading kinetics on fibrinogen surfaces upon stimulation. This enhanced
platelet signaling translated into almost unlimited thrombus formation on
collagen under flow conditions in vitro and accelerated vessel occlusion in vivo
suggesting that these mice are at high risk of arterial thrombosis leading to
cerebrovascular and unexpectedly to cardiovascular complications that might be
also relevant in AD patients.
PMID- 24928204
TI - Formation of singlet oxygen and protection against its oxidative damage in
Photosystem II under abiotic stress.
AB - Photosystem II (PSII) is exposed to various abiotic stresses associated with
adverse environmental conditions such as high light, heat, heavy metals or
mechanical injury. Distinctive functional response to adverse environmental
conditions is formation of singlet oxygen ((1)O2). In this review, recent
progress on mechanistic principles on (1)O2 formation under abiotic stresses is
summarized. Under high light, (1)O2 is formed by excitation energy transfer from
triplet chlorophylls to molecular oxygen formed by the spin conversion via
photosensitization Type II reaction in the PSII antenna complex or by the
recombination of (1)[P680(+)Pheo(-)] radical pair in the PSII reaction center.
Apart from well-described (1)O2 formation by excitation energy transfer, (1)O2
formation by decomposition of dioxetane and tetroxide is summarized as a
potential source of (1)O2 in PSII under heat, heavy metals and mechanical stress.
The description of mechanistic principles on (1)O2 formation under abiotic stress
allows us to understand how plants respond to adverse environmental conditions in
vivo.
PMID- 24928206
TI - Influence of birth weight and gender on lipid status and adipose tissue gene
expression in lambs.
AB - Intrauterine growth restriction (IUGR) is a risk factor for obesity, particularly
when offspring are born into an unrestricted nutritional environment. In this
study, we investigated the impact of IUGR and gender on circulating lipids and on
expression of adipogenic, lipogenic and adipokine genes in perirenal adipose
tissue. Singleton lambs born to overnourished adolescent dams were normal birth
weight (N) or IUGR (32% lower birth weight due to placental insufficiency). IUGR
lambs exhibited increased fractional growth rates but remained smaller than N
lambs at necropsy (d77). At 48 days, fasting plasma triglycerides, non-esterified
fatty acids and glycerol were elevated predominantly in IUGR males. Body fat
content was independent of prenatal growth but higher in females than in males.
In perirenal fat, relative to male lambs, females had larger adipocytes; higher
lipoprotein lipase, fatty acid synthase and leptin and lower IGF1, IGF2, IGF1R,
IGF2R and hormone-sensitive lipase mRNA expression levels, and all were
independent of prenatal growth category; peroxisome proliferator-activated
receptor gamma and glycerol-3-phosphate dehydrogenase (G3PDH) mRNA expression
were not affected by IUGR or gender. Adiposity indices were inversely related to
G3PDH mRNA expression, and for the population as a whole the expression of IGF
system genes in perirenal fat was negatively correlated with plasma leptin, fat
mass and adipocyte size, and positively correlated with circulating IGF1 levels.
Higher plasma lipid levels in IUGR males may predict later adverse metabolic
health and obesity, but in early postnatal life gender has the dominant influence
on adipose tissue gene expression, reflecting the already established sexual
dimorphism in body composition.
PMID- 24928205
TI - The checkpoint 1 kinase inhibitor LY2603618 induces cell cycle arrest, DNA damage
response and autophagy in cancer cells.
AB - Chemotherapy- or radiotherapy-induced DNA damage activates the Chk1-dependent DNA
damage response (DDR) and cell cycle checkpoints to facilitate cell survival.
Numerous attempts have been made to identify specific Chk1 inhibitors to enhance
the efficiency of chemotherapy or radiotherapy. In this study, we investigated
the molecular mechanisms underlying the antitumor activity of LY2603618, a potent
and selective small molecule inhibitor of Chk1 protein kinase, in human lung
cancer cells. Treatment of cancer cells with LY2603618 caused cell cycle arrest
in the G2/M phase. A marked induction of DDR, including the phosphorylation of
ATM, Chk2, p53 and histone H2AX, was observed after LY2603618 treatment.
LY2603618 inhibited Chk1 autophosphorylation (S296 Chk1) and increased DNA damage
mediated Chk1 phosphorylation (S345 Chk1). In addition, LY2603618-treated lung
cancer cells transitioned from LC3-I to LC3-II, a hallmark of autophagy. Blocking
autophagy with chloroquine (CQ) further enhanced LY2603618's inhibitory effect on
cell viability/proliferation. LY2603618 also significantly increased p38 and c
Jun N-terminal kinase (JNK) phosphorylation. Pretreatment with the JNK inhibitor
reduced cleavage of caspase-3 and PARP levels in LY2603618-treated cells. These
results suggest the following: (i) the biological consequences of LY2603618 in
lung cancer cells is associated with both inhibition of Chk1 phosphorylation on
S296 and activation of the DNA damage response network; and (ii) the anticancer
property of LY2603618 might be increased by inhibiting autophagy.
PMID- 24928207
TI - Molecular mechanisms involved in mammalian primary sex determination.
AB - Sex determination refers to the developmental decision that directs the
bipotential genital ridge to develop as a testis or an ovary. Genetic studies on
mice and humans have led to crucial advances in understanding the molecular
fundamentals of sex determination and the mutually antagonistic signaling
pathway. In this review, we summarize the current molecular mechanisms of sex
determination by focusing on the known critical sex determining genes and their
related signaling pathways in mammalian vertebrates from mice to humans. We also
discuss the underlying delicate balance between testis and ovary sex
determination pathways, concentrating on the antagonisms between major sex
determining genes.
PMID- 24928209
TI - NetBioV: an R package for visualizing large network data in biology and medicine.
AB - SUMMARY: NetBioV (Network Biology Visualization) is an R package that allows the
visualization of large network data in biology and medicine. The purpose of
NetBioV is to enable an organized and reproducible visualization of networks by
emphasizing or highlighting specific structural properties that are of biological
relevance. AVAILABILITY AND IMPLEMENTATION: NetBioV is freely available for
academic use. The package has been tested for R 2.14.2 under Linux, Windows and
Mac OS X. It is available from Bioconductor.
PMID- 24928208
TI - Neuropathic pain in osteoarthritis: a review of pathophysiological mechanisms and
implications for treatment.
AB - OBJECTIVES: Osteoarthritis (OA) is the leading cause of musculoskeletal pain and
functional disability worldwide, affecting a growing number of individuals in the
western society. Despite various conservative and interventional treatment
approaches, the overall management of the condition is problematic, and pain-the
major clinical problem of the disease-remains sub-optimally controlled. The
objectives of this review are to present the pathophysiologic mechanisms
underlying the complexity of pain in OA and to discuss the challenges for new
treatment strategies aiming to translate experimental findings into daily
clinical practice. METHODS: A narrative literature review of studies
investigating the existence of a neuropathic component in OA pain was conducted.
We searched PubMed, Embase and Scopus for English language publications. A hand
search of reference lists of relevant studies was also performed. RESULTS: Recent
advances have shed additional light on the pathophysiology of osteoarthritic
pain, highlighting the contribution of central pain pathways together with the
sensitisation of peripheral joint receptors and changes of the nociceptive
process induced by local joint inflammation and structural bone tissue changes.
Thus, a neuropathic pain component may be predominant in individuals with minor
joint changes but with high levels of pain refractory to analgesic treatment,
providing an alternative explanation for osteoarthritic pain perception.
CONCLUSION: A growing amount of evidence suggests that the pain in OA has a
neuropathic component in some patients. The deeper understanding of multiple
mechanisms of OA pain has led to the use of centrally acting medicines that may
have a benefit on alleviating osteoarthritic pain. The ineffective pain
management and the increasing rates of disability associated with OA mandate for
change in our treatment paradigm.
PMID- 24928210
TI - Detecting differential protein expression in large-scale population proteomics.
AB - MOTIVATION: Mass spectrometry (MS)-based high-throughput quantitative proteomics
shows great potential in large-scale clinical biomarker studies, identifying and
quantifying thousands of proteins in biological samples. However, there are
unique challenges in analyzing the quantitative proteomics data. One issue is
that the quantification of a given peptide is often missing in a subset of the
experiments, especially for less abundant peptides. Another issue is that
different MS experiments of the same study have significantly varying numbers of
peptides quantified, which can result in more missing peptide abundances in an
experiment that has a smaller total number of quantified peptides. To detect as
many biomarker proteins as possible, it is necessary to develop bioinformatics
methods that appropriately handle these challenges. RESULTS: We propose a
Significance Analysis for Large-scale Proteomics Studies (SALPS) that handles
missing peptide intensity values caused by the two mechanisms mentioned above.
Our model has a robust performance in both simulated data and proteomics data
from a large clinical study. Because varying patients' sample qualities and
deviating instrument performances are not avoidable for clinical studies
performed over the course of several years, we believe that our approach will be
useful to analyze large-scale clinical proteomics data. AVAILABILITY AND
IMPLEMENTATION: R codes for SALPS are available at
http://www.stanford.edu/%7eclairesr/software.html.
PMID- 24928211
TI - BioBlend.objects: metacomputing with Galaxy.
AB - SUMMARY: BioBlend.objects is a new component of the BioBlend package, adding an
object-oriented interface for the Galaxy REST-based application programming
interface. It improves support for metacomputing on Galaxy entities by providing
higher-level functionality and allowing users to more easily create programs to
explore, query and create Galaxy datasets and workflows. AVAILABILITY AND
IMPLEMENTATION: BioBlend.objects is available online at
https://github.com/afgane/bioblend. The new object-oriented API is implemented by
the galaxy/objects subpackage.
PMID- 24928212
TI - Aortic stiffness is an indicator of cognitive dysfunction before and after aortic
valve replacement for aortic stenosis.
AB - OBJECTIVES: Post-cardiac surgical cognitive dysfunction occurs more commonly
following valvular procedures. Cognitive function has been related to vascular
health status; however, the relation between pre-existent arterial stiffness and
perioperative cognitive dysfunction is yet to be defined. The objective of this
study was to assess whether aortic stiffness is related to cognitive dysfunction
in surgical aortic stenosis (AS) pre- and postoperatively. METHODS: Between June
2010 and August 2012, patients undergoing aortic valve replacement (AVR) for AS
were recruited for inclusion in this prospective observational study. Aortic
pulse wave velocity (PWV) was used as a measure of aortic stiffness and cognitive
function was assessed using the computerized Cambridge Neuropsychological Test
Automated Battery (CANTAB) preoperatively and (409 +/- 159 days) post-AVR.
RESULTS: Fifty-six patients (age 71 +/- 8.4 years) were recruited. Of the total,
50 (89%) completed postoperative follow-up. Pre- and postoperatively, patients
with normal PWV (PWV-norm) had significantly superior delayed memory, sustained
visual attention and executive function compared with those with high PWV (PWV
high). Immediate memory and decision-making were similar between groups.
Postoperatively, improvement in cognitive function was more marked in PWV-high
compared with PWV-norm patients. In two models of multiple regression analysis,
PWV as a continuous variable was independently related to all preoperative main
cognitive components as well as postoperative executive function. PWV as a
dichotomous variable was independently related to all pre- and postoperative main
cognitive function components. CONCLUSIONS: AVR may not be associated with an
independent or homogeneous effect on cognitive decline. Aortic PWV might be
useful as an additional indicator for cognitive dysfunction before and after
surgical intervention for AS.
PMID- 24928213
TI - Effects of specified performance criterion and performance feedback on staff
behavior: a component analysis.
AB - The present study isolated the effects of frequently used staff training
intervention components to increase communication between direct care staff and
clinicians working on an inpatient behavioral unit. Written "protocol review"
quizzes developed by clinicians were designed to assess knowledge about a
patient's behavioral protocols. Direct care staff completed these at the
beginning of each day and evening shift. Clinicians were required to score and
discuss these protocol reviews with direct care staff for at least 75% of shifts
over a 2-week period. During baseline, only 21% of clinicians met this
requirement. Completing and scoring of protocol reviews did not improve following
additional in-service training (M = 15%) or following an intervention aimed at
decreasing response effort combined with prompting (M = 28%). After implementing
an intervention involving specified performance criterion and performance
feedback, 86% of clinicians reached the established goal. Results of a component
analysis suggested that the presentation of both the specified performance
criterion and supporting contingencies was necessary to maintain acceptable
levels of performance.
PMID- 24928214
TI - Intermediate and C-terminal regions of leptospiral adhesin Lsa66 are responsible
for binding with plasminogen and extracellular matrix components.
AB - Leptospirosis, a worldwide zoonotic infection, is an important human and
veterinary health problem. We have previously identified a leptospiral
multipurpose adhesin, Lsa66, capable of binding extracellular matrix (ECM)
components and plasminogen (PLG). In this work, we report the cloning,
expression, purification and characterization of three fragments derived from the
full-length Lsa66: N-terminal, intermediate and C-terminal regions. We employed
Escherichia coli BL21-SI as expression cells. The recombinant fragments tagged
with N-terminal His6 were purified by metal-charged chromatography to major
protein bands that were recognized by anti-His-tag mAbs. The recombinant
fragments were evaluated for their capacity to attach to ECM components and to
PLG. The intermediate region bound to laminin, plasma fibronectin and PLG.
Laminin also bound to the C-terminal region. Antibodies in leptospirosis-positive
serum samples recognized Lsa66, being the immune epitopes located at the N
terminal and intermediate fragments. The data confirm that Lsa66 is expressed
during infection and that this protein might have a role in bacterial infection.
PMID- 24928215
TI - A Galleria mellonella infection model reveals double and triple antibiotic
combination therapies with enhanced efficacy versus a multidrug-resistant strain
of Pseudomonas aeruginosa.
AB - The aim of this study was to compare the inhibitory effect of antibiotic
combinations in vitro with efficacy in Galleria mellonella larvae in vivo to
identify efficacious combinations that target Pseudomonas aeruginosa. P.
aeruginosa NCTC 13437, a multidrug-resistant strain resistant to beta-lactams and
aminoglycosides, was used. Susceptibility to cefotaxime, piperacillin, meropenem,
amikacin, levofloxacin and colistin alone, or in dual or triple combinations, was
measured in vitro via a 24 h time-kill assay. In vitro results were then compared
with the efficacy of the same dual or triple antibiotic combinations versus G.
mellonella larvae infected with P. aeruginosa. G. mellonella haemolymph burden of
P. aeruginosa was determined over 96 h post-infection and treatment with the most
potent combination therapies. Many dual and triple combinations of antibiotics
displayed synergistic inhibition of multidrug-resistant P. aeruginosa in vitro.
There was little correlation between combinations that were synergistic in vitro
and those that showed enhanced efficacy in vivo versus infected G. mellonella
larvae. The most potent dual and triple combinations in vivo were cefotaxime plus
piperacillin, and meropenem plus piperacillin and amikacin, respectively. Fewer
combinations were found to offer enhanced therapeutic benefit in vivo compared
with in vitro. The therapeutic benefit arising from treatment with antibiotic
combinations in vivo correlated with reduced larval burden of P. aeruginosa. This
study has identified antibiotic combinations that merit further investigation for
their clinical potential and has demonstrated the utility of using G. mellonella
to screen for novel antibiotic treatments that demonstrate efficacy in vivo.
PMID- 24928216
TI - Epidemiology and microbiology of Shiga toxin-producing Escherichia coli other
than serogroup O157 in England, 2009-2013.
AB - The implementation of direct testing of clinical faecal specimens for
gastrointestinal (GI) pathogens by PCR offers a sensitive and comprehensive
approach for the detection of Shiga toxin-producing Escherichia coli (STEC). The
introduction of a commercial PCR assay, known as GI PCR, for the detection of GI
pathogens at three frontline hospital laboratories in England between December
2012 and December 2013 led to a significant increase in detection of STEC other
than serogroup O157 (non-O157 STEC). In 2013, 47 isolates were detected in
England, compared with 57 in the preceding 4 years (2009-2012). The most common
non-O157 STEC serogroup detected was O26 (23.2 %). A total of 47 (47.5 %) STEC
isolates had stx2 only, 28 (28.3 %) carried stx1 and stx2, and the remaining 24
(24.2 %) had stx1 only. Stx2a (64.0 %) was the most frequently detected Stx2
subtype. The eae (intimin) gene was detected in 52 (52.5 %) non-O157 STEC
isolates. Six strains of STEC O104 had aggR, but this gene was not detected in
any other STEC serogroups in this study. Haemolytic ureamic syndrome was
significantly associated with STEC strains possessing eae [odds ratio (OR) 5.845,
P = 0.0235] and/or stx2a (OR 9.56, P = 0.0034) subtypes. A matched case-control
analysis indicated an association between non-O157 STEC cases and contact with
farm animals. Widespread implementation of the PCR approach in England will
determine the true incidence of non-O157 STEC infection, highlight the burden in
terms of morbidity and mortality, and facilitate the examination of risk factors
to indicate whether there are niche risk exposures for particular strains.
PMID- 24928217
TI - SLIMMER: a randomised controlled trial of diabetes prevention in Dutch primary
health care: design and methods for process, effect, and economic evaluation.
AB - BACKGROUND: Implementation of interventions in real-life settings requires a
comprehensive evaluation approach. The aim of this article is to describe the
evaluation design of the SLIMMER diabetes prevention intervention in a Dutch real
life setting. METHODS/DESIGN: The SLIMMER study is a randomised, controlled
intervention study including subjects aged 40 through 70 years with impaired
fasting glucose or high risk of diabetes. The 10-month SLIMMER intervention
involves a dietary and physical activity intervention, including case management
and a maintenance programme. The control group receives usual health care and
written information about a healthy lifestyle. A logic model of change is
composed to link intervention activities with intervention outcomes in a logical
order. Primary outcome is fasting insulin. Measurements are performed at baseline
and after 12 and 18 months and cover quality of life, cardio-metabolic risk
factors (e.g. glucose tolerance, serum lipids, body fatness, and blood pressure),
eating and physical activity behaviour, and behavioural determinants. A process
evaluation gives insight in how the intervention was delivered and received by
participants and health care professionals. The economic evaluation consists of a
cost-effectiveness analysis and a cost-utility analysis. Costs are assessed from
both a societal and health care perspective. DISCUSSION: This study is expected
to provide insight in the effectiveness, including its cost-effectiveness, and
delivery of the SLIMMER diabetes prevention intervention conducted in Dutch
primary health care. Results of this study provide valuable information for
primary health care professionals, researchers, and policy makers. TRIAL
REGISTRATION: The SLIMMER study is registered with ClinicalTrials.gov
(NCT02094911) since March 19, 2014.
PMID- 24928218
TI - Rationale and design of a randomized controlled trial of varenicline directly
observed therapy delivered in methadone clinics.
AB - BACKGROUND: Tobacco cessation medication adherence is one of the few factors
shown to improve smoking cessation rates among methadone-maintained smokers, but
interventions to improve adherence to smoking cessation medications have not yet
been tested among methadone treatment patients. Methadone clinic-based, directly
observed therapy (DOT) programs for HIV and tuberculosis improve adherence and
clinical outcomes, but have not been evaluated for smoking cessation. We describe
a randomized controlled trial to evaluate whether a methadone clinic-based,
directly observed varenicline therapy program increases adherence and tobacco
abstinence among opioid-dependent drug users receiving methadone treatment.
METHODS/DESIGN: We plan to enroll 100 methadone-maintained smokers and randomize
them to directly observed varenicline dispensed with daily methadone doses or
treatment as usual (self-administered varenicline) for 12 weeks. Our outcome
measures are: 1) pill count adherence and 2) carbon monoxide-verified tobacco
abstinence. We will assess differences in adherence and abstinence between the
two treatment arms using repeated measures models. DISCUSSION: This trial will
allow for rigorous evaluation of the efficacy of methadone clinic-based, directly
observed varenicline for improving adherence and smoking cessation outcomes. This
detailed description of trial methodology can serve as a template for the
development of future DOT programs and can guide protocols for studies among
opioid-dependent smokers receiving methadone treatment. TRIAL REGISTRATION:
clinicaltrials.gov NCT01378858.
PMID- 24928219
TI - Ionic nature of burn-induced variation potential in wheat leaves.
AB - Variation potential (VP) in higher plants cells is a transitory depolarization of
the plasma membrane occurring in response to external damage. The effects of VP
on different physiological processes are actively studied, but little is known
about their ionic nature, which limits the interpretation of VP-induced
functional changes. It is thought that VP generation is based on transient
inactivation of plasma membrane proton pumps and is not connected to passive
ionic fluxes. To study burn-induced VP in wheat seedlings, we measured membrane
electric potential and cell input resistance. Cell input resistance decreased
during VP generation, indicating that ionic channels were activated. In addition,
VP amplitude decreased when the extracellular calcium concentration was lowered.
When anion channels were blocked by ethacrynic acid addition, the VP had poor
depolarization speed and amplitude. A decrease in the chlorine gradient by
extracellular chlorine concentration shift leads to lowering of the VP amplitude
and depolarization speed. This result indicates the role of chlorine efflux in
depolarization phase formation. The VP repolarization is connected to potassium
ion efflux, that is confirmed by repolarization suppression under addition of the
potassium channel blocker tetraethylammonium (TEA) and an increase in the
extracellular potassium concentration. We also showed that the addition of a
proton pump inhibitor leads to membrane potential depolarization and inhibition
of VP generation. These results suggest that the VP may be formed not only by
transient suppression of proton pumps but also by passive ionic fluxes through
the membrane.
PMID- 24928220
TI - Ectopic expression of the human MutT-type Nudix hydrolase, hMTH1, confers
enhanced tolerance to oxidative stress in arabidopsis.
AB - Oxidized nucleotides produced by oxidative stress cause DNA mutations and the
production of abnormal proteins. Thus, mammalian cells have developed multiple
MutT-type Nudix hydrolases that exhibit pyrophosphohydrolase activity toward
oxidized nucleotides in the cytosol, mitochondria and nucleus. On the other hand,
AtNUDX1 is the only MutT-type Nudix hydrolase in the cytosol of Arabidopsis
plants. To clarify the physiological significance of the defenses against
oxidatively induced DNA damage in plant organelles, we analyzed the effects of
the ectopic expression of the human MutT-type Nudix hydrolase, hMTH1, which was
localized in the cytosol (cyt-hMTH1), chloroplasts (chl-hMTH1) and mitochondria
(mit-hMTH1) of Arabidopsis cells, on tolerance to oxidative stress. Tolerance to
oxidative stress caused by heating and paraquat (PQ) treatment was higher in the
mit-hMTH1 and chl-hMTH1 plants than in the control and cyt-hMTH1 plants. The
accumulation of H2O2 and the frequency of dead cells were lower in the mit-hMTH1
and chl-hMTH1 plants under stressful conditions. The poly(ADP-ribosyl)ation (PAR)
reaction, which regulates repair systems for damaged DNA, was activated in the
mit-hMTH1 and chl-hMTH1 plants under heat stress and PQ treatment. Furthermore,
DNA fragmentation, which caused programmed cell death, was clearly suppressed in
the mit-hMTH1 and chl-hMTH1 plants under heat stress. These results demonstrated
that the ectopic expression of hMTH1 in the chloroplasts and mitochondria of
Arabidopsis enhanced oxidative stress tolerance by activating the PAR reaction
and suppressing programmed cell death.
PMID- 24928221
TI - A new mutation of the PCNT gene in a Colombian patient with microcephalic
osteodysplastic primordial dwarfism type II: a case report.
AB - INTRODUCTION: Microcephalic osteodysplastic primordial dwarfism is a syndrome
characterized by the presence of intrauterine growth restriction, post-natal
growth deficiency and microcephaly. Microcephalic osteodysplastic primordial
dwarfism type II is the most distinctive syndrome in this group of entities.
Individuals affected by this disease present at an adult height of less than 100
cm, a post-pubertal head circumference of 40 cm or less, mild mental retardation,
an outgoing personality and bone dysplasia. CASE PRESENTATION: We report the
first case of a five-year-old Colombian boy of mixed race ancestry (mestizo),
with clinical features of microcephaly, prominent and narrow nose, arched palate,
amelogenesis imperfecta, short stature, tall and narrow pelvis, disproportionate
shortening of fore-arms and legs, and mild coxa vara. Analysis of the PCNT gene
by sequencing showed the presence of a nucleotide change in exon 10, c. 1468C>T,
evidencing a new mutation not reported in the literature for microcephalic
osteodysplastic primordial dwarfism. CONCLUSION: The new mutation identified in
this case could be associated with the severity of the phenotypic expression of
the disease, resulting in the extreme short stature of the patient. Further
studies are required to reach an explanation that can justify such findings, and
it is vital to emphasize the importance of detection and follow-up by the
epidemiological surveillance groups in birth defects and rare diseases.
PMID- 24928222
TI - The effects of 3 weeks of rTMS treatment on P200 amplitude in patients with
depression.
AB - Previous studies have reported that repetitive transcranial magnetic stimulation
(rTMS) induces neuronal plasticity in the brain. Although event-related potential
(ERP) is an exploration tool, the rTMS effects on ERPs in patients with major
depression have not been fully explored. We demonstrated that rTMS treatment
induces changes in brain function in patients with medication-resistant major
depression using the ERP. Eighteen patients with medication-resistant major
depression (five males and 13 females) participated in this study. The patients
received rTMS treatment for 3 weeks. All patients completed clinical scales,
including the Hamilton Depression Rating Scale (HAM-D), Hamilton Anxiety Scale
(HAM-A), Beck Depression Inventory (BDI), State-Trait Anxiety Inventory (SAI,
TAI), Ruminative Response Scale, Emotion Regulation Questionnaire, and Cognitive
Emotion Regulation Questionnaire (CERQ), as well as the ERP auditory oddball
task, at their first visit (baseline) and at the 3-week visit (3-weeks). The HAM
D, HAM-A, BDI, SAI, and "blaming others" scale of the CERQ decreased
significantly after rTMS treatment. In ERP auditory oddball task, when FP1, FP2,
FZ, FCZ, CZ, and PZ channels were analyzed, P200 amplitudes showed a main effect
for time of measurement and increased after 3 weeks of rTMS treatment.
Standardized low-resolution brain electromagnetic tomography showed significant
activation in the left middle frontal gyrus by 3 weeks of rTMS treatment. The
results suggest that relatively longer rTMS treatment induces changes in brain
function in patients with medication-resistant major depression, which can be
identified using ERP.
PMID- 24928223
TI - Fast determination of MMN and P3a responses to linguistically and emotionally
relevant changes in pseudoword stimuli.
AB - We developed a new multi-feature mismatch negativity (MMN) paradigm with two
improvements: Firstly, the standard tone, a pseudoword /ta-ta/ was presented with
equal probability to the nine linguistically relevant deviants, reducing the
recording time by 45%. Secondly, three rare, emotionally valenced stimuli: happy,
angry, and sad utterances of the standard pseudoword were included in the
sequence. MMN signals reflecting the perceptual properties of the sounds were
observed for all stimuli. In addition, P3a signals were observed for the rare
emotionally uttered pseudowords. This 28-min paradigm allows a multi-dimensional
evaluation of central speech-sound representations (MMN), and attention
allocation (P3a) to emotional information content of speech. We recommend this
paradigm for studies on subject groups with impairments in language or emotional
information processing, such as autism spectrum disorders, attention disorders,
and alexithymia.
PMID- 24928224
TI - The effect of low frequency stimulation of the pedunculopontine tegmental nucleus
on basal ganglia in a rat model of Parkinson's disease.
AB - The pedunculopontine nucleus (PPN) has recently been introduced as an alternative
target to the subthalamic nucleus (STN) or globus pallidus internus (GPi) for the
treatment of advanced Parkinson's disease with severe and medically intractable
axial symptoms such as gait and postural impairment. However, it is little known
about how electrical stimulation of the PPN affects control of neuronal
activities between the PPN and basal ganglia. We examined how low frequency
stimulation of the pedunculopontine tegmental nucleus (PPTg) affects control of
neuronal activities between the PPN and basal ganglia in 6-OHDA lesioned rats. In
order to identify the effect of low frequency stimulation on the PPTg, neuronal
activity in both the STN and substantia nigra par reticulata (SNr) were recorded
and subjected to quantitative analysis, including analysis of firing rates and
firing patterns. In this study, we found that the firing rates of the STN and SNr
were suppressed during low frequency stimulation of the PPTg. However, the firing
pattern, in contrast to the firing rate, did not exhibit significant changes in
either the STN or SNr of 6-OHDA lesioned rats during low frequency stimulation of
the PPTg. In addition, we also found that the firing rate of STN and SNr neurons
displaying burst and random pattern were decreased by low frequency stimulation
of PPTg, while the neurons displaying regular pattern were not affected. These
results indicate that low frequency stimulation of the PPTg affects neuronal
activity in both the STN and SNr, and may represent electrophysiological efficacy
of low frequency PPN stimulation.
PMID- 24928225
TI - Neuroglobin increases brain fitness: Commentary to: "Neuroglobin overexpression
improves sensorimotor outcomes in a mouse model of traumatic brain injury".
PMID- 24928226
TI - Resting Energy Expenditure in Critically Ill Patients With Spontaneous
Intracranial Hemorrhage.
AB - BACKGROUND: Data on energy requirements of patients with spontaneous intracranial
hemorrhage (SICH) are scarce. The objective of this study was to determine the
resting energy expenditure (REE) in critically ill patients with SICH and to
compare it with the predicted basal metabolic rate (BMR). METHODS: In 30
nonseptic patients with SICH, the REE was measured during the 10 first
posthemorrhage days with the use of indirect calorimetry (IC). Predicted BMR was
also evaluated by the Harris-Benedict (HB) equation. Bland-Altman analysis was
used to evaluate the agreement between measured and predicted values. The
possible effect of confounding factors (demographics, disease, and severity of
illness score) on the evolution of continuous variables was also tested. RESULTS:
mean predicted BMR, calculated by the HB equation, was 1580.3 +/- 262 kcal/d,
while measured REE was 1878.9 +/- 478 kcal/d (117.5% BMR). Compared with BMR,
measured REE values showed a statistically significant increase at all studied
points (P < .005). Measured and predicted values showed a good correlation (r =
0.73, P < .001), but the test of agreement between the 2 methods with the Bland
Altman analysis showed a mean bias (294.6 +/- 265.6 kcal/d) and limits of
agreement (-226 to 815.29 kcal/d) that were beyond the clinically acceptable
range. REE values presented a trend toward increase over time (P = .077),
reaching significance (P < .005) after the seventh day. Significant correlation
was found between REE and temperature (P = .002, r = 0.63), as well as between
REE and cortisol level (P = .017, r = 0.62) on the 10th day. No correlation was
identified between REE and depth of sedation, as well as Acute Physiology and
Chronic Health Evaluation II, Glasgow Coma Scale, and Hunt and Hess scores.
CONCLUSIONS: During the early posthemorrhagic stage, energy requirements of
critically ill patients with SICH are increased, presenting a trend toward
increase over time. Compared with IC, the HB equation underestimates energy
requirements and is inefficient in detecting individual variability of REE in
this group of patients.
PMID- 24928227
TI - Antioxidant capacity of Ugni molinae fruit extract on human erythrocytes: an in
vitro study.
AB - Ugni molinae is an important source of molecules with strong antioxidant activity
widely used as a medicinal plant in Southern Chile-Argentina. Total phenol
concentration from its fruit extract was 10.64 +/- 0.04 mM gallic acid
equivalents. Analysis by means of HPLC/MS indicated the presence of the
anthocyanins cyanidin and peonidin, and the flavonol quercitin, all in
glycosylated forms. Its antioxidant properties were assessed in human
erythrocytes in vitro exposed to HClO oxidative stress. Scanning electron
microscopy showed that HClO induced an alteration in erythrocytes from a normal
shape to echinocytes; however, this change was highly attenuated in samples
containing U. molinae extracts. It also had a tendency in order to reduce the
hemolytic effect of HClO. In addition, X-ray diffraction experiments were
performed in dimyristoylphosphatidylcholine (DMPC) and
dimyristoylphosphatidylethanolamine bilayers, classes of lipids preferentially
located in the outer and inner monolayers, respectively, of the human erythrocyte
membrane. It was observed that U. molinae only interacted with DMPC. Results by
fluorescence spectroscopy on DMPC large unilamellar vesicles and isolated
unsealed human erythrocyte membranes also showed that it interacted with the
erythrocyte membrane and DMPC. It is possible that the location of U. molinae
components into the membrane outer monolayer might hinder the diffusion of HClO
and of free radicals into cell membranes and the consequent decrease of the
kinetics of free radical reactions.
PMID- 24928229
TI - An initial experience with 85 consecutive robotic-assisted rectal dissections:
improved operating times and lower costs with experience.
AB - BACKGROUND: Data are limited about the robotic platform in rectal dissections,
and its use may be perceived as prohibitively expensive or difficult to learn. We
report our experience with the initial robotic-assisted rectal dissections
performed by a single surgeon, assessing learning curve and cost. METHODS:
Following IRB approval, a retrospective chart review was conducted of the first
85 robotic-assisted rectal dissections performed by a single surgeon between
9/1/2010 and 12/31/2012. Patient demographic, clinicopathologic, procedure, and
outcome data were gathered. Cost data were obtained from the University
HealthSystem Consortium (UHC) database. The first 43 cases (Time 1) were compared
to the next 42 cases (Time 2) using multivariate linear and logistic regression
models. RESULTS: Indications for surgery were cancer for 51 patients (60 %),
inflammatory bowel disease for 18 (21 %), and rectal prolapse for 16 (19 %). The
most common procedures were low anterior resection (n = 25, 29 %) and
abdominoperineal resection (n = 21, 25 %). The patient body mass index (BMI) was
statistically different between the two patient groups (Time 1, 26.1 kg/m(2) vs.
Time 2, 29.4 kg/m(2), p = 0.02). Complication and conversion rates did not differ
between the groups. Mean operating time was significantly shorter for Time 2 (267
min vs. 224 min, p = 0.049) and remained significant in multivariate analysis.
Though not reaching statistical significance, the mean observed direct hospital
cost decreased ($17,349 for Time 1 vs. $13,680 for Time 2, p = 0.2). The
observed/expected cost ratio significantly decreased (1.47 for Time 1 vs. 1.05
for Time 2, p = 0.007) but did not remain statistically significant in
multivariate analyses. CONCLUSIONS: Over the series, we demonstrated a
significant improvement in operating times. Though not statistically significant,
direct hospital costs trended down over time. Studies of larger patient groups
are needed to confirm these findings and to correlate them with procedure volume
to better define the learning curve process.
PMID- 24928228
TI - Upregulation of excitatory amino acid transporters by coexpression of Janus
kinase 3.
AB - Janus kinase 3 (JAK3) contributes to cytokine receptor signaling, confers cell
survival and stimulates cell proliferation. The gain of function mutation
JAK3(A572V) is found in acute megakaryoplastic leukemia. Replacement of ATP
coordinating lysine by alanine yields inactive JAK3(K855A). Most recent
observations revealed the capacity of JAK3 to regulate ion transport. This study
thus explored whether JAK3 regulates glutamate transporters EAAT1-4, carriers
accomplishing transport of glutamate and aspartate in a variety of cells
including intestinal cells, renal cells, glial cells, and neurons. To this end,
EAAT1, 2, 3, or 4 were expressed in Xenopus oocytes with or without additional
expression of mouse wild-type JAK3, constitutively active JAK3(A568V) or inactive
JAK3(K851A), and electrogenic glutamate transport was determined by dual
electrode voltage clamp. Moreover, Ussing chamber was employed to determine
electrogenic glutamate transport in intestine from mice lacking functional JAK3
(jak3(-/-)) and from corresponding wild-type mice (jak3(+/+)). As a result, in
EAAT1, 2, 3, or 4 expressing oocytes, but not in oocytes injected with water,
addition of glutamate to extracellular bath generated an inward current (Ig),
which was significantly increased following coexpression of JAK3. Ig in oocytes
expressing EAAT3 was further increased by JAK3(A568V) but not by JAK3(K851A). Ig
in EAAT3 + JAK3 expressing oocytes was significantly decreased by JAK3 inhibitor
WHI-P154 (22 uM). Kinetic analysis revealed that JAK3 increased maximal Ig and
significantly reduced the glutamate concentration required for half maximal Ig
(Km). Intestinal electrogenic glutamate transport was significantly lower in
jak3(-/-) than in jak3(+/+) mice. In conclusion, JAK3 is a powerful regulator of
excitatory amino acid transporter isoforms.
PMID- 24928230
TI - Endoscopic papillectomy, single-centre experience.
AB - BACKGROUND: Endoscopic removal of benign tumours of papilla is increasing. Our
aim was to evaluate the outcome of endoscopic resection of papillary tumours.
METHODS: In the years 2000-2012, 61 papillectomies were performed in Helsinki
University Central Hospital. The cases were analysed retrospectively. RESULTS:
There were 35 patients with benign tumour of papilla without familial adenomatous
polyposis (FAP), 16 patients with FAP and 10 patients with ampullary cancer.
Jaundice and bile duct dilation were risk factors for malignancy (p < 0.001). In
benign tumours, the recurrence rate was 25.5 %. In 5/51 benign tumour cases (9.8
%), a pancreaticoduodenectomy was performed. The remaining cases were treated
endoscopically. Neither tumour size, resection in one piece or piecemeal
technique, nor coagulation of resection margins had an effect on the development
of residual tumour. The total complication rate was 24.6 %. Pancreatitis
developed in six patients (9.8 %, 3 mild and 3 moderate). In benign tumour cases,
pancreatic stent decreased pancreatitis rate (p = 0.045). In cases where only a
pancreatic sphincterotomy was performed, the risk of pancreatitis was high 4/7
(57 %). Bleeding was the most common complication (18 %). Only one patient was
operated due to complication, a post-papillectomy bleeding. In six out of seven
non-operated cancer patients, the disease progressed. CONCLUSION: Endoscopic
papillectomy is an effective procedure for treating benign papillary tumours.
Jaundice and bile duct dilation are more common in malignant tumours. Pancreatic
stent decreases the risk of post-papillectomy pancreatitis. Pancreatic
sphincterotomy without stenting carries a high risk of pancreatitis. For
papillary cancer, surgery is recommended.
PMID- 24928231
TI - Laparoscopy-assisted versus open colectomy for treatment of colon cancer in the
elderly: morbidity and mortality outcomes in 545 patients.
AB - BACKGROUND: Advanced age is a risk factor of major abdominal surgery due to
diminished functional reserve and increased comorbidity. Laparoscopy-assisted
colectomy is a well-established procedure in colon cancer surgery. The aim of
this study was to compare early outcome of elective laparoscopy surgery and open
colectomy in colon cancer patients according to age. METHODS: A total of 545
patients with colonic adenocarcinoma underwent elective surgery between 2005 and
2009. There were 277 patients in the laparoscopic group and 268 in the open.
Patient characteristics in both groups were homogeneous and further stratified
into three subgroups by age: <75, between 75-84, and >= 85 years. Main outcome
measures were early morbidity, mortality, and hospital stay. RESULTS: Open
surgery group showed a higher overall morbidity rate (37.3 vs. 21.6%, P = 0.001),
medical complications (16.4 vs. 10.5%, P = 0.033), surgical complications (23.5
vs. 15.5%, P = 0.034), and mortality (6.7 vs. 3.2%, P = 0.034). The overall
morbidity rate difference between open and laparoscopy approach disappeared in
the oldest group (>= 85 years old). Surgical site infections rate was inferior
for patients <75 years old in laparoscopy group compared with open. Mortality was
also significantly inferior in laparoscopy group in younger patients (<75 years,
0 vs. 3%, P = 0.038). Mean hospital stay was shorter for patients in <75 and 75
84 groups with laparoscopic approach (7.8 vs. 11.4 days and 10 vs. 14.3,
respectively, P = 0.001) as compared with those who underwent open surgery, but
these differences disappeared in patients aged >= 85 years. CONCLUSION:
Laparoscopy-assisted colectomy in patients underwent elective surgical resections
for colon cancer showed advantages in rate of early complications in patients
younger than 85 years of age and was found to be as safe and well tolerated as
open surgery in patients over 85 years of age.
PMID- 24928232
TI - Comparative assessment of surgeons' task performance and surgical ergonomics
associated with conventional and modified flank positions: a simulation study.
AB - BACKGROUND: Flank position is extensively used in retroperitoneoscopic urological
practice. Most surgeons follow the patients' position in open approaches.
However, surgical ergonomics of the conventional position in the
retroperitoneoscopic surgery is poor. We introduce a modified position and
evaluated task performance and surgical ergonomics of both positions with
simulated surgical tasks. METHODS: Twenty-one novice surgeons were recruited to
perform four tasks: bead transfer, ring transfer, continuous suturing, and
cutting a circle. The conventional position was simulated by setting an endo
surgical simulator parallel to the long axis of a surgical desk. The modified
position was simulated by rotating the simulator 30 degrees with respect to the
long axis of the desk. The outcome measurements include task performance
measures, kinematic measures for body alignment, surface electromyography,
relative loading between feet, and subjective ratings of fatigue. RESULTS: We
observed significant improvements in both task performance and surgical
ergonomics parameters under the modified position. For all four tasks, subjects
finished tasks faster with higher accuracy (p < 0.005 or < 0.001). For ergonomics
part: (1) The angle between the upper body and the head was decreased by 7.4 +/-
1.7 degrees ; (2) The EMG amplitude collected from shoulders and left lumber was
significantly lower (p < 0.05); (3) Relative loading between feet was more
balanced (p < 0.001); (4) Manual-action muscles and postural muscles are rated
less fatiguing according to the questionnaire (p < 0.05). CONCLUSIONS:
Conventional position of patient in retroperitoneoscopic upper urinary tract
surgery is associated with poor surgical ergonomics. With a simulated surgery, we
demonstrated that our modified position could significantly improve task
performance and surgical ergonomics. Further studies are still warranted to
validate these benefits for both patients and surgeons.
PMID- 24928233
TI - Ergonomic analysis of robot-assisted and traditional laparoscopic procedures.
AB - INTRODUCTION: Many laparoscopic surgeons report musculoskeletal symptoms that are
thought to be related to the ergonomic stress of performing laparoscopy. Robotic
surgical systems may address many of these limitations. To date, however, there
have been no studies exploring the quantitative ergonomics of robotic surgery. In
this study, we sought to compare the activation of bilateral biceps, triceps,
deltoid, and trapezius muscle groups during traditional laparoscopic surgery
(TLS) and robot-assisted laparoscopic surgery (RALS) procedures, as quantified by
surface electromyography (sEMG). METHODS: One surgeon with expertise in TLS and
RALS performed 18 operative procedures (13 TLS, 5 RALS) while sEMG measurements
were obtained from bilateral biceps, triceps, deltoid, and trapezius muscles.
sEMG measurements were normalized to the maximum voluntary contraction of each
muscle (%MVC). We compared mean %MVC values for each muscle group during TLS and
RALS with unpaired t-tests and considered differences with a p value <0.05 to be
statistically significant. RESULTS: Muscle activation was higher during TLS
compared to RALS in bilateral biceps (L Biceps RALS:1.01%MVC, L Biceps TLS:3.14,
p = 0.01; R Biceps RALS:1.81%MVC, R Biceps TLS:4.53, p = 0.0002). Muscle
activation was higher during TLS compared to RALS in bilateral triceps (L Triceps
RALS:1.73%MVC, L Triceps TLS:3.58, p = 0.04; R Triceps RALS:1.59%MVC, R Triceps
TLS:5.11, p = 0.02). Muscle activation was higher during TLS compared to RALS in
bilateral deltoids (L Deltoid RALS:1.50%MVC, L Deltoid TLS:3.68, p = 0.03; R
Deltoid RALS:1.19%MVC, R Deltoid TLS:2.57, p = 0.01). Significant differences
were not detected in the bilateral trapezius muscles (L Trapezius RALS:1.50 %MVC,
L Trapezius TLS:3.68, p = 0.03; R Trapezius RALS:1.19%MVC, R Trapezius TLS:2.57,
p = 0.01). DISCUSSION: We have quantitatively examined the ergonomics of TLS and
RALS and shown that in a single surgeon, TLS procedures are associated with
significantly elevated biceps, triceps, and deltoid activation bilaterally when
compared to RALS procedures.
PMID- 24928234
TI - How do risk factors for mortality and overall complication rates following
laparoscopic and open colectomy differ between inpatient and post-discharge
phases of care? A retrospective cohort study from NSQIP.
AB - BACKGROUND: Risk factors for complications differ between laparoscopic (LC) and
open colectomy (OC) patients, given the selection bias between these groups. How
risk factors for these outcomes differ between inpatient and post-discharge
phases of care requires further study. METHODS: A retrospective cohort study
(2005-2010) using NSQIP data was performed comparing OC and LC patients.
Multivariable logistic regression was used to compare covariates associated with
mortality and overall complication rates both before and after hospital
discharge. RESULTS: Patients in the LC cohort were younger (64.2 vs. 62.5 years;
P < 0.0001) with a lower incidence of comorbidities. OC was associated with a
higher incidence of mortality compared to LC among inpatients (3.3 vs. 0.61%, P <
0.0001) and following discharge (0.88 vs. 0.29%, P < 0.0001). OC also
demonstrated a higher incidence of overall complication rates for both inpatients
(22.32 vs. 9.36%, P < 0.0001) and following discharge (8.83 vs. 7.24%, P <
0.0001). Risk factors (P < 0.05) for mortality following LC included age and
emergency procedures for inpatients; pre-operative SIRS was associated with
mortality occurring after discharge. For the OC cohort, risk for mortality was
increased with smoking and contaminated/dirty wounds for inpatients; pre
operative weight loss was associated with death following discharge. Factors
associated with increased risk of morbidity following LC included smoking history
for inpatients and pre-operative steroid therapy following discharge. Following
OC, morbidity was strongly associated with ASA scores for inpatients; pre
operative steroid therapy was a risk factor following discharge. Obesity was
strongly associated with non-mortal complications in both cohorts following
discharge. CONCLUSIONS: (1) LC is associated with a lower incidence of post
operative mortality and complications. (2) Risk factors associated with adverse
post-operative outcomes change during the post-operative period; surveillance for
these outcomes should be tailored by operative technique and phase of post
operative care (3) Obesity is an underappreciated risk for complications
following discharge for both LC and OC.
PMID- 24928235
TI - Accuracy of preoperative automatic measurement of the liver volume by CT-scan
combined to a 3D virtual surgical planning software (3DVSP).
AB - BACKGROUND: Liver volumetry is a critical component of safe hepatic surgery, in
order to minimize the risk of postoperative liver failure. Liver volumes can be
calculated routinely using the time-consuming gold standard method of manual
volumetry. The current work sought to evaluate an alternative automatic technique
based on a novel 3D virtual planning software, and to compare it to the manual
technique. METHODS: A prospective study of patients undergoing liver resection
was conducted. Every patient had a pre and 2-day postoperative CT-scan. For each
patient, total, remnant and resected volumes were calculated manually and
automatically. Planes of resection were verified by a hepatobiliary surgeon and
compared with postoperative volumes. Paired t-tests and correlation coefficients
were calculated. RESULTS: A major hepatectomy was carried out in 36/43 patients.
The automatic TLV (1,759 mL) and the manual TLV (1,832 mL) were significantly
different (p < 0.001), but extremely highly correlated (r = 0.989). The
percentages of preoperative RLV (manual 58.5%, automatic 58.9%) were similar,
with an excellent correlation of 0.917. The preoperative RLV were matched with
the 2-day postoperative RLV showing a significant difference (p = 0.0301). The
resected volumes using both techniques (871 and 832 mL) were compared with the
resected specimen volume (670 mL), showing a significant difference (p < 0.001)
but a high degree of correlation (r = 0.874). CONCLUSION: The 3D virtual surgical
planning software is accurate and reliable in determining the total liver and
future remnant liver volumes. This technique demonstrates a good correlation with
the manual technique. Future work will be required to confirm these findings and
to evaluate the clinical value of the three-dimensional planning platform.
PMID- 24928236
TI - Sounding the death knell for microbes?
AB - Over the past 5 years, several studies showed that ultrasound, which is sound
with a frequency>20 kHz, is able to kill bacteria by activating molecules termed
sonosensitizers (SS) to produce reactive oxygen species, which are toxic to
microbes. It is our opinion that this work opens up the potential for the
development of a novel form of ultrasound-mediated antimicrobial therapy. Termed
sonodynamic antimicrobial chemotherapy (SACT), we define this therapy as a regime
where a SS is selectively delivered to target microbial cells and activated by
ultrasound to induce the death of those microbial cells. Here, we review recent
work on SACT, current understanding of its mechanisms, and future prospects for
SACT as a therapeutically viable antimicrobial regime.
PMID- 24928237
TI - A novel scale predicting postoperative delirium (POD) in patients undergoing
cerebrovascular surgery.
AB - PURPOSE: The purpose of this study was to develop and test a scale for predicting
POD in patients undergoing cerebrovascular surgery. METHODS: The predictive scale
for POD was composed of 32 items reflecting the strongest risk factors as
determined by a literature review. The NEECHAM Confusion Scale determined POD
onset and severity. RESULTS: Delirium developed in 38 (31.1%) of the 122 patients
in our sample. Logistic regression revealed the following risk factors:
dehydration, age, disturbance of consciousness, underlying illness, and anxiety
or depression. The final scale was weighted by referring to odds ratios. The area
under the curve was 0.844 (95% CI=0.766-0.921). The possible total score on this
scale was 20 points. A cutoff score of 11 was set for risk of POD (patients
scoring over 12 were considered at higher risk). The median score was 8 (range: 4
9) in the non-delirium group and 13 (range: 9-16) in the delirium group (U=499.0;
df=120; p<0.001). Scale scores were moderately correlated with delirium duration
(rho=0.532; p<0.001). CONCLUSION: The present scale is a promising a tool for
predicting POD but needs to be studied further.
PMID- 24928238
TI - Inhibition of c-Jun N-terminal kinase ameliorates early brain injury after
subarachnoid hemorrhage through inhibition of a Nur77 dependent apoptosis
pathway.
AB - Nur77 is a potent pro-apoptotic member of the orphan nuclear receptor
superfamily. Our previous study revealed Nur77-mediated apoptotic also involved
in early brain injury (EBI) after experimental subarachnoid hemorrhage (SAH).
Previous researches show that c-Jun N-terminal kinase (JNK) positively regulates
Nur77 nuclear export and apoptosis by phosphorylating Nur77. To determine whether
activation of JNK is directly associated with Nur77 dependent apoptosis pathway.
We hypothesized that SP600125, a chemical inhibitor of JNK, may effectively
ameliorate EBI by inhibiting Nur77 phosphorylation and its transcriptional
activity. Hence, in this study was designed to explore the neuroprotective
effects of SP600125 in EBI after SAH. Adult male SD rats were randomly assigned
to four groups: control; SAH + DMSO; SAH + SP10 and SAH + SP30, a dose of 10 and
30 mg/kg SP600125 was directly administered intraperitoneally 30 min before and 2
h after SAH induction. SP600125 markedly decreased expressions of p-JNK, p-Nur77,
Bcl-2, cyto C, caspase-3 and inhibited apoptosis. Improvement of neurological
deficit, alleviation of brain edema and amelioration of EBI were obtained after
treatment of SP600125. Transferase-mediated dUTP nick end labeling-positive cells
were reduced markedly in brain cortex by SP600125. Our studies indicate JNK plays
important roles in Nur77 activation. These findings strongly support the
hypothesis that SP600125 treatment can ameliorate EBI after experimentally
induced SAH by inhibiting a Nur77-dependent apoptotic pathway.
PMID- 24928239
TI - Off-line hyphenation of boronate affinity monolith-based extraction with matrix
assisted laser desorption/ionization time-of-flight mass spectrometry for
efficient analysis of glycoproteins/glycopeptides.
AB - Boronate affinity materials have attracted increasing attentions as sample
enrichment platforms for glycoproteomic analysis in recent years. However, most
of the boronate affinity materials that have already employed for proteomic
analysis are suffering from apparent disadvantages, such as alkaline pH for
binding, weak affinity, and relatively poor selectivity. Benzoboroxoles are a
unique class of boronic acids which have showed excellent binding properties for
the recognition of cis-diol-containing compounds. Recently, a 3-carboxy
benzoboroxole-functionalized monolithic column had been reported and it had
exhibited the best selectivity and affinity as well as the lowest binding pH
among all reported boronate affinity monolithic columns. In this study, an off
line hyphenation of this boronate affinity monolithic column-based extraction
with matrix-assisted laser desorption/ionization time-of-flight mass spectrometry
(MALDI-TOF MS) was developed and the powerfulness of this hyphenated approach in
the analysis of glycoproteins and glycopeptides in complex samples was
investigated. The approach was first applied to the analysis of glycopeptides in
the tryptic digest of horseradish peroxidase (HRP). Totally 22 glycopeptides were
identified. To the best of our knowledge, this is the best performance among all
the boronic acid-functionalized materials. We further employed this approach to
the analysis of intact proteins in human saliva. Totally 6 intact glycoproteins
were successfully identified. As comparison, when the samples were analyzed
without extraction, only a few glycopeptides were identified from the tryptic
digest of HRP while no glycoproteins were found from the saliva samples.
PMID- 24928240
TI - Electrochemistry/mass spectrometry as a tool in metabolism studies-a review.
AB - The combination of electrochemistry (EC) and mass spectrometry (MS) has become a
more and more frequently used approach in metabolism studies in the last decade.
This review provides insight into the importance of metabolism studies during the
drug development process and gives a short overview about the conventionally used
methods since electrochemistry is often intended to substitute or minimize animal
based studies. The optimization of the electrochemical conditions is of great
importance for a successful comparison with in vitro approaches. The type of
metabolism reactions, which can be simulated by EC, has been extended with new
cell types and working electrodes. Although the mechanism differs from the enzyme
catalyzed turnover, electrochemistry can be used to simulate a significant number
of the respective reactions. An expanded set-up consisting of EC, a
chromatographic separation and MS allows to distinguish between an electrospray
ionization (ESI) in-source and an electrochemical oxidation and provides
information on the polarity of the electrogenerated compounds. A main advantage
of EC for metabolite generation is the possibility to isolate reactive species
because of the purely instrumental approach. Especially when a preparative
electrochemical cell with a larger working electrode surface is used, metabolites
can be generated in sufficient quantities for their subsequent structure
elucidation. Besides, the compounds can also be used for selective trapping
experiments with different cell components such as small peptides, proteins or
DNA bases. Current and possible future developments and applications of EC are
presented and discussed as well.
PMID- 24928241
TI - Spherical silica particles decorated with graphene oxide nanosheets as a new
sorbent in inorganic trace analysis.
AB - Graphene oxide (GO) is a novel material with excellent adsorptive properties.
However, the very small particles of GO can cause serious problems is solid-phase
extraction (SPE) such as the high pressure in SPE system and the adsorbent loss
through pores of frit. These problems can be overcome by covalently binding GO
nanosheets to a support. In this paper, GO was covalently bonded to spherical
silica by coupling the amino groups of spherical aminosilica and the carboxyl
groups of GO (GO@SiO2). The successful immobilization of GO nanosheets on the
aminosilica was confirmed by scanning electron microscopy and X-ray photoelectron
spectroscopy. The spherical particle covered by GO with crumpled silk wave-like
carbon sheets are an ideal sorbent for SPE of metal ions. The wrinkled structure
of the coating results in large surface area and a high extractive capacity. The
adsorption bath experiment shows that Cu(II) and Pb(II) can be quantitatively
adsorbed at pH 5.5 with maximum adsorption capacity of 6.0 and 13.6 mg g(-1),
respectively. Such features of GO nanosheets as softness and flexibility allow
achieving excellent contact with analyzed solution in flow-rate conditions. In
consequence, the metal ions can be quantitatively preconcentrated from high
volume of aqueous samples with excellent flow-rate. SPE column is very stable and
several adsorption-elution cycles can be performed without any loss of adsorptive
properties. The GO@SiO2 was used for analysis of various water samples by flame
atomic absorption spectrometry with excellent enrichment factors (200-250) and
detection limits (0.084 and 0.27 ng mL(-1) for Cu(II) and Pb(II), respectively).
PMID- 24928242
TI - Sensitive and selective cocaine electrochemical detection using disposable
sensors.
AB - This paper describes the voltammetric determination of cocaine in presence of
three different interferences that could be found in street samples using
disposable sensors. The electrochemical analysis of this alkaloid can be affected
by the presence of codeine, paracetamol or caffeine, whose oxidation peaks may
overlap and lead to false positives. This work describes two different solutions
to this problem. On one hand, the modification of disposable carbon sensors with
carbon nanotubes allows the voltammetric quantification of cocaine by using
ordinary least squares regressions in the concentration range from 10 to 155
MUmol L(-1), with a reproducibility of 5.6% (RSD, n = 7. On the other hand,
partial least squares regressions are used for the resolution of the overlapped
voltammetric signals when using screen-printed carbon electrodes without any
modification. Both procedures have been successfully applied to the evaluation of
the purity of cocaine street samples.
PMID- 24928243
TI - Voltammetric detection of ovalbumin using a peptide labeled with an electroactive
compound.
AB - For this study, a new method was developed to electrochemically detect ovalbumin
via its binding with the peptide-1(RNRCKGTDVQAW) in lysozymes. The peptide that
exists at the C-terminal of a lysozyme was combined with ovalbumin. When an
electroactive compound was introduced to the N-terminal side of the peptide
through ethylene gycolbis(sulfosuccinimidyl succinate), the labeled peptide-1
served as a probe for the detection of ovalbumin. The electrode responses of
labeled peptide-1 were measured after the labeled peptide-1 and ovalbumin were
incubated in a 0.1 M phosphate buffer (pH 5.6). As a result, the electrode
response decreased as the concentration of ovalbumin increased. The detection
limit of ovalbumin was 2.3 * 10(-11) M as estimated at 3-fold the standard
deviation (3sigma) (n = 5). Because the steric structure of the peptide and some
of the amino acid residues were related to the binding, we prepared a peptide-2,
to which the N- and C-terminals of peptide-1 were alternated. The decrease in the
response for the labeled peptide-2 was less than that for the labeled peptide-1.
In addition, the peak current of a peptide-3, for which the D of peptide-1 was
replaced with S, was hardly changed with or without ovalbumin. Therefore, it was
clear that the binding was influenced by the steric factors and by the sequence
of the peptide. However, a peptide-1 with bis(sulfosuccinimidyl) suberate was
designed to investigate the hydrophobic influences on the probe. The change in
the peak current was smaller than that of peptide-1 with ethylene
gycolbis(sulfosuccinimidyl succinate), which was due to the hydrophobic
properties of the alkyl chain between the peptide and the ovalbumin. The proposed
method could be applied to the determination of ovalbumin in egg whites.
Consequently, the concept becomes an electrochemical sensing method for proteins
based on the protein-peptide interaction.
PMID- 24928244
TI - A pseudo triple-enzyme electrochemical aptasensor based on the amplification of
Pt-Pd nanowires and hemin/G-quadruplex.
AB - Our present work aimed at developing a pseudo triple-enzyme cascade
electrocatalytic electrochemical aptasensor for determination of thrombin with
the amplification of alcohol dehydrogenase (ADH)-Pt-Pd nanowires bionanocomposite
and hemin/G-quadruplex structure that simultaneously acted as NADH oxidase and
HRP-mimicking DNAzyme. With the addition of ethanol to the electrolyte, the ADH
immobilized on the Pt-Pd nanowires catalyzed ethanol to acetaldehyde accompanied
by NAD(+) being converted to NADH. Then the hemin/G-quadruplex firstly served as
NADH oxidase, converting the produced NADH to NAD(+) with the concomitant local
formation of high concentration of H2O2. Subsequently, the hemin/G-quadruplex
acted as HRP-mimicking DNAzyme, bioelectrocatalyzing the produced H2O2. At the
same time, the Pt-Pd nanowires employed in our strategy not only provided a large
surface area for immobilizing thrombin binding aptamer (TBA) and ADH, but also
served as HRP-mimicking DNAzyme which rapidly bioelectrocatalyzed the reduction
of the produced H2O2. Thus, such a pseudo triple-enzyme cascade electrochemical
aptasensor could greatly promote the electron transfer of hemin and resulted in
the dramatic enhancement of electrochemical signal. As a result, a wide dynamic
concentration linear range from 0.2 pM to 20 nM with a low detection limit of
0.067 pM for thrombin (TB) determination was obtained. The excellent performance
indicated that our strategy was a promising way for ultrasensitive assays in
electrochemical aptasensors.
PMID- 24928245
TI - A luminescence-based probe for sensitive detection of hydrogen peroxide in
seconds.
AB - Here, we present a fast and simple hydrogen peroxide assay that is based on time
resolved fluorescence. The emission intensity of a complex consisting of terbium
ions (Tb(3+)) and phthalic acid (PA) in HEPES buffer is quenched in the presence
of H2O2 and this quenching is concentration-dependent. The novel PATb assay
detects hydrogen peroxide at a pH range from 7.5 to 8.5 and with a detection
limit of 150 nmol L(-1) at pH 8.5. The total assay time is less than 1 min. The
linear range of the assay can be adapted by a pH adjustment of the aqueous buffer
and covers a concentration range from 310 nmol L(-1) to 2.56 mmol L(-1) in total
which encompasses four orders of magnitude. The assay is compatible with high
concentrations of all 47 tested inorganic and organic compounds. The PATb assay
was applied to quantify H2O2 in polluted river water samples. In conclusion, this
fast and easy-to-use assay detects H2O2 with high sensitivity and precision.
PMID- 24928246
TI - New procedure of selected biogenic amines determination in wine samples by HPLC.
AB - A new procedure for determination of biogenic amines (BA): histamine,
phenethylamine, tyramine and tryptamine, based on the derivatization reaction
with 2-chloro-1,3-dinitro-5-(trifluoromethyl)-benzene (CNBF), is proposed. The
amines derivatives with CNBF were isolated and characterized by X-ray
crystallography and (1)H, (13)C, (19)F NMR spectroscopy in solution. The novelty
of the procedure is based on the pure and well-characterized products of the
amines derivatization reaction. The method was applied for the simultaneous
analysis of the above mentioned biogenic amines in wine samples by the reversed
phase-high performance liquid chromatography. The procedure revealed correlation
coefficients (R(2)) between 0.9997 and 0.9999, and linear range: 0.10-9.00 mg L(
1) (histamine); 0.10-9.36 mg L(-1) (tyramine); 0.09-8.64 mg L(-1) (tryptamine)
and 0.10-8.64 mg L(-1) (phenethylamine), whereas accuracy was 97%-102% (recovery
test). Detection limit of biogenic amines in wine samples was 0.02-0.03 mg L(-1),
whereas quantification limit ranged 0.05-0.10 mg L(-1). The variation
coefficients for the analyzed amines ranged between 0.49% and 3.92%. Obtained BA
derivatives enhanced separation the analytes on chromatograms due to the
inhibition of hydrolysis reaction and the reduction of by-products formation.
PMID- 24928247
TI - G2-block after irradiation of cells with different p53 status.
AB - BACKGROUND: Although it is clear that functional p53 is not required for
radiation-induced G2 block, certain experimental findings suggest a role for p53
in this context. For instance, as we also confirm here, the maximum accumulation
in the G2 compartment after X-ray exposure occurs much later in p53 mutants than
in wild types. It remains to be seen, however, whether this difference is due to
a longer block in the G2 phase itself. MATERIAL AND METHODS: We observed the
movement of BrdU-labeled cells through G2 and M into G1. From an analysis of the
fraction of labeled cells that entered the second posttreatment cell cycle, we
were able to determine the absolute duration of the G2 and M phases in
unirradiated and irradiated cells. RESULTS: Our experiments with four cell lines,
two melanomas and two squamous carcinomas, showed that the radiation-induced
delay of transition through the G2 and M phases did not correlate with p53
status. CONCLUSION: We conclude that looking at the accumulation of cells in the
G2 compartment alone is misleading when differences in the G2 block are
investigated and that the G2 block itself is indeed independent of functional
p53.
PMID- 24928248
TI - FET-PET-based reirradiation and chloroquine in patients with recurrent
glioblastoma: first tolerability and feasibility results.
AB - BACKGROUND: Treatment of recurrent glioblastoma (rGBM) remains an unsolved
clinical problem. Reirradiation (re-RT) can be used to treat some patients with
rGBM, but as a monotherapy it has only limited efficacy. Chloroquine (CQ) is an
anti-malaria and immunomodulatory drug that may inhibit autophagy and increase
the radiosensitivity of GBM. PATIENTS AND METHODS: Between January 2012 and
August 2013, we treated five patients with histologically confirmed rGBM with re
RT and 250 mg CQ daily. RESULTS: Treatment was very well tolerated; no CQ-related
toxicity was observed. At the first follow-up 2 months after finishing re-RT, two
patients achieved partial response (PR), one patient stable disease (SD), and one
patient progressive disease (PD). One patient with reirradiated surgical cavity
did not show any sign of PD. CONCLUSION: In this case series, we observed
encouraging responses to CQ and re-RT. We plan to conduct a CQ dose escalation
study combined with re-RT.
PMID- 24928249
TI - Evaluation of the prognostic role of tumor cell podoplanin expression in locally
advanced squamous cell carcinoma of the head and neck.
AB - BACKGROUND AND PURPOSE: To investigate the potential prognostic role of tumor
cell podoplanin expression in patients treated with resection followed by
irradiation or chemoradiotherapy for locally advanced squamous cell carcinoma of
the head and neck (SCCHN). MATERIALS AND METHODS: Podoplanin expression (<=10 %
versus > 10 %) and 12 other factors were evaluated in 160 patients for their
association with locoregional control (LRC), metastases-free (MFS) and overall
survival (OS). Other factors were age, gender, Eastern Cooperative Oncology Group
(ECOG) performance status, preradiotherapy (pre-RT) hemoglobin level, tumor site,
histological grading, T category, N category, American Joint Committee on Cancer
(AJCC) stage, human papillomavirus (HPV) status, extent of resection and
concurrent chemotherapy. RESULTS: In multivariate analysis, ECOG performance
status 0-1 (risk ratio, RR: 3.01; 95 % confidence interval, CI: 1.42-7.14; p =
0.003), pre-RT hemoglobin levels >= 7.45 mmol/l (12 g/dl; RR: 2.03; 95 % CI: 1.04
3.94; p = 0.038), oropharyngeal cancer (RR: 1.25; 95 % CI: 1.01-1.55; p = 0.038)
and T category T1-2 (RR: 1.81; 95 % CI: 1.24-2.79; p = 0.002) were significantly
associated with improved LRC. T category T1-2 (RR: 1.90; 95 % CI: 1.25-3.06; p =
0.002) and N category N0-2a (RR: 5.22; 95 % CI: 1.96-18.09; p < 0.001) were
significantly associated with better MFS. Pre-RT hemoglobin levels >= 7.45 mmol/l
(RR: 2.44; 95 % CI: 1.27-4.74; p = 0.007), T category T1-2 (RR: 1.97; 95 % CI:
1.36-3.04; p < 0.001) and N category N0-2a (RR: 2.87; 95 % CI: 1.37-6.61; p =
0.005) were significantly associated with improved OS. Podoplanin expression <=
10 % showed a trend towards improved OS on both univariate (p = 0.050) and
multivariate analysis (RR: 1.86; 95 % CI: 0.96-3.59; p = 0.07). CONCLUSION:
Treatment outcomes were significantly associated with performance status, pre-RT
hemoglobin level, tumor site and tumor stage. Tumor cell expression of podoplanin
<= 10 % showed a trend towards improved OS when compared to podoplanin expression
of > 10 %.
PMID- 24928250
TI - Bibliometrics of intraoperative radiotherapy: analysis of technology, practice
and publication tendencies.
AB - PURPOSE: To analyze the performance and quality of intraoperative radiation
therapy (IORT) publications identified in medical databases during a recent
period in terms of bibliographic metrics. MATERIALS AND METHODS: A bibliometric
search was conducted for IORT papers published in the PubMed database between
1997 and 2013. Publication rate was used as a quantity indicator; the 2012
Science Citation Index Impact Factor as a quality indicator. Furthermore, the
publications were stratified in terms of study type, scientific topic reported,
year of publication, tumor type and journal specialty. We performed a one-way
analysis of variance (ANOVA) to determine differences between the means of the
analyzed groups. RESULTS: Among the total of 207 journals, articles were reported
significantly more frequently in surgery (n = 399, 41 %) and radiotherapy
journals (n = 273, 28 %; p < 0.01). The highest impact factor was achieved by
clinical oncology journals (p < 0.01). The majority of identified articles were
retrospective cohort reports (n = 622, 64 %), followed by review articles (n =
204, 21 %; p < 0.001). Regarding primary topic, reports on cancer outcome
following specific tumor therapy were most frequently published (n = 661, 68 %; p
< 0.001) and gained the highest mean impact factor (p < 0.01). Gastrointestinal
tumor reports were represented most frequently (n = 456, 47 %; p < 0.001) and the
mean superior impact factor was earned by breast and gynecologic publications (p
< 0.01). CONCLUSION: We identified a consistent and sustained scientific
productivity of international IORT expert groups. Most publications appeared in
journals with surgical and radiooncological content. The highest impact factor
was achieved by medical oncology journals.
PMID- 24928251
TI - Treatment options for thyroid eye disease.
AB - OPINION STATEMENT: Patients with thyroid eye disease (TED) experience hypertrophy
of their extraocular muscles and an increase in intraorbital fat volume leading
to eyelid retraction, proptosis, double vision, and optic nerve compression.
These orbital changes are thought to be due to a cross-reaction of thyroid
stimulating hormone (TSH) with antigens in the orbit. Therefore, the key to
treatment is achievement of a euthyroid state in patients with abnormal thyroid
function. Cigarette smoking is the strongest modifiable risk factor linked with
progression and poor response to treatment. All TED patients should be counseled
and offered help with smoking cessation. The treatment of TED symptoms must be
customized to each patient, as the degree of orbital involvement can vary. During
the active state, evaluation of sight-threatening compressive optic neuropathy
and treatment of corneal exposure by an ophthalmologist is crucial to avoid
irreversible damage. In most patients, local therapy with artificial tears, gels,
and ointments can offer symptomatic relief of irritation and dryness. In
addition, antioxidant therapy with selenium has been shown to improve quality of
life in patients with mild orbital involvement. Some patients will require
systemic oral or IV steroids at the onset of an active inflammatory state.
However, approximately one third of patients will not be responsive to steroid
therapy alone. In these patients, the addition of orbital radiation or use of
immune modulation has shown value. Orbital decompression surgery should be
considered for cases of vision-threatening optic neuropathy despite maximal
medical therapy. Approximately 3-6 months after cessation of the active state and
stabilization of symptoms, rehabilitative treatment may be offered. Treatment is
offered in a 3-stage surgical approach with orbital decompression surgery,
followed by strabismus surgery for any resultant binocular diplopia, and finally
eyelid surgery to address eyelid retraction. Meanwhile, symptomatic diplopia may
be addressed with monocular patching or prisms.
PMID- 24928252
TI - An investigation of preschool teachers' recognition of possible child abuse and
neglect in Izmir, Turkey.
AB - Child abuse and neglect have a potentially deleterious impact on children's
physical, social, and psychological development. Preschool teachers may play a
crucial role in the protection, early detection, and the intervention of child
abuse and neglect, as they have the opportunity to establish a close contact with
the families and to observe day-to-day changes in pupils' behavior. The main
purpose of this study is to investigate preschool teachers' experiences and
characteristics in relation to their awareness of possible child abuse and
neglect signs. A questionnaire survey was designed and administered to 197
preschool teachers who work for the public preschools in the Izmir province of
Turkey. In addition to the questionnaire items, a 34-item Likert-type scale
measuring the level of familiarity with possible signs of child abuse and neglect
was developed. This scale had an internal consistency of 0.94. The results
revealed that 10.65% of preschool teachers had training regarding violence
against children and 2.03% of them had training in child abuse and neglect.
Overall, 35% of all teachers reported that they had prior experience with pupils
who were exposed to child abuse and neglect. Moreover, statistical analyses
indicated that being a parent and having training in child abuse and neglect,
having experience with maltreated children, and having higher job status were
significant factors in preschool teachers' ability to recognize the possible
signs of child abuse and neglect. Our results support that teacher training in
child abuse and neglect can play an important role in preschool teachers'
awareness of the possible signs of child abuse and neglect.
PMID- 24928253
TI - The relationship between hegemonic norms of masculinity and men's
conceptualization of sexually coercive acts by women in South Africa.
AB - While sexual abuse against women and girls in South Africa has generated much
deserved attention, the awareness of men's experiences of sexual coercion is
limited, and often restricted to a homosexual context. This article illuminates
men's experiences of pressurized sex in a heterosexual context, which were
revealed in a broader men's sexual health study. Fifty sexual history narrative
interviews were conducted with men purposively sampled from three age categories:
(18-24, 25-54, and 55+), a wide range of cultural and racial backgrounds, and in
urban and rural sites across five provinces in South Africa. Narrative interviews
began with accounts of early knowledge of sex and sexual experimentation and
explored the range of sexual relationships and experiences through adulthood. The
narratives privileged the diversity of men's conceptualizations of and the impact
of reportedly sexually coercive experiences by women. Many men described feeling
unready for their first sexual experiences but pressured to do so by their peers
and female partners, who were often older. There were also some instances of
sexual coercion by women against men, some of which would constitute a criminal
offense in South Africa. Due to the pressure for men to always be responsive to
women's sexual desires, these experiences were often not framed as sexual
coercion. Nevertheless, for many of these men, such experiences were
uncomfortable and unrewarding. Men's negative responses to such experiences
appeared to be linked to the fact that they did not fit social stereotypes of
masculine sexuality as being initiative and dominant. Such coercive experiences
could influence men's sexual risk-taking, including their use of sexual coercion
against women. Research on sexual abuse should not be limited to male against
male sexual abuse, but needs to explore the meanings and experiences associated
with reported coercion against men by women to more comprehensively prevent and
respond to sexual violence.
PMID- 24928254
TI - School bullying, low self-control, and opportunity.
AB - The theory of low self-control has been shown to be a valid predictor of a wide
variety of criminal and deviant behaviors. However, a limited number of studies
were conducted to understand the relationship between low self-control and
bullying and the effects of opportunity factors (i.e., parental supervision,
association with other bullies, negative school environment, and disciplinary
measures used by teachers) on bullying in the context of low self-control theory.
The present study, using a sample of nearly 300 youths, examined the effects of
low self-control and opportunity factors on various types of bullying behaviors.
Results indicated that youths with low self-control were likely to physically and
psychologically bully, consistent with the theory's prediction. When opportunity
measures were introduced, they were stronger explanations of bullying than low
self-control, especially association with other bullies and youth who experienced
disciplinary measures by their teacher. Negative school environment was a
significant predictor of psychological bullying but not for physical bullying.
Theoretical and policy implications are discussed.
PMID- 24928255
TI - Cardiovascular function in children who had chronic lung disease of prematurity.
AB - OBJECTIVES: Although increased pulmonary arterial pressure is common in infancy
in preterm infants who develop chronic lung disease of prematurity (CLD), it is
unknown if the increase persists into childhood. We, therefore, assessed if 8-12
year-old children with documented CLD in infancy had evidence of right
ventricular dysfunction or pulmonary arterial hypertension at rest or in response
to acute hypoxia when compared to preterm and term-born controls. METHODS: We
studied 90 children: 60 born at <=32 weeks of gestation (28 with CLD and 32
preterm controls), and 30 term-born controls. All had echocardiography including
myocardial velocity imaging, at rest and while breathing 15% oxygen and 12%
oxygen for 20 min each. RESULTS: Baseline oxygen saturation, heart rate, blood
pressure and echocardiographic markers of left and right ventricular function
were similar in all three groups. While breathing 12% oxygen, the oxygen
saturation decreased to 81.9% in the CLD group compared to 85.1% (p<0.05) and
84.7% (p<0.01) in the preterm and term controls, respectively. In response to
hypoxia, all three groups showed increases in velocity of tricuspid
regurgitation, end-diastolic velocity of pulmonary regurgitation, and right
ventricular relaxation time; and decreases in pulmonary arterial acceleration
time and the ratio of right ventricular acceleration time to ejection time.
However, there were no differences between groups. CONCLUSIONS: Childhood
survivors of CLD have comparable left and right ventricular function at 8-12
years of age to preterm and term-born children, and no evidence of increased
pulmonary arterial pressure even after hypoxic exposure.
PMID- 24928256
TI - Involved-node proton therapy in combined modality therapy for Hodgkin lymphoma:
results of a phase 2 study.
AB - PURPOSE: This study describes the early clinical outcomes of a prospective phase
2 study of consolidative involved-node proton therapy (INPT) as a component of
combined-mode therapy in patients with stages I to III Hodgkin lymphoma (HL) with
mediastinal involvement. METHODS AND MATERIALS: Between September 2009 and June
2013, 15 patients with newly diagnosed HL received INPT after completing
chemotherapy in an institutional review board-approved protocol comparing the
dosimetric impact of PT with those of three-dimensional conformal radiation
therapy (3DCRT) and intensity modulated RT. Based on (18)F-Fluorodeoxyglucose
positron emission tomography/computed tomography ((18)F-FDG PET/CT) response, 5
children received 15 to 25.5 cobalt Gy equivalent (CGE) of INPT after receiving 4
cycles of Adriamycin, Bleomycin, Vincristine, Etoposide, Prednisone,
Cyclophosphamide or Vincristine, adriamycin, methotrexate, Prednisone
chemotherapy, and 10 adults received 30.6 to 39.6 CGE of INPT after 3 to 6 cycles
of Adriamycin, Bleomycine, Vinblastine, Dacarbazine. Patients were routinely
evaluated for toxicity during and after treatment, using Common Terminology
Criteria for Adverse Events, version 3.0, and for relapse by physical examination
and routine imaging. Relapse-free survival (RFS) and event-free survival (EFS)
rates were calculated using the Kaplan-Meier method from the time of diagnosis.
RESULTS: The median follow-up was 37 months (range, 26-55). Two events occurred
during follow-up: 1 relapse (inside and outside the targeted field) and 1
transformation into a primary mediastinal large B cell lymphoma. The 3-year RFS
rate was 93%, and the 3-year EFS rate was 87%. No acute or late grade 3
nonhematologic toxicities were observed. CONCLUSIONS: Although decades of follow
up will be needed to realize the likely benefit of PT in reducing the risk of
radiation-induced late effects, PT following chemotherapy in patients with HL is
well-tolerated, and disease outcomes were similar to those of conventional photon
therapy.
PMID- 24928257
TI - Late consequential surgical bed soft tissue necrosis in advanced oropharyngeal
squamous cell carcinomas treated with transoral robotic surgery and postoperative
radiation therapy.
AB - PURPOSE: A subset of patients with oropharyngeal squamous cell carcinoma (OP-SCC)
managed with transoral robotic surgery (TORS) and postoperative radiation therapy
(PORT) developed soft tissue necrosis (STN) in the surgical bed months after
completion of PORT. We investigated the frequency and risk factors. MATERIALS AND
METHODS: This retrospective analysis included 170 consecutive OP-SCC patients
treated with TORS and PORT between 2006 and 2012, with >6 months' of follow-up.
STN was defined as ulceration of the surgical bed >6 weeks after completion of
PORT, requiring opioids, biopsy, or hyperbaric oxygen therapy. RESULTS: A total
of 47 of 170 patients (28%) had a diagnosis of STN. Tonsillar patients were more
susceptible than base-of-tongue (BOT) patients, 39% (41 of 104) versus 9% (6 of
66), respectively. For patients with STN, median tumor size was 3.0 cm (range 1.0
5.6 cm), and depth of resection was 2.2 cm (range 1.0-5.1 cm). Median radiation
dose and dose of fraction to the surgical bed were 6600 cGy and 220 cGy,
respectively. Thirty-one patients (66%) received concurrent chemotherapy. Median
time to STN was 2.5 months after PORT. All patients had resolution of STN after a
median of 3.7 months. Multivariate analysis identified tonsillar primary (odds
ratio [OR] 4.73, P=.01), depth of resection (OR 3.12, P=.001), total radiation
dose to the resection bed (OR 1.51 per Gy, P<.01), and grade 3 acute mucositis
(OR 3.47, P=.02) as risk factors for STN. Beginning May 2011, after implementing
aggressive avoidance of delivering >2 Gy/day to the resection bed mucosa, only 8%
(2 of 26 patients) experienced STN (all grade 2). CONCLUSIONS: A subset of OP-SCC
patients treated with TORS and PORT are at risk for developing late consequential
surgical bed STN. Risk factors include tonsillar location, depth of resection,
radiation dose to the surgical bed, and severe mucositis. STN risk is
significantly decreased with carefully avoiding a radiation dosage of >2 Gy/day
to the surgical bed.
PMID- 24928258
TI - Effect of inoculation method on the determination of decontamination efficacy
against Bacillus spores.
AB - Decontamination studies investigating the effectiveness of products and processes
for the inactivation of Bacillus species spores have traditionally utilized
metering viable spores in a liquid suspension onto test materials (coupons). The
current study addresses the representativeness of studies using this type of
inoculation method compared to when coupons are dosed with a metered amount of
aerosolized spores. The understanding of this comparability is important in order
to assess the representativeness of such laboratory-based testing when deciding
upon decontamination options for use against Bacillus anthracis spores. Temporal
inactivation of B. anthracis surrogate (B. subtilis) spores on representative
materials using fumigation with chlorine dioxide, spraying of a pH-adjusted
bleach solution, or immersion in the solution was investigated as a function of
inoculation method (liquid suspension or aerosol dosing). Results indicated that
effectiveness, measured as log reduction, was statistically significantly lower
when liquid inoculation was used for some material and decontaminant
combinations. Differences were mostly noted for the materials observed to be more
difficult to decontaminate (i.e., wood and carpet). Significant differences in
measured effectiveness were also noted to be a function of the pH-adjusted bleach
application method used in the testing (spray or immersion). Based upon this work
and the cited literature, it is clear that inoculation method, decontaminant
application method, and handling of non-detects (i.e., or detection limits) can
have an impact on the sporicidal efficacy measurements.
PMID- 24928259
TI - Optimization of submerged fermentation conditions for immunosuppressant
mycophenolic acid production by Penicillium roqueforti isolated from blue-molded
cheeses: enhanced production by ultraviolet and gamma irradiation.
AB - Mycophenolic acid (MPA) is a promising drug owing to its immunosuppressive and
biological activities. In this study, two strains of Penicillium roqueforti
designated as AG101 and LG109 were selected among several strains isolated from
Roquefort cheese samples on the basis of their activity for MPA-producing
ability. The appropriate fermentation conditions necessary for MPA biosynthesis
by the two respective fungal strains were investigated. These conditions included
selection of the cultivation medium, agitation rate, incubation temperature,
fermentation time, pH value, inoculum size, and fermentation medium volume.
Maximum MPA productivities were maintained when the fermentation process was
carried out using a medium composed of (g l(-1)): Sucrose, 30; peptone, 5.0;
KH2PO4, 1.0; MgSO4.7H2O, 0.5 and KCl, 0.5; pH 6.0, inoculated with an inoculum
size of 6.0 % (v/v), and incubated at 25 degrees C for 10 days at 120 rpm. The
potentiality of both P. roqueforti strains for further improvement of MPA
production was applied by mutagenesis through exposure to irradiation by
ultraviolet rays (UV, 254 nm) for different periods of time and gamma rays at
various doses (KGy). The dry cell weight of both irradiated fungal strains showed
a greater reduction when irradiated either with UV or gamma rays. However, the
MPA yield of both strains was increased by 1.27-1.39 fold when irradiated with UV
rays and by 2.11-2.33 fold when irradiated with gamma rays, as compared with the
respective controls (non-irradiated cultures). These findings indicate the future
possibility to reduce the cost of producing fermentation-based drugs.
PMID- 24928260
TI - Isolation and identification of an endophytic fungus Pezicula sp. in Forsythia
viridissima and its secondary metabolites.
AB - In a survey of endophytic fungal biodiversity, an antimicrobial endophytic
isolate zjwcf069 was obtained from twigs of Forsythia viridissima, Zhejiang
Province, Southeast China. Zjwcf069 was then identified as Pezicula sp. through
combination of morphological and phylogenetic analysis based on ITS-rDNA.
Zjwcf069 here represented the first endophytic fungus in Pezicula isolated from
host F. viridissima. From the fermentation broth, four compounds were obtained
through silica gel column chromatography and Sephadex LH-20 under the guide of
bioassay. Their structures were elucidated by spectroscopic analysis as mellein
(1), ramulosin (2), butanedioic acid (3), and 4-methoxy-1(3H)-isobenzofuranone
(4). Compound 4 here stood for the very first time as natural product from
microbes. In vitro antifungal assay showed that compound 1 displayed growth
inhibition against 9 plant pathogenic fungi, especially Botrytis cinerea and
Fulvia fulva with EC50 values below 50 MUg/mL. Endophytic fungi in medicinal
plants were good resources for bioactive secondary metabolites.
PMID- 24928261
TI - Individual differences in field independence influence the ability to determine
accurate needle angles.
AB - OBJECTIVE: "Field dependence" is used in cognitive psychology to describe an
individual's tendency to be visually distracted by the surrounding environment.
Notwithstanding the role of field dependence in contexts in which spatial
judgment is important, such as piloting an aircraft, to date, studies linking
field dependence to surgical skills have been limited. We evaluated whether field
dependence correlates with an ability to anticipate appropriate needle angles in
a simulated setting. METHODS: Trainees underwent field dependence testing and
then participated in a surgical skills exercise. Correlations between field
dependence and surgical skill were computed. Specifically, cardiothoracic surgery
residents (n=11) took a battery of cognitive examinations to assess general
reasoning and visuospatial judgment. Two written tests, the Rod and Frame test
and the Judgment of Line Orientation test, measured the degree of field
dependence. The subjects then underwent surgical skills testing. Using a standard
needle driver, the participants placed curved needles into a cylindrical silicone
mitral valve model with 10 premarked needle entry and exit sites. The components
assessed included the ability to load a needle on driver at the appropriate
angle. RESULTS: The test results showed a parametric distribution, with internal
cognitive testing controls demonstrating valid testing techniques and methods.
Performance on the cognitive tests measuring spatial judgment and field
dependence correlated significantly with skill at determining the appropriate
needle angle load in an inverse fashion (Judgment of Line Orientation test,
r=0.61, P<.05; Rod and Frame test, r=-0.52, P=.05), suggesting that residents who
were not distracted by surrounding objects performed better. Performance on the
cognitive examinations did not correlate with resident training level.
CONCLUSIONS: Although our study was of a small cohort, the findings suggest that
individuals described as field independent (not easily distracted by external
visual cues) might possess improved ability to determine appropriate needle angle
loads compared with field-dependent individuals. Additional studies examining the
role field dependence might play in the acquisition and execution of surgical
tasks are warranted.
PMID- 24928262
TI - Increased late mortality after coronary artery bypass surgery complicated by
isolated new-onset atrial fibrillation: a comprehensive propensity-matched
analysis.
AB - OBJECTIVES: The association of new-onset postoperative atrial fibrillation (POAF)
and late death after coronary artery bypass grafting (CABG) has been confounded
by the frequent concomitant serious complications that co-occur with POAF. We
aimed to define the magnitude and time dependence of the effect of isolated POAF
on late survival after uncomplicated CABG to comprehensively account for
comorbidity and perioperative confounding factors. METHODS: Nonsalvage CABG
patients with no history of AF, no concomitant aortic or valvular surgery, and no
perioperative complications other than POAF were studied (n=6305). Patients were
divided into AF (n=1211, 68 years old, 72% male) and no-AF (n=5094, 63 years old,
70% male) groups. Propensity matching was done using 55 patient variables,
including coronary grafts, completeness of revascularization, and transfusion
data. The AF effect was quantified using time-segmented hazard ratios by Cox
regression analysis. RESULTS: Single (1-to-1), double (1-to-2), and triple (1-to
3) propensity matching of the AF and no-AF was achieved for 1196, 993, and 719
cases, respectively. The AF group showed significantly worse, yet time-varying, 0
to 18-year survival: 0 to 1 year, HR, 1.18 (95% confidence interval, 0.77-1.81);
1 to 6 years, HR, 1.37 (95% confidence interval, 1.12 to 1.67); and 6 to 17
years, HR, 1.25 (95% confidence interval, 1.05 to 1.49). CONCLUSIONS: Isolated
POAF was associated with a time-varying increase in mortality after CABG. Given
these findings and the high incidence of POAF, efforts to reduce POAF should be
pursued to potentially improve resource usage, morbidity, and mortality.
PMID- 24928263
TI - Emotional connotations of words related to authority and community.
AB - We present a database of 858 German words from the semantic fields of authority
and community, which represent core dimensions of human sociality. The words were
selected on the basis of co-occurrence profiles of representative keywords for
these semantic fields. All words were rated along five dimensions, each measured
by a bipolar semantic-differential scale: Besides the classic dimensions of
affective meaning (valence, arousal, and potency), we collected ratings of
authority and community with newly developed scales. The results from cluster,
correlational, and multiple regression analyses on the rating data suggest a
robust negativity bias for authority valuation among German raters recruited via
university mailing lists, whereas community ratings appear to be rather unrelated
to the well-established affective dimensions. Furthermore, our data involve a
strong overall negative correlation-rather than the classical U-shaped
distribution-between valence and arousal for socially relevant concepts. Our
database provides a valuable resource for research questions at the intersection
of cognitive neuroscience and social psychology. It can be downloaded as
supplemental materials with this article.
PMID- 24928264
TI - Patient and hospital-level characteristics associated with the use of do-not
resuscitate orders in patients hospitalized for sepsis.
AB - BACKGROUND: Identifying factors associated with do-not-resuscitate (DNR) orders
is an informative step in developing strategies to improve their use. As such, a
descriptive analysis of the factors associated with the use of DNR orders in the
early and late phases of hospitalizations for sepsis was performed. METHODS: A
retrospective cohort of adult patients hospitalized for sepsis was identified
using a statewide administrative database. DNR orders placed within 24 h of
hospitalization (early DNR) and after 24 h of hospitalization (late DNR) were the
primary outcome variables. Multivariable logistic regression analysis was used to
identify patient, hospital, and healthcare system-related factors associated with
the use of early and late DNR orders. RESULTS: Among 77,329 patients hospitalized
for sepsis, 27.5 % had a DNR order during their hospitalization. Among the cases
with a DNR order, 75.5 % had the order within 24 h of hospitalization. Smaller
hospital size and the absence of a teaching program increased the likelihood of
an early DNR order being written. Additionally, greater patient age, female
gender, White race, more medical comorbidities, Medicare payer status and
admission from a skilled nursing facility were all significantly associated with
the likelihood of having an early DNR. The strength of association between these
factors and the use of late DNR orders was weaker. In contrast, the greater the
burden of medical comorbidities, the more likely a patient was to receive a late
DNR order. CONCLUSION: Multiple patient, hospital, and healthcare system-related
factors are associated with the use of DNR orders in sepsis, many of which appear
to be independent of a patient's clinical status. Over the course of the
hospitalization, the burden of medical illness shows a stronger association
relative to other variables. The influence of these multi-level factors needs to
be recognized in strategies to improve the use of DNR orders. .
PMID- 24928265
TI - Capsule commentary on Frank et al., increased hospital and emergency department
utilization by individuals with recent criminal justice involvement: results of a
national survey.
PMID- 24928266
TI - Fluoroquinolone resistant rectal colonization predicts risk of infectious
complications after transrectal prostate biopsy.
AB - PURPOSE: Infection after transrectal prostate biopsy has become an increasing
concern due to fluoroquinolone resistant bacteria. We determined whether
colonization identified by rectal culture can identify men at high risk for post
transrectal prostate biopsy infection. MATERIALS AND METHODS: Six institutions
provided retrospective data through a standardized, web based data entry form on
patients undergoing transrectal prostate biopsy who had rectal culture performed.
The primary outcome was any post-transrectal prostate biopsy infection and the
secondary outcome was hospital admission 30 days after transrectal prostate
biopsy. We used chi-square and logistic regression statistical analysis. RESULTS:
A total of 2,673 men underwent rectal culture before transrectal prostate biopsy
from January 1, 2007 to September 12, 2013. The prevalence of fluoroquinolone
resistance was 20.5% (549 of 2,673). Fluoroquinolone resistant positive rectal
cultures were associated with post-biopsy infection (6.6% vs 1.6%, p <0.001) and
hospitalization (4.4% vs 0.9%, p <0.001). Fluoroquinolone resistant positive
rectal culture increased the risk of infection (OR 3.98, 95% CI 2.37-6.71, p
<0.001) and subsequent hospital admission (OR 4.77, 95% CI 2.50-9.10, p <0.001).
If men only received fluoroquinolone prophylaxis, the infection and
hospitalization proportion increased to 8.2% (28 of 343) and 6.1% (21 of 343),
with OR 4.77 (95% CI 2.50-9.10, p <0.001) and 5.67 (95% CI 3.00-10.90, p <0.001),
respectively. The most common fluoroquinolone resistant bacteria isolates were
Escherichia coli (83.7%). Limitations include the retrospective study design,
nonstandardized culture and interpretation of resistance methods. CONCLUSIONS:
Colonization of fluoroquinolone resistant organisms in the rectum identifies men
at high risk for infection and subsequent hospitalization from prostate biopsy,
especially in those with fluoroquinolone prophylaxis only.
PMID- 24928267
TI - H2O2 generation by bacillus Calmette-Guerin induces the cellular oxidative stress
response required for bacillus Calmette-Guerin direct effects on urothelial
carcinoma biology.
AB - PURPOSE: Exposure of urothelial carcinoma cells to bacillus Calmette-Guerin
affects cellular redox status and tumor cell biology but the mechanism(s) remain
unclear. We examined free radical production by bacillus Calmette-Guerin in tumor
cells in response to the bacillus using global profiling of reactive oxygen
species/reactive nitrogen species. The relationship between free radical
generation and downstream cellular events was evaluated. MATERIALS AND METHODS:
Using fluorescent probes we performed global profiling of reactive oxygen
species/reactive nitrogen species in heat killed and viable bacillus Calmette
Guerin, and in the 253J and T24 urothelial carcinoma cell lines after exposure to
the bacillus. Inhibition of bacillus Calmette-Guerin internalization and H2O2
pharmacological scavenging were studied for their effect on cellular reactive
oxygen species/reactive nitrogen species generation and various physiological end
points. RESULTS: Viable bacillus Calmette-Guerin produced H2O2 and O2(-) but
nitric oxide was not generated. Loss of viability decreased H2O2 production by
50% compared to viable bacillus. Bacillus Calmette-Guerin internalization was
necessary for the bacillus to induce reactive oxygen species/reactive nitrogen
species generation in urothelial carcinoma cells. Pharmacological H2O2 scavenging
reversed reactive oxygen species/reactive nitrogen species mediated signaling in
urothelial carcinoma cells. Bacillus Calmette-Guerin dependent alterations in
tumor biology, including intracellular signaling, gene expression and
cytotoxicity, depended on free radical generation. CONCLUSIONS: This study
demonstrates the importance of free radical generation by bacillus Calmette
Guerin and intracellular generation of cellular oxidative stress on the
urothelial carcinoma cell response to the bacillus. Manipulating the cellular
oxidative stress induced by bacillus Calmette-Guerin represents a potential
target to increase the efficacy of the bacillus.
PMID- 24928268
TI - Impact of complications and hospital-free days on health related quality of life
1 year after radical cystectomy.
AB - PURPOSE: We determined the extent to which complications as well as number of
hospital-free days within 30 and 90 days of surgery predicted health related
quality of life 1 year after radical cystectomy. MATERIALS AND METHODS: We used
data from a prospective health related quality of life study using a validated
instrument, the Vanderbilt Cystectomy Index-15. Complications were graded by the
Clavien system, and hospital length of stay and length of stay during
readmissions were used to calculate 30 and 90-day hospital-free days,
respectively. We compared the number of hospital-free days among patients with
varying levels of complications. Multivariate analysis was performed to determine
predictors of Vanderbilt Cystectomy Index-15 score 1 year after surgery adjusting
for demographic (age, gender, comorbidities) and clinical variables (stage and
diversion type). RESULTS: A total of 100 patients with complete baseline and 1
year followup health related quality of life data were included in the analysis.
Median (IQR) 30 and 90-day hospital-free days were 24 (22-25) and 84 (82-85),
respectively. Patients who experienced any complications had significantly fewer
30-day hospital-free days (22 vs 24 days, p <0.01) and 90-day hospital-free days
(81 vs 84 days, p <0.01), and patients with higher grade complications had fewer
hospital-free days than those with lower grade or no complications (p <0.01). On
multivariate analysis female gender and baseline Vanderbilt Cystectomy Index-15
score independently predicted higher 1-year health related quality of life
scores. CONCLUSIONS: Patients who experience complications after radical
cystectomy have fewer 30 and 90-day hospital-free days. However, neither predicts
health related quality of life at 1 year. Instead, long-term health related
quality of life appears to be driven largely by baseline health related quality
of life and gender.
PMID- 24928269
TI - Prospective study of polydimethylsiloxane vs dextranomer/hyaluronic acid
injection for treatment of vesicoureteral reflux.
AB - PURPOSE: Endoscopic injection of a bulking agent is becoming a first-line
treatment for low grade vesicoureteral reflux. We prospectively compared the
efficacy of 2 such products commercially available in Canada. MATERIALS AND
METHODS: A total of 275 patients with documented grade I to V vesicoureteral
reflux were prospectively enrolled in a comparative study between April 2005 and
February 2011 to be randomly treated endoscopically with either
polydimethylsiloxane (Macroplastique(r)) or dextranomer/hyaluronic acid copolymer
(Deflux(r)). Of the ureters 202 were treated with polydimethylsiloxane and 197
with dextranomer/hyaluronic acid copolymer. Patients were followed with voiding
cystourethrography at 3 months and renal ultrasonography at 3 months and at 1
year. Median followup was 4.3 years. The primary outcome was surgical success
(resolution vs nonresolution), and secondary outcomes included occurrence of
adverse events. RESULTS: Vesicoureteral reflux was fully corrected in 182 of 202
ureters (90%) treated with polydimethylsiloxane, compared to 159 of 197 (81%)
treated with dextranomer/hyaluronic acid copolymer (p <0.05). Obstruction was
found in 5 ureters. Univariate and multivariate analyses did not allow
identification of any characteristics that could explain the significant
difference in the success rates except for the type of product used. CONCLUSIONS:
We present the largest known prospective evaluation comparing 2 bulking agents
for the treatment of vesicoureteral reflux. Endoscopic injection of
polydimethylsiloxane resulted in a better success rate than
dextranomer/hyaluronic acid copolymer. The rate of resolution obtained with the
latter is lower than those previously published due to the inclusion of high
grade reflux.
PMID- 24928270
TI - Advanced treatment of biologically pretreated coal gasification wastewater by a
novel integration of heterogeneous catalytic ozonation and biological process.
AB - Advanced treatment of biologically pretreated coal gasification wastewater (CGW)
was investigated employing heterogeneous catalytic ozonation integrated with
anoxic moving bed biofilm reactor (ANMBBR) and biological aerated filter (BAF)
process. The results indicated that catalytic ozonation with the prepared
catalyst (i.e. MnOx/SBAC, sewage sludge was converted into sludge based activated
carbon (SBAC) which loaded manganese oxides) significantly enhanced performance
of pollutants removal by generated hydroxyl radicals. The effluent of catalytic
ozonation process was more biodegradable and less toxic than that in ozonation
alone. Meanwhile, ANMBBR-BAF showed efficient capacity of pollutants removal in
treatment of the effluent of catalytic ozonation at a shorter reaction time,
allowing the discharge limits to be met. Therefore, the integrated process with
efficient, economical and sustainable advantages was suitable for advanced
treatment of real biologically pretreated CGW.
PMID- 24928271
TI - [Implementation of the EndoCert system for certification of arthroplasty centers.
Experiences from the pilot phase].
AB - BACKGROUND: EndoCert is an initiative of the Deutschen Gesellschaft fur
Orthopadie und Orthopadische Chirurgie (DGOOC, German Society for Orthopedics and
Orthopedic Surgery) which has been available since October 2012 and is the first
system worldwide for certification of specialized arthroplasty centers. Before
implementation of this certification concept two sequential pilot phases were
carried out with representative treatment institutions. The results from these
pilot clinics are presented with respect to quality improvement effects.
NONCONFORMITIES: Early effects on the quality of treatment have been achieved by
rectification of nonconformities determined in the audit with respect to
structural and process quality. A total of 172 nonconformities found in the 23
participating pilot clinics could be rectified. Long-term effects on the quality
of results will in future be analyzed in cooperation with the German
endoprosthesis register (EPRD) and by accompanying evaluations. FEEDBACK: A close
feedback of the collated experiences and results to the certification committee,
which is responsible for the procedure together with the DGOOC, allows continuous
further development of the system CONCLUSION: EndoCert represents a substantial
step towards a nationwide safety and improvement of the quality in arthroplasty
treatment within the preoperative, perioperative and postoperative framework and
can in future represent a decisive tool together with the EPRD in quality
management.
PMID- 24928274
TI - Short-term moderate hypothermia stimulates alkaline phosphatase activity and
osteocalcin expression in osteoblasts by upregulating Runx2 and osterix in vitro.
AB - Exposure of Normal Human Osteoblast cells (NHOst) to a period of hypothermia may
interrupt their cellular functions, lead to changes in bone matrix and disrupt
the balance between bone formation and resorption, resulting in bone loss or
delayed fracture healing. To investigate this possibility, we exposed NHOst cells
to moderate (35 degrees C) and severe (27 degrees C) hypothermia for 1, 12, 24
and 72 h. The effects of hypothermia with respect to cell cytoskeleton
organization, metabolic activity and the expression of cold shock chaperone
proteins, osteoblast transcription factors and functional markers, were examined.
Our findings showed that prolonged moderate hypothermia retained the
polymerization of the cytoskeletal components. NHOst cell metabolism was affected
differently according to hypothermia severity. The osteoblast transcription
factors Runx2 and osterix were necessary for the transcription and translation of
bone matrix proteins, where alkaline phosphatase (Alp) activity and osteocalcin
(OCN) bone protein were over expressed under hypothermic conditions.
Consequently, bone mineralization was stimulated after exposure to moderate
hypothermia for 1 week, indicating bone function was not impaired. The cold shock
chaperone protein Rbm3 was significantly upregulated (p<0.001) during the
cellular stress adaption under hypothermic conditions. We suggest that Rbm3 has a
dual function: one as a chaperone protein that stabilizes mRNA transcripts and a
second one in enhancing the transcription of Alp and Ocn genes. Our studies
demonstrated that hypothermia permitted the in vitro maturation of NHOst cells
probably through an osterix-dependent pathway. For that reason, we suggest that
moderate hypothermia can be clinically applied to counteract heat production at
the fracture site that delays fracture healing.
PMID- 24928273
TI - Aim24 stabilizes respiratory chain supercomplexes and is required for efficient
respiration.
AB - The mitochondrial respiratory chain is essential for the conversion of energy
derived from the oxidation of metabolites into the membrane potential, which
drives the synthesis of ATP. The electron transporting complexes bc1 complex and
the cytochrome c oxidase assemble into large supercomplexes, allowing efficient
energy transduction. Currently, we have only limited information about what
determines the structure of the supercomplex. Here, we characterize Aim24 in
baker's yeast as a protein, which is integrated in the mitochondrial inner
membrane and is required for the structural integrity of the supercomplex.
Deletion of AIM24 strongly affects activity of the respiratory chain and induces
a growth defect on non-fermentable medium. Our data indicate that Aim24 has a
function in stabilizing the respiratory chain supercomplexes.
PMID- 24928272
TI - Role of IL-17A and IL-10 in the antigen induced inflammation model by Mycoplasma
pneumoniae.
AB - BACKGROUND: Mycoplasma pneumoniae is one of the causative organisms of community
acquired pneumonia which is found commonly in younger patients. Extrapulmonary
complications similar to autoimmune disease are caused by M. pneumoniae following
the initial infection. The mechanism and pathology of onset is not clear, but it
is considered that excessive host immunoreactions play a part in the onset of
mycoplasmal pneumonia and its extrapulmonary complications. In this study, we
investigated the participation of the immune response, excluding the
participation of Th1 and Th2 which has previously been investigated. RESULTS: In
this study, the host immune response of an antigen induced inflammation model
using SPF mice repeatedly sensitized with M. pneumoniae antigens was analyzed.
The specificity of M. pneumoniae antigens in the Th17 response of murine
lymphocytes in vitro was also examined. Frequent and concentrated sensitization
induced exacerbation of lung inflammation immunologically and pathologically, and
evoked intrapulmonary IL-17A and IL-10 production. M. pneumoniae antigen
stimulation induced proliferation of mouse lymphocytes and caused production of
IL-17A and IL-10. In addition, it was shown that IL-17A and IL-10 production was
increased in the presence of IL-6 and TGF-beta1. CONCLUSIONS: It was shown that
M. pneumoniae antigens induced potent immunoreaction and enhanced the Th17 cell
response both in vivo and in vitro, and that both Treg and IL-10 are involved in
the suppression of IL-17A production. This raises the possibility that breakdown
of the immune balance may be part of the process leading to subsequent
development of extrapulmonary mycoplasmal pneumonia.
PMID- 24928275
TI - Genetic link between Cabeza, a Drosophila homologue of Fused in Sarcoma (FUS),
and the EGFR signaling pathway.
AB - Amyotrophic Lateral Sclerosis (ALS) is a fatal neurodegenerative disease that
causes progressive muscular weakness. Fused in Sarcoma (FUS) that has been
identified in familial ALS is an RNA binding protein that is normally localized
in the nucleus. However, its function in vivo is not fully understood. Drosophila
has Cabeza (Caz) as a FUS homologue and specific knockdown of Caz in the eye
imaginal disc and pupal retina using a GMR-GAL4 driver was here found to induce
an abnormal morphology of the adult compound eyes, a rough eye phenotype. This
was partially suppressed by expression of the apoptosis inhibitor P35. Knockdown
of Caz exerted no apparent effect on differentiation of photoreceptor cells.
However, immunostaining with an antibody to Cut that marks cone cells revealed
fusion of these and ommatidia of pupal retinae. These results indicate that Caz
knockdown induces apoptosis and also inhibits differentiation of cone cells,
resulting in abnormal eye morphology in adults. Mutation in EGFR pathway-related
genes, such as rhomboid-1, rhomboid-3 and mirror suppressed the rough eye
phenotype induced by Caz knockdown. Moreover, the rhomboid-1 mutation rescued the
fusion of cone cells and ommatidia observed in Caz knockdown flies. The results
suggest that Caz negatively regulates the EGFR signaling pathway required for
determination of cone cell fate in Drosophila.
PMID- 24928276
TI - Molecular cloning, regulation, and functional analysis of two GHS-R genes in
zebrafish.
AB - Mammalian ghrelin is derived from stomach and regulates growth hormone release
and appetite by modulating GHS-R (Growth hormone secretagogue receptor) activity.
Zebrafish has been developed as a forward genetic screening model system and
previous screening identified a number of genes involved in multiple signaling
pathways. In this system, ghrelin has been identified and its function and
regulation have been shown to be highly conserved to that of mammals. Here, we
identified three isoforms of zGHS-R1 and one of zGHS-R2 (zGHS-R2a), and
characterized their expression, regulation and function. Three isoforms of zGHS
R1, which we named zGHS-R1a, zGHS-R1b, and zGHS-R1c, are generated by alternative
splicing. The expression of zGHS-R1 is highly enriched in brain, intestine
tissue, and skin tissues. Compared to zGHS-R1, the expression pattern of zGHS-R2a
is rather evenly distributed. A 15 day fasting elevated expression of zGHS-R1 and
zGHS-R2 transcripts in anterior intestine tissues, but not in brain. Whereas zGHS
R1a, zGHS-R1c, and zGHS-R2a appear to be presented on the plasma membrane, the
localization of zGHS-R1b seems to be restricted in the intracellular region.
Treatment of ghrelin agonist, L692,585 or goldfish ghrelin peptides but not rat
ghrelin, elevated intracellular Ca(2+) level and phosphorylation of ERK in HEK
293 cells expressing zGHS-R1a, but not zGHS-R1b, zGHS-R1c, or zGHS-R2a. It
appears that besides core ghrelin peptide sequence of GS/TSF additional amino
acids are required for the activation of zGHS-R1a, as rat ghrelin induces neither
intracellular Ca(2+) mobilization nor ERK phosphrylation. These results suggest
that ghrelin system in zebrafish is highly conserved to that of mammals, and thus
is an ideal in vivo model for dissecting ghrelin system.
PMID- 24928277
TI - Induction of galectin-1 by TGF-beta1 accelerates fibrosis through enhancing
nuclear retention of Smad2.
AB - Fibrosis is one of the most serious side effects in cancer patients undergoing
radio-/ chemo-therapy, especially of the lung, pancreas or kidney. Based on our
previous finding that galectin-1 (Gal-1) was significantly increased during
radiation-induced lung fibrosis in areas of pulmonary fibrosis, we herein
clarified the roles and action mechanisms of Gal-1 during fibrosis. Our results
revealed that treatment with TGF-beta1 induced the differentiation of fibroblast
cell lines (NIH3T3 and IMR-90) to myofibroblasts, as evidenced by increased
expression of the fibrotic markers smooth muscle actin-alpha (alpha-SMA),
fibronectin, and collagen (Col-1). We also observed marked and time-dependent
increases in the expression level and nuclear accumulation of Gal-1. The TGF
beta1-induced increases in Gal-1, alpha-SMA and Col-1 were decreased by
inhibitors of PI3-kinase and p38 MAPK, but not ERK. Gal-1 knockdown using shRNA
decreased the phosphorylation and nuclear retention of Smad2, preventing the
differentiation of fibroblasts. Gal-1 interacted with Smad2 and phosphorylated
Smad2, which may accelerate fibrotic processes. In addition, up-regulation of Gal
1 expression was demonstrated in a bleomycin (BLM)-induced mouse model of lung
fibrosis in vivo. Together, our results indicate that Gal-1 may promote the TGF
beta1-induced differentiation of fibroblasts by sustaining nuclear localization
of Smad2, and could be a potential target for the treatment of pulmonary fibrotic
diseases.
PMID- 24928278
TI - Understanding conduct disorder: The ways in which mothers attempt to make sense
of their children's behaviour.
AB - 'Disruptive behaviour disorders' are the most common reason for referral to Child
and Adolescent Mental Health Services (CAMHS). Current treatment guidelines focus
on parent-training programmes. Difficulties are often reported when engaging
families, with parental attributions and attitudes towards help-seeking proposed
as influential factors. Previous research has tended to privilege pre-existing
frameworks; this study utilised qualitative methods to add to the current
understanding of the ways in which parents make sense of their children's
behaviour. Semi-structured interviews were carried out with six mothers,
recruited through CAMHS. Interpretative Phenomenological Analysis (IPA) revealed
four master themes: 'Understanding the Emotional Child', 'The Emotional Parent',
'Getting Help' and 'The Journey'. Participants used a variety of explanations in
order to make sense of their children's behaviour, including the impact of loss
and trauma. Help-seeking was associated with feelings of shame, and services were
often viewed as inconsistent and stigmatising. In contrast, positive experiences
were those which were characterised as being non-judgemental, normalising and
took into account the wider family context, including mothers' own emotional
needs. These findings were discussed in relation to existing research and
implications for clinical practice.
PMID- 24928279
TI - Psychometric properties of the Turkish version of the child PTSD symptom scale.
AB - OBJECTIVE: Psychometric properties of the Turkish version of the Child PTSD
Symptom Scale (CPSS) were examined in a sample of young individuals who
experienced a severe earthquake. METHOD: Subjects were 479 children and
adolescents recruited from schools after 18 months of Van earthquake. Mean age
was 12.83 (SD+/-1.88), ranging from 8 to 18. RESULTS: Psychometric features were
generally good for the CPSS. The original three-factor structure was replicated
in this study. Internal consistency of the scale was good (ranged from alpha=.70
to alpha=.89 for total and subscale scores). The CPSS demonstrated good
convergent validity with Child Post-Traumatic Stress Disorder Reaction Index
scores as well as good divergent validity with the State and Trait Anxiety
Inventory for Children and Child Depression Inventory. As an evidence for a good
discriminant validity, the CPSS successfully distinguished high PTSD individuals
from low PTSD individuals. CONCLUSION: The CPSS had sound psychometric properties
in a Turkish youth population.
PMID- 24928280
TI - Diagnostic performance of dual-energy contrast-enhanced subtracted mammography in
dense breasts compared to mammography alone: interobserver blind-reading
analysis.
AB - PURPOSE: To analyse the accuracy of dual-energy contrast-enhanced spectral
mammography in dense breasts in comparison with contrast-enhanced subtracted
mammography (CESM) and conventional mammography (Mx). MATERIALS AND METHODS: CESM
cases of dense breasts with histological proof were evaluated in the present
study. Four radiologists with varying experience in mammography interpretation
blindly read Mx first, followed by CESM. The diagnostic profiles, consistency and
learning curve were analysed statistically. RESULTS: One hundred lesions (28
benign and 72 breast malignancies) in 89 females were analysed. Use of CESM
improved the cancer diagnosis by 21.2 % in sensitivity (71.5 % to 92.7 %), by
16.1 % in specificity (51.8 % to 67.9 %) and by 19.8 % in accuracy (65.9 % to
85.8 %) compared with Mx. The interobserver diagnostic consistency was markedly
higher using CESM than using Mx alone (0.6235 vs. 0.3869 using the kappa ratio).
The probability of a correct prediction was elevated from 80 % to 90 % after 75
consecutive case readings. CONCLUSION: CESM provided additional information with
consistent improvement of the cancer diagnosis in dense breasts compared to Mx
alone. The prediction of the diagnosis could be improved by the interpretation of
a significant number of cases in the presence of 6 % benign contrast enhancement
in this study. KEY POINTS: * DE-CESM improves the cancer diagnosis in dense
breasts compared with mammography. * DE-CESM shows greater consistency than
mammography alone by interobserver blind reading. * Diagnostic improvement of DE
CESM is independent of the mammographic reading experience.
PMID- 24928281
TI - Reengineering Biomedical Translational Research with Engineering Ethics.
AB - It is widely accepted that translational research practitioners need to acquire
special skills and knowledge that will enable them to anticipate, analyze, and
manage a range of ethical issues. While there is a small but growing literature
that addresses the ethics of translational research, there is a dearth of
scholarship regarding how this might apply to engineers. In this paper we examine
engineers as key translators and argue that they are well positioned to ask
transformative ethical questions. Asking engineers to both broaden and deepen
their consideration of ethics in their work, however, requires a shift in the way
ethics is often portrayed and perceived in science and engineering communities.
Rather than interpreting ethics as a roadblock to the success of translational
research, we suggest that engineers should be encouraged to ask questions about
the socio-ethical dimensions of their work. This requires expanding the
conceptual framework of engineering beyond its traditional focus on "how" and
"what" questions to also include "why" and "who" questions to facilitate the
gathering of normative, socially-situated information. Empowering engineers to
ask "why" and "who" questions should spur the development of technologies and
practices that contribute to improving health outcomes.
PMID- 24928282
TI - Preparedness for a major incident: creation of an epidemiology protocol for a
health protection register in England.
AB - Large incidents and natural disasters are on the increase globally. They can have
a major impact lasting many years or decades; and can affect large groups of
people including those that are more susceptible to adverse consequences.
Following a major incident, it may be considered necessary to establish a
register of those people affected by the incident to provide appropriate advice
on relevant immediate and longer-term public health interventions that may be
required, provide reassurance to the public that their care is paramount, to
reassure the worried well to avoid them inappropriately overwhelming local
services, and to facilitate epidemiological investigations. Arrangements for the
prompt follow-up of populations after large incidents or disasters have been
agreed in England and a protocol for establishing a register of individuals
potentially affected by a large incident has been developed. It is important for
countries to have a protocol for implementing a health register if the
circumstances require one to be in place, and are supported by Public Health
Authorities. Health registers facilitate the initial descriptive epidemiology of
exposure and provide the opportunity of carrying out long term analytical studies
on the affected population. Such epidemiological studies provide a greater
understanding of the impact that a large incident can have on health, which in
turn helps in the planning of health care provision. Registers can also assist
more directly in providing access to individuals in need of physical and mental
health interventions. The challenge that still remains is to formally pilot the
register in the field and refine it based on that experience.
PMID- 24928284
TI - Effects of omega3 supplementation in elderly patients with acute myocardial
infarction: design of a prospective randomized placebo controlled study.
AB - BACKGROUND: Both epidemiological and randomized clinical studies suggest that
supplementation with very-long-chain marine polyunsaturated n-3 fatty acids (n-3
PUFA) have cardioprotective effects, however these results are not without
controversy. Study population, sample-size, type of supplementation and type of
endpoint have all varied widely accross different studies.Therefore, the aims of
the present study are to evaluate the effect of 2 years supplementation with
capsules of very-long chain marine n-3 PUFA on top of standard therapy in elderly
patients after acute myocardial infarction (AMI).In addition, special
characteristics of this population with regard to prediction of clinical outcome
will be investigated. The hypothesis is that this supplementation on top of
modern therapy will reduce the occurence of major cardiovascular events (MACE).
We present the design of the OMEMI (OMega-3 fatty acids in Elderly patients with
Myocardial Infarction) study. METHODS/DESIGN: The OMEMI study is designed as a
randomized, placebo-controlled double-blind multicenter trial.Included are
patients >=70-82 years of age who have sustained AMI. Patients of either gender
are eligible. Sample size calculation based on existing literature has resulted
in the need for 1400 patients followed for 2 years, based on the assumption that
the n-3 PUFA supplementation will reduce MACE with 30%. The study medication is
Pikasol(r) Axellus AS, Norway, 3 capsules (1.8 g eicosapentaenoic acid (EPA) +
docohexaenoic acid (DHA)) per day, and matching placebo is corn oil. The Primary
end-point is the composite of total mortality, first non-fatal recurring AMI,
stroke and revascularization. Secondary end-point is the occurrence of new onset
atrial fibrillation. Extensive biobanking will be performed, including adipose
tissue biopsies. Compliance will be assessed by measurements of the fatty acid
profile in serum, sampled at inclusion, after 12 months and at the end of study.
DISCUSSION: The OMEMI study is scheduled to terminate when the last included
patient has been followed for 2 years. To the best of our knowledge, the OMEMI
study is the first to evaluate the effect of n-3 PUFAs on CVDs and mortality in a
high risk elderly population having suffered an acute myocardial infarction.
TRIAL REGISTRATION: ClinicalTrials.gov, NCT01841944.
PMID- 24928285
TI - The two sides of warfare: an extended model of altruistic behavior in ancestral
human intergroup conflict.
AB - Building on and partially refining previous theoretical work, this paper presents
an extended simulation model of ancestral warfare. This model (1) disentangles
attack and defense, (2) tries to differentiate more strictly between selfish and
altruistic efforts during war, (3) incorporates risk aversion and deterrence, and
(4) pays special attention to the role of brutality. Modeling refinements and
simulation results yield a differentiated picture of possible evolutionary
dynamics. The main observations are: (a) Altruism in this model is more likely to
evolve for defenses than for attacks. (b) Risk aversion, deterrence, and the
interplay of migration levels and brutality can change evolutionary dynamics
substantially. (c) Unexpectedly, one occasional simulation outcome is a
dynamically stable state of "tolerated intergroup theft," raising the question as
to whether corresponding patterns also exist in real intergroup conflicts.
Finally, possible implications for theories of the coevolution of bellicosity and
altruism in humans are discussed.
PMID- 24928286
TI - Intramuscular midazolam versus intravenous diazepam for treatment of seizures in
the pediatric emergency department: a randomized clinical trial.
AB - AIM: To compare the therapeutic efficacy of intramuscular midazolam (MDZ-IM) with
that of intravenous diazepam (DZP-IV) for seizures in children. DESIGN:
Randomized clinical trial. SETTING: Pediatric emergency department. PATIENTS:
Children aged 2 months to 14 years admitted to the study facility with seizures.
INTERVENTION: Patients were randomized to receive DZP-IV or MDZ-IM. MAIN
MEASUREMENTS: Groups were compared with respect to time to treatment start (min),
time from drug administration to seizure cessation (min), time to seizure
cessation (min), and rate of treatment failure. Treatment was considered
successful when seizure cessation was achieved within 5min of drug
administration. RESULTS: Overall, 32 children (16 per group) completed the study.
Intravenous access could not be obtained within 5min in four patients (25%) in
the DZP-IV group. Time from admission to active treatment and time to seizure
cessation was shorter in the MDZ-IM group (2.8 versus 7.4min; p<0.001 and 7.3
versus 10.6min; p=0.006, respectively). In two children per group (12.5%),
seizures continued after 10min of treatment, and additional medications were
required. There were no between-group differences in physiological parameters or
adverse events (p=0.171); one child (6.3%) developed hypotension in the MDZ-IM
group and five (31%) developed hyperactivity or vomiting in the DZP-IV group.
CONCLUSION: Given its efficacy and ease and speed of administration,
intramuscular midazolam is an excellent option for treatment of childhood
seizures, enabling earlier treatment and shortening overall seizure duration.
There were no differences in complications when applying MDZ-IM or DZP-IV.
PMID- 24928287
TI - Editorial commentary: Trichomonas vaginalis testing and screening in a high-risk
population: is this a glimpse into the future?
PMID- 24928289
TI - Editorial commentary: some perspectives regarding risk factors for a(H7N9)
influenza virus infection in humans.
PMID- 24928290
TI - Vertical transmission of hepatitis C virus: systematic review and meta-analysis.
AB - BACKGROUND: We conducted a systematic review of estimates of hepatitis C virus
(HCV) vertical transmission risk to update current estimates published more than
a decade ago. METHODS: PubMed and Embase were searched and 109 articles were
included. Pooled estimates of risk were generated for children born to HCV
antibody-positive and viremic women, aged >=18 months, separately by maternal
human immunodeficiency virus (HIV) coinfection. RESULTS: Meta-analysis of the
risk of vertical HCV infection to children of HCV antibody-positive and RNA
positive women was 5.8% (95% confidence interval [CI], 4.2%-7.8%) for children of
HIV-negative women and 10.8% (95% CI, 7.6%-15.2%) for children of HIV-positive
women. The adjusted meta-regression model explained 51% of the between-study
variation in the 25 included risk estimates. Maternal HIV coinfection was the
most important determinant of vertical transmission risk (adjusted odds ratio,
2.56 [95% CI, 1.50-4.43]). Additional methodological (follow-up rate and
definition of infection in children) and risk factors independently predicted HCV
infection and need to be captured and reported by future studies of vertical
transmission. Studies assessing the contribution of nonvertical exposures in
early childhood to HCV prevalence among children at risk of vertical transmission
are needed. CONCLUSIONS: More than 1 in every 20 children delivered by HCV
chronically infected women are infected, highlighting that vertical transmission
likely constitutes the primary transmission route among children. These updated
estimates are a basis for decision making in prioritization of research into risk
reducing measures, and inform case management in clinical settings, especially
for HIV-positive women in reproductive age.
PMID- 24928291
TI - Clinical efficacy of polyspecific intravenous immunoglobulin therapy in patients
with streptococcal toxic shock syndrome: a comparative observational study.
AB - BACKGROUND: Streptococcal toxic shock syndrome (STSS) and necrotizing fasciitis
are the 2 most severe invasive manifestations caused by group A Streptococcus
(GAS). Intravenous immunoglobulin (IVIG) therapy has been suggested as adjunctive
treatment with a beneficial effect on mortality. However the clinical evidence is
limited. Here we aim to further document the clinical efficacy of administered
IVIG therapy in a comparative observational study of well-defined patients with
STSS. METHODS: The effect of IVIG was evaluated in patients with STSS
prospectively identified in a nationwide Swedish surveillance study conducted
between April 2002 and December 2004. Detailed data on symptoms, severity of
disease, treatment, and outcome were obtained from 67 patients. Crude and
adjusted analyses with logistic regression were performed. RESULTS: Twenty-three
patients received IVIG therapy compared with 44 who did not. No significant
difference in comorbidities, severity of disease, organ failures, or sex was
seen, but the IVIG group was slightly younger and had a higher degree of
necrotizing fasciitis (56% vs 14%). The primary endpoint was 28-day survival.
Adjusted analysis revealed that factors influencing survival in STSS were
Simplified Acute Physiology Score II (odds ratio [OR], 1.1; P = .007),
clindamycin (OR, 8.6; P = .007), and IVIG (OR, 5.6; P = .030). CONCLUSIONS: This
comparative observational study of prospectively identified STSS patients
demonstrates that both IVIG and clindamycin therapy contribute to a significantly
improved survival in STSS.
PMID- 24928292
TI - Added benefit of nucleic acid amplification testing for the diagnosis of
Trichomonas vaginalis among men and women attending a sexually transmitted
diseases clinic.
AB - BACKGROUND: Trichomonas vaginalis (TV) is the most common nonviral sexually
transmitted infection (STI) in the world. However, TV is not a reportable STI
and, with the exception of HIV-positive women, there are no guidelines for
screening in women or men. The objective of this study was to determine the added
value of nucleic acid amplification tests (NAATs) for detection of TV in men and
women at high risk for infection as well as correlates of infection. METHODS:
This was a review of clinical and laboratory data of men and women presenting to
the Jefferson County Department of Health Sexually Transmitted Diseases (STD)
Clinic and receiving a TV NAAT. RESULTS: During 2012-2013, 6335 patients (3821
women and 2514 men) received a TV NAAT on endocervical, urethral, or urine
specimens. Overall TV prevalence was 20.2%; 27.0% in women and 9.8% in men.
Correlates of TV among men included age >40 years, African American race, and >=5
polymorphonuclear cells per high-power field on urethral Gram stain. Age >40
years, African American race, leukorrhea on wet mount, elevated vaginal pH,
positive whiff test, and concurrent gonococcal infection were positively
associated with TV among women. TV NAAT detected approximately one-third more
infections among women than wet mount alone. CONCLUSIONS: TV prevalence among men
and women was high in this study, suggesting that both groups should be routinely
screened, including those aged >40 years. Improved detection of TV by routine
implementation of NAATs should result in better control of this common, treatable
STI.
PMID- 24928293
TI - Risk factors for influenza A(H7N9) disease--China, 2013.
AB - BACKGROUND: The majority of human cases of novel avian influenza A(H7N9), which
emerged in China in spring 2013, include reported exposure to poultry. However,
specific host and exposure risk factors for disease are unknown, yet critical to
design prevention measures. METHODS: In April-June 2013, we conducted a case
control study in 8 Chinese provinces. Patients with laboratory-confirmed A(H7N9)
(n = 89) were matched by age, sex, and neighborhood to controls (n = 339).
Subjects completed a questionnaire on medical history and potential exposures,
including poultry markets and other poultry exposure. We used conditional
logistic regression to calculate matched and adjusted odds ratios (ORs) for the
association of A(H7N9) virus infection with potential risk factors. RESULTS:
Fifty-five percent of patients compared with 31% of controls reported any contact
with poultry (matched OR [mOR], 7.8; 95% confidence interval [CI], 3.3-18.8).
Sixty-seven percent of patients compared with 35% of controls visited a live
poultry market (mOR, 5.4; CI, 3.0-9.7). Visiting live poultry markets increased
risk of infection even after adjusting for poultry contact and other confounders
(adjusted OR, 3.4; CI, 1.8-6.7). Backyard poultry were not associated with
increased risk; 14% of cases did not report any poultry exposure or market visit.
Obesity (mOR, 4.7; CI, 1.8-12.4), chronic obstructive pulmonary disease (mOR,
2.7; CI, 1.1-6.9), and immunosuppressive medications (mOR, 9.0; CI, 1.7-47.2)
were associated with A(H7N9) disease. CONCLUSION: Exposures to poultry in markets
were associated with A(H7N9) virus infection, even without poultry contact. China
should consider permanently closing live poultry markets or aggressively pursuing
control measures to prevent spread of this emerging pathogen.
PMID- 24928294
TI - Hospital-wide rollout of antimicrobial stewardship: a stepped-wedge randomized
trial.
AB - Our objective was to rigorously evaluate the impact of an antimicrobial
stewardship audit-and-feedback intervention, via a stepped-wedge randomized
trial. An effective intensive care unit (ICU) audit-and-feedback program was
rolled out to 6 non-ICU services in a randomized sequence. The primary outcome
was targeted antimicrobial utilization, using a negative binomial regression
model to assess the impact of the intervention while accounting for secular and
seasonal trends. The intervention was successfully transitioned, with high
volumes of orders reviewed, suggestions made, and recommendations accepted. Among
patients meeting stewardship review criteria, the intervention was associated
with a large reduction in targeted antimicrobial utilization (-21%, P = .004);
however, there was no significant change in targeted antibiotic use among all
admitted patients (-1.2%, P = .9), and no reductions in overall costs and
microbiologic outcomes. An ICU day 3 audit-and-feedback program can be
successfully expanded hospital-wide, but broader benefits on non-ICU wards may
require interventions earlier in the course of treatment.
PMID- 24928295
TI - Syphilis predicts HIV incidence among men and transgender women who have sex with
men in a preexposure prophylaxis trial.
AB - BACKGROUND: Syphilis infection may potentiate transmission of human
immunodeficiency virus (HIV). We sought to determine the extent to which HIV
acquisition was associated with syphilis infection within an HIV preexposure
prophylaxis (PrEP) trial and whether emtricitabine/tenofovir (FTC/TDF) modified
that association. METHODS: The Preexposure Prophylaxis Initiative (iPrEx) study
randomly assigned 2499 HIV-seronegative men and transgender women who have sex
with men (MSM) to receive oral daily FTC/TDF or placebo. Syphilis prevalence at
screening and incidence during follow-up were measured. Hazard ratios for the
effect of incident syphilis on HIV acquisition were calculated. The effect of
FTC/TDF on incident syphilis and HIV acquisition was assessed. RESULTS: Of 2499
individuals, 360 (14.4%) had a positive rapid plasma reagin test at screening;
333 (92.5%) had a positive confirmatory test, which did not differ between the
arms (FTC/TDF vs placebo, P = .81). The overall syphilis incidence during the
trial was 7.3 cases per 100 person-years. There was no difference in syphilis
incidence between the study arms (7.8 cases per 100 person-years for FTC/TDF vs
6.8 cases per 100 person-years for placebo, P = .304). HIV incidence varied by
incident syphilis (2.8 cases per 100 person-years for no syphilis vs 8.0 cases
per 100 person-years for incident syphilis), reflecting a hazard ratio of 2.6
(95% confidence interval, 1.6-4.4; P < .001). There was no evidence for
interaction between randomization to the FTC/TDF arm and incident syphilis on HIV
incidence. CONCLUSIONS: In HIV-seronegative MSM, syphilis infection was
associated with HIV acquisition in this PrEP trial; a syphilis diagnosis should
prompt providers to offer PrEP unless otherwise contraindicated.
PMID- 24928296
TI - Highly efficient energy transfer from a carbonyl carotenoid to chlorophyll a in
the main light harvesting complex of Chromera velia.
AB - We report on energy transfer pathways in the main light-harvesting complex of
photosynthetic relative of apicomplexan parasites, Chromera velia. This complex,
denoted CLH, belongs to the family of FCP proteins and contains chlorophyll (Chl)
a, violaxanthin, and the so far unidentified carbonyl carotenoid related to
isofucoxanthin. The overall carotenoid-to-Chl-a energy transfer exhibits
efficiency over 90% which is the largest among the FCP-like proteins studied so
far. Three spectroscopically different isofucoxanthin-like molecules were
identified in CLH, each having slightly different energy transfer efficiency that
increases from isofucoxanthin-like molecules absorbing in the blue part of the
spectrum to those absorbing in the reddest part of spectrum. Part of the energy
transfer from carotenoids proceeds via the ultrafast S2 channel of both the
violaxanthin and isofucoxanthin-like carotenoid, but major energy transfer
pathway proceeds via the S1/ICT state of the isofucoxanthin-like carotenoid. Two
S1/ICT-mediated channels characterized by time constants of ~0.5 and ~4ps were
found. For the isofucoxanthin-like carotenoid excited at 480nm the slower channel
dominates, while those excited at 540nm employs predominantly the fast 0.5ps
channel. Comparing these data with the excited-state properties of the
isofucoxanthin-like carotenoid in solution we conclude that, contrary to other
members of the FCP family employing carbonyl carotenoids, CLH complex suppresses
the charge transfer character of the S1/ICT state of the isofucoxanthin-like
carotenoid to achieve the high carotenoid-to-Chl-a energy transfer efficiency.
PMID- 24928297
TI - Predicting the influence of multiple components on microbial inhibition using a
logistic response model--a novel approach.
AB - BACKGROUND: There are several synergistic methods available. However, there is a
vast discrepancy in the interpretation of the synergistic results. Also, these
synergistic methods do not assess the influence the tested components (drugs,
plant and natural extracts), have upon one another, when more than two components
are combined. METHODS: A modified checkerboard method was used to evaluate the
synergistic potential of Heteropyxis natalensis, Melaleuca alternifolia, Mentha
piperita and the green tea extract known as TEAVIGOTM. The synergistic
combination was tested against the oral pathogens, Streptococcus mutans,
Prevotella intermedia and Candida albicans. Inhibition data obtained from the
checkerboard method, in the form of binary code, was used to compute a logistic
response model with statistically significant results (p < 0.05). This
information was used to construct a novel predictive inhibition model. RESULTS:
Based on the predictive inhibition model for each microorganism, the oral
pathogens tested were successfully inhibited (at 100% probability) with their
respective synergistic combinations. The predictive inhibition model also
provided information on the influence that different components have upon one
another, and on the overall probability of inhibition. CONCLUSIONS: Using the
logistic response model negates the need to 'calculate' synergism as the results
are statistically significant. In successfully determining the influence multiple
components have upon one another and their effect on microbial inhibition, a
novel predictive model was established. This ability to screen multiple
components may have far reaching effects in ethnopharmacology, agriculture and
pharmaceuticals.
PMID- 24928298
TI - [Arterial hypertension in Dakar: Prevalence, awareness, treatment, and control].
AB - The aim of this study was to determine prevalence, awareness, treatment, and
control of hypertension, and its risk factors in Dakar. Six hundred people aged
20 and older, living in the city of Dakar were interviewed. This sample was
constructed using the combined quota method in order to strive to
representativeness of the target population. Prevalence of hypertension was
27.50%. Quarter of those suffering from high blood pressure were aware of their
problem, and among the latter, 61% said they were on treatment. However, of
these, only 32% had controlled arterial blood pressure, that is less than 6% of
those suffering from hypertension. Two factors were associated with hypertension,
awareness, and treatment: age and the frequency of doctor visits. These results
indicate that hypertension already constitutes a major health concern in the
Senegalese capital. Detection can be considerably improved given that only a
quarter of the hypertensives are aware of this problem. Compliance with
treatments also appears particularly problematic. Public health policies should
be quickly set up to minimize the consequences of this emerging burden.
PMID- 24928299
TI - Childhood leukemia mortality and farming exposure in South Korea: A national
population-based birth cohort study.
AB - OBJECTIVES: The aim of this study was to evaluate the relationship between
leukemia mortality and exposure to farming among children in South Korea.
METHODS: A retrospective cohort study of South Korean children was conducted
using data collected by the national birth register between 1995 and 2006; these
data were then individually linked to death data. A cohort of 6,479,406 children
was followed from birth until either their death or until December 31, 2006. For
surrogate measures of pesticide exposure, we used residence at birth, paternal
occupation, and month of conception from the birth certificate. Farming and
pesticide exposure indexes by county were calculated using information derived
from the 2000 agricultural census. Poisson regression analyses were used to
calculate rate ratios (RRs) of childhood leukemia deaths according to indices of
exposure to agricultural pesticides after adjustment for potential confounders.
RESULTS: In total 585 leukemia deaths were observed during the study period.
Childhood leukemia mortality was significantly elevated in children born in rural
areas (RR=1.43, 95%CI 1.09-1.86) compared to those in metropolises, and in
counties with both the highest farming index (RR=1.33, 95%CI 1.04-1.69) and
pesticide exposure index (RR=1.30, 95%CI 1.02-1.66) compared to those in the
reference group. However, exposure-response associations were significant only in
relation to the farming index. When the analyses were limited to rural areas, the
risk of death from leukemia among boys conceived between spring and fall
increased over those conceived in winter. CONCLUSIONS: Our results show an
increase in mortality from childhood leukemia in rural areas; however, further
studies are warranted to investigate the environmental factors contributing to
the excess mortality from childhood leukemia in rural areas.
PMID- 24928300
TI - Semi-adiabatic Shinnar-Le Roux pulses and their application to diffusion tensor
imaging of humans at 7T.
AB - The adiabatic Shinnar-Le Roux (SLR) algorithm for radiofrequency (RF) pulse
design enables systematic control of pulse parameters such as bandwidth, RF
energy distribution and duration. Some applications, such as diffusion-weighted
imaging (DWI) at high magnetic fields, would benefit from RF pulses that can
provide greater B1 insensitivity while adhering to echo time and specific
absorption rate (SAR) limits. In this study, the adiabatic SLR algorithm was
employed to generate 6-ms and 4-ms 180 degrees semi-adiabatic RF pulses which
were used to replace the refocusing pulses in a twice-refocused spin echo (TRSE)
diffusion-weighted echo planar imaging (DW-EPI) sequence to create two versions
of a twice-refocused adiabatic spin echo (TRASE) sequence. The two versions were
designed for different trade-offs between adiabaticity and echo time. Since a
pair of identical refocusing pulses is applied, the quadratic phase imposed by
the first is unwound by the second, preserving the linear phase created by the
excitation pulse. In vivo images of the human brain obtained at 7Testa (7T)
demonstrate that both versions of the TRASE sequence developed in this study
achieve more homogeneous signal in the diffusion-weighted images than the
conventional TRSE sequence. Semi-adiabatic SLR pulses offer a more B1-insensitive
solution for diffusion preparation at 7T, while operating within SAR constraints.
This method may be coupled with any EPI readout trajectory and parallel imaging
scheme to provide more uniform coverage for diffusion tensor imaging at 7T and
3T.
PMID- 24928301
TI - A SVM-based quantitative fMRI method for resting-state functional network
detection.
AB - Resting-state functional magnetic resonance imaging (fMRI) aims to measure
baseline neuronal connectivity independent of specific functional tasks and to
capture changes in the connectivity due to neurological diseases. Most existing
network detection methods rely on a fixed threshold to identify functionally
connected voxels under the resting state. Due to fMRI non-stationarity, the
threshold cannot adapt to variation of data characteristics across sessions and
subjects, and generates unreliable mapping results. In this study, a new method
is presented for resting-state fMRI data analysis. Specifically, the resting
state network mapping is formulated as an outlier detection process that is
implemented using one-class support vector machine (SVM). The results are refined
by using a spatial-feature domain prototype selection method and two-class SVM
reclassification. The final decision on each voxel is made by comparing its
probabilities of functionally connected and unconnected instead of a threshold.
Multiple features for resting-state analysis were extracted and examined using an
SVM-based feature selection method, and the most representative features were
identified. The proposed method was evaluated using synthetic and experimental
fMRI data. A comparison study was also performed with independent component
analysis (ICA) and correlation analysis. The experimental results show that the
proposed method can provide comparable or better network detection performance
than ICA and correlation analysis. The method is potentially applicable to
various resting-state quantitative fMRI studies.
PMID- 24928302
TI - Multiplicative intrinsic component optimization (MICO) for MRI bias field
estimation and tissue segmentation.
AB - This paper proposes a new energy minimization method called multiplicative
intrinsic component optimization (MICO) for joint bias field estimation and
segmentation of magnetic resonance (MR) images. The proposed method takes full
advantage of the decomposition of MR images into two multiplicative components,
namely, the true image that characterizes a physical property of the tissues and
the bias field that accounts for the intensity inhomogeneity, and their
respective spatial properties. Bias field estimation and tissue segmentation are
simultaneously achieved by an energy minimization process aimed to optimize the
estimates of the two multiplicative components of an MR image. The bias field is
iteratively optimized by using efficient matrix computations, which are verified
to be numerically stable by matrix analysis. More importantly, the energy in our
formulation is convex in each of its variables, which leads to the robustness of
the proposed energy minimization algorithm. The MICO formulation can be naturally
extended to 3D/4D tissue segmentation with spatial/sptatiotemporal
regularization. Quantitative evaluations and comparisons with some popular
softwares have demonstrated superior performance of MICO in terms of robustness
and accuracy.
PMID- 24928304
TI - Vascular manifestations of pulmonary adenocarcinoma: a case report.
PMID- 24928303
TI - Elevated C-reactive protein is associated with lower increase in knee muscle
strength in patients with knee osteoarthritis: a 2-year follow-up study in the
Amsterdam Osteoarthritis (AMS-OA) cohort.
AB - INTRODUCTION: The aim of this study was to examine the associations of elevated
serum C-reactive protein (CRP) and erythrocyte sedimentation rate (ESR) with
change in muscle strength in patients with established knee osteoarthritis (OA),
at 2 years. METHODS: Data from 186 patients with knee OA were gathered at
baseline and at 2-year follow-up. CRP (in milligrams per liter) and ESR (in
millimeters per hour) were measured in serum from patients' blood. Strength of
quadriceps and hamstrings muscles was assessed by using an isokinetic
dynamometer. The association of inflammatory markers with change in knee muscle
strength was analyzed by using uni- and multi-variate linear regression models.
RESULTS: Patients with elevated CRP values at both baseline and 2-year follow-up
exhibited a lower increase in knee muscle strength for a period of 2 years (beta
= -0.22; P = 0.01) compared with the group with non-elevated levels at both times
of assessment. The association persisted after adjustment for relevant
confounders. Elevated ESR values at both times of assessment were not
significantly associated with change in knee muscle strength (beta = -0.05; P =
0.49). CONCLUSIONS: Our results indicate that elevated CRP values are related to
a lower gain in muscle strength over time in patients with established knee OA.
Although the mechanism to explain this relationship is not fully elucidated,
these results suggest inflammation as a relevant factor influencing muscle
strength in this group of patients.
PMID- 24928305
TI - The open access movement: a chance to re-evaluate the peer review process?
PMID- 24928306
TI - A cross-sectional questionnaire assessing patient and physician use of short-term
prophylaxis for hereditary angioedema.
AB - BACKGROUND: Current guidelines recommend short-term prophylaxis (STP) before
invasive procedures to prevent hereditary angioedema (HAE) attacks; however,
adherence to these guidelines may be variable because this indication lacks Food
and Drug Administration approval in the United States. OBJECTIVE: To ascertain
the STP experiences of patients with HAE and HAE-treating physicians. METHODS:
Online questionnaires focusing on STP experiences were distributed by the US
Hereditary Angioedema Association to the first 250 patients with HAE and to
registered HAE-treating physicians. SAS 9.3 was used to perform descriptive
statistics and to test the difference between patients who underwent procedures
and those who did not using Pearson chi(2) test, Fisher exact test, and 2-sample
t test. RESULTS: For the patient survey, 219 respondents met the criteria for HAE
type 1 and 2; 37 (17%) underwent 66 invasive procedures, and all reported
receiving STP. Eight patients (22%) reported failed STP, but only 3 required on
demand therapy. For STP, anabolic steroids and plasma-derived C1 inhibitor were
the most and second-most commonly used, respectively. For the physician survey,
37 physicians reported caring for 433 patients with HAE. Depending on the
procedure, 19% to 54% of physicians used STP and 30% to 86% prescribed on-demand
therapy; 69% and 78% of physicians prescribed plasma-derived C1 inhibitor as STP
for minimally invasive and invasive procedures, respectively. Physicians reported
excellent efficacy for the STP treatments used. CONCLUSION: Physicians reported
excellent outcomes using primarily newer STP therapies, namely plasma-derived C1
inhibitor, which was discordant to patient-reported outcomes using older STP
therapies, namely anabolic steroids. Well-controlled STP studies are needed to
clarify use for patients with HAE in the United States.
PMID- 24928307
TI - Perceptions regarding injection number and technique.
PMID- 24928308
TI - Soluble DPP4 induces inflammation and proliferation of human smooth muscle cells
via protease-activated receptor 2.
AB - DPP4 is an ubiquitously expressed cell-surface protease that is shedded to the
circulation as soluble DPP4 (sDPP4). We recently identified sDPP4 as a novel
adipokine potentially linking obesity to the metabolic syndrome. The aim of this
study was to investigate direct effects of sDPP4 on human vascular smooth muscle
cells (hVSMCs) and to identify responsible signaling pathways. Using
physiological concentrations of sDPP4, we could observe a concentration-dependent
activation of ERK1/2 (3-fold) after 6h, which remained stable for up to 24h.
Additionally, sDPP4 treatment induced a 1.5-fold phosphorylation of the NF-kappaB
subunit p65. In accordance with sDPP4-induced stress and inflammatory signaling,
sDPP4 also stimulates hVSMC proliferation. Furthermore we could observe an
increased expression and secretion of pro-inflammatory cytokines like interleukin
(IL)-6, IL-8 and MCP-1 (2.5-, 2.4- and 1.5-fold, respectively) by the sDPP4
treatment. All direct effects of sDPP4 on signaling, proliferation and
inflammation could completely be prevented by DPP4 inhibition. Bioinformatic
analysis and signaling signature induced by sDPP4 suggest that sDPP4 might be an
agonist for PAR2. After the silencing of PAR2, the sDPP4-induced ERK activation
as well as the proliferation was totally abolished. Additionally, the sDPP4
induced upregulation of IL-6 and IL-8 could completely be prevented by the PAR2
silencing. In conclusion, we show for the first time that sDPP4 directly
activates the MAPK and NF-kappaB signaling cascade involving PAR2 and resulting
in the induction of inflammation and proliferation of hVSMC. Thus, our in vitro
data might extend the current view of sDPP4 action and shed light on
cardiovascular effects of DPP4-inhibitors.
PMID- 24928310
TI - A review of novel therapies for melanoma.
AB - This review summarizes results from major recent trials regarding novel
therapeutic agents in melanoma. The topics discussed include targeted therapy
with BRAF (V-RAF murine sarcoma viral oncogene homolog B) inhibitors (vemurafenib
and dabrafenib), MEK (mitogen-activated protein kinase kinase) inhibitors
(trametinib), bcr-abl/c-kit/PDGF-R inhibitors (imatinib), and angiogenesis
inhibitors (bevacizumab and aflibercept), as well as immunotherapy with anti-CTLA
4 (anti-cytotoxic T-lymphocyte antigen-4) antibodies (ipilimumab), anti-PD (anti
programmed death receptor) antibodies (nivolumab and lambrolizumab), and anti-PD
L (anti-programmed death ligand) antibodies. Various combinations of these
agents, as well as adjunctive GM-CSF (granulocyte-macrophage colony-stimulating
factor), T-VEC (talimogene laherparepvec) oncolytic viruses, and novel
chemotherapeutic agents, are also described. Despite the tremendous advances that
these novel treatments have created, optimal therapeutic agent selection remains
a highly individualized decision. Melanoma therapy has vastly progressed since
the days when dacarbazine was the sole option for advanced melanoma patients. The
molecular understanding of melanoma pathogenesis has yielded a brighter future
for advanced melanoma patients.
PMID- 24928309
TI - Netrin-1 improves post-injury cardiac function in vivo via DCC/NO-dependent
preservation of mitochondrial integrity, while attenuating autophagy.
AB - Reperfusion injury of the heart is a severe complication of angioplasty treatment
of acute myocardial ischemia, for which no therapeutics are currently available.
The present study aimed to identify whether and how a novel protein, netrin-1,
induces cardioprotection in vivo during ischemia/reperfusion (I/R) injury. Wild
type (WT) C57BL6/J mice were subjected to a 30 min coronary occlusion followed by
a 24h reperfusion with vehicle (normal saline), netrin-1, UO126 (MEK1/2
inhibitor), PTIO (nitric oxide/NO scavenger), netrin-1/UO126 or netrin-1/PTIO
intraventricularly. Some were injected of netrin-1 via tail vein. Netrin-1 at
5MUg/kg induced a substantial reduction in infarct size (19.7 +/- 5.0% from 41.3
+/- 1.8% in the controls), and markedly improved cardiac function as measured by
ejection fraction and fractional shortening from echocardiography. Experiments
with mice deficient in netrin-1 receptor DCC (deleted in colorectal cancer, DCC+/
), or reperfusion with netrin-1/UO126 or netrin-1/PTIO, attenuated the protective
effects of netrin-1, implicating intermediate roles of DCC, ERK1/2 and NO. Netrin
1 induced phosphorylation of ERK1/2 and eNOS was abolished in DCC+/-mice.
Electron spin resonance (ESR) determination of NO production from isolated left
ventricles demonstrated that netrin-1 improves NO bioavailability, which was
attenuated by UO126 or in DCC+/-mice, suggesting upstream roles of DCC and ERK1/2
in NO production. Netrin-1 further reduced mitochondrial swelling and
mitochondrial superoxide production, which was absent when co-treated with PTIO
or UO126, or in DCC+/-mice, indicating critical roles of DCC, ERK1/2 and NO in
preserving mitochondrial integrity. In a permanent coronary ligation model of
myocardial infarction (MI) to assess post-MI remodeling, netrin-1 abolished the
marked increase in autophagy. In summary, our data demonstrate robust
cardioprotective effect of netrin-1 in vivo, as shown by reduced infarct size and
improved cardiac function. Mechanistically, this protection is mediated by netrin
1 receptor DCC, and NO dependent preservation of mitochondria. This work clearly
establishes a therapeutic potential of netrin-1 for acute treatment of MI,
perhaps also for chronic post-MI remodeling. This article is part of a Special
Issue entitled: Autophagy and protein quality control in cardiometabolic
diseases.
PMID- 24928311
TI - Antibiotic treatment patterns across Europe in patients with complicated skin and
soft-tissue infections due to meticillin-resistant Staphylococcus aureus: a plea
for implementation of early switch and early discharge criteria.
AB - This retrospective observational medical chart review aimed to describe country
specific variations across Europe in real-world meticillin-resistant
Staphylococcus aureus (MRSA) complicated skin and soft-tissue infection (cSSTI)
treatment patterns, antibiotic stewardship activity, and potential opportunities
for early switch (ES) from intravenous (i.v.) to oral formulations and early
discharge (ED) from hospital using standardised data collection and criteria and
economic implications of these opportunities. Patients were randomly sampled from
12 countries (Austria, Czech Republic, France, Germany, Greece, Ireland, Italy,
Poland, Portugal, Slovakia, Spain and the UK), aged >=18 years, with documented
MRSA cSSTI, hospitalised between 1 July 2010 and 30 June 2011, discharged alive
by 31 July 2011. Of 1502 patients, 1468 received MRSA-targeted therapy.
Intravenous-to-oral switch rates ranged from 2.0% to 20.2%, i.v. length of
therapy from 10.1 to 18.6 days and hospital length of stay (LoS) from 15.2 to
25.0 days across Europe. Of 341 sites, 82.9% had antibiotic steering committees,
23.7% had i.v.-to-oral switch antibiotic protocols and 12.9% had ED protocols for
MRSA cSSTI. ES and ED eligibility ranged from 12.0% (Slovakia) to 56.3% (Greece)
and from 10% (Slovakia) to 48.2% (Portugal), respectively. Potential cost savings
per ED-eligible patient ranged from ?414 (Slovakia) to ?2703 (France). MRSA cSSTI
treatment patterns varied widely across countries, but further reductions in i.v.
therapy, hospital LoS and associated costs could be realised. These data provide
insight into clinical practice patterns across diverse European healthcare
systems and identify potential opportunities for local clinicians and policy
makers to improve clinical care and cost-effectiveness of this therapeutic area.
PMID- 24928312
TI - The lone S41 family C-terminal processing protease in Staphylococcus aureus is
localized to the cell wall and contributes to virulence.
AB - Staphylococcus aureus is a versatile pathogen of humans and a continued public
health concern due to the rise and spread of multidrug-resistant strains. As part
of an ongoing investigation into the pathogenic mechanisms of this organism we
previously demonstrated that an intracellular N-terminal processing protease is
required for S. aureus virulence. Following on from this, here we examine the
role of CtpA, the lone C-terminal processing protease of S. aureus. CtpA, a
member of the S41 family, is a serine protease whose homologues in Gram-negative
bacteria have been implicated in a range of biological functions, including
pathogenesis. We demonstrate that S. aureus CtpA is localized to the bacterial
cell wall and expression of the ctpA gene is maximal upon exposure to conditions
encountered during infection. Disruption of the ctpA gene leads to decreased heat
tolerance and increased sensitivity when exposed to components of the host immune
system. Finally we demonstrate that the ctpA(-) mutant strain is attenuated for
virulence in a murine model of infection. Our results represent the first
characterization of a C-terminal processing protease in a pathogenic Gram
positive bacterium and show that it plays a critical role during infection.
PMID- 24928314
TI - High spatial resolution in vivo magnetic resonance imaging of the human eye,
orbit, nervus opticus and optic nerve sheath at 7.0 Tesla.
PMID- 24928313
TI - Vesicular stomatitis virus replicon expressing the VP2 outer capsid protein of
bluetongue virus serotype 8 induces complete protection of sheep against
challenge infection.
AB - Bluetongue virus (BTV) is an arthropod-borne pathogen that causes an often fatal,
hemorrhagic disease in ruminants. Different BTV serotypes occur throughout many
temperate and tropical regions of the world. In 2006, BTV serotype 8 (BTV-8)
emerged in Central and Northern Europe for the first time. Although this outbreak
was eventually controlled using inactivated virus vaccines, the epidemic caused
significant economic losses not only from the disease in livestock but also from
trade restrictions. To date, BTV vaccines that allow simple serological
discrimination of infected and vaccinated animals (DIVA) have not been approved
for use in livestock. In this study, we generated recombinant RNA replicon
particles based on single-cycle vesicular stomatitis virus (VSV) vectors.
Immunization of sheep with infectious VSV replicon particles expressing the outer
capsid VP2 protein of BTV-8 resulted in induction of BTV-8 serotype-specific
neutralizing antibodies. After challenge with a virulent BTV-8 strain, the
vaccinated animals neither developed signs of disease nor showed viremia. In
contrast, immunization of sheep with recombinant VP5 - the second outer capsid
protein of BTV - did not confer protection. Discrimination of infected from
vaccinated animals was readily achieved using an ELISA for detection of
antibodies against the VP7 antigen. These data indicate that VSV replicon
particles potentially represent a safe and efficacious vaccine platform with
which to control future outbreaks by BTV-8 or other serotypes, especially in
previously non-endemic regions where discrimination between vaccinated and
infected animals is crucial.
PMID- 24928316
TI - Factors associated with voice-related quality of life among teachers with voice
complaints.
AB - This study evaluates whether the scores on the voice-related quality of life (V
RQOL) and voice activity and participation profile (VAPP) instruments show
similar associations with socio-demographic characteristics, voice complaint
characteristics, work-related factors, health conditions and consequences of
voice complaints; and to assess agreement between V-RQOL and VAPP. In 12 primary
and secondary public schools in Bogota, we conducted a cross-sectional study
among 438 Colombian school teachers with voice complaints. Participants filled
out a questionnaire on socio-demographic characteristics, voice complaint
characteristics, work-related factors, health conditions, economic consequences
of voice complaints, and voice-related quality of life, which was assessed using
the V-RQOL and the VAPP. The factors associated with the scores on the V-RQOL and
VAAP were analysed using multiple linear regression. Assessment of agreement
between the V-RQOL and VAPP scores was performed using the Bland-Altman plot.
Simple linear regression analysis was used to examine the relationship between
VAPP and V-RQOL. Results showed that individual and work-related factors that
were associated with the scores derived from the questionnaires were similar for
the two instruments, namely severity of voice complaints, auditory symptoms,
hearing impairment, class size, and poor acoustics in the workplace. The
associations between the score and the economic consequences of voice complaints
were also similar for the two instruments. The V-RQOL and VAPP scores were
strongly associated with one another and showed high agreement with regard to
teachers' perception of quality of life. These findings suggest that factors
identified as being associated with the scores on the V-RQOL and VAPP are shared
by the two instruments, showing that their approaches to quality-of-life
assessment are similar. Both scales were strongly associated with one another and
showed high agreement. LEARNING OUTCOMES: Identify factors associated with scores
on voice-related quality of life (V-RQOL) and voice activity and participation
profile (VAPP). Identify whether the scores on V-RQOL and VAPP show similar
associations with individual and work-related factors. Explain agreement between
the scores on V-RQOL and VAPP.
PMID- 24928315
TI - Neuroprotective effects of C3 exoenzyme in excitotoxic retinopathy.
AB - The purpose of this study is to evaluate the neuroprotective effects of C3
exoenzyme (C3) on N-methyl-d-aspartate (NMDA)-induced retinopathy in rats. C3 was
expressed in Escherichia. coli and purified by affinity chromatography.
Immunofluorescence was performed in NIH 3T3 cells treated with C3 to verify the
cellular uptake of the protein. NMDA was injected intravitreally into rat eyes
with or without C3. At various time points after injection, eyes were enucleated.
Hematoxylin/eosin staining was performed on retina cross-sections for
morphological analysis. Survival and apoptosis of cells in the ganglion cell
layer (GCL) were assessed by cresyl violet staining and terminal deoxynucleotidyl
transferase dUTP nick end labeling (TUNEL) on retina flat-mounts. RhoA levels in
retina cells were evaluated by Western blot to detect C3 uptake in vivo. The
cellular uptake of C3 was verified by immunofluorescence. Damage including a
decrease in inner plexiform layer (IPL) thickness and reduction of cell density
in the GCL, corresponding to apoptosis of neurons, was induced by intravitreal
injection of NMDA. Protection against this damage was observed following co
injection of C3 and NMDA. RhoA ADP-ribosylation induced by C3 was confirmed by
Western blot. Our results suggest that C3 exerts neuroprotective effects against
excitotoxic damage induced by NMDA.
PMID- 24928317
TI - Treatment efficacy of adipose-derived stem cells in experimental osteoarthritis
is driven by high synovial activation and reflected by S100A8/A9 serum levels.
AB - OBJECTIVE: Synovitis is evident in a substantial subpopulation of patients with
osteoarthritis (OA) and is associated with development of pathophysiology.
Recently we have shown that adipose-derived stem cells (ASC) inhibit joint
destruction in collagenase-induced experimental OA (CIOA). In the current study
we explored the role of synovitis and alarmins S100A8/A9 in the immunomodulatory
capacity of ASCs in experimental OA. METHOD: CIOA, characterized by synovitis,
and surgical DMM (destabilization of medial meniscus) OA were treated locally
with ASCs. Synovial activation, cartilage damage and osteophyte size were
measured on histological sections. Cytokines in synovial washouts and serum were
determined using Luminex or enzyme-linked immunosorbent assay (S100A8/A9), mRNA
levels with reverse-transcriptase (RT)-qPCR. RESULTS: Local administration of
ASCs at various time-points (days 7 or 14) after DMM induction had no effect on
OA pathology. At day 7 of CIOA, already 6 h after ASC injection mRNA expression
of pro-inflammatory mediators S100A8/A9, interleukin-1beta (IL-1beta) and KC was
down-regulated in the synovium. IL-1beta protein, although low, was down
regulated by ASC-treatment of CIOA. S100A8/A9 protein levels were very high at 6
and 48 h and were decreased by ASC-treatment. The protective action of ASC
treatment in CIOA was only found when high synovial inflammation was present at
the time of deposition which was reflected by high serum S100A8/A9 levels.
Finally, successful treatment resulted in significantly lower levels of serum
S100A8/A9. CONCLUSION: Our study indicates that synovial activation rapidly
drives anti-inflammatory and protective effects of intra-articularly deposited
ASCs in experimental OA which is reflected by decreased S100A8/A9 levels.
PMID- 24928318
TI - Effect of interval-training exercise on subchondral bone in a chemically-induced
osteoarthritis model.
AB - OBJECTIVES: The role of subchondral bone in osteoarthritis (OA) development is
well admitted. Cross-talk between subchondral bone and cartilage may be disrupted
in OA, leading to altered subchondral bone remodeling. Osteocytes are involved in
bone remodeling control and could play a key role in OA progression. Our purpose
of this study was to evaluate the preventive effect of interval-training exercise
on subchondral bone and osteocyte in monosodium iodoacetate (MIA) model of
experimental OA. METHODS: At baseline, 48 male Wistar rats (8 weeks old) were
separated into two groups: interval-training exercise or no exercise for 10
weeks. After this training period, each group was divided into two subgroups: MIA
injected knee (1 mg/100 MUl saline) and saline-injected knee. Four weeks later,
rats were sacrificed and carefully dissected. Evaluated parameters were:
cartilage degeneration by OA scoring, bone mineral density (BMD) by Dual energy X
ray Absorptiometry (DXA), trabecular subchondral bone microarchitecture by micro
computed tomography (MUCT), cortical subchondral bone lacunar osteocyte occupancy
(by Toluidine Blue staining) and cleaved caspase-3 positive apoptosis (by
epifluorescence). RESULTS: Our results showed deleterious effects of MIA on
cartilage. OA induced a decrease in proximal tibia (PT) BMD which was prevented
by exercise. Exercise induced increase in full osteocyte lacunae surface and
osteocyte occupancy (+60%) of cortical subchondral bone independently of OA.
Osteocyte apoptosis (<1%) in cortical subchondral bone was not different whatever
the group at sacrifice. CONCLUSION: Our results suggest that preliminary interval
training improved BMD and osteocytes lacunar occupancy in subchondral bone. Our
interval-training did not prevent MIA-induced cartilage degeneration.
PMID- 24928319
TI - Bone-cartilage interface crosstalk in osteoarthritis: potential pathways and
future therapeutic strategies.
AB - Currently, osteoarthritis (OA) is considered a disease of the entire joint, which
is not simply a process of wear and tear but rather abnormal remodelling and
joint failure of an organ. The bone-cartilage interface is therefore a
functioning synergistic unit, with a close physical association between
subchondral bone and cartilage suggesting the existence of biochemical and
molecular crosstalk across the OA interface. The crosstalk at the bone-cartilage
interface may be elevated in OA in vivo and in vitro. Increased vascularisation
and formation of microcracks associated with abnormal bone remodelling in joints
during OA facilitate molecular transport from cartilage to bone and vice versa.
Recent reports suggest that several critical signalling pathways and biological
factors are key regulators and activate cellular and molecular processes in
crosstalk among joint compartments. Therapeutic interventions including
angiogenesis inhibitors, agonists/antagonists of molecules and drugs targeting
bone remodelling are potential candidates for this interaction. This review
summarised the premise for the presence of crosstalk in bone-cartilage interface
as well as the current knowledge of the major signalling pathways and molecular
interactions that regulate OA progression. A better understanding of crosstalk in
bone-cartilage interface may lead to development of more effective strategies for
treating OA patients.
PMID- 24928320
TI - Endothelial dysfunction in conduit arteries and in microcirculation. Novel
therapeutic approaches.
AB - The vascular endothelium not only is a single monolayer of cells between the
vessel lumen and the intimal wall, but also plays an important role by
controlling vascular function and structure mainly via the production of nitric
oxide (NO). The so called "cardiovascular risk factors" are associated with
endothelial dysfunction, that reduces NO bioavailability, increases oxidative
stress, and promotes inflammation contributing therefore to the development of
atherosclerosis. The significant role of endothelial dysfunction in the
development of atherosclerosis emphasizes the need for efficient therapeutic
interventions. During the last years statins, angiotensin-converting enzyme
inhibitors, angiotensin-receptor antagonists, antioxidants, beta-blockers and
insulin sensitizers have been evaluated for their ability to restore endothelial
function (Briasoulis et al., 2012). As there is not a straightforward
relationship between therapeutic interventions and improvement of endothelial
function but rather a complicated interrelationship between multiple cellular and
sub-cellular targets, research has been focused on the understanding of the
underlying mechanisms. Moreover, the development of novel diagnostic invasive and
non-invasive methods has allowed the early detection of endothelial dysfunction
expanding the role of therapeutic interventions and our knowledge. In the current
review we present the available data concerning the contribution of endothelial
dysfunction to atherogenesis and review the methods that assess endothelial
function with a view to understand the multiple targets of therapeutic
interventions. Finally we focus on the classic and novel therapeutic approaches
aiming to improve endothelial dysfunction and the underlying mechanisms.
PMID- 24928321
TI - A novel cell-penetrating peptide to facilitate intercellular transport of fused
proteins.
AB - Cell-based delivery of cell penetrating peptides (CPPs) could represent a new
platform for intracellular peptide delivery to local tissues. Expressed CPPs,
coupled to a secretory signal peptide (SP), can support intercellular transport.
However, low secretion efficiency, which may correlate with the positive charge
of most CPPs, has emerged as one of the main impediments for efficient
intercellular transport. We have reported that a modified Tat-based CPP (Tatm)
with reduced positive charge is secreted efficiently, but its transduction
activity was greatly reduced. We now show that a triple repeat of Tatm (Tatm3x)
with an elongated alpha-helical amphipathic structure enhances transduction
activity and simultaneously retains its secretion efficacy, although passage
through the secretory pathway reduces its cell-penetrating activity. SP-Tatm3x
supports intercellular transport of fused fluorescent proteins, as well as cell
entry and function of a pro-apoptotic peptide. In addition, SP-Tatm3x largely
escapes RNA inhibition, which is identified as another potential impediment to
CPP-mediated intercellular transport. Expression of SP-Tatm3x in heparan sulfate
proteoglycan-negative cells further improves its transduction activity. These
results demonstrate the feasibility of intercellular transport of proteins, but
further work is needed to better understand the reduction of cell-penetrating
activity associated with secretion of CPP-fusion proteins.
PMID- 24928322
TI - IRF5-mediated signaling and implications for SLE.
AB - Transcription of the type I IFN genes is regulated by members of the Interferon
Regulatory Factor (IRF) family of transcription factors, composed in humans of 9
distinct proteins. In addition to IRF3 and IRF7, the transcription factor IRF5
has been shown to be involved in type I IFN production and interestingly,
polymorphisms of the IRF5 gene in humans can result in risk or protective
haplotypes with regard to SLE susceptibility. In addition to regulation of type I
IFN expression, IRF5 is involved in other signaling pathways, including IgG
switching in B cells, macrophage polarization and apoptosis, and its role in SLE
pathogenesis may therefore not be limited to dysregulated control of IFN
expression. In this review we will comprehensively discuss the role of IRF5 in
immune-mediated responses and its potential multifaceted role in conferring SLE
susceptibility.
PMID- 24928323
TI - A phase I study of PRO131921, a novel anti-CD20 monoclonal antibody in patients
with relapsed/refractory CD20+ indolent NHL: correlation between clinical
responses and AUC pharmacokinetics.
AB - PRO131921 is a third-generation, humanized anti-CD20 monoclonal antibody with
increased antibody-dependent cytotoxicity and complement-dependent cytotoxicity
compared to rituximab. In this phase I study, PRO131921 was administered as a
single agent to patients with CD20+, relapsed or refractory, indolent non-Hodgkin
lymphoma (NHL) who had been treated with a prior rituximab-containing regimen.
The primary aim of this study was safety and tolerability of PRO131921. The
secondary aim of the study, and focus of this report, was to determine the
pharmacokinetics (PK) profile of PRO131921 and establish a correlation between
drug exposure and clinical efficacy. Patients were treated with PRO131921 by
intravenous infusion weekly for 4 weeks and the dose was escalated based on
safety in a 3+3 design. Twenty-four patients were treated with PRO131921 at doses
from 25mg/m(2) to 800 mg/m(2). Analysis of PK data demonstrated a correlation
between higher normalized drug exposure (normalized AUC) and tumor shrinkage (p =
.0035). Also, normalized AUC levels were higher among responders and subjects
displaying tumor shrinkage versus subjects progressing or showing no regression
(p = 0.030). In conclusion, PRO131921 demonstrated clinical activity in rituximab
relapsed and refractory indolent NHL patients. The observation that higher
normalized AUC may be associated with improved clinical responses has potential
implications in future trials of monoclonal antibody-based therapies, and
emphasizes the importance of early PK studies to optimize antibody efficacy.
PMID- 24928324
TI - Systemic injection of TLR1/2 agonist improves adoptive antigen-specific T cell
therapy in glioma-bearing mice.
AB - Adoptive immunotherapy is an attractive strategy for glioma treatment. However,
some obstacles still need be overcome. In this study, GL261-bearing mice treated
with adoptively transferred antigen-specific T cells and systemic injection of
bacterial lipoprotein (BLP), a TLR1/2 agonist, got a long-term survival and even
immune protection. By analyzing adoptive T cells, it was found that BLP
maintained T cell survival, proliferation and anti-tumor efficacy in the brains
of tumor-bearing hosts. Moreover, tumor microenvironment was modified by up
regulating IFN-gamma-secreting CD8+ T cells and down-regulating MDSC, which might
be related with high CXCL10 and low CCL2 expression. In addition, TLR2 deficiency
abrogated therapeutic effect with increased MDSC accumulation and decreased IFN
gamma-secreting CD8+ T cells in the brains. Thus, the systemic injection of BLP
could improve the adoptive T cell therapy by maintaining T cell persistence,
modifying the tumor microenvironment and even inducing systemic anti-tumor
immunity, which might offer a clinically promising immunotherapeutic strategy for
glioma.
PMID- 24928325
TI - The lectin pathway of complement: advantage or disadvantage in HIV pathogenesis?
AB - The pattern recognition molecules of the lectin complement pathway are important
components of the innate immune system with known functions in host-virus
interactions. This paper summarizes current knowledge of how these intriguing
molecules, including mannose-binding lectin (MBL), Ficolin-1, -2 and -3, and
collectin-11 (CL-11) may influence HIV-pathogenesis. It has been demonstrated
that MBL is capable of binding and neutralizing HIV and may affect host
susceptibility to HIV infection and disease progression. In addition, MBL may
cause variations in the host immune response against HIV. Ficolin-1, -2 and -3
and CL-11 could have similar functions in HIV infection as the ficolins have been
shown to play a role in other viral infections, and CL-11 resembles MBL and the
ficolins in structure and binding capacity.
PMID- 24928326
TI - Morphometric sex estimation from 3D computed tomography os coxae model and its
validation in skeletal remains.
AB - In the last decade, the advances in imaging technologies have intensified the use
of multislice computed tomography (MSCT) for anthropological purposes. The
published literature has proved it to be a suitable tool for establishing
sexually dimorphic characteristics in different anatomical areas. In this
context, the main purpose of the present study was to explore the accuracy of
traditional morphometric method when applied to data acquired in three
dimensional (3D) reconstructed os coxae of living Spanish population, to develop
a series of statistically robust patterns for sex assessment and to test their
validity in innominate remains. For this purpose, 150 volume-rendered innominate
CT scans were selected to examine nine interlandmark linear distances by means of
descriptive statistics and discriminant function analyses (DFA) employing the
jackknife procedure for cross-validations. Five measurements were sexually
dimorphic. Acetabular diameter was the single most accurate predictor. This,
combined with innominate height and innominate breadth, contributed very
significantly to sex estimation. Overall, classification accuracies were 89.3
95.3 % (89.3-94.7 % after cross-validation), with a sex-bias lower than 5 %. The
second validation approach performed on a sample of 96 individuals from another
contemporary Spanish reference collection comprising dry bones showed the high
percentage of accurate classification (83.3-95.8 %). In conclusion, the
assessment of sex using cross-sectional MSCT images of the os coxae is possible
and the discriminant functions obtained on Spanish living individuals can also be
effective for estimating sex from skeletal remains.
PMID- 24928327
TI - Applications of sensory feedback in motorized upper extremity prosthesis: a
review.
AB - Dexterous hand movement is possible due to closed loop control dependent on
efferent motor output and afferent sensory feedback. This control strategy is
significantly altered in those with upper limb amputation as sensations of touch
and movement are inherently lost. For upper limb prosthetic users, the absence of
sensory feedback impedes efficient use of the prosthesis and is highlighted as a
major factor contributing to user rejection of myoelectric prostheses. Numerous
sensory feedback systems have been proposed in literature to address this gap in
prosthetic control; however, these systems have yet to be implemented for long
term use. Methodologies for communicating prosthetic grasp and touch information
are reviewed, including discussion of selected designs and test results. With a
focus on clinical and translational challenges, this review highlights and
compares techniques employed to provide amputees with sensory feedback.
Additionally, promising future directions are discussed and highlighted.
PMID- 24928328
TI - Polymorphic variants of adrenoceptors: pharmacology, physiology, and role in
disease.
AB - The human genome encodes nine different adrenoceptor genes. These are grouped
into three families, namely, the alpha1-, alpha2-, and beta-adrenoceptors, with
three family members each. Adrenoceptors are expressed by most cell types of the
human body and are primary targets of the catecholamines epinephrine and
norepinephrine that are released from the sympathetic nervous system during its
activation. Upon catecholamine binding, adrenoceptors change conformation, couple
to and activate G proteins, and thereby initiate various intracellular signaling
cascades. As the primary receivers and transducers of sympathetic activation,
adrenoceptors have a central role in human physiology and disease and are
important targets for widely used drugs. All nine adrenoceptor subtypes display
substantial genetic variation, both in their coding sequence as well as in
adjacent regions. Despite the fact that some of the adrenoceptor variants range
among the most frequently studied genetic variants assessed in pharmacogenetics
to date, their functional relevance remains ill defined in many cases. A
substantial fraction of the associations reported from early candidate gene
approaches have not subsequently been confirmed in different cohorts or in genome
wide association studies, which have increasingly been conducted in recent years.
This review aims to provide a comprehensive overview of all adrenoceptor variants
that have reproducibly been detected in the larger genome sequencing efforts. We
evaluate these variants with respect to the modulation of receptor function and
expression and discuss their role in physiology and disease.
PMID- 24928329
TI - The P2X7 receptor channel: recent developments and the use of P2X7 antagonists in
models of disease.
AB - The P2X7 receptor is a trimeric ATP-gated cation channel found predominantly, but
not exclusively, on immune cells. P2X7 activation results in a number of
downstream events, including the release of proinflammatory mediators and cell
death and proliferation. As such, P2X7 plays important roles in various
inflammatory, immune, neurologic and musculoskeletal disorders. This review
focuses on the use of P2X7 antagonists in rodent models of neurologic disease and
injury, inflammation, and musculoskeletal and other disorders. The cloning and
characterization of human, rat, mouse, guinea pig, dog, and Rhesus macaque P2X7,
as well as recent observations regarding the gating and permeability of P2X7, are
discussed. Furthermore, this review discusses polymorphic and splice variants of
P2X7, as well as the generation and use of P2X7 knockout mice. Recent evidence
for emerging signaling pathways downstream of P2X7 activation and the growing
list of negative and positive modulators of P2X7 activation and expression are
also described. In addition, the use of P2X7 antagonists in numerous rodent
models of disease is extensively summarized. Finally, the use of P2X7 antagonists
in clinical trials in humans and future directions exploring P2X7 as a
therapeutic target are described.
PMID- 24928330
TI - Whither core outcome sets?
PMID- 24928331
TI - Simultaneous splenectomy during liver transplantation augments anti-viral therapy
in patients infected with hepatitis C virus.
AB - BACKGROUND: Simultaneous splenectomy in liver transplantation (LT) is selectively
indicated because of splenoportal venous thromboses and increased sepsis.
Therefore, its impact should be further investigated. METHODS: Of the 160 liver
transplant patients, only 40 underwent simultaneous splenectomy.
Clinicopathologic characteristics and outcomes were compared between the
splenectomy and non-splenectomy group using retrospective analysis. RESULTS:
Although the groups were similar and had no significant difference in the intra-
and postoperative data, non-splenectomy group had more male patients. However,
splenectomy group showed significantly higher platelet and leukocyte counts at 1
month and 6 months after the transplantation and higher hepatitis C virus anti
viral therapy completion. Furthermore, 3 patients developed portal or splenic
vein thrombosis during the postoperative follow-up, but the overall survival rate
did not significantly differ between these groups. CONCLUSION: Simultaneous
splenectomy in LT can be safely performed, particularly in patients with
hepatitis C virus cirrhosis, small-for-size grafts, hypersplenism, and ABO blood
group incompatible (ABO - incompatible) LT.
PMID- 24928332
TI - The physiological effects of hyperosmolar resuscitation: 5% vs 3% hypertonic
saline.
AB - BACKGROUND: Use of 5% normal saline (NS) is gaining renewed interest. The primary
aim of our study was to compare the physiological effects after the
administration of different concentrations of hypertonic saline (3% vs 5%NS) in
the initial resuscitation of trauma. METHODS: We performed a retrospective
analysis of a prospectively collected database of all trauma patients who
received hypertonic saline during initial resuscitation. Medical records were
reviewed for serum electrolytes and serum osmolarity, coagulation parameters,
complications, and mortality. RESULTS: A total of 212 patients were included in
the study, of which 170 patients received 5%NS and 42 patients received 3%NS.
Both groups were similar in age (41.16 +/- 19 vs 44.17 + 23.6; P = .45) and ISS
score (26 [17 to 29] vs 25 [16 to 27]; P = .6). Mean serum osmolarity (316 +/-
20.3 vs 294 +/- 22.5; P = .02) and serum sodium levels (143 +/- 8.6 vs 137 +/-
10.9; P < .001) remained higher in the 5%NS group within 72 hours of admission.
The pH was lower in the 5%NS group compared with the 3%NS group at 24 hours (7.29
+/- .12 vs 7.33 +/- .12; P = .01); however, at 48 and 72 hours (7.40 +/- .07 vs
7.41 +/- .07; P = .7), no difference was found. There was no difference in blood
products requirement (1,734 vs 2,253 mL; P = .11) between the 2 groups.
CONCLUSIONS: The 5%NS has sustained higher serum osmolarity and serum sodium
concentration within the first 72 hours without any increase in adverse effects
in comparison with 3%NS.
PMID- 24928333
TI - Splenectomy in trauma patients is associated with an increased risk of
postoperative type II diabetes: a nationwide population-based study.
AB - BACKGROUND: Animal studies indicate that splenocytes may act as precursors of
beta-islet secretory cells in the pancreas. This study aimed to assess the risk
of postoperative type II diabetes after splenectomy in trauma patients. METHODS:
We used data from the Taiwan National Health Insurance hospitalized claims. Study
1 included 3,723 patients receiving splenectomy and 3,723 matched patients
receiving other types of abdominal surgery. Study 2 included 5,996 patients with
spleen injury and 5,996 matched patients with other types of abdominal injury.
The hazard ratio for diabetes was estimated using the matched Cox proportional
hazard regression model. RESULTS: In trauma patients after surgery, those who
received splenectomy had a 2-fold higher risk of diabetes compared with patients
without splenectomy after a 3-year follow-up period. In the nonoperative group,
there was no difference in diabetes risk between patients with splenic injury and
those with other types of injury. CONCLUSIONS: Splenectomy was associated with an
increased risk of postoperative type II diabetes in trauma patients. Thus, there
may be a role for the spleen in the development of diabetes.
PMID- 24928334
TI - Early thromboembolic prophylaxis in patients with blunt solid abdominal organ
injuries undergoing nonoperative management: is it safe?
AB - BACKGROUND: The aim of this study was to compare the safety of early (<=48
hours), intermediate (48 to 72 hours), and late (>=72 hours) venous
thromboembolism prophylaxis in patients with blunt abdominal solid organ injury
managed nonoperatively. METHODS: We performed a 6-year (2006 to 2011)
retrospective review of all trauma patients with blunt abdominal solid organ
injuries. Patients were matched using propensity score matching in a 2:1:1
(early:intermediate:late) for age, gender, systolic blood pressure, Glasgow Coma
Scale, Injury Severity Score, and type and grade of organs injured. Our primary
outcome measures were: hemorrhage complications and need for intervention
(operative intervention and/or angioembolization). RESULTS: A total of 116
patients (58 early, 29 intermediate, and 29 late) were included. There were no
differences in age (P = .5), Injury Severity Score (P = .6), type (P = .1), and
grade of injury of the organ (P = .6) between the 3 groups. There were 67 liver
(43.2%), 63 spleen (40.6%), 49 kidney (31.6%), and 24 multiple solid organ
(15.4%) injuries. There was no difference in operative intervention (P = .8) and
postprophylaxis blood transfusion (P = .3) between the 3 groups. CONCLUSIONS:
Early enoxaparin-based anticoagulation may be a safe option in trauma patients
with blunt solid organ injury. This study showed no significant correlation
between early anticoagulation and development of bleeding complications.
PMID- 24928335
TI - Relationship between homocysteine and coronary artery disease. Results from a
large prospective cohort study.
AB - BACKGROUND: Coronary artery disease (CAD) still represents the major cause of
mortality in developed countries. Large research programs have been focused on
the identification of new risk factors to prevent CAD, with special attention to
homocysteine (Hcy), due to the known associated increased thrombogenicity,
oxidative stress status and endothelial dysfunction. However, controversy still
exists on the association between Hcy and CAD. Therefore, aim of the current
study was to investigate the association of Hcy with the prevalence and extent of
CAD in a large consecutive cohort of patients undergoing coronary angiography.
METHODS: Our population is represented by a total of 3056 consecutive patients
undergoing coronary angiography between at the Azienda Ospedaliera "Maggiore
della Carita", Novara, Italy. Fasting samples were collected for homocysteine
levels assessment. Coronary disease was defined for at least 1 vessel
stenosis>50% as evaluated by QCA. RESULTS: Study population was divided according
to Hcy tertiles (<13,3, 13,3-18.2, >18.2nmol/ml). High plasmatic level of
homocysteine was related with age (p<0.001), male gender (p<0.001), hypertension
(p<0.001) renal failure (p<0.001), family history of CAD (p<0.001), previous
cerebrovascular accident (p<0.001), previous MI (p=0.002), previous CABG
(p=0.003), ejection fraction (p<0.001), higher baseline creatinine (p<0.001), in
treatment with nitrates (p<0.001), calcium antagonists (p<0.001), diuretics
(p<0.001), Ace inhibitors (ACE-I) (p=0.006), Clopidogrel (p=0.05), haemoglobin
(p=0.001), white blood cells (WBC) count (p=0.008), total cholesterol (p=0.04),
Low-Density Lipoproteins (LDL) (p=0.01). A significant relationship was found
between Hcy levels and the extent of coronary artery disease (71.8% vs 77.8% vs
77.4%, OR[95%CI]=1.18[1.11-1.252.], p<0.001 and severe CAD (23.6% vs 29.5% vs
32.1%, OR [95%CI]=1.275 [1.209-1.344], p<0.001). Elevated Hcy was significantly
associated with increased risk of CAD (adjusted OR[95%CI]=1.087[1.009-1.171],
p=0.02 and severe CAD (adjusted OR [95%CI]=1.07 [1.01-1.16, P=0.04]). The results
were confirmed in the majority of high risk subsets of patients. CONCLUSIONS:
This study showed that high levels of plasmatic Hcy are independently associated
with CAD. Further large studies are certainly needed to explore the adjunctive
benefits from vitamin administration in patients with elevated Hcy to prevent the
occurrence and progression of CAD.
PMID- 24928337
TI - Pupil-expansion ring implantation through a 0.9 mm incision.
AB - Disposable square and hexagonal Bhattacharjee pupil-expansion rings are made of 5
0 nylon, have notches at the corners, and flanges at the sides in a single 0.1 mm
thin plane. To insert the ring, a flange is held with a 23-gauge forceps and the
ring is inserted through a 0.9 mm (20-gauge) or larger main- or side-port
incision. Alternate flanges are tucked under the iris using a Kuglen hook. A 23
gauge forceps and an iris hook can also be used to tuck the flanges bimanually.
The ring is removed by disengaging 2 notches and pulling it out of a 0.9 mm (20
gauge) incision. No snagging of the incision occurs at insertion or removal. No
injector is required. The device is useful in standard coaxial
phacoemulsification, femtosecond laser-assisted cataract surgery, biaxial
microincision cataract surgery (MICS), coaxial sub-2.0 mm MICS, and small-pupil
microincision vitreous surgery and in eyes with shallow anterior chambers.
FINANCIAL DISCLOSURE: Dr. Bhattacharjee has a pending international patent
application (PCT-WIPO) for the pupil-expansion devices.
PMID- 24928338
TI - Comparison of vilanterol, a novel long-acting beta2 agonist, with placebo and a
salmeterol reference arm in asthma uncontrolled by inhaled corticosteroids.
AB - BACKGROUND: Current maintenance therapies for asthma require twice-daily dosing.
Vilanterol (VI) is a novel long-acting beta2 agonist, under development in
combination with fluticasone furoate, a new inhaled corticosteroid (ICS).
Findings from a previous 4-week study suggested that VI has inherent 24-hour
activity and is therefore suitable for once-daily dosing. The study described
here was a double-blind, double-dummy, randomised, placebo-controlled trial, the
aim of which was to assess the efficacy of once-daily VI compared with placebo in
patients with persistent asthma. The primary endpoint was change from baseline in
24-hour weighted mean forced expiratory volume in 1 second after 12 weeks of
treatment vs. placebo. An active control arm received salmeterol (SAL) twice
daily. All patients were maintained on a stable background dose of ICS. RESULTS:
Patients (n = 347) received VI, placebo or SAL (1:1:1). For the primary endpoint,
substantial improvements in lung function were seen with VI (359 ml), SAL (283
ml) and placebo (289 ml). There were no statistically significant treatment
differences between either the VI (70 ml, P = 0.244) or SAL (-6 ml, P = 0.926)
groups and placebo. Both active treatments were well tolerated, with similarly
low rates of treatment-related adverse events compared with placebo. No treatment
related serious adverse events occurred. CONCLUSIONS: This study failed to show a
treatment difference between VI and placebo for the primary endpoint, in the
presence of a placebo response of unforeseen magnitude. Because the placebo
response was so large, it is not possible to draw meaningful conclusions from the
data. The reason for this magnitude of effect is unclear but it may reflect
increased compliance with the anti-inflammatory therapy regimen during the
treatment period. TRIAL REGISTRATION: NCT01181895 at ClinicalTrials.gov.
PMID- 24928339
TI - Trends in leisure time physical activity, smoking, body mass index and alcohol
consumption in Danish adults with and without diabetes: a repeat cross-sectional
national survey covering the years 2000 to 2010.
AB - AIMS: In recent decades there has been an increased focus on non-pharmacological
treatment of diabetes. The aim of this study was to investigate trends in leisure
time physical activity (PA), smoking, body mass index (BMI), and alcohol
consumption reported in 2000, 2005 and 2010 by Danish subjects with diabetes.
METHODS: Data comprised level of leisure time PA (inactive; moderate active;
medium active; high active); smoking; BMI; and alcohol consumption, provided by
The Danish Health and Morbidity Surveys. Participants older than 45 years with or
without diabetes were included from cross-sectional analyses from 2000, 2005 and
2010. RESULTS: In participants with diabetes, leisure time PA levels increased
from 2000 to 2010: The percentage of those that were physically active increased
from 53.5% to 78.2% (p<0.001; women) and from 67.8% to 79.1% (p=0.01; men). The
prevalence of daily smokers was reduced from 27.2% to 16.4%, p=0.015, in women
with diabetes. In men with diabetes, BMI increased from 27.2 +/- 4.0 to 28.6 +/-
5.1 kgm(-2), p=0.003, and men who exceeded the maximum recommendation for alcohol
consumption increased from 9.4% to 19.0%, p=0.007. The leisure time PA level was
reduced in participants with diabetes compared to participants without diabetes
throughout the study. CONCLUSIONS: The percentage of physically active Danish
participants older than 45 years with diabetes increased from 2000 to 2010, and
the most beneficial trends in life style were observed among the women. These
trends may have serious implications for cardiovascular risk in Danish patients
with diabetes.
PMID- 24928340
TI - Ectodysplasin research--where to next?
AB - Ectodysplasin (Eda) is the most studied tumor necrosis ligand in the field of
developmental biology. In all vertebrates studied so far, inactivating germline
mutations in Eda lead to the genetic disease called hypohidrotic ectodermal
dysplasia (HED). In humans, HED is a life-threatening condition in particular in
infants due to absent or severely reduced sweating leading to hyperthermia. HED
is also characterized by sparse hair, and oligo- or anodontia. Research of the
Eda pathway has not only increased our knowledge on ectodermal appendage
development and etiology of developmental disorders, but also on evolution of
several vertebrate species including humankind. Studies on mouse and dog models
of HED has led to one of the most stunning breakthroughs in applied developmental
biology research by showing that a short-term treatment of neonates with a
synthetic ligand corrects many of the HED-associated traits. Eighteen years after
the identification of EDA as the causative gene in HED, a phase II trial aiming
at permanent correction of the disease is now ongoing. This review summarizes the
latest discoveries in the Eda field and points to areas that need further
investigation such as the possible involvement of Eda in cell migration, stem
cell maintenance, or cancer.
PMID- 24928341
TI - The impact of inflammatory rheumatic diseases on the presentation, severity, and
outcome of acute coronary syndrome.
AB - Patients with inflammatory rheumatic diseases (IRD) have a high burden of
cardiovascular disease (CVD), leading to increased mortality and morbidity.
However, it is not clear whether increased CVD mortality in IRD is due to a
higher incidence or worse outcome of cardiovascular events (higher case
fatality). In this observational case-control study, we assessed the outcome of
acute coronary syndrome (ACS) in patients with IRDs compared to matched controls
without IRD, using data from the Acute Coronary Syndrome Israeli Survey (ACSIS),
a large, national, real-life registry detailing the extent, severity, and outcome
of ACS. Of 2,193 subjects enrolled to the ACSIS, 20 (nine men) were identified
with IRD, including 11 patients with rheumatoid arthritis, five patients with
systemic lupus erythematosus (SLE), three patients with ankylosing spondylitis
(AS), and one patient with psoriatic arthritis (PsA). The study patients were
compared to 120 matched control patients (adjusted for age and risk factors for
CVD) without IRD. Compared to controls, IRD patients had similar clinical
presentation and similar type of ACS and received identical initial treatment at
the ER. The two groups had comparable rates of complications including major
adverse cardiovascular events (death, recurrent myocardial infarction, stroke,
major bleeding, and definite stent thrombosis) (10 vs. 11.7% in the study and
control group, respectively, p > 0.05), re-hospitalization (20 vs. 21.1%,
respectively, p > 0.05), and severe congestive heart failure (7.7 vs. 6.9%,
respectively, p > 0.05) within 30 days. The outcome and prognosis of ACS in
patients with IRD is not worse than that of control, supporting the higher
prevalence of CVD in this population as the cause for their excess mortality.
PMID- 24928342
TI - Cardiac autonomic functions in children with familial Mediterranean fever.
AB - Familial Mediterranean fever (FMF) is the most common inherited autoinflammatory
disease in the world. The long-term effects of subclinical inflammation in FMF
are not well recognized. Some studies have suggested that FMF is associated with
cardiac autonomic dysfunction in adult FMF patients. The objective of this study
was to investigate the cardiac autonomic functions in pediatric FMF patients by
using several autonomic tests. Thirty-five patients with FMF and 35 healthy
controls were enrolled in this cross-sectional study. Demographic data, disease
specific data, and orthostatic symptoms were recorded. In all participants, 12
lead electrocardiography (ECG), 24 h ambulatory electrocardiographic monitoring,
transthoracic echocardiography, treadmill exercise test, and head upright tilt
table (HUTT) test were performed. The heart rate recovery (HRR) indices of the
two groups were similar. Also, chronotropic response was similar in both groups.
The time-domain parameters of heart rate variability (HRV) were similar in both
groups, except mean RR (p = 0.024). Frequencies of ventricular and
supraventricular ectopic stimuli were similar in both groups. There were no
statistically significant differences between the groups in average QT and
average corrected QT interval length, average QT interval dispersion, and average
QT corrected dispersion. There was no significant difference between the two
groups regarding the ratio of clinical dysautonomic reactions on HUTT. However,
we observed a significantly higher rate of dysautonomic reactions on HUTT in
patients with exertional leg pain than that in patients without (p = 0.013). When
the fractal dimension of time curves were compared, FMF patients exhibited
significantly lower diastolic blood pressure parameters than controls in response
to HUTT. Cardiovascular autonomic dysfunction in children with FMF is not
prominent. Particularly, patients with exertional leg pain are more prone to have
dysautonomic features. Further studies are needed to elucidate the exact
mechanisms leading to impaired cardiac autonomic functions in FMF.
PMID- 24928343
TI - Disease-modifying anti-rheumatic drugs improve autonomic neuropathy in arthritis:
DIANA study.
AB - Autonomic neuropathy (AN) is a risk predictor for sudden cardiac death in
rheumatoid arthritis (RA) and ankylosing spondylitis (AS). However, the impact of
most commonly employed disease-modifying anti-rheumatic drug (DMARD) therapy on
autonomic neuropathy in rheumatic diseases is not known. Hence, we investigated
the efficacy of DMARDs on autonomic neuropathy in RA and AS. We performed
autonomic function assessment in 60 patients in this open-label, 12-week pilot
study including 42 patients with RA, 18 with AS, and 30 aged-matched healthy
subjects. The methodology included assessment of cardiovascular autonomic reflex
tests according to Ewing. Parasympathetic dysfunction was established by
performing three tests: heart rate response to deep breathing, standing, and
Valsalva tests. Sympathetic dysfunction was examined by applying two tests: blood
pressure response to standing and handgrip tests. Sudomotor function was assessed
by Sudoscan. Cardiovascular reflex tests were impaired significantly among the
patients as compared to healthy subjects (p < 0.05). Autonomic neuropathy was
more pronounced in biologic-naive RA and AS patients. After treatment with
combination synthetic DMARDs, parasympathetic, and sudomotor dysfunction
significantly (p < 0.05) improved in RA and AS. Biologic DMARDs significantly
improved parasympathetic, sympathetic and peripheral sympathetic autonomic
neuropathy (p < 0.05) in biologic-naive RA and AS patients. In conclusion,
synthetic DMARDs improved parasympathetic and sudomotor dysfunction in both DMARD
naive RA and AS patients. However, biologic DMARDs improved parasympathetic,
sympathetic and sudomotor dysfunction to a greater extent than synthetic DMARDs
in both RA and AS patients.
PMID- 24928344
TI - Interleukin-6 promotes systemic lupus erythematosus progression with Treg
suppression approach in a murine systemic lupus erythematosus model.
AB - Our aim is to reveal the role of interleukin 6 (IL-6) in the pathogenesis of
systemic lupus erythematosus (SLE) in a murine model of SLE. Normal female
C57BL/6 mice were immunized with syngeneic-activated lymphocyte-derived DNA (ALD
DNA) to induce SLE. Non-immunized mice were used as control. SLE-associated
markers, including anti-double-stranded DNA (anti-dsDNA) Abs, urine protein, and
kidney histopathology, were assayed to ensure the induction of the disease.
Compared with control mice, ALD-DNA immunized mice exhibited high levels of anti
dsDNA Abs, IL-6 expression in vivo and in vitro. We also found that IL-6 knockout
(IL-6KO) mice were resistant to ALD-DNA-induced SLE. The activation of CD4(+) T
cells in immunized IL-6KO mice was lower than in immunized wild-type (Wt) mice.
Intracellular cytokine staining showed that Foxp3 expression in immunized IL-6KO
mice was higher than in immunized Wt mice, which might be associated with the
disease severity. We further discovered that ALD-DNA-stimulated dendritic cells
supernatants could result in higher IL-6 and TNF-alpha expression and could
suppress Foxp3 expression. In addition, blocking IL-6 could up-regulate Foxp3
expression. Therefore, our findings show that IL-6 promotes the progression of
SLE via suppressing Treg differentiation.
PMID- 24928345
TI - Adalimumab decreases aortic stiffness independently of its effect in disease
activity in patients with rheumatoid arthritis.
AB - Rheumatoid arthritis (RA) is associated with increased cardiovascular morbidity
and mortality attributed to traditional cardiovascular risk factors and/or the
chronic systemic inflammation. We investigated the effect of a TNF antagonist
(adalimumab-ADA) on aortic stiffness in RA patients. We studied 18 RA patients
with active disease despite therapy with disease modifying antirheumatic drugs
(DMARDs), treated with ADA (alone or in combination with DMARDs) for 12 weeks.
Disease activity markers as well as aortic stiffness indices (carotid-femoral
pulse wave velocity-PWV, augmentation index-AIx), were measured at baseline and
at the end of treatment. Eighteen RA patients treated with methotrexate (MTX)
were included as controls. Patients were categorized as responders (decrease of
Disease Activity Score-DAS28 > 1.2) or nonresponders. There was a statistically
significant decrease in PWV (from 8.18 +/- 2.03 to 7.01 +/- 1.78 m/s, p =
0.00006) and DAS28 (from 6.65 +/- 1.22 to 4.69 +/- 1.46, p = 0.00007) in RA
patients treated with ADA. The decrease in PWV was observed both in responders (n
= 12) and nonresponders (n = 6). Multivariate analysis showed that the decrease
of PWV was independent of changes in disease activity or other parameters. There
was no significant change in PWV in patients treated with MTX (from 8.87 +/- 1.91
to 8.41 +/- 2.17, p = 0.29). No significant change in AIx or traditional
cardiovascular risk factors was observed. Treatment with ADA significantly
reduced aortic stiffness in RA patients regardless of their response to therapy.
These findings imply a direct protective effect of ADA in vascular wall in RA
patients.
PMID- 24928346
TI - Induction of vitiligo after imiquimod treatment of condylomata acuminata.
AB - BACKGROUND: Condylomata acuminata (genital warts) is the most common sexually
transmitted disease, and imiquimod is the sole FDA-approved medication for
combating this condition. Vitiligo associated with imiquimod treatment of
condylomata acuminata is rare. CASE PRESENTATION: A 28-year-old male with
condylomata acuminata of the penis presented to our clinic. After removing his
condylomata acuminata, we advised him to use imiquimod 5% cream to prevent
relapse. When he presented to our clinic again about 12 weeks later, he
complained of vitiligo patches on his penis and scrotum. Physical examination
showed vitiligo patches involving the glans penis, shaft of the penis, and
scrotum, and remaining pigmented areas within the plaques of vitiligo.A skin
biopsy of the dorsal surface of the penis showed a complete absence of
melanocytes and melanin granules in the basal layer; the dermis was normal.
CONCLUSION: This is the first report of a case of imiquimod-induced vitiligo
diagnosed by histopathological examination. This adverse effect should be
considered when dermatologists prescribe this medication.
PMID- 24928347
TI - Analysis of thermal and structural properties of germanate glasses co-doped with
Yb(3+)/Tb(3+) ions.
AB - In the work the new glass compositions in the GeO2-GaO-BaO system have been
prepared and thermal, structural properties of in germanate glasses co-doped with
Yb(3+)/Tb(3+)ions were studied. Glasses were obtained by conventional high
temperature melt-quenching technique. The study of the crystallization kinetics
processes of glasses co-doped with 0.7Yb2O3:0.7Tb2O3 was performed with DSC
measurements. The activation energies have been calculated using Freedman
analysis and verified with the Flynn-Wall-Ozawa method. In this order, the DSC
curves have been registered with different heating rates, between 5 and 15
degrees/min. The structure of fabricated glasses has been studied by infrared and
Raman spectroscopes. The effect of heat treatment on the structural properties
was determined. In all glass samples the dominated infrared absorbance band at
800cm(-1) corresponds to asymmetric stretching motions of GeO4 tetrahedra
containing bridging (Ge-O(Ge)) and non-bridging (Ge-O(-)) oxygens. Additionally,
the influence of heat treatment on the luminescent properties was evaluated.
Strong luminescence at 489, 543, 586 and 621nm corresponding to (5)D4->(7)FJ
(J=6, 5, 4, 3) transitions was measured. The highest upconversion emission
intensity was obtained in the germanate glass co-doped with 0.7Yb2O3/0.7Tb2O3.
PMID- 24928348
TI - Evidence for the healthy immigrant effect in older Chinese immigrants: a cross
sectional study.
AB - BACKGROUND: Previous work has found that first-generation immigrants to developed
nations tend to have better health than individuals born in the host country. We
examined the evidence for the healthy immigrant effect and convergence of health
status between Chinese immigrants (n = 147) and U.S. born whites (n = 167)
participating in the cross-sectional Community Assessment of Freeway Exposure and
Health study and residing in the same neighborhoods. METHODS: We used bivariate
and multivariate models to compare disease prevalence and clinical biomarkers.
RESULTS: Despite an older average age and lower socioeconomic status, Chinese
immigrants were less likely to have asthma (OR = 0.20, 95% CI = 0.09-0.48) or
cardiovascular disease (OR = 0.44, 95% CI = 0.20-0.94), had lower body mass index
(BMI), lower inflammation biomarker levels, lower average sex-adjusted low
density lipoprotein (LDL) cholesterol, and higher average sex-adjusted high
density lipoprotein (HDL) cholesterol. However, there was no significant
difference in the prevalence of diabetes or hypertension. Duration of time in the
U.S. was related to cardiovascular disease and asthma but was not associated with
diabetes, hypertension, BMI, HDL cholesterol, LDL cholesterol, socioeconomic
status, or health behaviors. CONCLUSIONS: The lower CVD and asthma prevalence
among the Chinese immigrants may be partially attributed to healthier diets, more
physical activity, lower BMI, and less exposure to cigarette smoke. First
generation immigrant status may be protective even after about two decades.
PMID- 24928349
TI - Purinergic signaling negatively regulates activity of an olfactory receptor in an
odorant-dependent manner.
AB - Extracellular purines and pyrimidines are important signaling molecules that
mediate diverse biological functions via cell surface purinergic receptors.
Although purinergic modulation to olfactory activity has been reported, cell
specific expression and action of purinergic receptors deserve further
exploration. We physiologically characterized expression of purinergic receptors
in a set of olfactory sensory neurons that are responsive to both acetophenone
and benzaldehyde (AB-OSNs). Sparsely distributed in the most ventral olfactory
receptor zone, AB-OSNs were activated by P2 purinergic receptor agonists but not
by P1 purinergic receptor agonist adenosine. Both P2X-selective agonist
alpha,beta-methylene ATP and P2Y-selective agonist uridine 5'-triphosphate (UTP)
were stimulatory to AB-OSNs, indicating expression of both P2X and P2Y purinergic
receptors in AB-OSNs. Pharmacological characterization of receptor specificity
using various P2X and P2Y agonists and antagonists illustrated that P2X1 and P2Y2
receptors played major roles in purinergic signaling in AB-OSNs. Interestingly,
the results of purinergic modulation to acetophenone-evoked responses were
different from those to benzaldehyde-evoked responses within the same neurons.
Activation of P2X1 receptors had more profound inhibitory effects on benzaldehyde
evoked intracellular calcium elevation than on acetophenone-evoked responses
within the same neurons, and the reverse was true when P2Y2 receptors were
activated. Cross-adaptation data showed that acetophenone and benzaldehyde bound
to the same olfactory receptor. Thus, our study has demonstrated that purinergic
signaling of P2X and P2Y receptors has different effects on olfactory
transduction mediated by a defined olfactory receptor and the consequences of
purinergic modulation of olfactory activity might depend on stereotypic
structures of the odorant-receptor complex.
PMID- 24928350
TI - The auditory-evoked arousal modulates motor cortex excitability.
AB - Arousal enhances the readiness to process sensory information and respond to it.
Rapid increment of arousal, referred to as arousal reaction or startle, increases
the level of attention and the chance of survival. Arousal reaction is known to
originate from the brainstem ascending reticular activating system and to
modulate neuronal activity throughout the central nervous system. In the present
study we investigated the effect of arousal on the central motor system by
synchronizing transcranial magnetic stimulation (TMS) with acoustically evoked
N100 potential. Because of the widespread cortical distribution of N100 to a
sudden acoustic stimulus it is thought to be related to arousal reaction. Eight
healthy subjects participated in this study. TMS was focused on the primary motor
cortex utilizing neuronavigation. Trains of four identical loud tones repeated at
1-s intervals were delivered to the right ear and TMS was randomly placed after
one tone in the train. The motor-evoked potentials (MEPs) were measured from the
contralateral first dorsal interosseous muscle. The MEPs evoked by TMS timed at
N100 after the first tone in train were significantly (p<.001) larger in
comparison with the control stimulation without a preceding sound or stimulation
placed after the N100, i.e., 120% of the N100 interstimulus interval. Also, the
MEPs following the second tone were significantly weaker (p<.05) when compared
with the MEPs following the first tone. Our findings suggest that acoustic
arousal reaction facilitates, not only the activation of sensory cortices, but
also simultaneously the central motor system.
PMID- 24928351
TI - Generation of induced neurons by direct reprogramming in the mammalian cochlea.
AB - Primary auditory neurons (ANs) in the mammalian cochlea play a critical role in
hearing as they transmit auditory information in the form of electrical signals
from mechanosensory cochlear hair cells in the inner ear to the brainstem. Their
progressive degeneration is associated with disease conditions, excessive noise
exposure and aging. Replacement of ANs, which lack the ability to regenerate
spontaneously, would have a significant impact on research and advancement in
cochlear implants in addition to the amelioration of hearing impairment. The aim
of this study was to induce a neuronal phenotype in endogenous non-neural cells
in the cochlea, which is the essential organ of hearing. Overexpression of a
neurogenic basic helix-loop-helix transcription factor, Ascl1, in the cochlear
non-sensory epithelial cells induced neurons at high efficiency at embryonic,
postnatal and juvenile stages. Moreover, induced neurons showed typical
properties of neuron morphology, gene expression and electrophysiology. Our data
indicate that Ascl1 alone or Ascl1 and NeuroD1 is sufficient to reprogram
cochlear non-sensory epithelial cells into functional neurons. Generation of
neurons from non-neural cells in the cochlea is an important step for the
regeneration of ANs in the mature mammalian cochlea.
PMID- 24928352
TI - IL-17A contributes to brain ischemia reperfusion injury through calpain-TRPC6
pathway in mice.
AB - Interleukin (IL)-17A plays an important role in the cerebral ischemia/reperfusion
(I/R) injury. However, the mechanisms are still largely unknown. Calpain
transient receptor potential canonical (subtype) 6 (TRPC6) signaling pathway has
been recently found to be implicated in brain I/R injury. However, their
relationships with IL-17A remain unknown. This study aims to test whether this
important signaling has correlation with IL-17A and how they led to the neuronal
damage in I/R injury. In the present study, mice were subjected to middle
cerebral artery occlusion (60 min) followed by reperfusion for different times.
Infarct volumes and neurological deficits were examined. Real-time PCR (RT-PCR)
and Western blotting were conducted to detect IL-17A expression in the penumbral
brain tissue. Activation of calpain and expression of TRPC6 were also studied. We
found that cerebral I/R significantly increased the levels of IL-17A at 1, 3 and
6 days after reperfusion in the penumbral area. IL-17A knockout or anti-IL-17A
monoclonal antibody (mAb) significantly reduced whereas recombinant mouse-IL-17A
(rIL-17A) increased the activation of calpain at 3 days after reperfusion. The
calpain specific inhibitor calpeptin significantly increased TRPC6 expression.
Brain injury and neurological deficits were largely abrogated by IL-17A knockout,
anti-IL-17A mAb or calpeptin. Recombinant IL-17A treatment markedly increased I/R
injury. In conclusion, IL-17A may promote brain I/R injury through the increase
of calpain-mediated TRPC6 proteolysis. These results further outline a novel
neuroprotective strategy with increased effectiveness for the inhibition of
excess brain IL-17A in cerebral I/R injury.
PMID- 24928353
TI - Enhanced excitatory synaptic network activity following transient group I
metabotropic glutamate activation.
AB - Prolonged activation of group I metabotropic glutamate receptors (mGluRs) using
the agonist (S)-3,5-dihydroxyphenylglycine (DHPG) produces long-lasting changes
in the CA3 region of the hippocampal slice. Changes in CA3 pyramidal neuron
excitability that follow DHPG exposure result in abnormal network activity
manifest by epileptiform activity that consists of interictal and longer lasting
ictal epileptiform discharges. In this study we evaluated changes in synaptic
activity of CA3 neurons in rat hippocampal slices that occurred after exposure to
DHPG. Whole-cell voltage-clamp recordings were made from visually identified CA3
neurons in control artificial cerebrospinal fluid at times greater than 1h after
DHPG exposure. Compared to control slices, neurons from slices exposed to DHPG
showed enhanced amplitude and frequency of spontaneously occurring excitatory
postsynaptic currents (EPSCs) without a concurrent change in inhibitory
postsynaptic current (IPSC) amplitude or frequency. Miniature EPSCs were not
affected by DHPG exposure but mIPSCs occurred less frequently and were of reduced
amplitude. IPSCs recorded in the presence of ionotropic glutamate receptor
blockade occurred less frequently in neurons that had been exposed to DHPG.
Monosynaptic-evoked IPSPs were also reduced in amplitude in neurons that had been
exposed to DHPG. Taken together, these findings demonstrated an enhanced network
excitability of the CA3 region and failure of compensatory synaptic inhibition.
We propose that prolonged activation of group I mGluR that may occur under
conditions of pathological glutamate release results in long-lasting changes in
CA3 synaptic network activity and epileptiform activity driven by excessive
synaptic excitation.
PMID- 24928354
TI - Heterochromatin variants in human karyotypes: a possible association with
reproductive failure.
AB - Heterochromatin variants are commonly found during cytogenetic examinations, and
chromosomes 1, 9, 16 and Y are commonly involved in these variations. These
variants are believed to be clinically insignificant variations in human
karyotypes. Nevertheless, reproductive failure has been frequently discussed as
possibly being associated with these variants. Various authors have reported a
significantly elevated incidence of these variants in individuals with idiopathic
reproductive failure compared with individuals with no such history. This study
compared the incidence of heterochromatin variants in individuals with idiopathic
reproductive failure (n=1036) with that of a control group of healthy fetuses
(n=995) indicated for prenatal karyotype examination solely based on the advanced
ages of their mothers. The heterochromatin variants occurred more frequently in
the reproductive failure group than in the controls (P=0.006). Regarding
individual chromosomes, chromosome 9 variants were most frequently found in the
study and control groups, and they also occurred more frequently in the
reproductive failure group. The most significant difference between the study and
control groups was found for the 9qh+ variant (P=0.026), and although the results
are statistically significant, the cause of this phenomenon remains unknown.
PMID- 24928355
TI - Early trophoblast invasion and placentation in women with different PCOS
phenotypes.
AB - This study evaluated the impact of different phenotypes of polycystic ovary
syndrome (PCOS) on early trophoblast invasion and placentation. Pregnant patients
with different PCOS phenotypes and healthy pregnant women, matched for age and
body mass index, were enrolled. Histological analysis of trophoblastic and
decidual tissue and macroscopic and microscopic assessment of the placentas were
performed. Implantation-site vessels with endovascular trophoblast differed
significantly among PCOS phenotypes. Placental weight, thickness, density and
fetal-placental weight ratio were significantly different in the full-blown and
non-polycystic ovary (PCO) phenotypes versus the ovulatory and non
hyperandrogenic phenotypes. The incidence of macroscopic placental lesions was
only significantly different between controls and the full-blown and non-PCO
phenotypes. The overall incidence of microscopic placental lesions was
significantly different among PCOS phenotypes and was significantly higher in the
full-blown and non-PCO phenotypes than in the ovulatory and non-hyperandrogenic
phenotypes. The rates of chorionic villitis and intervillositis were
significantly higher in full-blown and non-PCO phenotypes than in ovulatory and
non-hyperandrogenic phenotypes. In conclusion, alterations in early trophoblast
invasion and placentation observed in PCOS vary widely according to phenotype.
PMID- 24928356
TI - Synergism between the N-acetyltransferase 2 gene and oxidant exposure increases
the risk of idiopathic male infertility.
AB - N-acetyltransferase (NAT2) is a phase-II xenobiotic-metabolizing enzyme
participating in the detoxification of toxic arylamines, aromatic amines and
hydrazines. The present study was designed to investigate whether two common
single-nucleotide polymorphisms (SNP) of the NAT2 gene (481C>T, rs1799929;
590G>A, rs1799930) are associated with susceptibility to idiopathic male
infertility and to assess if the risk is modified by oxidant and antioxidant
exposures. A total 430 DNA samples (203 infertile patients and 227 fertile men)
were genotyped for the polymorphisms by PCR and restriction fragment length
polymorphism. No association was found between the NAT2 polymorphisms and
idiopathic male infertility. However, gene-environment interaction analysis
revealed that a low-acetylation genotype, 590GA, was significantly associated
with increased disease risk in men who had environmental risk factors such as
cigarette smoking (OR 1.71, 95% CI 1.02-2.87, P = 0.042), alcohol abuse (OR 2.14,
95% CI 1.08-4.27, P = 0.029) and low fruit/vegetable intake (OR 1.68, 95% CI 1.01
2.79, P = 0.04). This pilot study found, as far as is known for the first time,
that the polymorphism 590G>A of NAT2 is a novel genetic marker for susceptibility
to idiopathic male infertility, but the risk is potentiated by exposure to
various environmental oxidants.
PMID- 24928357
TI - [Fair use of tests in health sciences].
AB - Standardized measurement instruments (tests) have become an essential tool in
health sciences. The concept of equity in the development, adaptation and
administration of psychometric tests was first introduced in "Standards for
Educational and Psychological Testing" published in 1999 by the American
Educational Research Association, the American Psychological Association, and the
National Council on Measurement in Education. Despite its importance, this
concept has been scarcely used in epidemiology and public health. Consequently,
this methodological note aims to explain the concept of equity in testing and to
provide tools and indications to detect and solve their inequitable use.
PMID- 24928358
TI - Tuberculous botryomycosis of the hand: case report.
AB - We report a case of a 17-year-old boy who presented with botryoid lesions of both
hands. These lesions resembled those of cutaneous botryomycosis. We treated him
with surgical debridement and were unable to isolate infective agents initially.
However, 3 months later Mycobacterium tuberculosis grew in the culture. One year
of antituberculous drug therapy resulted in healing of the lesions.
PMID- 24928359
TI - Comparison of arthroplasties with or without bone tunnel creation for thumb basal
joint arthritis: a randomized controlled trial.
AB - PURPOSE: To compare the results for treatment of basal thumb osteoarthritis with
and without the use of a bone tunnel at the base of the first metacarpal.
METHODS: Women aged 40 years or older with stage IV osteoarthritis were
randomized to 1 of 2 treatments. Patients were evaluated preoperatively and
postoperatively at 3 and 12 months by assessing pain, outcome function measures,
range of motion, strength, time to return to work or activities, satisfaction
with the results, and complication rate. RESULTS: A total of 79 patients were
enrolled in this study. Three months after surgery, Patient-Rated Wrist and Hand
Evaluation pain and total scores were significantly improved in the bone tunnel
group compared with the tunnel-free group. At 12 months, however, we found no
significant differences for all outcome scores between groups. In addition, we
observed no significant differences between groups in strength, duration to
return to work or activities, patient satisfaction, and complication rates.
CONCLUSIONS: After the bone tunnel technique, patients have better function and
less pain 3 months after surgery than do those in the non-bone tunnel group,
which indicates faster recovery. However, 12 months after surgery, the functional
outcome was similar. Because of faster recovery, we prefer the bone tunnel
technique in the treatment of stage IV osteoarthritis. TYPE OF STUDY/LEVEL OF
EVIDENCE: Therapeutic I.
PMID- 24928360
TI - Effect of non-symmetric waveform on conduction block induced by high-frequency
(kHz) biphasic stimulation in unmyelinated axon.
AB - The effect of a non-symmetric waveform on nerve conduction block induced by high
frequency biphasic stimulation is investigated using a lumped circuit model of
the unmyelinated axon based on Hodgkin-Huxley equations. The simulation results
reveal that the block threshold monotonically increases with the stimulation
frequency for the symmetric stimulation waveform. However, a non-monotonic
relationship between block threshold and stimulation frequency is observed when
the stimulation waveform is non-symmetric. Constant activation of potassium
channels by the high-frequency stimulation results in the increase of block
threshold with increasing frequency. The non-symmetric waveform with a positive
pulse 0.4-0.8 MUs longer than the negative pulse blocks axonal conduction by
hyperpolarizing the membrane and causes a decrease in block threshold as the
frequency increases above 12-16 kHz. On the other hand, the non-symmetric
waveform with a negative pulse 0.4-0.8 MUs longer than the positive pulse blocks
axonal conduction by depolarizing the membrane and causes a decrease in block
threshold as the frequency increases above 40-53 kHz. This simulation study is
important for understanding the potential mechanisms underlying the nerve block
observed in animal studies, and may also help to design new animal experiments to
further improve the nerve block method for clinical applications.
PMID- 24928361
TI - Assessment of early and late dysphagia using videofluoroscopy and quality of life
questionnaires in patients with head and neck cancer treated with radiation
therapy.
AB - BACKGORUND: The aim of this study was to evaluate dysphagia in patients with head
and neck cancer (HNC) undergoing three-dimensional conformal radiation therapy
using objective and subjective tools simultaneously and to associate the clinical
correlates of dysphagia with dosimetric parameters. METHODS: Twenty patients were
included in the study. The primary tumor and the involved lymph nodes (LN) were
treated with 66-70 Gy, the uninvolved LN were treated with 46-50 Gy. Six
swallowing structures were identified: the superior pharyngeal constrictor muscle
(SPCM), the middle pharyngeal constrictor muscle (MPCM), the inferior pharyngeal
constrictor muscle (IPCM), the base of tongue (BOT), the larynx and the proximal
esophageal sphincter (PES). Dysphagia was evaluated using videofluoroscopy and
European Organization for Research and Treatment of Cancer (EORTC) QoL
questionnaire (QLQ-C30) and supplemental EORTC QoL module for HNC (QLQ-H&N35).
The evaluations were performed before treatment, at 3 months and at 6 months
following treatment. RESULTS: On objective evaluation, the Dmax for the larynx
and the sub-structures of the PCM were correlated with impaired lingual movement,
BOT weakness and proximal esophageal stricture at 3 months, whereas the V65, the
V70and the Dmax for the larynx was correlated with BOT weakness and the V65, the
V70, the Dmax or the Dmean for the sub-structures of the PCM were correlated with
impaired lingual movement, BOT weakness, reduced laryngeal elevation, reduced
epiglottic inversion and aspiration at 6 months following treatment. On
subjective evaluation, the V60, the Dmax and the Dmean for SPCM were correlated
with QoL scores for HNSO at 3 months, whereas the V70 for SPCM were correlated
with QoL scores for HNPA and the V60, the V65, the V70, the Dmax and the Dmean
for SPCM were correlated with QoL scores for HNSO at 6 months following
treatment. CONCLUSIONS: The use of multiple dysphagia-related endpoints to
complement eachother rather than to overlap with one another, as well as the use
of multiple evaluations over time to represent a scale of early to late findings
might provide a better insight in terms of the association of the clinical
correlates of dysphagia with the dose-volume data for the dysphagia-related
anatomical structures.
PMID- 24928363
TI - From the cell of Schwann to schwannoma--a century's fruition.
AB - The word "schwannoma" is pervasive throughout the neurosurgical community.
However, little is known about the origin of the cell of "Schwann cell," the
manifestation of the tumor's nomenclature, or the prominent physicians who
studied its etiopathogenesis. Schwann was a founding father of cellular theory
and one of the greatest scientists of the 19th century. He not only proposed cell
theory but also discovered the "secondary" nerve cell and hypothesized its
possible function in myelination. It took a century to confirm Schwann's
hypothesis. In 1954, Geren, aided by the electron microscope, demonstrated that
the cell of Schwann is responsible for nerve myelination. Concurrently,
researchers worked to understand the etiology and pathogenesis of peripheral
nerve neoplasms. Several attempts were made; Older, Virchow, and von
Recklinghausen were the first pioneers who worked on the classification of these
neoplasms. However, Masson first used the word "schwannoma" to describe
peripheral nerve neoplasms other than neuromas. His French colleague Nageotte
used the term "peripheral-glioma" to denote these tumors. These schwannomas were
considered to have a malignant course. In 1932, Penfield attempted to classify
peripheral nerve neoplasms into 3 categories: peripheral fibroblastoma,
peripheral glioma, and neurofibroma of von Recklinghausen. He classified
"Verocay's neurinoma," "Masson's schwannoma," and "cerebellopontine angle" tumors
as perineural fibroblastoma. He believed that these tumors have a non-nerve cell,
non-Schwann cell origin. He classified the tumors arising from the Schwann cell
sheath as peripheral gliomas and articulated, "If any tumors are to be called
schwannomas, these should be." The neurofibroma of von Recklinghausen was
recognized as a separate entity, as described by von Recklinghausen himself.
Murray and Stout proposed that schwannomas are essentially benign in nature
clarifying the abstruseness of the benign or malignant nature of schwannoma.
PMID- 24928362
TI - Delayed failure of laser-induced interstitial thermotherapy for postradiosurgery
brain metastases.
PMID- 24928364
TI - Above and below: anterior fossa meningioma resection.
PMID- 24928365
TI - Oral delivery of glucagon like peptide-1 by a recombinant Lactococcus lactis.
AB - PURPOSE: To develop a live oral delivery system of Glucagon like peptide-1 (GLP
1), for the treatment of Type-2 Diabetes. METHODS: LL-pUBGLP-1, a recombinant
Lactococcus lactis (L. lactis)) transformed with a plasmid vector encoding GLP-1
cDNA was constructed and was used as a delivery system. Secretion of rGLP-1 from
LL-pUBGLP-1 was characterized by ELISA. The bioactivity of the rGLP-1 was
examined for its insulinotropic activity on HIT-T15 cells. Transport of rGLP-1
across MDCK cell monolayer when delivered by LL-pUBGLP-1 was studied. The
therapeutic effect of LL-pUBGLP-1 after oral administration was investigated in
ZDF rats. RESULTS: DNA sequencing and ELISA confirmed the successful construction
of the LL-pUBGLP-1 and secretion of the active form of rGLP-1. In vitro
insulinotropic studies demonstrated that LL-pUBGLP-1 could significantly (p <
0.05) stimulate HIT-T15 cells to secrete insulin as compared to the controls.
When delivered by LL-pUBGLP-1, the GLP-1 transport rate across the MDCK cell
monolayer was increased by eight times (p < 0.01) as compared to the free
solution form. Oral administration of LL-pUBGLP-1 in ZDF rats resulted in a
significant decrease (10-20%, p < 0.05) in blood glucose levels during 2-11 h
post dosing and a significant increase in insulin AUC0-11h (2.5 times, p < 0.01)
as compared to the free solution. CONCLUSION: The present study demonstrates that
L. lactis when genetically modified with a recombinant plasmid can be used for
the oral delivery of GLP-1.
PMID- 24928366
TI - Cell-free microfluidic determination of P-glycoprotein interactions with
substrates and inhibitors.
AB - The membrane protein P-glycoprotein (P-gp) plays key roles in the oral
bioavailability of drugs, their blood brain barrier passage as well as in
multidrug resistance. For new drug candidates it is mandatory to study their
interaction with P-gp, according to FDA and EMA regulations. The vast majority of
these tests are performed using confluent cell layers of P-gp overexpressing cell
lines that render these tests laborious. In this study, we introduce a cell-free
microfluidic assay for the rapid testing of drug- P-gp interactions. Cell-derived
vesicles are prepared from MDCKII-MDR1 overexpressing cells and immobilized on
the surface of a planar microfluidic device. The drug is delivered continuously
to the vesicles and calcein accumulation is monitored by means of a fluorescence
assay and total internal reflection fluorescence (TIRF) microscopy. Only small
amounts of compounds (~10 MUl) are required in concentrations of 5, 25 and 50 MUM
for a test that provides within 5 min information on the apparent dissociation
constant of the drug and P-gp. We tested 10 drugs on-chip, 9 of which are
inhibitors or substrates of P-glycoprotein and one negative control. We
benchmarked the measured apparent dissociation constants against an alternative
assay on a plate reader and reference data from FDA. These comparisons revealed
good correlations between the logarithmic apparent dissociation constants (R(2) =
0.95 with ATPase assay, R(2) = 0.93 with FDA data) and show the reliability of
the rapid on-chip test. The herein presented assay has an excellent screening
window factor (Z'-factor) of 0.8, and is suitable for high-throughput testing.
PMID- 24928367
TI - Neuroprotective effects of corn silk maysin via inhibition of H2O2-induced
apoptotic cell death in SK-N-MC cells.
AB - AIMS: Neuroprotective effects of maysin, which is a flavone glycoside that was
isolated from the corn silk (CS, Zea mays L.) of a Korean hybrid corn
Kwangpyeongok, against oxidative stress (H2O2)-induced apoptotic cell death of
human neuroblastoma SK-N-MC cells were investigated. MAIN METHODS: Maysin
cytotoxicity was determined by measuring cell viability using MTT and lactate
dehydrogenase (LDH) assays. Intracellular reactive oxygen species (ROS) were
measured using a 2,7-dichlorofluorescein diacetate (DCF-DA) assay. Apoptotic cell
death was monitored by annexin V-FITC/PI double staining and by a TUNEL assay.
Antioxidant enzyme mRNA levels were determined by real-time PCR. The cleavage of
poly (ADP-ribose) polymerase (PARP) was measured by western blotting. KEY
FINDINGS: Maysin pretreatment reduced the cytotoxic effect of H2O2 on SK-N-MC
cells, as shown by the increase in cell viability and by reduced LDH release.
Maysin pretreatment also dose-dependently reduced the intracellular ROS level and
inhibited PARP cleavage. In addition, DNA damage and H2O2-induced apoptotic cell
death were significantly attenuated by maysin pretreatment. Moreover, maysin
pretreatment (5-50 MUg/ml) for 2h significantly and dose-dependently increased
the mRNA levels of antioxidant enzymes (CAT, GPx-1, SOD-1, SOD-2 and HO-1) in
H2O2 (200 MUM)-insulted cells. SIGNIFICANCE: These results suggest that CS maysin
has neuroprotective effects against oxidative stress (H2O2)-induced apoptotic
death of human brain SK-N-MC cells through its antioxidative action. This report
is the first regarding neuroprotective health benefits of corn silk maysin by its
anti-apoptotic action and by triggering the expression of intracellular
antioxidant enzyme systems in SK-N-MC cells.
PMID- 24928368
TI - Effects of dietary glucose and fructose upon cannabinoid CB1 receptor
functionality in the rat brain: a pilot study.
AB - AIMS: A high consumption of fructose leads not only to peripheral changes in
insulin sensitivity and vascular function, but also to central changes in several
brain regions. Given the role of the endogenous cannabinoid system in the control
of energy intake, we undertook a pilot study to determine whether a high fructose
diet produced changes in brain CB1 receptor functionality. MAIN METHODS: Male
rats given access ad libitum to normal chow were given either water, glucose or
fructose solutions to drink. CB1 receptor functionality was measured
autoradiographically as the increase in [(35)S]GTPgammaS binding produced by the
agonist CP55,940. KEY FINDINGS: Seven regions were investigated: the prefrontal
cortex, caudate-putamen, hippocampal CA1-CA3, dentate gyrus, amygdala, and
dorsomedial and ventromedial hypothalami. Two-way robust Wilcoxon analyses for
each brain region indicated that the dietary treatment did not produce
significant main effects upon agonist-stimulated [(35)S]GTPgammaS binding in any
of the regions, in contrast to a significant main effect upon both leptin and
adiponectin levels in the blood. However, a MANCOVA of the data controlling for
leptin and adiponectin as co-variables identified a significant effect of glucose
and fructose treatment for five weeks upon the [(35)S]GTPgammaS response in the
ventromedial hypothalamus, a region of importance for regulation of appetite.
SIGNIFICANCE: It is concluded from this pilot study that palatable solutions do
not produce overt changes in brain CB1 receptor functionality, although subtle
changes in discrete brain regions may occur.
PMID- 24928369
TI - Medial patellofemoral ligament reconstruction as an isolated or combined
procedure for recurrent patellar instability.
AB - PURPOSE: The principal aim of this study was to report the outcomes of medial
patellofemoral ligament (MPFL) reconstruction, used as either an isolated
procedure or in combination with another stabilization procedure, for the primary
treatment of recurrent patellar instability. METHODS: Between 2007 and 2012, 45
patients with recurrent patellar instability and no prior stabilization surgery
had an MPFL reconstruction by a single surgeon, either as an isolated procedure
or in combination with another stabilization procedure. Questionnaires detailing
patellar instability since surgery, knee pain, ability to negotiate stairs, and
sports participation were completed, and data regarding examination and
radiological findings were collected from the medical record. RESULTS: A total of
36 (80%) patients completed the questionnaire at a mean of 3.1 years (minimum 1
year), whilst a further 11% had clinical follow-up of greater than 1 year. Four
patients were excluded due to lack of adequate follow-up. Thirty-one patients had
an isolated MPFL reconstruction and none had further patellar instability. Of the
ten patients who had a combined procedure, one experienced recurrent instability.
Return to sport rates were 81 and 57% for the isolated and combined groups,
respectively, with the majority returning to strenuous sport (81 and 57%,
respectively). Most patients (96 and 80%) could negotiate stairs without
difficulty, whilst 38 and 40% reported some degree of anterior knee pain.
CONCLUSIONS: This study shows that satisfactory results can be obtained using
MPFL reconstruction either in isolation or in combination to treat recurrent
patellar instability. Whether the indications for an isolated MPFL can be
extended further remains unclear. LEVEL OF EVIDENCE: III.
PMID- 24928370
TI - Effect of open wedge high tibial osteotomy on the lateral tibiofemoral
compartment in sheep. Part III: analysis of the microstructure of the subchondral
bone and correlations with the articular cartilage and meniscus.
AB - PURPOSE: First, to evaluate whether medial open wedge high tibial osteotomy (HTO)
induces alterations of the microstructure of the lateral tibial subchondral bone
plate of sheep. Second, to test the hypothesis that specific correlations exist
between topographical structural alterations of the subchondral bone, the
cartilage and the lateral meniscus. METHODS: Three experimental groups received
biplanar osteotomies of the right proximal tibiae: (a) closing wedge HTO (4.5
degrees of tibial varus), (b) opening wedge HTO (4.5 degrees tibial valgus;
standard correction) and (c) opening wedge HTO (9.5 degrees of valgus;
overcorrection), each of which was compared to the non-osteotomised contralateral
proximal tibiae. After 6 months, subchondral bone structure indices were measured
by computed tomography. Correlations between the subchondral bone, the articular
cartilage and the lateral meniscus were determined. RESULTS: Increased loading by
valgus overcorrection led to an enlarged specific bone surface (BS/BV) in the
subarticular spongiosa compared with unloading by varisation. The subchondral
bone plate was 3.9-fold thicker in the central region of the lateral tibial
plateau than in the submeniscal periphery. Its thickness in the central region
significantly correlated with the thickness of the articular cartilage. In the
submeniscal region, such correlation did not exist. In general, a higher degree
of osteoarthritis (OA) correlated with alterations of the subchondral bone plate
microstructure. OA of the submeniscal articular cartilage also correlated with
worse matrix staining of the lateral meniscus. CONCLUSION: Osteoarthritis changes
are associated with alterations of the subchondral bone plate microstructure.
Specific topographical relationships exist in the central region between the
articular cartilage and subchondral bone plate thickness, and in the submeniscal
periphery between and the articular cartilage and lateral meniscus. From a
clinical perspective, the combined follow-up data from this and the previous two
investigations suggest that open wedge valgus HTO is a safe procedure for the
lateral compartment to manage medial osteoarthritis of the knee with varus
malalignment in the short term.
PMID- 24928371
TI - Cryotherapy on postoperative rehabilitation of joint arthroplasty.
AB - PURPOSE: The effectiveness of cryotherapy on joint arthroplasty recovery remains
controversial. This systematic review was conducted to assess the effectiveness
of cryotherapy in patients after joint arthroplasty. METHODS: Comprehensive
literature searches of several databases including Cochrane Library (2013),
MEDLINE (1950-2013), and Embase (1980-2013) were performed. We sought randomised
controlled trials that compared the experimental group received any form of
cryotherapy with any control group after joint arthroplasty. The main outcomes
were postoperative blood loss, adverse events, and pain. Analyses were performed
with Revman 5.0. Results were shown as mean differences (MD) and standard
deviations or as risk difference and 95 % confidence intervals (CIs). RESULTS:
Ten trials comprised 660 total knee arthroplastys and three trials comprised 122
total hip arthroplastys (THAs) met the inclusion criteria. Blood loss was
significantly decreased by cryotherapy (MD = -109.68; 95 % CI -210.92 to -8.44; P
= 0.03). Cryotherapy did not increase the risk of adverse effect (n.s.).
Cryotherapy decreased pain at the second day of postoperative (MD = -1.32; 95 %
CI -2.37 to -0.27; P = 0.0003), but did not decreased pain at the first and third
day of postoperative (n.s.). CONCLUSIONS: Cryotherapy appears effective in these
selected patients after joint arthroplasty. The benefits of cryotherapy on blood
loss after joint arthroplasty were obvious. However, the subgroup analysis
indicated that cryotherapy did not decreased blood loss after THA. Cryotherapy
did not increase the risk of adverse effect. Cryotherapy decreased pain at the
second day of postoperative, but did not decreased pain at the first and third
day of postoperative. LEVEL OF EVIDENCE: II.
PMID- 24928372
TI - [Laparoscopic surgery for colon cancer: quality requirements for (extended) right
hemicolectomy].
AB - The current S3 guidelines on the surgical treatment of colorectal cancer note
that with a suitable patient selection and expertise of the surgeon the same
oncological results can be achieved laparoscopically as with the open procedure.
This requires that the same quality requirements have to be provided for both
methods. The most important quality parameters of right sided (extended)
hemicolectomy are central ligation of the supplying arteries (ileocolic artery,
right branch of the middle colic artery and middle colic artery) flush to the
central origin of the vessel (superior mesenteric artery or middle colic artery)
and the sharp dissection of the mesocolon without any preparation tears. The
photographic documentation of the fresh surgical specimens for categorizing the
preparation quality as well as to determine the pedicle of the vessel can capture
this quality well and is also currently the best surrogate parameter to evaluate
the oncological quality of the operation. At present, there are still
considerable widespread deficits not only with the laparoscopic approach but also
in open surgery which must be urgently fixed.
PMID- 24928373
TI - Factors associated with in utero demise of fetuses that have underlying cardiac
pathologies.
AB - Factors associated with in utero fetal demise (IUFD) of fetuses that have
underlying cardiac pathologies are largely unknown. This case-control study aimed
to define the prevalence of IUFD in fetuses with a diagnosis of cardiac
pathologies and to identify prenatal predictors of IUFD. Between January 2004 and
December 2010, 74 IUFD cases [4.6 %; 95 % confidence interval (CI) 3.7-5.8 %]
were identified from 1,584 cases with a diagnosis of structural or functional
cardiac lesions in the Hospital for Sick Children database. The cases were
divided into right-sided (N = 28), left-sided (N = 23), great artery (N = 8), and
miscellaneous (N = 15) groups. The control subjects (1:1 ratio) were fetuses that
had cardiac pathology diagnosed within 48 h of the IUFD case. Multivariable
regression models were used to determine echocardiographic predictors of IUFD.
The prevalence of IUFD was greatest in hypertrophic cardiomyopathy (8/16, 50 %)
and Ebstein's anomaly/tricuspid dysplasia (4/15, 27 %) and lowest in
transposition of the great arteries (2/85, 1 %). The findings showed IUFD to be
associated with hydrops in 17 (23 %) of the 74 cases and arrhythmia in 11 (15 %)
of the 74 cases. The factors identified by univariable logistic regression
analyses were right ventricular dysfunction [odds ratio (OR) 2.7; p = 0.001],
left ventricular dysfunction (OR 1.8; p = 0.007), umbilical vein pulsations (OR
10.9; p = 0.002), and abnormal ductus venosus flow (OR 3.3; p = 0.01). The
factors associated with IUFD in multivariable logistic regression models were
cardiomegaly (OR 5.6; p = 0.01), hydrops (OR 29.5; p = 0.001), pericardial
effusion (OR 4.1; p = 0.06), and extracardiac abnormalities (OR 7.2; p < 0.001).
The prevalence of IUFD is greatest in conditions affecting the ventricular
myocardium. The onset of IUFD appears to be related initially to right
ventricular dysfunction. Closer surveillance is recommended for lesions at risk
of IUFD.
PMID- 24928374
TI - Changes in the transcriptional profile in response to overexpression of the
osteopontin-c splice isoform in ovarian (OvCar-3) and prostate (PC-3) cancer cell
lines.
AB - BACKGROUND: Especially in human tumor cells, the osteopontin (OPN) primary
transcript is subject to alternative splicing, generating three isoforms termed
OPNa, OPNb and OPNc. We previously demonstrated that the OPNc splice variant
activates several aspects of the progression of ovarian and prostate cancers. The
goal of the present study was to develop cell line models to determine the impact
of OPNc overexpression on main cancer signaling pathways and thus obtain insights
into the mechanisms of OPNc pro-tumorigenic roles. METHODS: Human ovarian and
prostate cancer cell lines, OvCar-3 and PC-3 cells, respectively, were stably
transfected to overexpress OPNc. Transcriptomic profiling was performed on these
cells and compared to controls, to identify OPNc overexpression-dependent changes
in gene expression levels and pathways by qRT-PCR analyses. RESULTS: Among 84
genes tested by using a multiplex real-time PCR Cancer Pathway Array approach, 34
and 16, respectively, were differentially expressed between OvCar-3 and PC-3 OPNc
overexpressing cells in relation to control clones. Differentially expressed
genes are included in all main hallmarks of cancer, and several interacting
proteins have been identified using an interactome network analysis. Based on
marked up-regulation of Vegfa transcript in response to OPNc overexpression, we
partially validated the array data by demonstrating that conditioned medium (CM)
secreted from OvCar-3 and PC-3 OPNc-overexpressing cells significantly induced
endothelial cell adhesion, proliferation and migration, compared to CM secreted
from control cells. CONCLUSIONS: Overall, the present study elucidated
transcriptional changes of OvCar-3 and PC-3 cancer cell lines in response to OPNc
overexpression, which provides an assessment for predicting the molecular
mechanisms by which this splice variant promotes tumor progression features.
PMID- 24928375
TI - Predictors of short-term recovery of urinary continence after radical
prostatectomy.
AB - PURPOSE: To evaluate treatment variables for early urinary continence status 6
weeks following radical prostatectomy. METHODS: In this retrospective analysis,
4,028 consecutive patients underwent open radical retropubic (RRP) or robot
assisted transperitoneal prostatectomy (RARP) at a single academic institution
(07/2003-07/2013). After discharge, patients were offered 3-week treatment in a
rehabilitation facility. Patients who opted for rehabilitation (n = 2,998, 74.4%)
represent our study cohort. Exclusion criteria were acute urinary retention after
catheter removal (n = 55, 1.4%), incomplete datasets (n = 50, 1.2%) or refusal of
rehabilitation (n = 925, 23.0%). Results of urinary continence were evaluated
from final rehabilitation reports. Twenty-two clinical and oncological variables
were statistically analysed in uni- and multivariable analyses to determine
whether they were associated with early urinary continence status six weeks after
radical prostatectomy. Odds ratios and 95% CI as well as p values were
calculated. A p level of 0.05 was considered as significant. RESULTS: Six weeks
after surgery, 1,962 (65.4%) patients were continent (<=1 pad/day) and 1,036
(34.6%) patients were considered incontinent. Age, clinical stage, PSA, ASA
score, prior TURP, seminal vesicle invasion, Gleason score, nerve-sparing status,
intraoperative blood loss, catheterisation time, OR time, surgical caseload
>1,000 and the surgeon were associated with continence status on univariable
analysis (p < 0.05). On multivariable analysis, nerve-sparing procedure (NS),
clinical stage, individual surgeon, patient age, surgical procedure (RARP vs.
RRP) and duration of catheterisation were independent predictors (p < 0.05) of
incontinence status. CONCLUSIONS: Strategies that can ensure NS procedures and
early catheter removal should be applied to enable early recovery of urinary
continence.
PMID- 24928376
TI - Effects of quercetin on hedgehog signaling in chronic myeloid leukemia KBM7
cells.
AB - OBJECTIVE: To investigate the effects of quercetin on Hedgehog (Hh) signaling in
chronic myeloid leukemia KBM7 cells. METHODS: The KBM7 cells were treated with
50, 100 and 200 MUmol/L quercetin for 48 h respectively. And then the trypan blue
assay was used to examine the proliferative inhibition of quercetin. Apoptotic
cells and cell cycle were measured by flow cytometry. The mRNA and protein
expression were detected by quantitative real-time polymerase chain reaction
(PCR) and Western blot, respectively. RESULTS: Quercetin significantly inhibited
KBM7 cell proliferation, induced cell apoptosis, and blocked cell cycle at G1
phase, which were in dose-dependent manners. The mRNA and protein expression of
Smoothened and Glioma1 (Gli1), the members of Hh pathway decreased after
treatment with quercetin. The Bcl-2 and Cyclin D1, targets of Hh signaling, also
decreased after treatment with quercetin, respectively. Quercetin also could
increase p53 and Caspase-3 expression. Bcr-abl mRNA copies decreased, but no
changes of phosphorylated Bcr-abl and Bcr-abl proteins were observed, after
treatment with quercetin. CONCLUSION: Quercetin could inhibit Hh signaling and
its downstream targets in the KBM7 cells. And it might be one of mechanisms of
inducing apoptosis and inhibiting cell cycle by quercetin.
PMID- 24928377
TI - Effects of acupuncture on the tissue distribution of Paclitaxel in lung carcinoma
mice.
AB - OBJECTIVE: To study whether acupuncture affects the tissue distribution of
Paclitaxel in mouse lung carcinoma. METHODS: Totally 90 mice were divided into
Paclitaxel group, Paclitaxel + Feishu (BL13) group, and Paclitaxel + Lingtai
(DU10) group. Each group was consisted of 30 mice. After Paclitaxel injection,
the mice received electro-acupuncture at Feishu or Lingtai acupoints once a day
for 8 days. The effect of acupuncture on the tissue distribution of Paclitaxel
was evaluated using high-performance liquid chromatography at 1, 2, and 3 h,
respectively. The lung, liver, spleen, and kidney were examined for the
concentration of Paclitaxel seperately. RESULTS: Paclitaxel was widely
distributed in various organs, particularly in the lung, liver, and kidney.
Acupuncture at Lingtai or Feishu acupoints resulted in an obvious decrease of
Paclitaxel distribution in kidney and delayed Paclitaxel distribution in liver.
Meanwhile, it increased the time of metabolism. Acupuncture at Feishu acupoint
facilitated the delivery of Paclitaxel to lung more effectively than did
acupuncture at Lingtai acupoint. CONCLUSIONS: Applying acupuncture at particular
acupoints can influence tissue distribution of Paclitaxel. Tissue distribution
change might be one of the mechanisms of acupuncture treatment during
chemotherapy.
PMID- 24928378
TI - Photocatalytic degradation of molinate in aqueous solutions.
AB - In this study, the degradation of molinate through heterogeneous photocatalysis,
using two different types of the semiconductor TiO2 as photocatalyst, as well as
through homogeneous treatment, applying the photo-Fenton reaction, has been
investigated. As far as heterogeneous photocatalysis is concerned, the
degradation of the pesticide follows apparent first-order kinetics, while the
type of the catalyst and the pH value of the solution affect the degradation
rate. The effect of the addition of electron scavengers (H2O2 and K2S2O8) was
also studied. In the case of photo-Fenton-assisted system, the degradation also
follows pseudo-first-order kinetics. Parameters such as iron's and electron
scavenger's concentration and inorganic ions strongly affect the degradation
rate. The extent of pesticide mineralization was investigated using dissolved
organic carbon (DOC) measurements. The toxicity of the treated solution was
evaluated using the Microtox test based on the luminescent bacteria Vibrio
fischeri. The detoxification and mineralization efficiency was found to be
dependent on the system studied, and although it did not follow the rate of
pesticide disappearance, it took place in considerable extent. The study of the
photodegradation treatment was completed by the determination of the intermediate
by-products formed during the process, which was carried out using LC-MS/MS
technique and led to similar compounds with both processes.
PMID- 24928379
TI - A reactive transport model for mercury fate in soil--application to different
anthropogenic pollution sources.
AB - Soil systems are a common receptor of anthropogenic mercury (Hg) contamination.
Soils play an important role in the containment or dispersion of pollution to
surface water, groundwater or the atmosphere. A one-dimensional model for
simulating Hg fate and transport for variably saturated and transient flow
conditions is presented. The model is developed using the HP1 code, which couples
HYDRUS-1D for the water flow and solute transport to PHREEQC for geochemical
reactions. The main processes included are Hg aqueous speciation and
complexation, sorption to soil organic matter, dissolution of cinnabar and liquid
Hg, and Hg reduction and volatilization. Processes such as atmospheric wet and
dry deposition, vegetation litter fall and uptake are neglected because they are
less relevant in the case of high Hg concentrations resulting from anthropogenic
activities. A test case is presented, assuming a hypothetical sandy soil profile
and a simulation time frame of 50 years of daily atmospheric inputs. Mercury fate
and transport are simulated for three different sources of Hg (cinnabar, residual
liquid mercury or aqueous mercuric chloride), as well as for combinations of
these sources. Results are presented and discussed with focus on Hg
volatilization to the atmosphere, Hg leaching at the bottom of the soil profile
and the remaining Hg in or below the initially contaminated soil layer. In the
test case, Hg volatilization was negligible because the reduction of Hg(2+) to
Hg(0) was inhibited by the low concentration of dissolved Hg. Hg leaching was
mainly caused by complexation of Hg(2+) with thiol groups of dissolved organic
matter, because in the geochemical model used, this reaction only had a higher
equilibrium constant than the sorption reactions. Immobilization of Hg in the
initially polluted horizon was enhanced by Hg(2+) sorption onto humic and fulvic
acids (which are more abundant than thiols). Potential benefits of the model for
risk management and remediation of contaminated sites are discussed.
PMID- 24928380
TI - Influence of operating conditions on nitrous oxide formation during nitritation
and nitrification.
AB - Nitrous oxide (N2O), a strong greenhouse gas, can be produced by ammonium
oxidizing bacteria (AOB) as a by-product of ammonium oxidation and can
potentially be formed in all types of nitrification processes. However, partial
nitritation has been reported to cause significantly higher N2O emissions than
complete nitrification. In the study presented here, the mechanisms and factors
that drive N2O formation by AOB were investigated with respect to different
operational strategies to achieve nitrite accumulation base on combined
evaluation of oxygen uptake rate (OUR) and N2O formation rate. On the one hand,
N2O formation during partial nitritation and nitrification in a continuously
stirred tank reactor (CSTR) with continuous aerobic conditions was observed. On
the other hand, the effect of intermittent aeration on N2O formation during
nitrification was investigated. The presence of nitrite, the extend of sludge
specific ammonium loading, low oxygen concentration, and transition from aerobic
to anoxic conditions significantly increased N2O formation in this reactor
independently from each other, indicating that different formation pathways,
supposedly via nitrite or hydroxylamine, were active.
PMID- 24928381
TI - Toxicity of atrazine and its bioaccumulation and biodegradation in a green
microalga, Chlamydomonas mexicana.
AB - This study evaluated the toxicity of herbicide atrazine, along with its
bioaccumulation and biodegradation in the green microalga Chlamydomonas mexicana.
At low concentration (10 MUg L(-1)), atrazine had no profound effect on the
microalga, while higher concentrations (25, 50, and 100 MUg L(-1)) imposed
toxicity, leading to inhibition of cell growth and chlorophyll a accumulation by
22 %, 33 %, and 36 %, and 13 %, 24 %, and 27 %, respectively. Atrazine 96-h EC50
for C. mexicana was estimated to be 33 MUg L(-1). Microalga showed a capability
to accumulate atrazine in the cell and to biodegrade the cell-accumulated
atrazine resulting in 14-36 % atrazine degradation at 10-100 MUg L(-1).
Increasing atrazine concentration decreased the total fatty acids (from 102 to 75
mg g(-1)) and increased the unsaturated fatty acid content in the microalga.
Carbohydrate content increased gradually with the increase in atrazine
concentration up to 15 %. This study shows that C. mexicana has the capability to
degrade atrazine and can be employed for the remediation of atrazine-contaminated
streams.
PMID- 24928382
TI - Enhancing soluble phosphorus removal within buffer strips using industrial by
products.
AB - Using industrial by-products (IBPs) in conjunction with buffer strips provides a
potentially new strategy for enhancing soluble phosphorus (P) removal from
agricultural runoff. Here, we investigate the feasibility of this approach by
assessing the P sorption properties of IBPs at different solution-IBPs contact
time (1-120 min) and solution pH (3, 5.5, 7.5), as well as possible adverse
environmental effects including P desorption or heavy metal mobilisation from
IBPs. Batch experiments were carried out on two widely available IBPs in the UK
that demonstrated high P sorption capacity but different physicochemical
characteristics, specifically ochre and Aluminium (Al) based water treatment
residuals (Al-WTR). A series of kinetic sorption-desorption experiments alongside
kinetic modelling were used to understand the rate and the mechanisms of P
removal across a range of reaction times. The results of the kinetic experiments
indicated that P was initially sorbed rapidly to both ochre and Al-WTR, followed
by a second phase characterised by a slower sorption rate. The excellent fits of
kinetic sorption data to a pseudo-second order model for both materials suggested
surface chemisorption as the rate-controlling mechanism. Neither ochre nor Al-WTR
released substantial quantities of either P or heavy metals into solution,
suggesting that they could be applied to buffer strip soils at recommended rates
(<=30 g kg(-1) soil) without adverse environmental impact. Although the rate of P
sorption by freshly-generated Al-WTR applied to buffer strips reduced following
air-drying, this would not limit its practical application to buffer strips in
the field if adequate contact time with runoff was provided.
PMID- 24928383
TI - Turning waste into valuable resource: potential of electric arc furnace dust as
photocatalytic material.
AB - This paper explores the potential of a hazardous waste of difficult management,
electric arc furnace dust (EAFD), as photocatalytic material. Starting from a
real waste coming from a Spanish steel factory, chemical, mineralogical, and
optical characterizations have been carried out. Direct trials on EAFD and mortar
containing this waste have been performed to evaluate its potential as
photocatalyst itself and within a cementitious material. The analysis of
photocatalytic properties has been done by two different methods: degradation of
NO x and degradation of rhodamine (RhB). As a result, it can be said that EAFD
exhibited photocatalytic activity for both configurations with UV and visible
light, having the mortar enhanced photocatalytic activity for NO x with respect
to the EAFD itself. Additionally, in direct trials on the EAFD, it has been able
to degrade RhB even in the dark, which has been attributed to transfer of
electrons between the adsorbed RhB and the conduction band of some oxides in the
dust.
PMID- 24928384
TI - Response to the authors of the article "Enhanced recovery after scheduled colo
rectal surgery" published in the Journal de Chirurgie.
PMID- 24928385
TI - Mitofusin 2 decreases intracellular lipids in macrophages by regulating
peroxisome proliferator-activated receptor-gamma.
AB - Mitofusin 2 (Mfn2) inhibits atherosclerotic plaque formation, but the underlying
mechanism remains elusive. This study aims to reveal how Mfn2 functions in the
atherosclerosis. Mfn2 expression was found to be significantly reduced in
arterial atherosclerotic lesions of both mice and human compared with healthy
counterparts. Here, we observed that Mfn2 increased cellular cholesterol
transporter expression in macrophages by upregulating peroxisome proliferator
activated receptor-gamma, an effect achieved at least partially by inhibiting
extracellular signal-regulated kinase1/2 (ERK1/2) and p38 mitogen-activated
protein kinases (MAPKs) pathway. These findings provide insights into potential
mechanisms of Mfn2-mediated alterations in cholesterol transporter expression,
which may have significant implications for the treatment of atherosclerotic
heart disease.
PMID- 24928386
TI - An automated mass spectrometry-based screening method for analysis of sulfated
glycosaminoglycans.
AB - Glycosaminoglycans (GAGs) are linear polysaccharides, consisting of repeated
disaccharide units, attached to core proteins in all multicellular organisms.
Chondroitin sulfate (CS) and dermatan sulfate (DS) constitute a subgroup of
sulfated GAGs for which the degree of sulfation varies between species and
tissues. One major goal in GAG characterization is to correlate structure to
function. A common approach is to exhaustively degrade the GAG chains and
thereafter determine the amount of component disaccharide units. In large-scale
studies, there is a need for high-throughput screening methods since existing
methods are either very time- or samples consuming. Here, we present a new
strategy applying MALDI-TOF MS in positive ion mode for semi-qualitative and
quantitative analysis of CS/DS derived disaccharide units. Only a few picomoles
of sample are required per analysis and 10 samples can be analyzed in 25 min,
which makes this approach an attractive alternative to many established assay
methods. The total CS/DS concentration in 19 samples derived from Caenorhabditis
elegans and mammalian tissues and cells was determined. The obtained results were
well in accordance with concentrations determined by a standard liquid
chromatography-based method, demonstrating the applicability of the method for
samples from various biological matrices containing CS/DS of different sulfation
degrees.
PMID- 24928387
TI - Secreted meningeal chemokines, but not VEGFA, modulate the migratory properties
of medulloblastoma cells.
AB - Leptomeningeal metastasis is a cause of morbidity and mortality in
medulloblastoma, but the understanding of molecular mechanisms driving this
process is nascent. In this study, we examined the secretory chemokine profile of
medulloblastoma cells (DAOY) and a meningothelial cell line (BMEN1). Conditioned
media (CM) of meningothelial cells increased adhesion, spreading and migration of
medulloblastoma. VEGFA was identified at elevated levels in the CM from BMEN1
cells (as compared to DAOY CM); however, recombinant VEGFA alone was insufficient
to enhance medulloblastoma cell migration. In addition, bevacizumab, the VEGFA
scavenging monoclonal antibody, did not block the migratory phenotype induced by
the CM. These results reveal that paracrine factors secreted by meningothelial
cells can influence migration and adherence of medulloblastoma tumor cells, but
VEGFA may not be a specific target for therapeutic intervention in this context.
PMID- 24928388
TI - Non-viral reprogramming of fibroblasts into induced pluripotent stem cells by
Sleeping Beauty and piggyBac transposons.
AB - The generation of induced pluripotent stem (iPS) cells represents a promising
approach for innovative cell therapies. The original method requires viral
transduction of several reprogramming factors, which may be associated with an
increased risk of tumorigenicity. Transposition of reprogramming cassettes
represents a recent alternative to viral approaches. Since binary transposons can
be produced as common plasmids they provide a safe and cost-efficient alternative
to viral delivery methods. Here, we compared the efficiency of two different
transposon systems, Sleeping Beauty (SB) and piggyBac (PB), for the generation of
murine iPS. Murine fibroblasts derived from an inbred BL/6 mouse line carrying a
pluripotency reporter, Oct4-EGFP, and fibroblasts derived from outbred NMRI mice
were employed for reprogramming. Both transposon systems resulted in the
successful isolation of murine iPS cell lines. The reduction of the core
reprogramming factors to omit the proto-oncogene c-Myc was compatible with iPS
cell line derivation, albeit with reduced reprogramming efficiencies. The
transposon-derived iPS cells featured typical hallmarks of pluripotency,
including teratoma growth in immunodeficient mice. Thus SB and PB transposons
represent a promising non-viral approach for iPS cell derivation.
PMID- 24928389
TI - Functional proteomics revealed IL-1beta amplifies TNF downstream protein signals
in human synoviocytes in a TNF-independent manner.
AB - IL-1beta is readily detectable in numerous joint inflammations. It can change the
transcriptomic signature of fibroblast-like synoviocytes (FLS) of arthritis
toward promoting migration and invasion that are relevant to arthritis
progression. We hypothesize that IL-1beta partially contributes to the onset of
osteoarthritis (OA). We compared the tissue samples from OA and fracture subjects
and found that IL-1beta expression was significantly higher in the OA synovium,
while TNF-alpha expression showed no significance. We demonstrated that IL-1beta
significantly increases the IL-6 and IL-8 secretions of human normal FLS;
however, IL-1beta does not induce TNF secretion. With metabolic labeling based
proteomics and pathway analysis, we found that IL-1beta significantly increases
the TNF downstream protein expression in FLS even with complete absence of TNF
and/or blocking of the NF-kappaB pathway. Among these proteins, we verified that
p62 can differentiate the OA from fracture synovitis. In conclusion, we
demonstrated that IL-1beta can amplify the TNF downstream protein signals in
human synoviocytes in a TNF-independent manner; in addition, p62 is a potential
FLS biomarker for synovitis.
PMID- 24928390
TI - IKK regulates the deubiquitinase CYLD at the postsynaptic density.
AB - K63-linked polyubiquitination of proteins regulates their trafficking into
specific cellular pathways such as endocytosis and autophagy. CYLD, a
deubiquitinase specific for K63-linked polyubiquitins, is present in high
quantities at the postsynaptic density (PSD). It was previously shown that, under
excitatory conditions, CaMKII activates CYLD in a Ca(2+)-dependent manner. The
observation that CYLD can also be phosphorylated in the absence of Ca(2+) in
isolated PSDs led us to further explore the regulation of CYLD under basal
conditions. A possible involvement of the autonomous form of CaMKII and IKK, both
kinases known to be localized at the PSD, was examined. A CaMKII inhibitor CN21
had no effect on CYLD phosphorylation in the absence of Ca(2+), but two different
IKK inhibitors, IKK16 and tatNEMO, inhibited its phosphorylation. Immuno-electron
microscopy on hippocampal cultures, using an antibody for CYLD phosphorylated at
S-418, revealed that the phosphorylated form of CYLD is present at the PSD under
basal conditions. Phosphorylation of CYLD under basal conditions was inhibited by
IKK16. NMDA treatment further promoted phosphorylation of CYLD at the PSD, but
IKK16 failed to block the NMDA-induced effect. In vitro experiments using
purified proteins demonstrated direct phosphorylation and activation of CYLD by
the beta catalytic subunit of IKK. Activation of IKK in isolated PSDs also
promoted phosphorylation of CYLD and an increase in endogenous deubiquitinase
activity for K63-linked polyubiquitins. Altogether, the results suggest that in
the absence of excitatory conditions, constitutive IKK activity at the PSD
regulates CYLD and maintains basal levels of K63-linkage specific
deubiquitination at the synapse.
PMID- 24928391
TI - Vascular endothelial cells promote cortical neurite outgrowth via an integrin
beta3-dependent mechanism.
AB - The interaction of neurons with their non-neuronal milieu plays a crucial role in
the formation of neural networks, and wide variety of cell-contact-dependent
signals that promote neurite elongation have been identified. In this study, we
found that vascular endothelial cells promote neurite elongation in an integrin
beta3-dependent manner. Vascular endothelial cells from the cerebral cortex
promoted neurite elongation of cortical neurons in a cell contact-dependent
manner. This effect was mediated by arginine-glycine-aspartic acid (RGD), a major
recognition sequence for integrins. Pharmacological blockade of integrin beta3
abolished the neurite elongation effect induced by the endothelial cells.
Immunocytochemical analysis revealed that integrin beta3 was expressed on
cultured cortical neurons. These results demonstrate that the neurite elongation
promoted by vascular endothelial cells requires integrin beta3. Vascular
endothelial cells may therefore play a role in the development and repair of
neural networks in the central nervous system.
PMID- 24928392
TI - A PerR-like protein involved in response to oxidative stress in the extreme
bacterium Deinococcus radiodurans.
AB - Response and defense systems against reactive oxygen species (ROS) contribute to
the remarkable resistance of Deinococcus radiodurans to oxidative stress induced
by oxidants or radiation. However, mechanisms involved in ROS response and
defense systems of D. radiodurans are not well understood. Fur family proteins
are important in ROS response. Only a single Fur homolog is predicted by sequence
similarity in the current D. radiodurans genome database. Our bioinformatics
analysis demonstrated an additional guanine nucleotide in the genome of D.
radiodurans that is not in the database, leading to the discovery of another Fur
homolog DrPerR. Gene disruption mutant of DrPerR showed enhanced resistance to
hydrogen peroxide (H2O2) and increased catalase activity in cell extracts. Real
time PCR results indicated that DrPerR functions as a repressor of the catalase
gene katE. Meanwhile, derepression of dps (DNA-binding proteins from starved
cells) gene under H2O2 stress by DrPerR point to its regulatory role in metal
ions hemostasis. Thus, DrPerR might function as a Fur homolog protein which is
involved in ROS response and defense. These results help clarify the complicated
regulatory network that responds to ROS stress in D. radiodurans.
PMID- 24928393
TI - Purpurin inhibits adipocyte-derived leucine aminopeptidase and angiogenesis in a
zebrafish model.
AB - Adipocyte-derived leucine aminopeptidase (A-LAP) is a novel member of the M1
family of zinc metallopeptidases, which has been reported to play a crucial role
in angiogenesis. In the present study, we conducted a target-based screening of
natural products and synthetic chemical libraries using the purified enzyme to
search novel inhibitors of A-LAP. Amongst several hits isolated, a natural
product purpurin was identified as one of the most potent inhibitors of A-LAP
from the screening. In vitro enzymatic analyses demonstrated that purpurin
inhibited A-LAP activity in a non-competitive manner with a Ki value of 20 M. In
addition, purpurin showed a strong selectivity toward A-LAP versus another member
of M1 family of zinc metallopeptidase, aminopeptidase N (APN). In angiogenesis
assays, purpurin inhibited the vascular endothelial growth factor (VEGF)-induced
invasion and tube formation of human umbilical vein endothelial cells (HUVEC).
Moreover, purpurin inhibited in vivo angiogenesis in zebrafish embryo without
toxicity. These data demonstrate that purpurin is a novel specific inhibitor of A
LAP and could be developed as a new anti-angiogenic agent.
PMID- 24928394
TI - A heterocyclic molecule kartogenin induces collagen synthesis of human dermal
fibroblasts by activating the smad4/smad5 pathway.
AB - Declined production of collagen by fibroblasts is one of the major causes of
aging appearance. However, only few of compounds found in cosmetic products are
able to directly increase collagen synthesis. A novel small heterocyclic compound
called kartogenin (KGN) was found to stimulate collagen synthesis of mesenchymal
stem cells (MSCs). So, we hypothesized and tested that if KGN could be applied to
stimulate the collagen synthesis of fibroblasts. Human dermal fibroblasts in
vitro were treated with various concentrations of KGN, with dimethyl sulfoxide
(DMSO) serving as the negative control. Real-time reverse-transcription
polymerase chain reaction, Western blot, and immunofluorescence analyses were
performed to examine the expression of collagen and transforming growth factor
beta (TGF-beta) signaling pathway. The production of collagen was also tested in
vivo by Masson's trichrome stain and immunohistochemistry in the dermis of mice
administrated with KGN. Results showed that without obvious influence on
fibroblasts' apoptosis and viability, KGN stimulated type-I collagen synthesis of
fibroblasts at the mRNA and protein levels in a time-dependent manner, but KGN
did not induce expression of alpha-skeletal muscle actin (alpha-sma) or matrix
metallopeptidase1 (MMP1), MMP9 in vitro. Smad4/smad5 of the TGF-beta signaling
pathway was activated by KGN while MAPK signaling pathway remained unchanged. KGN
also increased type-I collagen synthesis in the dermis of BALB/C mice. Our
results indicated that KGN promoted the type-I collagen synthesis of dermal
fibroblasts in vitro and in the dermis of mice through activation of the
smad4/smad5 pathway. This molecule could be used in wound healing, tissue
engineering of fibroblasts, or aesthetic and reconstructive procedures.
PMID- 24928395
TI - Adipogenesis stimulates the nuclear localization of EWS with an increase in its O
GlcNAc glycosylation in 3T3-L1 cells.
AB - Although the Ewing sarcoma (EWS) proto-oncoprotein is found in the nucleus and
cytosol and is associated with the cell membrane, the regulatory mechanisms of
its subcellular localization are still unclear. Here we found that adipogenic
stimuli induce the nuclear localization of EWS in 3T3-L1 cells. Tyrosine
phosphorylation in the C-terminal PY-nuclear localization signal of EWS was
negative throughout adipogenesis. Instead, an adipogenesis-dependent increase in
O-linked beta-N-acetylglucosamine (O-GlcNAc) glycosylation of EWS was observed.
Pharmacological inactivation of O-GlcNAcase in preadipocytes promoted perinuclear
localization of EWS. Our findings suggest that the nuclear localization of EWS is
partly regulated by the glycosylation.
PMID- 24928396
TI - Activation of activin type IB receptor signals in pancreatic beta cells leads to
defective insulin secretion through the attenuation of ATP-sensitive K+ channel
activity.
AB - In studies of gene-ablated mice, activin signaling through activin type IIB
receptors (ActRIIB) and Smad2 has been shown to regulate not only pancreatic beta
cell mass but also insulin secretion. However, it still remains unclear whether
gain of function of activin signaling is involved in the modulation of pancreatic
beta cell mass and insulin secretion. To identify distinct roles of activin
signaling in pancreatic beta cells, the Cre-loxP system was used to activate
signaling through activin type IB receptor (ActRIB) in pancreatic beta cells. The
resultant mice (pancreatic beta cell-specific ActRIB transgenic (Tg) mice;
ActRIBCAbetaTg) exhibited a defect in glucose-stimulated insulin secretion (GSIS)
and a progressive impairment of glucose tolerance. Patch-clamp techniques
revealed that the activity of ATP-sensitive K(+) channels (KATP channels) was
decreased in mutant beta cells. These results indicate that an appropriate level
of activin signaling may be required for GSIS in pancreatic beta cells, and that
activin signaling involves modulation of KATP channel activity.
PMID- 24928397
TI - Structure and regulatory targets of SCO3201, a highly promiscuous TetR-like
regulator of Streptomyces coelicolor M145.
AB - SCO3201, a regulator of the TetR family, is a strong repressor of both
morphological differentiation and antibiotic production when overexpressed in
Streptomyces coelicolor. Here, we report the identification of 14 novel putative
regulatory targets of this regulator using in vitro formaldehyde cross-linking.
Direct binding of purified His6-SCO3201 was demonstrated for the promoter regions
of 5 regulators (SCO1716, SCO1950, SCO3367, SCO4009 and SCO5046), a putative
histidine phosphatase (SCO1809), an acetyltransferase (SCO0988) and the
polyketide synthase RedX (SCO5878), using EMSA. Reverse transcriptional analysis
demonstrated that the expression of the transcriptional regulators SCO1950,
SCO4009, SCO5046, as well as of SCO0988 and RedX was down regulated, upon SCO3201
overexpression, whereas the expression of SCO1809 and SCO3367 was up regulated. A
consensus binding motif was derived via alignment of the promoter regions of the
genes negatively regulated. The positions of the predicted operator sites were
consistent with a direct repressive effect of SCO3201 on 5 out of 7 of these
promoters. Furthermore, the 2.1A crystal structure of SCO3201 was solved, which
provides a possible explanation for the high promiscuity of this regulator that
might account for its dramatic effect on the differentiation process of S.
coelicolor.
PMID- 24928398
TI - Discovery of alkoxyl biphenyl derivatives bearing dibenzo[c,e]azepine scaffold as
potential dual inhibitors of P-glycoprotein and breast cancer resistance protein.
AB - We recently reported alkoxyl biphenyl derivatives bearing dibenzo[c,e]azepine
scaffold as novel P-glycoprotein (P-gp, ABCB1) inhibitors. In this study, their
ability to reverse breast cancer resistance protein (BCRP, ABCG2)-mediated
multidrug resistance was tested in HEK293/BCRP cells which was BCRP-transfected
stable HEK293 cells. It was observed that compounds 4d, 4h, 4i increased
mitoxantrone accumulation in HEK293/BCRP cells via inhibiting BCRP efflux
function. Notably, the inhibitory activity of 4i was comparable to that of the
classical BCRP inhibitor Ko143 at an equimolar concentration. Interestingly, 4i
had little inhibitory effect on multidrug resistance-associated protein 1 (MRP1,
ABCC1), another drug efflux transporter. These results, together with the
previous findings, suggest that 4i may be a dual inhibitor of P-gp and BCRP to
warrant further investigation.
PMID- 24928399
TI - Synthesis and antiproliferative activity of aromatic and aliphatic
bis[aminomethylidene(bisphosphonic)] acids.
AB - A series of aromatic and aliphatic bis[aminomethylidene(bisphosphonic)] acids was
synthesized in the reaction of triethylphosphite with isonitriles followed by
hydrolysis or dealkylation. The in vitro anti-proliferative effect of all
synthesized tetraphosphonic acids against MCF-7 breast cancer cells, J774E
macrophages and HL-60 promyelocytic leukemia cells was determined. Three aromatic
derivatives (5a, 5f and 5j) showed a similar or higher anti-proliferative
activity than zoledronic acid.
PMID- 24928400
TI - Structure-activity relationships of oxysterol-derived pharmacological chaperones
for Niemann-Pick type C1 protein.
AB - Niemann-Pick disease type C is a fatal neurodegenerative disease, and its major
cause is mutations in NPC1 gene. This gene encodes NPC1 protein, a late endosomal
polytopic membrane protein required for intracellular cholesterol trafficking.
One prevalent mutation (I1061T) has been shown to cause a folding defect, which
results in failure of endosomal localization of the protein, leading to loss-of
function phenotype. We have previously demonstrated that several oxysterols and
their derivatives act as pharmacological chaperones; binding of these compounds
to NPC1(I1061T) mutant protein corrects the localization/maturation defect of the
mutant protein. Here, we disclose detailed structure-activity relationships of
oxysterol derivatives as pharmacological chaperones for NPC1(I1061T) mutant.
PMID- 24928401
TI - Design, synthesis and antioxidant activity evaluation of novel beta-elemene
derivatives.
AB - Forty beta-elemene derivatives were prepared and their antioxidant activity in
H2O2-treated human umbilical vein endothelial cells (HUVECs) was first
investigated. Among which, the dimer compounds 5r and 5s exhibited the most
potent antioxidant activity against reactive oxygen species production.
Meanwhile, 5r and 5s led to a significant increase in superoxide dismutase and
nitric oxide levels and decrease in malonyldialdehyde and lactate dehydrogenase
contents. Furthermore, MTT assay showed that 5r and 5s did not produce obvious
cytotoxicity and had significantly cytoprotective effects against oxidative
damage on HUVECs.
PMID- 24928402
TI - Phenylpropanoid acid esters from Korean propolis and their antioxidant
activities.
AB - Ten phenylpropanoic acid esters were isolated from an ethanolic extract of Korean
propolis. Their structures were elucidated by spectroscopic methods including NMR
and ESI-MS. Caffeic acid esters with catechol moiety exhibited significant ABTS
and DPPH radical scavenging activity and protective effect against DNA damage by
a Fenton reaction.
PMID- 24928403
TI - Evaluation of the neuroprotective activity of stansin 6, a resin glycoside from
Ipomoea stans.
AB - Stansin 6 a tetrasaccharide resin glycoside isolated from the root of Ipomoea
stans was evaluated as anticonvulsant and neuroprotective in kainic acid-induced
seizures of rats. Intraperitoneal injection of kainic acid (10 mg/kg) induced
typical behavioral seizures such as wet dog shakes and limbic seizures, and
histopathological changes in the hippocampus (degeneration and loss of pyramidal
cells in CA1 to CA4 areas). Stansin 6 (10-80 mg/kg) had no effect on the behavior
of rats and did not induce hippocampal damage. Pretreatment with stansin 6
inhibited convulsions in rats from kainic acid-induced seizures, reduced the
degeneration pattern in the CA3 region, decreased astrocytic reactivity, and
reduced the expression of IL-1beta and TNF-alpha induced by kainic acid. These
results suggest that stansin 6 possesses neuroprotective and anticonvulsant
activities.
PMID- 24928406
TI - A new clandestine route of ethanol administration? Volume of vodka absorbed in
commercially available tampons. An in vitro study.
PMID- 24928404
TI - Anti-cancer activity of carbamate derivatives of melampomagnolide B.
AB - Melampomagnolide B (MMB) is a natural sesquiterpene structurally related to
parthenolide (PTL). We have shown that MMB exhibits anti-leukemic properties
similar to PTL. Unlike PTL, the presence of a primary hydroxyl group in the MMB
molecule allows the opportunity for examining the biological activity of a
variety of conjugated analogs of MMB. We have now synthesized a series of
carbamate analogs of MMB and evaluated these derivatives for anti-cancer activity
against a panel of sixty human cancer cell lines. Analogs 6a and 6e exhibited
promising anti-leukemic activity against human leukemia cell line CCRF-CEM with
GI50 values of 680 and 620 nM, respectively. Analog 6a also showed GI50 values of
1.98 and 1.38 MUM respectively, against RPMI-8226 and SR leukemia cell lines and
GI50 values of 460 and 570 nM against MDA-MB-435 melanoma and MDA-MB-468 breast
cancer cell lines, respectively. Analog 6e had GI50 values of 650 and 900 nM
against HOP-92 non-small cell lung and RXF 393 renal cancer cell lines.
PMID- 24928405
TI - Antioxidant effects of the highly-substituted carbazole alkaloids and their
related carbazoles.
AB - Antioxidant activities of 3-oxygenated and 3,4-dioxygenated carbazole alkaloids
and their related carbazoles were comprehensively evaluated. In all assay
systems, the 3,8-dihydroxycarbazoles carbazomadurin A (2) and B (3), and their
synthetic precursors 2a and 3a exhibited higher antioxidant activities than the 3
monohydroxycarbazoles carazostatin (1), and the synthetic precursors 4a and 4b of
carquinostatin A (4). In particular, 2a and 3a exhibited strong scavenging
activities due to the reducing ability of formyl group at the C-5 position of
carbazoles. The results suggest that these compounds could serve as useful clues
for designing and developing novel antioxidants.
PMID- 24928407
TI - A comparison of the supraclavicular and infraclavicular views for imaging the
subclavian vein with ultrasound.
AB - INTRODUCTION: Ultrasound guidance for central line placement in the subclavian
vein (SCV) is more efficient and safer than landmark-based technique. The
supraclavicular (SC) approach is an alternative to the infraclavicular (IC)
approach, but the research is sparse. The objective was to determine which
approach provides the best view. METHODS: This was a prospective anatomical
survey of voluntary normovolemic patients. Four experienced emergency physicians
and 1 resident scanned the right and left SCVs from SC and IC approaches. They
assigned a score for the views obtained on a 5-point Likert scale. RESULTS:
Ninety-eight patients were enrolled. Mean Likert scores for the 4 views were:
right SC, 4.06 (95% confidence interval [CI], 0.22); right IC, 3.07 (95% CI,
0.25); left SC, 3.82 (95% CI, 0.23); left IC, 3.12 (95% CI, 0.25). When combining
data from right and left, the mean score for the SC view was significantly higher
than the mean score for the IC view: 3.94 (95% CI, 0.16) vs 3.10 (95% CI, 0.18).
The following ratings were obtained: right SC view was good or excellent in
71.5%; left SC view was good or excellent in 66.3%; right IC view was good or
excellent in 37.8%; and left IC view was good or excellent in 38.8%. CONCLUSION:
The SC approach allows for a better view of the SCV on ultrasound than the IC
approach. Future research should determine if this translates to a greater
success rate when placing central lines in the SCV.
PMID- 24928408
TI - Implementation of a clinical pathway based on a computerized physician order
entry system for ischemic stroke attenuates off-hour and weekend effects in the
ED.
AB - BACKGROUND: Admission on weekends and off-hours has been associated with poor
outcomes and mortality from acute stroke. The purpose of this study was to
investigate whether an organized clinical pathway (CP) for ischemic stroke can
effectively reduce the time from arrival to evaluation and treatment in the
emergency department (ED) and improve outcomes, regardless of the time from
arrival in the ED. METHODS: We conducted a retrospective analysis of all
consecutive patients included in the prospective registry database in the Brain
Salvage through Emergency Stroke Therapy program, which uses the computerized
physician order entry (CPOE) system. Patients were classified based on their time
of arrival in the ED: group 1, normal working hours on weekdays; group 2, off
hours on weekdays; group 3, normal working hours on weekends; and group 4, off
hours on weekends. Clinical outcomes were categorized according to 30 days in
hospital mortality, in-hospital mortality, and the modified Rankin score during a
single length of stay (LOS). RESULTS: No time intervals differed significantly
among the 4 patient groups who received intravenous administration of tissue
plasminogen activator (IV-tPA). Use of IV-tPA (P = .5110) was not affected by
arrival in the ED on off-days or weekends. The overall mortality rate was 3.9%,
and the median LOS was 7 days (Interquartile range (IQR), 5-10). By Kaplan-Meier
analysis, the cumulative probability of mortality and survival did not differ
significantly among the 4 groups over 30 days (P = .1557). CONCLUSION: An
organized CP, based on CPOE, for ischemic stroke can effectively attenuate
disparities in the time interval between ED arrival to evaluation and treatment
regardless of ED arrival time. This pathway may also help to eliminate off-hour
and weekend effects on outcomes from ischemic stroke.
PMID- 24928409
TI - Prognostic evaluation of the troponin I elevation after multiple spontaneous
shocks of the implantable cardioverter/defibrillator.
AB - BACKGROUND: Multiple shocks of the implantable cardioverter/defibrillator (ICD)
can cause myocardial injury, contributing to the progression of underlying heart
disease. The aim was to evaluate if the elevation of troponin I after multiple
ICD shocks has impact on the prognostic of these patients. METHODS: We evaluated
patients with multiple ICD shocks (>3 shocks) in the last 24 hours. Troponin I
was measured around 12 hours after the last shock. After this episode, these
patients were followed; and events of death or heart failure hospitalization were
recorded. RESULTS: Twenty-six patients were included in the study. Elevation of
troponin I occurred in 16 patients (62%), who had received a higher number of
shocks (14 +/- 9 vs 7 +/- 4, P = .03) and greater cumulative total voltage (455
+/- 345 J vs 141 +/- 62 J, P = .03) compared to the group without elevation of
this biomarker. We observed a positive correlation between troponin I and number
of shocks (r = 0.70; P = .0001). Patients with troponin I elevation after
multiple ICD shocks had higher risk of death or heart failure hospitalization
(hazard ratio, 7.0; 95% confidence interval, 1.2-16.0; P = .03) compared with the
group without elevation of this biomarker. After adjustment for age, sex, and
number of shocks, the elevation of this biomarker remained as predictor of these
events (hazard ratio, 16.0; 95% confidence interval, 1.7-151.0; P = .02).
CONCLUSION: A large proportion of patients with multiple ICD shocks have troponin
I elevation, and these patients have a higher risk of death or hospitalization
due to heart failure.
PMID- 24928410
TI - Cardiopulmonary arrest due to early hyperkalemia after liver injury.
AB - Hyperkalemia due to crush syndrome after trauma is a well known fatal clinical
condition, but early hyperkalemia with hemorrhage after trauma is a rare
phenomenon. We report on a 5-year-old boy who bruised from the lumbers, had
cardiopulmonary arrest caused by hyperkalemia, and underwent perihepatic packing
twice before being discharged without any neurologic deficits. Clinicians should
be vigilant for signs of hyperkalemia accompanying hemorrhagic shock, even in the
early phase of trauma.
PMID- 24928411
TI - Association of blood glucose at admission with outcomes in patients treated with
therapeutic hypothermia after cardiac arrest.
AB - BACKGROUND: It is well known that hyperglycemia is associated with poor outcomes
in critically ill patients. We investigated the association between blood glucose
level at admission and the outcomes of patients treated with therapeutic
hypothermia (TH) after cardiac arrest. METHODS: A total of 883 cardiac arrest
patients who were treated with TH were analyzed from the Korean Hypothermia
Network retrospective registry. We examined the association of blood glucose at
admission with survival and neurologic outcomes at hospital discharge. Favorable
neurologic outcomes were defined as Cerebral Performance Category scores of 1 and
2. RESULTS: The mean age of the sample was 56.7 +/- 16.2 years, 69.5% of subjects
were male, and the mean blood glucose at admission was 14.1 +/- 7.0 mmol/L. After
adjustment for sex, age, history of diabetes mellitus, hypertension, renal
disease and stroke, time from arrest to return of spontaneous circulation,
initial rhythm, witness status, bystander cardiopulmonary resuscitation, cause of
arrest, and cumulative dose of adrenaline, the associations between glucose and
outcomes were as follows: for favorable neurologic outcomes, an odds ratio of
0.955 (95% confidence interval, 0.918-0.994); and for survival, an odds ratio of
0.974 (95% confidence interval, 0.952-0.996). CONCLUSION: These results show that
blood glucose level at admission is associated with survival and favorable
neurologic outcomes at hospital discharge in patients treated with TH after
cardiac arrest. Blood glucose level at admission could be a surrogate marker of
ischemic insult severity during cardiac arrest. However, randomized, controlled
evidence is needed to address the significance of tight glucose control during TH
after cardiac arrest.
PMID- 24928412
TI - CORR Insights((r)): Which Variables Are Associated With Patient-reported Outcomes
After Discectomy? Review of SPORT Disc Herniation Studies.
PMID- 24928413
TI - Associations of work-related factors and work engagement with mental and physical
health: a 1-year follow-up study among older workers.
AB - PURPOSE: The goals of this study were to determine whether, among older
employees, unfavourable physical and psychosocial work-related factors were
associated with poorer mental and physical health and whether high work
engagement buffered the associations between unfavourable work-related factors
and poorer health. METHODS: A 1-year longitudinal study with employed persons
aged 45-64 was conducted within the Study on Transitions in Employment, Ability
and Motivation (n = 8,837). Using an online questionnaire, work-related factors
(physical: physical load; psychosocial: psychological job demands, autonomy, and
support) and work engagement were measured at baseline and health at baseline and
1-year follow-up. General linear models were used to assess associations of work
related factors and work engagement with health. Tests of interaction terms
assessed whether work engagement buffered the work-related factor-health
associations. RESULTS: Unfavourable psychosocial work-related factors at baseline
were associated with poorer mental health at follow-up. Higher physical load,
higher psychological job demands, and lower autonomy at baseline were associated
with poorer physical health at follow-up. Higher work engagement at baseline was
related to better physical and especially better mental health during the 1-year
follow-up. Work engagement had a small effect on the associations between work
related factors and health. CONCLUSIONS: Among older employees, especially the
promotion of a high work engagement and, to a lesser extent, favourable work
related factors can be beneficial for mental health in particular.
PMID- 24928414
TI - MicroRNAs and their applications in kidney diseases.
AB - MicroRNAs (miRNAs) are short, non-coding RNAs that employ classic Watson-Crick
base-pairing to identify their target genes, ultimately resulting in
destabilization of their target mRNAs and/or inhibition of their translation. The
role of miRNAs in a wide range of human diseases, including those afflicting the
kidney, has been intensely investigated. However, there is still a vast dearth of
knowledge regarding their specific mode of action and therapeutic effects in
various kidney diseases. This review discusses the latest efforts to further our
understanding of the basic biology of miRNAs, their impact on various kidney
diseases and their potential as novel biomarkers and therapeutic agents. We
initially provide an overview of miRNA biology and the canonical pathway
implicated in their biogenesis. We then discuss commonly employed experimental
strategies for miRNA research and highlight some of the newly described state-of
the-art technologies to identify miRNAs and their target genes. Finally, we
carefully examine the emerging role of miRNAs in the pathogenesis of various
kidney diseases.
PMID- 24928415
TI - The biological effects of higher and lower positive end-expiratory pressure in
pulmonary and extrapulmonary acute lung injury with intra-abdominal hypertension.
AB - INTRODUCTION: Mechanical ventilation with high positive end-expiratory pressure
(PEEP) has been used in patients with acute respiratory distress syndrome (ARDS)
and intra-abdominal hypertension (IAH), but the role of PEEP in minimizing lung
injury remains controversial. We hypothesized that in the presence of acute lung
injury (ALI) with IAH: 1) higher PEEP levels improve pulmonary morphofunction and
minimize lung injury; and 2) the biological effects of higher PEEP are more
effective in extrapulmonary (exp) than pulmonary (p) ALI. METHODS: In 48 adult
male Wistar rats, ALIp and ALIexp were induced by Escherichia coli
lipopolysaccharide intratracheally and intraperitoneally, respectively. After 24
hours, animals were anesthetized and mechanically ventilated (tidal volume of 6
mL/kg). IAH (15 mmHg) was induced and rats randomly assigned to PEEP of 5
(PEEP5), 7 (PEEP7) or 10 (PEEP10) cmH2O for 1 hour. RESULTS: In both ALIp and
ALIexp, higher PEEP levels improved oxygenation. PEEP10 increased alveolar
hyperinflation and epithelial cell damage compared to PEEP5, independent of ALI
etiology. In ALIp, PEEP7 and PEEP10 increased lung elastance compared to PEEP5
(4.3 +/- 0.7 and 4.3 +/- 0.9 versus 3.1 +/- 0.3 cmH2O/mL, respectively, P <0.01),
without changes in alveolar collapse, interleukin-6, caspase-3, type III
procollagen, receptor for advanced glycation end-products, and vascular cell
adhesion molecule-1 expressions. Moreover, PEEP10 increased diaphragmatic injury
compared to PEEP5. In ALIexp, PEEP7 decreased lung elastance and alveolar
collapse compared to PEEP5 (2.3 +/- 0.5 versus 3.6 +/- 0.7 cmH2O/mL, P <0.02, and
27.2 (24.7 to 36.8) versus 44.2 (39.7 to 56.9)%, P <0.05, respectively), while
PEEP7 and PEEP10 increased interleukin-6 and type III procollagen expressions, as
well as type II epithelial cell damage compared to PEEP5. CONCLUSIONS: In the
current models of ALI with IAH, in contrast to our primary hypothesis, higher
PEEP is more effective in ALIp than ALIexp as demonstrated by the activation of
biological markers. Therefore, higher PEEP should be used cautiously in the
presence of IAH and ALI, mainly in ALIexp.
PMID- 24928416
TI - Differential efficiency in exogenous DNA acquisition among closely related
Salmonella strains: implications in bacterial speciation.
AB - BACKGROUND: Acquisition of exogenous genetic material is a key event in bacterial
speciation. It seems reasonable to assume that recombination of the incoming DNA
into genome would be more efficient with higher levels of relatedness between the
DNA donor and recipient. If so, bacterial speciation would be a smooth process,
leading to a continuous spectrum of genomic divergence of bacteria, which,
however, is not the case as shown by recent findings. The goal of this study was
todetermine if DNA transfer efficiency is correlated with the levels of sequence
identity. RESULTS: To compare the relative efficiency of exogenous DNA
acquisition among closely related bacteria, we carried out phage-mediated
transduction and plasmid-mediated transformation in representative Salmonella
strains with different levels of relatedness. We found that the efficiency was
remarkably variable even among genetically almost identical bacteria. Although
there was a general tendency that more closely related DNA donor-recipient pairs
had higher transduction efficiency, transformation efficiency exhibited over a
thousand times difference among the closely related Salmonella strains.
CONCLUSION: DNA acquisition efficiency is greatly variable among bacteria that
have as high as over 99% identical genetic background, suggesting that bacterial
speciation involves highly complex processes affected not only by whether
beneficial exogenous DNA may exist in the environment but also the "readiness" of
the bacteria to accept it.
PMID- 24928417
TI - Learning in an exotic social wasp while relocating a food source.
AB - In this paper we review several studies on Vespulagermanica behavioral plasticity
while relocating a food source in natural environments. This exotic social wasp,
which has become established in many parts of the world, displays diverse
cognitive abilities when foraging. Given its successful invasiveness worldwide,
our initial hypothesis was that this species has great behavioral plasticity,
which enables it to face environmental uncertainty. In our work we have analyzed
foraging behavior associated with undepleted resources. Throughout several
experiments, rapid learning was observed in this species; after few learning
experiences they associate diverse contextual cues with a food source. However,
by exploring wasp behavior when food suddenly disappeared, either because it had
been removed or displaced, we found that they continued searching over a no
longer rewarding site for a considerable period of time, suggesting that past
experience can hinder new learning. Particularly surprising is the fact that when
food was displaced nearby, wasps persisted in searching over the empty dish,
ignoring the presence of food close by. We propose that this species could be a
suitable model for studying cognitive plasticity in relation to environmental
uncertainty.
PMID- 24928419
TI - Acute kidney injury in adults receiving extracorporeal membrane oxygenation.
AB - Extracorporeal membrane oxygenation (ECMO) has been utilized for critically ill
patients such as patients with postcardiotomy cardiogenic shock or life
threatening respiratory failure. Acute kidney injury (AKI) that develops during
ECMO is associated with a very poor outcome, possibly because of accumulated
extravascular water causing interstitial overload, impaired oxygen transport
through tissues, and increased extravascular lung water volume with impaired O(2)
transport. Increased water is associated with subsequent organ dysfunction,
particularly of the heart, lungs, and brain. Based on single-center studies, the
incidence of AKI is 70-85% in ECMO patients. Therefore, renal replacement therapy
is required in approximately 50% of these patients. This review summarizes three
modalities that can be used to introduce renal replacement therapy to patients on
ECMO, the pathophysiology of AKI in ECMO, and the impact of AKI on mortality.
This review also identifies specific research-focused questions that need to be
addressed to predict AKI early and to improve outcomes in this at-risk adult
population.
PMID- 24928418
TI - Effectiveness and safety of extracranial carotid stent placement: a nationwide
self-controlled case-series study.
AB - BACKGROUND: Carotid angioplasty and stent (CAS) placement has emerged as an
attractive revascularization strategy for patients with internal carotid artery
stenosis. However, the effectiveness and safety of CAS were not fully evaluated,
mainly because of methodological difficulties in finding an appropriate
comparison group. METHODS: Patients who underwent CAS were identified from
Taiwan's National Health Insurance claims database between 2005 and 2008. The
incidence rate of ischemic stroke after CAS was compared with that of the year
prior to the procedure using a self-controlled case series analysis and a
conditional Poisson regression model. Logistic regression was conducted to
identify factors associated with poor outcome. RESULTS: A total of 1258 patients
who had undergone CAS were included, and 73 cases (5.8%) of death or ischemic
stroke occurred during the index hospitalization. Within 1 year after CAS, 74
patients died and 80 experienced an ischemic stroke. Of the 1184 patients who
were followed for 360 days, the rate ratio for ischemic stroke decreased to 0.21
(95% CI: 0.08-0.51) between 31 and 180 days, and 0.10 (95% CI: 0.03-0.32) between
181 and 360 days. Statin therapy was associated with a reduced risk of death or
ischemic stroke in the 1(st) month (odds ratio of 0.53; 95% CI: 0.32-0.90).
Conversely, the use of nonsteroidal anti-inflammatory agents, possibly histamine
2 receptor blockers, and CAS performed by low-volume operators were associated
with a twofold increased risk. CONCLUSION: CAS reduced the long-term risk for
ischemic stroke. Self-controlled case series analysis might be an appropriate
design for evaluating device safety and effectiveness.
PMID- 24928420
TI - Why peer assessment helps to improve clinical performance in undergraduate
physical therapy education: a mixed methods design.
AB - BACKGROUND: Peer Assessment (PA) in health professions education encourages
students to develop a critical attitude towards their own and their peers'
performance. We designed a PA task to assess students' clinical skills (including
reasoning, communication, physical examination and treatment skills) in a role
play that simulated physical therapy (PT) practice. Students alternately
performed in the role of PT, assessor, and patient. Oral face-to-face feedback
was provided as well as written feedback and scores.This study aims to explore
the impact of PA on the improvement of clinical performance of undergraduate PT
students. METHODS: The PA task was analyzed and decomposed into task elements. A
qualitative approach was used to explore students' perceptions of the task and
the task elements. Semi-structured interviews with second year students were
conducted to explore the perceived impact of these task elements on performance
improvement. Students were asked to select the elements perceived valuable, to
rank them from highest to lowest learning value, and to motivate their choices.
Interviews were transcribed verbatim and analyzed, using a phenomenographical
approach and following template analysis guidelines. A quantitative approach was
used to describe the ranking results. RESULTS: Quantitative analyses showed that
the perceived impact on learning varied widely. Performing the clinical task in
the PT role, was assigned to the first place (1), followed by receiving expert
feedback (2), and observing peer performance (3). Receiving peer feedback was not
perceived the most powerful task element.Qualitative analyses resulted in three
emerging themes: pre-performance, true-performance, and post-performance triggers
for improvement. Each theme contained three categories: learning activities,
outcomes, and conditions for learning.Intended learning activities were reported,
such as transferring prior learning to a new application context and unintended
learning activities, such as modelling a peer's performance. Outcomes related to
increased self-confidence, insight in performance standards and awareness of
improvement areas. Conditions for learning referred to the quality of peer
feedback. CONCLUSIONS: PA may be a powerful tool to improve clinical performance,
although peer feedback is not perceived the most powerful element. Peer assessors
in undergraduate PT education use idiosyncratic strategies to assess their peers'
performance.
PMID- 24928421
TI - Comparison of the immunoperoxidase monolayer assay and three commercial ELISAs
for detection of antibodies against porcine circovirus type 2.
AB - The aim of this study was to compare and correlate antibody titres against
porcine circovirus type 2 (PCV2) in porcine sera (n = 1270) obtained by
immunoperoxidase monolayer assay (IPMA) with the results of three commercial
ELISAs (designated E1, E2 and E3). The correlation between IPMA and ELISA results
was excellent (r(2) >= 0.90). Compared to IPMA, E2 had the highest sensitivity
(93.0%), followed by E3 (90.1%) and E1 (85.0%); the specificity was 100% for all
tests. All three commercial ELISAs had predictive values similar to those of IPMA
and could be used to monitor antibody responses against PCV2 infection and/or
vaccination.
PMID- 24928422
TI - Viral causes of feline lymphoma: retroviruses and beyond.
AB - The most widely recognised cause of feline lymphoma is the gammaretrovirus feline
leukaemia virus (FeLV). Research into the mechanisms of cellular transformation
employed by FeLV and other oncogenic retroviruses has provided as much
information on the regulation of eukaryotic cell growth and differentiation as it
has about cancer. The recognition that a cancer has a viral cause opens up the
possibility of novel treatments that spare the host from cytotoxic side-effects
by specifically targeting the virus, or the host's immune response to it. The
ultimate prize for viral-associated cancers is their prevention. Vaccination and
changes in management practices have seen the global prevalence of FeLV infection
fall and, with it, the incidence of FeLV-related cancers. Remarkably, in the face
of this success, the prevalence of feline lymphoma remains high. At least one
other virus, the lentivirus feline immunodeficiency virus (FIV), accounts for
some of these cases. Transformation by FIV involves incompletely understood
mechanisms that are distinct from those employed by FeLV. This review will focus
on the current understanding of FeLV-associated and FIV-associated lymphoma and
consider whether yet more viral aetiologies could be waiting to be discovered.
PMID- 24928423
TI - Headache in sleep apnea syndrome: epidemiology and pathophysiology.
AB - This review investigates the relation between obstructive sleep apnea and sleep
apnea headache, migraine and tension-type headache. Focus is made on studies from
the general population with interviews conducted by a physician and obstructive
sleep apnea confirmed by polysomnography. Obstructive sleep apnea syndrome is
observed in 3% of the middle-aged population. The prevalence of sleep apnea
headache in this population is 12%-18%, while morning headache with similar
symptomatology as sleep apnea headache occur in 5%-8% of the general population.
People with sleep apnea headache did have significantly more minutes below 90%
oxygen saturation (23.1 min vs. 1.9 min, p = 0.002), higher level of average
oxygen desaturation (5.9% vs. 4.5%, p < 0.001) and lower average of the lowest
oxygen saturation (80.9% vs. 88.5%, p < 0.001) than people with morning headache.
A comparison of those with obstructive sleep apnea with or without sleep apnea
headache showed no significant differences. Thus, oxygen desaturation alone
cannot explain the pathophysiology of sleep apnea headache. Obstructive sleep
apnea and migraine, and obstructive sleep apnea and tension-type headache are not
related in the general population. The cause of sleep apnea headache remains to
be elucidated.
PMID- 24928424
TI - Can classic moral stories promote honesty in children?
AB - The classic moral stories have been used extensively to teach children about the
consequences of lying and the virtue of honesty. Despite their widespread use,
there is no evidence whether these stories actually promote honesty in children.
This study compared the effectiveness of four classic moral stories in promoting
honesty in 3- to 7-year-olds. Surprisingly, the stories of "Pinocchio" and "The
Boy Who Cried Wolf" failed to reduce lying in children. In contrast, the
apocryphal story of "George Washington and the Cherry Tree" significantly
increased truth telling. Further results suggest that the reason for the
difference in honesty-promoting effectiveness between the "George Washington"
story and the other stories was that the former emphasizes the positive
consequences of honesty, whereas the latter focus on the negative consequences of
dishonesty. When the "George Washington" story was altered to focus on the
negative consequences of dishonesty, it too failed to promote honesty in
children.
PMID- 24928425
TI - Differences in the tissue tropism to chicken oviduct epithelial cells between
avian coronavirus IBV strains QX and B1648 are not related to the sialic acid
binding properties of their spike proteins.
AB - The avian coronavirus (AvCoV) infectious bronchitis virus (IBV) is a major
poultry pathogen. A characteristic feature of IBV is the occurrence of many
different strains belonging to different serotypes, which makes a complete
control of the disease by vaccinations a challenging task. Reasons for
differences in the tissue tropism and pathogenicity between IBV strains, e.g. a
predilection for the kidneys or the oviduct are still an open question. Strains
of the QX genotype have been major pathogens in poultry flocks in Asia, Europe
and other parts of the world. They are the cause of severe problems with kidney
disease and reproductive tract disorders. We analysed infectivity and binding
properties of the QX strain and compared them with those of the nephropathogenic
strain B1648. As most IBV strains do not infect permanent cell lines and show
infection only in primary chicken cells of the target organs, we developed a
culture system for chicken oviduct explants. The epithelial cells of the oviduct
showed a high susceptibility to infection by the QX strain and were almost
resistant to infection by the nephropathogenic B1648 strain. Binding tests with
isolated primary oviduct epithelial cells and soluble S1 proteins revealed that
S1 proteins of two IBV strains bound with the same efficiency to oviduct
epithelial cells. This attachment was sialic acid dependent, indicating that the
sugar binding property of IBV spike proteins is not the limiting factor for
differences in infection efficiency for the oviduct of the corresponding viruses.
PMID- 24928426
TI - Basidioascus persicus sp. nov., a yeast-like species of the order
Geminibasidiales isolated from soil.
AB - A novel species of basidiomycetes was isolated from kitchen garden soil in
Shahryar city, Tehran province, Iran. Molecular and conventional methods were
employed to identify and classify this single isolate. Morphologically, the
isolate was considered yeast-like with hyaline and oval cells reproducing by
monopolar budding, forming ballistoconidia, hyphae, arthroconidia and
didymospores. Basidia and basidiospores resembling those produced by Basidioascus
species were observed. Sequencing and Bayesian phylogenetic analysis of rRNA
genes and the internal transcribed spacer region revealed its sister relationship
to described species of the genus Basidioascus. Assimilation and fermentation
tests, cell-wall carbohydrate analysis and enzyme activity tests were performed
to provide insight into the metabolism of the isolate. Based on morphology,
physiology and phylogeny of rRNA gene sequences, the isolate was shown to
represent a novel species of the genus Basidioascus, described as Basidioascus
persicus sp. nov. (holotype IBRC P1010180(T) = ex-type IBRC M30078(T) = isotype
CBS 12808(T)). The MycoBank number of the novel species is MB 804703. An emended
description of the genus Basidioascus is also provided.
PMID- 24928427
TI - Morphology and phylogenetic analysis of two oxytrichid soil ciliates from China,
Oxytricha paragranulifera n. sp. and Oxytricha granulifera Foissner and Adam,
1983 (Protista, Ciliophora, Hypotrichia).
AB - The morphology and infraciliature of two hypotrichous ciliates, Oxytricha
paragranulifera n. sp. and Oxytricha granulifera Foissner and Adam, 1983,
collected respectively from the surface of a sandy soil in the Huguang mangrove
forest, Zhanjiang, China, and the surface of soil in a forest beside Ziwu Road,
Xian, north-west China, were examined. O. paragranulifera n. sp. is characterized
by an elongate body with slightly tapered anterior end, two macronuclear nodules
and two micronuclei, paroral and endoral in Stylonychia-pattern, colourless
cortical granules distributed in clusters or irregular short rows, adoral zone
occupying 37 % of the body length, marginal rows almost confluent posteriorly,
six dorsal kineties and three caudal cirri, caudal cirri and dorsal bristles
almost indistinguishable when viewed in vivo. The well-known O. granulifera
Foissner and Adam, 1983 was also redescribed and can be separated from the novel
species by having cortical granules arranged along dorsal kineties and marginal
rows on both sides (vs grouped in clusters as well as in short irregular rows),
paroral and endoral in Oxytricha-pattern (vs in Stylonychia-pattern),
macronuclear nodules obviously detached (vs adjacent) and a non-saline
terrestrial habitat (vs saline terrestrial). The separation of these two taxa is
also firmly supported by the molecular data, which show a significant difference
between the two in their SSU rRNA gene sequences (similarity 97.1 %).
Phylogenetic analyses based on SSU rRNA gene sequence data suggest a close
relationship within the Oxytrichidae assemblage between O. paragranulifera n. sp.
and O. granulifera.
PMID- 24928428
TI - Paenibacillus lupini sp. nov., isolated from nodules of Lupinus albus.
AB - A bacterial strain designated RLAHU15(T) was isolated from root nodules of
Lupinus albus in Spain. Phylogenetic analyses based on 16S rRNA gene sequences
placed the isolate in the genus Paenibacillus, with its closest relatives being
Paenibacillus catalpae D75(T), Paenibacillus glycanilyticus DS-1(T),
Paenibacillus endophyticus PECAE04(T) and Paenibacillus xinjiangensis B538(T)
with 98.8 %, 98.9 %, 97.4 % and 97.4 % similarity, respectively. DNA-DNA
hybridization studies showed values lower than 45 % between the strain RLAHU15(T)
and any of these species. The isolate was a Gram-stain positive, motile and
sporulating rod. Catalase activity was weak and oxidase activity was positive.
Casein and starch were hydrolysed but gelatin was not. Growth was supported by
many carbohydrates and organic acids as carbon sources. MK-7 was the only
menaquinone detected and anteiso-C15 : 0 and iso-C16 : 0 were the major fatty
acids. The major polar lipids were diphosphatidylglycerol,
phosphatidylethanolamine, phosphatidylglycerol, three unidentified phospholipids
and an unidentified lipid. meso-Diaminopimelic acid was detected in the
peptidoglycan. The DNA G+C content was 54.4 mol%. Phylogenetic, chemotaxonomic
and phenotypic analyses showed that strain RLAHU15(T) represents a novel species
of the genus Paenibacillus, for which the name Paenibacillus lupini sp. nov. is
proposed. The type strain is RLAHU15(T) ( = LMG 27296(T) = CECT 8235(T)).
PMID- 24928429
TI - Shape and position of the node and notochord along the bilateral plane of
symmetry are regulated by cell-extracellular matrix interactions.
AB - The node and notochord (and their equivalents in other species) are essential
signaling centers, positioned along the plane of bilateral symmetry in developing
vertebrate embryos. However, genes and mechanisms regulating morphogenesis of
these structures and their placement along the embryonic midline are not well
understood. In this work, we provide the first evidence that the position of the
node and the notochord along the bilateral plane of symmetry are under genetic
control and are regulated by integrin alpha5beta1 and fibronectin in mice. We
found that the shape of the node is often inverted in integrin alpha5-null and
fibronectin-null mutants, and that the positioning of node and the notochord is
often skewed away from the perceived plane of embryonic bilateral of symmetry.
Our studies also show that the shape and position of the notochord are dependent
on the shape and embryonic placement of the node. Our studies suggest that
fibronectin regulates the shape of the node by affecting apico-basal polarity of
the nodal cells. Taken together, our data indicate that cell-extracellular matrix
interactions mediated by integrin alpha5beta1 and fibronectin regulate the
geometry of the node as well as the placement of the node and notochord along the
plane of bilateral symmetry in the mammalian embryo.
PMID- 24928430
TI - Fission yeast mtr1p regulates interphase microtubule cortical dwell-time.
AB - The microtubule cytoskeleton plays important roles in cell polarity, motility and
division. Microtubules inherently undergo dynamic instability, stochastically
switching between phases of growth and shrinkage. In cells, some microtubule
associated proteins (MAPs) and molecular motors can further modulate microtubule
dynamics. We present here the fission yeast mtr1(+), a new regulator of
microtubule dynamics that appears to be not a MAP or a motor. mtr1-deletion
(mtr1Delta) primarily results in longer microtubule dwell-time at the cell tip
cortex, suggesting that mtr1p acts directly or indirectly as a destabilizer of
microtubules. mtr1p is antagonistic to mal3p, the ortholog of mammalian EB1,
which stabilizes microtubules. mal3Delta results in short microtubules, but can
be partially rescued by mtr1Delta, as the double mutant mal3Delta mtr1Delta
exhibits longer microtubules than mal3Delta single mutant. By sequence homology,
mtr1p is predicted to be a component of the ribosomal quality control complex.
Intriguingly, deletion of a predicted ribosomal gene, rps1801, also resulted in
longer microtubule dwell-time similar to mtr1Delta. The double-mutant mal3Delta
rps1801Delta also exhibits longer microtubules than mal3Delta single mutant
alone. Our study suggests a possible involvement of mtr1p and the ribosome
complex in modulating microtubule dynamics.
PMID- 24928432
TI - The STAFF studies of the first 5 minutes of percutaneous coronary angioplasty
balloon occlusion in man.
AB - The Staff Studies comprise a database of 228 patients undergoing elective 5
minute coronary artery balloon occlusion angioplasty at a single center in the
pre-stent era in whom standard and high-frequency electrocardiographic and
nuclear information was obtained immediately before, during and after balloon
occlusion. The data were then analyzed by multiple investigators at different
international academic centers from different perspectives. Simulating in a
clinical setting the first 5 minutes of a heart attack, this database, now in
digital format, is the largest database to date documenting standard and high
frequency ECG changes from the onset and for 5 minutes during acute coronary
artery occlusion, with resting and occlusion imaging in a subset of these
patients. The history, methodology, and legacy of these studies are discussed in
this paper.
PMID- 24928431
TI - Role of the miR-17~92 cluster family in cerebellar and medulloblastoma
development.
AB - The miR-17~92 cluster family is composed of three members encoding microRNAs that
share seed sequences. To assess their role in cerebellar and medulloblastoma (MB)
development, we deleted the miR-17~92 cluster family in Nestin-positive neural
progenitors and in mice heterozygous for the Sonic Hedgehog (SHH) receptor
Patched 1 (Ptch1(+/-)). We show that mice in which we conditionally deleted the
miR-17~92 cluster (miR-17~92(floxed/floxed); Nestin-Cre(+)) alone or together
with the complete loss of the miR-106b~25 cluster (miR-106b~25(-/-)) were born
alive but with small brains and reduced cerebellar foliation. Remarkably,
deletion of the miR-17~92 cluster abolished the development of SHH-MB in Ptch1(+/
) mice. Using an orthotopic transplant approach, we showed that granule neuron
precursors (GNPs) purified from the cerebella of postnatal day 7 (P7) Ptch1(+/-);
miR-106b~25(-/-) mice and overexpressing Mycn induced MBs in the cortices of
naive recipient mice. In contrast, GNPs purified from the cerebella of P7
Ptch1(+/-); miR-17~92(floxed/floxed); Nestin-Cre(+) animals and overexpressing
Mycn failed to induce tumors in recipient animals. Taken together, our findings
demonstrate that the miR-17~92 cluster is dispensable for cerebellar development,
but required for SHH-MB development.
PMID- 24928433
TI - Fasting plasma glucose and incident heart failure risk: a population-based cohort
study and new meta-analysis.
AB - BACKGROUND: There remains uncertainty regarding the association between fasting
plasma glucose (FPG) and risk of heart failure (HF) in individuals without a
history of diabetes. METHODS AND RESULTS: We assessed the association between FPG
and HF risk in a population-based cohort of 1,740 men aged 42-61 years who were
free from HF or diabetes at baseline. During a mean follow-up of 20.4 years, 146
participants developed HF. In age-adjusted analysis, the hazard ratio (HR) for HF
per 1 mmol/L increase in FPG was 1.34 (95% confidence interval 1.22-1.48). This
association persisted after adjusting for established HF risk factors: HR 1.27,
95% confidence interval 1.14-1.42. The findings remained consistent across
several clinical subgroups and in analyses excluding incident coronary heart
disease or diabetes during follow-up. In a meta-analysis of 10 prospective
studies involving 4,213 incident HF cases, the HR for HF per 1 mmol/L increase in
FPG level was 1.11 (95% confidence interval 1.04-1.17), with evidence of
heterogeneity between studies (I(2) = 79%; 95% confidence interval 63%-89%; P <
.001). The corresponding HR was 1.12 (95% confidence interval 1.08-1.18) on
exclusion of the single study that accounted for the heterogeneity. CONCLUSIONS:
There exists a positive, continuous, and independent association between FPG and
risk for HF. Studies are warranted to evaluate the causal relevance of these
findings.
PMID- 24928434
TI - A method to enhance the magnitude of tactile hypersensitivity following spinal
nerve ligation in rats.
AB - BACKGROUND: The rat L5/L6 spinal nerve ligation model (SNL) has been widely used
to investigate putative analgesics. Pursuit of novel therapies in preclinical
settings requires models with consistent and reproducible phenotypes. NEW METHOD:
We assessed the effects of repetitive stimulation of the hindpaws of SNL and Sham
surgery rats during the 2 weeks immediately after surgery on the overall rate of
achieving tactile hypersensitivity, as well as the magnitude of the
hypersensitivity compared to unprimed rats. Beginning on day 2 post-surgery, and
continuing on alternate days for a total of seven sessions, animals underwent
light brushing/tapping (termed priming) of the hindpaws ipsilateral and
contralateral to surgery. RESULTS: Priming the ipsilateral hindpaw enhanced the
magnitude of tactile hypersensitivity such that the baseline withdrawal threshold
(BWT) for primed SNL animals was significantly lower than unprimed SNL animals
over post-surgical days 15-29. BWT was not different between primed and unprimed
Sham rats. The percentage of SNL primed animals meeting the a priori criterion
for tactile hypersensitivity of paw withdrawal threshold less than 2.0 grams was
98.9%+/-1.1%. COMPARISON WITH EXISTING METHOD: SNL rats that did not receive
stimulation (unprimed) showed significantly higher baseline hypersensitivity when
evaluated on days 15-29, exemplified by only 34.5%+/-7.2% meeting the established
<2.0g criterion. CONCLUSION: Our data indicate that tactile priming during the 2
weeks immediately after SNL surgery enhances the magnitude of tactile
hypersensitivity in the SNL model, and provide an optimized assay for evaluating
putative analgesics.
PMID- 24928435
TI - Do we know how much we put on the plate? Assessment of the accuracy of self
estimated versus weighed vegetables and whole grain portions using an Intelligent
Buffet at the FoodScape Lab.
AB - The aim of this study was to assess the accuracy of self-estimated vegetable and
whole grain serving sizes in a self-served buffet meal. The study took place in a
laboratory setting where an Intelligent Buffet was used to register the exact
weight of each food type that was self-served by each participant. The initial
sample consisted of 58 participants recruited from Aalborg University in
Copenhagen, of which 52 participants (59% male) provided complete estimates on
the weight of whole grains and 49 participants (63% male) provided complete
estimates on the weight of vegetable servings in their meal. The majority of the
participants were students aged 20-29 years (85% for whole grain responses and
82% for vegetable responses). Significant differences between self-estimated and
actual portion size estimates were observed for both vegetables and whole grains
(P < 0.001). The mean self-estimated weight of a vegetable serving was 218(+/
134) g compared to the mean actual weight of 74(+/-44) g. The mean self-estimated
and mean actual weights of a whole grain serving were 36(+/-34) g and 10(+/-9) g,
respectively. There was no significant correlation between self-estimated and
actual weights for each food group (P > 0.05). In conclusion, the participants'
ability to accurately assess the serving size of vegetables and whole grains in a
self-served meal did not correspond with the actual amount served. This may have
implications for consumer interpretation of dietary recommendations used in
nutrition interventions in Denmark.
PMID- 24928436
TI - Enhancing single-molecule fluorescence with nanophotonics.
AB - Single-molecule fluorescence spectroscopy has become an important research tool
in the life sciences but a number of limitations hinder the widespread use as a
standard technique. The limited dynamic concentration range is one of the major
hurdles. Recent developments in the nanophotonic field promise to alleviate these
restrictions to an extent that even low affinity biomolecular interactions can be
studied. After motivating the need for nanophotonics we introduce the basic
concepts of nanophotonic devices such as zero mode waveguides and nanoantennas.
We highlight current applications and the future potential of nanophotonic
approaches when combined with biological systems and single-molecule
spectroscopy.
PMID- 24928437
TI - In vitro activation of NAD-dependent alcohol dehydrogenases by Nudix hydrolases
is more widespread than assumed.
AB - In the Gram-positive methylotroph Bacillus methanolicus, methanol oxidation is
catalyzed by an NAD-dependent methanol dehydrogenase (Mdh) that belongs to the
type III alcohol dehydrogenase (Adh) family. It was previously shown that the in
vitro activity of B. methanolicus Mdh is increased by the endogenous activator
protein Act, a Nudix hydrolase. Here we show that this feature is not unique, but
more widespread among type III Adhs in combination with Act or other Act-like
Nudix hydrolases. In addition, we studied the effect of site directed mutations
in the predicted active site of Mdh and two other type III Adhs with regard to
activity and activation by Act.
PMID- 24928438
TI - Nanopores formed by DNA origami: a review.
AB - Nanopores have emerged over the past two decades to become an important technique
in single molecule experimental physics and biomolecule sensing. Recently DNA
nanotechnology, in particular DNA origami, has been used for the formation of
nanopores in insulating materials. DNA origami is a very attractive technique for
the formation of nanopores since it enables the construction of 3D shapes with
precise control over geometry and surface functionality. DNA origami has been
applied to nanopore research by forming hybrid architectures with solid state
nanopores and by direct insertion into lipid bilayers. This review discusses
recent experimental work in this area and provides an outlook for future avenues
and challenges.
PMID- 24928439
TI - MicroRNAs function as cis- and trans-acting modulators of peripheral circadian
clocks.
AB - Based on their extracellular expression and targeting of the clock gene Bmal1,
miR-142-3p and miR-494 were analyzed for evidence of vesicle-mediated
communication between cells and intracellular functional activity. Our studies
demonstrate that: miR-142-3p+miR-494 overexpression decreases endogenous BMAL1
levels, increases the period of Per2 oscillations, and increases extracellular
miR-142-3p/miR-494 abundance in conditioned medium; miRNA-enriched medium
increases intracellular expression of miR-142-3p and represses Bmal1 3'-UTR
activity in naive cells; and inhibitors of vesicular trafficking modulate
intercellular communication of these miRNAs and ensemble Per2 rhythms. Thus, miR
142-3p and miR-494 may function as cis- and trans-acting signals contributing to
local temporal coordination of cell-autonomous circadian clocks.
PMID- 24928440
TI - Challenges in quantitative single molecule localization microscopy.
AB - Single molecule localization microscopy (SMLM), which can provide up to an order
of magnitude improvement in spatial resolution over conventional fluorescence
microscopy, has the potential to be a highly useful tool for quantitative
biological experiments. It has already been used for this purpose in varied
fields in biology, ranging from molecular biology to neuroscience. In this review
article, we briefly review the applications of SMLM in quantitative biology, and
also the challenges involved and some of the solutions that have been proposed.
Due to its advantages in labeling specificity and the relatively low overcounting
caused by photoblinking when photo-activable fluorescent proteins (PA-FPs) are
used as labels, we focus specifically on Photo-Activated Localization Microscopy
(PALM), even though the ideas presented might be applicable to SMLM in general.
Also, we focus on the following three quantitative measurements: single molecule
counting, analysis of protein spatial distribution heterogeneity and co
localization analysis.
PMID- 24928441
TI - The polycomb group protein EZH2 inhibits lung cancer cell growth by repressing
the transcription factor Nrf2.
AB - EZH2 is a key component of the polycomb PRC2 complex and functions as a histone
H3 Lys27 (H3K27) trimethyltransferase. Here we show that EZH2 is down-regulated
in human non-small cell lung cancer and low EZH2 expression predicts poor
survival. Further we demonstrate that EZH2 inhibits lung cancer cell
proliferation and colony formation in vitro and growth in vivo. We found that
EZH2 binds to the promoter of Nrf2, where it increases H3K27me3 and represses
Nrf2 expression. Finally, Nrf2 seems to be essential for the hyper proliferation
of lung cancer cells in the absence of EZH2.
PMID- 24928442
TI - miR-140-5p suppresses BMP2-mediated osteogenesis in undifferentiated human
mesenchymal stem cells.
AB - Human mesenchymal stem cells (hMSCs) have self-renewal and differentiation
capabilities but the regulatory mechanisms of MSC fate determination remain
poorly understood. Here, we aimed to identify microRNAs enriched in hMSCs that
modulate differentiation commitments. Microarray analysis revealed that miR-140
5p is commonly enriched in undifferentiated hMSCs from various tissue sources.
Moreover, bioinformatic analysis and luciferase reporter assay validated that miR
140-5p directly represses bone morphogenic protein 2 (BMP2). Furthermore,
blocking miR-140-5p in hMSCs increased the expression of BMP signaling components
and critical regulators of osteogenic differentiation. We propose that miR-140-5p
functionally inhibits osteogenic lineage commitment in undifferentiated hMSCs.
PMID- 24928443
TI - Assay for characterizing the recovery of vertebrate cells for adhesion
measurements by single-cell force spectroscopy.
AB - Single-cell force spectroscopy (SCFS) is becoming a widely used method to
quantify the adhesion of a living cell to a substrate, another cell or tissue.
The high sensitivity of SCFS permits determining the contributions of individual
cell adhesion molecules (CAMs) to the adhesion force of an entire cell. However,
to prepare adherent cells for SCFS, they must first be detached from tissue
culture flasks or plates. EDTA and trypsin are often applied for this purpose.
Because cellular properties can be affected by this treatment, cells need to
recover before being further characterized by SCFS. Here we introduce atomic
force microscopy (AFM)-based SCFS to measure the mechanical and adhesive
properties of HeLa cells and mouse embryonic kidney fibroblasts while they are
recovering after detachment from tissue-culture. We find that mechanical and
adhesive properties of both cell lines recover quickly (<10 min) after detachment
using EDTA, while trypsin-detached fibroblasts require >60 min to fully recover.
Our assay introduced to characterize the recovery of mammalian cells after
detachment can in future be used to estimate the recovery behavior of other
adherent cell types.
PMID- 24928444
TI - Nucleases in homologous recombination as targets for cancer therapy.
AB - Genomic DNA is constantly challenged from endogenous as well as exogenous
sources. The DNA damage response (DDR) mechanism has evolved to combat these
challenges and ensure genomic integrity. In this review, we will focus on repair
of DNA double-strand breaks (DSB) by homologous recombination and the role of
several nucleases and other recombination factors as suitable targets for cancer
therapy. Their inactivation as well as overexpression have been shown to
sensitize cancer cells by increasing toxicity to DNA-damaging agents and
radiation or to be responsible for resistance of cancer cells. These factors can
also be used in targeted cancer therapy by taking advantage of specific genetic
abnormalities of cancer cells that are not present in normal cells and that
result in cancer cell lethality.
PMID- 24928446
TI - Inhibition of constitutive TNF production is associated with PACAP-mediated
differentiation in PC12 cells.
AB - The pituitary adenylate cyclase-activating polypeptide (PACAP) is a trophic
neuropeptide that promotes cell survival and neuritogenesis in the central and
peripheral nervous system. Our previous transcriptomic studies revealed the down
regulation of the cytokine tumor necrosis factor (TNF) during PACAP-induced PC12
cell differentiation. Here we show that TNF is constitutively expressed in PC12
cells in a manner dependent on NF-kappaB transcription factor, and that PACAP
rapidly inhibits TNF expression and secretion. The inhibition occurs through
suppression of RelB subunit of NF-kappaB, and is likely to prevent the
deleterious effects of the cytokine on survival and neurite outgrowth during PC12
cell differentiation.
PMID- 24928447
TI - Targeting cytokine networks in KRAS-driven tumorigenesis.
AB - KRAS is one of the most commonly mutated oncogenes in human tumors, and is
typically associated with aggressive disease. Despite intensive study and years
of effort, KRAS has remained refractory to targeted inhibition. Given the
challenge of inhibiting KRAS directly, current approaches to KRAS targeted
therapy have involved the disruption of downstream signaling pathways. However,
combinations of drugs that target RAF/MEK and PI3K/AKT signaling have failed to
live up to expectations in the clinic. Here we summarize the evidence that the
cytokine signaling circuitry of KRAS-driven tumors represents an equally
tractable drug target. Indeed, the incorporation of novel therapeutics that
disrupts these cytokine signaling networks may hold the key to overcoming this
seemingly impenetrable treatment barrier.
PMID- 24928445
TI - Regulation of autophagy: modulation of the size and number of autophagosomes.
AB - Autophagy as a conserved degradation and recycling process in eukaryotic cells,
occurs constitutively, but is induced by stress. A fine regulation of autophagy
in space, time, and intensity is critical for maintaining normal energy
homeostasis and metabolism, and to allow for its therapeutic modulation in
various autophagy-related human diseases. Autophagy activity is regulated in both
transcriptional and post-translational manners. In this review, we summarize the
cytosolic regulation of autophagy via its molecular machinery, and nuclear
regulation by transcription factors. Specifically, we consider Ume6-ATG8 and
Pho23-ATG9 transcriptional regulation in detail, as examples of how nuclear
transcription factors and cytosolic machinery cooperate to determine
autophagosome size and number, which are the two main mechanistic factors through
which autophagy activity is regulated.
PMID- 24928448
TI - Automatic construction of a large-scale and accurate drug-side-effect association
knowledge base from biomedical literature.
AB - Systems approaches to studying drug-side-effect (drug-SE) associations are
emerging as an active research area for drug target discovery, drug
repositioning, and drug toxicity prediction. However, currently available drug-SE
association databases are far from being complete. Herein, in an effort to
increase the data completeness of current drug-SE relationship resources, we
present an automatic learning approach to accurately extract drug-SE pairs from
the vast amount of published biomedical literature, a rich knowledge source of
side effect information for commercial, experimental, and even failed drugs. For
the text corpus, we used 119,085,682 MEDLINE sentences and their parse trees. We
used known drug-SE associations derived from US Food and Drug Administration
(FDA) drug labels as prior knowledge to find relevant sentences and parse trees.
We extracted syntactic patterns associated with drug-SE pairs from the resulting
set of parse trees. We developed pattern-ranking algorithms to prioritize drug-SE
specific patterns. We then selected a set of patterns with both high precisions
and recalls in order to extract drug-SE pairs from the entire MEDLINE. In total,
we extracted 38,871 drug-SE pairs from MEDLINE using the learned patterns, the
majority of which have not been captured in FDA drug labels to date. On average,
our knowledge-driven pattern-learning approach in extracting drug-SE pairs from
MEDLINE has achieved a precision of 0.833, a recall of 0.407, and an F1 of 0.545.
We compared our approach to a support vector machine (SVM)-based machine learning
and a co-occurrence statistics-based approach. We show that the pattern-learning
approach is largely complementary to the SVM- and co-occurrence-based approaches
with significantly higher precision and F1 but lower recall. We demonstrated by
correlation analysis that the extracted drug side effects correlate positively
with both drug targets, metabolism, and indications.
PMID- 24928450
TI - Persistent sexual, emotional, and cognitive impairment post-finasteride: a survey
of men reporting symptoms.
AB - Finasteride is a synthetic 5-alpha reductase inhibitor, which prevents the
conversion of testosterone to dihydrotestosterone and has been used for more than
20 years in the treatment of male pattern hair loss. Randomized, controlled
trials have associated finasteride with both reversible and persistent adverse
effects. In this pilot study, we sought to characterize sexual and nonsexual
adverse effects that men reported experiencing at least 3 months after stopping
the medication. Based on previous research on persistent side effects of
finasteride, we constructed an Internet survey targeting six domains: physical
symptoms, sexual libido, ejaculatory disorders, disorders of the penis and
testes, cognitive symptoms, and psychological symptoms and was e-mailed to
patients who reported experiencing symptoms of side effects of finasteride.
Responses from 131 generally healthy men (mean age, 24 years) who had taken
finasteride for male pattern hair loss was included in the analysis. The most
notable finding was that adverse effects persisted in each of the domains,
indicating the possible presence of a "post-finasteride syndrome."
PMID- 24928451
TI - High estrogen in men after injectable testosterone therapy: the low T experience.
AB - Testosterone replacement improves quality of life and is aromatized in men in
adipose tissues to estrogen. Hyperestrogenism is believed to be harmful to male
sexuality. This is a description of our experience of screening 34,016 men in the
Low T Centers, of which approximately 50% were converted to treatment. Men were
treated with injectable testosterone, and we have available data from 2009 to
2014. The data were extracted from our electronic health record (AdvancedMD) of
35 Low T Centers across the United States. In all, 7,215 (20.2%) out of the
34,016 patients had high estradiol levels defined as >=42.6 pg/ml. Estradiol was
measured using electro-chemiluminescence immunoassay. Of the patients who had
high estradiol levels, the age distribution was as follows: 132/989 (13.3%) were
older than 65 years, 3,753/16,955 (22.1%) were between 45 and 65 years;
2,968/15,857 (18.7%) were between 25 and 44 years, 7/215 (3.3%) were younger than
25 years. The difference between extreme age groups (<25 and >=65) was
statistically significant using a chi-square test (p = .013). The correlation
coefficient of serum estradiol to age was .53, SD = 8.21. It was observed that
practitioners used aromatase inhibitor and selective estrogen receptor modulator
to treat symptoms of hyperestrogenism, irrespective of blood estradiol levels.
Gynecomastia was rarely documented as a reason for the prescription. Our finding
was that high estradiol levels were not associated with higher rates of low
libido but established higher rates of documented low libido with those with
normal or lower estradiol levels. The difference was statistically significant (p
< .05).
PMID- 24928452
TI - [Not Available].
PMID- 24928453
TI - Validation of the self-reported hearing questions in the Irish Longitudinal Study
on Ageing against the Whispered Voice Test.
AB - BACKGROUND: Self report questions are often used in population studies to assess
sensory efficacy and decline. These questions differ in their validity in
assessing sensory impairment depending on the wording of the question and the
characteristics of the population. We tested the validity of the self-report
questions on hearing efficacy (self reported hearing, ability in following a
conversation, use of a telephone and use of hearing aids) used in The Irish
Longitudinal Study on Ageing (TILDA). METHODS: We tested sensitivity and
specificity, positive and negative predictive values of each question against the
Whispered Voice Test, a relatively easy to administer and cost effective
alternative to the standard audiometric test. RESULTS: In this population the
question 'Is your hearing (with or without a hearing appliance)/ Excellent/Very
Good/Good/Fair/Poor?' showed the best diagnostic value in relation to the other
questions (sensitivity 55.56% and specificity 94.67%). The question 'Can you use
a normal telephone?' was deemed ineffective because of a very poor sensitivity
(5.56%) and was proposed for exclusion from subsequent waves of TILDA.
CONCLUSIONS: We showed that this validity check was useful to select the
questions that most effectively assess hearing deficits and provided crucial
information for the subsequent waves. We argue that longitudinal studies using
self-reports of sensory efficacy would benefit from a similar check.
PMID- 24928454
TI - Depletion and biodegradation of hydrocarbons in dispersions and emulsions of the
Macondo 252 oil generated in an oil-on-seawater mesocosm flume basin.
AB - Physically and chemically (Corexit 9500) generated Macondo 252 oil dispersions,
or emulsions (no Corexit), were prepared in an oil-on-seawater mesocosm flume
basin at 30-32 degrees C, and studies of oil compound depletion performed for up
to 15 days. The use of Corexit 9500 resulted in smaller median droplet size than
in a physically generated dispersion. Rapid evaporation of low boiling point oil
compounds (C?15) appeared in all the experiments. Biodegradation appeared to be
an important depletion process for compounds with higher boiling points in the
dispersions, but was negligible in the surface emulsions. While n-alkane
biodegradation was faster in chemically than in physically dispersed oil no such
differences were determined for 3- and 4-ring PAH compounds. In the oil
dispersions prepared by Corexit 9500, increased cell concentrations, reduction in
bacterial diversity, and a temporary abundance of bacteria containing an alkB
gene were associated with oil biodegradation.
PMID- 24928455
TI - Capacitive deionization of seawater effected by nano Ag and Ag@C on graphene.
AB - Drinking water shortage has become worse in recent decades. A new capacitive
deionization (CDI) method for increasing water supplies through the effective
desalination of seawater has been developed. Silver as nano Ag and Ag@C which was
prepared by carbonization of the Ag(+)-beta-cyclodextrin complex at 573 K for 30
min can add the antimicrobial function into the CDI process. The Ag@C and Ag
nanoparticles dispersed on reduced graphene oxide (Ag@C/rGO and nano Ag/rGO) were
used as the CDI electrodes. The nano Ag/rGO and Ag@C/rGO electrodes can reduce
the charging resistant, and enhance the electrosorption capability. Better CDI
efficiencies with the nano Ag/rGO and Ag@C/rGO electrodes can therefore be
obtained. When reversed the voltage, the electrodes can be recovered up to 90%
within 5 min. This work presents the feasibility for the nano Ag and Ag@C on rGO
electrodes applied in CDI process to produce drinking water from seawater or
saline water.
PMID- 24928456
TI - Sulfonamide antibiotics in the Northern Yellow Sea are related to resistant
bacteria: implications for antibiotic resistance genes.
AB - Antibiotic resistance gene (ARG) residues and the mode of transmission in marine
environments remain unclear. The sulfonamide (SAs) concentrations, different
genes and total bacterial abundance in seawater and sediment of the Northern
Yellow Sea were analyzed. Results showed the genes sul I and sul II were present
at relatively high concentrations in all samples, whereas the gene sul III was
detected fewer. The ARGs concentrations in the sediment were 10(3) times higher
than those in water, which indicated sediment was essential ARG reservoir.
Statistical analysis revealed the total antibiotic concentration was positively
correlated with the relative abundance of the gene sul I and sul II. The relative
abundances of the gene sul I and the gene sul II were also correlated positively
with those of the gene int1. This correlation demonstrated that SAs exerted
selective pressure on these ARGs, whereas the gene int1 could be implicated in
the propagation of the genes sul I and sul II in marine environments.
PMID- 24928457
TI - Triclosan causes toxic effects to algae in marine biofilms, but does not inhibit
the metabolic activity of marine biofilm bacteria.
AB - Effects of the antimicrobial agent triclosan to natural periphyton communities
(biofilms, comprising primarily microalgae and bacteria) were assessed in two
independent experiments during spring and summer. For that purpose a semi-static
test system was used in which periphyton was exposed to a concentration range of
5-9054 nmol/L triclosan. Effects on algae were analyzed as content and
composition of photosynthetic pigments. The corresponding EC50 values were 39.25
and 302.45 nmol/L for the spring and summer experiment, respectively. Effects on
periphytic bacteria were assessed as effects on carbon utilization patterns,
using Biolog Ecoplates. No inhibition of either total carbon utilization or
functional diversity was observed, indicating a pronounced triclosan tolerance of
the marine bacteria. In contrast, a small stimulation of the total carbon
utilization was observed at triclosan concentrations exceeding 100 nmol/L.
PMID- 24928458
TI - Ballast water: a threat to the Amazon Basin.
AB - Ballast water exchange (BWE) is the most efficient measure to control the
invasion of exotic species from ships. This procedure is being used for merchant
ships in national and international voyages. The ballast water (BW) salinity is
the main parameter to evaluate the efficacy of the mid-ocean ballast water
exchange. The vessels must report to the Port State Control (PSC), via ballast
water report (BWR), where and how the mid-ocean BWE was performed. This measure
allows the PSC to analyze this information before the ship arrives at the port,
and to decide whether or not it should berth. Ship BW reporting forms were
collected from the Captaincy of Santana and some ships were visited near the Port
of Santana, located in Macapa (Amazon River), to evaluate the BW quality onboard.
We evaluated data submitted in these BWR forms and concluded that the BWE
efficacy might be compromised, because data contained in these BWR indicate that
some ships did not change their BW. We found mistakes in filling the BWR forms
and lack of information. Moreover, these ships had discharged BW with high level
of salinity, Escherichia coli and total coliforms into the Amazon River. We
concluded that the authorities of the Amazon Region need to develop more
efficient proceedings to evaluate the ballast water reporting forms and BW
quality, as there is potential risk of future invasion of exotic species in
Brazilian ports.
PMID- 24928459
TI - Complete penile amputation during ritual neonatal circumcision and successful
replantation using postoperative leech therapy.
AB - Circumcision is the most common surgical procedure in males in the United States,
and minor complications are not uncommon. Major complications like partial penile
amputations have been reported with successful replantation. Complete penile
amputations in adult males have been described, and successful replantation has
been reported with increasing success. We report a case of complete penile
amputation at the penopubic junction using a Mogen clamp in a 7-day-old neonate
with replantation using postoperative leech therapy. To our knowledge this is the
first time leech therapy has been used postoperatively for neonatal penile
amputation.
PMID- 24928460
TI - Editorial comment.
PMID- 24928461
TI - Reply: To PMID 24928460.
PMID- 24928462
TI - Diagnostic and management approaches to pediatric and adolescent varicocele: a
survey of pediatric urologists.
AB - OBJECTIVE: To assess current diagnosis and management of adolescent varicoceles
by pediatric urologists. METHODS: Online questionnaires assessing diagnosis and
management approaches to pediatric and adolescent varicocele were distributed
electronically to a national listing of pediatric urologists. RESULTS: Of 242
pediatric urologists surveyed, 131 (54%) responded to the survey. Only 3% of
respondents operate on varicoceles at diagnosis, whereas 14% observe, and 83%
base treatment on further indications. Varicocelectomy is most commonly performed
for decreased ipsilateral testicular size (96%), testicular pain (79%), and
altered semen analysis parameters (39%), with the mean age for varicocelectomy
being 12.5 +/- 3.1 years. Most physicians use ultrasonography (US) or Doppler US
to aid in the diagnosis of varicoceles, and half of physicians would not repair
incidental findings of varicocele on US. In an otherwise asymptomatic patient
with a varicocele, 28% of physicians would consider varicocelectomy depending on
varicocele grade. The most common surgical approaches to varicocelectomy were
laparascopic (38%), subinguinal microsurgical (28%), inguinal (14%), and
retroperitoneal (13%), and most physicians used loupes for these procedures. The
most common complication experienced after adolescent varicocelectomy was
hydrocele followed by hematoma, testicular atrophy, chronic pain, paresthesia,
and varicocele recurrence or persistence. Only 58% of physicians had follow-up
data on their varicocele patients, and 89% did not know whether patients
developed infertility. Of patients who developed infertility, 39% had undergone
varicocele repair. CONCLUSION: Significant variation in diagnostic approaches,
decision to treat, and operative approaches exists among pediatric urologists,
and combined with a dearth of objective data, limits development of management
guidelines.
PMID- 24928463
TI - A useful tip for open laparoscopy.
PMID- 24928464
TI - [High blood pressure and physical exercise].
AB - High blood pressure is a frequent pathology with many cardiovascular
complications. As highlighted in guidelines, the therapeutic management of
hypertension relies on non-pharmacological measures, which are diet and regular
physical activity, but both patients and physicians are reluctant to physical
activity prescription. To acquire the conviction that physical activity is
beneficial, necessary and possible, we can take into account some fundamental and
clinical studies, as well as the feedback of our clinical practice. Physical
inactivity is a major risk factor for cardiovascular morbidity and mortality, and
hypertension contributes to increase this risk. Conversely, regular practice of
physical activity decreases very significantly the risk by up to 60%. The acute
blood pressure changes during exercise and post-exercise hypotension differs
according to the dynamic component (endurance or aerobic and/or strength
exercises), but the repetition of the sessions leads to the chronic hypotensive
benefit of physical activity. Moreover, physical activity prescription must take
into account the assessment of global cardiovascular risk, the control of the
hypertension, and the opportunities and desires of the patient in order to
promote good adherence and beneficial lifestyle change.
PMID- 24928465
TI - [Medical practice in support of hypertension as risk factor kidney in general
medical practice, and primary prevention in children in schools, and the pregnant
woman in Annaba (Algeria)].
AB - OBJECTIVE: To study medical practice in the management of hypertension as a
factor in renal risk in general medical practice and primary prevention in
children at school, and pregnant women under prenatal monitoring. METHOD: The
longitudinal study, observational over a year, focused on medical practice in
schools, maternal health and medical practice among 100 physicians (general
practitioner and specialist practitioner) in Annaba (Algeria). RESULTS: In
children in schools, measurement of blood pressure is never done on the grounds
because this gesture is considered unnecessary in 100% of cases. In pregnant
women, the measurement of blood pressure is not performed in more than 26% of
pregnant women because it is deemed unnecessary by the midwife in 89% of pregnant
women and default material in 11% of they. In current medical practice, 69% of
doctors routinely take blood pressure. For the rest, represented mainly by
specialists, it is the patient who does not justify. Sixty-two percent of
physicians, that is hypertension, above 140/90mmHg, and 15% of physicians that is
hypertension, above 145/95mmHg. Among the physicians, 58.7% did not use urinary
strip, either, because they think that this review should be done in a laboratory
(64.8%), or because the urinary strip are not available at even consulting
(35.2%). CONCLUSION: Inadequacies in the coverage (care) of the HTA are real.
Their effects on the progress of prevalence of the renal insufficiency chronic
terminal treated are possibly important.
PMID- 24928466
TI - Significant impairment of health-related quality of life in mainland Chinese
patients with chronic hepatitis B: a cross-sectional survey with pair-matched
healthy controls.
AB - OBJECTIVE: Few studies have evaluated health-related quality of life (HRQoL) of
patients with chronic hepatitis B (CHB) in mainland China. We aimed at
characterizing the impact of CHB on HRQoL in mainland Chinese and finding out
factors associated with HRQoL. METHODS: 460 CHB patients (323 with CHB only, 54
with compensated cirrhosis and 83 with decompensated cirrhosis) and 460 pair
matched healthy controls were recruited in Xi'an city. They answered a structured
questionnaire including the Short Form 36 version 2 (SF-36v2), the Chronic Liver
Disease Questionnaire (CLDQ) (only for patients), and questions on socio
demographic and clinical characteristics. A blood sample was collected from each
of patients for liver function tests. SF-36v2 scores were compared between
patients and controls overall and by groups by paired-samples t-test, and CLDQ
scores and paired differences of SF-36v2 scores were compared among three patient
groups by one-way ANOVA or Kruskal-Wallis test. Multi-variable linear regression
analyses were performed to identify determinants of HRQoL in patients. RESULTS:
Patients, overall and by groups had significantly lower SF-36v2 scores than
controls on all summaries and domains, with differences higher than the suggested
minimally important difference values. Both the SF-36v2 and the CLDQ showed that
HRQoL of patients with cirrhosis further deteriorated, but compensated and
decompensated cirrhosis patients had similar total HRQoL impairments. The
gradually increasing impairment with disease progression was confirmed only on
physical components. Impaired liver function and currently taken anti-viral
treatment were associated with lower HRQoL. Education attainment and annual per
capita household income had a positive effect on HRQoL. CONCLUSIONS: Mainland
Chinese CHB patients suffered significant HRQoL impairment on all health
dimensions, and the impairment reached a high level on mental health at initial
stage of illness and increased gradually on physical health with disease
progression. Attention should be paid to the reduction of patients' treatment
cost burden and the provision of early health education accompanied with proper
treatments.
PMID- 24928467
TI - Review of Guidance for Health Care Ethics Committees.
PMID- 24928468
TI - Red blood cell alloimmunization mitigation strategies.
AB - Hemolytic transfusion reactions due to red blood cell (RBC) alloantibodies are a
leading cause of transfusion-associated death. In addition to reported deaths,
RBC alloantibodies also cause significant morbidity in the form of delayed
hemolytic transfusion reactions. These alloantibodies may also cause morbidity in
the form of anemia, with compatible RBC units at times being unable to be located
for highly alloimmunized patients, or in the form of hemolytic disease of the
newborn. Thus, preventing RBC alloantibodies from developing in the first place,
or mitigating the dangers of existing RBC alloantibodies, would decrease
transfusion-associated morbidity and mortality. A number of human studies have
evaluated the impact on RBC alloimmunization rates of providing partially
phenotypically or genotypically matched RBCs for transfusion, and a number of
animal studies have evaluated the impact of single variables on RBC
alloimmunization. The goal of this review is to take a comprehensive look at
existing human and animal data on RBC alloimmunization, focusing on strategies
that may mitigate this serious hazard of transfusion. Potential factors that
impact initial RBC alloimmunization, on both the donor and recipient sides, will
be discussed. These factors include, but are not limited to, exposure to the
antigen and an ability of the recipient's immune system to present that antigen.
Beyond these basic factors, coexisting "danger signals," which may come from the
donor unit itself or which may be present in the recipient, also likely play a
role in determining which transfusion recipients may become alloimmunized after
RBC antigen exposure. In addition, to better understanding factors that influence
the development of RBC alloantibodies, this review will also briefly discuss
strategies to decrease the dangers of existing RBC alloantibodies.
PMID- 24928469
TI - Circulating microRNA profiling in patients with advanced non-squamous NSCLC
receiving bevacizumab/erlotinib followed by platinum-based chemotherapy at
progression (SAKK 19/05).
AB - OBJECTIVES: Molecular subclassification of non small-cell lung cancer (NSCLC) is
essential to improve clinical outcome. This study assessed the prognostic and
predictive value of circulating micro-RNA (miRNA) in patients with non-squamous
NSCLC enrolled in the phase II SAKK (Swiss Group for Clinical Cancer Research)
trial 19/05, receiving uniform treatment with first-line bevacizumab and
erlotinib followed by platinum-based chemotherapy at progression. MATERIALS AND
METHODS: Fifty patients with baseline and 24 h blood samples were included from
SAKK 19/05. The primary study endpoint was to identify prognostic (overall
survival, OS) miRNA's. Patient samples were analyzed with Agilent human miRNA
8x60K microarrays, each glass slide formatted with eight high-definition 60K
arrays. Each array contained 40 probes targeting each of the 1347 miRNA. Data
preprocessing included quantile normalization using robust multi-array average
(RMA) algorithm. Prognostic and predictive miRNA expression profiles were
identified by Spearman's rank correlation test (percentage tumor shrinkage) or
log-rank testing (for time-to-event endpoints). RESULTS: Data preprocessing kept
49 patients and 424 miRNA for further analysis. Ten miRNA's were significantly
associated with OS, with hsa-miR-29a being the strongest prognostic marker
(HR=6.44, 95%-CI 2.39-17.33). Patients with high has-miR-29a expression had a
significantly lower survival at 10 months compared to patients with a low
expression (54% versus 83%). Six out of the 10 miRNA's (hsa-miRN-29a, hsa-miR-542
5p, hsa-miR-502-3p, hsa-miR-376a, hsa-miR-500a, hsa-miR-424) were insensitive to
perturbations according to jackknife cross-validation on their HR for OS. The
respective principal component analysis (PCA) defined a meta-miRNA signature
including the same 6 miRNA's, resulting in a HR of 0.66 (95%-CI 0.53-0.82).
CONCLUSION: Cell-free circulating miRNA-profiling successfully identified a
highly prognostic 6-gene signature in patients with advanced non-squamous NSCLC.
Circulating miRNA profiling should further be validated in external cohorts for
the selection and monitoring of systemic treatment in patients with advanced
NSCLC.
PMID- 24928470
TI - Motion of the shoulder complex in individuals with isolated acromioclavicular
osteoarthritis and associated with rotator cuff dysfunction: part 2 - muscle
activity.
AB - This study aimed to compare muscle activity in individuals with isolated
acromioclavicular osteoarthritis (ACO), ACO associated with rotator cuff disease
(ACO+RCD), and controls. Seventy-four participants (23 isolated ACO, 25 ACO+RCD,
26 controls) took part in this study. Disability was assessed with the
Disabilities of the Arm, Shoulder and Hand (DASH) questionnaire. Muscle activity
of the upper trapezius (UT), lower trapezius (LT), serratus anterior (SA), and
anterior deltoid (AD) was collected during arm elevation in the sagittal and
scapular planes. Pain during motion was assessed with the numerical pain rating
scale. Analysis of the DASH, pain and kinematics were reported in part 1 of this
study. For each muscle, separate 2-way linear mixed-model ANOVAs were performed
to compare groups. ACO+RCD group had more UT and AD activity than the the
isolated ACO and control other groups, more AD activity than the isolated ACO
group during the ascending phase, and more AD activity than the ACO and control
groups during the descending phase in both planes. Isolated ACO group had less SA
activity than the control group only in the sagittal plane. Alterations in
shoulder muscle activity are present in individuals with isolated ACO and with
ACO+RCD and should be considered in rehabilitation.
PMID- 24928471
TI - Serum angiopoietin-2 and soluble VEGF receptor 2 are surrogate markers for plasma
leakage in patients with acute dengue virus infection.
AB - BACKGROUND: Endothelial cell dysfunction is believed to play an important role in
the pathogenesis of plasma leakage in patients with acute dengue virus (DENV)
infection. Several factors, produced by activated endothelial cells, have been
associated with plasma leakage or severe disease in patients with infectious
diseases. OBJECTIVES: The aim of this study was to investigate which of these
markers could serve as a surrogate marker for the occurrence of plasma leakage in
patients with acute DENV infection. STUDY DESIGN: A case-control study was
performed in patients with acute DENV infection in Santos, Brazil. Plasma leakage
was detected with X-ray and/or ultrasound examination at admission. Serum levels
of soluble endoglin, endothelin-1, angiopoietin-2, VEGF, soluble VEGFR-2, MMP-2,
MMP-9, TIMP-1 and TIMP-2 were determined using commercially available ELISAs.
RESULTS: Increased levels of angiopoietin-2, endothelin-1 and MMP-2 and decreased
levels of soluble VEGFR-2 were significantly associated with the occurrence of
plasma leakage. An unsupervised cluster analysis confirmed that angiopoietin-2
and soluble VEGFR-2 were strongly associated with clinical apparent vascular
leakage. CONCLUSION: Angiopoietin-2 and soluble VEGFR-2 can serve as surrogate
markers for the occurrence of plasma leakage in patients with acute DENV
infection.
PMID- 24928472
TI - Serum under-O-glycosylated IgA1 level is not correlated with glomerular IgA
deposition based upon heterogeneity in the composition of immune complexes in IgA
nephropathy.
AB - BACKGROUND: Although serum under-O-glycosylated IgA1 in IgA nephropathy (IgAN)
patients may deposit more preferentially in glomeruli than heavily-O-glycosylated
IgA1, the relationship between the glomerular IgA deposition level and the O
glycan profiles of serum IgA1 remains obscure. METHODS: Serum total under-O
glycosylated IgA1 levels were quantified in 32 IgAN patients by an enzyme-linked
immunosorbent assay (ELISA) with Helix aspersa (HAA) lectin. Serum under-O
glycosylated polymeric IgA1 (pIgA1) was selectively measured by an original
method using mouse Fcalpha/MU receptor (mFcalpha/MUR) transfectant and flow
cytometry (pIgA1 trap). The percentage area of IgA deposition in the whole
glomeruli (Area-IgA) was quantified by image analysis on the immunofluorescence
of biopsy specimens. Correlations were assessed between the Area-IgA and data
from HAA-ELISA or pIgA1 trap. The relationships between clinical parameters and
data from HAA-ELISA or pIgA1 trap were analyzed by data mining approach. RESULTS:
While the under-O-glycosylated IgA1 levels in IgAN patients were significantly
higher than those in healthy controls when measured (p<0.05), there was no
significant difference in under-O-glycosylated pIgA1. There was neither a
correlation observed between the data from HAA-ELISA and pIgA1 trap (r2=0.09) in
the IgAN patients (r2=0.005) nor was there a linear correlation between Area-IgA
and data from HAA-ELISA or the pIgA1 trap (r2=0.005, 0.03, respectively). Contour
plots of clinical parameters versus data from HAA-ELISA and the pIgA1 trap
revealed that patients with a high score in each clinical parameter concentrated
in specific areas, showing that patients with specific O-glycan profiles of IgA1
have similar clinical parameters. A decision tree analysis suggested that
dominant immune complexes in glomeruli were consisted of: 1) IgA1-IgG and
complements, 2) pIgA1 and complements, and 3) monomeric IgA1-IgA or aggregated
monomeric IgA1. CONCLUSIONS: Serum under-O-glycosylated IgA1 levels are not
correlated with glomerular IgA deposition based upon heterogeneity in the
composition of glomerular immune complexes in IgAN patients.
PMID- 24928473
TI - Maintenance and manipulation of object sequences in working memory: a lifespan
study.
AB - Many studied reported that working memory components receive remarkable changes
during lifespan. In order to better investigate this, we evaluated working memory
components on human subjects belonging to five groups (10 subjects each) at
different ages 6, 8 and 10 years old, young adult (age) and old adult (age). Our
pattern of results shows a major transition in object sequence manipulation
performance between ages 8 and 10 years. If related to young adults results, both
10-year-old children and old adults differed in accuracy and RT (specificare cosa
significa) in both maintenance and manipulation conditions. In particular, young
adults and old adults differ in RTs in the manipulation condition. Our results
also suggest that a change in response strategy from 6 to 8 years of age, to
prioritize accuracy may be present. Our findings appear consistent with recent
neuroscientific findings, and lead to novel predictions.
PMID- 24928474
TI - Breakfast patterns among low-income, ethnically-diverse 4th-6th grade children in
an urban area.
AB - BACKGROUND: Increasing school breakfast participation has been advocated as a
method to prevent childhood obesity. However, little is known about children's
breakfast patterns outside of school (e.g., home, corner store). Policies that
increase school breakfast participation without an understanding of children's
breakfast habits outside of school may result in children consuming multiple
breakfasts and may undermine efforts to prevent obesity. The aim of the current
study was to describe morning food and drink consumption patterns among low
income, urban children and their associations with relative weight. METHODS: A
cross-sectional analysis was conducted of data obtained from 651 4th-6th graders
(51.7% female, 61.2% African American, 10.7 years) in 2012. Students completed
surveys at school that included all foods eaten and their locations that morning.
Height and weight were measured by trained research staff. RESULTS: On the day
surveyed, 12.4% of youth reported not eating breakfast, 49.8% reported eating one
breakfast, 25.5% reported eating two breakfasts, and 12.3% reported eating three
or more breakfasts. The number of breakfasts consumed and BMI percentile showed a
significant curvilinear relationship, with higher mean BMI percentiles observed
among children who did not consume any breakfast and those who consumed >= 3
breakfasts. Sixth graders were significantly less likely to have consumed
breakfast compared to younger children. A greater proportion of obese youth had
no breakfast (18.0%) compared to healthy weight (10.1%) and overweight youth
(10.7%, p = .01). CONCLUSIONS: When promoting school breakfast, policies will
need to be mindful of both over- and under-consumption to effectively address
childhood obesity and food insecurity. CLINICAL TRIAL REGISTRATION: NCT01924130
from http://clinicaltrials.gov/.
PMID- 24928475
TI - Steroidal saponins from Dioscorea preussii.
AB - Three new steroidal saponins, named diospreussinosides A-C (1-3), along with two
known ones (4, 5) were isolated from rhizomes of Dioscorea preussii. Their
structures were elucidated mainly by 1D and 2D NMR spectroscopic analysis and
mass spectrometry as (25S)-17alpha,25-dihydroxyspirost-5-en-3beta-yl-O-alpha-L
rhamnopyranosyl-(1->4)-alpha-L-rhamnopyranosyl-(1->4)-beta-D-glucopyranoside (1),
(25S)-17alpha,25-dihydroxyspirost-5-en-3beta-yl-O-alpha-L-rhamnopyranosyl-(1->4)
alpha-L-rhamnopyranosyl-(1->4)-[alpha-L-rhamnopyranosyl-(1->2)]-beta-D
glucopyranoside (2), and (24S,25R)-17alpha,24,25-trihydroxyspirost-5-en-3beta-yl
O-alpha-L-rhamnopyranosyl-(1->4)-alpha-L-rhamnopyranosyl-(1->4)-[alpha-L
rhamnopyranosyl-(1->2)]-beta-D-glucopyranoside (3). The spirostane-type skeleton
of compound 3 possessing an unusual dihydroxylation pattern on the F-ring is
reported for the first time. Cytotoxicity of compounds 2-5 was evaluated against
two human colon carcinoma cell lines (HT-29 and HCT 116).
PMID- 24928476
TI - Scrotal pop off in a congenital anterior urethral diverticulum.
AB - INTRODUCTION AND OBJECTIVE: Congenital anterior urethral diverticulum is a rare
entity. This teaching video shows the scrotal pop-off mechanism for an anterior
urethral diverticulum and the unique voiding pattern of a boy who empties his
bladder by compression of his scrotum. The findings during urethroscopy and open
reconstruction are also demonstrated. PATIENT AND METHODS: A four-year-old boy
presented to the clinic with a poor urinary stream and scrotal swelling during
voiding. Physical examination during voiding revealed a dumbbell-shaped anterior
urethral diverticulum with scrotal pop off and preserved renal function. RESULTS:
Open excision of the scrotal part of diverticulum was performed. Urethroplasty
was conducted using a de-epithelialised diverticular wall flap from the
penobulbar urethra. On follow up the boy voided with a good flow and resolution
of symptoms. CONCLUSION: Scrotal pop off with completion of voiding by manual
compression of the diverticulum may preserve bladder and renal function. The
preferred treatment of anterior urethral diverticulum is open excision of the
diverticulum and reconstruction. The wall of the diverticulum may be used to
reinforce the repair ventrally, where the corpus spongiosum is deficient.
PMID- 24928477
TI - Hair ethyl glucuronide as a biomarker of alcohol consumption in alcohol-dependent
patients: role of gender differences.
AB - BACKGROUND: Ethyl glucuronide (EtG) is a minor alcohol metabolite that
accumulates in hair and is proposed as a stable marker for the detection of
chronic and excessive alcohol consumption above a cut-off level of 30pg/mg hair.
A correlation between drinking behavior and EtG hair concentrations is observed,
but large variability exists. AIMS: To investigate the correlation between
alcohol consumption and hair EtG concentrations in alcohol dependent patients,
and the effect of gender differences as a factor for the variability on this
correlation. METHODS: EtG was measured by gas chromatography coupled to mass
spectrometry in the hairs (first 3cm) of 36 alcohol dependent patients (25
males/11 females) starting and alcohol detoxification program. Factors that
possibly influence EtG content in hair (except age and gender) were excluded.
Detailed retrospective alcohol consumption was obtained over the last 3 months
using the Timeline Follow Back interview. RESULTS: Median total alcohol
consumption over 3 months was 13,050g pure alcohol (range 60-650g/day). Hair EtG
concentrations varied between 32 and 662pg/mg. There was a statistically
significant linear and positive correlation between hair EtG and amounts of
alcohol consumed (Pearson r=0.83; p<0.001), in both males (Pearson r=0.83;
p<0.001) and females (Pearson r=0.76; p=0.007). CONCLUSIONS: There is a linear
correlation, with no significant effect of gender, between hair EtG
concentrations and amounts of alcohol consumed in alcohol-dependent individuals.
Analysis of EtG in hair can be applied to estimate retrospective alcohol
consumption in both male and female alcohol dependent subjects using the same cut
off.
PMID- 24928478
TI - The role of participants' self-selected future smoking goals in adolescent
smoking cessation interventions.
AB - BACKGROUND: There is an implicit assumption that abstinence is the treatment goal
of young smokers that deliberately participate in cessation interventions, but
this may not always be the case. To gain information on subgroups of adolescent
intervention participants, we compare participants who want to achieve smoking
abstinence (Abst) with those stating a non-abstinence future smoking goal
(NAbst), with regard to baseline characteristics, reasons for participation, quit
motivation, retention, goal attainment, and smoking abstinence. METHODS: The
sample consisted of 202 adolescent smokers (49.5% female). At baseline, 118
(58.4%) indicated abstinence as future smoking goal and 84 (41.6%) indicated non
abstinence. All participants received a behavioral smoking cessation
intervention. Assessments took place before, during, and after treatment, and at
6-month follow-up. Regression analyses were conducted. RESULTS: Abst and NAbst
participants reported similar baseline characteristics. Abst participants,
however, were more likely to report a previous quit attempt and indicated a
higher quit motivation before and during treatment. Abst participants were more
likely to participate based on own initiative and NAbst participants because of
participating friends. Both groups attended a similar number of intervention
sessions and were equally likely to attain their self-selected smoking goal.
However, more Abst participants reported a successful quit attempt during
treatment and abstinence at post-treatment and follow-up. CONCLUSIONS: NAbst
participants may represent a substantial subgroup in smoking cessation
interventions for adolescents. Results indicate that future smoking goals can
influence treatment outcomes. NAbst participants in treatment may benefit from
additional information on the negative health consequences of light smoking.
PMID- 24928479
TI - Treatment with modafinil and escitalopram, alone and in combination, on cocaine
induced effects: a randomized, double blind, placebo-controlled human laboratory
study.
AB - BACKGROUND: Concurrent administration of dopamine and serotonin reuptake
inhibitors reduces cocaine self-administration in monkeys. Consonant with this,
clinical trials assessing modafinil and selective serotonin reuptake inhibitors
alone show some efficacy as potential pharmacotherapies for cocaine dependence.
We hypothesized that combining modafinil with escitalopram would attenuate the
euphoric effects of cocaine to a greater degree than modafinil alone. METHODS: In
a randomized, double blind, parallel groups design participants received either
placebo (0mg/day; n=16), modafinil (200mg/day; n=16), escitalopram (20mg/day;
n=17), or modafinil+escitalopram (200+20mg/day; n=15) for 5 days. On day 5,
during separate sessions participants received an intravenous sample of cocaine
(0 or 20mg; randomized) and five $1 bills. Participants rated the subjective
effects of the infusions and subsequently made choices to either return $1 and
receive another infusion or keep $1 and receive no infusion. RESULTS: Compared to
saline, cocaine (20mg) significantly (p<=0.008) increased most ratings, including
"good effects", "stimulated", and "high". Relative to placebo, modafinil
significantly (p<=0.007) attenuated subject-rated increases of "any drug effect",
"high", "good effects", and "stimulated" produced by cocaine. Compared to saline,
participants chose cocaine infusions significantly more; however, no treatment
significantly reduced choices for cocaine infusions. Escitalopram did not enhance
the efficacy of modafinil to reduce any measure. CONCLUSIONS: Modafinil
attenuated many positive subjective effects produced by cocaine; however,
escitalopram combined with modafinil did not enhance the efficacy of modafinil to
reduce cocaine effects.
PMID- 24928480
TI - Frequent marijuana use is associated with greater nicotine addiction in
adolescent smokers.
AB - BACKGROUND: Marijuana and tobacco are the substances used most commonly by
adolescents and co-occurring use is common. Use of one substance may potentiate
the addictive properties of the other. The current study examined the severity of
nicotine addiction among teen smokers as a function of co-occurring marijuana
use. METHODS: Participants were 165 adolescents (13-17 years old) who reported
smoking at least 1 cigarette per day (CPD) in the past 30 days. General linear
models examined the association of marijuana use with multiple measures of
nicotine addiction including the Modified Fagerstrom Tolerance Questionnaire
(mFTQ), Hooked on Nicotine Checklist (HONC), ICD-10, and the Nicotine Dependence
Syndrome Scale (NDSS). RESULTS: The adolescent sample (mean age=16.1 years,
SD=0.95) averaged 3.0 CPD (SD=3.0) for 1.98 years (SD=1.5). Most (79.5%) also
smoked marijuana in the past 30 days. In models controlling for age, daily
smoking status, and years of tobacco smoking, frequency of marijuana use
accounted for 25-44% of the variance for all four measures of adolescent nicotine
dependence. CONCLUSIONS: Marijuana use was associated with greater reported
nicotine addiction among adolescent smokers. The findings suggest a role of
marijuana in potentiating nicotine addiction and underscore the need for
treatments that address both smoked substances.
PMID- 24928482
TI - The European Association for Cancer Education (EACE) 2014 meeting report.
PMID- 24928481
TI - Cervical Cancer Prevention Knowledge and Abnormal Pap Test Experiences Among
Women Living with HIV/AIDS.
AB - Cervical cancer prevention knowledge deficits persist among women living with
HIV/AIDS (WLHA) despite increased risk of developing cervical dysplasia/cancer.
We examined associations between WLHA's cervical cancer prevention knowledge and
abnormal Pap test history. We recruited 145 urban and rural WLHA from Ryan White
funded clinics and AIDS service organizations located in the southeastern USA
between March 2011 and April 2012. For this analysis, women who reported a
history of cervical cancer (n = 3) or had a complete hysterectomy (n = 14) and
observations with missing data (n = 22) were excluded. Stata/IC 13 was used to
perform cross-tabulations and chi-squared tests. Our sample included 106
predominantly non-Hispanic Black (92%) WLHA. Mean age was 46.3 +/- 10.9 years.
Half (50%) had <= high school education. One third (37%) had low health literacy.
The majority (83 %) had a Pap test <1 year ago, and 84 % knew that WLHA should
have a Pap test every year, once two tests are normal. Many (68%) have had an
abnormal Pap test. Abnormal Pap test follow-up care knowledge varied. While 86%
knew follow-up care could include a repeat Pap test, only 56% knew this could
also include an HPV test. Significantly, more women who had an abnormal Pap test
knew follow-up care could include a biopsy (p = 0.001). For WLHA to make
informed/shared decisions about their cervical health, they need to be
knowledgeable about cervical cancer care options across the cancer control
continuum. Providing WLHA with prevention knowledge beyond screening
recommendations seems warranted given their increased risk of developing cervical
dysplasia/neoplasia.
PMID- 24928483
TI - Influence of cardioversion on asymptomatic cerebral lesions following atrial
fibrillation ablation.
AB - PURPOSE: Asymptomatic cerebral lesions detected by diffusion-weighted magnetic
resonance imaging (MRI) following atrial fibrillation (AF) ablation were reported
in recent years. It was reported that cardioversion during the procedure of AF
ablation was one independent risk factor of asymptomatic cerebral lesions.
However, in some studies, the similar association between asymptomatic cerebral
lesions and intraprocedural cardioversion was not observed. Given the
inconsistent results, we did a meta-analysis to explore the influence of
intraprocedural cardioversion on the asymptomatic cerebral lesions detected by
MRI following AF ablation. METHODS: Studies exploring the association between
cardioversion during AF ablation and asymptomatic cerebral lesions following AF
ablation were systematically searched in PubMed, Web of Science and the Cochrane
Library Databases. Odds ratios (ORs) and 95% confidence intervals (CIs) were
pooled. Subgroup and sensitivity analyses were performed to explore the source of
heterogeneity. RESULTS: Nine studies involving 813 participants were included in
the present meta-analysis. When we pooled data from nine studies using fixed
effects model, we found cardioversion during the procedure significantly
increased the risk of asymptomatic cerebral lesions detected by MRI following AF
ablation (pooled OR = 1.793, 95% CI 1.201-2.678, I (2) = 38.8%, P heterogeneity =
0.109). CONCLUSIONS: Cardioversion during AF ablation significantly increased the
risk of asymptomatic cerebral lesions on MRI following the procedure. Additional
studies are required to further verify the association.
PMID- 24928484
TI - MediGuide-impact on catheter ablation techniques and workflow.
AB - Since the introduction of percutaneous intervention in modern medical science,
specifically cardiovascular medicine fluoroscopy has remained the gold standard
for navigation inside the cardiac structures. As the complexity of the procedures
continue to increase with advances in interventional electrophysiology, the
procedural times and fluoroscopy times have proportionately increased and the
risks of radiation exposure both to the patients as well as the operator continue
to rise. 3D electroanatomic mapping systems have to some extent complemented
fluoroscopic imaging in improving catheter navigation and forming a solid
platform for exploring the electroanatomic details of the target substrate. The
3D mapping systems are still limited as they continue to be static
representations of a dynamic heart without being completely integrated with
fluoroscopy. The field needed a technological solution that could add a dynamic
positioning system that can be successfully incorporated into fluoroscopic
imaging as well as electroanatomic imaging modalities. MediGuide is one such
innovative technology that exploits the geo-positioning system principles. It
employs a transmitter mounted on the X-ray panel that emits an electromagnetic
field within which sensor-equipped diagnostic and ablation catheters are tracked
within prerecorded fluoroscopic images. MediGuide is also integrated with NavX
mapping system and helps in developing better 3D images by field scaling-a
process that reduces field distortions that occur from impedance mapping alone.
In this review, we discuss about the principle of MediGuide technology, the
catheter ablation techniques, and the workflow in the EP lab for different
procedures.
PMID- 24928485
TI - Outflow tract premature ventricular depolarizations after atrial fibrillation
ablation may reflect autonomic influences.
AB - PURPOSE: Autonomic modulation following catheter ablation of atrial fibrillation
may promote the development of catecholamine-sensitive arrhythmias, such as
outflow tract (OT) ventricular premature depolarizations (VPDs). The purpose of
this study was to determine the incidence and prognostic significance of OT VPDs
occurring in patients after atrial fibrillation (AF) ablation. METHODS: We
prospectively examined 53 consecutive patients undergoing wide-area
circumferential antral pulmonary vein (PV) isolation; no patients had evidence of
OT VPDs on 24 h of preprocedural telemetry monitoring. Cases (OT+) had
postprocedure telemetry monitoring with >30 continuous beats or >3/min OT VPDs.
Clinical follow-up included transtelephonic monitoring at 6 weeks, 6 months, and
1 year. RESULTS: The incidence of OT VPDs in this population was 11% (6/53).
There was no difference in AF recurrence at 1 year between those with or without
OT VPDs (17 vs 28%, p = 0.6). There was a strong association with higher
immediate postprocedure heart rate (HR) in OT+ compared to OT- patients (86 vs
76, p = 0.03); this difference persisted at 1 year (79 vs 60, p < 0.01). OT VPDs
resolved in 5/6 of the OT+ patients over the 1-year follow-up. In a multivariable
linear regression model, OT VPDs were associated with higher HR (odds ratio (OR)
1.14 [1.10-1.18], p < 0.001) despite adjustment for medication dose. CONCLUSIONS:
A minority of patients undergoing antral PV isolation develops OT VPDs associated
with a sustained increase in mean heart rate; this effect may result from the
modulation of adjacent autonomic ganglia.
PMID- 24928486
TI - Late-occurring left atrial appendage thrombus after ligation using LARIAT.
PMID- 24928487
TI - Implantable cardioverter-defibrillators in patients with arrhythmogenic right
ventricular cardiomyopathy: the course of electronic parameters, clinical
features, and complications during long-term follow-up.
AB - PURPOSE: Arrhythmogenic right ventricular cardiomyopathy (ARVC) is a progressive
cardiomyopathy characterized by myocardial atrophy and fibro-fatty replacement of
the right ventricle (RV) and ventricular tachyarrhythmias in young patients. Our
aim was to evaluate clinical course and electronic parameters in patients with
implantable cardioverter-defibrillator (ICD) and ARVC, during long-term follow
up. METHODS AND RESULTS: We report on 12 patients with ARVC (mean age 40 +/- 13
years) who were treated with ICD implantation in our center. Although several RV
sites were tested for proper lead positions, the amplitude of R-wave at
implantation was quite low (7.4 +/- 3.0 mV). After a mean follow-up of 91 +/- 28
months, R-wave amplitude significantly decreased to a mean value of 5.4 +/- 2.5
mV (p=0.03). We also found a noticeable, nearly significant increase in pacing
threshold (p=0.052) and a moderate increase in defibrillation impedance (p=0.07).
Six patients (46 %) experienced at least one appropriate ICD therapy; three
patients (23 %) experienced inappropriate ICD shocks secondary to the
supraventricular tachycardia, T-wave oversensing, and electromagnetic
interference. CONCLUSIONS: ICD in patients with ARVC has been demonstrated to be
feasible and safe. In our case series, we found low R-wave amplitudes at
implantation and a significant R-wave decrease during follow-up; a considerable
and nearly significant increase in pacing threshold was also observed. These
findings may be related to the progressive fibro-fatty replacement of RV
myocardium. Multiple sites should be tested in the right ventricle if sensing or
pacing values are not optimal, and all the electronic parameters should be
carefully monitored throughout the entire follow-up.
PMID- 24928488
TI - One size does not fit all: the influence of age at surgery on outcomes following
Norwood operation.
AB - BACKGROUND: Given our large catchment area that often results in later
presentation age, we sought to understand our institutional outcomes for the
Norwood operation in the context of published data. Specifically, we studied
whether operative and late death post-Norwood are dependent on age at operation.
METHODS: Retrospective review of 105 consecutive infants undergoing Norwood (2004
2011) at our institution. Patients were divided into those undergoing Norwood <=
7 days of age (N = 43; 41%) and those undergoing Norwood > 7 days of age (N = 63;
59%). Operative mortality (>=30 days), interstage mortality (between Norwood and
superior bidirectional Glenn), STS-mortality (operative death + in-hospital
death), and late mortality, occurring any time following hospital discharge were
compared among groups. Multivariable factors for mortality at each time-point
were compared using logistic regression models. RESULTS: Underlying diagnosis was
HLHS in 67 (64%) with the remainder (N = 38; 36%) being other single ventricle
variants. Median age at surgery was 8 days (range 1-63 days) and mean weight at
surgery was 3.2 +/- 0.6 kg. Pulmonary blood flow was provided by a right
ventricle-pulmonary artery conduit in 94% (N = 99). Overall operative survival
was 92%, with 73% (N = 66) undergoing bidirectional Glenn. Median age was higher
for operative survivors compared to non-survivors (12 days vs. 5 days; P =
0.036), with operative mortality higher for infants <=7 days at Norwood compared
to infants >7 days at Norwood (14% vs. 3%; P = 0.04). After censoring for in
hospital death, age <= 7 days was also associated with increased risk for late
death (26% vs. 5%; P = 0.005). CONCLUSIONS: In contrast to other institutional
series, infants at our center undergoing Norwood operation at an earlier age have
worse outcomes. Adoption of published practice patterns could lead to different
local outcomes because of intangible center-specific effects, underscoring the
principle that results from one institution may not be generalizable to others.
Targeted center-specific internal review, if possible, should precede externally
recommended changes in practice.
PMID- 24928489
TI - On the left anterior negativity (LAN) in electrophysiological studies of
morphosyntactic agreement: a commentary on "grammatical agreement processing in
reading: ERP findings and future directions" by Molinaro et al., 2014.
PMID- 24928490
TI - Chromate alters root system architecture and activates expression of genes
involved in iron homeostasis and signaling in Arabidopsis thaliana.
AB - Soil contamination by hexavalent chromium [Cr(VI) or chromate] due to
anthropogenic activities has become an increasingly important environmental
problem. To date few studies have been performed to elucidate the signaling
networks involved on adaptive responses to (CrVI) toxicity in plants. In this
work, we report that depending upon its concentration, Cr(VI) alters in different
ways the architecture of the root system in Arabidopsis thaliana seedlings. Low
concentrations of Cr (20-40 uM) promoted primary root growth, while
concentrations higher than 60 uM Cr repressed growth and increased formation of
root hairs, lateral root primordia and adventitious roots. We analyzed global
gene expression changes in seedlings grown in media supplied with 20 or 140 uM
Cr. The level of 731 transcripts was significantly modified in response to Cr
treatment with only five genes common to both Cr concentrations. Interestingly,
23 genes related to iron (Fe) acquisition were up-regulated including IRT1, YSL2,
FRO5, BHLH100, BHLH101 and BHLH039 and the master controllers of Fe deficiency
responses PYE and BTS were specifically activated in pericycle cells. It was also
found that increasing concentration of Cr in the plant correlated with a decrease
in Fe content, but increased both acidification of the rhizosphere and activity
of the ferric chelate reductase. Supply of Fe to Cr-treated Arabidopsis allowed
primary root to resume growth and alleviated toxicity symptoms, indicating that
Fe nutrition is a major target of Cr stress in plants. Our results show that low
Cr levels are beneficial to plants and that toxic Cr concentrations activate a
low-Fe rescue system.
PMID- 24928491
TI - Characterization of five subgroups of the sieve element occlusion gene family in
Glycine max reveals genes encoding non-forisome P-proteins, forisomes and
forisome tails.
AB - P-proteins are structural phloem proteins discussed to be involved in the rapid
sealing of injured sieve elements. P-proteins are found in all dicotyledonous and
some monocotyledonous plants, but additional crystalloid P-proteins, known as
forisomes, have evolved solely in the Fabaceae. Both types are encoded by members
of the sieve element occlusion (SEO) gene family, which comprises seven
phylogenetic subgroups. The Fabaceae-specific subgroup 1 contains genes encoding
forisome subunits in e.g. Medicago truncatula, Vicia faba, Dipteryx panamensis
and Canavalia gladiata whereas basal subgroup 5 encodes P-proteins in Nicotiana
tabacum (tobacco) and Arabidopsis thaliana. The function of remaining subgroups
is still unknown. We chose Glycine max (soybean) as a model to investigate SEO
proteins representing different subgroups in one species. We isolated native P
proteins to determine the SEO protein composition and analyzed the expression
pattern, localization and structure of the G. max SEO proteins representing five
of the subgroups. We found that subgroup 1 GmSEO genes encode forisome subunits,
a member of subgroup 5 encodes a non-forisome P-protein and subgroup 2 GmSEO
genes encode the components of forisome tails, which are present in a restricted
selection of Fabaceaen species. We therefore present the first molecular
characterization of a Fabaceae non-forisome P-protein and the first evidence that
forisome tails are encoded by a phylogenetically-distinct branch of the SEO gene
family.
PMID- 24928493
TI - Osteopontin binds multiple calcium ions with high affinity and independently of
phosphorylation status.
AB - Osteopontin (OPN) is an acidic, intrinsically disordered extracellular matrix
protein with a capacity to modulate biomineralization in vitro and in vivo. The
role of posttranslational modification of osteopontin has been intensively
studied. Phosphorylation of OPN has been demonstrated to play a role in
inhibition of biomineral formation and growth in vitro. Here, we used isothermal
titration calorimetry (ITC) to investigate the ability of OPN to bind the
divalent cations Ca(2+) and Mg(2+), both essential components of inorganic
minerals in vivo. We found, that bovine OPN binds ~10 Ca(2+) ions with an
apparent affinity ~50-fold tighter than Mg(2+), both regardless of OPN
phosphorylation, and with affinities significantly stronger than previously
reported. These results were confirmed using human derived OPN. This implies that
a majority of the acidic residues within OPN must be engaged in calcium
interaction under physiological conditions.
PMID- 24928492
TI - Imaging and quantifying solute transport across periosteum: implications for
muscle-bone crosstalk.
AB - Muscle and bone are known to act as a functional unit and communicate
biochemically during tissue development and maintenance. Muscle-derived factors
(myokines) have been found to affect bone functions in vitro. However, the
transport times of myokines to penetrate into bone, a critical step required for
local muscle-bone crosstalk, have not been quantified in situ or in vivo. In this
study, we investigated the permeability of the periosteum, a major barrier to
muscle-bone crosstalk by tracking and modeling fluorescent tracers that mimic
myokines under confocal microscopy. Periosteal surface boundaries and tracer
penetration within the boundaries were imaged in intact murine tibiae using
reflected light and time-series xz confocal imaging, respectively. Four
fluorescent tracers including sodium fluorescein (376Da) and dextrans (3kDa,
10kDa and 40kDa) were chosen because they represented a wide range of molecular
weights (MW) of myokines. We found that i) murine periosteum was permeable to the
three smaller tracers while the 40kDa could not penetrate beyond 40% of the outer
periosteum within 8h, suggesting that periosteum is semi-permeable with a cut-off
MW of approximately 40kDa, and ii) the characteristic penetration time through
the periosteum (~60MUm thick) increased with tracer MW and fit well with a
relationship tcs=-4.43*10(4)-0.57*MWDa-4*10(4)-8.65*10(8)MWDa-4*10(4), from
which, the characteristic penetration times of various myokines were
extrapolated. To achieve effective muscle-bone crosstalk, likely signaling
candidates should have shorter penetration time than their bioactive time, which
we assumed to be 5 times of the molecule's half-lifetime in the body. Myokines
such as PGE2, IGF-1, IL-15 and FGF-2 were predicted to satisfy this requirement.
In summary, a novel imaging approach was developed and used to investigate the
transport of myokine mimicking-tracers through the periosteum, enabling further
quantitative studies of muscle-bone communication in physiologically normal and
pathological conditions.
PMID- 24928494
TI - Effects of Activin A on the phenotypic properties of human periodontal ligament
cells.
AB - Periodontal ligament (PDL) tissue plays an important role in tooth preservation
by structurally maintaining the connection between the tooth root and the bone.
The mechanisms involved in the healing and regeneration of damaged PDL tissue,
caused by bacterial infection, caries and trauma, have been explored.
Accumulating evidence suggests that Activin A, a member of the transforming
growth factor-beta (TGF-beta) superfamily and a dimer of inhibinbetaa,
contributes to tissue healing through cell proliferation, migration, and
differentiation of various target cells. In bone, Activin A has been shown to
exert an inhibitory effect on osteoblast maturation and mineralization. However,
there have been no reports examining the expression and function of Activin A in
human PDL cells (HPDLCs). Thus, we aimed to investigate the biological effects of
Activin A on HPDLCs. Activin A was observed to be localized in HPDLCs and rat PDL
tissue. When PDL tissue was surgically damaged, Activin A and IL-1beta expression
increased and the two proteins were shown to be co-localized around the lesion.
HPDLCs treated with IL-1beta or TNF-alpha also up-regulated the expression of the
gene encoding inhibinbetaa. Activin A promoted chemotaxis, migration and
proliferation of HPDLCs, and caused an increase in fibroblastic differentiation
of these cells while down-regulating their osteoblastic differentiation. These
osteoblastic inhibitory effects of Activin A, however, were only noted during the
early phase of HPDLC osteoblastic differentiation, with later exposures having no
effect on differentiation. Collectively, our results suggest that Activin A could
be used as a therapeutic agent for healing and regenerating PDL tissue in
response to disease, trauma or surgical reconstruction.
PMID- 24928495
TI - Quantitative relationships between microdamage and cancellous bone strength and
stiffness.
AB - Microscopic tissue damage (microdamage) is an aspect of bone quality associated
with impaired bone mechanical performance. While it is clear that bone tissue
submitted to more severe loading has greater amounts of microdamage (as measured
through staining), how microdamage influences future mechanical performance of
the bone has not been well studied, yet is necessary for understanding the
mechanical consequences of the presence of microdamage. Here we determine how
stained microdamage generated by a single compressive overload affects subsequent
biomechanical performance of cancellous bone. Human vertebral cancellous bone
specimens (n=47) from 23 donors (14 males, 9 females, 64-92years of age) were
submitted to a compressive overload, stained for microdamage, then reloaded in
compression to determine the relationship between the amount of microdamage
caused by the initial load and reductions in mechanical performance during the
reload. Damage volume fraction (DV/BV) caused by the initial overload was related
to reductions in Young's modulus, yield strength, ultimate strength, and yield
strain upon reloading (p<0.05, R(2)=0.18-0.34). The regression models suggest
that, on average, relatively small amounts of microdamage are associated with
large reductions in reload mechanical properties: a 1.50% DV/BV caused by a
compressive overload was associated with an average reduction in Young's modulus
of 41.0+/-3.2% (mean+/-SE), an average reduction in yield strength of 63.1+/-4.5%
and an average reduction in ultimate strength of 52.7+/-4.0%. Specimens loaded
beyond 1.2% (1.2-4.0% apparent strain) demonstrated a single relationship between
reload mechanical properties (Young's modulus, yield strength, and ultimate
strength) and bone volume fraction despite a large range in amounts of
microdamage. Hence, estimates of future mechanical performance of cancellous bone
can be achieved using the bone volume fraction and whether or not a specimen was
previously loaded beyond ultimate strain. The empirical relationships provided in
this study make it possible to estimate the degree of impaired mechanical
performance resulting from an observed amount of stained microdamage.
PMID- 24928497
TI - Increased fracture callus mineralization and strength in cathepsin K knockout
mice.
AB - Cathepsin K (CatK) is a cysteine protease, expressed predominantly in osteoclasts
(OC) which degrades demineralized bone matrix. Novel selective inhibitors of CatK
are currently being developed for the treatment of postmenopausal osteoporosis.
Pharmacological inhibition of CatK reduces OC resorption activity while
preserving bone formation in preclinical models. Disruption of the CatK gene in
mice also results in high bone mass due to impaired bone resorption and elevated
formation. Here, we assessed mid-shaft femoral fracture healing in 8-10week old
CatK knock-out (KO) versus wild type (WT) mice. Fracture healing and callus
formation were determined in vivo weekly via X-ray, and ex vivo at days 14, 18,
28 and 42 post-fracture by radiographic scoring, micro-computed tomography
(MUCT), histomorphometry and terminal mechanical four point bend strength
testing. Radiological evaluation indicated accelerated bone healing and
remodeling for CatK KO animals based on increased total radiographic scores that
included callus opacity and bridging at days 28 and 42 post-fracture. Micro-CT
based total callus volume was similar in CatK KO and WT mice at day 14. Callus
size in CatK KO mice was 25% smaller than that in WT mice at day 18,
statistically significant by day 28 and exhibited significantly higher
mineralized tissue volume and volumetric BMD as compared to WT by day 18 onward.
Osteoclast surface and osteoid surface trended higher in CatK KO calluses at all
time-points and osteoblast number was also significantly increased at day 28.
Increased CatK KO callus mineral density was reflected in significant increases
in peak load and stiffness over WT at day 42 post-fracture. Regression analysis
indicated a positive correlation (r=0.8671; p<0.001) between callus BMC and peak
load indicating normal mineral properties in CatK KO calluses. Taken together,
gene deletion of cathepsin K in mice accelerated callus size resolution,
significantly increased callus mineralized mass, and improved mechanical strength
as compared to wild type mice.
PMID- 24928496
TI - Reduced diaphyseal strength associated with high intracortical vascular porosity
within long bones of children with osteogenesis imperfecta.
AB - Osteogenesis imperfecta is a genetic disorder resulting in bone fragility. The
mechanisms behind this fragility are not well understood. In addition to
characteristic bone mass deficiencies, research suggests that bone material
properties are compromised in individuals with this disorder. However, little
data exists regarding bone properties beyond the microstructural scale in
individuals with this disorder. Specimens were obtained from long bone diaphyses
of nine children with osteogenesis imperfecta during routine osteotomy
procedures. Small rectangular beams, oriented longitudinally and transversely to
the diaphyseal axis, were machined from these specimens and elastic modulus,
yield strength, and maximum strength were measured in three-point bending.
Intracortical vascular porosity, bone volume fraction, osteocyte lacuna density,
and volumetric tissue mineral density were determined by synchrotron micro
computed tomography, and relationships among these mechanical properties and
structural parameters were explored. Modulus and strength were on average 64-68%
lower in the transverse vs. longitudinal beams (P<0.001, linear mixed model).
Vascular porosity ranged between 3 and 42% of total bone volume. Longitudinal
properties were associated negatively with porosity (P<=0.006, linear
regressions). Mechanical properties, however, were not associated with osteocyte
lacuna density or volumetric tissue mineral density (P>=0.167). Bone properties
and structural parameters were not associated significantly with donor age
(P>=0.225, linear mixed models). This study presents novel data regarding bone
material strength in children with osteogenesis imperfecta. Results confirm that
these properties are anisotropic. Elevated vascular porosity was observed in most
specimens, and this parameter was associated with reduced bone material strength.
These results offer insight toward understanding bone fragility and the role of
intracortical porosity on the strength of bone tissue in children with
osteogenesis imperfecta.
PMID- 24928498
TI - Magnetic resonance imaging assessed cortical porosity is highly correlated with
MUCT porosity.
AB - Cortical bone is typically regarded as "MR invisible" with conventional clinical
magnetic resonance imaging (MRI) pulse sequences. However, recent studies have
demonstrated that free water in the microscopic pores of cortical bone has a
short T2* but a relatively long T2, and may be detectable with conventional
clinical spin echo (SE) or fast spin echo (FSE) sequences. In this study we
describe the use of a conventional two-dimensional (2D) FSE sequence to assess
cortical bone microstructure and measure cortical porosity using a clinical 3T
scanner. Twelve cadaveric human cortical bone samples were studied with MRI and
microcomputed tomography (MUCT) (downsampled to the same spatial resolution).
Preliminary results show that FSE-determined porosity is highly correlated
(R(2)=0.83; P<0.0001) with MUCT porosity. Bland-Altman analysis suggested a good
agreement between FSE and MUCT with tight limit of agreement at around 3%. There
is also a small bias of -2% for the FSE data, which suggested that the FSE
approach slightly underestimated MUCT porosity. The results demonstrate that
cortical porosity can be directly assessed using conventional clinical FSE
sequences. The clinical feasibility of this approach was also demonstrated on six
healthy volunteers using 2D FSE sequences as well as 2D ultrashort echo time
(UTE) sequences with a minimal echo time (TE) of 8MUs, which provide high
contrast imaging of cortical bone in vivo.
PMID- 24928500
TI - Emerging from the PAC: studying zebrafish lymphatic development.
AB - Recently the zebrafish has emerged as a promising vertebrate model of lymphatic
vasculature development. The establishment of numerous transgenic lines that
label the lymphatic endothelium in the zebrafish has allowed the fine examination
of the developmental timing and the anatomy of their lymphatic vasculature.
Although many questions remain, studying lymphatic development in the zebrafish
has resulted in the identification and characterization of novel and established
mediators of lymphatic development and lymphangiogenesis. Here, we review the
main stages involved in the development of the lymphatic vasculature in the
zebrafish from its origins in the embryonic veins to the formation of the primary
lymphatic vessels and highlight some of the key molecules necessary for these
stages.
PMID- 24928499
TI - Molecular and cellular mechanisms of lymphatic vascular maturation.
AB - Lymphatic vasculature is necessary for maintaining fluid homeostasis in
vertebrates. During embryogenesis lymphatic endothelial cells originate from the
veins as a homogeneous population. These cells undergo a series of changes at the
morphological and molecular levels to become mature lymphatic vasculature that
consists of lymphatic capillaries, collecting lymphatic vessels and valves. In
this article we summarize our current knowledge about these steps and highlight
some black boxes that require further clarification.
PMID- 24928501
TI - Transurethral excision of prolapsed ureterocele.
AB - INTRODUCTION: A ureterocele is a cystic dilation of the terminal ureter. The
incidence ranges from 1/500 to 1/1,200. Ureteroceles are more commonly diagnosed
in women; 10% are bilateral, and 80% are associated with the upper pole of a
duplicated system. A prolapsed ureterocele is one that extends to or beyond the
urethral meatus, and the incidence is unknown. Managing prolapsed ureterocele in
adult women is not well described in the literature. METHODS: The aim of this
video is to demonstrate the technique of transurethral excision of a prolapsed
ureterocele associated with a normal kidney in an adult woman, which eliminates
the ureterocele while avoiding the morbidity of open surgical excision. RESULTS:
The patient initially underwent transurethral incision of the ureterocele, after
which her obstructive symptoms improved. However, she still complained of a mass
protruding from her urethra. The patient subsequently underwent transurethral
excision of the ureterocele. On the 18-month follow-up, she was voiding without
difficulty and had no residual urethral mass. She is being followed clinically,
and upper urinary tract imaging will be performed to rule out hydronephrosis or
vesicoureteral reflux, as indicated. Pathology revealed urothelial mucosa.
CONCLUSION: Transurethral incision of a prolapsed ureterocele can be attempted as
first-line treatment due to the low morbidity of the procedure. If the patient
still has lower urinary tract symptoms, transurethral excision can successfully
treat a large prolapsed ureterocele.
PMID- 24928502
TI - Mobility impairments and pelvic health disorders in women: the need for
innovative treatment and research.
PMID- 24928503
TI - Treatment-seeking behaviour and social status of women with pelvic organ
prolapse, 4th-degree obstetric tears, and obstetric fistula in western Uganda.
AB - INTRODUCTION AND HYPOTHESIS: This study looks at a trilogy of women's health
issues including severe pelvic organ prolapse, unrepaired 4th degree obstetric
tears and obstetric fistula, all of which can cause significant suffering in the
lives of women and their families. METHODS: Women undergoing surgery for severe
pelvic organ prolapse, unrepaired 4th degree obstetric tears and obstetric
fistulae, were interviewed to assess their perceptions of what caused their
condition, subsequent impact on their social situation and sexual activity, and
whether they had sought treatment previously. RESULTS: One hundred fifty women
participated in the survey, including 69 undergoing surgery for genito-urinary
fistula, 25 with faecal incontinence only (including 24 women with unrepaired 4th
degree obstetric tears and 1 woman with an isolated rectovaginal fistula), and 56
women with severe pelvic organ prolapse. All groups of women were exposed to
abandonment by their families with 42 % of women with genito-urinary fistula, 21
% with unrepaired 4th degree obstetric tear, and 25 % of women with severe pelvic
organ prolapse rejected by their husbands. Most of the women had actively sought
treatment for their condition with no success due to unavailability of treatment
or misinformation. CONCLUSIONS: This study confirms the social stigma associated
with obstetric fistula, however also highlights the social stigma faced by women
suffering with severe pelvic organ prolapse and unrepaired 4th degree obstetric
tears in western Uganda. There is an urgent need for education and training in
obstetric management and pelvic organ prolapse management in such areas of
limited resources.
PMID- 24928504
TI - Norovirus translation requires an interaction between the C Terminus of the
genome-linked viral protein VPg and eukaryotic translation initiation factor 4G.
AB - Viruses have evolved a variety of mechanisms to usurp the host cell translation
machinery to enable translation of the viral genome in the presence of high
levels of cellular mRNAs. Noroviruses, a major cause of gastroenteritis in man,
have evolved a mechanism that relies on the interaction of translation initiation
factors with the virus-encoded VPg protein covalently linked to the 5' end of the
viral RNA. To further characterize this novel mechanism of translation
initiation, we have used proteomics to identify the components of the norovirus
translation initiation factor complex. This approach revealed that VPg binds
directly to the eIF4F complex, with a high affinity interaction occurring between
VPg and eIF4G. Mutational analyses indicated that the C-terminal region of VPg is
important for the VPg-eIF4G interaction; viruses with mutations that alter or
disrupt this interaction are debilitated or non-viable. Our results shed new
light on the unusual mechanisms of protein-directed translation initiation.
PMID- 24928505
TI - Mechanistic insight into the enzymatic reduction of truncated hemoglobin N of
Mycobacterium tuberculosis: role of the CD loop and pre-A motif in electron
cycling.
AB - Many pathogenic microorganisms have evolved hemoglobin-mediated nitric oxide (NO)
detoxification mechanisms, where a globin domain in conjunction with a partner
reductase catalyzes the conversion of toxic NO to innocuous nitrate. The
truncated hemoglobin HbN of Mycobacterium tuberculosis displays a potent NO
dioxygenase activity despite lacking a reductase domain. The mechanism by which
HbN recycles itself during NO dioxygenation and the reductase that participates
in this process are currently unknown. This study demonstrates that the NADH
ferredoxin/flavodoxin system is a fairly efficient partner for electron transfer
to HbN with an observed reduction rate of 6.2 MUM/min(-1), which is nearly 3- and
5-fold faster than reported for Vitreoscilla hemoglobin and myoglobin,
respectively. Structural docking of the HbN with Escherichia coli NADH-flavodoxin
reductase (FdR) together with site-directed mutagenesis revealed that the CD loop
of the HbN forms contacts with the reductase, and that Gly(48) may have a vital
role. The donor to acceptor electron coupling parameters calculated using the
semiempirical pathway method amounts to an average of about 6.4 10(-5) eV, which
is lower than the value obtained for E. coli flavoHb (8.0 10(-4) eV), but still
supports the feasibility of an efficient electron transfer. The deletion of Pre-A
abrogated the heme iron reduction by FdR in the HbN, thus signifying its
involvement during intermolecular interactions of the HbN and FdR. The present
study, thus, unravels a novel role of the CD loop and Pre-A motif in assisting
the interactions of the HbN with the reductase and the electron cycling, which
may be vital for its NO-scavenging function.
PMID- 24928506
TI - Sphingosine, a modulator of human translesion DNA polymerase activity.
AB - Translesion (TLS) DNA polymerases are specialized, error-prone enzymes that
synthesize DNA across bulky, replication-stalling DNA adducts. In so doing, they
facilitate the progression of DNA synthesis and promote cell proliferation. To
potentiate the effect of cancer chemotherapeutic regimens, we sought to identify
inhibitors of TLS DNA polymerases. We screened five libraries of ~ 3000 small
molecules, including one comprising ~ 600 nucleoside analogs, for their effect on
primer extension activity of DNA polymerase eta (Pol eta). We serendipitously
identified sphingosine, a lipid-signaling molecule that robustly stimulates the
activity of Pol eta by ~ 100-fold at low micromolar concentrations but inhibits
it at higher concentrations. This effect is specific to the Y-family DNA
polymerases, Pols eta, kappa, and iota. The addition of a single phosphate group
on sphingosine completely abrogates this effect. Likewise, the inclusion of other
sphingolipids, including ceramide and sphingomyelin to extension reactions does
not elicit this response. Sphingosine increases the rate of correct and incorrect
nucleotide incorporation while having no effect on polymerase processivity.
Endogenous Pol eta activity is modulated similarly as the recombinant enzyme.
Importantly, sphingosine-treated cells exhibit increased lesion bypass activity,
and sphingosine tethered to membrane lipids mimics the effects of free
sphingosine. Our studies have uncovered sphingosine as a modulator of TLS DNA
polymerase activity; this property of sphingosine may be associated with its
known role as a signaling molecule in regulating cell proliferation in response
to cellular stress.
PMID- 24928507
TI - Ror2 receptor mediates Wnt11 ligand signaling and affects convergence and
extension movements in zebrafish.
AB - The receptor-tyrosine kinase Ror2 acts as an alternative receptor or co-receptor
for Wnt5a and mediates Wnt5a-induced convergent extension movements during
embryogenesis in mice and Xenopus as well as the polarity and migration of
several cell types during development. However, little is known about whether
Ror2 function is conserved in other vertebrates or is involved in other non
canonical Wnt ligands in vivo. In this study we demonstrated that overexpression
of dominant-negative ror2 (ror2-TM) mRNA in zebrafish embryos resulted in
convergence and extension defects and incompletely separated eyes, which is
consistent with observations from slb/wnt11 mutants or wnt11 knockdown morphants.
Moreover, the co-injection of ror2-TM mRNA and a wnt11 morpholino or the
coexpression of ror2 and wnt11 in zebrafish embryos synergetically induced more
severe convergence and extension defects. Transplantation studies further
demonstrated that the Ror2 receptor responded to the Wnt11 ligand and regulated
cell migration and cell morphology during gastrulation. DnRor2 inhibited the
action of Wnt11, which was revealed by a decreased percentage of Wnt11-induced
convergence and extension defects. Ror2 physically interacts with Wnt11.
Theintracellular Tyr-647andSer-863 sites ofRor2are essential for mediating the
action of Wnt11. Dishevelled and RhoA act downstream of Wnt11-Ror2 to regulate
convergence and extension movements. Overall, our data suggest an important role
of Ror2 in mediating Wnt11 signaling and in regulating convergence and extension
movements in zebrafish.
PMID- 24928508
TI - Low concentrations of metformin suppress glucose production in hepatocytes
through AMP-activated protein kinase (AMPK).
AB - Metformin is a first-line antidiabetic agent taken by 150 million people across
the world every year, yet its mechanism remains only partially understood and
controversial. It was proposed that suppression of glucose production in
hepatocytes by metformin is AMPK-independent; however, unachievably high
concentrations of metformin were employed in these studies. In the current study,
we find that metformin, via an AMP-activated protein kinase (AMPK)-dependent
mechanism, suppresses glucose production and gluconeogenic gene expression in
primary hepatocytes at concentrations found in the portal vein of animals (60-80
MUM). Metformin also inhibits gluconeogenic gene expression in the liver of mice
administered orally with metformin. Furthermore, the cAMP-PKA pathway negatively
regulates AMPK activity through phosphorylation at Ser-485/497 on the alpha
subunit, which in turn reduces net phosphorylation at Thr-172. Because diabetic
patients often have hyperglucagonemia, AMPKalpha phosphorylation at Ser-485/497
is a therapeutic target to improve metformin efficacy.
PMID- 24928509
TI - An adenosine receptor-Kruppel-like factor 4 protein axis inhibits adipogenesis.
AB - Adipogenesis represents a key process in adipose tissue development and
remodeling, including during obesity. Exploring the regulation of adipogenesis by
extracellular ligands is fundamental to our understanding of this process.
Adenosine, an extracellular nucleoside signaling molecule found in adipose tissue
depots, acts on adenosine receptors. Here we report that, among these receptors,
the A2b adenosine receptor (A2bAR) is highly expressed in adipocyte progenitors.
Activation of the A2bAR potently inhibits differentiation of mouse stromal
vascular cells into adipocytes, whereas A2bAR knockdown stimulates adipogenesis.
The A2bAR inhibits differentiation through a novel signaling cascade involving
sustained expression of Kruppel-like factor 4 (KLF4), a regulator of stem cell
maintenance. Knockdown of KLF4 ablates the ability of the A2bAR to inhibit
differentiation. A2bAR activation also inhibits adipogenesis in a human primary
preadipocyte culture system. We analyzed the A2bARKLF4 axis in adipose tissue of
obese subjects and, intriguingly, found a strong correlation between A2bAR and
KLF4 expression in both subcutaneous and visceral human fat. Hence, our study
implicates the A2bAR as a regulator of adipocyte differentiation and the A2bAR
KLF4 axis as a potentially significant modulator of adipose biology.
PMID- 24928510
TI - Glucose activates TORC2-Gad8 protein via positive regulation of the cAMP/cAMP
dependent protein kinase A (PKA) pathway and negative regulation of the Pmk1
protein-mitogen-activated protein kinase pathway.
AB - The target of rapamycin (TOR) kinase belongs to the highly conserved eukaryotic
family of phosphatidylinositol 3-kinase-related kinases. TOR proteins are found
at the core of two evolutionary conserved complexes, known as TORC1 and TORC2. In
fission yeast, TORC2 is dispensable for proliferation under optimal growth
conditions but is required for starvation and stress responses. TORC2 has been
implicated in a wide variety of functions; however, the signals that regulate
TORC2 activity have so far remained obscure. TORC2 has one known direct
substrate, the AGC kinase Gad8, which is related to AKT in human cells. Gad8 is
phosphorylated by TORC2 at Ser-546 (equivalent to AKT Ser-473), leading to its
activation. Here, we show that glucose is necessary and sufficient to induce Gad8
Ser-546 phosphorylation in vivo and Gad8 kinase activity in vitro. The glucose
signal that activates TORC2-Gad8 is mediated via the cAMP/PKA pathway, a major
glucose-sensing pathway. By contrast, Pmk1, similar to human extracellular signal
regulated kinases and a major stress-induced mitogen activated protein kinase
(MAPK) in fission yeast, inhibits TORC2-dependent Gad8 phosphorylation and
activation. Inhibition of TORC2-Gad8 also occurs in response to ionic or osmotic
stress, in a manner dependent on the cAMP/PKA and Pmk1-MAPK signaling pathways.
Our findings highlight the significance of glucose availability in regulation of
TORC2-Gad8 and indicate a novel link between the cAMP/PKA, Pmk1/MAPK, and TORC2
Gad8 signaling.
PMID- 24928511
TI - Epidermal growth factor receptor (EGFR) signaling regulates global metabolic
pathways in EGFR-mutated lung adenocarcinoma.
AB - Genetic mutations in tumor cells cause several unique metabolic phenotypes that
are critical for cancer cell proliferation. Mutations in the tyrosine kinase
epidermal growth factor receptor (EGFR) induce oncogenic addiction in lung
adenocarcinoma (LAD). However, the linkage between oncogenic mutated EGFR and
cancer cell metabolism has not yet been clearly elucidated. Here we show that
EGFR signaling plays an important role in aerobic glycolysis in EGFR-mutated LAD
cells. EGFR-tyrosine kinase inhibitors (TKIs) decreased lactate production,
glucose consumption, and the glucose-induced extracellular acidification rate
(ECAR), indicating that EGFR signaling maintained aerobic glycolysis in LAD
cells. Metabolomic analysis revealed that metabolites in the glycolysis, pentose
phosphate pathway (PPP), pyrimidine biosynthesis, and redox metabolism were
significantly decreased after treatment of LAD cells with EGFRTKI. On a molecular
basis, the glucose transport carried out by glucose transporter 3 (GLUT3) was
downregulated in TKI-sensitive LAD cells. Moreover, EGFR signaling activated
carbamoyl-phosphate synthetase 2, aspartate transcarbamylase, and dihydroorotase
(CAD), which catalyzes the first step in de novo pyrimidine synthesis. We
conclude that EGFR signaling regulates the global metabolic pathway in EGFR
mutated LAD cells. Our data provide evidence that may link therapeutic response
to the regulation of metabolism, which is an attractive target for the
development of more effective targeted therapies to treat patients with EGFR
mutated LAD.
PMID- 24928512
TI - High mobility group box-1 (HMGB1) participates in the pathogenesis of alcoholic
liver disease (ALD).
AB - Growing clinical and experimental evidence suggests that sterile inflammation
contributes to alcoholic liver disease (ALD). High mobility group box-1 (HMGB1)
is highly induced during liver injury; however, a link between this alarmin and
ALD has not been established. Thus, the aim of this work was to determine whether
HMGB1 contributes to the pathogenesis of ALD. Liver biopsies from patients with
ALD showed a robust increase in HMGB1 expression and translocation, which
correlated with disease stage, compared with healthy explants. Similar findings
were observed in chronic ethanol-fed wild-type (WT) mice. Using primary cell
culture, we validated the ability of hepatocytes from ethanol-fed mice to secrete
a large amount of HMGB1. Secretion was time- and dose-dependent and responsive to
prooxidants and antioxidants. Selective ablation of Hmgb1 in hepatocytes
protected mice from alcohol-induced liver injury due to increased carnitine
palmitoyltransferase-1, phosphorylated 5'AMP-activated protein kinase-alpha, and
phosphorylated peroxisome proliferator-activated receptor-alpha expression along
with elevated LDL plus VLDL export. Native and post-translationally modified
HMGB1 were detected in humans and mice with ALD. In liver and serum from control
mice and in serum from healthy volunteers, the lysine residues within the
peptides containing nuclear localization signals (NLSs) 1 and 2 were non
acetylated, and all cysteine residues were reduced. However, in livers from
ethanol-fed mice, in addition to all thiol/non-acetylated isoforms of HMGB1, we
observed acetylated NLS1 and NLS2, a unique phosphorylation site in serine 35,
and an increase in oxidation of HMGB1 to the disulfide isoform. In serum from
ethanol-fed mice and from patients with ALD, there was disulfide-bonded
hyperacetylated HMGB1, disulfide-bonded non-acetylated HMGB1, and HMGB1
phosphorylated in serine 35. Hepatocytes appeared to be a major source of these
HMGB1 isoforms. Thus, hepatocyte HMGB1 participates in the pathogenesis of ALD
and undergoes post-translational modifications (PTMs) that could condition its
toxic effects.
PMID- 24928513
TI - Uric acid and thiocyanate as competing substrates of lactoperoxidase.
AB - The physiological function of urate is poorly understood. It may act as a danger
signal, an antioxidant, or a substrate for heme peroxidases. Whether it reacts
sufficiently rapidly with lactoperoxidase (LPO) to act as a physiological
substrate remains unknown. LPO is a mammalian peroxidase that plays a key role in
the innate immune defense by oxidizing thiocyanate to the bactericidal and
fungicidal agent hypothiocyanite. We now demonstrate that urate is a good
substrate for bovine LPO. Urate was oxidized by LPO to produce the electrophilic
intermediates dehydrourate and 5-hydroxyisourate, which decayed to allantoin. In
the presence of superoxide, high yields of hydroperoxides were formed by LPO and
urate. Using stopped-flow spectroscopy, we determined rate constants for the
reaction of urate with compound I (k1 = 1.1 * 10(7) M(-1) s(-1)) and compound II
(k2 = 8.5 * 10(3) M(-1) s(-1)). During urate oxidation, LPO was diverted from its
peroxidase cycle because hydrogen peroxide reacted with compound II to give
compound III. At physiologically relevant concentrations, urate competed
effectively with thiocyanate, the main substrate of LPO for oxidation, and
inhibited production of hypothiocyanite. Similarly, hypothiocyanite-dependent
killing of Pseudomonas aeruginosa was inhibited by urate. Allantoin was present
in human saliva and associated with the concentration of LPO. When hydrogen
peroxide was added to saliva, oxidation of urate was dependent on its
concentration and peroxidase activity. Our findings establish urate as a likely
physiological substrate for LPO that will influence host defense and give rise to
reactive electrophilic metabolites.
PMID- 24928514
TI - A novel interaction between the SH2 domain of signaling adaptor protein Nck-1 and
the upstream regulator of the Rho family GTPase Rac1 engulfment and cell motility
1 (ELMO1) promotes Rac1 activation and cell motility.
AB - Nck family proteins function as adaptors to couple tyrosine phosphorylation
signals to actin cytoskeleton reorganization. Several lines of evidence indicate
that Nck family proteins involve in regulating the activity of Rho family
GTPases. In the present study, we characterized a novel interaction between Nck-1
with engulfment and cell motility 1 (ELMO1). GST pull-down and co
immunoprecipitation assay demonstrated that the Nck-1-ELMO1 interaction is
mediated by the SH2 domain of Nck-1 and the phosphotyrosine residues at position
18, 216, 395, and 511 of ELMO1. A R308K mutant of Nck-1 (in which the SH2 domain
was inactive), or a 4YF mutant of ELMO1 lacking these four phosphotyrosine
residues, diminished Nck-1-ELMO1 interaction. Conversely, tyrosine phosphatase
inhibitor treatment and overexpression of Src family kinase Hck significantly
enhanced Nck-1-ELMO1 interaction. Moreover, wild type Nck-1, but not R308K
mutant, significantly augmented the interaction between ELMO1 and constitutively
active RhoG (RhoG(V12A)), thus promoted Rac1 activation and cell motility. Taken
together, the present study characterized a novel Nck-1-ELMO1 interaction and
defined a new role for Nck-1 in regulating Rac1 activity.
PMID- 24928515
TI - Preconditioning stimuli induce autophagy via sphingosine kinase 2 in mouse
cortical neurons.
AB - Sphingosine kinase 2 (SPK2) and autophagy are both involved in brain
preconditioning, but whether preconditioning-induced SPK2 up-regulation and
autophagy activation are linked mechanistically remains to be elucidated. In this
study, we used in vitro and in vivo models to explore the role of SPK2-mediated
autophagy in isoflurane and hypoxic preconditioning. In primary mouse cortical
neurons, both isoflurane and hypoxic preconditioning induced autophagy.
Isoflurane and hypoxic preconditioning protected against subsequent oxygen
glucose deprivation or glutamate injury, whereas pretreatment with autophagy
inhibitors (3-methyladenine or KU55933) abolished preconditioning-induced
tolerance. Pretreatment with SPK2 inhibitors (ABC294640 and SKI-II) or SPK2
knockdown prevented preconditioning-induced autophagy. Isoflurane also induced
autophagy in mouse in vivo as shown by Western blots for LC3 and p62, LC3
immunostaining, and electron microscopy. Isoflurane-induced autophagy in mice
lacking the SPK1 isoform (SPK1(-/-)), but not in SPK2(-/-)mice. Sphingosine 1
phosphate and the sphingosine 1-phosphate receptor agonist FTY720 did not protect
against oxygen glucose deprivation in cultured neurons and did not alter the
expression of LC3 and p62, suggesting that SPK2-mediated autophagy and
protections are not S1P-dependent. Beclin 1 knockdown abolished preconditioning
induced autophagy, and SPK2 inhibitors abolished isoflurane-induced disruption of
the Beclin 1/Bcl-2 association. These results strongly indicate that autophagy is
involved in isoflurane preconditioning both in vivo and in vitro and that SPK2
contributes to preconditioning-induced autophagy, possibly by disrupting the
Beclin 1/Bcl-2 interaction.
PMID- 24928516
TI - Real-time measurements of amino acid and protein hydroperoxides using coumarin
boronic acid.
AB - Hydroperoxides of amino acid and amino acid residues (tyrosine, cysteine,
tryptophan, and histidine) in proteins are formed during oxidative modification
induced by reactive oxygen species. Amino acid hydroperoxides are unstable
intermediates that can further propagate oxidative damage in proteins. The
existing assays (oxidation of ferrous cation and iodometric assays) cannot be
used in real-time measurements. In this study, we show that the profluorescent
coumarin boronic acid (CBA) probe reacts with amino acid and protein
hydroperoxides to form the corresponding fluorescent product, 7-hydroxycoumarin.
7-Hydroxycoumarin formation was catalase-independent. Based on this observation,
we have developed a fluorometric, real-time assay that is adapted to a multiwell
plate format. This is the first report showing real-time monitoring of amino acid
and protein hydroperoxides using the CBA-based assay. This approach was used to
detect protein hydroperoxides in cell lysates obtained from macrophages exposed
to visible light and photosensitizer (rose bengal). We also measured the rate
constants for the reaction between amino acid hydroperoxides (tyrosyl,
tryptophan, and histidine hydroperoxides) and CBA, and these values (7-23 M(-1)
s(-1)) were significantly higher than that measured for H2O2 (1.5 M(-1) s(-1)).
Using the CBA-based competition kinetics approach, the rate constants for amino
acid hydroperoxides with ebselen, a glutathione peroxidase mimic, were also
determined, and the values were within the range of 1.1-1.5 * 10(3) M(-1) s(-1).
Both ebselen and boronates may be used as small molecule scavengers of amino acid
and protein hydroperoxides. Here we also show formation of tryptophan
hydroperoxide from tryptophan exposed to co-generated fluxes of nitric oxide and
superoxide. This observation reveals a new mechanism for amino acid and protein
hydroperoxide formation in biological systems.
PMID- 24928517
TI - Biochemical and spatial coincidence in the provisional Ser/Thr protein kinase
interaction network of Mycobacterium tuberculosis.
AB - Many Gram-positive bacteria coordinate cellular processes by signaling through
Ser/Thr protein kinases (STPKs), but the architecture of these phosphosignaling
cascades is unknown. To investigate the network structure of a prokaryotic STPK
system, we comprehensively explored the pattern of signal transduction in the
Mycobacterium tuberculosis Ser/Thr kinome. Autophosphorylation is the dominant
mode of STPK activation, but the 11 M. tuberculosis STPKs also show a specific
pattern of efficient cross-phosphorylation in vitro. The biochemical specificity
intrinsic to each kinase domain was used to map the provisional signaling
network, revealing a three-layer architecture that includes master regulators,
signal transducers, and terminal substrates. Fluorescence microscopy revealed
that the STPKs are specifically localized in the cell. Master STPKs are
concentrated at the same subcellular sites as their substrates, providing
additional support for the biochemically defined network. Together, these studies
imply a branched functional architecture of the M. tuberculosis Ser/Thr kinome
that could enable horizontal signal spreading. This systems-level approach
provides a biochemical and spatial framework for understanding Ser/Thr phospho
signaling in M. tuberculosis, which differs fundamentally from previously defined
linear histidine kinase cascades.
PMID- 24928518
TI - [Percutaneous titanium implants for bone conduction hearing aids: experience with
283 cases].
AB - BACKGROUND: By virtue of direct bone conduction, percutaneous bone-anchored
hearing implants offer a high level of wearing comfort, as well as audiologically
superior signal transmission due to less dampening. Over the years, titanium
implants have been optimized and the surgical technique developed into a
minimally invasive intervention without soft tissue reduction. This study aims to
investigate the success rates of the various percutaneous implant systems.
MATERIALS AND METHODS: This retrospective study includes 191 patients who
received a total of 283 percutaneous titanium implants for bone conduction
hearing systems during the period from 01.01.1989 until 31.12.2013. Patient age
ranged from 2 to 81 years (mean 36 years). The mean follow-up duration was 5.2
years. RESULTS: The overall osseointegration success rate was 92.6% and ranged
from 90.5 to 100% for the various implant systems (p = 0.6). For children and
adolescents, the success rate was 86.8%, compared to 95.2% in adults (p = 0.023).
Classical soft tissue reduction was received by 185 patients, mainly via the
dermatome technique. The linear incision technique was used in 3.8% of cases and
the technique without soft tissue reduction in 3.7% of cases. Revision surgery
for soft tissue problems was performed in 4.2% of cases. CONCLUSION: Success
rates in adults were significantly higher than those in children and adolescents.
Although success rates improved with the development of new systems, this did not
reach statistical significance. Minimally invasive surgery without soft tissue
reduction is considered state of the art.
PMID- 24928519
TI - GSK3-like kinases positively modulate abscisic acid signaling through
phosphorylating subgroup III SnRK2s in Arabidopsis.
AB - Arabidopsis glycogen synthase kinase 3 (GSK3)-like kinases have versatile
functions in plant development and in responding to abiotic stresses. Although
physiological evidence suggested a potential role of GSK3-like kinases in
abscisic acid (ABA) signaling, the underlying molecular mechanism was largely
unknown. Here we identified members of Snf1-related kinase 2s (SnRK2s), SnRK2.2
and SnRK2.3, that can interact with and be phosphorylated by a GSK3-like kinase,
brassinosteroid insensitive 2 (BIN2). bin2-3 bil1 bil2, a loss-of-function mutant
of BIN2 and its two closest homologs, BIN2 like 1 (BIL1) and BIN2 like 2 (BIL2),
was hyposensitive to ABA in primary root inhibition, ABA-responsive gene
expression, and phosphorylating ABA Response Element Binding Factor (ABF) 2
fragment by in-gel kinase assays, whereas bin2-1, a gain-of-function mutation of
BIN2, was hypersensitive to ABA, suggesting that these GSK3-like kinases function
as positive regulators in ABA signaling. Furthermore, BIN2 phosphorylated SnRK2.3
on T180, and SnRK2.3(T180A) had decreased kinase activity in both
autophosphorylation and phosphorylating ABFs. Bikinin, a GSK3 kinase inhibitor,
inhibited the SnRK2.3 kinase activity and its T180 phosphorylation in vivo. Our
genetic analysis further demonstrated that BIN2 regulates ABA signaling
downstream of the PYRABACTIN RESISTANCE1/PYR1-LIKE/REGULATORY COMPONENTS OF ABA
RECEPTORS receptors and clade A protein phosphatase 2C but relies on SnRK2.2 and
SnRK2.3. These findings provide significant insight into the modulation of ABA
signaling by Arabidopsis GSK3-like kinases.
PMID- 24928520
TI - Chem-seq permits identification of genomic targets of drugs against androgen
receptor regulation selected by functional phenotypic screens.
AB - Understanding the mechanisms by which compounds discovered using cell-based
phenotypic screening strategies might exert their effects would be highly
augmented by new approaches exploring their potential interactions with the
genome. For example, altered androgen receptor (AR) transcriptional programs,
including castration resistance and subsequent chromosomal translocations, play
key roles in prostate cancer pathological progression, making the quest for
identification of new therapeutic agents and an understanding of their actions a
continued priority. Here we report an approach that has permitted us to uncover
the sites and mechanisms of action of a drug, referred to as "SD70," initially
identified by phenotypic screening for inhibitors of ligand and genotoxic stress
induced translocations in prostate cancer cells. Based on synthesis of a
derivatized form of SD70 that permits its application for a ChIP-sequencing-like
approach, referred to as "Chem-seq," we were next able to efficiently map the
genome-wide binding locations of this small molecule, revealing that it largely
colocalized with AR on regulatory enhancers. Based on these observations, we
performed the appropriate global analyses to ascertain that SD70 inhibits the
androgen-dependent AR program, and prostate cancer cell growth, acting, at least
in part, by functionally inhibiting the Jumonji domain-containing demethylase,
KDM4C. Global location of candidate drugs represents a powerful strategy for new
drug development by mapping genome-wide location of small molecules, a powerful
adjunct to contemporary drug development strategies.
PMID- 24928521
TI - Functional deficiency of NBN, the Nijmegen breakage syndrome protein, in a
p.R215W mutant breast cancer cell line.
AB - BACKGROUND: Mutations in NBN, the gene for Nijmegen Breakage Syndrome (NBS), are
thought to predispose women to developing breast cancer, but a breast cancer cell
line containing mutations in NBN has not yet been described. The p.R215W missense
mutation occurs at sub-polymorphic frequencies in several populations. We aimed
to investigate its functional impact in breast cancer cells from a carrier of
this NBN mutation. METHODS: Breast cancer cell lines were screened by
immunoblotting for NBN protein levels, and the NBN coding region was sequenced
for mutation analysis. Radiosensitivity assays and functional studies were
performed through immunocytochemistry and immunoblotting, and flow cytometry was
employed to assess cell cycle progression. Impedance measurements were used to
study the consequences of PARP1 inhibition. Statistical comparisons between cell
lines were performed using t-tests. RESULTS: HCC1395 breast cancer cells
exhibited reduced NBN protein levels. Direct sequencing identified the NBN
p.R215W mutation in the hemizygous state, in addition to a truncation in BRCA1.
Mutations in both genes were already present in the heterozygous state in the
patient's germline. HCC1395 cells were highly radiosensitive, susceptible to
apoptosis and were deficient in the formation of NBN foci. There was also
evidence for some impairment in the formation of gammaH2AX, MDC1, and 53BP1 foci
after irradiation; these foci appeared smaller and irregular compared with repair
foci in wild-type cells, although ATM signalling was largely unaffected. In line
with their deficiency in NBN and BRCA1, HCC1395 cells were particularly sensitive
to PARP1 inhibition. CONCLUSION: Our results indicate that the p.R215W mutation
in the HCC1395 breast cancer cell line impairs NBN function, making this cell
line a potentially useful cellular model for studying defective NBN protein
within a mutant BRCA1 background.
PMID- 24928522
TI - Small lymphocytic lymphoma presenting as bulky renal incidentaloma.
PMID- 24928523
TI - Combination of bortezomib, thalidomide, and dexamethasone (VTD) as a
consolidation therapy after autologous stem cell transplantation for symptomatic
multiple myeloma in Japanese patients.
AB - Consolidation therapy for patients with multiple myeloma (MM) has been widely
adopted to improve treatment response following autologous stem cell
transplantation. In this study, we retrospectively analyzed the safety and
efficacy of combination regimen of bortezomib, thalidomide, and dexamethasone
(VTD) as consolidation therapy in 24 Japanese patients with newly diagnosed MM.
VTD consisted of bortezomib at a dose of 1.3 mg/m(2) and dexamethasone at a dose
of 40 mg/day on days 1, 8, 15, and 22 of a 35-day cycle, with daily oral
thalidomide at a dose of 100 mg/day. Grade 3-4 neutropenia and thrombocytopenia
were documented in four and three patients (17 and 13 %), respectively, but drug
dose reduction due to cytopenia was not required in any case. Peripheral
neuropathy was common (63 %), but severe grade 3-4 peripheral neuropathy was not
observed. Very good partial response or better response (>=VGPR) rates before and
after consolidation therapy were 54 and 79 %, respectively. Patients had a
significant probability of improving from =VGPR after consolidation therapy (p = 0.041). The VTD regimen may be safe and
effective as a consolidation therapy in the treatment of MM in Japanese
population.
PMID- 24928524
TI - Prenatal MRI evaluation of limb-body wall complex.
AB - BACKGROUND: The sonographic (US) features of limb-body wall complex have been
well documented; however the literature regarding the findings on MRI in limb
body wall complex is scant. OBJECTIVE: To characterize the prenatal MRI features
of limb-body wall complex. MATERIALS AND METHODS: We performed a retrospective
review of all MRI scans of fetuses diagnosed with limb-body wall complex at our
institution from 2001 to 2011. Fetuses without correlating US scans or follow-up
information were excluded. Three pediatric radiologists blinded to the specific
US findings reviewed the prenatal MRIs. Images were evaluated for the organ
location and attachment, the body part affected, characterization of the body
wall defect, and spinal, limb and umbilical cord abnormalities. RESULTS: Ten
subjects met inclusion criteria. MRI was able to detect and characterize the body
part affected and associated abnormalities. All fetuses had ventral wall defects,
a small thorax and herniated liver and bowel. The kidneys were extracorporeal in
three cases. The extruded organs were attached to the placenta or the uterine
wall in all cases. Abnormal spinal curvatures of various degrees of severity were
present in all cases. Eight cases had a short, uncoiled cord. Limb anomalies were
present in 6 of the 10 cases. CONCLUSION: We illustrate the common fetal MRI
findings of limb-body wall complex. The prenatal diagnosis of limb-body wall
complex and the differentiation of this defect from treatable abdominal wall
defects are crucial to providing appropriate guidance for patient counseling and
management.
PMID- 24928525
TI - Fat quantification and T2 measurement.
PMID- 24928526
TI - Tamoxifen through GPER upregulates aromatase expression: a novel mechanism
sustaining tamoxifen-resistant breast cancer cell growth.
AB - Tamoxifen resistance is a major clinical challenge in breast cancer treatment.
Aromatase inhibitors are effective in women who progressed or recurred on
tamoxifen, suggesting a role of local estrogen production by aromatase in driving
tamoxifen-resistant phenotype. However, the link between aromatase activity and
tamoxifen resistance has not yet been reported. We investigated whether long-term
tamoxifen exposure may affect aromatase activity and/or expression, which may
then sustain tamoxifen-resistant breast cancer cell growth. We employed MCF-7
breast cancer cells, tamoxifen-resistant MCF-7 cells (MCF-7 TR1 and TR2), SKBR-3
breast cancer cells, cancer-associated fibroblasts (CAFs1 and CAFs2). We used
tritiated-water release assay, realtime-RT-PCR, and immunoblotting analysis for
evaluating aromatase activity and expression; anchorage-independent assays for
growth; reporter-gene, electrophoretic-mobility-shift, and chromatin
immunoprecipitation assays for promoter activity studies. We demonstrated an
increased aromatase activity and expression, which supports proliferation in
tamoxifen-resistant breast cancer cells. This is mediated by the G-protein
coupled receptor GPR30/GPER, since knocking-down GPER expression or treatment
with a GPER antagonist reversed the enhanced aromatase levels induced by long
term tamoxifen exposure. The molecular mechanism was investigated in ER-negative,
GPER/aromatase-positive SKBR3 cells, in which tamoxifen acts as a GPER agonist.
Tamoxifen treatment increased aromatase promoter activity through an enhanced
recruitment of c-fos/c-jun complex to AP-1 responsive elements located within the
promoter region. As tamoxifen via GPER induced aromatase expression also in CAFs,
this pathway may be involved in promoting aggressive behavior of breast tumors in
response to tamoxifen treatment. Blocking estrogen production and/or GPER
signaling activation may represent a valid option to overcome tamoxifen
resistance in breast cancers.
PMID- 24928527
TI - Effect of multifocality and multicentricity on outcome in early stage breast
cancer: a systematic review and meta-analysis.
AB - Women with multifocal or multicentric breast tumors (multifocality henceforth)
have been reported to have greater probability of nodal metastasis and relapse
and worse survival than women with unifocal tumors. However, these associations
have been inconsistent and multifocality is not taken into account by staging
guidelines and prognostic models. A systematic review of electronic databases
identified publications exploring the association between multifocality and
overall survival (OS), disease-free survival (DFS), disease-specific survival
(DSS), and loco-regional relapse (LRR). The hazard ratios (HRs) for OS and DFS
for multifocal compared to unifocal tumors were extracted from multivariable
analyses and included in a meta-analysis. For studies not reporting multivariable
analyses, odds ratios (OR) were estimated from Kaplan-Meier curves for all
endpoints at 5 and 10 years. Twenty-two studies comprising 67,557 women were
included. Multifocality was reported in 9.5 % of patients. Classical prognostic
factors were well balanced between unifocal and multifocal populations. In
multivariable analyses, multifocality was associated with significantly worse OS
(HR 1.65; P = 0.02), and a non-significant association with worse DFS (HR 1.96; P
= 0.07). In univariable analyses, multifocality was associated with worse OS,
DFS, DSS, and LRR at 5 years (OR 1.39, P = 0.02; OR 1.52, P = 0.02; OR 1.56, P =
0.03; and OR 3.23, P = 0.02, respectively). Similar estimates were observed at 10
years, but statistical significance was only reached for DSS and LRR.
Mutifocality appears to be associated with a worse prognosis, however,
substantial inter-study heterogeneity limits the precise determination of
increased risk. Further validation of the independent prognostic impact of
multifocality is warranted.
PMID- 24928528
TI - Expression profiles uncover the relationship between erythropoietin and cell
proliferation in rat hepatocytes after a partial hepatectomy.
AB - Erythropoietin (EPO) has a beneficial effect on hepatic cell proliferation during
liver regeneration. However, the underlying mechanism has not yet been
elucidated. To uncover the proliferation response of EPO in rat liver
regeneration after partial hepatectomy (PH) at the cellular level, hepatocytes
(HCs) were isolated using Percoll density gradient centrifugation. The genes of
the EPO-mediated signaling pathway and the target genes of the transcription
factor (TF) in the pathway were identified in a pathway and TF database search.
Their expression profiles were then detected using Rat Genome 230 2.0 Microarray.
The results indicated that the EPO-mediated signaling pathway is involved in 19
paths and that 124 genes participate, of which 32 showed significant changes and
could be identified as liver regeneration-related genes. In addition, 443 targets
regulated by the TFs of the pathway and 60 genes associated with cell
proliferation were contained in the array. Subsequently, the synergetic effect of
these genes in liver regeneration was analyzed using the E(t) mathematical model
based on their expression profiles. The results demonstrated that the E(t) values
of paths 3, 8, 12 and 14-17 were significantly strengthened in the progressing
phase of liver regeneration through the RAS/MEK/ERK or PI3K/AkappaT pathways. The
synergetic effect of the target genes, in parallel with target-related cell
proliferation, was also enhanced 12-72 h after PH, suggesting a potential
positive effect of EPO on HC proliferation during rat liver regeneration. These
data imply that the EPO receptor may allow EPO to promote HC proliferation
through paths 3, 8, 12 and 14-17, mediating the RAS/MEK/ERK and PI3K/AkappaT
pathways in rat liver regeneration after PH.
PMID- 24928529
TI - [Morbidity and mortality of surgically treated proximal humerus fractures].
AB - BACKGROUND: The aim of the present study is to analyse the factors associated
with mortality and the capacity to perform daily life activities (DLA) in
patients with surgically treated proximal humeral fractures. METHODS: A
retrospective study was conducted on 94 patients with a surgically treated
proximal humeral fracture, with a mean follow-up of 8 years (2 - 12 years). A
correlation analysis was performed to determine the relationship between the type
of fracture, surgical technique, comorbidities and mortality and DLA. The Student
t test was used for statistical analysis. RESULTS: A total of 72 patients were
identified, 18.6% of them died during follow-up, all diagnosed with some
comorbidity. There was no correlation between mortality, type of fracture or the
technique used. Most of the patients (85.4%) had comorbidities, and 79.5% were
completely independent for DLA. Although there was no relationship with the type
of fracture, there was a significant reduction in the performing of DLA in
patients treated with hemiarthroplasty, and in patients with neurological
disorders. CONCLUSIONS: There was a mortality of 18.6% among patients with
surgically treated proximal humerus fractures. The majority of surgically treated
patients were fully independent for DLA at long-term follow-up.
PMID- 24928534
TI - The Impact of Technical Assistance and Implementation Support on Program Capacity
to Deliver Integrated Services.
AB - This study assessed gains that New York State mental health and addiction
outpatient clinics achieved in their capacity to provide integrated mental health
and substance abuse services for persons with co-occurring disorders. The
investigators used two Dual Diagnosis Capability (DDC) indices-one for Addiction
Treatment (DDCAT) and one for Mental Health Treatment (DDCMHT)-to measure
integration capability at baseline (n = 603) and at follow-up (n = 150), an
average of 2 years post-baseline, during which time programs received technical
assistance and implementation support. At baseline, the average program score was
2.68, below the "Capable" level (3.0 on a 5-point scale). At follow-up, the
average score was 3.04, and the percent of programs rated Capable more than
doubled (from 22 to 52%). Programs with lower baseline scores and those with more
time to follow-up (2-3 years) had the greatest increases, but 12 to 18 months
were sufficient to realize sizeable and significant improvements.
PMID- 24928535
TI - The inhibition of constitutive androstane receptor-mediated pathway enhances the
effects of anticancer agents in ovarian cancer cells.
AB - BACKGROUND: Ovarian cancer is commonly treated with anticancer agents; however,
many tumors become resistant. Resistance is regulated, in part, by P
glycoprotein, which is encoded by the gene multiple drug resistance 1 (MDR1) and
functions as a transmembrane efflux pump for the elimination of anticancer
agents. Constitutive androstane receptor (CAR) is a nuclear receptor that
regulates drug metabolism through control of MDR1 and other genes. PURPOSE: We
examined whether the inhibition of CAR-mediated pathway could influence the
cytotoxicity of three anticancer drugs, cisplatin, paclitaxel, and arsenic
trioxide, in ovarian cancer cells. RESULTS: We observed that the cell
proliferation of several ovarian cell lines expressing CAR significantly
increased when CITCO was combined with anticancer agents compared with any
anticancer agent alone. The up-regulation of MDR1 and UGT1A1 by anticancer agents
was further enhanced in the presence of CITCO. We confirmed that combining CITCO
with anticancer agents induced significantly lower levels of apoptosis than those
achieved with any single anticancer drug. CAR down-regulation by RNA interference
caused a significant increase in cell growth inhibition and enhancement of
apoptosis in the presence of anticancer agents. Combination of CITCO with any
anticancer agents significantly enhanced CAR-mediated transcription compared with
any anticancer agents alone and CAR down-regulation completely inhibited the
transcription in the presence of CITCO and/or anticancer agents. CONCLUSION:
Inhibition of CAR pathway could be a novel therapeutic approach for the
augmentation of sensitivity to anticancer agents, or to overcome resistance, in
the treatment of ovarian cancer.
PMID- 24928536
TI - Conserved regions of the DMD 3' UTR regulate translation and mRNA abundance in
cultured myotubes.
AB - Duchenne muscular dystrophy (DMD), a severe muscle-wasting disease, is caused by
mutations in the DMD gene, which encodes for the protein dystrophin. Its
regulation is of therapeutic interest as even small changes in expression of
functional dystrophin can significantly impact the severity of DMD. While tissue
specific distribution and transcriptional regulation of several DMD mRNA isoforms
has been well characterized, the post-transcriptional regulation of dystrophin
synthesis is not well understood. Here, we utilize qRTPCR and a quantitative dual
luciferase reporter assay to examine the effects of isoform specific DMD 5' UTRs
and the highly conserved DMD 3' UTR on mRNA abundance and translational control
of gene expression in C2C12 cells. The 5' UTRs were shown to initiate translation
with low efficiency in both myoblasts and myotubes. Whereas, two large highly
conserved elements in the 3' UTR, which overlap the previously described Lemaire
A and D regions, increase mRNA levels and enhance translation upon
differentiation of myoblasts into myotubes. The results presented here implicate
an important role for DMD UTRs in dystrophin expression and delineate the cis
acting elements required for the myotube-specific regulation of steady-state mRNA
levels and translational enhancer activity found in the DMD 3' UTR.
PMID- 24928537
TI - 197th ENMC international workshop: Neuromuscular disorders of mitochondrial
fusion and fission - OPA1 and MFN2 molecular mechanisms and therapeutic
strategies: 26-28 April 2013, Naarden, The Netherlands.
PMID- 24928538
TI - Purification, characterization, and crystallization of Crocodylus siamensis
hemoglobin.
AB - Crocodylus siamensis hemoglobin was purified by a size exclusion chromatography,
Sephacryl S-100 with buffer containing dithiothreitol. The purified Hb was
dissociated to be two forms (alpha chain and beta chain) which observed by SDS
PAGE, indicated that the C. siamensis Hb was an unpolymerized form. The
unpolymerized Hb (composed of two alpha chains and two beta chains) showed high
oxygen affinity at 3.13 mmHg (P(50)) and 1.96 (n value), and a small Bohr effect
(deltaH(+) = -0.29) at a pH of 6.9-8.4. Adenosine triphosphate did not affect the
oxygenation properties, whereas bicarbonate ions strongly depressed oxygen
affinity. Crude C. siamensis Hb solutions were showed high O(2) affinity at P(50)
of 2.5 mmHg which may assure efficient utilization of the lung O(2) reserve
during breath holding and diving. The purified Hbs were changed to
cyanmethemoglobin forms prior crystallization. Rod- and plate-shaped crystals
were obtained by the sitting-drop vapor-diffusion method at 5 degrees C using
equal volumes of protein solution (37 mg/ml) and reservoir [10-13 % (w/v) PEG
4000, with 0.1 M Tris buffer in present of 0.2 M MgCl(2).6H(2)O] solution at a pH
of 7.0-8.5.
PMID- 24928539
TI - Loop drainage of cutaneous abscesses using a modified sterile glove: a promising
technique.
AB - BACKGROUND: Cutaneous abscesses are a common clinical condition treated
effectively with incision and drainage. OBJECTIVE: Placement of subcutaneous loop
drains to facilitate healing of these infections has been described, but has yet
to gain widespread adoption in clinical practice. DISCUSSION: This article
includes instructions for how to perform this technique using materials available
in the emergency setting, as well as a discussion of the potential advantages and
disadvantages of this method. CONCLUSIONS: This technique of abscess management
is effective and easy to perform. It offers potential benefits, such as decreased
pain from packing material, no need for packing changes, and decreased need for
follow-up visits.
PMID- 24928540
TI - Patterns of injury and management of children with pelvic fractures at a non
trauma center.
AB - BACKGROUND: Pelvic injuries in young children are rare, and it has been difficult
to establish clinical guidelines to assist providers in managing blunt pelvic
trauma, especially in non-Level 1 trauma centers. OBJECTIVE: Our aim was to
describe the relationship among clinical findings, mechanism of injury, and the
radiographic resources utilized in children with pelvic fractures presenting to a
non-Level 1 trauma center. METHODS: A retrospective review of patients with a
pelvic fracture treated in two urban pediatric Level 3 emergency departments was
performed. RESULTS: Between 2001 and 2010, a total of 208 patients were
identified. Avulsion/iliac wing fractures were the most common fractures (58.7%),
and sports-related injuries were the most common mechanism of injury (50.0%).
Children with sports-related injuries were more likely to sustain an avulsion
fracture (p<0.001), less likely to have a computed tomography scan obtained in
the emergency department (p<0.001), and less likely to have an associated injury
(p<0.001) than other children. Children struck by a motor vehicle (p<0.001) or
involved in a motor vehicle accident (p<0.001) were more likely to receive a
computed tomography scan (p<0.001) and have associated head and extremity
injuries (p<0.001). Mechanism of injury was associated with abnormal computed
tomography scans. Nearly all patients were treated nonoperatively (98.1%) and no
deaths were reported in this study. CONCLUSIONS: Patterns of injury, based on
mechanism of injury, have been reported to assist the assessment and management
of children with minor pelvic injuries.
PMID- 24928541
TI - Roosevelt-induced pneumonia.
PMID- 24928542
TI - Perforated appendicitis diagnosed at the bedside.
PMID- 24928543
TI - Emergency department management and follow-up of children with bicycle spoke
injuries.
AB - BACKGROUND: Evidence for a standard x-ray study and cast immobilization in
emergency department (ED) management and follow-up of children with bicycle spoke
injury (BSI) is absent. OBJECTIVE: To describe the injury pattern and outpatient
follow-up and care of ED patients with BSI. In addition, patient characteristics
predicting the presence of a fracture and long-term follow-up were assessed.
METHODS: This was a retrospective study including BSI patients < 9 years of age.
Kruskal-Wallis test was used to compare groups with a fracture, soft tissue
injury, and mild skin abrasion. Multivariable logistic regression analysis was
used to identify independent predictors of a fracture and long-term outpatient
follow-up. RESULTS: Twenty-three percent of 141 included patients had a fracture,
with a median (interquartile range) follow-up of 27 (23-40) days. For soft tissue
injury and mild abrasions this was 9 (6-14) and 7 (5-9) days, respectively (p <
0.001). No clinical variables could predict a fracture. Fifty-six (40%) patients
required no further care after the first outpatient visit at ~1 week. Triage
category yellow and swelling were independent predictors for more than one
outpatient visit, besides presence of fracture. Corrected odds ratios (95%
confidence interval) were 2.42 (0.99-5.88) and 4.76 (1.38-16.39), respectively.
Only 12% of 141 patients had none of these predictors at ED presentation.
CONCLUSIONS: A quarter of ED patients with BSI have a fracture with no clinical
signs that could predict the presence of a fracture, justifying a standard x-ray
study in ED management. Only 12% of ED patients with BSI have no fracture and no
signs that predict long-term follow-up. In this group, further studies are
warranted to investigate the benefit of cast immobilization for fractures and
soft tissue injury.
PMID- 24928544
TI - Left main coronary artery dissection in pediatric sport-related chest trauma.
AB - BACKGROUND: Traumatic coronary artery dissection (CAD) after blunt chest trauma
(BCT) is extremely rare, particularly in children. Among coronary dissections,
left main coronary artery (LMCA) dissection is the least common, with only two
pediatric cases reported previously. Manifestations of coronary dissections can
range from ST segment changes to sudden death. However, these manifestations are
not specific and can be present with other cardiac injuries. To our knowledge we
present the first pediatric case of traumatic LMCA dissection after sport-related
BCT that was treated successfully with coronary stenting. CASE REPORT: A 14-year
old child sustained BCT during a baseball game. Early in the clinical course, he
had episodes of ventricular dysrhythmias, diffuse ST changes, rising troponin I,
and hemodynamic instability. Emergent cardiac catheterization revealed an LMCA
dissection with extension into the proximal left anterior descending artery
(LADA). A bare metal stent was placed from the LMCA to the LADA, which improved
blood flow through the area of dissection. He has had almost full recovery of
myocardial function and has been managed as an outpatient with oral heart failure
and antiplatelet medications. WHY SHOULD AN EMERGENCY PHYSICIAN BE AWARE OF
THIS?: Our case highlights that CAD, although rare, can occur after pediatric
BCT. Pediatric emergency responders must have a heightened awareness that
evidence of ongoing myocardial ischemia, such as evolving and focal myocardial
infarction on electrocardiogram, persistent elevation or rising troponin I, and
worsening cardiogenic shock, can represent a coronary event and warrant further
evaluation. Cardiac catheterization can be both a diagnostic and therapeutic
modality in such cases. Early recognition and management is vital for myocardial
recovery.
PMID- 24928545
TI - Influence of comorbid alcohol use disorder on treatment response of depressive
patients.
AB - Patients with major depressive disorder (MDD) frequently also have alcohol use
disorder (AUD) and they are more likely to experience symptomatic recurrence and
resist treatment. How the two disorders interrelate has not yet been fully
examined in Japanese subjects. The treatment response of 47 MDD patients was
followed for 12 weeks. Depressive symptoms were rated by the 17-item Hamilton
Rating Scale for Depression (HAM-D) and those whose HAM-D score was less than 16
were excluded. The MDD patients were divided into a non-alcohol use disorder
(NAUD) and an alcohol use disorder (AUD) group according to the Alcohol Use
Disorder Identification Test (AUDIT). We applied a cutoff score of 12 in the
AUDIT scale. After 8 weeks, HAM-D NAUD group scores were significantly lower
compared with AUD patients. The NAUD group, 23 individuals, prescribed
therapeutic doses of antidepressant (equivalent to more than 150 mg of imipramine
per day) significantly improved their HAM-D scores but no improvement occurred in
the AUD subjects. Correlation analysis in all subjects revealed a significant
negative correlation between AUDIT score and improved HAM-D score at endpoint.
Moreover, a significant negative correlation was found between total alcohol
consumption during the study period and improvement of HAM-D score at endpoint in
AUD patients. These results suggest that co-occurrence of MDD and AUD is
associated with a lower response to antidepressant treatment and it may reflect
an inhibitory effect of ethanol on antidepressants action in the brain.
PMID- 24928547
TI - Enhanced expression of GCRV VP6 in CIK cells by relative sequence optimization.
AB - Efficient expression of target protein is one of strategies for gene therapy or
vaccine design. Many studies showed that codon optimization could enhance the
expression of target proteins. In this paper, a target sequence of about 1.26 kb
encoding the major capsid protein VP6 of grass carp reovirus (GCRV) and an
optimized counterpart were synthesized and inserted into vectors for expressing
VP6. The final constructs (named as pcDV6G and pcDV6YG) were transfected in
Ctenopharyngodon idellus kidney (CIK) cells. The fluorescence analysis and the
Western blot results showed that the gene fragment was transfected and expressed
in CIK cells successfully. Although the qRT-PCR results showed no difference at
the messenger RNA (mRNA) levels between the different versions of vp6 in the
indicated stages, the enzyme-linked immunosorbent assay (ELISA) results showed
that the protein level of VP6 expressed by pcDV6YG was higher than that by pcDV6G
in the indicated hours. Taken together, these results suggest that the enhanced
expression of GCRV VP6 in CIK cells by relative sequence optimization may be a
good choice for making DNA vaccine against GCRV.
PMID- 24928546
TI - Lipid production by Cryptococcus curvatus on hydrolysates derived from corn fiber
and sweet sorghum bagasse following dilute acid pretreatment.
AB - Corn fiber and sweet sorghum bagasse (SSB) are both pre-processed lignocellulosic
materials that can be used to produce liquid biofuels. Pretreatment using dilute
sulfuric acid at a severity factor of 1.06 and 1.02 released 83.2 and 86.5 % of
theoretically available sugars out of corn fiber and SSB, respectively. The
resulting hydrolysates derived from pretreatment of SSB at SF of 1.02 supported
growth of Cryptococcus curvatus well. In 6 days, the dry cell density reached
10.8 g/l with a lipid content of 40 % (w/w). Hydrolysates from corn fiber,
however, did not lead to any significant cell growth even with addition of
nutrients. In addition to consuming glucose, xylose, and arabinose, C. curvatus
also utilized formic acid, acetic acid, 4-hydroxymethylfurfural, and levulinic
acid for growth. Thus, C. curvatus appeared to be an excellent yeast strain for
producing lipids from hydrolysates developed from lignocellulosic feedstocks.
PMID- 24928548
TI - Gene expression analysis in MCF-7 breast cancer cells treated with recombinant
bromelain.
AB - The contributing molecular pathways underlying the pathogenesis of breast cancer
need to be better characterized. The principle of our study was to better
understand the genetic mechanism of oncogenesis for human breast cancer and to
discover new possible tumor markers for use in clinical practice. We used
complimentary DNA (cDNA) microarrays to compare gene expression profiles of
treated Michigan Cancer Foundation-7 (MCF-7) with recombinant bromelain and
untreated MCF-7. SpringGene analysis was carried out of differential expression
followed by Ingenuity Pathway Analysis (IPA), to understand the underlying
consequence in developing disease and disorders. We identified 1,102 known genes
differentially expressed to a significant degree (p<0.001) changed between the
treatment. Within this gene set, 20 genes were significantly changed between
treated cells and the control cells with cutoff fold change of more than 1.5.
These genes are RNA-binding motif, single-stranded interacting protein 1 (RBMS1),
ribosomal protein L29 (RPL29), glutathione S-transferase mu 2 (GSTM2), C15orf32,
Akt3, B cell translocation gene 1 (BTG1), C6orf62, C7orf60, kinesin-associated
protein 3 (KIFAP3), FBXO11, AT-rich interactive domain 4A (ARID4A), COPS2,
TBPL1|SLC2A12, TMEM59, SNORD46, glioma tumor suppressor candidate region gene 2
(GLTSCR2), and LRRFIP. Our observation on gene expression indicated that
recombinant bromelain produces a unique signature affecting different pathways,
specific for each congener. The microarray results give a molecular mechanistic
insight and functional effects, following recombinant bromelain treatment. The
extent of changes in genes is related to and involved significantly in gap
junction signaling, amyloid processing, cell cycle regulation by BTG family
proteins, and breast cancer regulation by stathmin1 that play major roles.
PMID- 24928549
TI - Enhancing performance of uricase using multiwalled carbon nanotube doped
polyaniline.
AB - Multiwalled carbon nanotubes (CNT) doped polyaniline (Pani) nanocomposite has
been electrochemically deposited onto indium tin oxide (ITO)-coated glass
substrate for fabrication of uric acid biosensor. To achieve this, uricase (from
Bacillus fastidiosus) has been covalently immobilized onto glutaraldehyde
modified CNT-Pani/ITO and characterized using cyclic voltammetry (CV), scanning
electron microscopy (SEM), Fourier transform infrared (FTIR) spectroscopy,
electrochemical impedance spectroscopy (EIS), etc. CV studies of CNT-Pani/ITO
electrode reveals that the system obeys quasi-reversible electron transfer
behavior with diffusion coefficient of 2.3346 * 10(-8) cm s(-1) in K3FeCN6.
Fabricated uricase-CNT-Pani/ITO electrodes were tested for uric acid detection in
buffer and spiked serum samples electrochemically. It was found that fabricated
electrode was able to detect 0.01-1.0 mM uric acid using CV and 0.02-0.8 mM uric
acid using differential pulse voltammetry (DPV). The enhanced electrochemical
performance of this biosensor is due to the high enzyme loading synergistically
connected to CNT-Pani nanocomposite leading to improved enzyme characteristics
such as Km value of 4.85 * 10(-3) mM L(-1) (about 70 times less than the free
enzyme), sensitivity of 43.2 MUA mM(-1) for CV-based detection and 8.38 MUA mM(
1) for DPV-based detection within response time of 60 s. Fabricated electrodes
were able to maintain their electrochemical activity with 60 times reusability
and were stable up to 28 weeks when stored at 4 degrees C.
PMID- 24928550
TI - Synthesis and characterization of reduced graphene oxide supported gold
nanoparticles-poly(pyrrole-co-pyrrolepropylic acid) nanocomposite-based
electrochemical biosensor.
AB - A conducting poly(pyrrole-co-pyrrolepropylic acid) copolymer nanocomposite film
(AuNP-PPy-PPa) incorporating gold nanoparticles (AuNP) was electrochemically
grown using a single step procedure over electrochemically reduced graphene oxide
(RGO) flakes deposited on a silane-modified indium-tin-oxide (ITO) glass plate.
The RGO support base provided excellent mechanical and chemical stability to the
polymer nanocomposite matrix. The porous nanostructure of AuNP-PPy-PPa/RGO
provided a huge accessible area to disperse AuNP, and it avoided metallic
agglomeration within the polymer matrix. The AuNP-PPy-PPa/RGO was characterized
by high-resolution transmission electron microscopy (HRTEM), contact angle
measurements, Fourier transform infrared spectroscopy (FTIR), and electrochemical
techniques. The pendant carboxyl group of AuNP-PPy-PPa/RGO was covalently bonded
with myoglobin protein antibody, Ab-Mb, for the construction of a bioelectrode.
Electrochemical impedance spectroscopy technique was used for the
characterization of the bioelectrode and as an impedimetric biosensor for the
detection of human cardiac biomarker, Ag-cMb. The bioelectrode exhibited a linear
impedimetric response to Ag-cMb in the range of 10 ng mL(-1) to 1 MUg mL(-1), in
phosphate-buffered solution (PBS) (pH 7.4, 0.1 M KCl) with a sensitivity of 92.13
Omega cm(2) per decade.
PMID- 24928551
TI - Moderate drought causes dramatic floral transcriptomic reprogramming to ensure
successful reproductive development in Arabidopsis.
AB - BACKGROUND: Drought is a major constraint that leads to extensive losses to
agricultural yield worldwide. The potential yield is largely determined during
inflorescence development. However, to date, most investigations on plant
response to drought have focused on vegetative development. This study describes
the morphological changes of reproductive development and the comparison of
transcriptomes under various drought conditions. RESULTS: The plants grown were
studied under two drought conditions: minimum for successful reproduction (45-50%
soil water content, moderate drought, MD) and for survival (30-35%, severe
drought, SD). MD plants can produce similar number of siliques on the main stem
and similar number of seeds per silique comparing with well-water plants. The
situation of SD plants was much worse than MD plants. The transcriptomes of
inflorescences were further investigated at molecular level using microarrays.
Our results showed more than four thousands genes with differential expression
under severe drought and less than two thousand changed under moderate drought
condition (with 2-fold change and q-value < 0.01). We found a group of genes with
increased expression as the drought became more severe, suggesting putative
adaptation to the dehydration. Interestingly, we also identified genes with
alteration only under the moderate but not the severe drought condition,
indicating the existence of distinct sets of genes responsive to different levels
of water availability. Further cis-element analyses of the putative regulatory
sequences provided more information about the underlying mechanisms for
reproductive responses to drought, suggesting possible novel candidate genes that
protect those developing flowers under drought stress. CONCLUSIONS: Different
pathways may be activated in response to moderate and severe drought in
reproductive tissues, potentially helping plant to maximize its yield and balance
the resource consumption between vegetative and reproductive development under
dehydration stresses.
PMID- 24928552
TI - DHEA effects on brain and behavior: insights from comparative studies of
aggression.
AB - Historically, research on the neuroendocrinology of aggression has been dominated
by the paradigm that the brain receives sex steroid hormones, such as
testosterone (T), from the gonads, and then these gonadal hormones modulate
behaviorally relevant neural circuits. While this paradigm has been extremely
useful for advancing the field, recent studies reveal important alternatives. For
example, most vertebrate species are seasonal breeders, and many species show
aggression outside of the breeding season, when the gonads are regressed and
circulating levels of gonadal steroids are relatively low. Studies in diverse
avian and mammalian species suggest that adrenal dehydroepiandrosterone (DHEA),
an androgen precursor and prohormone, is important for the expression of
aggression when gonadal T synthesis is low. Circulating DHEA can be converted
into active sex steroids within the brain. In addition, the brain can synthesize
sex steroids de novo from cholesterol, thereby uncoupling brain steroid levels
from circulating steroid levels. These alternative mechanisms to provide sex
steroids to specific neural circuits may have evolved to avoid the costs of high
circulating T levels during the non-breeding season. Physiological indicators of
season (e.g., melatonin) may allow animals to switch from one neuroendocrine
mechanism to another across the year. DHEA and neurosteroids are likely to be
important for the control of multiple behaviors in many species, including
humans. These studies yield fundamental insights into the regulation of DHEA
secretion, the mechanisms by which DHEA affects behavior, and the brain regions
and neural processes that are modulated by DHEA. It is clear that the brain is an
important site of DHEA synthesis and action. This article is part of a Special
Issue entitled 'Essential role of DHEA'.
PMID- 24928554
TI - "There's No Correspondence Between Me and My Age": Old Age in Theresia Walser's
King Kong's Daughters.
AB - PURPOSE OF THE STUDY: Against the context of a rapidly aging population, the
theme of senescence has been figuring increasingly prominently in contemporary
German theater. This paper discusses the depiction of old age in one notable
example, Theresia Walser's King Kong's Daughters, a hugely successful black
comedy set in a nursing home. DESIGN AND METHODS: This paper takes an
interdisciplinary approach in its analysis of Walser's play, drawing not just on
drama and theater studies, but also on performance, film, and cultural studies as
well as the sociology and psychology of aging. RESULTS: King Kong's Daughters
might seem to be pervaded with negative ageist stereotypes. It is argued here,
however, that Walser skillfully exploits the special formal qualities of
theatrical performance--in particular, its liveness, corporeality, and
communality--to illuminate both what it is like to be old and what it is like to
care for the elderly in today's world. IMPLICATIONS: Given demographic
developments, senescence seems set to increasingly inform the themes, motifs, and
characters of theater in Germany and beyond. Paying closer attention to how old
age is invoked on the contemporary stage can generate insights that are not only
of interest to theater scholars, but are also of value to gerontologists and age
scholars more generally.
PMID- 24928555
TI - Promoting and Protecting Against Stigma in Assisted Living and Nursing Homes.
AB - PURPOSE OF THE STUDY: To determine the extent to which structures and processes
of care in multilevel settings (independent living, assisted living, and nursing
homes) result in stigma in assisted living and nursing homes. DESIGN AND METHODS:
Ethnographic in-depth interviews were conducted in 5 multilevel settings with 256
residents, families, and staff members. Qualitative analyses identified the
themes that resulted when examining text describing either structures of care or
processes of care in relation to 7 codes associated with stigma. RESULTS: Four
themes related to structures of care and stigma were identified, including the
physical environment, case mix, staff training, and multilevel settings; five
themes related to processes of care and stigma, including dining, independence,
respect, privacy, and care provision. For each theme, examples were identified
illustrating how structures and processes of care can potentially promote or
protect against stigma. IMPLICATIONS: In no instance were examples or themes
identified that suggested the staff intentionally promoted stigma; on the other
hand, there was indication that some structures and processes were intentionally
in place to protect against stigma. Perhaps the most important theme is the
stigma related to multilevel settings, as it has the potential to reduce
individuals' likelihood to seek and accept necessary care. Results suggest
specific recommendations to modify care and reduce stigma.
PMID- 24928553
TI - Vaccination in the elderly: what can be recommended?
AB - The age-associated increased susceptibility to infectious disease would suggest
that vaccination should be a route to promote healthy aging and keep our seniors
autonomous and independent. While vaccination represents a cost-effective and
efficient strategy at community level, the ability of the immune system to mount
a protective immune response is still unpredictable at the level of the
individual. Thus, at a similar age, some individuals, including the elderly,
might still be 'good' responders while some other, even younger, would definitely
fail to mount a protective response. In this review, the current burden of
vaccine-preventable diseases in the aging and aged population will be detailed
with the aim to identify the ideal vaccine candidates over the age of 50 years.
This article will conclude with potential strategies to reduce, as best as
possible, this burden and the imperative need to overcome barriers in extending
current vaccine coverage towards to a lifelong vaccine schedule.
PMID- 24928557
TI - Training Older Adults to Use Tablet Computers: Does It Enhance Cognitive
Function?
AB - PURPOSE OF THE STUDY: Recent evidence shows that engaging in learning new skills
improves episodic memory in older adults. In this study, older adults who were
computer novices were trained to use a tablet computer and associated software
applications. We hypothesize that sustained engagement in this mentally
challenging training would yield a dual benefit of improved cognition and
enhancement of everyday function by introducing useful skills. DESIGN AND
METHODS: A total of 54 older adults (age 60-90) committed 15 hr/week for 3
months. Eighteen participants received extensive iPad training, learning a broad
range of practical applications. The iPad group was compared with 2 separate
controls: a Placebo group that engaged in passive tasks requiring little new
learning; and a Social group that had regular social interaction, but no active
skill acquisition. All participants completed the same cognitive battery pre- and
post-engagement. RESULTS: Compared with both controls, the iPad group showed
greater improvements in episodic memory and processing speed but did not differ
in mental control or visuospatial processing. IMPLICATIONS: iPad training
improved cognition relative to engaging in social or nonchallenging activities.
Mastering relevant technological devices have the added advantage of providing
older adults with technological skills useful in facilitating everyday activities
(e.g., banking). This work informs the selection of targeted activities for
future interventions and community programs.
PMID- 24928558
TI - Patterns of failure after intensity-modulated radiotherapy in head and neck
squamous cell carcinoma using compartmental clinical target volume delineation.
AB - AIMS: To determine the pattern of disease recurrence in non-nasopharyngeal head
and neck squamous cell carcinoma (HNSCC) patients treated with radical intensity
modulated radiotherapy (IMRT) with or without chemotherapy, and to correlate the
sites of locoregional recurrence with radiotherapy target volumes. MATERIALS AND
METHODS: In total, 136 patients treated with radical IMRT with or without
chemotherapy between 2008 and 2011 for non-nasopharyngeal HNSCC were
retrospectively identified. A compartmental approach to clinical target volume
(CTV) delineation was routinely utilised during this period and IMRT was
delivered using a 5-7 angle step and shoot technique. Locoregional recurrences
were reconstructed on the planning computed tomography scan by both deformable
image coregistration and by visual assessment, and were analysed in relation to
target volumes and dosimetry. RESULTS: The median follow-up was 31 (range 3-53)
months. Two year local control, regional control, disease-free survival, distant
metastasis-free survival and overall survival were 86, 93, 78, 89 and 79%,
respectively. One hundred and twenty of 136 (88%) patients achieved a complete
response to treatment and 7/120 (6%) have subsequently had a locoregional
recurrence. Analysis of these recurrences revealed five to be infield; one to be
marginal to the high-dose CTV; one to be out-of-field. Overall the marginal/out
of-field recurrence rate was 2/136 (1.5%). CONCLUSIONS: IMRT utilising a
compartmental approach to CTV delineation was associated with a low rate of
marginal/out-of-field recurrence.
PMID- 24928559
TI - Detecting overlapping protein complexes based on a generative model with
functional and topological properties.
AB - BACKGROUND: Identification of protein complexes can help us get a better
understanding of cellular mechanism. With the increasing availability of large
scale protein-protein interaction (PPI) data, numerous computational approaches
have been proposed to detect complexes from the PPI networks. However, most of
the current approaches do not consider overlaps among complexes or functional
annotation information of individual proteins. Therefore, they might not be able
to reflect the biological reality faithfully or make full use of the available
domain-specific knowledge. RESULTS: In this paper, we develop a Generative Model
with Functional and Topological Properties (GMFTP) to describe the generative
processes of the PPI network and the functional profile. The model provides a
working mechanism for capturing the interaction structures and the functional
patterns of proteins. By combining the functional and topological properties, we
formulate the problem of identifying protein complexes as that of detecting a
group of proteins which frequently interact with each other in the PPI network
and have similar annotation patterns in the functional profile. Using the idea of
link communities, our method naturally deals with overlaps among complexes. The
benefits brought by the functional properties are demonstrated by real data
analysis. The results evaluated using four criteria with respect to two gold
standards show that GMFTP has a competitive performance over the state-of-the-art
approaches. The effectiveness of detecting overlapping complexes is also
demonstrated by analyzing the topological and functional features of multi- and
mono-group proteins. CONCLUSIONS: Based on the results obtained in this study,
GMFTP presents to be a powerful approach for the identification of overlapping
protein complexes using both the PPI network and the functional profile. The
software can be downloaded from
http://mail.sysu.edu.cn/home/stsddq@mail.sysu.edu.cn/dai/others/GMFTP.zip.
PMID- 24928560
TI - Predictive value of fractional nitric oxide in asthma diagnosis-subgroup
analyses.
AB - BACKGROUND: There are no studies investigating the benefit of using FeNO
measurements in correlation with sensitization to perennial and seasonal
allergens in children with asthma. OBJECTIVE: To define the group of children
with respiratory symptoms in whose FeNO measurement has predictive value for
asthma. We assessed the effect of age, allergy profile, atopy, lung function and
the presence of allergic rhinitis on interpretation of FeNO levels for clinical
applications. METHODS: It was a retrospective, cross-sectional study. We
evaluated data from medical documentation of 1767 children with symptoms of
allergic diseases such as asthma and/or allergic rhinitis. We included in the
analyses subjects who had the following tests done during diagnostic procedures
(single measurement): FeNO, spirometry, specific IgE results. All subjects had
undergone a minimum 3-years prospective clinical observation after the first FeNO
measurement until the later assignment (or not) of an asthma/allergic rhinitis
diagnosis. RESULTS: We included 1767 children into the analysis; asthma diagnosis
was confirm in 1054 (59.6%) children. We showed that only atopy (OR: 1.9; 95%CI:
1.5-2.4) and presence of allergic rhinitis (OR: 1.6; 95%CI: 1.4-1.9) were
independently associated with increased FeNO level. Only among patients with
atopy and allergic rhinitis FeNO level (above 23 ppb) was associated with asthma
diagnosis. Sensitivity, specificity, positive predictive value and negative
predictive value of FeNO >23 ppb for asthma diagnosis were as follows: 0.9(95%CI:
0.68-0.98), 0.52(95%CI: 0.42-0.61), 0.25(95%CI: 0.16-0.37), 0.97(95%CI: 0.88
0.99). CONCLUSION: We showed that in children with atopy and with allergic
rhinitis a negative predictive value for asthma diagnosis was very high with the
optimal cut-off point of FeNO 23 ppb. Therefore we showed the utility of FeNO
measurements to exclude asthma in the subgroup of patients with atopy and
allergic rhinitis.
PMID- 24928561
TI - Controversies and conundrums in hydrogen sulfide biology.
AB - Hydrogen sulfide (H2S) signaling has been implicated in physiological processes
in practically all organ systems studied to date. At times the excitement of this
new field has outpaced the technical expertise or practical knowledge with which
to accurately assess these advancements. Recently, the myriad of proposed H2S
actions has spawned interest in using indicators of H2S metabolism, especially
plasma H2S concentrations, as a means of identifying a variety of
pathophysiological conditions or to predict clinical outcomes. While this is a
noteworthy endeavor, there are a number of contraindications to this practice at
this time. First, there is little consensus regarding normal, i.e.,
"physiological" concentrations of H2S in either plasma or tissue. In fact, it has
been shown that the methods most often employed for these measurements are
associated with substantial artifact. Second, interactions, or presumed lack
thereof, of H2S with other biomolecules (e.g., O2, H2O2, pH, etc.) or analytical
reagents (e.g., reducing reagents, N-ethylmaleimide, phenylarsine, etc.) are
often assumed but not evaluated. Third, the experimental design and/or
statistical analyses may not be sufficient to justify using H2S concentration in
tissue or blood as a predictive biomarker of pathophysiology. In this study, we
first briefly review the problems associated with plasma and tissue H2S
measurements and the associated errors and we provide some simple methods to
evaluate whether the data obtained is physiologically relevant. Second we provide
a brief analysis of H2S interactions with the above biomolecules. Third, we
provide a statistical tool with which to determine the clinical applicability of
H2S measurements. It is hoped that these points will provide a rational
background for future work.
PMID- 24928562
TI - Oxidation of hydrogen sulfide by human liver mitochondria.
AB - Hydrogen sulfide (H2S) is the third gasotransmitter discovered. Sulfide shares
with the two others (NO and CO) the same inhibiting properties towards
mitochondrial respiration. However, in contrast with NO or CO, sulfide at
concentrations lower than the toxic (MUM) level is an hydrogen donor and a
substrate for mitochondrial respiration. This is due to the activity of a sulfide
quinone reductase found in a large majority of mitochondria. An ongoing study of
the metabolic state of liver in obese patients allowed us to evaluate the sulfide
oxidation capacity with twelve preparations of human liver mitochondria. The
results indicate relatively high rates of sulfide oxidation with a large
variability between individuals. These observations made with isolated
mitochondria appear in agreement with the main characteristics of sulfide
oxidation as established before with the help of cellular models.
PMID- 24928563
TI - Walnut anaphylaxis: the usefulness of molecular-based allergy diagnostics.
PMID- 24928564
TI - Utility and importance of animal data in drug product labels.
AB - Information on the use and safety of medicines to assist prescription by
healthcare professionals occurs in drug labels (Summary of Product
Characteristics in Europe and Package Insert in the USA). Animal data (notably
genotoxicity, reproduction toxicity and carcinogenicity and/or repeat dose
toxicity testing) comprise an important component of the information (having a
vital role in giving assurance that an extensive safety assessment for the
medicinal product has occurred) and regulatory guidance is available to help
inform on its input into drug labels. However, an evaluation of animal data for
the 27 new drugs approved in the USA in 2013 (and the same drugs if available in
Europe) shows great variability in detail and level of information presented
within and across regions and/or the possibility of confusion on interpretation
of some of the presented animal study findings. It is concluded that it may be
time to revisit what animal data are presented in drug product labels (although
bearing in mind current regional regulatory guidance requirements), not only to
allow within and across region consistency on information given but to present it
in a way that fully assists healthcare professions when prescribing a medicine.
PMID- 24928565
TI - Towards AOP application--implementation of an integrated approach to testing and
assessment (IATA) into a pipeline tool for skin sensitization.
AB - Since the OECD published the Adverse Outcome Pathway (AOP) for skin
sensitization, many efforts have focused on how to integrate and interpret
nonstandard information generated for key events in a manner that can be
practically useful for decision making. These types of frameworks are known as
Integrated Approaches to Testing and Assessment (IATA). Here we have outlined an
IATA for skin sensitization which focuses on existing information including non
testing approaches such as QSAR and read-across. The IATA was implemented into a
pipeline tool using OASIS technology to provide a means of systematically
collating and compiling relevant information which could be used in an assessment
of skin sensitization potential. A test set of 100 substances with available skin
sensitization information was profiled using the pipeline IATA. In silico and in
chemico profiling information alone was able to correctly predict skin
sensitization potential, with a preliminary accuracy of 73.85%. Information from
other relevant endpoints (e.g., Ames mutagenicity) was found to improve the
accuracy (to 87.6%) when coupled with a reaction chemistry mechanistic
understanding. This pipeline platform could be useful in the assessment of skin
sensitization potential and marks a step change in how non testing approaches can
be practically applied.
PMID- 24928566
TI - Subchronic safety evaluation of CMS-1 (a botanical antihypertensive product
derived from Semen Cnidium monnieri) in Sprague-Dawley rats and beagle dogs.
AB - CMS-1, mainly composed of imperatorin as its active compound, is a partially
purified fraction of a Chinese herbal medicine, Semen Cnidium monnieri. CMS-1 has
the potential to be further developed as a new treatment for hypertension. Thus,
we studied its toxicity in both Sprague-Dawley rats and beagle dogs. Rats (0
900mg/kg/day) and dogs (0-450mg/kg/day) received CMS-1 orally for 30 consecutive
days, followed by a 15-day recovery period. The major target organs of CMS-1
toxicity are the GI (inappetence), liver (hepatocellular necrosis, enzyme
elevation), thymus (atrophy), cardiovascular (hypotension), changes in ECG T and
P waveforms, elevation of nitrous oxide levels and hematological (RBC parameters
disturbances) systems. Most treatment-induced adverse effects were reversible or
showed a progressive recovery upon discontinuation of the treatment. The No
Observed Adverse Effect Level (NOAEL) was 100mg/kg/day for rats and 50mg/kg/day
for dogs. This non-clinical study suggests that clinical monitoring of CMS-1 in
patients should focus on the gastrointestinal system, blood tests for liver
functions, electrolytes, and blood homeostasis, cardiovascular functions, and
immune functions.
PMID- 24928567
TI - Differences in patient ratings of medical home domains among adults with
diabetes: comparisons across primary care sites.
AB - BACKGROUND: There is growing and sustained recognition that Patient-Centered
Medical Homes (PCMHs) represent a viable approach to dealing with the
fragmentation of care faced by many individuals, including those living with
diabetes. The National Committee for Quality Assurance (NCQA) has spearheaded a
program that recognizes medical practices that adopt key elements of the PCMH.
Even though practices can achieve the same level of recognition, it is unclear
whether all PCMHs deliver care in the same manner and how these differences can
be associated with patient ratings of their experience with care. METHODS: This
study uses a mixed-methods approach to explore differences in care delivery
across 4 NCQA level 3 recognized PCMHs located in a southern state. Furthermore,
the study examines the association between each clinic and patient ratings of key
PCMH domains. The qualitative component of the study included in-depth interviews
with medical directors at each site in order to determine how the PCMH at each
clinic was operationalized. In addition, 1300 adult patients with diabetes were
surveyed about their experiences with their PCMH. Bivariate and ordinal
logistical analyses were conducted to determine how PCMH experiences varied
across the 4 clinics. RESULTS: The in-depth interviews revealed that one clinic
(clinic 1) had a stronger primary care orientation relative to the other
locations. Furthermore, patients at these clinics were more likely to provide
higher ratings of care across all PCMH domains. CONCLUSIONS: This study
demonstrates that not all PCMH clinics are alike and that these differences can
possibly affect patient perceptions of their care.
PMID- 24928568
TI - Unravelling emotional viewpoints on a bio-based economy using Q methodology.
AB - A transition to a bio-based economy will affect society and requires collective
action from a broad range of stakeholders. This includes the public, who are
largely unaware of this transition. For meaningful public engagement people's
emotional viewpoints play an important role. However, what the public's emotions
about the transition are and how they can be taken into account is underexposed
in public engagement literature and practice. This article aims to unravel the
public's emotional views of the bio-based economy as a starting point for public
engagement. Using Q methodology with visual representations of a bio-based
economy we found four emotional viewpoints: (1) compassionate environmentalist,
(2) principled optimist, (3) hopeful motorist and (4) cynical environmentalist.
These provide insight into the distinct and shared ways through which members of
the public connect with the transition. Implications for public engagement are
discussed.
PMID- 24928569
TI - Audience reach of science on television in 10 European countries: An analysis of
people-meter data.
AB - Beginning with a differentiation of science programmes into five different
editorial concepts, this article explores the audience reach of science on
television in 10 European countries with a special emphasis on young audiences
aged between 14 and 29 years. In relation to the share of this age group in the
entire population, science programmes in all countries reach a considerably
smaller proportion of younger viewers. Specific preferences for science content
on television do not seem to be relevant in explaining aggregated viewing
behaviours especially of young audiences. Unlike all other segments, the young
science viewer segment is almost intangible as an aggregated group, as a
definable segment of a mass audience that can be targeted by science programme
makers.
PMID- 24928572
TI - Postsystolic shortening by myocardial deformation imaging as a sign of cardiac
adaptation to pressure overload in fetal growth restriction.
AB - BACKGROUND: Fetal growth restriction (FGR) is associated with global adverse
cardiac remodeling in utero and increased cardiovascular mortality in adulthood.
Prenatal myocardial deformation has not been evaluated in FGR to date. We aimed
to evaluate prenatal cardiac remodeling comprehensively in FGR including
myocardial deformation imaging. METHODS AND RESULTS: Echocardiography was
performed in 37 consecutive FGR (defined as birthweight <10th centile) and 37
normally grown fetuses. A comprehensive fetal echocardiography was performed
including tissue Doppler and 2-dimensional-derived strain and strain rate.
Postnatal blood pressure measurement at 6 months of age was also performed. FGR
cases showed signs of more globular hearts with decreased longitudinal motion
(left systolic annular peak velocity: controls mean 6 cm/s [SD 1.2] versus FGR
5.3 [1]) and diastolic dysfunction (isovolumic relaxation time: controls 44 ms
[6] versus FGR 52 [9]). Peak strain and strain rate values of the left ventricle
were not significantly different; however, a postsystolic shortening in the basal
segment of the septal ventricular wall was observed in 57% of the FGR cases and
in none of controls (P<0.001). FGR cases with postsystolic shortening had absence
of a hypertrophic response, a poorer perinatal outcome (lower gestational age and
birthweight, containing all cases of perinatal mortality [8%]), and higher values
of blood pressure. CONCLUSIONS: Myocardial deformation imaging revealed a
postsystolic shortening in 57% of FGR, which supports increased pressure overload
as a mechanism for cardiovascular programming in FGR. Postsystolic shortening was
associated with severity and with higher blood pressure postnatally.
PMID- 24928571
TI - Role of GPR30 in mediating estradiol effects on acetylcholine release in the
hippocampus.
AB - We have hypothesized that estradiol enhances basal forebrain cholinergic function
and cognitive performance, at least in part, via activation of the novel estrogen
receptor GPR30. Here we evaluated the effects of estradiol, G-1 (a selective
GPR30 agonist), and tamoxifen (TAM; an ERalpha/ERbeta antagonist that also acts
as a GPR30 agonist), on acetylcholine (ACh) release in the hippocampus, as well
as the ability to block the effects of 17beta-estradiol (E) or TAM with the GPR30
antagonist G-15. Note that G-1 was included to evaluate the effects of
selectively activating GPR30, whereas TAM was included to differentiate effects
of E associated with activation of GPR30 vs. ERalpha or ERbeta. The study was
designed to test effects on potassium-stimulated release, as well as on ACh
release stimulated by feeding. Effects of feeding were included because the tasks
we used previously to demonstrate beneficial effects of E on cognitive
performance were motivated by food reward, and we hypothesized that E may enhance
performance by increasing ACh release in association with that reward.
Ovariectomized rats were treated for 1week, and ACh release was evaluated using
in vivo microdialysis. In addition, rats were fed at the same time daily for
several days and were fasted overnight prior to microdialysis. For each rat, ACh
release was evaluated under basal conditions, in response to feeding, and in
response to elevated potassium. Both feeding and elevated potassium increased ACh
release in the hippocampus. In response to feeding, E, G-1, and TAM all
significantly increased the percent change in release. The effects of E and TAM
were blocked by G-15, and the effects of combining E+TAM did not differ
significantly from the effects of E or TAM alone. In response to elevated
potassium, E, and TAM significantly increased the percent change in ACh release.
G-1 produced a slightly lesser effect. The effect of TAM was reduced by G-15, but
the effect of E was not. These findings suggest that activation of GPR30 is both
necessary and sufficient to account for the effects of E on ACh release
associated with feeding. In contrast, activation of GPR30 appears to be
sufficient, but may not be necessary for increased release associated with
elevated potassium. The changes associated with feeding are consistent with the
effects of E, G-1 and G-15 on acquisition of a spatial learning task previously
described. These data confirm and extend previous reports, and support a
hypothesis wherein E treatment can improve learning on specific tasks by
activating GPR30 and enhancing ACh release in association with food reward.
PMID- 24928570
TI - The perfume of reproduction in birds: chemosignaling in avian social life.
AB - This article is part of a Special Issue "Chemosignals and Reproduction". Chemical
cues were probably the first cues ever used to communicate and are still
ubiquitous among living organisms. Birds have long been considered an exception:
it was believed that birds were anosmic and relied on their acute visual and
acoustic capabilities. Birds are however excellent smellers and use odors in
various contexts including food searching, orientation, and also breeding.
Successful reproduction in most vertebrates involves the exchange of complex
social signals between partners. The first evidence for a role of olfaction in
reproductive contexts in birds only dates back to the seventies, when ducks were
shown to require a functional sense of smell to express normal sexual behaviors.
Nowadays, even if the interest for olfaction in birds has largely increased, the
role that bodily odors play in reproduction still remains largely understudied.
The few available studies suggest that olfaction is involved in many reproductive
stages. Odors have been shown to influence the choice and synchronization of
partners, the choice of nest-building material or the care for the eggs and
offspring. How this chemical information is translated at the physiological level
mostly remains to be described, although available evidence suggests that, as in
mammals, key reproductive brain areas like the medial preoptic nucleus are
activated by relevant olfactory signals. Olfaction in birds receives increasing
attention and novel findings are continuously published, but many exciting
discoveries are still ahead of us, and could make birds one of the animal classes
with the largest panel of developed senses ever described.
PMID- 24928573
TI - Muscle diseases: mimics and chameleons.
AB - Muscle diseases are not as common as headaches and funny turns in our general
neurology clinics, but most of us will encounter them. We all pride ourselves on
a methodical approach to clinical problems-discovering the where and what in
neurological parlance-but any diagnostic process can unravel, particularly if one
condition resembles another. Before we settle on a diagnosis, we need to ask
ourselves, whether the 'ducks all line up' to avoid cases of mistaken identity.
PMID- 24928574
TI - Parent changes in diet, physical activity, and behavior in family-based treatment
for childhood obesity.
PMID- 24928575
TI - Sexual media exposure, sexual behavior, and sexual violence victimization in
adolescence.
AB - BACKGROUND: Emerging research suggests sexual media affects sexual behavior, but
most studies are based on regional samples and few include measures of newer
mediums. Furthermore, little is known about how sexual media relates to sexual
violence victimization. METHODS: Data are from 1058 youth 14 to 21 years of age
in the national, online Growing up with Media study. RESULTS: Forty-seven percent
reported that many or almost all/all of at least one type of media they consumed
depicted sexual situations. Exposure to sexual media in television and movies,
and music was greater than online and in games. All other things equal, more
frequent exposure to sexual media was related to ever having had sex, coercive
sex victimization, and attempted/completed rape but not risky sexual behavior.
CONCLUSIONS: Longer standing mediums such as television and movies appear to be
associated with greater amounts of sexual media consumption than newer ones, such
as the Internet. A nuanced view of how sexual media content may and may not be
affecting today's youth is needed.
PMID- 24928576
TI - Trainee and program director perceptions of quality improvement and patient
safety education: preparing for the next accreditation system.
AB - OBJECTIVE: To assess the current state of quality improvement and patient safety
(QIPS) education at a large teaching hospital. METHODS: We surveyed 429 trainees
(138 residents, 291 clinical fellows) and 38 program directors (PDs; 2 were PDs
of >1 program) from 39 Accreditation Council for Graduate Medical Education
accredited training programs. RESULTS: Twenty-nine PDs (76.3%) and 259 trainees
(60.3%) responded. Most trainees (68.8%) reported participation in projects
culminating in scholarly products (39.9%) or clinical innovations (44%). Most PDs
reported that teaching (88.9%) and project supervision (83.3%) are performed by
expert faculty. Nearly half of the PDs (45.8%) and trainees (49.6%) perceived
project-based learning to be of equal value to formal curricula. Compared with
trainees, a greater proportion of PDs reported needs for funding for projects,
teaching faculty to provide mentorship, and faculty development (P < .05).
CONCLUSIONS: Providing additional financial, administrative, and operational
support could enhance the value of curricula and projects. Developing expert
teaching faculty is paramount.
PMID- 24928577
TI - Reply to the editor-Can we isolate the pulmonary veins?
PMID- 24928578
TI - Isolation of the pulmonary veins.
PMID- 24928580
TI - The mechanism of binding of the second PDZ domain from the Protein Tyrosine
Phosphatase-BL to the Adenomatous Polyposis Coli tumor suppressor.
AB - Many biological processes are regulated by the interaction between protein
domains and their corresponding binding partners. The PDZ domain is one of the
most common protein-protein interaction modules in mammalian cells, whose role is
to bind C-terminal sequences of specific targets. The second PDZ domain from the
Protein Tyrosine Phosphatase-BL (PDZ2) binds to the C-terminal of Adenomatous
Polyposis Coli protein (APC), one of the major tumor suppressor whose task is to
regulate cell adhesion and proliferation. Here, we present a detailed kinetics
analysis of the interaction between PDZ2 domain and a peptide mimicking the PDZ
binding motif of APC. By analyzing data obtained at different experimental
conditions, we propose a plausible mechanism for binding. Furthermore, a
comparison between the dissociation rate constant measured by different
methodologies allow us to identify an additional kinetic step, which is likely to
arise from a conformational change of PDZ2 occurring after binding. The data are
discussed on the light of previous work on PDZ domains.
PMID- 24928579
TI - High-risk human papillomavirus in HIV-infected women undergoing cervical cancer
screening in Lilongwe, Malawi: a pilot study.
AB - Rates of abnormal visual inspection with acetic acid and prevalence of high-risk
human papillomavirus (HPV) subtypes have not been well characterized in HIV
infected women in Malawi. We performed a prospective cohort study of visual
inspection with acetic acid (N = 440) in HIV-infected women aged 25--59 years,
with a nested study of HPV subtypes in first 300 women enrolled. Of 440 women
screened, 9.5% (N = 42) had abnormal visual inspection with acetic acid with
69.0% (N = 29) having advanced disease not amenable to cryotherapy. Of 294 women
with HPV results, 39% (N = 114) of women were positive for high-risk HPV
infection. Only lower CD4 count (287 cells/mm(3) versus 339 cells/mm(3), p =
0.03) and high-risk HPV (66.7% versus 35.6%, p < 0.01) were associated with
abnormal visual inspection with acetic acid. The most common high-risk HPV
subtypes in women with abnormal visual inspection with acetic acid were 35
(33.3%), 16 (26.7%), and 58 (23.3%). Low CD4 cell count was associated with
abnormal visual inspection with acetic acid and raises the importance of early
antiretroviral therapy and expanded availability of visual inspection with acetic
acid. HPV vaccines targeting additional non-16/18 high-risk HPV subtypes may have
greater protective advantages in countries such as Malawi.
PMID- 24928581
TI - ADAR1: a promising new biomarker for esophageal squamous cell carcinoma?
AB - Esophageal Squamous Cell Carcinoma (ESCC) is a heterogeneous tumor with enormous
genetic and epigenetic changes. RNA editing is an epigenetic mechanism that
serves as an additional layer of 'RNA mutations' in parallel to DNA mutations.
The most frequent type of RNA editing, A-to-I (adenosine-to-inosine) editing
catalyzed by Adenosine DeAminase that act on RNA (ADARs), modulates RNA
transcripts with profound impact on cellular functions. RNA editing dysregulation
has been found to be associated with cancers. Our recent study demonstrated that
among all the three RNA editing enzymes, only ADAR1 was overexpressed in primary
ESCCs compared with matched non-tumor specimens. In this review, we will discuss
current views on the involvement of abnormal A-to-I editing in cancer
development, more specifically on the ADAR1-mediated editing in ESCC. Although
much is not yet learned about the role of ADAR1 in ESCC, ADAR1 may present an
attractive option as a new biomarker for ESCC and as a new molecular therapeutic
target.
PMID- 24928583
TI - Parents' perspectives on the value of assistance dogs for children with autism
spectrum disorder: a cross-sectional study.
AB - OBJECTIVE: While there is an emerging literature on the usefulness of assistance
dogs for children with autism spectrum disorder (ASD), there is a dearth of
quantitative data on the value of assistance dog interventions for the family
unit and family functioning. Using previously validated scales and scales
developed specifically for this study, we measured parents'/guardians'
perceptions of how having an assistance dog affects: (1) child safety from
environmental dangers, (2) public reception of ASD and (3) levels of caregiver
strain and sense of competence. We also obtained open-ended response data from
parents/guardians on benefits and constraints of having an assistance dog.
SETTING: This study was based in the primary care setting, within the context of
a specific accredited assistance dog centre in Ireland. PARTICIPANTS: A total of
134 parents/guardians with an assistance dog, and 87 parents of children on the
waiting list were surveyed. PRIMARY AND SECONDARY OUTCOME MEASURES: The primary
outcome measures were scores on environmental hazards and public reception
scales. The secondary outcome measures were scores on caregiver strain and
competence scales. RESULTS: Parents/guardians of children who have ASD and an
assistance dog rate their child as significantly safer from environmental dangers
(p<0.001), perceive that the public act more respectfully and responsibly towards
their child (p<0.001) and feel more competent about managing their child
(p=0.023) compared with parents on the waiting list. There was a concentration of
positive feeling towards assistance dog interventions with particular focus on
safety and comfort for children, and a sense of freedom from family restrictions
associated with ASD. The amount of dedication and commitment required to care for
a dog were viewed as the primary constraints. CONCLUSIONS: Our findings indicate
that parents perceive that assistance dog interventions can be a valuable
intervention for families with children who have ASD.
PMID- 24928582
TI - Differentiation state-specific mitochondrial dynamic regulatory networks are
revealed by global transcriptional analysis of the developing chicken lens.
AB - The mature eye lens contains a surface layer of epithelial cells called the lens
epithelium that requires a functional mitochondrial population to maintain the
homeostasis and transparency of the entire lens. The lens epithelium overlies a
core of terminally differentiated fiber cells that must degrade their
mitochondria to achieve lens transparency. These distinct mitochondrial
populations make the lens a useful model system to identify those genes that
regulate the balance between mitochondrial homeostasis and elimination. Here we
used an RNA sequencing and bioinformatics approach to identify the transcript
levels of all genes expressed by distinct regions of the lens epithelium and
maturing fiber cells of the embryonic Gallus gallus (chicken) lens. Our analysis
detected more than 15,000 unique transcripts expressed by the embryonic chicken
lens. Of these, more than 3000 transcripts exhibited significant differences in
expression between lens epithelial cells and fiber cells. Multiple transcripts
coding for separate mitochondrial homeostatic and degradation mechanisms were
identified to exhibit preferred patterns of expression in lens epithelial cells
that require mitochondria relative to lens fiber cells that require mitochondrial
elimination. These included differences in the expression levels of metabolic
(DUT, PDK1, SNPH), autophagy (ATG3, ATG4B, BECN1, FYCO1, WIPI1), and mitophagy
(BNIP3L/NIX, BNIP3, PARK2, p62/SQSTM1) transcripts between lens epithelial cells
and lens fiber cells. These data provide a comprehensive window into all genes
transcribed by the lens and those mitochondrial regulatory and degradation
pathways that function to maintain mitochondrial populations in the lens
epithelium and to eliminate mitochondria in maturing lens fiber cells.
PMID- 24928584
TI - How does morphology impact on diastolic function in hypertrophic cardiomyopathy?
A single centre experience.
AB - OBJECTIVES: It is unclear if morphology impacts on diastole in hypertrophic
cardiomyopathy (HCM). We sought to determine the relationship between various
parameters of diastolic function and morphology in a large HCM cohort. SETTING:
Tertiary referral centre from Stanford, California, USA. PARTECIPANTS: 383
patients with HCM and normal systolic function between 1999 and 2011. A group of
100 prospectively recruited age-matched and sex-matched healthy participants were
used as controls. PRIMARY AND SECONDARY OUTCOME MEASURES: Echocardiograms were
assessed by two blinded board-certified cardiologists. HCM morphology was
classified as described in the literature (reverse, sigmoid, symmetric, apical
and undefined). RESULTS: Reverse curvature morphology was most commonly observed
(218 (57%). Lateral mitral annular E'<12 cm/s was present in 86% of reverse, 88%
of sigmoid, 79% of symmetric, 86% of apical and 81% of undefined morphology,
p=0.65. E/E' was similarly elevated (E/E': 12.3+/-7.9 in reverse curvature,
12.1+/-6.1 in sigmoid, 12.7+/-9.5 in symmetric, 9.4+/-4.0 in apical, 12.7+/-7.9
in undefined morphology, p=0.71) and indexed left atrial volume (LAVi)>40 mL/m(2)
was present in 47% in reverse curvature, 33% in sigmoid, 32% in symmetric, 37% in
apical and 32% in undefined, p=0.09. Each morphology showed altered parameters of
diastolic function when compared with the control population. Left ventricular
(LV) obstruction was independently associated with all three diastolic parameters
considered, in particular with LAVi>40 mL/m(2) (OR 2.04 (95% CI 1.23 to 3.39),
p=0.005), E/E'>15 (OR 4.66 (95% CI 2.51 to 8.64), p<0.001) and E'<8 (OR 2.55 (95%
CI 1.42 to 4.53), p=0.001). Other correlates of diastolic dysfunction were age,
LV wall thickness and moderate-to-severe mitral regurgitation. CONCLUSIONS: In
HCM, diastolic dysfunction is present to similar degrees independently from the
morphological pattern. The main correlates of diastolic dysfunction are LV
obstruction, age, degree of hypertrophy and degree of mitral regurgitation.
PMID- 24928585
TI - Managing risk in cancer presentation, detection and referral: a qualitative study
of primary care staff views.
AB - OBJECTIVES: In the UK, there have been a number of national initiatives to
promote earlier detection and prompt referral of patients presenting to primary
care with signs and symptoms of cancer. The aim of the study was to explore the
experiences of a range of primary care staff in promoting earlier presentation,
detection and referral of patients with symptoms suggestive of cancer. SETTING:
Six primary care practices in northwest England. PARTICIPANTS: 39 primary care
staff from a variety of disciplines took part in five group and four individual
interviews. RESULTS: The global theme to emerge from the interviews was 'managing
risk', which had three underpinning organising themes: 'complexity', relating to
uncertainty of cancer diagnoses, service fragmentation and plethora of
guidelines; 'continuity', relating to relationships between practice staff and
their patients and between primary and secondary care; 'conflict' relating to
policy drivers and staff role boundaries. A key concern of staff was that
policymakers and those implementing cancer initiatives did not fully understand
how risk was managed within primary care. CONCLUSIONS: Primary care staff
expressed a range of views and opinions on the benefits of cancer initiatives.
National initiatives did not appear to wholly resolve issues in managing risk for
all practitioners. Staff were concerned about the number of guidelines and
priorities they were expected to implement. These issues need to be considered by
policymakers when developing and implementing new initiatives.
PMID- 24928586
TI - Dietary changes and associations with metabolic improvements in adults with type
2 diabetes during a patient-centred dietary intervention: an exploratory
analysis.
AB - OBJECTIVES: Describe dietary intake of participants enrolled in a non
prescriptive dietary intervention and dietary changes at 6 months and explore
whether these changes had a role in observed improvements in glycated haemoglobin
(HbA1c), weight, lipids and blood pressure. DESIGN: Secondary analysis of data
from the Early ACTivity in Diabetes randomised controlled trial. PARTICIPANTS:
262 patients with newly diagnosed type 2 diabetes randomised to the dietary
intervention. OUTCOMES AND ANALYSIS: Changes in energy intake, macronutrients,
fibre and alcohol and in weight, waist circumference, lipids, HbA1c and blood
pressure at baseline and 6 months. Multivariate models were used to examine
associations between dietary changes and metabolic variables. RESULTS: Men
reported reducing mean energy intake from 1903+/-462 kcal to 1685 kcal+/-439 kcal
(p<0.001), increasing carbohydrate intake from 42.4+/-6.6% to 43.8+/-6.6%
(p=0.002) and reducing median alcohol intake from 13 (0-27) g to 5 (0-18) g
(p<0.001). Women reported reducing mean energy intake from 1582+/-379 kcal to
1459+/-326 kcal (p<0.001) with no change to macronutrient distribution and
alcohol. Fibre intake was maintained. In men (n=148), weak and clinically
insignificant associations were found between increased carbohydrates and
reduction in HbA1c (beta=-0.003 (-0.006, -0.001); p=0.009), increased fibre and
reduction in total cholesterol (beta=-0.023 (-0.044, -0.002); p=0.033), decreased
total fat and reduction in low-density lipoprotein (LDL)-cholesterol (beta=0.024
(0.006, 0.001); p=0.011), and decreased alcohol and reduction in diastolic blood
pressure (beta=0.276 (0.055, 0.497); p=0.015). In women (n=75), associations were
found between a decrease in transfats and reductions in waist circumference
(beta=-0.029 (0.006, 0.052); p=0.015), total cholesterol (beta=0.399 (0.028,
0.770); p=0.036) and LDL cholesterol (beta=0.365 (0.042, 0.668); p=0.028).
CONCLUSIONS: Clinically important metabolic improvements observed in a patient
centred dietary intervention were not explained by changes in macronutrients.
However, a non-prescriptive approach may promote a reduction in total energy
intake while maintaining fibre consumption. TRIAL REGISTRATION NUMBER: The Early
ACTID trial number ISRCTN92162869.
PMID- 24928587
TI - Acupuncture as analgesia for non-emergent acute non-specific neck pain, ankle
sprain and primary headache in an emergency department setting: a protocol for a
parallel group, randomised, controlled pilot trial.
AB - INTRODUCTION: This study aims to assess the feasibility of acupuncture as an add
on intervention for patients with non-emergent acute musculoskeletal pain and
primary headache in an emergency department (ED) setting. METHODS AND ANALYSIS: A
total of 40 patients who present to the ED and are diagnosed to have acute non
specific neck pain, ankle sprain or primary headache will be recruited by ED
physicians. An intravenous or intramuscular injection of analgesics will be
provided as the initial standard pain control intervention for all patients.
Patients who still have moderate to severe pain after the 30 min of initial
standard ED management will be considered eligible. These patients will be
allocated in equal proportions to acupuncture plus standard ED management or to
standard ED management alone based on computer-generated random numbers concealed
in opaque, sealed, sequentially numbered envelopes. A 30 min session of
acupuncture treatment with manual and/or electrical stimulation will be provided
by qualified Korean medicine doctors. All patients will receive additional ED
management at the ED physician's discretion and based on each patient's response
to the allocated intervention. The primary outcome will be pain reduction
measured at discharge from the ED by an unblinded assessor. Adverse events in
both groups will be documented. Other outcomes will include the patient-reported
overall improvement, disability due to neck pain (only for neck-pain patients),
the treatment response rate, the use of other healthcare resources and the
patients' perceived effectiveness of the acupuncture treatment. A follow-up
telephone interview will be conducted by a blinded assessor 72+/-12 h after ED
discharge. ETHICS AND DISSEMINATION: Written informed consent will be obtained
from all participants. The study has been approved by the Institutional Review
Boards (IRBs). The results of this study will guide a full-scale randomised trial
of acupuncture in an ED context. TRIAL REGISTRATION NUMBER: ClinicalTrials.gov:
NCT02013908.
PMID- 24928588
TI - Insurance claims data: a possible solution for a national sports injury
surveillance system? An evaluation of data information against ASIDD and
consensus statements on sports injury surveillance.
AB - BACKGROUND: Before preventive actions can be suggested for sports injuries at the
national level, a solid surveillance system is required in order to study their
epidemiology, risk factors and mechanisms. There are guidelines for sports injury
data collection and classifications in the literature for that purpose. In
Sweden, 90% of all athletes (57/70 sports federations) are insured with the same
insurance company and data from their database could be a foundation for studies
on acute sports injuries at the national level. OBJECTIVE: To evaluate the
usefulness of sports injury insurance claims data in sports injury surveillance
at the national level. METHOD: A database with 27 947 injuries was exported to an
Excel file. Access to the corresponding text files was also obtained. Data were
reviewed on available information, missing information and dropouts. Comparison
with ASIDD (Australian Sports Injury Data Dictionary) and existing consensus
statements in the literature (football (soccer), rugby union, tennis, cricket and
thoroughbred horse racing) was performed in a structured manner. RESULT:
Comparison with ASIDD showed that 93% of the suggested data items were present in
the database to at least some extent. Compliance with the consensus statements
was generally high (13/18). Almost all claims (83%) contained text information
concerning the injury. CONCLUSIONS: Relatively high-quality sports injury data
can be obtained from a specific insurance company at the national level in
Sweden. The database has the potential to be a solid base for research on acute
sports injuries in different sports at the national level.
PMID- 24928590
TI - A population-based cross-sectional study of barriers to uptake of eye care
services in South India: the Rapid Assessment of Visual Impairment (RAVI)
project.
AB - OBJECTIVE: To assess the barriers to uptake of eye care services among those with
avoidable impairment in the population aged >=40 years in the South Indian state
of Andhra Pradesh. DESIGN: Cross-sectional study. SETTING: Community setting.
PARTICIPANTS: Of 7800 participants recruited from one urban and two rural
locations using a two-stage cluster random sampling methodology, 7378 (95%) were
examined. Eye examinations were conducted using a rapid assessment protocol.
Visual impairment (VI) was defined as presenting visual acuity <6/18 in the
better eye. For the purpose of this study, VI caused due to cataract or
uncorrected refractive error was considered avoidable VI. A validated
questionnaire was used to collect information on barriers for uptake of services
among those who had avoidable VI. PRIMARY OUTCOME: Barriers to uptake of services
among those with avoidable VI. RESULTS: The prevalence of avoidable VI was 11.8%
(95% CI 11.0% to 12.5%; n=868). Among these, 71.1% (n=617) individuals reported
'person-related' barriers whereas 28.9% (n=251) individuals reported 'service
related' barriers to uptake of services. Among the 'person-related' barriers, the
leading barrier was 'lack of perceived need' (61.1%; n=377) for reasons such as
old age, good vision in the other eye. This was followed by 'no one to accompany'
(20.3%; n=125). Of the 251 individuals who had 'service-related' barriers, lack
of affordability was the major barrier (76.1%; n=191) followed by lack of
accessibility (12.7%; n=32). Over 11% (n=28) of the individuals were advised to
wait for cataract surgery. CONCLUSIONS: Person-related barriers are more common
than service-related barriers in Andhra Pradesh. As the barriers trend more
towards 'person-related' phenomenon such as person's attitude and 'felt need' to
improve vision, newer and much intensive awareness campaigns are needed to bring
about an attitudinal/behavioural change among individuals to improve the uptake
of services.
PMID- 24928589
TI - Clinical characteristics and short-term outcomes in patients with elevated
admission systolic blood pressure after acute ST-elevation myocardial infarction:
a population-based study.
AB - OBJECTIVE: Prognostic value of lower admission systolic blood pressure (SBP) in
patients with acute myocardial infarction has been confirmed, but the impact of
elevated admission SBP on short-term outcomes has been evaluated only by a
limited number of studies and they have reported conflicting results. The aim of
our study was to investigate the characteristics and short-term outcomes in
patients with elevated admission SBP after ST-elevation myocardial infarction
(STEMI). DESIGN: A population-based, observational study. SETTING: The
multicentre registry in China. PARTICIPANTS: A total of 7510 consecutive patients
with STEMI were registered. Patients were divided into three groups according to
admission SBP: normal admission SBP (100-139 mm Hg), modestly elevated admission
SBP (140-179 mm Hg) and excessively elevated admission SBP (>=180 mm Hg). The
primary outcomes were 7-day and 30-day all-cause mortality, major adverse cardiac
events (MACE) and bleeding rate. RESULTS: Of 6591 patients, 4182 (63.5%) had
normal admission SBP, 2187 (33.2%) modestly elevated admission SBP and 222 (3.4%)
excessively elevated admission SBP. Patients with elevated admission SBP had a
high-risk profile, such as were more likely to be older, with more concomitant
cardiovascular morbidities, presenting with more events of anterior myocardial
infarction and less reperfusion treatment. However, 7-day and 30-day all-cause
mortality, MACE and bleeding rate were comparable among groups (all p>0.05).
Survival curves and MACE curves were similar among groups (p=0.377 and 0.375,
respectively). After multivariate adjustment, elevated admission SBP was not
associated with increased risk of short-term death and bleeding, and MACE was
comparable with normal admission SBP. CONCLUSIONS: Although those with elevated
admission SBP after STEMI were at a higher risk for cardiovascular events, they
did not have poorer short-term outcomes compared with patients with normal
admission SBP.
PMID- 24928591
TI - Long-term clinical outcomes after deployment of femoral vascular closure devices
in coronary angiography and percutaneous coronary intervention: an observational
single-centre registry follow-up.
AB - OBJECTIVES: There are few data evaluating the long-term effect of femoral
vascular closure devices (FCDs) on patients' clinical outcome. We aim to evaluate
the incidence of peripheral vascular disease (PVD) in patients who received FCD
following its deployment in coronary angiography and percutaneous coronary
intervention (PCI) procedures. DESIGN AND SETTING: Observational study of a
single-centre registry. PARTICIPANTS: From June 2000 to September 2004, 265
patients who received FCD after coronary angiography and PCIs were enrolled on
the study. OUTCOME MEASURES: Clinical follow-up (using Rutherford's categories of
claudication), ankle brachial index (ABI) and duplex ultrasound of femoral
arteries (using the non-accessed side as control) were performed to evaluate the
presence of PVD. RESULTS: The mean follow-up interval was 3320+/-628 days. 1
patient (0.4%) suffered from grade 2 claudication and another (0.4%) suffered
from grade 1 claudication. The mean ABIs of the accessed side and non-accessed
side were 1.06+/-0.13 and 1.08+/-0.11, respectively (p=0.17). For duplex
ultrasound, the mean common femoral artery peak systolic velocities of the
accessed side and non-accessed side were 87.4+/-22.3 and 87.7+/-22.1 cm/s,
respectively (p=0.73); the mean superficial femoral artery peak systolic
velocities of the accessed side and non-accessed side were 81.4+/-20.1 and
81.31+/-17.8 cm/s, respectively (p=0.19). CONCLUSIONS: The use of FCD after a
coronary angiogram and PCI is safe and does not increase the long-term risk of
PVD.
PMID- 24928592
TI - Passive smoking at home is a risk factor for community-acquired pneumonia in
older adults: a population-based case-control study.
AB - OBJECTIVE: To assess whether passive smoking exposure at home is a risk factor
for community-acquired pneumonia (CAP) in adults. SETTING: A population-based
case-control study was designed in a Mediterranean area with 860 000 inhabitants
>14 years of age. PARTICIPANTS: 1003 participants who had never smoked were
recruited. PRIMARY AND SECONDARY OUTCOME MEASURES: Risk factors for CAP,
including home exposure to passive smoking, were registered. All new cases of CAP
in a well-defined population were consecutively recruited during a 12-month
period. METHODS: A population-based case-control study was designed to assess
risk factors for CAP, including home exposure to passive smoking. All new cases
of CAP in a well-defined population were consecutively recruited during a 12
month period. The subgroup of never smokers was selected for the present
analysis. RESULTS: The study sample included 471 patients with CAP and 532
controls who had never smoked. The annual incidence of CAP was estimated to be
1.14 cases*10(-3) inhabitants in passive smokers and 0.90*10(-3) in non-passive
smokers (risk ratio (RR) 1.26; 95% CI 1.02 to 1.55) in the whole sample. In
participants >=65 years of age, this incidence was 2.50*10(-3) in passive smokers
and 1.69*10(-3) in non-passive smokers (RR 1.48, 95% CI 1.08 to 2.03). In this
last age group, the percentage of passive smokers in cases and controls was 26%
and 18.1%, respectively (p=0.039), with a crude OR of 1.59 (95% CI 1.02 to 2.38)
and an adjusted (by age and sex) OR of 1.56 (95% CI 1.00 to 2.45). CONCLUSIONS:
Passive smoking at home is a risk factor for CAP in older adults (65 years or
more).
PMID- 24928593
TI - Effect of a redesigned fracture management pathway and 'virtual' fracture clinic
on ED performance.
AB - OBJECTIVES: Collaboration between the orthopaedic and emergency medicine (ED)
services has resulted in standardised treatment pathways, leaflet supported
discharge and a virtual fracture clinic review. Patients with minor, stable
fractures are discharged with no further follow-up arranged. We aimed to examine
the time taken to assess and treat these patients in the ED along with the rate
of unplanned reattendance. DESIGN: A retrospective study was undertaken that
covered 1 year before the change and 1 year after. Prospectively collected
administrative data from the electronic patient record system were analysed and
compared before and after the change. SETTING: An ED and orthopaedic unit,
serving a population of 300 000, in a publicly funded health system.
PARTICIPANTS: 2840 patients treated with referral to a traditional fracture
clinic and 3374 patients managed according to the newly redesigned protocol.
OUTCOME MEASURES: Time for assessment and treatment of patients with orthopaedic
injuries not requiring immediate operative management, and 7-day unplanned
reattendance. RESULTS: Where plaster backslabs were replaced with removable
splints, the consultation time was reduced. There was no change in treatment time
for other injuries treated by the new discharge protocol. There was no increase
in unplanned ED attendance, related to the injury, within 7 days (p=0.149). There
was a decrease in patients reattending the ED due to a missed fracture clinic
appointment. CONCLUSIONS: This process did not require any new time resources
from the ED staff. This process brought significant benefits to the ED as
treatment pathways were agreed. The pathway reduced unnecessary reattendance of
patients at face-to-face fracture clinics for a review of stable, self-limiting
injuries.
PMID- 24928594
TI - Effect of exercise on anthropometric measures and serum lipids in older
individuals: a systematic review and meta-analysis.
AB - OBJECTIVES: Overweight and obesity are increasing in individuals over age 60
years. This systematic review quantifies the effect of exercise on body mass
index (BMI), waist circumference (WC) and lipids in overweight and obese
individuals over the age of 60 years. SETTINGS: Nine randomised controlled trials
conducted in Brazil, Great Britain, Iceland, Japan and the USA compared aerobic
and/or resistance exercise with a control group. PARTICIPANTS: Final analysis
reviewed 1166 participants over the age of 60 years for 3-9 months. PRIMARY
OUTCOME MEASURES: This study reviewed the effects of exercise on BMI, WC and low
density lipoprotein (LDL). RESULTS: Exercise produced a significant reduction in
BMI (-1.01 kg/m(2), 95% CI -2.00 to -0.01) and WC (-3.09 cm, 95% CI -4.14 to
2.04) but not LDL cholesterol (-0.31 mg/dL, 95% CI -0.81 to 0.19). Analyses
revealed substantial heterogeneity likely due to the type and intensity of
exercise. Data on adverse effects were minimal. The overall level of evidence is
moderate due to imprecision and heterogeneity. CONCLUSIONS: Exercise in
overweight and obese older individuals improves anthropometric measures such as
BMI and WC. The effect of exercise on serum lipids is unclear.
PMID- 24928596
TI - How common are symptoms? Evidence from a New Zealand national telephone survey.
AB - OBJECTIVE: To assess the frequency of symptoms in a general population sample
over the previous week and the associations between symptom reporting and
demographic factors, medical visits and medication use. DESIGN: A representative
general population sample (n=1000) was recruited using random digit dialling.
Participants were asked whether they had experienced any of a list of 46 symptoms
in the previous 7 days and if so, whether the symptom was mild, moderate or
severe. Demographic data and information on medical visits and medication use
were also collected. RESULTS: Symptom reporting was very common. The median
number of symptoms reported by participants in the previous week was 5 with only
10.6% of participants reporting no symptoms. The five most common symptoms in the
previous 7 days were: back pain (38%), fatigue (36%), headache (35%), runny or
stuffy nose (34%) and joint pain (34%). The five symptoms rated highest in terms
of severity were sexual difficulties, vomiting, tremor, suicidal thoughts and
sleep problems. Symptom reporting was significantly positively associated with
medical visits in the previous year and current medication taking. Women reported
a significantly greater number of symptoms. We found no significant association
between age or household size and symptom reporting. CONCLUSIONS: This population
based study found that symptoms are more commonly experienced in the general
population than previously estimated and are strongly associated with healthcare
visits. Appreciation of the high prevalence of symptoms may help normalise the
experience of symptom reports among the general population.
PMID- 24928595
TI - A hard pill to swallow: a qualitative study of women's experiences of adjuvant
endocrine therapy for breast cancer.
AB - OBJECTIVE: To explore women's experiences of taking adjuvant endocrine therapy as
a treatment for breast cancer and how their beliefs about the purpose of the
medication, side effects experienced and interactions with health professionals
might influence adherence. DESIGN: Qualitative study using semistructured, one-to
one interviews. SETTING: 2 hospitals from a single health board in Scotland.
PARTICIPANTS: 30 women who had been prescribed tamoxifen or aromatase inhibitors
(anastrozole or letrozole) and had been taking this medication for 1-5 years.
RESULTS: Women clearly wished to take their adjuvant endocrine therapy medication
as prescribed, believing that it offered them protection against breast cancer
recurrence. However, some women missed tablets and did not recognise that this
could reduce the efficacy of the treatment. Women did not perceive that
healthcare professionals were routinely or systematically monitoring their
adherence. Side effects were common and impacted greatly on the women's quality
of life but did not always cause women to stop taking their medication, or to
seek advice about reducing the side effects they experienced. Few were offered
the opportunity to discuss the impact of side effects or the potential options
available. CONCLUSIONS: Although most women in this study took adjuvant endocrine
therapy as prescribed, many endured a range of side effects, often without
seeking help. Advice, support and monitoring for adherence are not routinely
offered in conventional follow-up settings. Women deserve more opportunity to
discuss the pros, cons and impact of long-term adjuvant endocrine therapy. New
service models are needed to support adherence, enhance quality of life and
ultimately improve survival. These should ideally be community based, in order to
promote self-management in the longer term.
PMID- 24928597
TI - Maternal urinary iodine concentration in pregnancy and children's cognition:
results from a population-based birth cohort in an iodine-sufficient area.
AB - OBJECTIVE: Reports from populations with an insufficient iodine intake suggest
that children of mothers with mild iodine deficiency during pregnancy are at risk
for cognitive impairments. However, it is unknown whether, even in iodine
sufficient areas, low levels of iodine intake occur that influence cognitive
development in the offspring. This study investigated the association between
maternal low urinary iodine concentration (UIC) in pregnancy and children's
cognition in a population-based sample from a country with an optimal iodine
status (the Netherlands). SETTING AND PARTICIPANTS: In 1525 mother-child pairs in
a Dutch multiethnic birth cohort, we investigated the relation between maternal
UIC<150 MUg/g creatinine, assessed <18 weeks gestation and children's cognition.
OUTCOMES MEASURES: Non-verbal IQ and language comprehension were assessed during
a visit to the research centre using Dutch test batteries when the children were
6 years. RESULTS: In total, 188 (12.3%) pregnant women had UIC<150 MUg/g
creatinine, with a median UIC equal to 119.3 MUg/g creatinine. The median UIC in
the group with UIC>150 MUg/g creatinine was 322.9 MUg/g and in the whole sample
296.5 MUg/g creatinine. There was a univariate association between maternal low
UIC and children's suboptimum non-verbal IQ (unadjusted OR=1.44, 95% CI 1.02 to
2.02). However, after adjustment for confounders, maternal low UIC was not
associated with children's non-verbal IQ (adjusted OR=1.33, 95% CI 0.92 to 1.93).
There was no relation between maternal UIC in early pregnancy and children's
language comprehension at 6 years. CONCLUSIONS: The lack of a clear association
between maternal low UIC and children's cognition probably reflects that low
levels of iodine were not frequent and severe enough to affect neurodevelopment.
This may result from the Dutch iodine fortification policy, which allows iodised
salt to be added to almost all processed food and emphasises the monitoring of
iodine intake in the population.
PMID- 24928598
TI - Comparison of two methods for measurement of equine insulin.
AB - Diagnosis of equine hyperinsulinemia requires an accurate method for
quantification of equine insulin concentrations. The objectives of the current
study were to compare 2 commercially available techniques for measurement of
equine insulin, the radioimmunoassay (RIA) and chemiluminescent immunoassay
(CIA). Recovery was poor for both assays, but worse for the CIA. Serial dilution
of a high endogenous insulin sample yielded better linearity for the RIA ( r2 =
0.99, P < 0.001) than the CIA ( r2 = 0.92, P = 0.009). Bland-Altman analysis
indicated that the CIA was, on average, 91 pmol/l higher than the RIA, with wide
limits of agreement (95% limits of agreement: -508 to 691 pmol/l). These findings
suggest that results between the assays should not be considered interchangeable.
PMID- 24928599
TI - Detection and genetic characterization of Canine parvovirus and Canine
coronavirus strains circulating in district of Tirana in Albania.
AB - An epidemiological survey for Canine parvovirus 2 (CPV-2) and Canine coronavirus
(CCoV) was conducted in Albania. A total of 57 fecal samples were collected from
diarrheic dogs in the District of Tirana during 2011-2013. The molecular assays
detected 53 and 31 CPV- and CCoV-positive specimens, respectively, with mixed CPV
CCoV infections diagnosed in 28 dogs. The most frequently detected CPV type was
2a, whereas IIa was the predominant CCoV subtype. A better comprehension of the
CPV-CCoV epidemiology in eastern European countries will help to assess the most
appropriate vaccination strategies to prevent disease due to infections with
these widespread agents of acute gastroenteritis in the dog.
PMID- 24928602
TI - Foreword.
PMID- 24928603
TI - The life-cycle argument: age as a mediator of pharmacists' earnings.
AB - BACKGROUND: Age diversity poses challenges to pharmacy employers and managers. A
life-cycle argument has been presented to explain pharmacists' age-related
differences at work. OBJECTIVES: Explore responses of pharmacists' wage-and
salary earnings in three age groups (younger than 40, 40-54 years, and 55 years
plus) to labor input and human-capital variables. METHODS: A survey questionnaire
was mailed to registered pharmacists in South Florida, USA. An earnings function
was formulated and tested, using ordinary least squares, for each age group
separately to compare the direction, magnitude, and statistical significance of
each determinant on earnings. The covariates were number of hours worked, type of
pharmacy degree, years of professional experience, gender, number of children,
and whether the pharmacist had completed a residency and/or attained a specialty
board certification. RESULTS: The model showed better fit and statistical
significance for practitioners under 40 and 55 years or older. The number of
hours worked was the overwhelming determinant, but the magnitude of its influence
was different for the three age groups. Human-capital indicators provided
evidence in support of the life-cycle argument. CONCLUSION: The wage-and-salary
earnings of pharmacy practitioners were mediated by age group in their response
to labor input and human-capital variables.
PMID- 24928605
TI - Editor's foreword.
PMID- 24928604
TI - Energy expenditure, spontaneous physical activity and with weight gain in kidney
transplant recipients.
AB - BACKGROUND & AIMS: Alterations in energy metabolism could trigger weight gain
after renal transplantation. METHODS: Nineteen transplanted non-diabetic men, 53
+/- 1.6 years old, receiving calcineurin inhibitors but no corticosteroids were
studied. They were compared with nine healthy men matched for height, age and
lean body mass. Daily energy expenditure and its components (sleeping, basal and
absorptive metabolic rates) were analyzed for 24 h in calorimetric chambers and
for 4 days in free living conditions using calibrated accelerometry. Other
variables known to influence energy expenditure were assessed: body composition,
physical activity, 4-day food intake, drug consumption, serum C-reactive protein,
interleukin-6, thyroid and parathyroid hormones, and epinephrine. Transplant
recipients who gained more than 5% body weight after transplantation (n = 11,
+11.0 +/- 1.5 kg) were compared with those who did not (n = 8) and with the
controls. RESULTS: Weight gain compared with non-weight gain patients and
controls exhibited higher fat mass without change in lean body mass. Daily,
sleeping and resting energy expenditure adjusted for lean body mass was
significantly higher in non-weight gain (167.1 +/- 4.2 kJ/kg/lean body mass/24 h,
P < 0.05) compared with weight gain patients (147.4 +/- 3.6) and controls (146.1
+/- 4.6). Weight gain compared with controls and non-weight gain subjects had
lower free living physical activity and a higher consumption of antihypertensive
drugs and beta-blockers. CONCLUSIONS: After kidney transplantation, weight gain
patients were characterized by lower adjusted energy expenditure, reduced
spontaneous physical activity but a more sedentary life style and a trend toward
a higher energy intake explaining the reason they gained weight. The nWG KTR had
increased resting and sleeping EE which protected them from weight gain. Such
hypermetabolism was also observed in 24-h EE measurements. By comparison with the
nWG patients, the WG transplant recipients were characterized by higher beta
blocker consumption. These data could be helpful in the prevention of weight gain
in kidney transplant recipients.
PMID- 24928606
TI - "When nothing matters, things just happen": young parenting women's reflections
on caring, health, and justice.
AB - The field of public health frequently issues calls for social justice, but it is
not clear that everyone agrees on what this means or how to achieve it. To assess
lay citizens' views on the relationship between justice and health, we conducted
individual interviews with 19 young parenting women to hear and discuss their
thoughts about the causes of health disparities, ways to reduce them, and the
nature of the just society. A salient theme to emerge in these interviews was the
topic of "caring." This article reports on four categories identified under the
theme of caring: 1) observations of apathy and indifference; 2) the effects of
not caring; 3) models of caring; and 4) the pull of caring. Based on these
results, the article outlines a grounded theory on the role of caring in
conceptualizing health motivation.
PMID- 24928607
TI - Establishing health ministries: leaders' perceptions of process and
effectiveness.
AB - Church-based health interventions are one mechanism to address health issues of
African Americans. This study determines the perceptions of health leaders
regarding the development process for and the effectiveness of church health
ministries, using the Precede/Proceed model. Ten health leaders from six medium
sized churches in a North Florida county participated in a 10-month breast health
intervention. Data were collected using two methods. A brief questionnaire was
administered that included items related to knowledge about breast health,
perceptions of and processes for health ministry development, and perceptions of
resources used during the intervention. In addition, a focus group was conducted
with the health leaders, using a trained moderator, which included questions
about their health behaviors prior to and after the project, biggest successes
and challenges in establishing their health ministries, and plans for sustaining
the health ministry after the project. Questionnaire data were analyzed using
descriptive statistics and paired t-tests. Focus group data and open-ended
questionnaire responses were transcribed and analyzed using code-based analytic
procedures where data were organized into thinking units, categories, and then
broader themes. Findings indicate that health leaders perceived that health
ministry development focused on a series of steps, including: a) predisposing:
health leaders background (personal characteristics, education/profession, health
behaviors); b) enabling: support and participation from the pastor; and c)
reinforcing: start-up processes (personal contact, public relations, materials
and church member involvement) and outputs (activities and partnerships). This
study demonstrates that health ministry development involves a sequential process
that fits within a broad organizational framework for health behavior change.
PMID- 24928608
TI - Teacher perspectives on implementing and sustaining a handwashing promotion
intervention in Western Kenyan primary schools.
AB - School-based handwashing programs are challenging to establish and sustain,
especially in low-resource settings. This qualitative study described teacher
perspectives associated with implementing and sustaining a handwashing program in
primary schools participating in the Nyando Integrated Child Health and Education
(NICHE) project. Structured key informant interviews were conducted with
teachers. Prevalent concepts and themes were grouped into themes and topic areas
using an iterative, open coding approach. Forty-one teacher respondents reported
favorable expectations and benefits of handwashing programs. The importance of
available resources (e.g., reliable water) was cited as a primary concern. Other
challenges included time and personal or institutional financial commitment
necessary to ensure program sustainability. Handwashing programs in low-income,
rural schools, where infrastructure is lacking and "student ambassadors" extend
the intervention to the surrounding community, hold great promise to improve
community health. Teachers must have adequate support and resources to implement
and sustain the programs.
PMID- 24928609
TI - A community-based intervention to promote breast cancer awareness and screening
among Asian American women.
AB - Cancer is the leading cause of death for Asian Americans, and breast cancer is
the leading cancer diagnosed among Asian American women. Despite the alarming
facts, Asian American women have low breast cancer screening rates. This study
examined the effects of a culturally appropriate community-based breast
intervention program on participants' knowledge about breast cancer and intention
for screening among Southeast Asian and South Asian women in Michigan. Data were
collected from 166 women. At baseline, participants had limited knowledge of
breast cancer screening guidelines and misconceptions about the risk factors of
breast cancer. After the educational intervention, participants reported
significantly higher knowledge scores related to breast cancer and screening
recommendations and intentions to obtain follow-up CBE and mammograms. This
culturally appropriate intervention provides strategies to overcome personal
instructional barriers to meet the needs of this group for early detection and
cancer control.
PMID- 24928610
TI - Predicting childhood obesity prevention behaviors using social cognitive theory
among upper elementary African-American children.
AB - Childhood obesity is a major public health problem in the African-American
community. Commonly suggested public health strategies to reduce childhood
obesity are limiting television viewing, encouraging daily moderately intense
physical activity of at least 60 minutes per day, increasing fruit and vegetable
intake to five or more cups per day, and increasing water consumption. This study
examined the extent to which selected social cognitive theory constructs can
predict these four behaviors in African-American upper elementary children. A 56
item valid and reliable scale was administered to 222 students. Glasses of water
consumed were predicted by self-control for drinking water and self-efficacy for
drinking water (R2 = 0.123). Fruits and vegetables consumed were predicted by
self-efficacy for eating fruits and vegetables (R2 = 0.083). For designing
primary prevention interventions to reduce childhood obesity in the African
American community, social cognitive theory provides a useful framework.
PMID- 24928611
TI - Suburban sprawl in the developing world: duplicating past mistakes? The case of
Kuala Lumpur, Malaysia.
AB - Newly affluent developing world cities increasingly adopt the same unfortunate
low-density suburban paradigm that shaped cities in the industrialized world.
Identified by a World Bank report as a "mini-Los Angeles," Kuala Lumpur is a
sentinel example of the results of unrestrained sprawl in the developing world.
Factors driving sprawl included government policies favoring foreign investment,
"mega-projects," and domestic automobile production; fragmented governance
structures allowing federal and state government influence on local planning;
increasing middle-class affluence; an oligopoly of local developers; and
haphazard municipal zoning and transport planning. The city's present form
contributes to Malaysia's dual burden of disease, with inner-city shantytown
dwellers facing communicable disease and malnutrition while suburban citizens
experience increasing chronic disease, injury, and mental health issues. Despite
growing awareness in city plans targeted toward higher density development, Kuala
Lumpur presents a warning to other emerging economies of the financial, societal,
and population health costs imposed by quickly-built suburban sprawl.
PMID- 24928612
TI - 6-thioguanine: a drug with unrealized potential for cancer therapy.
AB - Sixty years ago, 6-thioguanine (6-TG) was introduced into the clinic. We suggest
its full potential in therapy may not have been reached. In this paper, we
contrast 6-TG and the more widely used 6-mercaptopurine; discuss 6-TG metabolism,
pharmacokinetics, dosage and schedule; and summarize many of the early studies
that have shown infrequent but nevertheless positive results with 6-TG treatment
of cancers. We also consider studies that suggest that combinations of 6-TG with
other agents may enhance antitumor effects. Although not yet tested in man, 6-TG
has recently been proposed to treat a wide variety of cancers with a high
frequency of homozygous deletion of the gene for methylthioadenosine
phosphorylase (MTAP), often codeleted with the adjacent tumor suppressor CDKN2A
(p16). Among the cancers with a high frequency of MTAP deficiency are leukemias,
lymphomas, mesothelioma, melanoma, biliary tract cancer, glioblastoma,
osteosarcoma, soft tissue sarcoma, neuroendocrine tumors, and lung, pancreatic,
and squamous cell carcinomas. The method involves pretreatment with the naturally
occurring nucleoside methylthioadenosine (MTA), the substrate for the enzyme
MTAP. MTA pretreatment protects normal host tissues, but not MTAP-deficient
cancers, from 6-TG toxicity and permits administration of doses of 6-TG that are
much higher than can now be safely administered. The combination of MTA/6-TG has
produced substantial shrinkage or slowing of growth in two different xenograft
human tumor models: lymphoblastic leukemia and metastatic prostate carcinoma with
neuroendocrine features. Further development and a clinical trial of the proposed
MTA/6-TG treatment of MTAP-deficient cancers seem warranted.
PMID- 24928613
TI - The European Medicines Agency Review of Pertuzumab for the treatment of adult
patients with HER2-positive metastatic or locally recurrent unresectable breast
cancer: summary of the scientific assessment of the committee for medicinal
products for human use.
AB - Pertuzumab is a recombinant humanized monoclonal antibody that specifically
targets the extracellular dimerization domain (subdomain II) of HER2. Based on
the positive opinion from the European Medicines Agency (EMA) on March 4, 2013, a
marketing authorization valid throughout the European Union (EU) was issued for
pertuzumab (Perjeta) for use in combination with trastuzumab and docetaxel for
the treatment of adult patients with HER2-positive metastatic or locally
recurrent unresectable breast cancer who have not received previous anti-HER2
therapy or chemotherapy for their metastatic disease. The demonstration of
clinical benefit for pertuzumab was based on a single, phase III, randomized,
double-blind, placebo-controlled trial comparing the efficacy and safety of
pertuzumab plus trastuzumab plus docetaxel versus placebo plus trastuzumab plus
docetaxel in previously untreated patients with locally advanced or metastatic
HER2-positive breast cancer. In the primary analysis, median progression-free
survival was 18.5 months in the pertuzumab group compared with 12.4 months in the
placebo group (hazard ratio [HR]: 0.62; 95% confidence interval [CI]: 0.51-0.75;
p < .0001). For the secondary endpoints, overall survival (HR: 0.66; 95% CI: 0.52
0.84; p = .0008) and objective response rate (80.2% vs. 69.3%) were also favored
in the pertuzumab group. Toxicity was similar between groups except for higher
incidence of diarrhea, rash, mucosal inflammation, dry skin, and neutropenia for
pertuzumab compared with placebo. This paper summarizes the scientific review of
the application leading to approval in the EU. The detailed scientific assessment
report and product information, including the summary of product characteristics,
are available on the EMA website (http://www.ema.europa.eu).
PMID- 24928614
TI - Cervical brachytherapy exchange: steps toward oncology capacity building in
Botswana.
PMID- 24928615
TI - Cognitive dysfunction might be improved in association with recovered neuronal
viability after intracranial meningioma resection.
AB - Intracranial meningiomas are the most common types of neoplasms that cause mental
disorders. Although higher brain function can be restored and even improved in
some patients after tumor resection, the mechanisms remain unclear. We
investigated changes in the brains of patients after resection of an intracranial
meningioma using (123)I-Iomazenil (IMZ)-single photon emission computed
tomography (SPECT). Ten patients underwent IMZ-SPECT within 4 weeks before and 3
months after intracranial meningioma resection. Changes in IMZ accumulation in
brain parenchyma were assessed as ratios of counts in the lesion-to-contralateral
hemisphere (L/C ratios). Mean Mini-Mental State Examination scores before and
after resection of 19.9+/-11.4 vs. 26.5+/-3.8, respectively (p=0.03) indicated
that the cognitive function of these patients was significantly improved after
tumor resection. The average L/C ratios calculated from image counts of IMZ were
0.92+/-0.05 and 0.98+/-0.02 before and after surgery, respectively. The L/C ratio
of IMZ accumulation was significantly decreased after tumor resection (p=0.0003).
In contrast, regional cerebral blood flow calculated from (123)I-Iodoamphetamine
SPECT images did not significantly differ after tumor resection. The recovered
binding potential of IMZ in brain parenchyma surrounding the tumor bulk after
resection indicates that the viability of central benzodiazepine receptors was
reversibly depressed and recoverable after release from compression by the tumor.
The recovered neuronal viability revealed by IMZ-SPECT might be responsible for
the improved cognitive function after intracranial meningioma resection.
PMID- 24928616
TI - Role of medial prefrontal and orbitofrontal monoamine transporters and receptors
in performance in an adjusting delay discounting procedure.
AB - Performance in an adjusting delay discounting procedure is predictive of drug
abuse vulnerability; however, the shared underlying specific prefrontal neural
systems linking delay discounting and increased addiction-like behaviors are
unclear. Rats received direct infusions of methylphenidate (MPH; 6.25, 25.0, or
100MUg), amphetamine (AMPH; 0.25, 1.0, or 4.0MUg), or atomoxetine (ATO; 1.0, 4.0,
or 16.0MUg) into either medial prefrontal cortex (mPFC) or orbitofrontal cortex
(OFC) immediately prior to performance in an adjusting delay task. These drugs
were examined because they are efficacious in treating impulse control disorders.
Because dopamine (DA) and serotonin (5-HT) receptors are implicated in impulsive
behavior, separate groups of rats received microinfusions of the DA receptor
selective drugs SKF 81297 (0.1 or 0.4ug), SCH 23390 (0.25 or 1.0ug), quinpirole
(1.25 or 5.0ug), and eticlopride (0.25 or 1.0ug), or received microinfusions of
the 5-HT receptor-selective drugs 8-OH-DPAT (0.025 or 0.1MUg), WAY 100635 (0.01
or 0.04MUg), DOI (2.5 or 10.0MUg), and ketanserin (0.1 or 0.4MUg). Impulsive
choice was not altered significantly by MPH, AMPH, or ATO into either mPFC or
OFC, indicating that neither of these prefrontal regions alone may mediate the
systemic effect of ADHD medications on impulsive choice. However, quinpriole
(1.25MUg) and eticlopride infused into mPFC increased impulsive choice, whereas 8
OH-DPAT infused into OFC decreased impulsive choice. These latter results
demonstrate that blockade of DA D2 receptors in mPFC or activation of 5-HT1A
receptors in OFC increases impulsive choice in the adjusting delay procedure.
PMID- 24928617
TI - The impact of egocentric vs. allocentric agency attributions on the neural bases
of reasoning about social rules.
AB - We used the "standard" and "switched" social contract versions of the Wason
Selection-task to investigate the neural bases of human reasoning about social
rules. Both these versions typically elicit the deontically correct answer, i.e.
the proper identification of the violations of a conditional obligation. Only in
the standard version of the task, however, this response corresponds to the
logically correct one. We took advantage of this differential adherence to
logical vs. deontical accuracy to test the different predictions of logic rule
based vs. visuospatial accounts of inferential abilities in 14 participants who
solved the standard and switched versions of the Selection-task during functional
Magnetic-Resonance-Imaging. Both versions activated the well known left fronto
parietal network of deductive reasoning. The standard version additionally
recruited the medial parietal and right inferior parietal cortex, previously
associated with mental imagery and with the adoption of egocentric vs.
allocentric spatial reference frames. These results suggest that visuospatial
processes encoding one's own subjective experience in social interactions may
support and shape the interpretation of deductive arguments and/or the resulting
inferences, thus contributing to elicit content effects in human reasoning.
PMID- 24928619
TI - A comparison of factors involved in the development of central nervous system and
pulmonary oxygen toxicity in the rat.
AB - Central nervous system oxygen toxicity (CNS-OT) can occur in humans at pressures
above 2atmospheres absolute (ATA), and above 4.5ATA in the rat. Pulmonary oxygen
toxicity appears at pressures above 0.5ATA. We hypothesized that exposure to mild
HBO following extreme exposure might provide protection against CNS, but not
pulmonary oxygen toxicity. We measured the activity of superoxide dismutase
(SOD), catalase (CAT) and glutathione peroxidase (GPX), and nitrotyrosine and
nNOS levels in the brain and lung in the following groups: (1) Sham rats, no
pressure exposure (SHAM); (2) Exposure to 6ATA oxygen for 60% of latency to CNS
OT (60%LT); (3) Exposure to 6ATA for 60% of latency to CNS-OT, followed by 20min
at 2.5ATA for recovery (REC); (4) Exposure to 6ATA for 60% of latency to CNS-OT,
followed by 20min at 2.5ATA oxygen and a subsequent increase in pressure to 6ATA
until the appearance of convulsions (CONV); (5) Control rats exposed to 6ATA
until the appearance of convulsions (C). SOD and CAT activity were reduced in
both brain and lung in the REC group. GPX activity was reduced in the hippocampus
in the REC group, but not in the cortex or the lung. nNOS levels were reduced in
the hippocampus in the REC group. Contrary to our hypothesis, no difference was
observed between the brain and the lung for the factors investigated. We suggest
that at 2.5ATA and above, CNS and pulmonary oxygen toxicity may share similar
mechanisms.
PMID- 24928620
TI - Intranasally-administered deferoxamine mitigates toxicity of 6-OHDA in a rat
model of Parkinson's disease.
AB - Deferoxamine (DFO) has shown therapeutic promise for the treatment of Parkinson's
disease (PD) as it has reduced both behavioral and biochemical deficits when
injected into the brain of rodent models of PD. Intranasally administered DFO
targets the brain directly but non-invasively and has been effective in animal
models of stroke and Alzheimer's disease. In this study we sought to determine
whether intranasal (IN) DFO could be neuroprotective for PD in a rat model. PD
was induced with a unilateral injection of 6-hydroxydopamine (6-OHDA) into the
medial forebrain bundle, while sham surgery rats received saline injections. Rats
were pre-treated three times with either IN DFO or saline (starting 4 days before
6-OHDA), and post-treated twice/wk for one month before behavioral tests. In the
apomorphine-induced rotational test, IN DFO significantly decreased the number of
contralateral turns after injection of apomorphine HCl (p<0.05). Also, IN DFO
significantly decreased limb asymmetry in the rearing tube as measured with
contralateral limb touches (p<0.05). The IN DFO treatment yielded a trend towards
decreased contralateral foot-slips on the tapered balance beam, though the
difference was not significant. Finally, IN DFO-treated rats had increased
preservation of tyrosine hydroxylase immunoreactive neurons in the substantia
nigra (p<0.05). These results confirm that DFO is beneficial in a 6-OHDA model
and demonstrate improvement in motor deficits and dopaminergic neuronal survival
with non-invasive intranasal delivery, making this an attractive potential
treatment for PD.
PMID- 24928621
TI - Glycobiology of platelet-endothelial cell interactions.
AB - Under normal conditions, platelets do not interact with blood vessel walls;
however, upon activation, platelets firmly attach to endothelial cells.
Communication between platelets and endothelial cells during the normal or
activated state takes place at multiple levels. Cross-talk may occur over a
distance via transient interactions or through receptor-mediated cell-cell
adhesion. Platelets may release or transfer substances that affect endothelial
cell function and vice versa. Excessive dialogue between platelets and the
endothelium exists in several disease states as a causative factor and/or as a
consequence of the disease process. Glycans are covalent assemblies of sugars
that exist in either free form or in covalent complexes with proteins or lipids.
Among other functions, glycans confer stability to the proteins to which they are
attached, play key roles in signal transduction and control cell development and
differentiation. Glycans not only influence the structure and function of
hemostatic molecules but are also increasingly recognized as key molecules
regulating platelet-endothelial interactions. The present review outlines the
current knowledge regarding glycan-mediated interactions between platelets and
endothelial cells and their role in physiopathological processes.
PMID- 24928622
TI - [Not Available].
PMID- 24928618
TI - TLR signaling controls lethal encephalitis in WNV-infected brain.
AB - Toll-like receptors (TLRs) are known to be activated in Central Nervous System
(CNS) viral infections and are recognized to be a critical component in innate
immunity. Several reports state a role for particular TLRs in various CNS viral
infections. However, excessive TLR activation was previously reported by us in
correlation with a pathogenic, rather than a protective, outcome, in a model of
SIV encephalitis. Here we aimed at understanding the impact of TLR-mediated
pathways by evaluating the early course of pathogenesis in the total absence of
TLR signaling during CNS viral infections. We utilized a mouse model of sublethal
West Nile virus (WNV) infection. WNV is an emerging neurotropic flavivirus, and a
significant global cause of viral encephalitis. The virus was peripherally
injected into animals that simultaneously lacked two key adapter molecules of TLR
signaling, MyD88 and TRIF. On day 2 pi (post infection), MyD88/Trif-/- mice
showed an increased susceptibility to WNV infection, and revealed an impairment
in innate immune cytokines, when compared to wild type mice (WT). By day 6 pi,
there was an increase in viral burden and robust expression of inflammatory
cytokines as well as higher cell infiltration into the CNS in MyD88/Trif-/-, when
compared to infected WT. A drastic increase in microglia activation,
astrogliosis, and inflammatory trafficking were also observed on day 6 pi in
MyD88/Trif-/-. Our observations show a protective role for TLR signaling pathways
in preventing lethal encephalitis at early stages of WNV infection.
PMID- 24928623
TI - [Not Available].
PMID- 24928624
TI - [Not Available].
PMID- 24928625
TI - [Not Available].
PMID- 24928626
TI - [Not Available].
PMID- 24928627
TI - [Not Available].
PMID- 24928628
TI - [Not Available].
PMID- 24928629
TI - Protective effects of chelerythrine against lipopolysaccharide-induced endotoxic
shock in mice.
AB - Chelerythrine (CHE), a quaternary benzo[c]phenanthridine alkaloid, exhibits a
wide spectrum of pharmacological effects. Although CHE has been used to treat
various diseases, the protective effects of CHE on lipopolysaccharide (LPS)
induced endotoxic shock have not been explored. The aims of the study were to
investigate the protective effects of CHE on LPS-induced endotoxic shock in mice
and clarify the mechanism of the effects. We found that pretreatment with CHE (1,
5, and 10 mg/kg, po) at 1 and 12 h before injected intraperitoneally with 1 mg/kg
LPS markedly decreased the production of interleukin-6 (IL-6), tumor necrosis
factor alpha (TNF-alpha), and myeloperoxidase (MPO) and attenuated the lung
histopathological changes. Meanwhile, the effects were dependent on the
inhibition of the expression of p65 nuclear factor kappaB (NF-kappaB). The
protective effects of CHE on LPS-induced endotoxic shock can be attributed to
attenuating inflammatory cytokines and inhibition of the expression of NF-kappaB.
PMID- 24928631
TI - Register now for the residue world cup.
PMID- 24928630
TI - Tetrahydrocoptisine protects rats from LPS-induced acute lung injury.
AB - Recent studies show that nuclear factor-kappa B (NF-kappaB) signaling pathway
plays a key role in contributing to the development of lipopolysaccharide (LPS)
induced acute lung injury (ALI). Tetrahydrocoptisine is one of the main active
components of Chelidonium majus L. and has been described to be effective in
suppressing inflammation. The aim of the present study is to evaluate the
protective effect of tetrahydrocoptisine on LPS-induced ALI in rats and clarify
its underlying mechanisms of action. We found that in vivo pretreatment with
tetrahydrocoptisine to rats 30 min before inducing ALI by LPS markedly decreased
the mortality rate, lung wet weight to dry weight ratio, and ameliorated lung
pathological changes. Meanwhile, tetrahydrocoptisine significantly inhibited the
increase of the amounts of inflammatory cells, total protein content, tumor
necrosis factor-alpha (TNF-alpha) and interleukin-6 (IL-6) secretion in the
bronchoalveolar lavage fluids (BALFs). Furthermore, tetrahydrocoptisine inhibited
myeloperoxidase (MPO) accumulation in lung tissue and alleviated TNF-alpha and IL
6 production in serum. Additionally, immunohistochemistry showed that
tetrahydrocoptisine efficiently reduced nuclear factor-kappa B (NF-kappaB)
activation by inhibiting the translocation of NF-kappaBp65. In conclusion, our
results demonstrate that tetrahydrocoptisine possesses a protective effect on LPS
induced ALI through inhibiting of NF-kappaB signaling pathways, which may involve
the inhibition of pulmonary inflammatory process.
PMID- 24928632
TI - A pilot study examining functional brain activity 6 months after memory
retraining in MS: the MEMREHAB trial.
AB - Cognitive impairment in individuals with multiple sclerosis (MS) is now well
recognized. One of the most common cognitive deficits is found in memory
functioning, largely due to impaired acquisition. We examined functional brain
activity 6 months after memory retraining in individuals with MS. The current
report presents long term follow-up results from a randomized clinical trial on a
memory rehabilitation protocol known as the modified Story Memory Technique.
Behavioral memory performance and brain activity of all participants were
evaluated at baseline, immediately after treatment, and 6 months after treatment.
Results revealed that previously observed increases in patterns of cerebral
activation during learning immediately after memory training were maintained 6
months post training.
PMID- 24928633
TI - A chloroplast tree for Viburnum (Adoxaceae) and its implications for phylogenetic
classification and character evolution.
AB - * Premise of the study: Despite recent progress, significant uncertainties remain
concerning relationships among early-branching lineages within Viburnum
(Adoxaceae), prohibiting a new classification and hindering studies of character
evolution and the increasing use of Viburnum in addressing a wide range of
ecological and evolutionary questions. We hoped to resolve these issues by
sequencing whole plastid genomes for representative species and combining these
with molecular data previously obtained from an expanded taxon sample.* Methods:
We performed paired-end Illumina sequencing of plastid genomes of 22 Viburnum
species and combined these data with a 10-gene data set to infer phylogenetic
relationships for 113 species. We used the results to devise a comprehensive
phylogenetic classification and to analyze the evolution of eight morphological
characters that vary among early-branching lineages.* Key results: With greatly
increased levels of confidence in most of the early branches, we propose a
phylogenetic classification of Viburnum, providing formal phylogenetic
definitions for 30 clades, including 13 with names recognized under the
International Code of Nomenclature for Algae, Fungi, and Plants, eight with
previously proposed informal names, and nine newly proposed names for major
branches. Our parsimony reconstructions of bud structure, leaf margins,
inflorescence form, ruminate endosperm, extrafloral nectaries, glandular
trichomes, palisade anatomy, and pollen exine showed varying levels of homoplasy,
but collectively provided morphological support for some, though not all, of the
major clades.* Conclusions: Our study demonstrates the value of next-generation
plastid sequencing, the ease of creating a formal phylogenetic classification,
and the utility of such a system in describing patterns of character evolution.
PMID- 24928635
TI - Transgenic sexing system for genetic control of the Australian sheep blow fly
Lucilia cuprina.
AB - The New World screwworm and the Australian sheep blowfly Lucilia cuprina are
devastating pests of livestock. The larvae of these species feed on the tissue of
the living animal and can cause death if untreated. The sterile insect technique
or SIT was used to eradicate screwworm from North and Central America. This
inspired efforts to develop strains containing complex chromosomal rearrangements
for genetic control of L. cuprina in Australia. Although one field trial was
promising, the approach was abandoned due to costs and difficulties in mass
rearing the strain. As the efficiency of SIT can be significantly increased if
only sterile males are released, we have developed transgenic strains of L.
cuprina that carry a dominant tetracycline repressible female lethal genetic
system. Lethality is due to overexpression of an auto-regulated tetracycline
repressible transactivator (tTA) gene and occurs mostly at the pupal stage.
Dominant female lethality was achieved by replacing the Drosophila hsp70 core
promoter with a Lucilia hsp70 core promoter-5'UTR for tTA overexpression. The
strains carry a dominant strongly expressed marker that will facilitate
identification in the field. Interestingly, the sexes could be reliably sorted by
fluorescence or color from the early first instar larval stage as females that
overexpress tTA also overexpress the linked marker gene. Male-only strains of L.
cuprina developed in this study could form the basis for a future genetic control
program. Moreover, the system developed for L. cuprina should be readily
transferrable to other major calliphorid livestock pests including the New and
Old World screwworm.
PMID- 24928634
TI - Preoperative estimated glomerular filtration rate and the risk of major adverse
cardiovascular and cerebrovascular events in non-cardiac surgery.
AB - BACKGROUND: Chronic kidney disease is an independent predictor of perioperative
cardiovascular morbidity and mortality. We analysed the preoperative estimated
glomerular filtration rate (eGFR) as a risk factor for perioperative major
adverse cardiovascular and cerebrovascular events (MACCE) in non-cardiac surgery.
METHODS: In a post hoc analysis of the ANESCARDIOCAT database, patients were
classified into six stages of eGFR calculated with the abbreviated Modification
of Diet in Renal Disease Study and the Chronic Kidney Disease Epidemiology
Collaboration equations: >90 (1), 60-89.9 (2), 45-59.9 (3a), 30-44.9 (3b), 15
29.9 (4), and <15 (5) ml min(-1) 1.73 m(-2). We analysed differences in MACCE,
length of hospital stay, and all-cause mortality between eGFR stages. RESULTS:
The eGFR was available in 2323 patients. Perioperative MACCE occurred in 4.5% of
patients and cardiac-related mortality was 0.5%. Five hundred and forty-three
(23.4%) patients had an eGFR of <60 ml min(-1) 1.73 m(-2) and 127 (5.4%) had an
eGFR below 45 ml min(-1) 1.73 m(-2). Logistic regression analysis showed that
MACCE increased with eGFR impairment (P<0.001), with a marked increase from stage
3b onwards (odds ratio 1.8 vs 3.9 in 3a and 3b, respectively, P=0.047). All-cause
mortality was not related to eGFR (P=0.071), but increased substantially between
stages 3b and 4. The length of stay correlated with eGFR (P<0.001). CONCLUSIONS:
Perioperative MACCE increase with declining eGFR, primarily when <45 ml min(-1)
1.73 m(-2). We recommend the use of preoperative eGFR for cardiovascular risk
assessment.
PMID- 24928636
TI - Effect of spinal manipulation thrust magnitude on trunk mechanical activation
thresholds of lateral thalamic neurons.
AB - OBJECTIVES: High-velocity low-amplitude spinal manipulation (HVLA-SM), as
performed by doctors who use manual therapy (eg, doctors of chiropractic and
osteopathy), results in mechanical hypoalgesia in clinical settings. This
hypoalgesic effect has previously been attributed to alterations in peripheral
and/or central pain processing. The objective of this study was to determine
whether thrust magnitude of a simulated HVLA-SM alters mechanical trunk response
thresholds in wide dynamic range (WDR) and/or nociceptive specific (NS) lateral
thalamic neurons. METHODS: Extracellular recordings were carried out in the
thalamus of 15 anesthetized Wistar rats. Lateral thalamic neurons having
receptive fields, which included the lumbar dorsal-lateral trunk, were
characterized as either WDR (n=22) or NS (n=25). Response thresholds to
electronic von Frey (rigid tip) mechanical trunk stimuli were determined in 3
directions (dorsal-ventral, 45 degrees caudalward, and 45 degrees cranialward)
before and immediately after the dorsal-ventral delivery of a 100-millisecond
HVLA-SM at 3 thrust magnitudes (control, 55%, 85% body weight). RESULTS: There
was a significant difference in mechanical threshold between 85% body weight
manipulation and control thrust magnitudes in the dorsal-ventral direction in NS
neurons (P=.01). No changes were found in WDR neurons at either HVLA-SM thrust
magnitude. CONCLUSIONS: This study is the first to investigate the effect of HVLA
SM thrust magnitude on WDR and NS lateral thalamic mechanical response threshold.
Our data suggest that, at the single lateral thalamic neuron level, there may be
a minimal spinal manipulative thrust magnitude required to elicit an increase in
trunk mechanical response thresholds.
PMID- 24928637
TI - The role of preload forces in spinal manipulation: experimental investigation of
kinematic and electromyographic responses in healthy adults.
AB - OBJECTIVES: Previous studies have identified preload forces and an important
feature of skillful execution of spinal manipulative therapy (SMT) as performed
by manual therapists (eg, doctors of chiropractic and osteopathy). It has been
suggested that applying a gradual force before the thrust increases the spinal
unit stiffness, minimizing displacement during the thrust. Therefore, the main
objective of this study was to assess the vertebral unit biomechanical and
neuromuscular responses to a graded increase of preload forces. METHODS: Twenty
three participants underwent 4 different SMT force-time profiles delivered by a
servo-controlled linear actuator motor and varying in their preload forces,
respectively, set to 5, 50, 95, and 140N in 1 experimental session. Kinematic
markers were place on T6, T7, and T8 and electromyographic electrodes were
applied over paraspinal muscles on both sides of the spine. RESULTS: Increasing
preload forces led to an increase in neuromuscular responses of thoracic
paraspinal muscles and vertebral segmental displacements during the preload phase
of SMT. Increasing the preload force also yielded a significant decrease in
sagittal vertebral displacement and paraspinal muscle activity during and
immediately after the thrust phase of spinal manipulation. Changes observed
during the SMT thrust phase could be explained by the proportional increase in
preload force or the related changes in rate of force application. Although only
healthy participants were tested in this study, preload forces may be an
important parameter underlying SMT mechanism of action. Future studies should
investigate the clinical implications of varying SMT dosages. CONCLUSION: The
present results suggest that neuromuscular and biomechanical responses to SMT may
be modulated by preload through changes in the rate of force application.
Overall, the present results suggest that preload and rate of force application
may be important parameters underlying SMT mechanism of action.
PMID- 24928638
TI - Instantaneous rate of loading during manual high-velocity, low-amplitude spinal
manipulations.
AB - OBJECTIVE: The objective of this study was to determine the instantaneous rate of
loading during manual high-velocity, low-amplitude spinal manipulations (HVLA
SMs) in the lumbar and thoracic regions and compare to the average rates of
loading. METHODS: Force-time profiles were recorded using a hand force transducer
placed between the hand of a doctor of chiropractic and the subject's back during
14 HVLA SM thrusts on asymptomatic volunteers while 3 doctors of chiropractic
delivered the spinal manipulations. Doctors also delivered 36 posterior to
anterior thoracic manipulations on a mannequin. Data were collected at a sampling
rate of 1000 Hz using Motion Monitor software. Force-time profile data were
differentiated to obtain instantaneous rates of loading. The data were reduced
using a custom-written MathCad program and analyzed descriptively. RESULTS: The
instantaneous rates of loading were 1.7 to 1.8 times higher than average rates of
loading, and instantaneous rates of unloading were 2.1 to 2.6 times the average
rates of unloading during HVLA SMs. Maximum instantaneous rates of loading
occurred 102 to 111 milliseconds prior to peak load. Maximum instantaneous rates
of unloading occurred 121 to 154 milliseconds after the peak load. These data may
be useful for further understanding of HVLA SMs. CONCLUSIONS: The instantaneous
rates of loading and where they occurred may be useful data for understanding and
describing HVLA SMs.
PMID- 24928639
TI - Cost analysis related to dose-response of spinal manipulative therapy for chronic
low back pain: outcomes from a randomized controlled trial.
AB - OBJECTIVE: The purpose of this analysis is to report the incremental costs and
benefits of different doses of spinal manipulative therapy (SMT) in patients with
chronic low back pain (LBP). METHODS: We randomized 400 patients with chronic LBP
to receive a dose of 0, 6, 12, or 18 sessions of SMT. Participants were scheduled
for 18 visits for 6 weeks and received SMT or light massage control from a doctor
of chiropractic. Societal costs in the year after study enrollment were estimated
using patient reports of health care use and lost productivity. The main health
outcomes were the number of pain-free days and disability-free days. Multiple
regression was performed on outcomes and log-transformed cost data. RESULTS: Lost
productivity accounts for most societal costs of chronic LBP. Cost of treatment
and lost productivity ranged from $3398 for 12 SMT sessions to $3815 for 0 SMT
sessions with no statistically significant differences between groups. Baseline
patient characteristics related to increase in costs were greater age (P = .03),
greater disability (P = .01), lower quality-adjusted life year scores (P = .01),
and higher costs in the period preceding enrollment (P < .01). Pain-free and
disability-free days were greater for all SMT doses compared with control, but
only SMT 12 yielded a statistically significant benefit of 22.9 pain-free days (P
= .03) and 19.8 disability-free days (P = .04). No statistically significant
group differences in quality-adjusted life years were noted. CONCLUSIONS: A dose
of 12 SMT sessions yielded a modest benefit in pain-free and disability-free
days. Care of chronic LBP with SMT did not increase the costs of treatment plus
lost productivity.
PMID- 24928640
TI - Changes in kinetic, kinematic, and temporal parameters of walking in people with
limited ankle dorsiflexion: pre-post application of modified mobilization with
movement using talus glide taping.
AB - OBJECTIVE: The purpose of this study was to investigate the changes in passive
ankle dorsiflexion range of motion (ROM), maximum plantar force, force-time
integral, and time to heel off during walking between pre- and postapplication of
modified mobilization with movement (MWM) using talus glide taping in people with
limited ankle dorsiflexion. METHODS: Eighteen feet with limited ankle
dorsiflexion in 13 people were examined. Participants performed 3 different
walking tasks in the following order: walking before and immediately after
applying the modified MWM using talus glide taping and walking after 5-minute
walking with the modified MWM using talus glide taping. A floor-mat pressure
measurement system (HR-mat) was used to measure maximum plantar force, force-time
integral, and time to heel off; and passive ankle dorsiflexion ROM was measured
using a standard goniometer. The significance of differences was assessed using
repeated one-way analysis of variance. RESULTS: Passive ankle dorsiflexion ROM
and time to heel off were significantly increased after 5-minute walking with the
modified MWM using talus glide taping compared with walking before and
immediately after applying the tape. Significantly increased maximum plantar
force and force-time integral on the hindfoot and significantly decreased force
time integral on the forefoot during walking after 5-minute walking were observed
with the modified MWM using talus glide taping compared with before applying the
tape. No significant difference between before and immediately after applying the
tape was observed in any variable. CONCLUSIONS: Our results suggest that walking
an additional 5-minute with the modified MWM using talus glide taping increased
passive ankle dorsiflexion ROM and time to heel off and improved dynamic plantar
loading during walking.
PMID- 24928641
TI - Assessment of paraspinal muscle hardness in subjects with a mild single scoliosis
curve: a preliminary myotonometer study.
AB - OBJECTIVE: The purpose of this study was to evaluate the hardness of the
paraspinal muscles in the convexity and concavity of patients with scoliosis
curvatures and in the upper trapezius (UT) muscle in subjects with mild
idiopathic scoliosis (IS) and to observe the correlation between the myotonometer
(MYO) measurements and the value of body mass index (BMI) and the Cobb angle.
METHODS: The sample included 13 patients with a single-curve mild IS (Risser sign
<= 4) at thoracic, lumbar, or thoracolumbar level (mean Cobb angle of 11.53o).
Seven females and 6 males were recruited, with a mean age of 12.84 +/- 3.06 (9
18) years. A MYO was used to examine the differences in muscle hardness on both
sides of the scoliosis curvature at several points: (a) apex of the curve, (b)
upper and lower limits of the curve, and (c) the midpoint between the apex and
the upper limit and between the apex and the lower limit. The UT was also
explored. RESULTS: Although the MYO recorded lower values in all points on the
concave side of the scoliosis, there were no significant differences in the
comparison between sides (P > .05). No association was observed between BMI and
MYO values, whereas the Cobb angle negatively correlated with muscle hardness
only at 2 points on the convex side. CONCLUSION: The preliminary findings show
that, in subjects with a single-curve mild IS, muscular hardness in the UT and
paraspinal muscles, as assessed using a MYO, was not found to differ between the
concave and the convex sides at different reference levels.
PMID- 24928642
TI - Evaluation of the usefulness of 2 prediction models of clinical prediction models
in physical therapy: a qualitative process evaluation.
AB - OBJECTIVE: The purposes of this study were to (1) evaluate the usefulness of 2
prediction models by assessing the actual use and advantages/disadvantages of
application in daily clinical practice and (2) propose recommendations to enhance
their implementation. METHODS: Physical therapists working in 283 practices in
the area of Breda (the Netherlands) were invited to participate in this study.
Two prediction models were presented: (1) to predict persistent shoulder pain and
(2) to predict the preferable treatment in nonspecific neck pain. Participants
were asked to apply both models in practice. After 2 months, their opinions about
the usefulness of both models were gathered during a focus group meeting or by
using an online questionnaire in order to identify the most important
advantages/disadvantages of each prediction model. RESULTS: In total, 46 physical
therapists (13.8%) of 39 practices participated. Evaluative data were available
from 32 participants who used the shoulder model 102 times and the neck model 126
times. For the shoulder model, the most frequent advantage (mentioned 14 times)
was that it enabled physical therapists to estimate a motivated prognosis, that
is, a prognosis based on the score of the model. The most frequent mentioned
disadvantage was that participants expressed their doubts about the validity of
the model because the model initially was developed for usage in a general
practice setting. For the neck model, the most frequently mentioned advantage (29
times) was that the model was easy to interpret. The most important disadvantage
(mentioned 14 times) was that the model only takes a few treatment options into
account. CONCLUSIONS: The physical therapists participating in this study
reported that both models evaluated in this study were not easy to use in daily
practice. Based on the findings of this study, we recommend that these models are
modified to meet the practical needs of the therapist, before assessing their
impact on daily clinical care and patient outcomes.
PMID- 24928643
TI - Replacement of the heavily calcified ascending aorta in aortic valve replacement.
AB - A totally calcified ascending aorta prevents aortic crossclamping and aortotomy
during aortic valve replacement, and replacement of the ascending aorta is a
valid option in these cases. We describe a simple technique for calcified
ascending aorta replacement using the Cavitron Ultrasonic Surgical Aspirator.
This can be used in aortic endarterectomy for removal of the calcified plaque in
the anastomotic part.
PMID- 24928644
TI - Is bilateral chylothorax possible after simple cough? Yes.
AB - Chylothorax is accumulation of chylous fluid in the pleural space due to impaired
integrity of the thoracic duct or its branches. In childhood, the causes differ
from those in adults because children less frequently develop malignancies and
are more resistant to trauma. Commonly, chylothorax occurs as a complication of
tumoral invasion or cardiopulmonary surgery. Treatment of chylothorax is
essentially medical. In the event of medical treatment failure or a massive
effusion, surgery is needed. We describe the case of a 65-year-old woman who
developed bilateral chylothorax after a simple cough. She was treated with a
medium-chain triglyceride diet and thoracentesis.
PMID- 24928645
TI - Thoracoscopic wedge lung resection using virtual-assisted lung mapping.
AB - BACKGROUND: Virtual-assisted lung mapping is a novel bronchoscopic preoperative
lung marking technique using virtual images to conduct multiple concurrent lung
markings with dye. This study analyzed the indications, mapping design, and
outcomes of lung wedge resection using virtual-assisted lung mapping. METHODS:
From August 2012 to October 2013, 35 patients with 59 lesions were planned to
undergo thoracoscopic lung wedge resection aided by virtual-assisted lung
mapping. The data related to virtual-assisted lung mapping were prospectively
collected, with the exception of the mapping design which was retrospectively
analyzed. RESULTS: Suspected primary lung cancer (21 lesions in 18 patients) and
metastatic lung tumors (38 lesions in 17 patients) were treated by thoracoscopic
lung wedge resection with the aid of virtual-assisted lung mapping; 50 wedge
resections were conducted with 107 markings. Virtual-assisted lung mapping was
most frequently designed to place 2 (n = 15 wedge resections) or 3 (n = 17)
markings to both identify the tumor(s) and secure a sufficient resection margin.
In 7 wedge resections, anatomical landmarks and/or imaginary auxiliary lines
functioned as complementary parts of the lung map when bronchial anatomy did not
allow for markings at ideal spots. The resection outcomes were satisfactory
without clinically evident complications. CONCLUSION: Multiple markings of
virtual-assisted lung mapping not only enabled tumor identification, but also
secured sufficient resection margins. Special techniques using anatomical
landmarks and imaginary auxiliary lines were complementary to the lung map when
bronchial anatomy did not allow for markings at ideal spots.
PMID- 24928646
TI - Total arch replacement for coarctation of the aorta in an adolescent.
AB - A 16-year-old boy suffered a subarachnoid hemorrhage and underwent open head
surgery. He was subsequently diagnosed with coarctation of the aorta and referred
to our hospital. The coarctation was at the distal transverse arch, just at the
site of branching of the subclavian artery. Total arch replacement with selective
cerebral perfusion was selected because of the short hypoplastic arch. The
patient had an uneventful postoperative course, and was doing well 3 years after
the surgery.
PMID- 24928647
TI - Lung function decline and variable airway inflammatory pattern: longitudinal
analysis of severe asthma.
AB - BACKGROUND: Eosinophilic airway inflammation measured by using induced sputum is
an important treatment stratification tool in patients with severe asthma. In
addition, sputum eosinophilia has been shown to be associated with severe
exacerbations and airflow limitation. OBJECTIVES: We sought to identify whether
eosinophilic inflammation in sputum is associated with FEV1 decrease in patients
with severe asthma and whether we could identify subgroups of decrease behavior
based on the variation of eosinophilic airway inflammation over time. METHODS:
Ninety-seven patients with severe asthma from the Glenfield Asthma Cohort were
followed up with scheduled 3-month visits; the median duration of follow-up and
number of visits was 6 years (interquartile range, 5.6-7.6 years) and 2.7 visits
per year. Induced sputum was analyzed for eosinophilic inflammation at scheduled
visits. Linear mixed-effects models were used to identify variables associated
with lung function and overall decrease. In addition, using individual patients'
mean and SD sputum eosinophil percentages over time, a 2-step cluster analysis
was performed to identify patient clusters with different rates of decrease.
RESULTS: FEV1 decrease was -25.7 mL/y in the overall population.
Postbronchodilator FEV1 was also dependent on exacerbations, age of onset,
height, age, sex, and log10 sputum eosinophil percentages (P < .001). Three
decrease patient clusters were identified: (1) noneosinophilic with low variation
(mean decrease, -14.0 mL/y), (2) eosinophilic with high variation (mean decrease,
-40.9 mL/y), and (3) hypereosinophilic with low variation (mean decrease in lung
function, -19.2 mL/y). CONCLUSION: The amplitude of sputum eosinophilia was
associated with postbronchodilator FEV1 in asthmatic patients. In contrast, high
variability rather than the amplitude at baseline or over time of sputum
eosinophils was associated with accelerated FEV1 decrease.
PMID- 24928649
TI - Comparison of complication rates associated with permanent and retrievable
inferior vena cava filters: a review of the MAUDE database.
AB - PURPOSE: To compare the safety of permanent and retrievable inferior vena cava
(IVC) filters by reviewing the U.S. Food and Drug Administration Manufacturer and
User Facility Device Experience (MAUDE) database. MATERIALS AND METHODS: The
MAUDE database was reviewed from January 1, 2009, to December 31, 2012. Product
class search criteria were "filter, intravascular, cardiovascular." Type of
device used and specific adverse events (AEs) were recorded. RESULTS: For the
period January 2009-December 2012, 1,606 reported AEs involving 1,057 IVC filters
were identified in the MAUDE database . Of reported AEs, 1,394 (86.8%) involved
retrievable inferior vena cava filters (rIVCFs), and 212 (13.2%) involved
permanent inferior vena cava filters (pIVCFs) (P < .0001). Reported AEs included
fracture, migration, limb embolization, tilt, IVC penetration, venous
thromboembolism and pulmonary embolism, IVC thrombus, and malfunctions during
placement. Each specific AE was reported with significantly higher frequency in
rIVCFs compared with pIVCFs. The most common reported complication with rIVCFs
was fracture, whereas the most commonly reported complications with pIVCFs were
placement malfunctions. For rIVCFs, the most commonly reported AE varied
depending on filter brand. CONCLUSIONS: The MAUDE database reveals that
complications occur with significantly higher frequency with rIVCFs compared with
pIVCFs. This finding suggests that the self-reported complication rate with
rIVCFs is significantly higher than the self-reported complication rate with
pIVCFs.
PMID- 24928648
TI - Airway surface mycosis in chronic TH2-associated airway disease.
AB - BACKGROUND: Environmental fungi have been linked to TH2 cell-related airway
inflammation and the TH2-associated chronic airway diseases asthma, chronic
rhinosinusitis (CRS) with nasal polyps (CRSwNP), and allergic fungal
rhinosinusitis (AFRS), but whether these organisms participate directly or
indirectly in disease pathology remains unknown. OBJECTIVE: To determine the
frequency of fungus isolation and fungus-specific immunity in patients with TH2
associated and non-TH2-associated airway disease. METHODS: Sinus lavage fluid and
blood were collected from sinus surgery patients (n = 118) including patients
with CRSwNP, patients with CRS without nasal polyps, patients with AFRS, and non
CRS/nonasthmatic control patients. Asthma status was determined from medical
history. Sinus lavage fluids were cultured and directly examined for evidence of
viable fungi. PBMCs were restimulated with fungal antigens in an enzyme-linked
immunocell spot assay to determine total memory fungus-specific IL-4-secreting
cells. These data were compared with fungus-specific IgE levels measured from
plasma by ELISA. RESULTS: Filamentous fungi were significantly more commonly
cultured in patients with TH2-associated airway disease (asthma, CRSwNP, or AFRS:
n = 68) than in control patients with non-TH2-associated disease (n = 31): 74% vs
16%, respectively (P < .001). Both fungus-specific IL-4 enzyme-linked immunocell
spot (n = 48) and specific IgE (n = 70) data correlated with TH2-associated
diseases (sensitivity 73% and specificity 100% vs 50% and 77%, respectively).
CONCLUSIONS: The frequent isolation of fungi growing directly within the airways
accompanied by specific immunity to these organisms only in patients with TH2
associated chronic airway diseases suggests that fungi participate directly in
the pathogenesis of these conditions. Efforts to eradicate airway fungi from the
airways should be considered in selected patients.
PMID- 24928650
TI - Leukopak PBMC sample processing for preparing quality control material to support
proficiency testing programs.
AB - External proficiency testing programs designed to evaluate the performance of end
point laboratories involved in vaccine and therapeutic clinical trials form an
important part of clinical trial quality assurance. Good clinical laboratory
practice (GCLP) guidelines recommend both assay validation and proficiency
testing for assays being used in clinical trials, and such testing is facilitated
by the availability of large numbers of well-characterized test samples. These
samples can be distributed to laboratories participating in these programs and
allow monitoring of laboratory performance over time and among participating
sites when results are obtained with samples derived from a large master set. The
leukapheresis procedure provides an ideal way to collect samples from
participants that can meet the required number of cells to support these
activities. The collection and processing of leukapheresis samples require tight
coordination between the clinical and laboratory teams to collect, process, and
cryopreserve large number of samples within the established ideal time of <=8
hours. Here, we describe our experience with a leukapheresis cryopreseration
program that has been able to preserve the functionality of cellular subsets and
that provides the sample numbers necessary to run an external proficiency testing
program.
PMID- 24928651
TI - Mining biomass-degrading genes through Illumina-based de novo sequencing and
metagenomic analysis of free-living bacteria in the gut of the lower termite
Coptotermes gestroi harvested in Vietnam.
AB - The 5.6 Gb metagenome of free-living microbial flora in the gut of the lower
termite Coptotermes gestroi, harvested in Vietnam, was sequenced using Illumina
technology. Genes related to biomass degradation were mined for a better
understanding of biomass digestion in the termite gut and to identify
lignocellulolytic enzymes applicable to biofuel production. The sequencing
generated 5.4 Gb of useful reads, containing 125,431 ORFs spanning 78,271,365 bp,
80% of which was derived from bacteria. The 12 most abundant bacterial orders
were Spirochaetales, Lactobacillales, Bacteroidales, Clostridiales,
Enterobacteriales, Pseudomonades, Synergistales, Desulfovibrionales,
Xanthomonadales, Burkholderiales, Bacillales, and Actinomycetales, and 1460
species were estimated. Of more than 12,000 ORFs with predicted functions related
to carbohydrate metabolism, 587 encoding hydrolytic enzymes for cellulose,
hemicellulose, and pectin were identified. Among them, 316 ORFs were related to
cellulose degradation, and included beta-glucosidases, 6-phospho-beta
glucosidases, licheninases, glucan endo-1,3-beta-D-glucosidases, endoglucanases,
cellulose 1,4-beta-cellobiosidases, glucan 1,3-beta-glucosidases, and cellobiose
phosphorylases. In addition, 259 ORFs were related to hemicellulose degradation,
encoding endo-1,4-beta-xylanases, alpha-galactosidases, alpha-N
arabinofuranosidases, xylan 1,4-beta-xylosidases, arabinan endo-1,5-alpha-L
arabinosidases, endo-1,4-beta-mannanases, and alpha-glucuronidases. Twelve ORFs
encoding pectinesterases and pectate lyases were also obtained. To our knowledge,
this is the first successful application of Illumina-based de novo sequencing for
the analysis of a free-living bacterial community in the gut of a lower termite
C. gestroi and for mining genes related to lignocellulose degradation from the
gut bacteria.
PMID- 24928652
TI - Effect of fluorescent lighting on the color of liquid-crystal displays.
AB - Liquid-crystal displays (LCDs) are used for displaying medical images under
various illuminance conditions and with ambient-lighting colors. Our purpose in
this study was to understand the variations of the chromaticity in LCDs under
different fluorescent lighting conditions. The variation of chromaticity of LCDs
at a white point consisting of equivalent pixel value in Red, Green, and Blue was
examined under different types of fluorescent lighting. The direction of changes
in the chromaticity diagram shifted to the color of each fluorescent lighting.
When the differences in chromaticity (?u'v') increased, the observers' confidence
levels in distinguishing color differences also increased. When the luminance of
the LCD increased, observers' sensitivities for recognizing differences in
chromaticity also increased as compared to observers' sensitivities at lower
luminances. Our results indicate that observers recognized slightly yellowish
colors even if ?u'v' was less than 0.01; thus, observers could perceive
differences in chromaticity. These results could provide important and relevant
information with regard to better ambient lighting conditions in an image-reading
room.
PMID- 24928653
TI - Mapping biological entities using the longest approximately common prefix method.
AB - BACKGROUND: The significant growth in the volume of electronic biomedical data in
recent decades has pointed to the need for approximate string matching algorithms
that can expedite tasks such as named entity recognition, duplicate detection,
terminology integration, and spelling correction. The task of source integration
in the Unified Medical Language System (UMLS) requires considerable expert effort
despite the presence of various computational tools. This problem warrants the
search for a new method for approximate string matching and its UMLS-based
evaluation. RESULTS: This paper introduces the Longest Approximately Common
Prefix (LACP) method as an algorithm for approximate string matching that runs in
linear time. We compare the LACP method for performance, precision and speed to
nine other well-known string matching algorithms. As test data, we use two
multiple-source samples from the Unified Medical Language System (UMLS) and two
SNOMED Clinical Terms-based samples. In addition, we present a spell checker
based on the LACP method. CONCLUSIONS: The Longest Approximately Common Prefix
method completes its string similarity evaluations in less time than all nine
string similarity methods used for comparison. The Longest Approximately Common
Prefix outperforms these nine approximate string matching methods in its Maximum
F1 measure when evaluated on three out of the four datasets, and in its average
precision on two of the four datasets.
PMID- 24928654
TI - Biofiltration of high formaldehyde loads with ozone additions in long-term
operation.
AB - Formaldehyde (FA) biofiltration was evaluated over 310 days with and without
ozone addition. Without ozone, the biofilter was able to treat formaldehyde at
inlet loads (ILs) lower than 40 g m(-3) h(-1), maintaining, under this condition,
an average removal efficiency (RE) of 88 % for a few days before collapsing to
zero. The continuous addition of ozone (90 ppbv) helped to recover the RE from
zero to 98 +/- 2 % and made it possible to operate at an IL of 40 g m(-3) h(-1)
for long periods of operation (107 days). Furthermore, the ozone addition aided
in operating the biofilter at a formaldehyde IL of up to 120 g m(-3) h(-1) values
that have never before been reached. GC-mass spectrometry (MS) analysis showed
that dimethoxymethane was the common compound in leachate during the performance
decay. Also, the addition of ozone aided in maintaining an optimal pH in the
biofilter with values between 7.5 and 8.2, due to the carbonate species formed
during the ozone reactions with formaldehyde and its by-products. Thus, the pH
control was confirmed and the alkalinity of the biofilter increased from 334.1 +/
100.3 to 1450 +/- 127 mg CaCO3 L(-1) when ozone was added. Ozone addition
diminished the exopolymeric substances (EPS) content of biofilm and biofilm
thickness without affecting cell viability. Kinetic parameters suggested that the
best conditions for carrying out FA biofiltration were reached under ozone
addition. The addition of ozone during formaldehyde biofiltration could be a good
strategy to maintain the pH and the steady state of the system under high ILs and
for long periods of operation.
PMID- 24928655
TI - Heterologous production of horseradish peroxidase C1a by the basidiomycete yeast
Cryptococcus sp. S-2 using codon and signal optimizations.
AB - In the present study, we attempted to improve the production of recombinant
horseradish peroxidase C1a (HRP-C1a; a heme-binding protein) by Cryptococcus sp.
S-2. Both native and codon-optimized HRP-C1a genes were expressed under the
control of a high-level expression promoter. When the HRP-C1a gene with native
codons was expressed, poly(A) tails tended to be added within the coding region,
producing truncated messenger RNAs (mRNAs) that lacked the 3' ends. Codon
optimization prevented polyadenylation within the coding region and increased
both the mRNA and protein levels of active HRP-C1a. To improve secretion of the
recombinant protein, we tested five types of N-terminal signal peptide (NTP).
These included the native HRP-C1a NTP (C1a-NTP), short and long xylanase
secretion signals (X1-NTP and X2-NTP), cutinase signal (C-NTP), and amylase
signal (A-NTP), with and without a C-terminal propeptide (CTP). X2-NTP without
CTP resulted in the highest HRP-C1a secretion into the culture medium. HRP-C1a
secretion was further increased by using xylose fed-batch fermentation. The
production of HRP-C1a in this study was 2.7 and 15 times higher than the
production reported in previous studies that used insect cell and Pichia
expression systems, respectively.
PMID- 24928656
TI - Formation of filamentous aerobic granules: role of pH and mechanism.
AB - Filamentous overgrowth in aerobic granular sludge processes can cause reactor
failure. In this work, aerobic granules were cultivated in five identical
sequencing batch reactors with acetate or glucose as the carbon source with
various values of influent pH (4.5-8). Microscopic observations revealed that
acidic pH, rather than the species of carbon source, epistatically controls the
aerobic granules with filamentous structure. An acidic pH shifted the structure
of the microbial community in the granules, such that the fungus Geotrichum
fragrans was the predominant filamentous microorganism therein. The acidic pH
reduced the intracellular cyclic diguanylate (c-di-GMP) content for increasing
the motility of the bacteria to washout and increase the growth rate of G.
fragrans on glucose or acetate, together causing overgrowth of the fungus.
Maintaining the suspension under alkaline condition is proposed as an effective
way to suppress filamentous overgrowth and maintain granule stability.
PMID- 24928657
TI - Removal of Cr(VI) from aqueous solutions by fruiting bodies of the jelly fungus
(Auricularia polytricha).
AB - The aim of this study was to investigate the potential to remove chromium (Cr)
from aqueous solutions using the fruiting body of Auricularia polytricha. Batch
experiments were conducted under various conditions, and different models were
used to characterize the biosorption process. Results showed that, for both fresh
and dried fruiting bodies of A. polytricha, removal efficiencies of Cr(VI) and
total Cr reached maximum values at pH values of 1 and 2, respectively. The
process of Cr(VI) removal by A. polytricha included the sorption process as well
as the reduction of Cr(VI) to Cr(III). Spectra of X-ray photoelectron
spectroscopy of the biosorbent revealed that most of the Cr loaded on the biomass
surface was in the trivalent form. The Freundlich model fitted the isotherm
process better than the Langmuir model in the concentration range examined. The
pseudo-second-order model well described the adsorption process of Cr onto the
biomass. The biosorption capacity of Cr(VI) by fruiting bodies was much higher
than that by most of other biosorbents reported. The results suggest that the
fruiting bodies of A. polytricha should be a promising biomaterial for Cr removal
from water contaminated by the heavy metal.
PMID- 24928658
TI - Interactions between Verticillium dahliae and its host: vegetative growth,
pathogenicity, plant immunity.
AB - Verticillium dahliae is a soil-borne phytopathogenic fungus that causes vascular
wilt diseases in a wide variety of crop plants, resulting in extensive economic
losses. In the past 5 years, progress has been made in elaborating the
interaction between this hemibiotrophic fungus and its host plants. Some genes
responsible for the vegetative growth and/or pathogenicity in V. dahliae have
been identified. Plants have accrued a series of defense mechanisms, including
inducible defense signaling pathways and some resistant genes to combat V.
dahliae infection. Here, we have reviewed the progress in V. dahliae-plant
interaction research.
PMID- 24928659
TI - Molecular biology-based methods for quantification of bacteria in mixed culture:
perspectives and limitations.
AB - Species-specific enumeration of mixed community is invaluable as it facilitates a
better understanding of the significance of the individual strains, their
interactions, and the underlying mechanisms of community dynamics. Mixed
microbial community has been characterized by microbiological, biochemical, or
molecular biology-based methods. While microbiological and biochemical techniques
do not provide adequate quantitative information of the members of the consortia
and require additional techniques for a more comprehensive analysis, molecular
biology-based methods analyze the microbial consortium based on specific DNA
sequences and do not require isolation and culturing of bacteria for quantitative
analysis. These methods outshine conventional culture-based techniques in terms
of better sensitivity, reproducibility, and reliability. Quantitative molecular
biology methods have been classified as PCR-based and probe hybridization
methods. The PCR-based methods includes quantitative real-time PCR and terminal
restriction fragment length polymorphism, while fluorescent in situ hybridization
and DNA microarrays fall under probe hybridization methods. The workflow, the
quantification methods, and their potential applications are discussed in this
review by highlighting their advantages and possible limitations.
PMID- 24928660
TI - Screening of cry-type promoters with strong activity and application in Cry
protein encapsulation in a sigK mutant.
AB - To optimize the expression of cry genes in a Bacillus thuringiensis sigK mutant
failing in crystal releasing, the transcriptional activity of the cry promoters
cry1A, cry3A, cry4A, and cry8E was compared using lacZ gene fusions. A beta
galactosidase assay indicated that the cry8E promoter showed the highest
transcriptional activity. A novel Escherichia coli-B. thuringiensis shuttle
vector pHT315-8E21b was constructed for cry gene expression using the cry8E
promoter and the multiple cloning sites from vector pET21b, based on vector
pHT315. SDS-PAGE analysis showed that the expression of the cry1Ac gene directed
by the cry8E promoter was increased by approximately 2.4-fold over the expression
directed by the cry3A promoter. The cry1Ba gene was expressed in the sigK mutant
with the constructed vector pHT315-8E21b. Normal bipyramidal crystals
encapsulated in mother cell were observed by transmission electron microscopy
(TEM). The encapsulated Cry1Ba protein expressed in the sigK mutant showed
activity against Ostrinia furnacalis and Plutella xylostella similar to that of
the released Cry1Ba protein expressed in the acrystalliferous strain HD73 and can
be protected from inactivation by UV light. All these results suggest that the
cry8E promoter can be an efficient transcriptional element for cry gene
expression in sigK mutants and can be utilized for the construction of a
genetically engineered strain.
PMID- 24928661
TI - Association between serum vitamin D concentrations and inflammatory markers in
the general adult population.
AB - OBJECTIVE: In recent years links among vitamin D deficiency, inflammation and
cardio-metabolic disease were proposed. As information regarding the associations
between vitamin D and inflammatory markers in the general population is sparse,
we investigated the associations of 25-hydroxy vitamin D [25(OH)D] with high
sensitivity C-reactive protein (hs-CRP), fibrinogen and white blood cell count
(WBC). MATERIALS/METHODS: The study population comprised 2723 men and women aged
25-88 years from the first follow-up of the Study of Health in Pomerania.
Analyses of variance, linear and logistic regressions were performed to assess
the associations between 25(OH)D and the three inflammatory markers. The models
were adjusted for age, sex, waist circumference, diabetes mellitus, dyslipidemia,
anti-inflammatory medication and month of blood sampling. The association between
25(OH)D and WBC was assessed separately in smokers (n = 718) and non-smokers (n =
2005) as effect modification was observed. RESULTS: We detected a U-shaped
association between 25(OH)D and hs-CRP with a nadir of 21-25 ng/ml in fully
adjusted linear regression models with restricted cubic splines (p < 0.01; p' <
0.01). We further detected an inverse association between 25(OH)D and fibrinogen
(p < 0.01). In addition, there was an inverse association between 25(OH)D and WBC
in smokers (p = 0.02) but no association in non-smokers (p = 0.73). CONCLUSION:
Our study confirms a potential role of 25(OH)D in chronic inflammation. Yet,
different inflammatory biomarkers are differently associated with 25(OH)D.
Beneficial effects of increasing 25(OH)D were observed for fibrinogen and WBC (in
smokers only). In contrast, the U-shaped association between 25(OH)D and hs-CRP
indicates that ever-increasing 25(OH)D concentrations may also be related to
proinflammatory states.
PMID- 24928662
TI - Genome instability in Maple Syrup Urine Disease correlates with impaired
mitochondrial biogenesis.
AB - OBJECTIVE: The mitochondrial branched-chain ketoacid dehydrogenase (BCKD)
catalyzes the degradation of branched-chain amino acids (BCAA), which have been
shown to induce oxidative stress. Maple Syrup Urine Disease (MSUD) is caused by
impaired activity of BCKD, suggesting that oxidative stress and resulting DNA
damage could contribute to pathology. We evaluated the potential effect of BCKD
deficiency on genome integrity and mitochondrial function as a downstream target.
METHODS: Primary fibroblasts from MSUD patients and controls were either
cultivated under normal conditions or exposed to metabolic or oxidative stress.
DNA was analyzed for damage and mitochondrial function was evaluated by gene
expression analyses, functional assays and immunofluorescent methods. RESULTS:
Patient fibroblasts accumulated damage in mitochondrial DNA (mtDNA) and nuclear
DNA, with a corresponding reduction in mitochondrial transcription, mtDNA copy
number and pyruvate dehydrogenase. We found no evidence of increased level of
reactive oxygen species (ROS) in patient fibroblasts under normal conditions,
suggesting that the genotoxic effect is ascribed to accumulating metabolites.
CONCLUSIONS: Impaired BCKD activity as in MSUD, results in accumulation of DNA
damage and corresponding mitochondrial dysfunction.
PMID- 24928663
TI - Estimation of the serial interval of pertussis in Dutch households.
AB - Increasing incidence has led to the re-appearance of pertussis as a public health
problem in developed countries. Pertussis infection is usually mild in vaccinated
children and adults, but it can be fatal in infants who are too young for
effective vaccination (<=3 months). Tailoring of control strategies to prevent
infection of the infant hinges on the availability of estimates of key
epidemiological quantities. Here we estimate the serial interval of pertussis,
i.e., the time between symptoms onset in a case and its infector, using data from
a household-based study carried out in the Netherlands in 2007-2009. We use
statistical methodology to tie infected persons to probable infector persons, and
obtain statistically supported stratifications of the data by person-type
(infant, mother, father, sibling). The analyses show that the mean serial
interval is 20 days (95% CI: 16-23 days) when the mother is the infector of the
infant, and 28 days (95% CI: 23-33 days) when the infector is the father or a
sibling. These time frames offer opportunities for early mitigation of the
consequences of infection of an infant once a case has been detected in a
household. If preventive measures such as social distancing or antimicrobial
treatment are taken promptly they could decrease the probability of infection of
the infant.
PMID- 24928664
TI - An approach for modeling cross-immunity of two strains, with application to
variants of Bartonella in terms of genetic similarity.
AB - We developed a two-strain susceptible-infected-recovered (SIR) model that
provides a framework for inferring the cross-immunity between two strains of a
bacterial species in the host population with discretely sampled co-infection
time-series data. Moreover, the model accounts for seasonality in host
reproduction. We illustrate an approach using a dataset describing co-infections
by several strains of bacteria circulating within a population of cotton rats
(Sigmodon hispidus). Bartonella strains were clustered into three genetically
close groups, between which the divergence is correspondent to the accepted level
of separate bacterial species. The proposed approach revealed no cross-immunity
between genetic clusters while limited cross-immunity might exist between
subgroups within the clusters.
PMID- 24928665
TI - Modelling the effect of changes in vaccine effectiveness and transmission contact
rates on pertussis epidemiology.
AB - The incidence of the highly infectious respiratory disease named pertussis or
whooping cough has been increasing for the past two decades in different
countries, as in much of the highly vaccinated world. A decrease in vaccine
effectiveness over time, especially when acellular vaccines were used for primary
doses and boosters, and pathogen adaptation to the immunity conferred by vaccines
have been proposed as possible causes of the resurgence. The contributions of
these factors are not expected to be the same in different communities, and this
could lead to different epidemiological trends. In fact, differences in the
magnitude and dynamics of pertussis outbreaks as well as in the distribution of
notified cases by age have been reported in various regions. Using an age
structured mathematical model designed by us, we evaluated how the changes in
some of the parameters that could be related to the above proposed causes of
disease resurgence - vaccine effectiveness and effective transmission rates - may
impact on pertussis transmission. When a linear decrease in vaccine effectiveness
(VE) was assayed, a sustained increase in pertussis incidence was detected mainly
in infants and children. On the other hand, when changes in effective
transmission rates (betaij) were made, a dynamic effect evidenced by the presence
of large peaks followed by deep valleys was detected. In this case, greater
incidence in adolescents than in children was observed. These different trends in
the disease dynamics due to modifications in VE or betaij were verified in 18
possible scenarios that represent different epidemiological situations.
Interestingly we found that both incidence trends produced by the model and their
age distribution resemble the profiles obtained from data reported in several
regions. The implications of these correlations are discussed.
PMID- 24928666
TI - Evaluating the potential impact of mass praziquantel administration for HIV
prevention in Schistosoma haematobium high-risk communities.
AB - Genital infection with Schistosoma haematobium is prevalent in sub-Saharan
Africa. Epidemiological studies have observed that genital schistosomiasis is
associated with an increased odd of HIV infection among women. We used
mathematical modeling to explore the potential impact of mass preventive
chemotherapy against schistosomiasis on HIV transmission in three sub-Saharan
Africa countries: Angola, Kenya, and Zambia. We developed a model of female
genital schistosomiasis (FGS) and HIV transmission dynamics, fitting it to data
of HIV and S. haematobium prevalences as well as co-infection. We simulated
targeted mass drug administration (MDA) with praziquantel to school-age children
and mass treatment of the entire community. We estimated that, in S. haematobium
high-risk communities, targeted annual treatment of school-age children could
reduce HIV prevalence by 20% (95% CI: 12-31%) in Angola, 16% (95% CI: 10-32%) in
Kenya, and 6% (95% CI: 3-18%) in Zambia after the first 20 years of intervention;
and would reduce HIV incidence by 15% (95% CI: 13-32%) in Angola, 22% (95% CI: 18
42%) in Kenya, and 9% (95% CI: 3-22%) in Zambia. Extending the intervention to
adults could reduce HIV prevalence by an additional 2.2% (95% CI: 0.2-12.0%) in
Angola, 1.8% (95% CI: 0.1-5.2%) in Kenya, and 0.3% (95% CI: 0.1-2.1%) in Zambia;
and would reduce HIV incidence by an additional 1.8% (95% CI: 0.0-14.4%) in
Angola, 6.1% (95% CI: 0.5-12.6%) in Kenya, and 0.8% (95% CI: 0.0-2.7%) in Zambia.
We showed that the exacerbation of HIV transmission due to FGS and the
probability of developing FGS as a result of childhood infection with S.
haematobium, were the most important factors in determining the effectiveness of
praziquantel MDA for reducing HIV transmission. Praziquantel MDA may be an
innovative measure for reducing schistosomiasis and HIV transmission in sub
Saharan Africa, the effectiveness of which varies with HIV prevalence.
PMID- 24928667
TI - OutbreakTools: a new platform for disease outbreak analysis using the R software.
AB - The investigation of infectious disease outbreaks relies on the analysis of
increasingly complex and diverse data, which offer new prospects for gaining
insights into disease transmission processes and informing public health
policies. However, the potential of such data can only be harnessed using a
number of different, complementary approaches and tools, and a unified platform
for the analysis of disease outbreaks is still lacking. In this paper, we present
the new R package OutbreakTools, which aims to provide a basis for outbreak data
management and analysis in R. OutbreakTools is developed by a community of
epidemiologists, statisticians, modellers and bioinformaticians, and implements
classes and methods for storing, handling and visualizing outbreak data. It
includes real and simulated outbreak datasets. Together with a number of tools
for infectious disease epidemiology recently made available in R, OutbreakTools
contributes to the emergence of a new, free and open-source platform for the
analysis of disease outbreaks.
PMID- 24928668
TI - Fabrication of an rhBMP-2 loaded porous beta-TCP microsphere-hyaluronic acid
based powder gel composite and evaluation of implant osseointegration.
AB - Methods to improve osseointegration that include implantation of rhBMP-2 with
various kinds of carriers are currently of considerable interest. The present
study was conducted to evaluate if the rhBMP-2 loaded beta-TCP microsphere
hyaluronic acid-based powder-like hydrogel composite (powder gel) can act as an
effective rhBMP-2 carrier for implantation in host bone with a bone defect or
poor bone quality. The release pattern for rhBMP-2 was then evaluated against an
rhBMP-2-loaded collagen sponge as a control group. Dental implants were also
inserted into the tibias of three groups of rabbits: an rhBMP-2 (200 ug) loaded
powder gel composite implanted group, an implant only group, and a powder gel
implanted group. Micro-CT and histology of the implanted areas were carried out
four weeks later. The rhBMP-2 powder gel released less rhBMP-2 than the collagen
sponge, but it continued a slow release for more than 7 days. The rhBMP-2 powder
gel composite improved osseointegration of the dental implant by increasing the
amount of new bone formation in the implant pitch and it improved the bone
quality and bone quantity of new bone. The histology results indicated that the
rhBMP-2 powder gel composite improved the osseointegration in the cortical bone
as well as the marrow space along the fixture. The bone-to-implant contact ratio
of the rhBMP-2 (200 ug) loaded powder gel composite implanted group was
significantly higher than those of the implant only group and the powder gel
implanted group. The powder gel appeared to be a good carrier and could release
rhBMP-2 slowly to promote the formation of new bone following implantation in a
bone defect, thereby improving implant osseointegration.
PMID- 24928669
TI - Porous NiTi shape memory alloys produced by SHS: microstructure and
biocompatibility in comparison with Ti2Ni and TiNi3.
AB - Shape memory alloys based on NiTi have found their main applications in
manufacturing of new biomedical devices mainly in surgery tools, stents and
orthopedics. Porous NiTi can exhibit an engineering elastic modulus comparable to
that of cortical bone (12-17 GPa). This condition, combined with proper pore
size, allows good osteointegration. Open cells porous NiTi was produced by self
propagating high temperature synthesis (SHS), starting from Ni and Ti mixed
powders. The main NiTi phase is formed during SHS together with other Ni-Ti
compounds. The biocompatibility of such material was investigated by single
culture experiment and ionic release on small specimen. In particular, NiTi and
porous NiTi were evaluated together with elemental Ti and Ni reference metals and
the two intermetallic TiNi3, Ti2Ni phases. This approach permitted to clearly
identify the influence of secondary phases in porous NiTi materials and relation
with Ni-ion release. The results indicated, apart the well-known high toxicity of
Ni, also toxicity of TiNi3, whilst phases with higher Ti content showed high
biocompatibility. A slightly reduced biocompatibility of porous NiTi was ascribed
to combined effect of TiNi3 presence and topography that requires higher effort
for the cells to adapt to the surface.
PMID- 24928670
TI - Lessons learned from the first fully magnetically levitated centrifugal LVAD
trial in the United States: the DuraHeart trial.
AB - BACKGROUND: The DuraHeart is a continuous centrifugal-flow left ventricular
assist device that uses active magnetic levitation for impeller positioning
designed for improved hemocompatibility and durability. This study reviews the
results of the US trial with specific attention to hemolysis, thrombotic
complications, and pump failure. METHODS: The US SUSTAIN trial was a multicenter,
prospective, single-arm observational study in advanced heart failure patients
listed for transplantation. Follow-up was complete in 100% of the patients at 6
months. RESULTS: Sixty-three patients were enrolled at 23 centers. Forty-six
patients (73%) reached the primary end points of survival to transplantation,
alive on the original device at 180 days and listed for transplantation, or
explant for recovery. Median duration of support was 267 days (range, 10 to 952
days) with a total support time of 46 patient-years. There was no clinical
hemolysis reported during the study. Mean lactate dehydrogenase values peaked at
day 4 and significantly decreased during support (435+/-236 U/L and 297+/-142 U/L
on day 3 and day 180, respectively). There were no cases of pump thrombosis
reported, and 3 cases of pump thrombus "in transit" (0.06 events/patient-year)
were observed. There were 6 (10%) cases of magnetic levitation system failure,
all secondary to cable wire fractures (0.12 events/patient-year). All patients
were hemodynamically stable with the backup hydrodynamic mode. Major adverse
events included gastrointestinal bleeding (0.52 events/patient-year), ischemic
and hemorrhagic strokes (0.17 events/patient-year and 0.09 events/patient-year,
respectively), and driveline infections (0.67 events/patient-year). CONCLUSIONS:
The DuraHeart demonstrated good hemocompatibility; however, the reliability of
full magnetic levitation systems should be a high priority in future pump
designs.
PMID- 24928671
TI - Cancer stem cell phenotype is supported by secretory phospholipase A2 in human
lung cancer cells.
AB - BACKGROUND: Lung cancer stem cells (CSCs) are a subpopulation of cells that drive
growth, invasiveness, and resistance to therapy. Inflammatory eicosanoids are
critical to maintain this malignant subpopulation. Secretory phospholipase A2
group IIa (sPLA2) is an important mediator of the growth and invasive potential
of human lung cancer cells and regulates eicosanoid production. We hypothesized
that sPLA2 plays a role in the maintenance of lung CSCs. METHODS: Cancer stem
cells from lung adenocarcinoma cell lines H125 and A549 were isolated using
aldehyde dehydrogenase activity and flow cytometry. Protein and mRNA levels for
sPLA2 were compared between sorted cells using Western blotting and quantitative
reverse transcriptase-polymerase chain reaction techniques. Chemical inhibition
of sPLA2 and short-hairpin RNA knockdown of sPLA2 were used to evaluate effects
on tumorsphere formation. RESULTS: Lung CSCs were isolated in 8.9%+/-4.1% (mean+/
SD) and 4.1%+/-1.6% of H125 and A549 cells respectively. Both sPLA2 protein and
mRNA expression were significantly elevated in the CSC subpopulation of H125
(p=0.002) and A549 (p=0.005; n=4). Knockdown of sPLA2 significantly reduced
tumorsphere formation in H125 (p=0.026) and A549 (p=0.001; n=3). Chemical
inhibition of sPLA2 resulted in dose-dependent reduction in tumorsphere formation
in H125 (p=0.003) and A549 (p=0.076; n=3). CONCLUSIONS: Lung CSCs express higher
levels of sPLA2 than the non-stem cell population. Our findings that viral
knockdown and chemical inhibition of sPLA2 reduce tumorsphere formation in lung
cancer cells demonstrate for the first time that sPLA2 plays an important role in
CSCs. These findings suggest that sPLA2 may be an important therapeutic target
for human lung cancer.
PMID- 24928672
TI - Lung resection improves the quality of life of patients with symptomatic
bronchiectasis.
AB - BACKGROUND: Bronchiectasis is a significant cause of morbidity. Surgical
resection is a treatment option, but its main outcomes regarding quality of life
(QOL) and physiologic consequences have not been addressed previously, to our
knowledge. We aimed to evaluate the effect of surgical procedures on QOL,
exercise capacity, and lung function in patients with bronchiectasis in whom
medical treatment was unsuccessful. METHODS: Patients with noncystic fibrosis in
whom medical treatment was unsuccessful and who were candidates for lung
resection were enrolled in a prospective study. The main measurements before lung
resection and 9 months afterward were QOL according to the Short Form 36 Health
Survey and World Health Organization Quality of Life Questionnaires, lung
function test results, and the results of maximal cardiopulmonary exercise
testing on a cycle ergometer. RESULTS: Of 61 patients who were evaluated, 53
(50.9% male, age 41.3 +/- 12.9 years) underwent surgical resection (83%
lobectomies), and 44 completed the 9-month follow-up. At baseline, they had low
QOL scores, mild obstruction, and diminished exercise capacity. After resection,
2 patients died and adverse events occurred in 24.5%. QOL scores improved
remarkably at the 9-month measurements, achieving values considered normal for
the general population in most dimensions. Functionally, resection caused mild
reduction of lung volume; nevertheless, exercise capacity was not decreased. In
fact, 52% of the patients improved their exercise performance. Multiple linear
regression analysis showed that low QOL before resection was an important
predictor of QOL improvement after resection (p = 0.0001). CONCLUSIONS: Lung
resection promotes a significant improvement in the QOL of patients with
noncystic fibrosis bronchiectasis without compromising their exercise capacity.
PMID- 24928673
TI - Aortic arch advancement for aortic coarctation and hypoplastic aortic arch in
neonates and infants.
AB - BACKGROUND: The optimal treatment for infants with aortic coarctation and
hypoplastic aortic arch is controversial. The goal of this study was to report
the short-term and mid-term outcomes of aortic arch advancement (AAA) in infants
with hypoplastic aortic arch. METHODS: All infants who underwent AAA at our
institution from 1995 to 2012 were included. AAA consisted of coarctectomy and
end-to-side anastomosis of the descending aorta to the distal ascending
aorta/proximal arch through a median sternotomy. The cohort was divided into four
groups: (1) isolated AAA (n=29, 11%), (2) AAA with closure of ventricular septal
defect (n=56, 20%), (3) AAA with other biventricular repairs (n=115, 42%), and
(4) AAA as part of single-ventricle palliation (n=75, 27%). RESULTS: The cohort
included 275 patients: 125 (45%) were female, and the median age was 14 days
(interquartile range, 7-34 days). Genetic abnormalities were present in 48
patients (17%). Neurologic adverse events occurred in 3 patients (1%), all in
group 4. Left bronchial compression was seen in 2 patients (0.7%); only one
required intervention. Vocal cord dysfunction was noted in 36 of 95 patients
(38%) on routine laryngoscopy. Only 1 patient had clinical residual dysfunction
at the last follow-up visit. Perioperative mortality was 3% (n=8). At a median
follow-up time of 6 years, 8 patients (3%) had reinterventions at a median time
of 5 months (3-17 months) after repair. CONCLUSIONS: AAA is a safe, effective,
and durable operation with low rates of adverse events and mid-term
reintervention. The advantages include native tissue-to-tissue reconstruction and
preserved potential for growth. As such, it is the ideal technique for the
management of hypoplastic aortic arch in neonates and infants.
PMID- 24928674
TI - Long-term results of neomedia sinus valsalva repair in 489 patients with type A
aortic dissection.
AB - BACKGROUND: Acute type A aortic dissection frequently occurs in patients with
normally sized aortic roots. The aim of this investigation was to describe the
durability of aortic valve resuspension and root repair with a novel technique of
reconstruction in type A dissection. METHODS: From 1993 to 2013, among 629
patients operated on for acute type A dissection 489 (62% male, median age 62
years (53; 73) underwent aortic valve resuspension and reinforcement of the sinus
of Valsalva with a Teflon felt neomedia. The median follow-up time was 4.1 years
(1.3; 6.8) (2075 patient-years). RESULTS: In-hospital mortality was 11% (56/489).
Survival was 69%+/-2%, 50%+/-3%, and 36%+/-5% at 5, 10, and 15 years,
respectively. Freedom from moderate or severe aortic regurgitation was not
influenced by the aortic regurgitation grade at the initial operation (p=0.131).
Freedom from proximal aortic reoperation was 96%+/-1%, 92%+/-2%, and 89%+/-4% at
5, 10, and 15 years, respectively. Seventeen patients (3%) required proximal
reoperation: 10 for aortic regurgitation, including 3 with concomitant
pseudoaneurysm and 2 with root aneurysm; 6 for pseudoaneurysm; and 1 for graft
infection. CONCLUSIONS: Aortic root neomedia reconstruction and valve
resuspension can be successfully performed in the majority of patients with type
A dissection. The in-hospital mortality is low, and the results are durable.
PMID- 24928675
TI - Does mitral valve repair offer an advantage over replacement in patients
undergoing aortic valve replacement?
AB - BACKGROUND: Concomitant aortic and mitral valve (MV) operations have more than
doubled over the past decade. We utilized the Society of Thoracic Surgeons Adult
Cardiac Surgery Database (ACSD) to evaluate outcomes for patients undergoing
combined aortic valve replacement (AVR) and MV repair or replacement. METHODS:
From 1993 to 2007, 23,404 patients undergoing concomitant AVR+MV surgery were
identified. Patients with mitral stenosis, emergent or salvage status, and
endocarditis were excluded. Outcomes were expressed as unadjusted operative
mortality, adjusted odds ratio (OR) for mortality, and a composite of mortality
and major complications. RESULTS: The MV repair was performed in 46.0% and
replacement in 54.0% of AVR patients. The rate of MV repair increased from 22.5%
in 1993 to 59.1% in 2007 (p<0.0001). Compared with the AVR+MV replacement group,
the AVR+MV repair group was older (69.7+/-11.5 vs 67.2+/-12.7 years, p<0.0001),
had worse ejection fraction (0.449+/-0.153 vs 0.495+/-0.139, p<0.0001), and more
concomitant coronary artery bypass grafting (CABG) (50.5% vs 40.9%, p<0.0001).
Unadjusted operative mortality was lower in the AVR+MV repair group (8.2% vs
11.6%, p<0.0001). Predictors of operative mortality by multivariable analysis
included the following: age (OR 1.21, p<0.0001); concomitant CABG (OR 1.49,
p<0.0001); diabetes mellitus (OR 1.56, p<0.0001); reoperation (OR 1.53,
p<0.0001); and renal failure with dialysis (OR 3.57, p<0.0001). Patients
undergoing MV repair had a lower independent risk of operative mortality (OR
0.61, p<0.0001), and mortality also independently improved over time (2003 to
2007 vs 1993 to 1997, OR 0.79, p<0.002). CONCLUSIONS: When feasible, MV repair
remains the most optimal method of correcting mitral regurgitation during
concomitant AVR. Continued efforts to improve MV repair rates in this setting
seem warranted.
PMID- 24928676
TI - Thoracoscopic or open surgery for pulmonary metastasectomy: an observer blinded
study.
AB - BACKGROUND: Video-assisted thoracic surgery (VATS) resection of pulmonary
metastases has long been questioned because radiologically undetected parenchymal
lesions may be missed when bimanual palpation is restricted to the portholes.
Technology, however, has improved and advanced VATS resections are now performed
routinely worldwide. This prompted us to conduct a prospective observer-blinded
study on pulmonary metastasectomy. METHODS: Eligible patients with
oligometastatic pulmonary disease on computed tomography (CT) underwent high
definition VATS, with digital palpation by 1 surgical team and subsequent
immediate thoracotomy during the same anesthesia by a different surgical team,
with bimanual palpation and resection of all palpable nodules. Preoperative CT
evaluations and surgical results were blinded. Primary endpoints were number and
histopathology of detected nodules. RESULTS: During a 3-year period 89
consecutive patients, with newly developed nodules suspicious of lung metastases
from previous cancers in colon-rectum (n=59), kidney (n=15), and other
malignancies (n=15) were included, with a total of 140 suspicious nodules visible
on CT. During VATS, 122 nodules were palpable (87%). All nodules were identified
during thoracotomy, where 67 additional and unexpected nodules were also
identified; 22 were metastases (33%), 43 (64%) were benign lesions, and 2 (3%)
were primary lung cancers. CONCLUSIONS: In patients operated for nodules
suspicious of lung metastases, a substantial number of additional nodules were
detected during thoracotomy despite advancements in CT imaging and VATS
technology. Many of these nodules were malignant and would have been missed if
VATS was used exclusively. Consequently, we considered VATS inadequate if the
intention is to resect all pulmonary metastases during surgery.
PMID- 24928677
TI - Women with malignant pleural mesothelioma have a threefold better survival rate
than men.
AB - BACKGROUND: Many studies have reported that women with malignant pleural
mesothelioma (MPM) experience longer survival compared with men, whereas others
have not. To date, no large population-based studies have evaluated MPM outcome
and its determinants in female patients. METHODS: All pathologically confirmed
cases of MPM in the Surveillance, Epidemiology and End Results database from 1973
to 2009 were evaluated. Age, year of diagnosis, race, stage, cancer-directed
surgery, radiation, and vital status were analyzed according to gender. Cox
proportional hazard models were derived to assess the association between
prognostic factors and survival. RESULTS: There were 14,228 cases of MPM, of
which 3,196 (22%) were women. Despite similar baseline characteristics for both
genders, 5-year survival was 13.4% in women and 4.5% in men (p < 0.0001). The
effect of female gender on survival persisted when stratified by age
(dichotomized at 50 years), stage, or race, but differed depending on treatment.
Even when adjusted for age, stage, race, and treatment, female MPM patients
experienced longer survival than men (hazard ratio = 0.78; 95% confidence
interval 0.75 to 0.82). CONCLUSIONS: This large data set confirms that although
MPM is less common in women, they present with similar stage and are offered
similar treatment options compared with men. Nevertheless, survival is far better
in women compared with men, independent of confounders such as age, stage, and
treatment. Differences in asbestos exposure, tumor biology, and the impact of
circulating hormones on host response must be investigated to understand this
survival advantage and improve prognosis for patients of both genders.
PMID- 24928678
TI - Better short-term outcome by using sutureless valves: a propensity-matched score
analysis.
AB - BACKGROUND: Sutureless aortic valve prostheses have the potential of shortening
ischemic time. However, whether shorter operative times may also result in
improved patient outcomes and have an effect on hospital costs remains to be
established. METHODS: From March 2010 to April 2013, 566 patients underwent
aortic valve replacement with bioprostheses; of these, 166 received a sutureless
valve, and 400 received a stented valve. Redo and associated procedures were
included. A propensity-score analysis was used to create two groups (sutureless
and stented) with 82 matched pairs with comparable preoperative characteristics.
Hospital outcome, follow-up, and health care resource consumption were compared.
RESULTS: There were 3 hospital deaths in the stented group and 2 in the
sutureless group (p=0.65). Aortic cross-clamp, cardiopulmonary bypass, and
operation times were significantly shorter in the sutureless group (p<0.001).
Patients in the sutureless group required blood transfusion less frequently
(1.2+/-1.3 vs 2.5+/-3.7 units, p=0.005), with a similar need for reexploration
for bleeding (2 vs 5, p=0.221). The sutureless group had a shorter intensive care
unit stay (2.0+/-1.2 vs 2.8+/-1.3 days, p<0.001), hospital stay (10.9+/-2.7 vs
12.4+/-4.4 days, p=0.001) and intubation time (9.5+/-4.6 vs 16.6+/-6.4 hours,
p<0.001), and a lower incidence of postoperative atrial fibrillation (p=0.015),
pleura effusions (p=0.024), and respiratory insufficiency (p=0.016). Pacemaker
implantation and occurrence of neurologic events were similar between groups
(p>0.05). A lower rate of postoperative complications resulted in reduced
resource consumption in the sutureless group for diagnostics (?2,153 vs ?1,387),
operating room (?5,879 vs ?5,527), and hospital stay (?9,873 vs ?6,584), with a
total cost saving of approximately 25% (?17,905 vs ?13,498). CONCLUSIONS: A
shorter procedural time in the sutureless group is associated with better
clinical outcomes and reduced hospital costs.
PMID- 24928679
TI - Nuclear calcium transients: Hermes Propylaios in the heart.
PMID- 24928681
TI - Myocardial contractile dysfunction is associated with impaired mitochondrial
function and dynamics in type 2 diabetic but not in obese patients.
AB - BACKGROUND: Obesity and diabetes mellitus are independently associated with the
development of heart failure. In this study, we determined the respective effects
of obesity, insulin resistance, and diabetes mellitus on the intrinsic
contraction and mitochondrial function of the human myocardium before the onset
of cardiomyopathy. METHODS AND RESULTS: Right atrial myocardium was obtained from
141 consecutive patients presenting no sign of cardiomyopathy. We investigated ex
vivo isometric contraction, mitochondrial respiration and calcium retention
capacity, and respiratory chain complex activities and oxidative stress status.
Diabetes mellitus was associated with a pronounced impairment of intrinsic
contraction, mitochondrial dysfunction, and increased myocardial oxidative
stress, regardless of weight status. In contrast, obesity was associated with
less pronounced contractile dysfunction without any significant perturbation of
mitochondrial function or oxidative stress status. Tested as continuous
variables, glycated hemoglobin A1C, but neither body mass index nor the insulin
resistance index (homeostasis model assessment-insulin resistance), was
independently associated with cardiac mitochondrial function. Furthermore,
diabetes mellitus was associated with cardiac mitochondrial network fragmentation
and significantly decreased expression of the mitochondrial fusion related
protein MFN1. Myocardial MFN1 content was inversely proportional to hemoglobin
A1C. CONCLUSION: Worsening of intrinsic myocardial contraction in the transition
from obesity to diabetes mellitus is likely related to worsening of cardiac
mitochondrial function because impaired mitochondrial function and dynamics and
contractile dysfunction are observed in diabetic patients but not in
"metabolically healthy" obese patients at early stage in insulin resistance.
PMID- 24928680
TI - Early remodeling of perinuclear Ca2+ stores and nucleoplasmic Ca2+ signaling
during the development of hypertrophy and heart failure.
AB - BACKGROUND: A hallmark of heart failure is impaired cytoplasmic Ca(2+) handling
of cardiomyocytes. It remains unknown whether specific alterations in nuclear
Ca(2+) handling via altered excitation-transcription coupling contribute to the
development and progression of heart failure. METHODS AND RESULTS: Using tissue
and isolated cardiomyocytes from nonfailing and failing human hearts, as well as
mouse and rabbit models of hypertrophy and heart failure, we provide compelling
evidence for structural and functional changes of the nuclear envelope and
nuclear Ca(2+) handling in cardiomyocytes as remodeling progresses. Increased
nuclear size and less frequent intrusions of the nuclear envelope into the
nuclear lumen indicated altered nuclear structure that could have functional
consequences. In the (peri)nuclear compartment, there was also reduced expression
of Ca(2+) pumps and ryanodine receptors, increased expression of inositol-1,4,5
trisphosphate receptors, and differential orientation among these Ca(2+)
transporters. These changes were associated with altered nucleoplasmic Ca(2+)
handling in cardiomyocytes from hypertrophied and failing hearts, reflected as
increased diastolic Ca(2+) levels with diminished and prolonged nuclear Ca(2+)
transients and slowed intranuclear Ca(2+) diffusion. Altered nucleoplasmic Ca(2+)
levels were translated to higher activation of nuclear Ca(2+)/calmodulin
dependent protein kinase II and nuclear export of histone deacetylases.
Importantly, the nuclear Ca(2+) alterations occurred early during hypertrophy and
preceded the cytoplasmic Ca(2+) changes that are typical of heart failure.
CONCLUSIONS: During cardiac remodeling, early changes of cardiomyocyte nuclei
cause altered nuclear Ca(2+) signaling implicated in hypertrophic gene program
activation. Normalization of nuclear Ca(2+) regulation may therefore be a novel
therapeutic approach to prevent adverse cardiac remodeling.
PMID- 24928683
TI - Complex association between alcohol consumption and myocardial infarction: always
good for a new paradox.
PMID- 24928684
TI - Expression analysis of BORIS during pluripotent, differentiated, cancerous, and
non-cancerous cell states.
AB - BORIS/CTCFL is an 11 zinc finger protein, which is the paralog of CTCF, a
ubiquitously expressed protein with diverse roles in gene expression and
chromatin organization. Several studies have shown that the expression of BORIS
is restricted to normal adult testis, pluripotent cells, and diverse cancer cell
lines. Thus, it is known as a cancer-testis (CT) gene that has been hypothesized
to exhibit oncogenic properties and to be involved in cancer cell proliferation.
On the contrary, other reports have shown that its expression is more widespread
and can be detected in differentiated and normal somatic cells; hence, it might
have roles in general cellular functions. The present study was aimed to analyze
the expression of BORIS in different cell states of pluripotent, differentiated,
cancerous and non-cancerous.We found that the two cell states of pluripotency and
differentiation are not accompanied with significant variations of BORIS
expression. Furthermore, Boris transcripts were detected at approximately the
same level in cancer and non-cancer cell lines. These findings suggest that, in
contrast to some previous reports, the expression of mouse BORIS is not limited
to only cancerous cells or pluripotent cell states.
PMID- 24928685
TI - Really interesting new gene finger protein 121 is a novel Golgi-localized
membrane protein that regulates apoptosis.
AB - Really interesting new gene (RING) finger proteins represent a large protein
family in the human genome, and play crucial roles in physiological activities
and cancer development. The biological functions of some RING finger proteins
remain unknown. Here, we described the biological activity of a novel, human
Golgi-localized RING finger protein 121 (RNF121), the function of which is, thus
far, unknown. Unlike the endoplasmic reticulum-localized RNF121 in Caenorhabditis
elegans, human RNF121 is predominantly localized to the Golgi apparatus. RNF121
knockdown inhibited cell growth and induced apoptosis, which was accompanied by
caspase-3 activation and the cleavage of poly (adenosine diphosphate-ribose)
polymerase. Z-VAD-FMK, a pan-caspase inhibitor, inhibited the RNF121
knockdowninduced apoptosis. Over-expression of wild-type RNF121, but not the RING
domain mutants of RNF121, decreased RNF121 knockdown-induced apoptosis,
indicating that the RING domain is required for RNF121-regulated apoptosis.
Moreover, RNF121 knockdown enhanced etoposide-induced apoptosis. This is the
first study to demonstrate that RNF121 is a novel regulator of apoptosis and
provides a new potential target for cancer therapy.
PMID- 24928686
TI - Local infusion of citalopram into the basolateral amygdala decreased conditioned
fear of rats through increasing extracellular serotonin levels.
AB - Selective serotonin reuptake inhibitors (SSRIs) are widely used for the treatment
of depressive disorders and anxiety disorders. The anxiolytic mechanism of SSRIs
is currently unclear. To investigate the anxiolytic effects of SSRIs, we measured
both freezing behavior and extracellular serotonin and dopamine levels in the
basolateral amygdala when rats were given conditioned fear stress under local
reverse-dialysis of citalopram, an SSRI, into the basolateral amygdala. Local
administration of citalopram into the basolateral amygdala significantly
decreased freezing behavior induced by conditioned fear stress, and serotonin
levels were simultaneously found to be significantly higher. Furthermore,
repeated conditioned fear stress under local infusion of citalopram into the
basolateral amygdala induced further increases in extracellular dopamine levels.
Further studies investigating the role of dopamine in the amygdala for
conditioned fear stress will be necessary. These results suggest that the
basolateral amygdala is one of the target areas of the anxiolytic effects of
citalopram and the increases of extracellular serotonin levels in the basolateral
amygdala may be related to the anxiolytic effects.
PMID- 24928687
TI - Tyrosine phosphorylation profiling via in situ proximity ligation assay.
AB - BACKGROUND: Tyrosine phosphorylation (pTyr) is an important cancer relevant
posttranslational modification since it regulates protein activity and cellular
localization. By controlling cell growth and differentiation it plays an
important role in tumor development. This paper describes a novel approach for
detection and visualization of a panel of pTyr proteins in tumors using in situ
proximity ligation assay. METHODS: K562 leukemia cells were treated with tyrosine
kinase and/or phosphatase inhibitors to induce differences in pTyr levels and
mimic cells with different malignant properties. Cells were then probed with one
antibody against the pTyr modification and another probe against the detected
protein, resulting in a detectable fluorescent signal once the probes were in
proximity. RESULTS: Total and protein specific pTyr levels on ABL, SHC, ERK2 and
PI3K proteins were detected and samples of control and treated cells were
distinguished at the pTyr level using this novel approach. Promising results were
also detected for formalin fixed and paraffin embedded cells in the micro array
format. CONCLUSIONS: This application of in situ proximity ligation assay is
valuable in order to study the pTyr modification of a panel of proteins in large
data sets to validate mass spectrometric data and to be combined with tissue
microarrays. The approach offers new opportunities to reveal the pTyr signatures
in cells of different malignant properties that can be used as biomarker of
disease in the future.
PMID- 24928688
TI - Analysis of biologically active oxyprenylated ferulic acid derivatives in Citrus
fruits.
AB - 4'-Geranyloxyferulic (GOFA) and boropinic acid have been discovered during the
last decade as interesting phytochemicals having valuable pharmacological effects
as cancer chemopreventive, anti-inflammatory, neuroprotective, and anti
Helicobacter pylori agents. A reverse-phase HPLC-UV/Vis method for the separation
and quantification of the title oxyprenylated ferulic acid derivatives in
extracts obtained from peels of nine edible Citrus and Fortunella fruits was
successfully applied. Concentration values showed a great variation between the
different species, being orange (C. sinensis) the fruit richest in GOFA (0.141 +/
0.011 mg/g of exocarp fresh weight) and kumquat (Fortunella japonica) the one in
which boropinic acid was recorded as the most abundant phytochemical (0.206 +/-
0.002 mg/g of exocarp fresh weight). Both secondary metabolites were not detected
only in three species. The set-up methodology showed limits of quantification
(LOQ) values, that were able to selectively quantify both GOFA and boropinic
acid. Results described herein depict a potential chemopreventive dietary feeding
role for the Rutaceae spp. under investigation.
PMID- 24928690
TI - A time-resolved fluoroimmunoassay for the quantitation of rabies virus
nucleoprotein in the rabies vaccine.
AB - Sensitive, precise and rapid detection tests are needed in the quality control of
rabies vaccine for rabies virus nucleoprotein. Previous studies for quantitation
of rabies virus nucleoprotein focused on enzyme-linked immunosorbent assay
(ELISA). A novel immunoassay for rapid determination of rabies virus
nucleoprotein in rabies vaccine was first established by time-resolved
fluoroimmunoassay (TRFIA). Based on a sandwich-type immunoassay format, analytes
in samples were captured by one monoclonal antibody coating in the wells and
"sandwiched" by another monoclonal antibody labeled with europium chelates. The
immunocomplex was retained after washing, and then adopted treatment with
enhancement solution; fluorescence was then measured according to the number of
europiumions dissociated. Levels of the rabies virus nucleoprotein were measured
in a linear range (5-2500 mEU/mL) with a lower limit of quantitation (0.95
mEU/mL) under optimal conditions. The repeatability, recovery, and linearity of
the immunoassay were demonstrated to be acceptable. The correlation coefficient
of nucleoprotein values obtained by novel TRFIA method and ELISA method was
0.981. These results showed good correlation and confirmed that this sensitive,
precise and rapid TRFIA was feasible and could be more suitable for the quality
control in the process of rabies vaccine production than ELISA.
PMID- 24928691
TI - Development of a multiplex TaqMan probe-based real-time PCR for discrimination of
variant and classical porcine epidemic diarrhea virus.
AB - Since October 2010, porcine diarrhea outbreaks have occurred widely, resulting in
major losses in suckling piglets in China. A variant porcine epidemic diarrhea
virus (PEDV), characterized by base deletion and insertion in the S gene,
compared to classical PEDV CV777, was shown to be responsible for this outbreak.
In this study, a multiplex TaqMan probe-based real-time PCR was developed for
detecting PEDV and differentiating the variant from classical PEDV, by using two
sets of primers and probes based on the S gene of PEDV. The limits of detection
of both variant and classical PEDV were 5*10(2) DNA copies. Specificity was
determined using eight other viral pathogens of swine. Reproducibility was
evaluated using standard dilutions, with coefficients of variation <1.4%.
Standard dilutions included in each test allowed quantification of the amount of
PEDV. Among 42 intestinal samples from pigs with severe watery diarrhea, 36
variant PEDV and three classical PEDV samples were detected, with viral loads of
10(2)-10(8) copies/MUl and 10(3)-10(5) copies/MUl, respectively, which suggested
that the variant PEDV was prevalent in China. The multiplex TaqMan probe-based
real-time PCR should be a useful tool for quantifying viral load, detecting PEDV,
and differentiating variant from classical PEDV.
PMID- 24928692
TI - Herpesvirus delivery to the murine respiratory tract.
AB - Herpesvirus transmission is sporadic, and infection may be asymptomatic or
present only with secondary lesions after dissemination. Consequently host entry
remains ill-understood. Experimental infections can be informative, but depend on
inoculations that are inherently artificial and so need validation. Mice are a
widely used experimental host. Alert mice inhale readily small (5 MUl) liquid
volumes, and Indian ink, luciferase or radiolabel delivered thus distributed to
the nasopharynx and oropharynx. Murid Herpesvirus-4 or Herpes simplex virus type
1 delivered thus infected only the nose, arguing that host entry is nasal rather
than oral. Marker or virus delivery to the lung depended on general anesthesia
and a large inoculum volume (30 MUl), and so needs further validation of
physiological relevance. While lungs could be infected at lower doses than the
upper respiratory tract, tracking experiments showed that nasal inocula pass
mostly into the oropharynx, even when restricted to 1 MUl. Thus, the relative
inefficiency of experimental upper respiratory tract infection was attributable
to limited liquid retention in this site. Nonetheless low volume intranasal
delivery to alert mice provides a convenient way to model experimentally an
apparently natural mode of herpesvirus host entry.
PMID- 24928694
TI - The Australian Register of antiepileptic drugs in pregnancy: changes over time in
the epileptic population.
AB - The demographic characteristics, details of pregnancies, epilepsies, and
treatment of 855 pregnant women with epilepsy enrolled in the Australian
Antiepileptic Drugs in Pregnancy Register during 1999-2005 were compared with the
corresponding data for the 801 women enrolled from 2006-2012. We estimate that
the Register captures approximately 1 in 12 of all pregnancies in Australian
women with epilepsy. A number of statistically significant changes were found,
with nearly all explained by factors such as re-enrolment of women who had
enrolled earlier pregnancies, changes in general population behaviour, altered
attitudes to prescribing valproate and using it in lower doses, and the advent of
newer antiepileptic drugs which have displaced the use of older agents. It
appears that the Register has continued to capture a reasonably representative
sample of pregnant Australian women with epilepsy as time has passed.
PMID- 24928682
TI - Patterns of alcohol consumption and myocardial infarction risk: observations from
52 countries in the INTERHEART case-control study.
AB - BACKGROUND: Although moderate alcohol use is associated with protection against
myocardial infarction (MI), it is not known whether this effect is generalizable
to populations worldwide. It is also uncertain whether differences in the pattern
of alcohol use (and in particular heavy episodic consumption) between different
regions negate any beneficial effect. METHODS AND RESULTS: We included 12 195
cases of first MI and 15 583 age- and sex-matched controls from 52 countries.
Current alcohol use was associated with a reduced risk of MI (compared with
nonusers: adjusted odds ratio, 0.87; 95% confidence interval, 0.80-0.94;
P=0.001); however, the strength of this association was not uniform across
different regions (region-alcohol interaction P<0.001). Heavy episodic drinking
(>=6 drinks) within the preceding 24 hours was associated with an increased risk
of MI (odds ratio, 1.4; 95% confidence interval, 1.1-1.9; P=0.01). This risk was
particularly elevated in older individuals (for age >65 years: odds ratio, 5.3;
95% confidence interval, 1.6-18; P=0.008). CONCLUSIONS: In most participants, low
levels of alcohol use are associated with a moderate reduction in the risk of MI;
however, the strength of this association may not be uniform across different
countries. An episode of heavy drinking is associated with an increased risk of
acute MI in the subsequent 24 hours, particularly in older individuals.
PMID- 24928693
TI - HPV genotypes and cervical intraepithelial neoplasia in a multiethnic cohort in
the southeastern USA.
AB - PURPOSE: For poorly understood reasons, invasive cervical cancer (ICC) incidence
and mortality rates are higher in women of African descent. Oncogenic human
papillomavirus (HPV) genotypes distribution may vary between European American
(EA) and African-American (AA) women and may contribute to differences in ICC
incidence. The current study aimed at disentangling differences in HPV
distribution among AA and EA women. METHODS: Five-hundred and seventy-two women
were enrolled at the time of colposcopic evaluation following an abnormal liquid
based cytology screen. HPV infections were detected using HPV linear array, and
chi-squared tests and linear regression models were used to compare HPV genotypes
across racial/ethnic groups by CIN status. RESULTS: Of the 572 participants, 494
(86 %) had detectable HPV; 245 (43 %) had no CIN lesion, 239 (42 %) had CIN1, and
88 (15 %) had CIN2/3. Seventy-three percent of all women were infected with
multiple HPV genotypes. After adjusting for race, age, parity, income, oral
contraception use, and current smoking, AAs were two times less likely to harbor
HPV 16/18 (OR 0.48, 95 % CI 0.21-0.94, p = 0.03) when all women were considered.
This association remained unchanged when only women with CIN2/3 lesions were
examined (OR 0.22, 95 % CI 0.05-0.95, p = 0.04). The most frequent high-risk HPV
genotypes detected among EAs were 16, 18, 56, 39, and 66, while HPV genotypes 33,
35, 45, 58, and 68 were the most frequent ones detected in AAs. CONCLUSIONS: Our
data suggest that while HPV 16/18 are the most common genotypes among EA women
with CIN, AAs may harbor different genotypes.
PMID- 24928695
TI - Headache with focal neurologic signs in children at the emergency department.
AB - OBJECTIVE: To identify predictors of secondary headache in children consulting at
the pediatric emergency department (ED) for headache with a focal neurologic
deficit. STUDY DESIGN: In this prospective cohort study, we enrolled children
aged 6-18 years presenting to the ED of a tertiary care hospital with moderate to
severe headache and focal neurologic deficit. Enrollment took place between March
2009 and February 2012. Children with a history of trauma, fever, or
neurosurgical intervention were excluded from the study. The final diagnosis was
made after 1 year of follow-up. Our primary aim was to identify any differences
in the frequency of clinical signs between children with a final diagnosis of
primary headache and those with a final diagnosis of secondary headache. RESULTS:
Of the 101 patients included in the study, 66% received a final diagnosis of
primary headache (94% migraine with aura), and 34% received a final diagnosis of
secondary headache (76.5% focal epilepsy). On multivariate analysis, children
with bilateral localization of pain had a higher likelihood (aOR, 8.6; 95% CI,
3.2-23.2; P<.001) of having secondary headache. CONCLUSION: Among children
presenting to the ED with focal neurologic deficits, a bilateral headache
location was associated with higher odds of having a secondary cause of headache.
Additional longitudinal studies are needed to investigate whether our data can
aid management in the ED setting.
PMID- 24928696
TI - The hand on the trigger needs precise aim.
PMID- 24928697
TI - The impact of race on analgesia use among pediatric emergency department
patients.
AB - We studied the effect of race on analgesia use in potentially pain-related
pediatric emergency department visits using the National Hospital Ambulatory
Medical Care Survey (2005-2010). There were independent patient- and hospital
level racial disparities when it came to the type of analgesia used, suggesting
black children are treated differently even within hospitals with high numbers of
black patients.
PMID- 24928698
TI - Acute kidney injury associated with high nephrotoxic medication exposure leads to
chronic kidney disease after 6 months.
AB - OBJECTIVE: To assess the development of chronic kidney disease (CKD) after high
nephrotoxic medication exposure-associated acute kidney injury (NTMx-AKI) in
hospitalized children. STUDY DESIGN: We performed a retrospective cohort study of
children exposed to an aminoglycoside for >=3 days or >=3 nephrotoxic medications
simultaneously for the development of CKD at 6 months. Follow-up data >6 months
after acute kidney injury (AKI) were retrieved from electronic health records.
Outcomes in children with NTMx-AKI were compared with patients of same age and
primary service distribution who were exposed to nephrotoxic medications but did
not develop AKI (controls). RESULTS: One hundred patients with NTMx-AKI were
assessed (mean age of 9.3 +/- 6.9 years). Commonly involved services were bone
marrow transplantation/oncology (59%), liver transplantation (13%), and pulmonary
(13%). Pre-AKI estimated glomerular filtration rate (eGFR) was 119 +/- 14.5
mL/min/1.73 m(2) (range 90-150 mL/min/1.73 m(2)). Mean discharge eGFR was 105.1
+/- 27.1 mL/min/1.73 m(2). At 6 months after NTMx-AKI, eGFR (n = 77) was 113.8 +/
30.6 mL/min/1.73 m(2). Sixteen (20.7%) had eGFR of 60-90, 2 (2.6%) had eGFR <60,
and 9 (11.6%) had eGFR >150 mL/min/1.73 m(2) (hyperfiltration). Twenty-four
(68.5%) of 35 patients who were assessed for proteinuria had a urine protein-to
creatinine ratio >0.3 mg/mg, and 29 (37.6%) had hypertension. Twenty-six (33.7%)
patients had CKD (proteinuria or eGFR <60 mL/min/1.73 m(2)). An additional 28
(36.3%) were considered to be at risk for CKD with hypertension, eGFR between 60
and 90 mL/min/1.73 m(2), or eGFR >150 mL/min/1.73 m(2). CKD, hypertension, and
proteinuria were more common in the AKI cohort than in controls. CONCLUSIONS: Six
months after NTMx-AKI, 70% of patients had evidence of residual kidney damage
(reduced eGFR, hyperfiltration, proteinuria, or hypertension). Few underwent a
complete evaluation for CKD. With studies showing an association between AKI and
CKD, we suggest systematic comprehensive follow-up in children after NTMx-AKI.
PMID- 24928699
TI - Heart failure in children: etiology and treatment.
PMID- 24928700
TI - Reply: To PMID 23992672.
PMID- 24928702
TI - A young infant with periorificial and acral dermatitis.
PMID- 24928701
TI - Looking beyond brachial plexus palsy.
PMID- 24928703
TI - Knowledge, attitudes, and practices related to pet contact by immunocompromised
children with cancer and immunocompetent children with diabetes.
AB - OBJECTIVE: To compare knowledge, attitudes, and risks related to pet contact in
households with and without immunocompromised children. STUDY DESIGN: A
questionnaire was distributed to parents of children diagnosed with cancer
(immunocompromised; n=80) or diabetes (immunocompetent; n=251) receiving care at
the Children's Hospital of Eastern Ontario. Information was collected on
knowledge of pets as sources of disease, concerns regarding pet-derived
pathogens, and pet ownership practices. Data were analyzed with multivariable
logistic regression. RESULTS: The questionnaire was completed by 65% (214 of 331)
of the individuals to whom it was given. Pet ownership was common; 45% of
respondents had a household pet when their child was diagnosed, and many
(households with a child with diabetes, 49%; households with a child with cancer,
20%) acquired a new pet after diagnosis. Most households that obtained a new pet
had acquired a pet considered high risk for infectious disease based on
species/age (diabetes, 73%; cancer, 77%). Parents of children with cancer were
more likely than parents of children with diabetes to recall being asked by a
physician/staff member if they owned a pet (OR, 5.9) or to recall receiving
zoonotic disease information (OR, 5.3), yet these interactions were reported
uncommonly (diabetes, <=13%; cancer, <=48%). Greater knowledge of pet-associated
pathogens was associated with recalled receipt of previous education on this
topic (OR, 3.9). Pet exposure outside the home was reported frequently for
children in non-pet-owning households (diabetes, 48%; cancer, 25%). CONCLUSION:
Improved zoonotic disease education is needed for pet-owning and non-pet-owning
households with immunocompromised children, with ongoing provision of information
while the children are at increased risk of disease. Additional efforts from
pediatric and veterinary healthcare professionals are required.
PMID- 24928704
TI - Application of anatomy and HPTLC in characterizing species of Dioscorea
(Dioscoreaceae).
AB - The edible tubers from different species of Dioscorea are a major source of food
and nutrition for millions of people. Some of the species are medicinally
important but others are toxic. The genus consists of about 630 species of almost
wholly dioecious plants, many of them poorly characterized. The taxonomy of
Dioscorea is confusing and identification of the species is generally
problematic. There are no adequate anatomical studies available for most of the
species. This study is aimed to fill this gap and provides a detailed
investigation of the anatomy and micro-morphology of the rhizomes and tubers of
five different species of Dioscorea, namely D. balcanica, D. bulbifera, D.
polystachya, D. rotundata and D. villosa. The primary features that can help in
distinguishing the species include the nature of periderm, presence or absence of
pericyclic sclereids, lignification in the phloem, types of calcium oxalate
crystals and features of starch grains. The descriptions are supported with
images of bright-field and scanning electron microscopy for better understanding
of these species. The diagnostic key of anatomical features included in this
paper can help distinguish the investigated species unambiguously. Additionally,
HPTLC analyses of authentic and commercial samples of the five species are
described.
PMID- 24928705
TI - Patient-reported outcomes for psoriasis patients with clear versus almost clear
skin in the clinical setting.
AB - BACKGROUND: There is little evidence to guide the establishment of treatment
goals for moderate to severe psoriasis in the clinical setting. OBJECTIVE: We
sought to compare Dermatology Life Quality Index scores and prescription topical
medication use between patients with clear versus almost clear skin. METHODS:
This was a multicenter cross-sectional study of 97 patients with clear skin and
441 patients with almost clear skin receiving current systemic therapy or
phototherapy for a primary indication of plaque psoriasis evaluated at 1 of 10 US
outpatient dermatology sites participating in the Dermatology Clinical
Effectiveness Research Network. RESULTS: In adjusted analyses, patients with
clear versus almost clear skin were more likely to report no impact of psoriasis
on quality of life (relative risk 1.60; 95% confidence interval 1.37-1.86).
Patients with clear versus almost clear skin were also more likely to report no
prescription topical medication use in the preceding week (relative risk 2.08;
95% confidence interval 1.73-2.49). LIMITATIONS: Cross-sectional design prohibits
longitudinal assessment of outcomes. CONCLUSIONS: Clinically important
differences in quality of life and prescription topical medication use exist
between patients with clear versus almost clear skin. Collectively, our results
indicate that achievement of clear skin may be an important clinical distinction
among patients with moderate to severe psoriasis.
PMID- 24928706
TI - Etanercept therapy for toxic epidermal necrolysis.
AB - BACKGROUND: Toxic epidermal necrolysis (TEN) is a severe and potentially lethal
drug reaction for which no standard treatment is available. OBJECTIVE: To
describe a case series of patients with TEN treated with a single dose of
etanercept. METHODS: We observed 10 consecutive patients with TEN. For each
patient, we recorded the presence of comorbidities and all the drugs recently
started (ie, in the last month). In all cases, 50 mg of etanercept was
administered in a single subcutaneous injection. The clinical severity of disease
was computed using the SCORe of Toxic Epidermal Necrosis (SCORTEN) scale. Using
the probabilities of death linked to each level of SCORTEN score, we calculated
the expected probability of death in our patients. Healing was defined as
complete reepithelialization, and a time to healing curve was then obtained using
the Kaplan-Meier method. RESULTS: All patients promptly responded to treatment,
reaching complete reepithelialization without complications or side effects. The
median time to healing was 8.5 days. LIMITATIONS: This is a small, uncontrolled
case series. CONCLUSION: These preliminary results suggest the possibility that
tumor necrosis factor-alfa may be an effective target for control of TEN, a
dangerous skin condition for which no effective cure has yet been found.
PMID- 24928707
TI - Classifying distinct basal cell carcinoma subtype by means of dermatoscopy and
reflectance confocal microscopy.
AB - BACKGROUND: The current guidelines for the management of basal cell carcinoma
(BCC) suggest a different therapeutic approach according to histopathologic
subtype. Although dermatoscopic and confocal criteria of BCC have been
investigated, no specific studies were performed to evaluate the distinct
reflectance confocal microscopy (RCM) aspects of BCC subtypes. OBJECTIVES: To
define the specific dermatoscopic and confocal criteria for delineating different
BCC subtypes. METHODS: Dermatoscopic and confocal images of histopathologically
confirmed BCCs were retrospectively evaluated for the presence of predefined
criteria. Frequencies of dermatoscopic and confocal parameters are provided.
Univariate and adjusted odds ratios were calculated. Discriminant analyses were
performed to define the independent confocal criteria for distinct BCC subtypes.
RESULTS: Eighty-eight BCCs were included. Dermatoscopically, superficial BCCs
(n=44) were primarily typified by the presence of fine telangiectasia, multiple
erosions, leaf-like structures, and revealed cords connected to the epidermis and
epidermal streaming upon RCM. Nodular BCCs (n=22) featured the classic
dermatoscopic features and well outlined large basaloid islands upon RCM.
Infiltrative BCCs (n=22) featured structureless, shiny red areas, fine
telangiectasia, and arborizing vessels on dermatoscopy and dark silhouettes upon
RCM. LIMITATIONS: The retrospective design. CONCLUSION: Dermatoscopy and confocal
microscopy can reliably classify different BCC subtypes.
PMID- 24928708
TI - Noninvasive monitoring of basal cell carcinomas treated with systemic hedgehog
inhibitors: pseudocysts as a sign of tumor regression.
AB - BACKGROUND: Oral hedgehog inhibitors (HHIs) have shown significant efficacy in
the treatment of basal cell carcinoma (BCC). The evaluation of tumor regression
has been performed using clinical photography and radiographic scans. Noninvasive
imaging techniques, such as reflectance confocal microscopy (RCM) and high
definition optical coherence tomography (HD-OCT), have been shown to be valuable
in detecting BCC in the skin. OBJECTIVE: We monitored HHI-treated BCC using RCM
and HD-OCT in vivo and correlated morphologic changes seen on imaging to changes
in traditional histopathology. METHODS: Six BCCs in 5 patients receiving HHIs
(vismodegib or sonidegib) were examined by RCM and HD-OCT before and during
treatment. Characteristic features were compared to histopathologic findings,
including immunohistochemical analysis. RESULTS: Characteristic features of BCC
in RCM and HD-OCT decreased or disappeared completely during HHI treatment. Half
of the clinically complete responding tumors still featured tumor residue.
Pseudocystic structures ("empty" tumor nests in imaging) and widespread fibrosis
(coarse bright fibers) were new findings and could be confirmed by
histopathology. LIMITATIONS: Our study was limited by the number of tumor samples
and imaging timepoints. CONCLUSION: Using RCM and HD-OCT, HHI-induced regression
of BCC can be visualized noninvasively in the skin. The formation of pseudocysts
and fibrosis were characteristic signs of BCC response to HHIs.
PMID- 24928709
TI - Self-reported pigmentary phenotypes and race are significant but incomplete
predictors of Fitzpatrick skin phototype in an ethnically diverse population.
AB - BACKGROUND: Fitzpatrick skin phototype (FSPT) is the most common method used to
assess sunburn risk and is an independent predictor of skin cancer risk. Because
of a conventional assumption that FSPT is predictable based on pigmentary
phenotypes, physicians frequently estimate FSPT based on patient appearance.
OBJECTIVE: We sought to determine the degree to which self-reported race and
pigmentary phenotypes are predictive of FSPT in a large, ethnically diverse
population. METHODS: A cross-sectional survey collected responses from 3386
individuals regarding self-reported FSPT, pigmentary phenotypes, race, age, and
sex. Univariate and multivariate logistic regression analyses were performed to
determine variables that significantly predict FSPT. RESULTS: Race, sex, skin
color, eye color, and hair color are significant but weak independent predictors
of FSPT (P<.0001). A multivariate model constructed using all independent
predictors of FSPT only accurately predicted FSPT to within 1 point on the
Fitzpatrick scale with 92% accuracy (weighted kappa statistic 0.53). LIMITATIONS:
Our study enriched for responses from ethnic minorities and does not fully
represent the demographics of the US population. CONCLUSIONS: Patient self
reported race and pigmentary phenotypes are inaccurate predictors of sun
sensitivity as defined by FSPT. There are limitations to using patient-reported
race and appearance in predicting individual sunburn risk.
PMID- 24928710
TI - Risk factors for infections in international travelers: an analysis of travel
related notifiable communicable diseases.
AB - BACKGROUND: We sought to describe travel-related illness among our residents and
gain insight into targeting pre-travel health advice to prevent travel-related
illness. METHODS: A supplemental travel questionnaire was developed and
administered for cases with a legally notifiable communicable disease reported in
2011-2012, who spent at least part of their exposure period outside the United
States. RESULTS: Among 451 cases meeting the eligibility criteria, 259 were
interviewed. Forty four percent reported receiving pre-travel advice. Two-thirds
adhered fully with risk behavior recommendations; 94% followed immunization
recommendations partially or fully; and 84% adhered fully with malaria
prophylaxis recommendations. The primary reasons for not obtaining pre-travel
advice were being unaware of the need (47.5%), or believing they already knew
what to do (34.5%). Adults (OR = 2.8, 95% CI = 1.4-5.5), males (OR = 1.8, 95% CI
= 1.1-3.0), those born outside the United States (OR = 2.0, 95% CI = 1.1-3.7),
and those with planning time under two weeks (OR = 4.8, 95% CI = 1.5-15.9) or
travel duration less than 7 days (OR = 7.9, 95% CI = 3.0-20.9) were more likely
to travel without seeking pre-travel advice. CONCLUSIONS: The majority of cases
reported not receiving pre-travel advice. Understanding the predictors of failure
to receive pre-travel advice may help target public health prevention efforts.
PMID- 24928711
TI - Steroid-resistant acute rejection after cadaveric liver transplantation:
experience from one single center.
AB - BACKGROUND AND OBJECTIVES: Steroid-resistant acute rejection (SRAR) is an
infrequent event under current immunosuppressant but still a risk factor leading
to graft loss and patients' death after liver transplantation. There are several
strategies for managing this complication according to current literatures, but
none of the treatment seems convincing and widely accepted. Here we
retrospectively analyzed the clinical data of a cohort of patients to gain an
insight into this complication. MATERIALS AND METHODS: A total of 962 adult
patients receiving whole liver grafts at a single center between January 2004 and
December 2012 were studied. One hundred and forty-two recipients experienced 158
episodes of acute rejection after the operation, 14 recipients had no response to
steroid bolus treatment. The clinical data was analyzed retrospectively. RESULTS:
Incidence rate of acute rejection after liver transplant in our single center was
14.7% (142/962), among them 8.8% (14/158) were steroid-resistant. These episodes
occurred on 19days (6-72days) after the operation, 3 were controlled by anti-T3
receptor antibody (OKT3) treatment, 4 were reversed by IL-2 receptor inhibitors
combining with MMF treatment, 2 were reversed by antithymocyte globulin (ATG)
treatment. Five did not recover and 2 received retransplantation. Mortality
associated with SRAR was 28.6% (4/14, 1 died from acute liver failure, 1 from
chronic liver failure, 1 from renal failure after retransplantation and 1 from
pulmonary infection after OKT3 treatment). CONCLUSION: SRAR is a severe
complication with high mortality after liver transplantation; ATG might serve as
a potential treatment.
PMID- 24928712
TI - Concurrent variably protease-sensitive prionopathy and amyotrophic lateral
sclerosis.
PMID- 24928713
TI - Cisplatin administration influences on toxic and non-essential element metabolism
in rats.
AB - Nowadays several papers deal with the effectiveness and side effects of metal
complexes, especially cisplatin, in cancer therapy. The excretion of essential
metal elements from the body is a serious problem in the treatment, but there are
no data concerning the distribution and metabolism of toxic and nonessential
elements. Therefore our aim was to study the concentration of some of these
elements after treatment with cisplatin. Male Wistar rats (n=20, 175-190 g) were
randomly divided into 2 groups (n=10/group). The control group received 1% (w/v)
methyl cellulose at 10 mL/kg body weight, p.o. by gastric gavage twice daily for
14 days, while cisplatin was injected i.p. in a single dose of 6.5 mg/kg body
weight. Inductively coupled plasma optical emission spectrometry (ICP-OES) was
used for measuring Al, B, Ba, Cr, Li, Ni, Pb, Pt, Sb, Si, Sn, Sr and V content in
plasma, liver and kidney. Liver total scavenger capacity, diene conjugate content
and malondialdehyde concentration were also determined. Cisplatin elevated the
free radical reactions in the liver, although redox balance did not change
significantly. According to the study it seems that the metabolism of Al, Ba, Cr,
Ni, Pb, Sr were changed by the effect of cisplatin, and the most notable
alterations were found for Al and Pb. Therefore, besides the toxic effect of and
free radical induction by Pt, the side effects of increased levels of other toxic
and non-essential elements have to be taken into consideration.
PMID- 24928714
TI - Musculoskeletal: what's different in children? Running hard: acute hip pain: two
patients.
PMID- 24928715
TI - Is morphology alone able to predict BRAF-mutated malignancies on thyroid FNAC?
PMID- 24928716
TI - 4th Georgian Bay International Conference on Bioinorganic Chemistry.
PMID- 24928717
TI - [Use of ineffective practices in Primary Health Care: professional opinions].
AB - OBJECTIVE: To estimate the frequency of ineffective practices in Primary Health
Care (PHC) based on the opinions of clinical professionals from the sector, and
to assess the significance, implications and factors that may be contributing to
their continuance. MATERIAL AND METHODS: An on line survey of opinion from a
convenience sample of 575 professionals who had published articles over the last
years in Atencion Primaria and Semergen medical journals. RESULTS: A total of 212
professionals replied (37%). For 70.6% (95% confidence interval [CI] 64.5 to
73.3) the problem of ineffective practices is frequent or very frequent in PHC,
and rate their importance with an average score of 7.3 (standard deviation
[SD]=1.8) out of 10. The main consequences would be endangering the
sustainability of the system (48.1%; 95% CI, 41.2 to 54.9) and harming patients
(32.1%; 95% CI, 25.7 to 38.5). These ineffective practices are the result of the
behaviour of the patients themselves (28%; 95% CI, 22.6 to 35.0) workload (26.4%;
95% CI, 20.3 to 32.5), and the lack of the continuous education (19.3%; 95% CI,
13.9 to 24.7). Clinical procedures of greatest misuse are the prescribing of
antibiotics for certain infections, the frequency of cervical cancer screening,
rigorous pharmacological monitoring of type 2 diabetes in patients over 65 years,
the use of psychotropic drugs in the elderly, or the use of analgesics in
patients with hypertension or renal failure. CONCLUSIONS: The use of ineffective
procedures in PHC is considered a very important issue that negatively affects
many patients and their treatment, and possibly endangering the sustainability of
the system and causing harm to patients.
PMID- 24928718
TI - [Assessing patient satisfaction of family planning services in a rural area].
PMID- 24928719
TI - [Early colorectal cancer detection programs: an integration and quality
healthcare challenge].
PMID- 24928720
TI - Can the Effects of Religion and Spirituality on Both Physical and Mental Health
be Scientifically Measured? An Overview of the Key Sources, with Particular
Reference to the Teachings of Said Nursi.
AB - Within Western secular societies, everything has to be substantiated by empirical
evidence; this means it has to be quantifiable and measurable. Research,
particularly quantitative research, then, is the criterion by which everything,
including religion, is either accepted or rejected. The separation of religion
from science began with the Renaissance, the Reformation and the advent of the
Enlightenment. It was perceived that religion did not match the language of
science and that there was no logical proof or empirical evidence for the
existence of God. Religion therefore, due to its inability to be measured and
quantified, has since been largely marginalised. In recent times, in order to
integrate 'religion' into everyday life, attempts have been made to argue and
bring in scientific proof for the effectiveness of religion for improved health
and well-being. The psychiatrist Harold Koenig has been one of the key people
whose collation of research evidence has shown that religion has a positive
effect on both physical and mental health. By looking firstly at the definitions
of religion and spirituality and then discussing various opinions from both
secular and religious perspectives, including those of Said Nursi, this paper
aimed to determine whether religion and spirituality can indeed be measured.
PMID- 24928721
TI - The neuronal mineralocorticoid receptor: from cell survival to neurogenesis.
AB - Mineralocorticoid receptor (MR), a hormone-activated transcription factor
belonging to the nuclear receptor superfamily, exerts widespread actions in many
tissues such as tight epithelia, the cardiovascular system, adipose tissues and
macrophages. In the mammalian brain, MR is present in the limbic areas where it
is highly expressed in neurons of the hippocampus and mostly absent in other
regions while the glucocorticoid receptor (GR) expression is ubiquitous. MR binds
both aldosterone and glucocorticoids, the latter having a ten-fold higher
affinity for MR than for the closely related GR. However, owing to the minimal
aldosterone transfer across the blood brain barrier and the absence of neuronal
11beta hydroxysteroid dehydrogenase type 2 as an intracellular gate-keeper,
neuronal MR appears to be fully occupied even at low physiological glucocorticoid
levels while GR activation only occurs at high glucocorticoid concentrations,
i.e. at the peak of the circadian rhythm or under stress. This defined a one
hormone/two receptors system that works in balance, modulating a large spectrum
of actions in the central nervous system. MR and GR are involved in the stress
responses, the regulation of neuron excitability, long term potentiation,
neuroprotection and neurogenesis in the dentate gyrus. MR thus constitutes a key
factor in the arising of higher cognitive functions such as memorization,
learning and mood. This review presents an overview of various roles of MR in the
central nervous system which are somewhat less studied than that of GR, in the
light of recent data obtained using cellular models, animal models and clinical
investigations.
PMID- 24928722
TI - Regio- and stereoselective access to novel ring-condensed steroidal isoxazolines.
AB - Novel 5alpha-androstanes containing an isoxazoline moiety condensed to ring A or
D were efficiently synthetized by 1,3-dipolar cycloadditions of aryl nitrile
oxides to steroidal alpha,beta-unsaturated ketones. During the ring closures,
regioisomers in which the O terminus of the nitrile oxide dipoles is attached to
the beta-carbon of the dipolarophile were formed in a stereoselective manner to
furnish exclusively 1alpha,2alpha- or 15beta,16beta-condensed heterocycles. The
cyclic enone moiety of the six-membered ring A proved to be less reactive than
that of the five-membered ring D, but all the reactions were affected
significantly by the substitution pattern of the nitrile oxide. 17-Deacetylation
of the primary products resulted in aromatization or simultaneous hydroxylation,
depending on the base applied for the ring A-fused heterocycles, while retro
Dieckmann-like fragmentation was observed partially or completely for the ring D
fused analogues during 3-deacetylation.
PMID- 24928723
TI - Use of endogenous cortisol 6beta-hydroxylation clearance for phenotyping in vivo
CYP3A activity in women after sequential administration of an oral contraceptive
(OC) containing ethinylestradiol and levonorgestrel as weak CYP3A inhibitors.
AB - The present study was undertaken to evaluate the time courses of in vivo
cytochrome P450 3A (CYP3A) inhibition in four healthy women after sequential
administration of an oral contraceptive (OC) containing ethinylestradiol and
levonorgestrel, using 6beta-hydroxylation clearance of endogenous cortisol
(CLm(6beta)) as a new index for CYP3A phenotyping. The 6beta-hydroxylation
clearance (CLm(6beta)) was followed every 2h from 9:00 or 11:00 to 17:00 on days
0 (baseline), 1, 2, 21, and 28 during a single menstrual cycle. The serum
concentrations of endogenous estradiol and progesterone were also measured. The
time course data of CLm(6beta) clearly demonstrated 43-64% inhibition of CYP3A
activity in women taking a low daily dose of the OC for 21days. The average
CLm(6beta) levels that were suppressed by the OC in four women were extremely low
(0.60-1.23mL/min) compared with the normal CLm(6beta) range (1.5-3.5mL/min) that
was obtained from 49 healthy subjects in our previous study. The in vivo
inhibitory potencies (43-64%) obtained in this study were stronger than expected
from reported in vitro studies (~20%). Furthermore, it would take at least seven
days to return to the baseline activity of CYP3A after discontinuation of the OC.
The results presented here should provide important information on the inhibitory
effect of OC on the CYP3A activities in women, which are involved in the
metabolism of a number of drugs with a narrow therapeutic range.
PMID- 24928724
TI - Synthesis of steroidal derivatives containing substituted, fused and spiro
pyrazolines.
AB - An efficient and facile synthesis of fused, substituted and spiro pyrazoline
steroid derivatives through a cycloaddition reaction of different alpha,beta
unsaturated ketones with hydrazine acetate in acetic acid is reported. Depending
on the starting material, the ring closure reaction provided a mixture of two
steroidal pyrazoline epimers that were separated and studied by NMR techniques.
In one case it was possible to isolate and characterize the hydrazone derivative
as the reaction intermediate, which confirms the mechanism proposed in the
literature [11,25,26].
PMID- 24928725
TI - Transcriptional regulation of myotrophic actions by testosterone and trenbolone
on androgen-responsive muscle.
AB - Androgens regulate body composition and skeletal muscle mass in males, but the
molecular mechanisms are not fully understood. Recently, we demonstrated that
trenbolone (a potent synthetic testosterone analogue that is not a substrate for
5-alpha reductase or for aromatase) induces myotrophic effects in skeletal muscle
without causing prostate enlargement, which is in contrast to the known prostate
enlarging effects of testosterone. These previous results suggest that the 5alpha
reduction of testosterone is not required for myotrophic action. We now report
differential gene expression in response to testosterone versus trenbolone in the
highly androgen-sensitive levator ani/bulbocavernosus (LABC) muscle complex of
the adult rat after 6weeks of orchiectomy (ORX), using real time PCR. The ORX
induced expression of atrogenes (Muscle RING-finger protein-1 [MuRF1] and atrogin
1) was suppressed by both androgens, with trenbolone producing a greater
suppression of atrogin-1 mRNA compared to testosterone. Both androgens elevated
expression of anabolic genes (insulin-like growth factor-1 and mechano-growth
factor) after ORX. ORX-induced increases in expression of glucocorticoid receptor
(GR) mRNA were suppressed by trenbolone treatment, but not testosterone. In ORX
animals, testosterone promoted WNT1-inducible-signaling pathway protein 2 (WISP
2) gene expression while trenbolone did not. Testosterone and trenbolone equally
enhanced muscle regeneration as shown by increases in LABC mass and in protein
expression of embryonic myosin by western blotting. In addition, testosterone
increased WISP-2 protein levels. Together, these findings identify specific
mechanisms by which testosterone and trenbolone may regulate skeletal muscle
maintenance and growth.
PMID- 24928726
TI - Synthesis and antiproliferative activity of some steroidal thiosemicarbazones,
semicarbazones and hydrozones.
AB - Steroidal thiosemicarbazones, semicarbazones and hydrazones have received
extensive attention of scientists recently because they exhibit some biological
activities such as antibacterial, antiviral and anticancer. Using different
steroids as starting materials, through different chemical methods, 24 steroidal
compounds with thiosemicarbazone, semicarbazone or hydrazone groups in their
structures, were synthesized, characterized by IR, NMR and MS. The
antiproliferative activity of the compounds was evaluated against human gastric
cancer (SGC-7901) and human liver cancer (Bel-7404) cells. The structure-activity
relationship of these compounds was discussed. The results showed that compound 3
and 12a-12c exhibited significant inhibitory activity to Bel-7404 cells, and IC50
values of them were 4.2, 11.0, 7.4 and 15.0MUM respectively (Cisplatin, IC50:
11.6MUM).
PMID- 24928727
TI - Syntheses and antiproliferative effects of D-homo- and D-secoestrones.
AB - Substituted and/or heterocyclic d-homoestrone derivatives were synthetized via
the intramolecular cyclization of a delta-alkenyl-d-secoaldehyde, -d-secoalcohol
or -d-secocarboxylic acid of estrone 3-benzyl ether. The d-secoalcohol was
modified at three sites in the molecule. The in vitro antiproliferative
activities of the new d-homo- and d-secoestrone derivatives were determined on
HeLa, MCF-7, A431 and A2780 cells through use of MTT assay. d-Homoalcohols 3 and
5 displayed cell line-selective cytostatic effects against ovarian and cervical
cell lines, respectively. Two d-secoestrones (6 and 12c) proved to be effective,
with IC50 values comparable with those of the reference agent cisplatin. A
selected compound (6) was tested by tubulin polymerization assay and its cancer
specificity was additionally determined by using noncancerous human fibroblast
cells.
PMID- 24928728
TI - Synthesis of novel vitamin D3 analog with an additional ring annulated to A and
seco-B rings.
AB - A simple method for the synthesis of yet unknown 5E-vitamin D3 analogs with an
additional six-membered ring connecting C-6 and C-19 was developed. Ring-closing
metathesis (RCM) was used for efficient formation thereof from the corresponding
5E-isomers of 6-alkenyl vitamin D3 compounds which in turn were prepared from the
6-oxo-3,5-cyclovitamin D3. Reinvestigation of the Grignard reactions of this
latter compound as well as the following acid-catalyzed cycloreversions showed
discrepancies with the literature data describing the course of such processes.
PMID- 24928729
TI - Mineralocorticoid receptor signaling: crosstalk with membrane receptors and other
modulators.
AB - The mineralocorticoid receptor (MR) belongs to the steroid receptor superfamily.
Classically, it acts as a ligand-bound transcription factor in epithelial
tissues, where it regulates water and electrolyte homeostasis and controls blood
pressure. Additionally, the MR has been shown to elicit pathophysiological
effects including inflammation, fibrosis and remodeling processes in the
cardiovascular system and the kidneys and MR antagonists have proven beneficial
for patients with certain cardiovascular and renal disease. The underlying
molecular mechanisms that mediate MR effects have not been fully elucidated but
very likely rely on interactions with other signaling pathways in addition to
genomic actions at hormone response elements. In this review we will focus on
interactions of MR signaling with different membrane receptors, namely receptor
tyrosine kinases and the angiotensin II receptor because of their potential
relevance for disease. In addition, GPR30 is discussed as a new aldosterone
receptor. To gain insights into the problem why the MR only seems to mediate
pathophysiological effects in the presence of additional permissive factors we
will also briefly discuss factors that lead to modulation of MR activity as well.
Overall, MR signaling is part of an intricate network that still needs to be
investigated further.
PMID- 24928730
TI - The elevated level of ERalpha36 is correlated with nodal metastasis and poor
prognosis in lung adenocarcinoma.
AB - INTRODUCTION: ERalpha36 is a recently cloned variant of estrogen receptor-alpha
which has been proved to play an active role in a series of malignant diseases.
METHOD: ERalpha36 expression was examined using immunohistochemical methods with
sections from 126 resected NSCLC specimens. The immunoreactivity of ERalpha66 was
also studied as a comparison. Kaplan-Meier method and multivariable Cox
proportional hazards regression analyses were used to examine the relationship
between ERalpha36 and survival. RESULT: ERalpha36 was more highly expressed in
NSCLC patients compared to ERalpha66. ERalpha36 expression has a strong
correlation with histology (AC: 53/70, SCC: 16/56, P<0.000) and had a
significantly positive correlation with lymphatic metastasis (P=0.014) in
adenocarcinoma. High ERalpha36 expression was correlated with poorer overall
survival (OS) (P=0.020) and disease-free survival (DFS) (P=0.024) in
adenocarcinoma. Furthermore, ERalpha36 status was a significant independent
prognostic factor of OS (P=0.018, HR: 3.142, 95% CI: 1.215-8.128) and DFS
(P=0.024, HR: 2.720, 95% CI: 1.141-6.486) in lung adenocarcinoma patients.
CONCLUSION: ERalpha36 had a high expression mainly in adenocarcinoma and the high
expression of ERalpha36 was strongly correlated with more advanced regional lymph
node metastasis and poor survival in lung adenocarcinoma.
PMID- 24928731
TI - Discovery of novel steroidal pyran-oxindole hybrids as cytotoxic agents.
AB - A series of novel steroidal pyran-oxindole hybrids were efficiently synthesized
in a single operation through the vinylogous aldol reaction of vinyl
malononitrile 3 with substituted isatins involving the construction of C-C and C
O bonds. Some compounds displayed moderate to good cytotoxicity against T24, SMMC
7721, MCF-7 and MGC-803 cells. Compounds 4f and 4i were more potent than 5-Fu
against T24 and MGC-803 cells with the IC50 values of 4.43 and 8.45 MUM,
respectively. Further mechanism studies indicated that compound 4i induced G2/M
arrest and early apoptosis in a concentration- and time-dependent manner.
PMID- 24928732
TI - Identification of cortisol metabolites in the bile of Atlantic cod Gadus morhua
L.
AB - Interpretation of plasma cortisol levels in wild-caught fish is confounded by the
stress of capture. Measurement of cortisol metabolites in fish bile could provide
a method for assessing the stress level of wild fish because the time-lag for
metabolism, conjugation and excretion into bile avoids the effects of sampling
stress. To determine which biliary metabolite(s) to target, four Atlantic cod,
Gadus morhua L., were injected with radioactive cortisol. After 22 h, the bile
was collected and found to contain 30% of the injected activity. Cortisol
metabolites were extracted from diluted bile samples using solid phase extraction
and the radioactive metabolites separated by several different chromatographic
procedures. The metabolites were predominantly present as sulfates (95%) with the
remainder being glucuronidated. Chromatography split the sulfates into at least
seven peaks, and acid solvolysis (which removes sulfate groups from steroids)
generated four major radioactive steroids. These were identified, using
microchemical reactions and re-crystallization to constant specific activity, as:
11beta,17,21-trihydroxypregn-4-ene-3,20-dione (cortisol), 3alpha,11beta,17,21
tetrahydroxy-5beta-pregnan-20-one (tetrahydrocortisol; THF), 3alpha,17,21
trihydroxy-5beta-pregnane-11,20-dione (tetrahydrocortisone; THE) and
3alpha,17,20beta,21-tetrahydroxy-5beta-pregnan-11-one (beta-cortolone). The last
of these was the most abundant, and thus a likely target for a biliary stress
assay. Studies were also carried out to determine the best method for extraction
and solvolysis of sulfates. Solid phase extraction (i.e. using octadecylsilane)
was found to be too unreliable for routine use. Even though the extraction
efficiency could be improved by acidifying the bile, this caused premature
solvolysis of sulfated steroids. Acid solvolysis of unextracted bile worked best
(c. 90% converted to free steroids) on volumes that were 1 MUL or lower. Aryl
sulfatase digestion of unextracted bile did not work well (only 20% of
radioactivity was converted to free steroids).
PMID- 24928733
TI - Mental structures and hierarchical brain processing. Comment on "Toward a
computational framework for cognitive biology: unifying approaches from cognitive
neuroscience and comparative cognition" by W. Tecumseh Fitch.
PMID- 24928734
TI - Insights into new bacteriophages of Lactococcus garvieae belonging to the family
Podoviridae.
AB - Lactococcus garvieae is an emerging pathogen responsible for lactococcosis, a
serious disease in trout aquaculture. The identification of new bacteriophages
against L. garvieae strains may be an effective way to fight this disease and to
study the pathogen's biology. Three L. garvieae phages, termed WP-1, WWP-2 and SP
2, were isolated from different environments, and their morphological features,
genome restriction profiles and structural protein patterns were studied. Random
cloning of HindIII-cut fragments was performed, and the fragments were partially
sequenced for each phage. Although slight differences were observed by
transmission electron microscopy, all of the phages had hexagonal heads and short
non-contractile tails and were classified as members of the family Podoviridae.
Restriction digestion analysis of the nucleic acids of the different phages
revealed that the HindIII and AseI digests produced similar DNA fragment
patterns. Additionally, SDS-PAGE analysis indicated that the isolated phages have
similar structural proteins. The sequence BLAST results did not show any
significant similarity with other previously identified phages. To the best of
our knowledge, this study provides the first molecular characterization of L.
garvieae phages.
PMID- 24928736
TI - ErbB/HER protein-tyrosine kinases: Structures and small molecule inhibitors.
AB - The epidermal growth factor receptor (EGFR) family consists of four members that
belong to the ErbB lineage of proteins (ErbB1-4). These receptors consist of an
extracellular domain, a single hydrophobic transmembrane segment, and an
intracellular portion with a juxtamembrane segment, a protein kinase domain, and
a carboxyterminal tail. The ErbB proteins function as homo and heterodimers.
Growth factor binding to EGFR induces a large conformational change in the
extracellular domain. Two ligand-EGFR complexes unite to form a back-to-back
dimer in which the ligands are on opposite sides of the aggregate. Following
ligand binding, EGFR intracellular kinase domains form an asymmetric dimer. The
carboxyterminal lobe of the activator kinase of the dimer interacts with the
amino-terminal lobe of the receiver kinase thereby leading to its allosteric
stimulation. Several malignancies are associated with the mutation or increased
expression of members of the ErbB family including lung, breast, stomach,
colorectal, head and neck, and pancreatic carcinomas. Gefitinib, erlotinib, and
afatinib are orally effective protein-kinase targeted quinazoline derivatives
that are used in the treatment of ERBB1-mutant lung cancer and lapatinib is an
orally effective quinazoline derivative used in the treatment of ErbB2
overexpressing breast cancer. Moreover, monoclonal antibodies that target the
extracellular domain of ErbB2 are used for the treatment of ErbB2-positive breast
cancer and monoclonal antibodies that target ErbB1 and are used for the treatment
of colorectal cancer. Cancers treated with these targeted drugs eventually become
resistant to them, and a current goal of research is to develop drugs that are
effective against drug-resistant tumors.
PMID- 24928737
TI - Oxygen glucose deprivation-induced astrocyte dysfunction provokes neuronal death
through oxidative stress.
AB - Understanding the role of astrocytes in stroke is assuming increasing prominence,
not only as an important component on its own within the neurovascular unit, but
also because astrocytes can influence neuronal outcome. Ischemia may induce
astrogliosis and other phenotypic changes, but these remain poorly understood, in
part due to limitations in reproducing these changes in vitro. Dibutyryl cyclic
AMP-differentiated cultured astrocytes are more representative of the in vivo
astroglial cell phenotype, and were much more susceptible than undifferentiated
astrocytes to an ischemic-like stress, oxygen-glucose deprivation (OGD). OGD
altered the expression/distribution and activity of glial glutamate transporters,
impaired cellular glutamate uptake and decreased intracellular levels of
glutathione preferentially in differentiated astrocytes. Resistance to OGD was
conferred by inhibiting caspase-3 with DEVD-CHO and oxidative stress by the
antioxidant N-acetylcysteine (NAC). The resistance of undifferentiated astrocytes
to OGD may result from a transient but selective morphological transformation
into Alzheimer type II astrocytes, an intermediary stage prior to transforming
into reactive astrocytes. Co-culture of neurons with OGD-exposed astrocytes
resulted in neurotoxicity, but at surprisingly lower levels with dying
differentiated astrocytes. The antioxidant NAC or the 5-LOX inhibitor AA861 added
upon co-culture delayed (day 1) but did not prevent neurotoxicity (day 3).
Astrocytes undergoing apoptosis as a result of ischemia may represent a transient
neuroprotective mechanism via ischemia-induced release of glutathione, but
oxidative stress was responsible for neuronal demise when ischemia compromised
astrocyte supportive functions.
PMID- 24928735
TI - Maternal emotion regulation mediates the association between adult attention
deficit/hyperactivity disorder symptoms and parenting.
AB - Mothers with elevated Attention-Deficit/Hyperactivity Disorder (ADHD) symptoms
demonstrate parenting deficits, as well as difficulties in emotion regulation
(ER), which may further impact their ability to effectively parent. However, no
empirical research has examined potential mediators that explain the relations
between maternal ADHD symptoms and parenting. This prospective longitudinal study
examined difficulties with ER as a mediator of the relation between adult ADHD
symptoms and parenting among 234 mothers of adolescents recruited from the
community when they were between the ages of nine to twelve. Maternal ratings of
adult ADHD symptoms, difficulties with ER, and parenting responses to their
adolescents' expressions of negative emotions were collected over the course of
three years. We found that maternal ADHD symptoms were negatively associated with
positive parenting responses to adolescents' negative emotions, and positively
associated with harsh parenting and maternal distress reactions. Moreover,
maternal ER mediated the relation between adult ADHD symptoms and harsh parenting
responses, while controlling for adolescent ADHD and disruptive behavior
symptoms. However, maternal ER did not mediate the relation between ADHD symptoms
and positive or distressed parental responses. Thus, it appears that ER is one
mechanism by which maternal ADHD symptoms are associated with harsh responses to
their adolescents' expressions of negative emotion. These findings may have
downstream implications for adolescent adjustment.
PMID- 24928738
TI - Robotic-assisted laparoscopy vs conventional laparoscopy for the treatment of
advanced stage endometriosis.
AB - STUDY OBJECTIVE: To compare robotic-assisted laparoscopy with conventional
laparoscopy for treatment of advanced stage endometriosis insofar as operative
time, estimated blood loss, complication rate, and length of hospital stay. STUDY
DESIGN: Retrospective cohort study (Canadian Task Force classification II2). All
procedures were performed by one surgeon between January 2004 and July 2012. Data
was collected via chart review. SETTING: Tertiary referral center for treatment
of endometriosis. PATIENTS: Four hundred twenty women with advanced
endometriosis. INTERVENTIONS: Fertility-sparing surgery to treat advanced
endometriosis, either via conventional or robotic-assisted laparoscopy.
MEASUREMENTS AND MAIN RESULTS: Patient demographic data, operative time,
estimated blood loss, complication rate, and length of hospital stay were
compared between the 2 groups. Two hundred seventy-three patients underwent
conventional laparoscopy and 147 patients underwent robotic-assisted laparoscopy
for fertility-sparing treatment of advanced stage endometriosis. Patients in both
groups had similar characteristics insofar as age, body mass index, and previous
abdominal surgeries. There were no significant differences in blood loss or
complication rate between the 2 groups. Mean operative time in the conventional
laparoscopy group was 135 minutes (range, 115-156 minutes), and in the robotic
assisted laparoscopy group was 196 minutes (range, 185-209 minutes), with a mean
difference in operative time of 61 minutes (p < .001). Length of hospital stay
was also significantly increased in the robotic-assisted laparoscopy group. Most
patients who underwent conventional laparoscopy were discharged to home on the
day of surgery. Of 273 patients in the conventional laparoscopy group, only 63
remained in the hospital overnight, and all 147 patients in the robotic-assisted
laparoscopy group were discharged on postoperative day 1. CONCLUSION:
Conventional laparoscopy and robotic-assisted laparoscopy are excellent methods
for treatment of advanced stages of endometriosis. However, use of the robotic
platform may increase operative time and might also be associated with longer
hospital stay.
PMID- 24928739
TI - Single-port (OctoPort) assisted extracorporeal ovarian cystectomy for the
treatment of large ovarian cysts: compare to conventional laparoscopy and
laparotomy.
AB - STUDY OBJECTIVE: To evaluate single-port assisted extracorporeal cystectomy for
treatment of large ovarian cysts and to compare its surgical outcomes,
complications, and cystic content spillage rates with those of conventional
laparoscopy and laparotomy. DESIGN: Retrospective study (Canadian Task Force
classification II-2). SETTING: University teaching hospital. PATIENTS: Twenty
five patients who underwent single-port assisted extracorporeal cystectomy (group
1), 33 patients who underwent conventional laparoscopy (group 2), and 25 patients
who underwent laparotomy (group 3). INTERVENTIONS: Surgical outcomes,
complications, and spillage rates in group 1 were compared with those in groups 2
and 3. MEASUREMENTS AND MAIN RESULTS: Patients characteristics and tumor
histologic findings were similar in the 3 groups. The mean (SD) largest diameter
of ovarian cysts was 11.4 (4.2) cm in group 1, 9.7 (2.3) cm in group 2, and 12.0
(3.4) cm in group 3. Operative time in groups 1 and 2 was similar at 69.3 (26.3)
minutes vs 73.1 (36.3) minutes (p = .66); however, operative time in group 1 was
shorter than in group 3, at 69.3 (26.3) minutes vs 87.5 (26.6) minutes (p =.02).
Blood loss in group 1 was significantly lower than in groups 2 and 3, at 16.0
(19.4) mL vs 36.1 (20.7) mL (p < .001) and 16.0 (19.4) mL vs 42.2 (39.7) mL (p =
.005). The spillage rate in group 1 was profoundly lower than in group 2, at 8.0%
vs 69.7% (p < .001). CONCLUSION: Single-port assisted extracorporeal cystectomy
offers an alternative to conventional laparoscopy and laparotomy for management
of large ovarian cysts, with comparable surgical outcomes. Furthermore, cyst
content spillage rate in single-port assisted extracorporeal cystectomy was
remarkably lower than that in conventional laparoscopy.
PMID- 24928740
TI - Risk of leakage and tissue dissemination with various contained tissue extraction
(CTE) techniques: an in vitro pilot study.
AB - STUDY OBJECTIVE: To evaluate risk of leakage and tissue dissemination associated
with various contained tissue extraction (CTE) techniques. DESIGN: In vitro study
(Canadian Task Force classification: II-1). SETTING: Academic hospital simulation
laboratory. INTERVENTION: Beef tongue specimens weighing 400 to 500 g were
stained using 5 mL indigo carmine dye and morcellated under laparoscopic guidance
within a plastic box trainer. CTE was performed via 3 different techniques: a
stitch-sealed rip-stop nylon bag and multi-port approach; a one-piece clear
plastic 50 * 50-cm isolation bag and multi-port approach; or a 1-piece clear
plastic 50 * 50-cm isolation bag and single-site approach. Four trials of each
CTE method were performed and compared with an open morcellation control. All
bags were insufflated to within 10 to 25 mmHg pressure with a standard CO2
insufflator. Visual evidence of spilled tissue or dye was recorded, and fluid
washings of the box trainer were sent for cytologic analysis. MEASUREMENTS AND
MAIN RESULTS: Blue dye spill was noted in only 1 of 12 CTE trials. Spillage was
visualized from a seam in 1 of the 4 stitch-sealed rip-stop nylon bags before
morcellation of the specimen. The only trial in which gross tissue chips were
visualized in the box trainer after morcellation was the open morcellation
control. However, cytologic examination revealed muscle cells in the open
morcellation washings and in the washings from the trial with dye spill. Muscle
cells were not observed at cytologly in any of the other samples. CONCLUSION: CTE
did not result in any leakage or tissue dissemination with use of the single-site
or multi-port approach when using a 1-piece clear plastic 50 * 50-cm isolation
bag. Further studies are needed to corroborate these findings in an in vivo
context and to evaluate use of alternate bag options for specimen containment.
PMID- 24928741
TI - Deletion of potD, encoding a putative spermidine-binding protein, results in a
complex phenotype in Legionella pneumophila.
AB - L. pneumophila is an intracellular pathogen that replicates in a membrane-bound
compartment known as the Legionella-containing vacuole (LCV). We previously
observed that the polyamine spermidine, produced by host cells or added
exogenously, enhances the intracellular growth of L. pneumophila. To study this
enhancing effect and determine whether polyamines are used as nutrients, we
deleted potD from L. pneumophila strain JR32. The gene potD encodes a spermidine
binding protein that in other bacteria is essential for the function of the
PotABCD polyamine transporter. Deletion of potD did not affect L. pneumophila
growth in vitro in the presence or absence of spermidine and putrescine,
suggesting that PotD plays a redundant or no role in polyamine uptake. However,
deletion of potD resulted in a puzzlingly complex phenotype that included defects
in L. pneumophila's ability to form filaments, tolerate Na(+), associate with
macrophages and amoeba, recruit host vesicles to the LCV, and initiate
intracellular growth. Moreover, the DeltapotD mutant was completely unable to
grow in L929 cells treated with a pharmacological inhibitor of spermidine
synthesis. These complex and disparate effects suggest that the L. pneumophila
potD encodes either: (i) a multifunctional protein, (ii) a protein that interacts
with, or regulates a, multifunctional protein, or (iii) a protein that
contributes (directly or indirectly) to a regulatory network. Protein function
studies with the L. pneumophila PotD protein are thus warranted.
PMID- 24928742
TI - Metabolite profiling analysis of FR429, an ellagitannin purified from Polygonum
capitatum, in rat and human liver microsomes, cytosol and rat primary hepatocytes
in vitro.
AB - FR429, an ellagitannin (a type of polyphenol), is isolated and purified from
Polygonum capitatum Buch.-Ham.ex D. Don which is the original herbal medicine of
the "Re-Lin-Qing" formula used clinically to treat urinary tract infection in
China. FR429 has been investigated for its antitumor potential in tumor-bearing
nude mice in vivo, but its in vitro anti-tumor effect in hepatoma cell lines was
low. Thus, it was of our interest to investigate its metabolism pathways for
supporting its in vivo antitumor potential. The metabolic profiles of FR429 were
studied in vitro by liquid chromatography coupled to ion trap time-of-flight mass
spectrometry. Total eight metabolites were identified in rat and human liver
microsomes, cytosol, and rat primary hepatocytes in vitro. Ellagic acid, a
reported anti-angiogenic agent, was one of the main metabolites in these
biological matrices. Methylated metabolites catalyzed by catechol-O-methyl
transferase (COMT) were observed mainly in the in vitro incubation with rat liver
cytosol, which was verified by using a COMT specific inhibitor entacapone and
supported by molecular docking analysis. Methylated and sulfated metabolites were
also found in rat primary hepatocytes in a time-dependent manner. In conclusion,
the in vitro metabolism pathways of FR429 were hydrolysis, methylation and
sulfation. The anti-tumor effects of its major metabolites should be further
studied.
PMID- 24928744
TI - [Not Available].
PMID- 24928743
TI - Nanodiamond-mediated impairment of nucleolar activity is accompanied by oxidative
stress and DNMT2 upregulation in human cervical carcinoma cells.
AB - Because applications of nanomaterials in nanomedicine and nanotechnology are
rapidly increasing, nanodiamond (ND) health risk assessment is urgently needed.
In the present study, we used HeLa cell model to evaluate nanodiamond
biocompatibility. We found ND-mediated cytotoxicity, proliferation inhibition and
oxidative stress. Conversely, ND-associated genotoxicity was limited to higher
concentrations used. Nanodiamond was also recognized as a hypermethylating agent.
ND-associated redox imbalance contributed to nucleolar stress: size and number of
nucleoli were affected, and release of nucleolar protein RRN3 occurred.
Surprisingly, we did not observe stress-induced RNA depletion. In contrast, RNA
was stabilized: total RNA level and integrity (28S/18S rRNA ratio) were
unaffected. After nanodiamond treatment, upregulation of DNA methyltransferase 2
(DNMT2) was shown. Perhaps, DNMT2, as a part of the regulatory loop of metabolic
pathways through RNA methylation, may contribute to RNA stabilization and confer
stress resistance after nanodiamond treatment. In conclusion, using HeLa cell
model, we showed that ND biocompatibility is limited and special care should be
taken when introducing ND-based biomaterials to biological systems.
PMID- 24928745
TI - [Not Available].
PMID- 24928746
TI - [Not Available].
PMID- 24928747
TI - [Not Available].
PMID- 24928748
TI - [Not Available].
PMID- 24928749
TI - [Not Available].
PMID- 24928750
TI - [Comment to article "Difficult intubation with Airtraq(r) and fibreoptic
bronchoscope in an awake patient with parapharyngeal abscess"].
PMID- 24928751
TI - Embarked electrical network robust control based on singular perturbation model.
AB - This paper deals with an approach of modelling in view of control for embarked
networks which can be described as strongly coupled multi-sources, multi-loads
systems with nonlinear and badly known characteristics. This model has to be
representative of the system behaviour and easy to handle for easy regulators
synthesis. As a first step, each alternator is modelled and linearized around an
operating point and then it is subdivided into two lower order systems according
to the singular perturbation theory. RST regulators are designed for each
subsystem and tested by means of a software test-bench which allows predicting
network behaviour in both steady and transient states. Finally, the designed
controllers are implanted on an experimental benchmark constituted by two
alternators supplying loads in order to test the dynamic performances in
realistic conditions.
PMID- 24928754
TI - Odorant binding characteristics of three recombinant odorant binding proteins in
Microplitis mediator (Hymenoptera: Braconidae).
AB - Odorant binding proteins (OBPs) are believed to be important for transporting
semiochemicals through the aqueous sensillar lymph to the olfactory receptor
cells within the insect antennal sensilla. In this study, three new putative OBP
genes, MmedOBP8-10, were identified from a Microplitis mediator (Hymenoptera:
Braconidae) antennal cDNA library. Quantitative real-time PCR (qRT-PCR) analysis
revealed that all three of the OBP genes were expressed mainly in the antennae of
adult wasps. The three OBPs were recombinantly expressed in Escherichia coli and
purified by Ni ion affinity chromatography. Fluorescence competitive binding
assays were performed using N-phenyl-naphthylamine as a fluorescent probe and 45
small organic compounds as competitors. These assays demonstrated that the three
M. mediator OBPs can bind a broad range of odorant molecules with different
binding affinities. They can bind the following ligands: nonane, farnesol,
nerolidol, nonanal, beta-ionone, acetic ether, and farnesene. In a Y-tube assay
with these ligands as odor stimuli and paraffin oil as a control, all ligands,
except nerolidol and acetic ether, were able to elicit behavioral responses in
adult M. mediator. The wasps were significantly attracted to beta-ionone,
nonanal, and farnesene and repelled by nonane and farnesol. The results of this
work provide insight into the chemosensory functions of the OBPs in M. mediator.
PMID- 24928755
TI - Evidence-Based Practice Projects in Pediatric Oncology Nursing.
AB - This introduction article discusses the history and importance of evidence-based
practice, along with describing the process that 6 nurse-led teams completed for
the systematic reviews listed in this journal issue.
PMID- 24928756
TI - Neutropenia Precautions for Children Receiving Chemotherapy or Stem Cell
Transplantation for Cancer.
AB - Infections in children and adolescents with cancer are a significant cause of
morbidity and mortality, especially in those receiving chemotherapy who are
neutropenic and/or immunocompromised. The aim of this article is to review
existing evidence in order to provide a practice recommendation to prevent or
minimize infections in neutropenic and/or immunocompromised patients receiving
chemotherapy and/or stem cell transplant. Systematic reviews were undertaken and
research was graded according to the Grading of Recommendations Assessment,
Development, and Evaluation (GRADE) criteria. A variety of interventions are
implemented to reduce infections in the neutropenic and/or immunocompromised
population; however, few are supported by research evidence. Existing literature
should continue to be reviewed to further identify interventions that can
influence positive patient outcomes and provide opportunities for individuals in
the medical field to work together to improve clinical care.
PMID- 24928757
TI - Evidence-Based Practice Recommendations to Prevent/Manage Post-Lumbar Puncture
Headaches in Pediatric Patients Receiving Intrathecal Chemotherapy.
AB - Post-lumbar puncture headaches (PLPHs) are a known complication of lumbar
puncture procedures. Children undergoing treatment for cancer often undergo
multiple lumbar punctures, placing them at increased risk for PLPHs. There are
currently no guidelines for the prevention or management of PLPHs in children. A
team was therefore assembled to conduct a systematic review of the evidence in
relationship to PLPHs in the pediatric population. Clinical questions were
developed and used to guide the literature review. Twenty-four articles were
deemed appropriate for use and were evaluated using the Grading of
Recommendations Assessment, Development and Evaluation (GRADE) criteria. Based on
the review of evidence, strong recommendations are made for the use of smaller
needle sizes and for the use of pencil point needles during lumbar puncture
procedures. Weak recommendations are made for needle orientation and positioning
following the procedure as well as for interventions used to treat PLPHs once
they occur. There is a need for additional, pediatric-specific studies to further
examine the issue of PLPH prevention and treatment.
PMID- 24928758
TI - Psychological distress in an earthquake-devastated area with pre-existing high
rate of suicide.
AB - On 12 March 2011 an earthquake devastated the Matsunoyama and Matsudai districts
of Tokamachi City, Niigata, Japan. These areas had high pre-existing suicide
rates, especially among the elderly. We investigated whether mental health status
became worse among the sufferers 5 months after the earthquake, and what kind of
factors were implicated in any changes. A 15-item questionnaire that tapped
earthquake-related variables and the Kessler 10 Psychological Distress Scale to
measure psychological distress were distributed to 1923 residents aged over 40
years. The mean age (S.D.) of the total 1731 respondents (male, 805; female, 926)
was 68.2 (13.1) years. Of these, we assessed K10 scores from 1346 respondents.
The mean scores (S.D.) for K10 and K6 (six selected items from the K10) were 5.8
(6.3) and 3.4 (3.9), respectively. Among the respondents, 9.1% and 3.2% obtained
a score of K10 >=15 and K6 >=13, respectively. These scores showed slightly
higher psychological distress, especially among the elderly, in comparison with
existing community-based data. Categorical regression analysis revealed
significant and relatively strong effects of initial psychological impact,
decrease in sleep hours, advanced age, and decrease in interpersonal
relationships within the community on the K10 score. The last item suggests the
importance of socio-environmental factors in post-disaster mental health.
PMID- 24928759
TI - Associations between obsessive-compulsive symptoms, revenge, and the perception
of interpersonal transgressions.
AB - Anger and aggression have only recently gained center stage in research on
obsessive-compulsive disorder (OCD). An investigation of obsessive-compulsive
(OC) symptoms focusing on the outcome of unresolved anger (i.e., revenge),
however, is absent from the literature. The objective of the present research was
therefore to provide a first step towards filling this gap and, hence, to
systematically examine the associations between OC symptoms and different aspects
of revenge (i.e., attitudes, dispositions, motivations). In three independent
studies with nonclinical participants (N=504), we tested the hypothesis that OC
symptoms relate to greater revenge. Individuals high in OC symptoms reported more
positive attitudes toward revenge (Study 1), scored higher on a measure of trait
revenge (Study 2), and reported increased revenge motivation regarding a real
life transgressor (Study 3). Furthermore, Study 4 (N=175) demonstrated that
individuals high in OC symptoms perceived interpersonal transgressions more
frequently in their daily lives. OC symptoms were positively related to the
number of transgressions that respondents disclosed. Our results suggest that
revenge and interpersonal hurt play a significant role in OCD.
PMID- 24928760
TI - Meniscal allograft transplantation: a systematic review.
AB - BACKGROUND: Meniscal allograft transplantation (MAT) was developed as a means of
treating the symptoms of compartmental overload after meniscectomy. Despite more
than 20 years of research in this field, many controversies still exist regarding
meniscal transplantation. PURPOSE: The aims of this study were to assess (1) the
quality of the published studies on MAT; (2) the indications for this type of
surgery; (3) the methods used for preservation, sizing, and fixation of the
allograft; and (4) the clinical and radiographic outcomes of this procedure and
its role in preventing osteoarthritis. STUDY DESIGN: Systematic review. METHODS:
Inclusion criteria for the articles were (1) English language, (2) peer-reviewed
clinical studies with evidence levels 1 to 4, (3) reported clinical and/or
radiological outcomes of MAT isolated or combined with other procedures, (4)
minimum 12-month follow-up, (5) case series of at least 10 patients, and (6) a
follow-up rate of at least 80% (no more than 20% of patients lost to follow-up).
RESULTS: A total of 55 studies matched the inclusion criteria (2 level 2, 7 level
3, and 46 level 4). The average Coleman methodology score was 49.73 +/- 12.41
(range, 24-81). There was agreement in the literature regarding the indications
for MAT: joint line pain and tenderness correlated with previous meniscectomy,
young patients, without diffuse Outerbridge grade III and no grade IV cartilage
damage, and a stable and well-aligned knee. Different graft types have been used:
viable, fresh frozen, cryopreserved, and lyophilized. The most common method for
graft sizing was plain radiography. Different fixation techniques have been
described, with only a few studies comparing the clinical results of the
different techniques and with no proven superiority of one method over the other.
All the studies showed clinical improvement at last follow-up visit compared with
preoperatively. The chondroprotective effect of MAT is still unclear. CONCLUSION:
Meniscal allograft transplantation seems to provide good clinical results at
short-term and midterm follow-up, with improvement in knee function as well as
acceptable complication and failure rates. Higher quality studies are necessary
to better assess the potential chondroprotective effect of MAT and to identify
differences in terms of outcomes between different surgical techniques.
PMID- 24928761
TI - The influence of cervical muscle characteristics on head impact biomechanics in
football.
AB - BACKGROUND: An athlete is thought to reduce head acceleration after impact by
contracting the cervical musculature, which increases the effective mass of the
head. PURPOSE: To compare the odds of sustaining higher magnitude in-season head
impacts between athletes with higher and lower preseason performance on cervical
muscle characteristics. STUDY DESIGN: Cohort study; Level of evidence, 2.
METHODS: Forty-nine high school and collegiate American football players
completed a preseason cervical testing protocol that included measures of
cervical isometric strength, muscle size, and response to cervical perturbation.
Head impact biomechanics were captured for each player using the Head Impact
Telemetry System. A median split was used to categorize players as either high or
low performers for each of the following outcome measures: isometric strength
(peak torque, rate of torque development), muscle size (cross-sectional area),
and response to cervical perturbation (stiffness, angular displacement, muscle
onset latency). The odds of sustaining moderate and severe head impacts were
computed against the reference odds of sustaining mild head impacts across
cervical characteristic categorizations. RESULTS: Linemen with stronger lateral
flexors and composite cervical strength had about 1.75 times' increased odds of
sustaining moderate linear head impacts rather than mild impacts compared with
weaker linemen. Players who developed extensor torque more quickly had 2 times
the increased odds of sustaining severe linear head impacts (odds ratio [OR],
2.10; 95% CI, 1.08-4.05) rather than mild head impacts. However, players with
greater cervical stiffness had reduced odds of sustaining both moderate (OR,
0.77; 95% CI, 0.61-0.96) and severe (OR, 0.64; 95% CI, 0.46-0.89) head impacts
compared with players with less cervical stiffness. CONCLUSION: The study
findings showed that greater cervical stiffness and less angular displacement
after perturbation reduced the odds of sustaining higher magnitude head impacts;
however, the findings did not show that players with stronger and larger neck
muscles mitigate head impact severity.
PMID- 24928763
TI - Reproducibility of the Carpet View system: a novel technical solution for display
and off line analysis of OCT images.
AB - The optical coherence tomography (OCT) evaluation of the stent anatomy requires
the inspection of sequential cross section (CS). However stent coils cannot be
appreciated in the conventional format as the OCT CS simply display stent struts,
that are poorly representative of the stent architecture. The aim of the present
study was to validate a new software (Carpet View), which unfolds the stented
segment, reconstructing it as an open structure and displaying the stent
meshwork. 21 patients were studied with frequency domain OCT after the deployment
of different stents: seven bio-absorbable scaffolds (Dream), seven bare metal
stent (Vision/Multilink8), seven drug eluting stent (Cre8). Conventional CS
reconstructions were post-processed with the Carpet View software and analyzed by
the same reader twice (intra-observer variability) and by two different readers
(inter-observer variability). A small average difference in the number of all
struts was obtained with the two methods (conventional vs carpet view
reconstruction). Using the carpet view, high intra-observer and inter-observer
correlations were found for the number of struts obtained in each coil. The
Pearson correlation values were 0.98 (p = 0.0001) and 0.96 (p = 0.0001)
respectively. The same number of coils was found when analyses were repeated by
the same reader or by a different reader whilst mild differences in the count of
stent junctions were reported. The Carpet View can be used to address the stent
geometry with high reproducibility. This approach enables the matching of the
same stent portion during serial time points and promises to improve the stent
assessment.
PMID- 24928762
TI - Terminate and make a loop: regulation of transcriptional directionality.
AB - Bidirectional promoters are a common feature of many eukaryotic organisms from
yeast to humans. RNA Polymerase II that is recruited to this type of promoter can
start transcribing in either direction using alternative DNA strands as the
template. Such promiscuous transcription can lead to the synthesis of unwanted
transcripts that may have negative effects on gene expression. Recent studies
have identified transcription termination and gene looping as critical players in
the enforcement of promoter directionality. Interestingly, both mechanisms share
key components. Here, we focus on recent findings relating to the transcriptional
output of bidirectional promoters.
PMID- 24928764
TI - Automated assessment and 3-dimensional visualization of the pattern of neointimal
tissue maturity in vivo following drug-eluting stent implantation.
PMID- 24928765
TI - Influence of the cardiac cycle on time-intensity curves using multislice dynamic
magnetic resonance perfusion.
AB - Flow and pressure variations cause potential changes in magnetic resonance
imaging (MRI) signal intensity across the cardiac cycle. Nevertheless, cardiac
dynamic contrast-enhanced (perfusion) MRI is performed and analyzed regardless of
the cardiac phase. We investigate whether the cardiac phase impacts myocardial
and left ventricle (LV) cavity time intensity curves (TICs) at rest and during
vasodilatation. Fifteen healthy volunteers (seven females, eight males; mean age:
32.5 +/- 9.3 years; age range: 19-49 years) were included in this prospective
study. They underwent four separate short-axis multislice (apical, mid and basal)
LV perfusion MRI, with different electrocardiogram-triggering during normal
vasotone and adenosine-stress. TIC parameters were extracted from the myocardium
and the LV cavity. General linear mixed model analyses were used to evaluate
their variability according to vasotone, cardiac phase and slice-position.
Maximal enhancement and normalized Steepest slopes were higher at stress than at
rest (p values <0.001). A similar trend towards higher inflow was shown on
systole versus diastole in the LV cavity and diastole versus systole in the
myocardium (p < 0.05).These TIC parameters were slice-position dependent, as the
inflow decreased from the base to the apex in the LV, and peaked on the mid-slice
for the myocardium. There are significant variability of both the LV and the
myocardial TICs, with respect to the cardiac cycle phase and the slice position
where imaging actually takes place. These appeal to measurement standardization
for a better intra- and inter-study reproducibility.
PMID- 24928766
TI - Dietary levels of acrylamide affect rat cardiomyocyte properties.
AB - The toxic effects of acrylamide on cytoskeletal integrity and ion channel balance
is well-established in many cell types, but there has been little examination
regarding the effects of acrylamide on primary cardiomyocytes, despite the
importance of such components in their function. Furthermore, acrylamide toxicity
is generally examined using concentrations higher than those found in vivo under
starch-rich diets. Accordingly, we sought to characterize the dose-dependent
effects of acrylamide on various properties, including cell morphology,
contraction patterns, and junctional connexin 43 staining, in primary
cardiomyocytes. We show that several days exposure to 1-100 MUM acrylamide
resulted in altered morphology, irregular contraction patterns, and an increase
in the amount of immunoreactive signal for connexin 43 at cell junctions. We
conclude that dietary levels of acrylamide may alter cellular function with
prolonged exposure, in primary cardiomyocytes.
PMID- 24928767
TI - An investigation of facial emotion recognition impairments in alexithymia and its
neural correlates.
AB - Alexithymia is a personality trait that involves difficulties identifying
emotions and describing feelings. It is hypothesized that this includes facial
emotion recognition but limited knowledge exists about possible neural correlates
of this assumed deficit. We hence tested thirty-seven healthy subjects with
either a relatively high or low degree of alexithymia (HDA versus LDA), who
performed in a reliable and standardized test of facial emotion recognition
(FEEL, Facially Expressed Emotion Labeling) in the functional MRI. LDA subjects
had significantly better emotion recognition scores and showed relatively more
activity in several brain areas associated with alexithymia and emotional
awareness (anterior cingulate cortex), and the extended system of facial
perception concerned with aspects of social communication and emotion (amygdala,
insula, striatum). Additionally, LDA subjects had more activity in the visual
area of social perception (posterior part of the superior temporal sulcus) and
the inferior frontal cortex. HDA subjects, on the other hand, exhibited greater
activity in the superior parietal lobule. With differences in behaviour and brain
responses between two groups of otherwise healthy subjects, our results
indirectly support recent conceptualizations and epidemiological data, that
alexithymia is a dimensional personality trait apparent in clinically healthy
subjects rather than a categorical diagnosis only applicable to clinical
populations.
PMID- 24928768
TI - Involvement of the serotonergic system in the anxiolytic-like effect of 2
phenylethynyl butyltellurium in mice.
AB - Anxiety is a serious disorder with symptoms manifested at the psychological,
behavioral, and physiological levels, accompanied by alterations in the
serotonergic system and monoaminergic signaling. In this study, the anxiolytic
like effect of 2-phenylethynyl butyltellurium (PEBT), in three well-consolidated
anxiety mouse models (light-dark test, novelty suppressed-feeding, elevated plus
maze), was investigated. The involvement of the serotonergic system, synaptosomal
[(3)H] serotonin (5-HT) uptake and monoamine oxidase (MAO A and B) activities on
cerebral cortices of mice, was examined. Mice received PEBT (1mg/kg, by
intragastric route, i.g.) or canola oil (10 ml/kg, i.g.) 30 min before behavioral
tests. The results showed that PEBT was effective in increasing the time spent by
mice in the illuminated side on the light-dark box and in the open arms on the
elevated plus-maze. PEBT decreased the latency to begin eating on the novelty
suppressed-feeding test, indicating an anxiolytic-like effect of PEBT.
Furthermore, PEBT reduced [(3)H] 5-HT uptake and selectively inhibited MAO-A
activity in cerebral cortex, suggesting the involvement of the serotonergic
system in the mechanism of action of this tellurium compound.
PMID- 24928769
TI - Life without brain serotonin: reevaluation of serotonin function with mice
deficient in brain serotonin synthesis.
AB - Tryptophan hydroxylase (TPH) is a rate limiting enzyme in the synthesis of
serotonin (5-HT), a monoamine which works as an autacoid in the periphery and as
a neurotransmitter in the central nervous system. In 2003 we have discovered the
existence of a second Tph gene, which is expressed exclusively in the brain, and,
therefore, is responsible for the 5-HT synthesis in the central nervous system.
In the following years several research groups have independently generated Tph2
deficient mice. In this review we will summarize the data gained from the
existing mouse models with constitutive or conditional deletion of the Tph2 gene,
focusing on biochemical, developmental, and behavioral consequences of Tph2
deficiency.
PMID- 24928770
TI - NK3 receptor agonism reinstates temporal order memory in the hemiparkinsonian
rat.
AB - Animals treated with unilateral 6-hydroxydopamine (6-ODHA) injections, an animal
model of Parkinson's disease, exhibit deficits in memory for temporal order, but
show intact novel object recognition. Since senktide, a potent neurokinin-3
receptor (NK3-R) agonist, has been shown to have promnestic effects in the aged
rat and to alleviate scopolamine-induced impairment, the present study aimed to
assess possible promnestic effects of senktide in the hemiparkinsonian rat model.
Animals received unilateral 6-ODHA microinjections into the medial forebrain
bundle. Two weeks later, they were randomly assigned to treatment with vehicle,
0.2, or 0.4 mg/kg senktide. Temporal order memory and place recognition tests
were conducted, locomotor activity and turning behavior were assessed in the open
field and anxiety-related behavior was measured in the light-dark box. Treatments
were administered 30 min prior to behavioral testing with an interval of seven
days between tests. The animals treated with 0.2 mg/kg senktide exhibited
temporal order memory, unlike the vehicle-treated group. No significant treatment
effects were found in the open field and light-dark box. Administration of 0.2
mg/kg senktide may influence the prefrontal cortex and hippocampus, leading to
compensations for deficits in memory for temporal order.
PMID- 24928771
TI - Roles of genomic island 3 (GI-3) BAB1_0267 and BAB1_0270 open reading frames
(ORFs) in the virulence of Brucella abortus 2308.
AB - One of the properties of bacteria is their capacity to acquire large fragments of
genomic DNA from other bacteria or to loose important parts of their own genome.
Such fragments include genomic islands (GIs); nine GIs are present in Brucella,
including genomic island 3 (GI-3), present in B. abortus, B. melitensis and B.
ovis. The GI-3 have 29 open reading frames (ORFs) most of them with unknown
function. Within the GI-3, the ORFs BAB1_0267 encodes a hypothetical protein
sharing a SH3 domain and BAB1_270 a zinc-dependent metallopeptidase. We have
obtained deletion mutants for BAB1_0267 and BAB1_0270 ORFs present within GI-3,
which have been named the Delta0267 and Delta0270, respectively; in both cases
the mutation did not affect the growth of bacteria. Both mutants were evaluated
with respect to their growth rates, their ability to invade and replicate in the
non-professional and professional phagocytes, HeLa and J774.A1 cells,
respectively. Their persistence in the spleens of mice was also evaluated. The
mutants efficiently invaded HeLa and J774.A1 cells but both mutants showed a
decreased intracellular survival in macrophages and HeLa cells 72 and 96 h post
infection, respectively, and were non-detected in J774.A1 cells 120 h post
infection. With respect to in vivo persistence Delta0267 was detected through the
fourth week while Delta0270 decreased at 7 days disappearing the second week. Our
results indicated that deletion of BAB1_0267 and BAB1_270 are necessary to
establish an optimal infectious process in B. abortus 2308, having more effect
the deletion of ORF BAB1_0270. Therefore these ORFs, principally BAB1_0270 are
important virulent of B. abortus.
PMID- 24928773
TI - Surface characterization of lithium disilicate ceramic after nonthermal plasma
treatment.
AB - STATEMENT OF PROBLEM: Surface transformation with nonthermal plasma may be a
suitable treatment for dental ceramics, because it does not affect the physical
properties of the ceramic material. PURPOSE: The purpose of this study was to
characterize the chemical composition of lithium disilicate ceramic and evaluate
the surface of this material after nonthermal plasma treatment. MATERIAL AND
METHODS: A total of 21 specimens of lithium disilicate (10 mm in diameter and 3
mm thick) were fabricated and randomly divided into 3 groups (n=7) according to
surface treatment. The control group was not subjected to any treatment except
surface polishing with abrasive paper. In the hydrofluoric acid group, the
specimens were subjected to hydrofluoric acid gel before silane application.
Specimens in the nonthermal plasma group were subjected to the nonthermal plasma
treatment. The contact angle was measured to calculate surface energy. In
addition, superficial roughness was measured and was examined with scanning
electron microscopy, and the chemical composition was characterized with energy
dispersive spectroscopy analysis. The results were analyzed with ANOVA and the
Tukey honestly significant difference test (alpha=.05). RESULTS: The water
contact angle was decreased to 0 degrees after nonthermal plasma treatment. No
significant difference in surface roughness was observed between the control and
nonthermal plasma groups. Scanning electron microscopy and energy-dispersive
spectroscopy images indicated higher amounts of oxygen (O) and silicon (Si) and a
considerable reduction in carbon (C) in the specimens after nonthermal plasma
treatment. CONCLUSIONS: Nonthermal plasma treatment can transform the
characteristics of a ceramic surface without affecting its surface roughness. A
reduction in C levels and an increase in O and Si levels were observed with the
energy-dispersive spectroscopy analysis, indicating that the deposition of the
thin silica film was efficient.
PMID- 24928772
TI - Carlumab, an anti-C-C chemokine ligand 2 monoclonal antibody, in combination with
four chemotherapy regimens for the treatment of patients with solid tumors: an
open-label, multicenter phase 1b study.
AB - C-C chemokine ligand 2 (CCL2) stimulates tumor growth, metastasis, and
angiogenesis. Carlumab, a human IgG1kappa anti-CCL2 mAb, has shown antitumor
activity in preclinical and clinical trials. We conducted a first-in-human phase
1b study of carlumab with one of four chemotherapy regimens (docetaxel,
gemcitabine, paclitaxel + carboplatin, and pegylated liposomal doxorubicin HCl
[PLD]). Patients had advanced solid tumors for which >=1 of these regimens was
considered standard of care or for whom no other treatment options existed. Dose
limiting toxicities included one grade 4 febrile neutropenia (docetaxel arm) and
one grade 3 neutropenia (gemcitabine arm). Combination treatment with carlumab
had no clinically relevant pharmacokinetic effect on docetaxel (n = 15),
gemcitabine (n = 12), paclitaxel or carboplatin (n = 12), or PLD (n = 14). Total
serum CCL2 concentrations increased post-treatment with carlumab alone,
consistent with carlumab-CCL2 binding, and continued increase in the presence of
all chemotherapy regimens. Free CCL2 declined immediately post-treatment with
carlumab but increased with further chemotherapy administrations in all arms,
suggesting that carlumab could sequester CCL2 for only a short time. Neither
antibodies against carlumab nor consistent changes in circulating tumor cells
(CTCs) or circulating endothelial cells (CECs) enumeration were observed. Three
of 19 evaluable patients showed a 30 % decrease from baseline urinary cross
linked N-telopeptide of type I collagen (uNTx). One partial response and 18 (38
%) stable disease responses were observed. The most common drug-related grade >=3
adverse events were docetaxel arm-neutropenia (6/15) and febrile neutropenia
(4/15); gemcitabine arm-neutropenia (2/12); paclitaxel + carboplatin arm
neutropenia, thrombocytopenia (4/12 each), and anemia (2/12); and PLD arm-anemia
(3/14) and stomatitis (2/14). Carlumab could be safely administered at 10 or 15
mg/kg in combination with standard-of-care chemotherapy and was well-tolerated,
although no long-term suppression of serum CCL2 or significant tumor responses
were observed.
PMID- 24928774
TI - A kinetic model of Escherichia coli core metabolism satisfying multiple sets of
mutant flux data.
AB - In contrast to stoichiometric-based models, the development of large-scale
kinetic models of metabolism has been hindered by the challenge of identifying
kinetic parameter values and kinetic rate laws applicable to a wide range of
environmental and/or genetic perturbations. The recently introduced ensemble
modeling (EM) procedure provides a promising remedy to address these challenges
by decomposing metabolic reactions into elementary reaction steps and
incorporating all phenotypic observations, upon perturbation, in its model
parameterization scheme. Here, we present a kinetic model of Escherichia coli
core metabolism that satisfies the fluxomic data for wild-type and seven mutant
strains by making use of the EM concepts. This model encompasses 138 reactions,
93 metabolites and 60 substrate-level regulatory interactions accounting for
glycolysis/gluconeogenesis, pentose phosphate pathway, TCA cycle, major pyruvate
metabolism, anaplerotic reactions and a number of reactions in other parts of the
metabolism. Parameterization is performed using a formal optimization approach
that minimizes the discrepancies between model predictions and flux measurements.
The predicted fluxes by the model are within the uncertainty range of
experimental flux data for 78% of the reactions (with measured fluxes) for both
the wild-type and seven mutant strains. The remaining flux predictions are mostly
within three standard deviations of reported ranges. Converting the EM-based
parameters into a Michaelis-Menten equivalent formalism revealed that 35% of Km
and 77% of kcat parameters are within uncertainty range of the literature
reported values. The predicted metabolite concentrations by the model are also
within uncertainty ranges of metabolomic data for 68% of the metabolites. A leave
one-out cross-validation test to evaluate the flux prediction performance of the
model showed that metabolic fluxes for the mutants located in the proximity of
mutations used for training the model can be predicted more accurately. The
constructed model and the parameterization procedure presented in this study pave
the way for the construction of larger-scale kinetic models with more narrowly
distributed parameter values as new metabolomic/fluxomic data sets are becoming
available for E. coli and other organisms.
PMID- 24928776
TI - Editorial comment.
PMID- 24928775
TI - Social disadvantage and asthma control in children.
AB - This review discusses various aspects of social disadvantage and their
association with poor asthma control, including socioeconomic status, exposure to
psychosocial stress and violence, minority affiliation, environmental concerns
such as allergens and pollution, and poverty in rural settings. Each of these
elements has been linked with worsened asthma outcomes in children. Known and
hypothesized mechanisms behind these associations are described in an effort to
further understand the complex entity of poorly controlled asthma among socially
deprived children. Intervention studies to improve asthma outcomes in these
vulnerable populations are also described.
PMID- 24928777
TI - Editorial comment.
PMID- 24928778
TI - The use of repeated exposure and associative conditioning to increase vegetable
acceptance in children: explaining the variability across studies.
PMID- 24928779
TI - A comparison of food refusal related to characteristics of food in children with
autism spectrum disorder and typically developing children.
AB - Parents of children with autism spectrum disorder (ASD) frequently report child
food refusal based on characteristics of food. Our study sought to determine
whether parent report of food refusal based on the characteristics of food was
greater in children with ASD than in typically developing children, associated
with a greater percentage of foods refused of those offered, and associated with
fruit and vegetable intake. A modified food frequency questionnaire was used to
determine overall food refusal as well as fruit and vegetable intake. Parent
reported food refusal related to characteristics of food (eg,
texture/consistency, temperature, brand, color, shape, taste/smell, foods mixed
together, or foods touching other foods) was compared between 53 children with
ASD and 58 typically developing children aged 3 to 11 years in the Children's
Activity and Meal Patterns Study (2007-2008). Children with ASD were
significantly more likely to refuse foods based on texture/consistency (77.4% vs
36.2%), taste/smell (49.1% vs 5.2%), mixtures (45.3% vs 25.9%), brand (15.1% vs
1.7%), and shape (11.3% vs 1.7%). No differences between groups were found for
food refusal based on temperature, foods touching other foods, or color.
Irrespective of ASD status, the percentage of foods refused of those offered was
associated with parent reports of food refusal based on all characteristics
examined, except temperature. Food refusal based on color was inversely
associated with vegetable consumption in both groups. Routine screening for food
refusal among children with ASD is warranted to prevent dietary inadequacies that
may be associated with selective eating habits. Future research is needed to
develop effective and practical feeding approaches for children with ASD.
PMID- 24928780
TI - A free new dietary supplement label database for registered dietitian
nutritionists.
PMID- 24928781
TI - Cell surface lactate receptor GPR81 is crucial for cancer cell survival.
AB - The mechanisms that allow cancer cells to adapt to the typical tumor
microenvironment of low oxygen and glucose and high lactate are not well
understood. GPR81 is a lactate receptor recently identified in adipose and muscle
cells that has not been investigated in cancer. In the current study, we examined
GPR81 expression and function in cancer cells. We found that GPR81 was present in
colon, breast, lung, hepatocellular, salivary gland, cervical, and pancreatic
carcinoma cell lines. Examination of tumors resected from patients with
pancreatic cancer indicated that 94% (148 of 158) expressed high levels of GPR81.
Functionally, we observed that the reduction of GPR81 levels using shRNA-mediated
silencing had little effect on pancreatic cancer cells cultured in high glucose,
but led to the rapid death of cancer cells cultured in conditions of low glucose
supplemented with lactate. We also observed that lactate addition to culture
media induced the expression of genes involved in lactate metabolism, including
monocarboxylase transporters in control, but not in GPR81-silenced cells. In
vivo, GPR81 expression levels correlated with the rate of pancreatic cancer tumor
growth and metastasis. Cells in which GPR81 was silenced showed a dramatic
decrease in growth and metastasis. Implantation of cancer cells in vivo was also
observed to lead to greatly elevated levels of GPR81. These data support that
GPR81 is important for cancer cell regulation of lactate transport mechanisms.
Furthermore, lactate transport is important for the survival of cancer cells in
the tumor microenvironment. Cancer Res; 74(18); 5301-10. (c)2014 AACR.
PMID- 24928782
TI - PME-1 modulates protein phosphatase 2A activity to promote the malignant
phenotype of endometrial cancer cells.
AB - Protein phosphatase 2A (PP2A) negatively regulates tumorigenic signaling
pathways, in part, by supporting the function of tumor suppressors like p53. The
PP2A methylesterase PME-1 limits the activity of PP2A by demethylating its
catalytic subunit. Here, we report the finding that PME-1 overexpression
correlates with increased cell proliferation and invasive phenotypes in
endometrial adenocarcinoma cells, where it helps maintain activated ERK and Akt
by inhibiting PP2A. We obtained evidence that PME-1 could bind and regulate
protein phosphatase 4 (PP4), a tumor-promoting protein, but not the related
protein phosphatase 6 (PP6). When the PP2A, PP4, or PP6 catalytic subunits were
overexpressed, inhibiting PME-1 was sufficient to limit cell proliferation. In
clinical specimens of endometrial adenocarcinoma, PME-1 levels were increased and
we found that PME-1 overexpression was sufficient to drive tumor growth in a
xenograft model of the disease. Our findings identify PME-1 as a modifier of
malignant development and suggest its candidacy as a diagnostic marker and as a
therapeutic target in endometrial cancer.
PMID- 24928783
TI - Germline mutation of Bap1 accelerates development of asbestos-induced malignant
mesothelioma.
AB - Malignant mesotheliomas are highly aggressive tumors usually caused by exposure
to asbestos. Germline-inactivating mutations of BAP1 predispose to mesothelioma
and certain other cancers. However, why mesothelioma is the predominate
malignancy in some BAP1 families and not others, and whether exposure to asbestos
is required for development of mesothelioma in BAP1 mutation carriers are not
known. To address these questions experimentally, we generated a Bap1(+/-)
knockout mouse model to assess its susceptibility to mesothelioma upon chronic
exposure to asbestos. Bap1(+/-) mice exhibited a significantly higher incidence
of asbestos-induced mesothelioma than wild-type (WT) littermates (73% vs. 32%,
respectively). Furthermore, mesotheliomas arose at an accelerated rate in Bap1(+/
) mice than in WT animals (median survival, 43 weeks vs. 55 weeks after initial
exposure, respectively) and showed increased invasiveness and proliferation. No
spontaneous mesotheliomas were seen in unexposed Bap1(+/-) mice followed for up
to 87 weeks of age. Mesothelioma cells from Bap1(+/-) mice showed biallelic
inactivation of Bap1, consistent with its proposed role as a recessive cancer
susceptibility gene. Unlike in WT mice, mesotheliomas from Bap1(+/-) mice did not
require homozygous loss of Cdkn2a. However, normal mesothelial cells and
mesothelioma cells from Bap1(+/-) mice showed downregulation of Rb through a
p16(Ink4a)-independent mechanism, suggesting that predisposition of Bap1(+/-)
mice to mesothelioma may be facilitated, in part, by cooperation between Bap1 and
Rb. Drawing parallels to human disease, these unbiased genetic findings indicate
that BAP1 mutation carriers are predisposed to the tumorigenic effects of
asbestos and suggest that high penetrance of mesothelioma requires such
environmental exposure.
PMID- 24928784
TI - Implications of targeted versus universal admission screening for meticillin
resistant Staphylococcus aureus carriage in a London hospital.
AB - Universal admission screening for meticillin-resistant Staphylococcus aureus
(MRSA) has been performed in England since 2010. We evaluated the predictive
performance of a regression model derived from the first year of universal
screening for detecting MRSA at hospital admission. If we had used our previous
targeted screening policy, 75% fewer patients (21,699 per year) would have been
screened. However, this would have identified only ~55% of all MRSA carriers, 65%
of healthcare-associated MRSA strains, and 40% of community-associated strains.
Failing to identify ~45% of patients (262 per year) carrying MRSA at hospital
admission may have implications for MRSA control.
PMID- 24928785
TI - The epic3 recommendation that clinically indicated replacement of peripheral
venous catheters is safe and cost-saving: how much would the NHS save?
PMID- 24928786
TI - Free-living amoebae: what part do they play in healthcare-associated infections?
AB - Free-living amoebae (FLA) are ubiquitous protozoa that do not require a host
organism for survival. They are found in natural environments such as water or
soil, and man-made environments including tap water or swimming pools, where they
may interact with other micro-organisms, including bacteria, fungi and viruses.
FLA can harbour micro-organisms including those found in hospital water systems,
offering them protection against hostile conditions, providing a vehicle of
dissemination, and enabling them to prepare for subsequent survival in
macrophages. The interaction between Legionella pneumophila and FLA has been
studied extensively; subsequent investigations have shown that FLA may serve as a
reservoir for other bacteria including mycobacteria, Pseudomonas aeruginosa,
Acinetobacter baumannii, or even fungi and viruses. Amoebae found in hospital
water systems can serve as a reservoir of potential pathogens and thus be
indirectly related to healthcare-associated infections.
PMID- 24928787
TI - Outbreak of invasive group A streptococcus infection: contaminated patient
curtains and cross-infection on an ear, nose and throat ward.
AB - BACKGROUND: Outbreaks of group A streptococcus (GAS) infections may occur in
healthcare settings and have been documented in surgical, obstetrics and
gynaecology, and burns units. The environment may serve as a reservoir and
facilitate transmission via contaminated equipment. AIM: To describe the
investigation and control of an outbreak of healthcare-associated GAS infection
on an ear, nose and throat (ENT) ward in a tertiary referral centre. METHODS: Two
patients with laryngeal cancer developed invasive GAS infection (bacteraemia)
with associated tracheostomy wound cellulitis within a 48 h period. The outbreak
team undertook an investigation involving a retrospective review of GAS cases,
prospective case finding, healthcare worker screening and sampling of patient
curtains. Immediate control measures included source isolation, a thorough
rolling clean with a chlorine-based disinfectant and hydrogen peroxide
decontamination of patient equipment. FINDINGS: Prospective patient screening
identified one additional patient with carriage of GAS from a tracheostomy wound
swab. Staff screening identified one healthcare worker who acquired GAS during
the outbreak and who subsequently developed pharyngitis. Environmental sampling
demonstrated that 10 out of 34 patient curtains on the ward were contaminated
with GAS and all isolates were typed as emm-1. CONCLUSION: This is the first
outbreak report to demonstrate patient curtains as potential source for GAS cross
transmission, with implications in relation to hand hygiene and frequency of
laundering. Based on this report we recommend that during an outbreak of GAS
infection all patient curtains should be changed as part of the enhanced
decontamination procedures.
PMID- 24928788
TI - Catheter-related bloodstream infection: burden of disease in a tertiary hospital.
AB - BACKGROUND: Surveillance programmes have become the most effective tool for
controlling catheter-related bloodstream infections (CRBSI). However, few studies
have investigated programmes covering all hospital settings. AIM: To describe the
results of a control and prevention programme for CRBSI based on compliance with
recommendations for insertion and maintenance, using annual burden of disease in
a tertiary level hospital. METHODS: A CRBSI control and prevention programme
involving all hospital settings was implemented. The programme consisted of CRBSI
surveillance, direct observation of insertion and maintenance of catheters to
determine performance, and education for healthcare workers. FINDINGS: In total,
2043 short-term catheters were inserted in 1546 patients for 18,570 catheter
days, and 279 long-term catheters were inserted in 243 patients for 40,440
catheter-days. The annual incidence density was 5.98 (first semester 6.40, second
semester 5.64) CRBSI per 1000 catheter-days for short-term catheters, and 0.57
(first semester 0.66, second semester 0.43) CRBSI per 1000 catheter-days for long
term catheters. One hundred and forty insertion procedures were observed, with an
average insertion time of 13 (standard deviation 7) min. Compliance with
recommendations was as follows: hand hygiene, 86.8%; use of alcoholic
chlorhexidine solution for skin disinfection, 35.5%; use of mask, 93.4%; use of
gloves, 98.7%; use of gown, 75.0%; use of sterile cloth, 93.8%; use of cap,
92.2%; bandage application, 62.7%; and use of aseptic technique, 89.5%. Forty
five maintenance procedures were observed, and compliance rates were as follows:
hand hygiene, 42.1%; use of gloves, 78.1%; and port disinfection with alcoholic
chlorhexidine solution, 32.5%. CONCLUSION: The CRBSI control and prevention
programme implemented at the study hospital has decreased the rate of CRBSI,
provided important information about the total burden of disease, and revealed
possible ways to improve interventions in the future.
PMID- 24928789
TI - epic3: guidelines for preventing infections associated with the use of
intravascular access devices.
PMID- 24928790
TI - A novel peptide-based pan-influenza A vaccine: a double blind, randomised
clinical trial of immunogenicity and safety.
AB - BACKGROUND: FP-01.1 is a novel synthetic influenza A vaccine consisting of six
fluorocarbon-modified 35-mer peptides that encapsulate multiple CD4+ and CD8+ T
cell epitopes and is designed to induce an immune response across a broad
population. METHODS: FP-01.1 was evaluated for safety and immunogenicity in a
randomised, double-blind, placebo-controlled, dose-escalation, phase I clinical
study in healthy adult volunteers (n=49). IFNgamma ELISpot assays and multicolour
flow cytometry were used to characterise the immune response. RESULTS: FP-01.1
was safe and well tolerated at all doses tested with a similar adverse event
profile in actively vaccinated subjects compared with controls. Maximum
immunogenicity was in the 150 MUg/peptide dose group where a robust response (243
spots/million PBMC) was demonstrated in 75% subjects compared with 0% in placebo
controls. All six peptides were immunogenic. FP-01.1 induced dual CD4+ and CD8+ T
cell responses and vaccine-specific T cells cross-recognise divergent influenza
strains. CONCLUSIONS: This first-in-human study showed that FP-01.1 has an
acceptable safety and tolerability profile and generated robust anti-viral T cell
responses in a high proportion of subjects tested. The results support the
further clinical testing of FP-01.1 prior to clinical, proof-of-concept, live
viral challenge studies.
PMID- 24928791
TI - Cross-sectional study on factors associated with influenza vaccine uptake and
pertussis vaccination status among pregnant women in Germany.
AB - Pregnant women and their newborns are at increased risk for influenza-related
complications; the latter also have an increased risk for pertussis-related
complications. In Germany, seasonal influenza vaccination is recommended for
pregnant women since 2010. A dose of pertussis-containing vaccine has been
recommended since 2004 for women of childbearing age if they have not been
vaccinated within the past 10 years. We conducted a nationwide cross-sectional
survey among pregnant women in February/March 2013 to assess knowledge,
attitudes, and practices related to influenza vaccination during pregnancy and to
identify factors associated with their pertussis vaccination status. In total,
1025 pregnant women participated and provided information through a self
administered questionnaire. Of these, 23.2% were vaccinated against seasonal
influenza during the 2012/13 season; 15.9% during their pregnancy. Major reasons
for being unvaccinated (n=686 respondents) were lack of confidence in the vaccine
(60.4%) and the perception that vaccination was not necessary (40.3%). Influenza
vaccination during pregnancy was independently associated with having received
influenza vaccine in the previous season, having received a recommendation from a
physician, a high level of vaccine-related knowledge and of perceived disease
severity. In contrast, knowledge of the recommendation for regular hand-washing
to prevent influenza and the perception that vaccine-related side effects were
likely to occur or likely to be severe were negatively associated with vaccine
uptake. Receipt of a pertussis vaccine in the past 10 years was reported by 22.5%
of participants. Pertussis vaccine uptake was independently associated with
living in the Eastern federal states and receiving seasonal influenza vaccination
annually, while a migration background was associated with a lower uptake. To
enhance vaccine uptake in pregnant women and women of childbearing age, special
efforts must be undertaken to improve knowledge of both recommendations and the
benefits of vaccination. Gynecologists could serve as important facilitators.
PMID- 24928793
TI - Dietary supplementation with long chain polyunsaturated fatty acids in pregnant
guinea pigs has sex-dependent effects on growth and bone outcomes in offspring.
AB - Long chain PUFA enhance bone mass in non-pregnant mammals. We examined the
effects of arachidonic (AA; 20:4n-6) and docosahexaenoic (DHA; 22:6n-3) acid on
bone mass of mothers and neonates. Guinea pig sows (n=15) were fed control, DHA
or AA+DHA diets from mating to weaning. Measurements included: osteocalcin (OC),
deoxypyridinoline (DPD), areal bone mineral density (aBMD) in sows and neonates;
and volumetric density (vBMD) in neonates. Only vertebral aBMD and OC:DPD ratio
declined during reproduction and only DHA reduced OC:DPD. Male pup weight was
reduced by DHA and female weight elevated by AA+DHA. Whole body and femur aBMD
were reduced by DHA and AA+DHA; whereas tibia vBMD was reduced by DHA in males.
Female whole body, tibia and vertebrae aBMD plus tibia vBMD were elevated by
AA+DHA; and DHA elevated whole body, tibia and vertebrae aBMD. Dietary AA+DHA and
DHA elicit sex-dependent effects on neonatal bone, with minimal impact on
mothers.
PMID- 24928792
TI - Chronic intermittent ethanol exposure during adolescence: effects on social
behavior and ethanol sensitivity in adulthood.
AB - This study assessed long-lasting consequences of repeated ethanol exposure during
two different periods of adolescence on 1) baseline levels of social
investigation, play fighting, and social preference and 2) sensitivity to the
social consequences of acute ethanol challenge. Adult male and female Sprague
Dawley rats were tested 25 days after repeated exposure to ethanol (3.5 g/kg
intragastrically [i.g.], every other day for a total of 11 exposures) in a
modified social interaction test. Early-mid adolescent intermittent exposure (e
AIE) occurred between postnatal days (P) 25 and 45, whereas late adolescent
intermittent exposure (l-AIE) was conducted between P45 and P65. Significant
decreases in social investigation and social preference were evident in adult
male rats, but not their female counterparts following e-AIE, whereas neither
males nor females demonstrated these alterations following l-AIE. In contrast,
both e-AIE and l-AIE produced alterations in sensitivity to acute ethanol
challenge in males tested 25 days after adolescent exposure. Ethanol-induced
facilitation of social investigation and play fighting, reminiscent of that
normally seen during adolescence, was evident in adult males after e-AIE, whereas
control males showed an age-typical inhibition of social behavior. Males after l
AIE were found to be insensitive to the socially suppressing effects of acute
ethanol challenge, suggesting the development of chronic tolerance in these
animals. In contrast, females showed little evidence for alterations in
sensitivity to acute ethanol challenge following either early or late AIE. The
results of the present study demonstrate a particular vulnerability of young
adolescent males to long-lasting detrimental effects of repeated ethanol.
Retention of adolescent-typical sensitivity to the socially facilitating effects
of ethanol could potentially make ethanol especially appealing to these males,
therefore promoting relatively high levels of ethanol intake later in life.
PMID- 24928794
TI - Altered maternal proportions of long chain polyunsaturated fatty acids and their
transport leads to disturbed fetal stores in preeclampsia.
AB - Our previous cross-sectional studies have shown altered proportions of long chain
polyunsaturated fatty acids (LCPUFA) in preeclampsia (PE) at the end of pregnancy
when the pathology has already progressed. The present longitudinal study for the
first time reports fatty acid proportions from 16th week of gestation till
delivery and placental transport in PE. This is a hospital based study where
women were recruited in early pregnancy. Maternal blood was collected at 3 time
points i.e. T1=16-20th week, T2=26-30th week and T3=at delivery. Cord blood and
placenta were collected at delivery. This study reports data on 140 normotensive
control (NC) and 54 PE women. In PE we report lower proportions of DHA in
maternal plasma at T1, cord plasma and placenta (p<0.05 for all). The mRNA levels
of placental ?5 desaturase, fatty acid transport proteins -1, -4, were lower
(p<0.05 for all) in PE. There was also a positive association between cord and
maternal plasma DHA and total omega-3 fatty acids at T1. This study demonstrates
that women with PE have lower fatty acids stores at 16-20th week of gestation and
lower placental synthesis and transport. It is likely that supplementation of
omega-3 fatty acids during the 16-20th week of gestation may help in improving
fatty acid status in infants born to mothers with PE.
PMID- 24928796
TI - The effect of oxybutynin on cardiac autonomic modulation in healthy subjects.
AB - PURPOSE: The aim of this study was to assess the various doses of oral oxybutynin
on cardiac autonomic modulation by measuring short-term heart rate variability
(HRV) indexes during supine rest position. METHODS: Eight male healthy subjects
(20-23 years) participated in the double-blind crossover randomized study. The
single dose of oxybutynin (2.5, 5 and 10 mg) or placebo was given to the
volunteers in four sessions within 5-day intervals. Before and minutes of 30, 60,
90 and 120 after administration, lead II electrocardiogram (ECG) was recorded for
5 min. ECG extracted RR intervals data became the base of the calculation of time
domain and frequency domain HRV parameters, which indicate cardiac autonomic
activity. Statistical analysis was done by using the nonparametric Wilcoxon and
Kruskal-Wallis tests. RESULTS: The data analysis has revealed that MNN (P <
0.001), SDNN (P < 0.05), PNN50% (P < 0.01), RMSSD (P < 0.001), HFnu (P < 0.05)
and LF/HF ratio (P < 0.05) values were significantly increased relative to
baseline at various time points in all the groups except in placebo group. LFnu
(P < 0.05) values were significantly increased relative to baseline at various
time points in all the groups except in placebo group. CONCLUSIONS: Our findings
have revealed that acute consumption of 2.5, 5 and 10 mg oxybutynin (an
anticholinergic compound) in the juvenile healthy male subjects produces a
cholinergic effect according to time and frequency domain of HRV indexes.
PMID- 24928798
TI - [Not Available].
PMID- 24928799
TI - [Not Available].
PMID- 24928800
TI - [Not Available].
PMID- 24928797
TI - Novel therapeutic approaches in multiple system atrophy.
AB - Multiple system atrophy (MSA) is a sporadic, adult onset, relentlessly
progressive neurodegenerative disease characterized by autonomic abnormalities
associated with parkinsonism, cerebellar dysfunction, pyramidal signs, or
combinations thereof. Treatments that can halt or reverse the progression of MSA
have not yet been identified. MSA is neuropathologically defined by the presence
of alpha-synuclein-containing inclusions, particularly in the cytoplasm of
oligodendrocytes (glial cytoplasmic inclusions, GCIs), which are associated with
neurodegeneration. The mechanisms by which oligodendrocytic alpha-synuclein
inclusions cause neuronal death in MSA are not completely understood. The MSA
neurodegenerative process likely comprises cell-to-cell transmission of alpha
synuclein in a prion-like manner, alpha-synuclein aggregation, increased
oxidative stress, abnormal expression of tubulin proteins, decreased expression
of neurotrophic factors, excitotoxicity and microglial activation, and
neuroinflammation. In an attempt to block each of these pathogenic mechanisms,
several pharmacologic approaches have been tried and shown to exert
neuroprotective effects in transgenic mouse or cellular models of MSA. These
include sertraline, paroxetine, and lithium, which hamper arrival of alpha
synuclein to oligodendroglia; rifampicin, lithium, and non-steroidal anti
inflammatory drugs, which inhibit alpha-synuclein aggregation in
oligodendrocytes; riluzole, rasagiline, fluoxetine and mesenchymal stem cells,
which exert neuroprotective actions; and minocycline and intravenous
immunoglobulins, which reduce neuroinflammation and microglial activation. These
and other potential therapeutic strategies for MSA are summarized in this review.
PMID- 24928801
TI - [Not Available].
PMID- 24928802
TI - Efficacy of a CO2-releasing suppository in dyschezia: a double-blind, randomized,
placebo-controlled clinical trial.
AB - BACKGROUND: Constipation has a significant impact on quality of life. Aim of this
study was to evaluate the safety and the efficacy for relieving dyschezia
symptoms of a CO2-releasing suppository in a randomized, placebo-controlled,
clinical trial. METHODS: Fifty-three office-based primary care physicians and 24
gastroenterologists conducted the study in France, between November 2010 and
January 2012. Patients (aged 18-75 years) with dyschezia were eligible. Patients
were randomly allocated a once-a-day suppository (CO2-releasing suppository or
placebo) for 21 days. Primary endpoint was the change, from Day 0 to Day 21, in
the intensity of discomfort related to dyschezia based on a self-assessed 0-100
visual analogue scale. RESULTS: A total of 323 patients were randomized, i.e. 166
into the intervention group and 157 into the placebo group. Co-variance analysis
showed a greater reduction in discomfort visual analogue scale score in the
intervention group (-34.5mm; standard error of the mean: 1.8mm) than in the
placebo group (-26.2mm; standard error of the mean: 1.9 mm; p<0.001). The greater
efficacy of the CO2-releasing suppository was confirmed for all secondary
efficacy parameters. No significant side effects for either treatment were
observed. CONCLUSION: A CO2-releasing suppository is more effective than a
placebo for the relief of symptoms of dyschezia. This efficacy is associated with
a good safety profile.
PMID- 24928803
TI - Early policy responses to the human papillomavirus vaccine in the United States,
2006-2010.
AB - PURPOSE: To examine the policies state governments pursued and enacted across the
United States in the 5-year period after the U.S. Food and Drug Administration
licensed the human papillomavirus (HPV) vaccine in 2006, including the timing and
number of bills introduced, the policies proposed, and the legislative success of
HPV vaccine policy proposals. METHODS: Content abstraction and analysis of state
level HPV vaccine-related bills across the 50 states and the District of Columbia
introduced between 2006 and 2010. RESULTS: All but five states (Alaska, Delaware,
Idaho, New Hampshire, and Wyoming) introduced HPV vaccine bills between 2006 and
2010. Two-thirds of all bills were introduced in 2007. In all, 141 bills were
introduced and 23% or 32 bills were enacted. Of the bills that were enacted,
43.8% provided information for parents and schools about the vaccine; 37.5%
provided public financing for HPV vaccines; 34.4% were classified as other
policies; 25% created awareness campaigns; 25% required private insurance
coverage of the HPV vaccination; 12.5% included voluntary vaccination, and 9.4%
mandated vaccination for school entry. One bill reversed prior mandatory
vaccination policies. Overall, 91% of enacted HPV vaccine bills did not refer to
mandated vaccinations but adopted alternate policy strategies in response to the
availability of the new HPV vaccine. CONCLUSIONS: Nationwide, states responded to
the new HPV vaccine by introducing policies designed to increase the availability
of information about the vaccine, provide funding, and regulate private insurance
coverage rather than require vaccination for school entry.
PMID- 24928804
TI - School sport participation during adolescence and mental health in early
adulthood.
AB - PURPOSE: This longitudinal study examined the association between participation
in school sport during adolescence and mental health in early adulthood. METHODS:
Adolescents (n = 853) reported participation in school sport in each grade
throughout the 5 years of secondary school. In early adulthood, participants
reported depressive symptoms, level of stress, and self-rated mental health.
RESULTS: Involvement in school sport during adolescence was a statistically
significant predictor of lower depression symptoms, lower perceived stress, and
higher self-rated mental health in young adulthood. CONCLUSIONS: School sport
participation may protect against poor mental health in early adulthood. Policies
to increase school sport participation may be warranted as part of public health
strategies to promote mental health.
PMID- 24928807
TI - WHO and the media: a major impediment to global health?
PMID- 24928806
TI - Initiating dopaminergic treatment in Parkinson's disease.
PMID- 24928808
TI - Gene expression profiling of Drosophila tracheal fusion cells.
AB - The Drosophila trachea is a premier genetic system to investigate the fundamental
mechanisms of tubular organ formation. Tracheal fusion cells lead the branch
fusion process to form an interconnected tubular network. Therefore, fusion cells
in the Drosophila trachea will be an excellent model to study branch fusion in
mammalian tubular organs, such as kidneys and blood vessels. The fusion process
is a dynamic cellular process involving cell migration, adhesion, vesicle
trafficking, cytoskeleton rearrangement, and membrane fusion. To understand how
these cellular events are coordinated, we initiated the critical step to assemble
a gene expression profile of fusion cells. For this study, we analyzed the
expression of 234 potential tracheal-expressed genes in fusion cells during
fusion cell development. 143 Tracheal genes were found to encode transcription
factors, signal proteins, cytoskeleton and matrix proteins, transporters, and
proteins with unknown function. These genes were divided into four subgroups
based on their levels of expression in fusion cells compared to neighboring non
fusion cells revealed by in situ hybridization: (1) genes that have relative high
abundance in fusion cells, (2) genes that are dynamically expressed in fusion
cells, (3) genes that have relative low abundance in fusion cells, and (4) genes
that are expressed at similar levels in fusion cells and non-fusion tracheal
cells. This study identifies the expression profile of fusion cells and
hypothetically suggests genes which are necessary for the fusion process and
which play roles in distinct stages of fusion, as indicated by the location and
timing of expression. These data will provide the basis for a comprehensive
understanding of the molecular and cellular mechanisms of branch fusion.
PMID- 24928809
TI - Expression pattern of class I phosphoinositide 3-kinase and distribution of its
product, phosphatidylinositol-3,4,5-trisphosphate, during Drosophila
embryogenesis.
AB - The class I phosphoinositide 3-kinase (PI3K) can be activated by a large variety
of extracellular stimuli and is responsible for generating phosphatidylinositol
3,4,5-trisphosphate (PI(3,4,5)P(3)) from phosphatidylinositol-4,5-bisphosphate at
the plasma membrane. The expression pattern of the class I PI3K and distribution
of PI(3,4,5)P(3), visualized by its specific binding protein, GRP1-PH, were
examined during Drosophila embryogenesis. We found that the RNA of Pi3K21B,
encoding the Drosophila p60 regulatory subunit of the class I PI3Ks, was
expressed maternally and expressed primarily in pole cells after cellularization
until completion of germ band elongation. The RNA of Pi3K92E, encoding the
Drosophila p110 catalytic subunit of the class I PI3Ks, was also expressed
maternally. During gastrulation, its transcript level became lower and was
slightly enriched in invaginating cells. Both Pi3K21B and Pi3K92E were expressed
ubiquitously after germ band elongation and persisted during germ band
shortening. PI(3,4,5)P(3) was distributed at the apical region of the
invaginating cells during gastrulation. These findings suggest a possible
involvement of class I PI3K and PI(3,4,5)P(3) in the regulation of invagination
during gastrulation.
PMID- 24928810
TI - Verification of surface wave solutions obtained by the reciprocity theorem.
AB - Surface wave motions generated by a time-harmonic point load applied at the
surface of an isotropic linearly elastic half-space are conventionally solved by
the use of integral transform techniques. The inverse transforms, are often
complicated and will not always yield closed-form solutions. In this paper
expressions for the displacements for surface wave motions radiated from point
load excitation are determined in a simple manner by the use of the elastodynamic
reciprocity theorem. It is shown that the radiated amplitudes of the surface
displacements obtained by the reciprocity approach are identical to the
corresponding results obtained by the use of Hankel transform and by Lamb in his
classical paper.
PMID- 24928811
TI - Efficacy of lower-limb muscle training modalities in severely dyspnoeic
individuals with COPD and quadriceps muscle weakness: response from the authors.
PMID- 24928813
TI - A UK-based resource to support the monitoring and safe use of anti-TB drugs and
second-line treatment of multidrug-resistant TB.
AB - Using the best available evidence and expert consensus, this document provides
guidance for adverse effect monitoring in multidrug-resistant TB (MDR-TB). It
includes recommendations for baseline tests, routine drug and toxicity monitoring
guides as well as individual drug monographs for all drugs currently available in
the UK to treat TB. These recommendations provide a structure through which
healthcare professionals can better manage the complex drug regimens required for
the treatment of MDR-TB; minimising the risk of adverse incidents and helping to
improve patients' tolerance, compliance and treatment completion.
PMID- 24928812
TI - Comparison of spatially matched airways reveals thinner airway walls in COPD. The
Multi-Ethnic Study of Atherosclerosis (MESA) COPD Study and the Subpopulations
and Intermediate Outcomes in COPD Study (SPIROMICS).
AB - BACKGROUND: COPD is characterised by reduced airway lumen dimensions and fewer
peripheral airways. Most studies of airway properties sample airways based upon
lumen dimension or at random, which may bias comparisons given reduced airway
lumen dimensions and number in COPD. We sought to compare central airway wall
dimensions on CT in COPD and controls using spatially matched airways, thereby
avoiding selection bias of airways in the lung. METHODS: The Multi-Ethnic Study
of Atherosclerosis (MESA) COPD Study and Subpopulations and Intermediate Outcomes
in COPD Study (SPIROMICS) recruited smokers with COPD and controls aged 50-79
years and 40-80 years, respectively. COPD was defined by current guidelines.
Using CT image data, airway dimensions were measured for all central airway
segments (generations 0-6) following 5 standardised paths into the lungs. Case
control airway comparisons were spatially matched by generation and adjusted for
demographics, body size, smoking, CT dose, per cent emphysema, airway length and
lung volume. RESULTS: Among 311 MESA COPD participants, airway wall areas at
generations 3-6 were smaller in COPD compared with controls (all p<0.001). Among
1248 SPIROMICS participants, airway wall areas at generations 1-6 were smaller
(all p<0.001), and this reduction was monotonic with increasing COPD severity
(p<0.001). In both studies, sampling airways by lumen diameter or randomly
resulted in a comparison of more proximal airways in COPD to more peripheral
airways in controls (p<0.001) resulting in the appearance of thicker walls in
COPD (p<0.02). CONCLUSIONS: Airway walls are thinner in COPD when comparing
spatially matched central airways. Other approaches to airway sampling result in
comparisons of more proximal to more distal airways and potentially biased
assessment of airway properties in COPD.
PMID- 24928814
TI - Trials of home mechanical ventilation in COPD: what have we learnt?
PMID- 24928815
TI - Inferior quality of care and outcomes for acute coronary syndrome with left
anterior hemiblock.
PMID- 24928816
TI - The gift of failure: learning to provide better cardiac care.
PMID- 24928805
TI - Long-term effectiveness of dopamine agonists and monoamine oxidase B inhibitors
compared with levodopa as initial treatment for Parkinson's disease (PD MED): a
large, open-label, pragmatic randomised trial.
AB - BACKGROUND: Whether initial treatment for Parkinson's disease should consist of
levodopa, dopamine agonists, or monoamine oxidase type B inhibitors (MAOBI) is
uncertain. We aimed to establish which of these three classes of drug, as initial
treatment, provides the most effective long-term control of symptoms and best
quality of life for people with early Parkinson's disease. METHODS: In this
pragmatic, open-label randomised trial, patients newly diagnosed with Parkinson's
disease were randomly assigned (by telephone call to a central office; 1:1:1)
between levodopa-sparing therapy (dopamine agonists or MAOBI) and levodopa alone.
Patients and investigators were not masked to group assignment. Primary outcomes
were the mobility dimension on the 39-item patient-rated Parkinson's disease
questionnaire (PDQ-39) quality-of-life scale (range 0-100 with six points defined
as the minimally important difference) and cost-effectiveness. Analysis was
intention to treat. This trial is registered, number ISRCTN69812316. FINDINGS:
Between Nov 9, 2000, and Dec 22, 2009, 1620 patients were assigned to study
groups (528 to levodopa, 632 to dopamine agonist, 460 to MAOBI). With 3-year
median follow-up, PDQ-39 mobility scores averaged 1.8 points (95% CI 0.5-3.0,
p=0.005) better in patients randomly assigned to levodopa than those assigned to
levodopa-sparing therapy, with no increase or attrition of benefit during 7
years' observation. PDQ-39 mobility scores were 1.4 points (95% CI 0.0-2.9,
p=0.05) better in patients allocated MAOBI than in those allocated dopamine
agonists. EQ-5D utility scores averaged 0.03 (95% CI 0.01-0.05; p=0.0002) better
with levodopa than with levodopa-sparing therapy; rates of dementia (hazard ratio
[HR] 0.81, 95% CI 0.61-1.08, p=0.14), admissions to institutions (0.86, 0.63
1.18; p=0.4), and death (0.85, 0.69-1.06, p=0.17) were not significantly
different, but the upper CIs precluded any substantial increase with levodopa
compared with levodopa-sparing therapy. 179 (28%) of 632 patients allocated
dopamine agonists and 104 (23%) of 460 patients allocated MAOBI discontinued
allocated treatment because of side-effects compared with 11 (2%) of 528 patients
allocated levodopa (p<0.0001). INTERPRETATION: Very small but persistent benefits
are shown for patient-rated mobility scores when treatment is initiated with
levodopa compared with levodopa-sparing therapy. MAOBI as initial levodopa
sparing therapy was at least as effective as dopamine agonists. FUNDING: UK
National Institute for Health Research Health Technology Assessment Programme and
UK Department of Health.
PMID- 24928817
TI - Developing CIRCA-BC and exploring the role of the computer as a third participant
in conversation.
AB - The Computer Interactive Reminiscence Conversation Aid (CIRCA) is a software
program using touch screen technology and digital materials from public archives
to support conversation between people with dementia and their carers. In this 2
phase study, we first worked with seniors' focus groups to identify and select
relevant content for a regional adaptation of CIRCA (British Columbia version of
CIRCA [CIRCA-BC]). We then pilot tested CIRCA-BC with 3 participants having
dementia and a conversation partner, analyzing their interactions to explore how
they drew on program content and format to shape their conversations together.
Findings provide insight into, first, how participants' shared and distinct
social histories influence reminiscence-based conversations and, second, how the
computer can be viewed as a third "participant" in the interaction. These
findings offer guidelines for ongoing adaptation and application of the CIRCA
program in addition to contributing further evidence regarding the role of
technology in facilitating meaningful interaction between people with dementia
and their carers.
PMID- 24928818
TI - The value and underutilization of simple reading glasses in geropsychiatry
inpatient settings.
AB - For almost everyone, the need for reading glasses is an inevitable part of the
second half of life. Forgetting one's reading glasses at a restaurant can be a
disturbing reminder of one's dependence on them. For geriatric inpatients,
however, the value of reading glasses in improving quality of life and preventing
delirium may be overlooked. Subsequently, the authors present a brief review of
visual impairment, the relationship of visual impairment and cognition and the
cost of reading glass, and the results of a survey that was conducted to
determine the proportion of inpatient geriatric psychiatry inpatient units in the
United States, which provide reading glasses to inpatients as well as to assess
the knowledge of medical directors of these units regarding the cost and
perceived value of providing reading glasses to hospitalized patients.
PMID- 24928819
TI - Utility of the cognitive difficulties scale and association with objective test
performance.
AB - Subjective memory complaints (SMCs) are commonly noted in memory disorder clinic
patients. The present study sought to examine the presence of SMCs on the
Cognitive Difficulties Scale (CDS) in older adults and to examine the
relationship between CDS scores and current cognitive ability. Participants were
50 adults diagnosed with possible/probable Alzheimer's disease (AD), 100 with
amnestic mild cognitive impairment (MCI) and 84 cognitively healthy controls
(HCs). Participants completed a neuropsychological evaluation and the self- and
informant-reported CDS. Results indicated that greater self-reported SMCs were
noted in the group with MCI ; however, self-reported CDS scores were associated
with cognition in HCs only. Informant-reported CDS scores were predictive of
cognitive ability in the diagnosis of MCI but not AD, indicating the importance
of obtaining caregiver report in the evaluation of memory disorders. As AD is a
neurodegenerative disorder, SMCs lose value in determining degree of cognitive
impairment as disease stage increases.
PMID- 24928820
TI - Cognitive impairment among elderly individuals in Shanghai suburb, China:
association of C-reactive protein and its interactions with other relevant
factors.
AB - OBJECTIVES: To investigate the association between serum C-reactive protein (CRP)
concentration and cognitive impairment as well as interactions between CRP and
other relevant factors. METHODS: Patients with cognitive impairment and 1 to 2
age- and sex-matched controls nested from a population-based study among
residents aged 60 years and older in Shanghai suburb. The associations of serum
CRP concentration and other relevant factors were examined with logistic
regression analysis. RESULTS: The mean CRP in patients with cognitive impairment
was higher than that in controls (P < .001). The highest quartile of CRP (>4.77
mg/L), abdomen obesity, hypertriglyceridemia, and hyperglycemia was associated
with cognitive impairment. Significant interactions were found between increased
CRP and hypertriglyceridemia as well as between increased CRP and hyperglycemia
on cognitive impairment; and the attributable proportion due to interaction was
82% (P < .0001) and 37% (P = .007), respectively. CONCLUSIONS: Increased CRP was
associated with cognitive impairment, and additive effects of increased CRP with
hypertriglyceridemia and hyperglycemia on cognitive impairment were observed
among elderly individuals.
PMID- 24928821
TI - Hypointense signal lesions of the articular cartilage: a review of current
concepts.
AB - Discussion of articular cartilage disease detection by MRI usually focuses on the
presence of bright signal on T2-weighted sequences, such as in Grade 1
chondromalacia and cartilage fissures containing fluid. Less emphasis has been
placed on how cartilage disease may be manifested by dark signal on T2-weighted
sequences. The appearance of the recently described "cartilage black line sign"
of the femoral trochlea highlights these lesions and further raises the question
of their etiology. We illustrate various hypointense signal lesions that are not
restricted to the femoral trochlea of the knee joint and discuss the possible
etiologies for these lesions.
PMID- 24928822
TI - Is any landmark reliable in vertebral enumeration? A study of 3.0-Tesla lumbar
MRI comparing skeletal, neural, and vascular markers.
AB - PURPOSE: This study aimed to determine the reliability of the iliolumbar ligament
(ILL), 12th costa, aortic bifurcation (AB), right renal artery (RRA), and conus
medullaris (CM) for numbering of vertebral segments. SUBJECTS AND METHODS: Five
hundred five patients underwent routine lumbar MRI examinations including a
cervicothoracic sagittal scout and T1 and T2-weighted sagittal and axial turbo
spin echo images. Images were evaluated by two radiologists separately. RESULTS:
The identifiability of ILL and 12th costa were 85.7% and 48.1%. AB, RRA, and CM
were located more caudally in lumbarized S1 and more cranially in sacralized L5
cases. CONCLUSION: Landmarks suggested by previous studies are not reliable
alternatives to cervicothoracic scout images due to wide ranges of distribution
and inconsistencies in identification.
PMID- 24928823
TI - Clinical consequences of an indeterminate CT pulmonary angiogram in cancer
patients.
AB - Our aim was to evaluate clinical management and outcomes in cancer patients who
had an indeterminate Computed Tomographic Pulmonary Angiogram (CTPA) for the
assessment of pulmonary embolus. We reviewed 1000 CTPA studies and identified 251
limited (indeterminate) CTPA. We examined follow-up imaging and reviewed clinical
management decisions and any positive diagnosis of venous thromboembolic disease
(VTE) within the subsequent 90 days. 60 patients (23.9%) had a follow-up imaging
study within five days. 8 had a positive study for VTE disease within 5 days. 3
patients (1.2%) were placed on anticoagulation therapy based on the limited CT
result.
PMID- 24928824
TI - Medicinal plants used in malaria treatment by Prometra herbalists in Uganda.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The aim of the survey was to document medicinal
plants used in malaria treatment by Prometra (Promocion de la medicina
tradicional amazonica) Traditional Medical Practitioners (TMPs) of Uganda and for
search of new antiplasmodial herbal medicines (HMs) for further phytochemical
analysis. MATERIALS AND METHODS: In this study, semi structured guided open and
close ended questionnaires were used. Focus group discussions were conducted and
key informants were chosen within the TMPs who helped in further discussions of
how the herbal remedies where collected, prepared and administered. RESULTS: A
sample size of 51 respondents was randomly selected among the TMPs with the help
of their leader. 86 species distributed over 81 genera and 39 families were
reportedly being used as herbal remedies in malaria treatment. The TMPs use
symptoms like high temperature, shivering, among others in malaria diagnosis an
indication that they understand malaria. They emphasized the use of leaves and
bark in treatment because they can regenerate and therefore ensure sustainable
use of plants rather than the use of roots which would be destructive. These TMPS
treat and also advise their patients on preventive measures against malaria
attacks like sleeping under mosquito nets, clearing bushes near homesteads, among
others which is an indication that they help in the prevention and mitigation of
malaria incidences and prevalence in the areas where they live. The Informant
Consensus Factor (FIC) value of 0.8 demonstrated that the TMPs of Prometra-Uganda
tend to agree with each other in terms of the plant species they use in malaria
treatment an indication of quality control in as far as administration of the
herbal remedies. Vernonia amygdalina Delile, Bidens pilosa L., Justicia betonica
L. were highly cited as being used in malaria treatment with frequencies of
mention of 38, 28 and 25, respectively. CONCLUSION: TMPs of Prometra-Uganda
understand and treat malaria using the available plant diversity from their huge
forest and the herbal gardens within Buyija forest. The healers are very keen at
plant conservation which is a good practice. Species like Justica betonica may be
investigated further for antiplasmodial assays to justify its efficacy.
PMID- 24928825
TI - Bidirectional effects of methanol extract of Wei-Chang-An pill on
gastrointestinal transit and the spasmolytic activity on isolated rat jejunum.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Wei-Chang-An pill (WCA pill), a traditional
Chinese medicine, has been used for treating various gastrointestinal diseases
for several decades. Despite the popular medicinal use of WCA pill, less data was
available to its activity and mechanism in gastrointestinal disorders. To examine
the effects of the methanol extract of WCA pill (ME) on gastrointestinal tract so
as to assess some of the possible mechanisms involved in the clinical treatment.
MATERIALS AND METHODS: ME was studied on gastrointestinal transit in vivo
including gastric emptying and small intestinal motility in normal and
neostigmine-induced mice, as well as on the isolated tissue preparations of rat
jejunum in vitro. RESULTS: In vivo, the gastric emptying decreased and intestinal
transit increased after administration of ME in normal mice. However,
administration of ME accelerated the intestinal transit ranging from 0.01 to 0.8
mg/mL and reduced it at the concentration of 1.6 and 3.2 mg/mL, while the gastric
emptying was inhibited throughout the concentrations in neostigmine-induced mice.
in vitro, ME caused inhibitory effect on the spontaneous contraction of rat
isolated jejunum in dose-dependent manner ranging from 0.01 to 6 mg/mL and also
relaxed the acetylcholine chloride (Ach, 10(-6) M)-induced and K+ (60 mM)-induced
contractions. ME shifted the Ca2+ concentration-response curves to right, similar
to that caused by verapamil (0.025 mM). CONCLUSIONS: These results indicated that
ME might play a bidirectional role in gastrointestinal transit modulation and the
effects on isolated tissue are probably mediated through calcium influx and
muscarinic receptors, which provides pharmacological basis for the clinical use
of WCA pill in gastrointestinal tract disorders.
PMID- 24928826
TI - Antinociceptive and anti-inflammatory activity of Sambucus palmensis link, an
endemic Canary Island species.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Sambucus palmensis Link is an endemic species of
the Canary Islands, popularly known as "Sauco canario". This species has wide use
in folk medicine practice on the islands, especially as analgesic and anti
inflammatory. So the aim of our study is to evaluate the antinociceptive and anti
inflammatory activity of an aqueous extract of this species. MATERIALS AND
METHODS: Three aqueous extract doses (35, 52.5 and 70 mg/kg) were orally
administered to laboratory Swiss mice obtained from the Central Animal House of
La Laguna University. Writhing responses induced by phenylquinone, formalin
induced paw pain response, tail-flick test and paw edema induced by carrageenan
were evaluated in this work. RESULTS: Oral pretreatment with 52.5 and 70 mg/kg
Sambucus palmensis aqueous extract significantly reduced the writhing number
induced by phenylquinone injection (61.64 and 89.04% respectively), and the pain
response in the first (36.67 and 38.89%) and second (57.28 and 70.1%) phases
respectively of the formalin test. Sambucus palmensis had a very slight effect on
tail-flick test and inhibited moderately the edema formation induced by
carrageenan in mice. CONCLUSIONS: These data show for the first time that
Sambucus palmensis has a significant antinociceptive effect that seems to be more
peripherical than central. Sambucus palmensis also displays a moderate anti
inflammatory activity in an acute inflammation model. These results support the
widespread use of Sambucus palmensis in popular medicine to treat pain and
inflammation.
PMID- 24928827
TI - Inhibition of the DHT-induced PSA secretion by Verbascum xanthophoeniceum and
Serenoa repens extracts in human LNCaP prostate epithelial cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Verbascum xanthophoeniceum is a mullein plant,
typical of Balkan region and some parts of Turkey, traditionally used as
phytotherapeutic agent due to its anti-inflammatory properties. It is rich in
phenylethanoid and iridoid metabolites whose anti-inflammatory properties are
under characterization. MATERIALS AND METHODS: The role of Verbascum
xanthophoeniceum crude methanolic extract and its isolated phenylethanoid
glycoside verbascoside have been evaluated, in comparison to a saw palmetto
extract, on a human in vitro model of androgen-regulated prostate epithelium, the
LNCaP cell line. Cytotoxicity and DHT-induced free and total PSA secretion have
been thoroughly studied. RESULTS: We have found that similar to saw palmetto,
Verbascum xanthophoeniceum extract and its isolated phenylethanoid glycoside
verbascoside have no cytotoxicity in human LNCaP prostate epithelial cells,
whereas an inhibitory effect on the DHT-induced free and total PSA secretion, a
recognized anti-androgen like activity, has been shown in case of both Verbascum
xanthophoeniceum extract and pure verbascoside. Furthermore, in the absence of
the endogenous androgen DHT, an androgen-like activity in Verbascum
xanthophoeniceum is detectable as it is for saw palmetto, suggesting that a mixed
androgen-antiandrogen activity is present. CONCLUSIONS: For the first time,
Serenoa repens and Verbascum xanthophoeniceum extracts have shown an absence of
cytotoxicity and an inhibitory effect on DHT-induced PSA secretion in an in vitro
model of human prostate epithelium, whereas the phenylethanoid glycoside
verbascoside appeared to explain only part of the Verbascum xanthophoeniceum
inhibitory activity on PSA secretion.
PMID- 24928828
TI - Traditional Chinese herbs as chemical resource library for drug discovery of anti
infective and anti-inflammatory.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Infection is a major group of diseases which
caused significant mortality and morbidity worldwide. Traditional Chinese herbs
have been used to treat infective diseases for thousands years. The numerous
clinical practices in disease therapy make it a large chemical resource library
for drug discovery. MATERIALS AND METHODS: In this study, we collected 1156 kinds
of herbs and 22,172 traditional Chinese medicinal compounds (Tcmcs). The chemical
informatics and network pharmacology were employed to analyze the anti-infective
effects of herbs and Tcmcs. In order to evaluate the drug likeness of Tcmcs, the
molecular descriptors of Tcmcs and FDA-approved drugs were calculated and the
chemical space was constructed on the basis of principal component analysis in
the eight descriptors. On purpose to estimate the effects of Tcmcs to the targets
of FDA-approved anti-infective or anti-inflammatory drugs, the molecular docking
was employed. After that, docking score weighted predictive models were used to
predict the anti-infective or anti-inflammatory efficacy of herbs. RESULTS: The
distribution of herbs in the phylogenetic tree showed that most herbs were
distributed in family of Asteraceae, Fabaceae and Lamiaceae. Tcmcs were well
coincide with drugs in chemical space, which indicated that most Tcmcs had good
drug-likeness. The predictive models obtained good specificity and sensitivity
with the AUC values above 0.8. At last, 389 kinds of herbs were obtained which
were distributed in 100 families, by using the optimal cutoff values in ROC
curves. These 389 herbs were widely used in China for treatment of infection and
inflammation. CONCLUSION: Traditional Chinese herbs have a considerable number of
drug-like natural products and predicted activities to the targets of approved
drugs, which would give us an opportunity to use these herbs as a chemical
resource library for drug discovery of anti-infective and anti-inflammatory.
PMID- 24928829
TI - Antigenotoxic and antioxidant effects of the Mongolian medicinal plant Leptopyrum
fumarioides (L): an in vitro study.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Leptopyrum fumarioides has been used in the
traditional medicine of Mongolia for the treatment of various diseases, including
drug intoxications. However, since there is only sparse information about its
chemistry, active components, and pharmacological and toxicological effects, the
major aim of the present study employing mouse lymphoma cells was to evaluate the
genotoxic and antigenotoxic/antioxidative effects of extracts and components
isolated from this plant. MATERIAL AND METHODS: A crude methanol extract was
separated into three different sub-extracts: dichloromethane, n-butanol, and
water. The major constituent of the n-butanol extract, i.e., the flavone luteolin
7-O-glucoside and a mixture of the most abundant compounds in the dichloromethane
sub-extract were then isolated. DNA damage was evaluated using the comet assay;
the antioxidant activity was evaluated using the DPPH radical scavenging assay.
RESULTS: The crude methanol extract, the dichloromethane sub-extract and the
mixture of compounds isolated from the latter fraction, increased the level of
DNA damage after three hours of exposure. In contrast, no increase in DNA damage
was observed in the cells that had been exposed to the n-butanol and water sub
extracts, or to the pure flavone. When non-DNA damaging concentrations of
extracts and compounds were tested together with the DNA damaging agent catechol,
all sub-extracts were found to reduce the catechol-induced DNA damage (the
flavone was then found to be the most effective protective agent). The n-butanol
sub-extract and the flavone were also found to have the most prominent
antioxidative effects. CONCLUSION: Based on the results from the present study,
components in Leptopyrum fumarioides were found to protect the DNA damage induced
by catechol, probably by acting as potent antioxidants.
PMID- 24928830
TI - Tacrolimus is an effective treatment for lupus nephritis in pregnancy.
AB - Lupus nephritis during pregnancy increases morbidity and mortality for mother and
baby. Flares are difficult to treat as many therapeutic options are teratogenic
or fetotoxic. Steroids alone may be unable to control disease activity and are
associated with higher rates of preterm delivery, sepsis and gestational
diabetes. Reports of using tacrolimus to treat lupus nephritis in pregnancy are
limited. We describe the pregnancies of nine women in whom tacrolimus was
successfully used to treat lupus nephritis flare (six patients) or maintain
stable disease (three patients). Introduction or dose escalation of oral steroids
was avoided in five of the patients who developed active disease and steroid dose
was rapidly reduced in the sixth patient. All women with disease flare attained
partial or complete remission after starting tacrolimus. None of the women on
maintenance treatment developed active disease. We propose tacrolimus as an
effective adjuvant or alternative therapy to steroids for treating lupus
nephritis flare or maintaining stable disease during pregnancy.
PMID- 24928831
TI - Age-specific prevalence of diagnosed systemic lupus erythematosus in Germany 2002
and projection to 2030.
AB - OBJECTIVE: The objective of this report is to estimate the prevalence and future
number of cases of systemic lupus erythematosus (SLE) in Germany. METHODS: Data
from a representative sample of all insurants from the statutory health insurance
in Germany comprising more than 2.3 million individuals have been screened for
SLE diagnoses. The gender- and age-specific prevalence of SLE is calculated. The
case definition is based on at least one recorded diagnosis of SLE during 2002.
The stratum-specific prevalence is applied to the current and the future
population of Germany in order to estimate and predict the number of people with
SLE until 2030. RESULTS: The overall prevalence of diagnosed SLE in 2002 was 15.4
(95% CI: 13.1-17.9) and 55.4 (51.4, 59.8) per 100,000 in the male and female
German population. This corresponds to an estimated 30,000 and 31,000 people with
diagnosed SLE in 2002 and 2010, respectively. This number will slightly increase
until 2020 and decrease thereafter. CONCLUSIONS: Compared with health insurance
data from France, the prevalence in our data is similar. Under the assumption
that the gender- and age-specific prevalence of SLE in Germany will not change
considerably, the number of cases in the next two decades will change only
slightly.
PMID- 24928832
TI - A randomized, phase II study of afatinib versus cetuximab in metastatic or
recurrent squamous cell carcinoma of the head and neck.
AB - BACKGROUND: Afatinib is an oral, irreversible ErbB family blocker that has shown
activity in epidermal growth factor receptor (EGFR)-mutated lung cancer. We
hypothesized that the agent would have greater antitumor activity compared with
cetuximab in recurrent or metastatic (R/M) head and neck squamous cell carcinoma
(HNSCC) patients, whose disease has progressed after platinum-containing therapy.
PATIENTS AND METHODS: An open-label, randomized, phase II trial was conducted in
43 centers; 124 patients were randomized (1 : 1) to either afatinib (50 mg/day)
or cetuximab (250 mg/m(2)/week) until disease progression or intolerable adverse
events (AEs) (stage I), with optional crossover (stage II). The primary end point
was tumor shrinkage before crossover assessed by investigator (IR) and
independent central review (ICR). RESULTS: A total of 121 patients were treated
(61 afatinib, 60 cetuximab) and 68 crossed over to stage II (32 and 36
respectively). In stage I, mean tumor shrinkage by IR/ICR was 10.4%/16.6% with
afatinib and 5.4%/10.1% with cetuximab (P = 0.46/0.30). Objective response rate
was 16.1%/8.1% with afatinib and 6.5%/9.7% with cetuximab (IR/ICR). Comparable
disease control rates were observed with afatinib (50%) and cetuximab (56.5%) by
IR; similar results were seen by ICR. Most common grade >=3 drug-related AEs
(DRAEs) were rash/acne (18% versus 8.3%), diarrhea (14.8% versus 0%), and
stomatitis/mucositis (11.5% versus 0%) with afatinib and cetuximab, respectively.
Patients with DRAEs leading to treatment discontinuation were 23% with afatinib
and 5% with cetuximab. In stage II, disease control rate (IR/ICR) was 38.9%/33.3%
with afatinib and 18.8%/18.8% with cetuximab. CONCLUSION: Afatinib showed
antitumor activity comparable to cetuximab in R/M HNSCC in this exploratory phase
II trial, although more patients on afatinib discontinued treatment due to AEs.
Sequential EGFR/ErbB treatment with afatinib and cetuximab provided sustained
clinical benefit in patients after crossover, suggesting a lack of cross
resistance.
PMID- 24928833
TI - SNPs in the transforming growth factor-beta pathway as predictors of outcome in
advanced lung adenocarcinoma with EGFR mutations treated with gefitinib.
AB - BACKGROUND: The aim of this study was to evaluate whether genetic variations in
the transforming growth factor-beta (TGF-beta) pathway influenced clinical
outcome of advanced lung adenocarcinoma with epidermal growth factor receptor
(EGFR) mutations treated with gefitinib. PATIENTS AND METHODS: Two hundred six
patients with advanced lung adenocarcinomas were enrolled in this study. EGFR
mutation in these tumors was detected. Among them, 106 patients with EGFR
mutation and 37 of 100 patients with wild type were treated with gefitinib.
Genotype of 33 single-nucleotide polymorphisms (SNPs) from 13 genes involved in
the TGF-beta signaling pathway was determined, and their association with
survival time was analyzed. Univariate and multivariate analyses were carried out
to assess the role of biological/clinical parameters in progression-free survival
(PFS) and overall survival (OS) using Pearson's chi(2) test, log-rank test, and
Cox proportional hazards model. RESULTS: Among SNPs analyzed, multivariate
analysis showed the cytidylate and thymidine (CT) genotype of SMAD3: rs11632964
was associated with a longer OS and PFS when the entire cohort of 143 patients
were included; the association was significant in the patients with EGFR mutant
tumors (30.8 versus 17.5 months; log-rank P = 0.020; and 20.8 versus 9.4 months;
log-rank P = 0.001), when compared with patients with wild-type EGFR tumors. In
patients with mutant EGFR, the CT genotype of SMAD3: rs11071938 and the
cytidylate and cytidylate genotype of SMAD3: rs6494633 were also found to be
associated with better PFS. Dual luciferase reporter assays showed gefitinib
resistant PC9/G cells transfected with SMAD3: rs11632964T allelic reporter
construct showed significantly lower luciferase activities compared with cells
expression C allelic reporter construct. There was significantly decreased
expression of SMAD3 and pi-SMAD3 in the PC-9/G cells compared with PC-9.
CONCLUSIONS: Among the candidate genes involved in the TGF-beta pathway, the
polymorphisms of SMAD3 appear to be highly predictive of outcome of patients with
lung adenocarcinoma after gefitinib treatment, especially in those with EGFR
mutations.
PMID- 24928835
TI - Palliative Oncology: Denosumab.
AB - Bone metastases cause devastating clinical complications leading patients to have
pain, poor quality of life, loss of mobility, and autonomy. Complications from
osseous metastases cause a big economic burden reflected by repeated admissions
for uncontrolled symptoms. Management of symptoms associated with bone metastasis
includes systemic analgesics, glucocorticoids, radiation (external beam radiation
and radiopharmaceuticals), ablative techniques (radiofrequency ablation and
cryoablation), chemotherapeutic agents, hormonal therapies, interventional
techniques (eg, kyphoplasty), and surgical approaches. Bisphosphonates have
become a standard therapy for bony metastasis. They bind to bone eventually
inhibiting osteoclast action. Bisphosphonates decrease fractures when given
routinely. Adverse effects of bisphosphonates include osteonecrosis of the jaw
and renal insufficiency. Late last year, the Food and Drug Administration
approved denosumab to prevent skeletal-related events (SREs) associated with
metastatic solid tumors. This drug is a monoclonal antibody that inhibits the
receptor activator of nuclear factor kappaB (RANK)-RANK ligand interaction.
Clinical trials have shown superiority over bisphosphonates for the prevention of
SREs. This article reviews the mechanism of action, pharmacology, adverse
effects, and clinical trial evidence for this new drug.
PMID- 24928834
TI - Optimization of rituximab for the treatment of DLBCL (I): dose-dense rituximab in
the DENSE-R-CHOP-14 trial of the DSHNHL.
AB - BACKGROUND: To improve outcome of elderly patients with diffuse large B-cell
lymphoma, dose-dense rituximab was evaluated in the prospective DENSE-R-CHOP-14
trial. PATIENTS AND METHODS: Rituximab (375 mg/m(2)) was given on days 0, 1, 4,
8, 15, 22, 29, 43, 57, 71, 85, and 99 together with six CHOP-14 cycles. Results
were to be compared with patients who had received the same chemotherapy in
combination with eight 2-week applications of rituximab in RICOVER-60. RESULTS:
One hundred twenty-four patients are assessable. Dose-dense rituximab resulted in
considerably higher serum levels during the first 50 days of treatment, but
rituximab exposure time was not prolonged. Grade 3 and 4 infections were
exceptionally high in the first 20 patients without anti-infective prophylaxis,
but decreased after introduction of prophylaxis with aciclovir and cotrimoxazole
in the remaining 104 patients (from 13% to 6% per cycle and from 35% to 18% per
patient; P = 0.007 and P = 0.125, respectively). Patients with international
prognostic index = 3-5 had higher complete response/complete response unconfirmed
rates (82% versus 68%; P = 0.033) than in the respective RICOVER-60 population,
but this did not translate into better long-term outcome, even though male hazard
was decreased (event-free survival: from 1.5 to 1.1; progression-free survival:
from 1.7 to 1.1; overall survival: from 1.4 to 1.0). CONCLUSIONS: Dose-dense
rituximab achieved higher rituximab serum levels, but was not more effective than
eight 2-week applications in the historical control population, even though minor
improvements in poor-prognosis and male patients cannot be excluded. The
increased, though manageable toxicity, precludes its use in routine practice. Our
results strongly support anti-infective prophylaxis with aciclovir and
cotrimoxazole for all patients receiving R-CHOP.
PMID- 24928836
TI - Caregivers Confronted With the Withdrawal of Artificial Nutrition at the End of
Life: Prevalence of and Reasons for Experienced Difficulties.
AB - BACKGROUND: Withdrawing artificial nutrition in palliative care is an issue that
often leads to ethical dilemmas among health care providers, despite clinical
guidelines. OBJECTIVES: To describe the experience of health care providers
confronted with the withdrawing of artificial nutrition at the end of life and
identifying the factors related to the level of ethical dilemmas. METHODS: Cross
sectional survey questionnaire of all the nurses and nurses' aides working in
medicine, surgery, and palliative care departments of a regional hospital and who
have already been confronted with the withdrawal of artificial nutrition.
RESULTS: Of 818 questionnaires sent, 274 were returned (response rate 33.5%); 60%
(163) of the care providers who responded were involved in withdrawing artificial
nutrition at the end of life. Among these, 42 (25.8%) had always or often been
affected with ethical dilemmas, and 97 (60%) responded that withdrawing
artificial nutrition had always or often been preceded by a multidisciplinary
discussion. Items significantly associated with a high level of ethical dilemmas
were (1) existence of differences in opinion within the health care team, (2)
lack of information regarding the indication of the withdrawal of artificial
nutrition, (3) feeling uncomfortable with the patient and his or her relatives,
(4) guilt, (5) feeling of abandonment of care, and (6) uneasiness. CONCLUSION:
Health care providers seem to have a lack of information and consensus regarding
the withdrawal of artificial nutrition at the end of life. The ethical dimension
of withdrawing artificial nutrition in palliative care has a strong impact on
care providers, regardless of the circumstances of the withdrawal.
PMID- 24928837
TI - Students, Severe Illness, and Palliative Care: Results From a Pilot Study on a
School-Based Intervention.
AB - This study was aimed at piloting a school-based intervention on severe illness,
within a project focused on spreading knowledge of palliative care among high
school students (phases 0-2 Medical Research Council Framework). The intervention
entailed the screening of a topic-related movie, 2 classroom meetings, and the
development of a class-based multimedia production. Five classes from 5 high
schools participated in this study, and a before-after evaluation was used to
assess intervention feasibility and impact. Valid questionnaires were filled in
by 84% (before) and 79% (after) of the 89 students. Concerning students'
knowledge on palliative care, the after evaluation showed a significantly higher
paired proportions of students reporting on "improving patient quality of life"
and "life-threatening illness." Intervention components were deemed helpful by
students, and positive feedback on the experience was given.
PMID- 24928838
TI - A Spontaneous CNV Model Provides New Tool to Understand AMD.
PMID- 24928841
TI - A novel evidence-based detection of undiagnosed spondyloarthritis in patients
presenting with acute anterior uveitis: the DUET (Dublin Uveitis Evaluation
Tool).
AB - BACKGROUND: To date, there are no formal guidelines or referral pathways for
acute anterior uveitis (AAU) patients developed or endorsed by any international
or national societies. The objective of our study was to develop and validate an
assessment algorithm for referral from ophthalmologists of appropriate AAU
patients to rheumatology that will aid the early diagnosis of the
spondyloarthropathy (SpA). METHODS: All consecutive patients attending the
emergency department of local ophthalmology hospital with AAU, but who did not
have a known diagnosis of SpA, were eligible to participate in this study.
Patients with any other known cause of AAU were excluded. Two independent cohorts
were enrolled. Test algorithm and Dublin Uveitis Evaluation Tool (DUET) algorithm
(revised form of test algorithm) were used in these cohorts to identify patients
as SpA suspects and non-SpA controls, respectively. RESULTS: STUDY PHASE-1.
ALGORITHM DEVELOPMENT COHORT (n=101): After rheumatologic evaluation of the
entire cohort, 41.6% (n=42) had undiagnosed SpA. Our test algorithm was noted to
have: sensitivity 100% and specificity 53.5%. Further regression analysis
resulted in the development of the DUET algorithm which made the following
improvements: sensitivity 95%, specificity 98%, positive likelihood ratio (LR)
56.19, and negative LR 0.04. STUDY PHASE-2. DUET ALGORITHM VALIDATION COHORT
(n=72): After rheumatologic evaluation of the cohort, 40% (n=29) were diagnosed
with SpA, with the following performance of DUET algorithm-sensitivity 96%,
specificity 97%, positive LR 41.5 and negative LR 0.03. CONCLUSIONS:
Approximately 40% of patients presenting with idiopathic AAU have undiagnosed
SpA. A simple to apply algorithm is described with excellent sensitivity and
specificity.
PMID- 24928843
TI - Gareth Thomas (9 August 1932-6 February 2014).
PMID- 24928840
TI - Genome-wide association and functional studies identify a role for IGFBP3 in hip
osteoarthritis.
AB - OBJECTIVES: To identify genetic associations with hip osteoarthritis (HOA), we
performed a meta-analysis of genome-wide association studies (GWAS) of HOA.
METHODS: The GWAS meta-analysis included approximately 2.5 million imputed HapMap
single nucleotide polymorphisms (SNPs). HOA cases and controls defined
radiographically and by total hip replacement were selected from the Osteoporotic
Fractures in Men (MrOS) Study and the Study of Osteoporotic Fractures (SOF) (654
cases and 4697 controls, combined). Replication of genome-wide significant SNP
associations (p <=5*10(-8)) was examined in five studies (3243 cases and 6891
controls, combined). Functional studies were performed using in vitro models of
chondrogenesis and osteogenesis. RESULTS: The A allele of rs788748, located 65 kb
upstream of the IGFBP3 gene, was associated with lower HOA odds at the genome
wide significance level in the discovery stage (OR 0.71, p=2*10(-8)). The
association replicated in five studies (OR 0.92, p=0.020), but the joint analysis
of discovery and replication results was not genome-wide significant (p=1*10(
6)). In separate study populations, the rs788748 A allele was also associated
with lower circulating IGFBP3 protein levels (p=4*10(-13)), suggesting that this
SNP or a variant in linkage disequilibrium could be an IGFBP3 regulatory variant.
Results from functional studies were consistent with association results.
Chondrocyte hypertrophy, a deleterious event in OA pathogenesis, was largely
prevented upon IGFBP3 knockdown in chondrocytes. Furthermore, IGFBP3
overexpression induced cartilage catabolism and osteogenic differentiation.
CONCLUSIONS: Results from GWAS and functional studies provided suggestive links
between IGFBP3 and HOA.
PMID- 24928848
TI - The effect of coach and player injury knowledge, attitudes and beliefs on
adherence to the FIFA 11+ programme in female youth soccer.
AB - BACKGROUND: Injury knowledge and beliefs influence uptake of prevention
programmes, but the relationship between knowledge, beliefs and adherence remains
unclear. AIM: To describe injury knowledge and beliefs among youth female soccer
coaches and players, and to identify the relationship between these factors,
different delivery strategies of the FIFA 11+ programme and adherence. METHODS: A
subcohort analysis from a cluster-randomised controlled trial of 31 female soccer
teams (coaches n=29, players (ages 13-18) n=258). Preseason and postseason
questionnaires were used to assess knowledge and beliefs. Teams recorded FIFA 11+
adherence during the season. RESULTS: At baseline, 62.8% (95% CI 48.4% to 77.3%)
of coaches and 75.8% (95% CI 71.5% to 80.1%) of players considered 'inadequate
warm-up' a risk factor for injury. There was no effect of delivery method
(OR=1.1; 95% CI 0.8 to 1.5) or adherence (OR=1.0; 95% CI 0.9 to 1.1) on this
belief. At baseline, 13.8% (95% CI 1.3% to 26.4%) of coaches believed a warm-up
could prevent muscle injuries, but none believed it could prevent knee and ankle
injuries. For players, 9.7% (95% CI 6.1% to 13.3%), 4.7% (95% CI 2.1% to 7.3%)
and 4.7% (95% CI 2.1% to 7.3%) believed a warm-up would prevent muscle, knee and
ankle injuries, respectively. Years of playing experience were negatively
associated with high adherence for coaches (OR=0.93; 0.88 to 0.99) and players
(OR=0.92; 0.85 to 0.98). CONCLUSIONS: There were gaps in injury knowledge and
beliefs, which differed for coaches and players. Beliefs did not significantly
affect adherence to the FIFA 11+, suggesting additional motivational factors
should be considered.
PMID- 24928849
TI - In vitro and in vivo activity of the low-immunogenic antimesothelin immunotoxin
RG7787 in pancreatic cancer.
AB - Pancreatic ductal adenocarcinoma (PDAC) has a dismal prognosis, and new therapies
are needed. RG7787 is a novel low-immunogenic antimesothelin recombinant
immunotoxin (RIT), engineered to overcome the limitations of SS1P, a RIT now in
clinical trials. In vitro activity was evaluated on five established PDAC cell
lines (KLM-1, AsPC-1, BxPC-3, Panc 3.014, and PK-1) and on PDAC cells directly
established from a patient tumor (GUMC108). RG7787 had subnanomolar IC50s in most
cell lines, and was significantly more active than SS1P in GUMC108, KLM-1, and
Panc 3.014 cells. GUMC108 was most sensitive, with RG7787 killing >99% of the
cells. In a subcutaneous KLM-1 xenograft mouse model, two cycles of 3 * 2.5 mg/kg
RG7787 QOD combined with two cycles of 1 * 50 mg/kg paclitaxel induced near
complete responses, with all tumors regressing below 5 mm(3) within 30 days after
therapy was initiated (>95% decrease) and no significant growth increase for at
least another 3 weeks. RG7787 alone gave limited but significant regressions and
paclitaxel by itself arrested tumor growth. Quantifying the uptake of Alexa Fluor
647-labeled RG7787 in tumors showed that the RIT reached only 45% of KLM-1 cells,
accounting in part for the limited responses. Paclitaxel did not improve RG7787
uptake, which thus cannot explain the beneficial effect of the combination
therapy. In conclusion, RG7787 has high cytotoxic activity on PDAC cell lines as
well as on primary patient cells. In vivo, this novel RIT gives durable near
complete tumor responses when combined with paclitaxel. RG7787 merits further
evaluation for the treatment of PDAC.
PMID- 24928850
TI - Expression of the miR200 family of microRNAs in mesothelial cells suppresses the
dissemination of ovarian cancer cells.
AB - The TGFbeta-mediated alteration of the tumor microenvironment plays a crucial
role in tumor progression. Mesothelial cells are the primary components of the
tumor microenvironment for ovarian cancer cells; however, the exact role of
TGFbeta-stimulated mesothelial cells in ovarian cancer progression remains
uncertain. In this report, we examined the effects of TGFbeta-treated mesothelial
cells on ovarian cancer progression. We show that TGFbeta-stimulated human
primary mesothelial cells (HPMC) are able to promote cancer cell attachment and
proliferation and the activation of the promoter activities of MMP-2 and MMP-9,
which are metalloproteinases necessary for tumor invasion. Expression of the
miR200 family was downregulated in HPMCs by TGFbeta stimulation, and restoration
of the expression of miR200 family members in HPMCs suppressed cancer cell
attachment and proliferation. Downregulation of the miR200 family by TGFbeta
induced fibronectin 1 production, which promoted cancer cell attachment to HPMCs.
Finally, we demonstrated that the delivery of the miR200s to mesothelial cells in
mice inhibited ovarian cancer cell implantation and dissemination. Our results
suggest that alteration of the tumor microenvironment by the miR200 family could
be a novel therapeutic strategy for ovarian cancer treatment.
PMID- 24928851
TI - Human umbilical cord blood-derived mesenchymal stem cells producing IL15
eradicate established pancreatic tumor in syngeneic mice.
AB - Mesenchymal stem cells (MSC) represent a new tool for delivery of therapeutic
agents to cancer sites because of their strong tropism toward tumors. IL15 has
demonstrated a potent antitumor activity in various animal models as well as
clinical trials. However, because of its short half-life, effective therapeutic
effects usually require a high dose, which often results in undesired side
effects; thus, new strategies for overcoming this disadvantage are needed. In
this study, human MSCs were isolated from umbilical cord blood as delivery
vehicles and transduced with lentivirus vector expressing murine IL15 (MSC-IL15).
In vitro assays of lymphocyte activation and proliferation demonstrated that IL15
produced by MSCs was biofunctional. In syngeneic mice bearing Pan02 pancreatic
tumors, systemic administration of MSC-IL15 significantly inhibited tumor growth
and prolonged the survival of tumor-bearing mice, which were associated with
tumor cell apoptosis, and natural killer (NK)- and T-cell accumulation.
Furthermore, we confirmed that MSC-IL15 could migrate toward tumor and secreted
IL15 in tumor-specific sites. Depletion of NK and CD8(+) T cells abolished the
antitumor activity of MSC-IL15, suggesting that NK and CD8(+) T cells play a key
role for MSC-IL15-mediated effect. Interestingly, cured mice after MSC-IL15
treatment were resistant to Pan02 pancreatic tumor rechallenge, and adoptive
transfer of lymphocytes from cured mice also could cause rejection of Pan02 tumor
inoculation in naive mice, indicating that MSC-IL15 induced tumor-specific T-cell
immune memory response. Overall, these data support that MSCs producing IL15
might represent an innovative strategy for therapy of pancreatic tumor.
PMID- 24928853
TI - Identification of fluoroquinolone-resistant extended-spectrum beta-lactamase (CTX
M-8)-producing Escherichia coli ST224, ST2179 and ST2308 in buffalo (Bubalus
bubalis).
PMID- 24928852
TI - Chemogenetic evaluation of the mitotic kinesin CENP-E reveals a critical role in
triple-negative breast cancer.
AB - Breast cancer patients with tumors lacking the three diagnostic markers (ER, PR,
and HER2) are classified as triple-negative (primarily basal-like) and have poor
prognosis because there is no disease-specific therapy available. To address this
unmet medical need, gene expression analyses using more than a thousand breast
cancer samples were conducted, which identified elevated centromere protein E
(CENP-E) expression in the basal-a molecular subtype relative to other subtypes.
CENP-E, a mitotic kinesin component of the spindle assembly checkpoint, is shown
to be induced in basal-a tumor cell lines by the mitotic spindle inhibitor drug
docetaxel. CENP-E knockdown by inducible shRNA reduces basal-a breast cancer cell
viability. A potent, selective CENP-E inhibitor (PF-2771) was used to define the
contribution of CENP-E motor function to basal-like breast cancer. Mechanistic
evaluation of PF-2771 in basal-a tumor cells links CENP-E-dependent molecular
events (e.g., phosphorylation of histone H3 Ser-10; phospho-HH3-Ser10) to
functional outcomes (e.g., chromosomal congression defects). Across a diverse
panel of breast cell lines, CENP-E inhibition by PF-2771 selectively inhibits
proliferation of basal breast cancer cell lines relative to premalignant ones and
its response correlates with the degree of chromosomal instability.
Pharmacokinetic-pharmacodynamic efficacy analysis in a basal-a xenograft tumor
model shows that PF-2771 exposure is well correlated with increased phospho-HH3
Ser10 levels and tumor growth regression. Complete tumor regression is observed
in a patient-derived, basal-a breast cancer xenograft tumor model treated with PF
2771. Tumor regression is also observed with PF-2771 in a taxane-resistant basal
a model. Taken together, CENP-E may be an effective therapeutic target for
patients with triple-negative/basal-a breast cancer.
PMID- 24928854
TI - The efficacy of non-carbapenem antibiotics for the treatment of community-onset
acute pyelonephritis due to extended-spectrum beta-lactamase-producing
Escherichia coli.
AB - OBJECTIVE: Extended-spectrum beta-lactamase (ESBL)-producing Escherichia coli has
become an important cause of community-onset urinary tract infections. We aimed
to evaluate the efficacy of non-carbapenem antibiotics for acute pyelonephritis
(APN) due to ESBL-producing E. coli. METHODS: We conducted a retrospective cohort
study of patients with community-onset APN due to ESBL-producing E. coli at a
single centre in Korea from 2007 to 2013. Outcomes included both microbiological
and clinical failure. To adjust for non-random assignment of antibiotics, the
propensity score method of inverse probability of treatment weighting and a
multivariable analysis using Cox proportional hazards modelling were employed to
estimate the efficacy of non-carbapenem antibiotics as compared with carbapenems.
RESULTS: Of 152 eligible patients, 85 (55.9%) received carbapenems and 67 (44.1%)
received non-carbapenems. Non-carbapenem antibiotics used in this cohort included
aminoglycosides (n = 30), beta-lactam/beta-lactamase inhibitors (n = 13),
fluoroquinolones (n = 12) and trimethoprim/sulfamethoxazole (n = 5).
Microbiological failure was observed in 16 patients receiving carbapenems (16/83,
19.3%) versus 4 patients receiving non-carbapenem (4/67, 6.0%). After weighting,
the risk of microbiological failure was similar between the two groups [weighted
hazard ratio (HR) 0.99; 95% CI 0.31-3.19]. In a multivariable regression analysis
combined with weights, the estimate did not change (weighted adjusted HR 0.96;
95% CI 0.41-2.27). The clinical failure rate was also similar in the two groups
(weighted HR 1.05; 95% CI 0.24-4.62). CONCLUSIONS: These results suggest that non
carbapenem antibiotics were as effective as carbapenems as definitive therapy for
treating community-onset APN caused by ESBL-producing E. coli if they are active
in vitro.
PMID- 24928855
TI - Characterization of pFOX-7a, a conjugative IncL/M plasmid encoding the FOX-7 AmpC
type beta-lactamase, involved in a large outbreak in a neonatal intensive care
unit.
AB - OBJECTIVES: FOX-type enzymes are a lineage of AmpC-type beta-lactamases from
Aeromonas spp. whose genes have been mobilized to plasmids spreading among
Enterobacteriaceae, where they can be responsible for resistance to extended
spectrum cephalosporins and beta-lactamase inhibitor combinations. Little is
known about the genetic context and plasmid vehicles of bla(FOX) determinants.
Here, we have characterized a plasmid encoding the FOX-7 beta-lactamase, which
was involved in a large outbreak caused by two Klebsiella pneumoniae clones in a
neonatal intensive care unit. METHODS: Plasmid transferability was tested in
conjugation experiments using Escherichia coli recipients. Plasmids from
different strains were compared by restriction profiling and PCR mapping. The
complete sequence of pFOX-7a plasmid was determined by a next-generation
sequencing approach followed by gap filling using PCR and sequencing. RESULTS: An
apparently identical conjugative plasmid encoding FOX-7 was detected in
representatives of the K. pneumoniae clones that caused the outbreak and in
sporadic FOX-7-producing strains of other species from the same ward. The
plasmid, named pFOX-7a, has an IncL/M-type backbone and two separate resistance
modules including a Tn3-like transposon and a novel Tn1696 derivative, named
Tn6234, which carries an integron platform, a hybrid (but still functional)
mercury resistance module and a novel putative transposon of original structure,
named Tn6240, associated with the bla(FOX-7) gene. CONCLUSIONS: pFOX-7a is the
first completely characterized plasmid encoding a FOX-type beta-lactamase. The
bla(FOX-7) gene was associated with a putative transposable element of original
structure, which was likely involved in its mobilization from the Aeromonas
metagenome.
PMID- 24928856
TI - Detection of mecC methicillin-resistant Staphylococcus aureus with a semi
selective enrichment broth.
PMID- 24928857
TI - PARP-2 and PARP-3 are selectively activated by 5' phosphorylated DNA breaks
through an allosteric regulatory mechanism shared with PARP-1.
AB - PARP-1, PARP-2 and PARP-3 are DNA-dependent PARPs that localize to DNA damage,
synthesize poly(ADP-ribose) (PAR) covalently attached to target proteins
including themselves, and thereby recruit repair factors to DNA breaks to
increase repair efficiency. PARP-1, PARP-2 and PARP-3 have in common two C
terminal domains-Trp-Gly-Arg (WGR) and catalytic (CAT). In contrast, the N
terminal region (NTR) of PARP-1 is over 500 residues and includes four regulatory
domains, whereas PARP-2 and PARP-3 have smaller NTRs (70 and 40 residues,
respectively) of unknown structural composition and function. Here, we show that
PARP-2 and PARP-3 are preferentially activated by DNA breaks harboring a 5'
phosphate (5'P), suggesting selective activation in response to specific DNA
repair intermediates, in particular structures that are competent for DNA
ligation. In contrast to PARP-1, the NTRs of PARP-2 and PARP-3 are not strictly
required for DNA binding or for DNA-dependent activation. Rather, the WGR domain
is the central regulatory domain of PARP-2 and PARP-3. Finally, PARP-1, PARP-2
and PARP-3 share an allosteric regulatory mechanism of DNA-dependent catalytic
activation through a local destabilization of the CAT. Collectively, our study
provides new insights into the specialization of the DNA-dependent PARPs and
their specific roles in DNA repair pathways.
PMID- 24928858
TI - Critical role for p53-serine 15 phosphorylation in stimulating transactivation at
p53-responsive promoters.
AB - The p53 tumour suppressor is induced by various stress stimuli and coordinates an
adaptive gene expression programme leading to growth arrest or cell death. Some
stimuli, such as DNA damage, lead to rapid and substantial multisite
phosphorylation of p53, nucleated initially through phosphorylation of serine 15.
Other stimuli, such as hyper-proliferation, do not stimulate p53-phosphorylation,
raising questions regarding the physiological role for phosphorylation. Here, we
show that a basal level of Ser15 phosphorylation occurs in both unstimulated
cells and cells stimulated pharmacologically to induce p53. p53 in which Ser15 is
substituted by alanine (S15A) fails to mediate p53-dependent transcription or
growth arrest but can be rescued by substitution with aspartate (S15D: a phospho
mimic). Chromatin immunoprecipitation (ChIP) analyses show that, while wt- and
S15A-p53 are detectable on the CDKN1A (p21) promoter (as a representative p53
responsive promoter), S15A-p53 does not stimulate histone acetylation (a measure
of chromatin relaxation), nor is its recruitment stimulated, in response to a DNA
damage or pharmacological stimulus. These data demonstrate that Ser15
phosphorylation is required for p53 function in the physiological context of p53
responsive promoters and suggest a key and possibly universal role even for low
levels of this modification in promoting p53-transcription function.
PMID- 24928859
TI - Magnetic resonance direct thrombus imaging differentiates acute recurrent
ipsilateral deep vein thrombosis from residual thrombosis.
AB - Accurate diagnostic assessment of suspected ipsilateral recurrent deep vein
thrombosis (DVT) is a major clinical challenge because differentiating between
acute recurrent thrombosis and residual thrombosis is difficult with compression
ultrasonography (CUS). We evaluated noninvasive magnetic resonance direct
thrombus imaging (MRDTI) in a prospective study of 39 patients with symptomatic
recurrent ipsilateral DVT (incompressibility of a different proximal venous
segment than at the prior DVT) and 42 asymptomatic patients with at least 6-month
old chronic residual thrombi and normal D-dimer levels. All patients were
subjected to MRDTI. MRDTI images were judged by 2 independent radiologists
blinded for the presence of acute DVT and a third in case of disagreement. The
sensitivity, specificity, and interobserver reliability of MRDTI were determined.
MRDTI demonstrated acute recurrent ipsilateral DVT in 37 of 39 patients and was
normal in all 42 patients without symptomatic recurrent disease for a sensitivity
of 95% (95% CI, 83% to 99%) and a specificity of 100% (95% CI, 92% to 100%).
Interobserver agreement was excellent (kappa = 0.98). MRDTI images were adequate
for interpretation in 95% of the cases. MRDTI is a sensitive and reproducible
method for distinguishing acute ipsilateral recurrent DVT from 6-month-old
chronic residual thrombi in the leg veins.
PMID- 24928860
TI - Bone marrow stromal cell-derived exosomes as communicators in drug resistance in
multiple myeloma cells.
AB - The interplay between bone marrow stromal cells (BMSCs) and multiple myeloma (MM)
cells performs a crucial role in MM pathogenesis by secreting growth factors,
cytokines, and extracellular vesicles. Exosomes are membranous vesicles 40 to 100
nm in diameter constitutively released by almost all cell types, and they mediate
local cell-to-cell communication by transferring mRNAs, miRNAs, and proteins.
Although BMSC-induced growth and drug resistance of MM cells has been studied,
the role of BMSC-derived exosomes in this action remains unclear. Here we
investigate the effect of BMSC-derived exosomes on the viability, proliferation,
survival, migration, and drug resistance of MM cells, using the murine 5T33MM
model and human MM samples. BMSCs and MM cells could mutually exchange exosomes
carrying certain cytokines. Both naive and 5T33 BMSC-derived exosomes increased
MM cell growth and induced drug resistance to bortezomib. BMSC-derived exosomes
also influenced the activation of several survival relevant pathways, including c
Jun N-terminal kinase, p38, p53, and Akt. Exosomes obtained from normal donor and
MM patient BMSCs also induced survival and drug resistance of human MM cells.
Taken together, our results demonstrate the involvement of exosome-mediated
communication in BMSC-induced proliferation, migration, survival, and drug
resistance of MM cells.
PMID- 24928862
TI - Ciz1 is a novel predictor of survival in human colon cancer.
AB - Cip1-interacting zinc-finger protein1 (Ciz1) is a nuclear matrix protein
associated DNA replication factor which has been implicated in breast and lung
cancer progression. However, the clinical significance of Ciz1 expression in
colon cancer has not been determined. This study aimed to examine Ciz1 expression
pattern and its potential as a biomarker of prognosis in colon cancer. Using
quantitative PCR, tissue microarray (TMA), and ELISA, we evaluated Ciz1 mRNA and
protein levels in tumor tissues from patients with colon cancer and in paired
adjacent normal tissues. Ciz1 mRNA expression was significantly upregulated in 22
of 39 paired samples (P < 0.001). Immunohistochemistry on TMA-containing samples
from 203 colon cancer patients indicated that Ciz1 protein expression was
significantly higher in tumor tissues than in adjacent normal tissues (Stuart
Maxwell test, P < 0.001). Elevated expression of Ciz1 protein was significantly
correlated with T stage (P < 0.001), N stage (P = 0.005), M stage (P = 0.021),
and AJCC stage (P = 0.002). Multivariate Cox proportion hazard model analysis
revealed that Ciz1 expression is an independent prognostic factor for overall
time (OS; hazard ratio (HR): 1.76; 95% confidence interval (CI): 1.04-2.98; P =
0.034) and disease-free survival (DFS; HR: 2.02; 95% CI: 1.14-3.58; P = 0.017) of
patients with colon cancer after colectomy. Our data suggested that Ciz1 may be
involved in colon cancer progression and could serve as a novel predictor of
survival for colon cancer patients.
PMID- 24928861
TI - von Willebrand factor, Jedi knight of the bloodstream.
AB - When blood vessels are cut, the forces in the bloodstream increase and change
character. The dark side of these forces causes hemorrhage and death. However,
von Willebrand factor (VWF), with help from our circulatory system and platelets,
harnesses the same forces to form a hemostatic plug. Force and VWF function are
so closely intertwined that, like members of the Jedi Order in the movie Star
Wars who learn to use "the Force" to do good, VWF may be considered the Jedi
knight of the bloodstream. The long length of VWF enables responsiveness to flow.
The shape of VWF is predicted to alter from irregularly coiled to extended thread
like in the transition from shear to elongational flow at sites of hemostasis and
thrombosis. Elongational force propagated through the length of VWF in its thread
like shape exposes its monomers for multimeric binding to platelets and
subendothelium and likely also increases affinity of the A1 domain for platelets.
Specialized domains concatenate and compact VWF during biosynthesis. A2 domain
unfolding by hydrodynamic force enables postsecretion regulation of VWF length.
Mutations in VWF in von Willebrand disease contribute to and are illuminated by
VWF biology. I attempt to integrate classic studies on the physiology of
hemostatic plug formation into modern molecular understanding, and point out what
remains to be learned.
PMID- 24928863
TI - Effects of magnesium deficiency--more than skin deep.
AB - Dead Sea and magnesium salt therapy are two of the oldest forms of treatment for
skin disease and several other disorders, supported by a body of largely
anecdotal evidence. In this paper we review possible pathways for penetration of
magnesium ions through the epidermis to reach the circulation, in turn
replenishing cellular magnesium levels. We also discuss mechanisms for
intercellular movement of magnesium ions and possible mechanisms for the
interaction between magnesium ions and inflammatory mediators. Upon addition of
magnesium ions in vitro, the expression of inflammatory mediators such as tumour
necrosis factor alpha (TNFalpha) and nuclear factor kappabeta (NFkappabeta) is
down regulated. Dysregulation of these and other inflammatory mediators has been
linked to several inflammatory disorders, including asthma, arthritis,
atherosclerosis and neuroinflammation.
PMID- 24928864
TI - New concepts concerning prostate cancer screening.
AB - Prostate Cancer (CaP) is rapidly becoming a worldwide health issue. While CaP
mortality has decreased in recent years, coincident with the widespread use of
Prostate-Specific Antigen (PSA) screening, it remains the most common solid tumor
in men and is the second leading cause of cancer death in the United States. The
frequency of CaP is growing not only in western cultures, but also its incidence
is dramatically increasing in eastern nations. Recently, examination of data from
long-term trials and follow up has cast a shadow on the effectiveness of
employing PSA as a primary screening tool for CaP. In this review, we not only
summarize opinions from this examination and synthesize recommendations from
several groups that suggest strategies for utilizing PSA as a tool, but also call
for research into biomarkers for CaP diagnosis and disease progression. We also
describe our recent work that identified a smooth muscle contractile protein in
prostate epithelia, namely smooth muscle gamma actin, and indicate the potential
for this molecule as a new unique footprint and as a CaP marker.
PMID- 24928865
TI - Polysaccharides from Laminaria japonica show hypoglycemic and hypolipidemic
activities in mice with experimentally induced diabetes.
AB - Diabetes mellitus (DM) is a chronic metabolic disorder of the endocrine system.
The rapid increase in the incidence of DM is a serious public health concern
worldwide. The treatment of DM and its complications mainly involves the use of
chemically or biochemically synthesized drugs, but these drugs also have adverse
side effects. Therefore, there is an urgent need to search for drugs from natural
sources that would cause fewer side effects. This study aimed to determine
whether polysaccharides from Laminaria japonica (LJP) exert hypoglycemic and
hypolipidemic effects in mice with alloxan-induced diabetes. To this end,
diabetes was induced by alloxan injection (200 mg/kg body weight [bw],
intraperitoneal [ip]). After induction of diabetes, diabetic mice were randomly
divided into five groups: diabetic control (DC) group, glibenclamide-treated (DG)
group, low-dose LJP-treated (DLL) group, moderate-dose LJP-treated (DML) group,
and high-dose LJP-treated (DHL) group, with normal mice used as the control group
(NC group). After treatment for 28 days, body weight, fasting blood glucose
(FBG), serum insulin, total cholesterol (TC), triglyceride (TG), high-density
lipoprotein-cholesterol (HDL-C), and low-density lipoprotein-cholesterol (LDL-C)
levels were measured. The results revealed that LJP administration prevented body
weight loss, decreased FBG levels, and increased serum insulin levels in diabetic
mice. Furthermore, it decreased TC, TG, and LDL-C levels, and increased HDL-C
levels in these mice. Thus, the results indicate that LJP possesses hypoglycemic
and hypolipidemic activities and polysaccharides from LJP may hold promise for
the development of a drug of natural origin for the treatment of DM.
PMID- 24928866
TI - Steroids inhibit vascular endothelial growth factor expression via TLR4/Akt/NF
kappaB pathway in chronic rhinosinusitis with nasal polyp.
AB - Vascular endothelial growth factor (VEGF) is elevated in chronic rhinosinusitis
with nasal polyps. Steroids have anti-inflammatory properties and are ideal
candidates for treating chronic inflammatory airways. The aims of this study were
to identify the inhibitory effects and mechanisms of steroids on
lipopolysaccharide (LPS)-induced VEGF expression in nasal polyps. Nasal polyp
derived fibroblasts (NPDFs) were stimulated with LPS alone or with both LPS and
steroids were used to determine the expression levels of toll-like receptor (TLR)
4, myeloid differentiation primary response gene 88 (MyD88), and VEGF by using
reverse transcription-polymerase chain reaction (RT-PCR). VEGF protein level was
analyzed by immunocytochemical staining and enzyme-linked immunosorbent assay
(ELISA). Small interfering RNA (siRNA) for TLR4 was transfected to down-regulate
TLR4 expression. Activation of Akt and nuclear factor kappaB (NF-kappaB) pathway
on VEGF expression was determined by Western blot analysis, immunocytochemical
staining, and ELISA. Nasal polyp organ cultures were stimulated with LPS alone or
in conjunction with steroids or LPS-RS (TLR4 inhibitor) and accessed the
expression of VEGF. Steroids decreased the expressions of TLR4, MyD88, and VEGF
mRNA and VEGF protein in LPS-stimulated NPDFs. Steroids inhibited LPS-induced
VEGF expression levels in dose-dependent manner. The suppression of TLR4
transcription by siRNA treatment reduced LPS-induced expression of both TLR4 and
VEGF in NPDFs. Furthermore, steroids inhibited the production of VEGF by blocking
Akt and NF-kappaB activation and preventing with NF-kappaB translocation. Also,
steroid and TLR4 inhibitor decreased VEGF expression in nasal polyp organ
cultures. These results indicate that steroids inhibit LPS-induced VEGF
expression through the TLR4/Akt/NF-kappaB signaling pathway in chronic
rhinosinusitis with nasal polyp.
PMID- 24928867
TI - Child and adolescent mental health referrals jump as cases get more extreme and
complex, MPs hear.
PMID- 24928868
TI - WHO FCTC article 5.3: promise but little progress.
PMID- 24928869
TI - Uptake and metabolism of N-acetylglucosamine and glucosamine by Streptococcus
mutans.
AB - Glucosamine and N-acetylglucosamine are among the most abundant sugars on the
planet, and their introduction into the oral cavity via the diet and host
secretions, and through bacterial biosynthesis, provides oral biofilm bacteria
with a source of carbon, nitrogen, and energy. In this study, we demonstrated
that the dental caries pathogen Streptococcus mutans possesses an inducible
system for the metabolism of N-acetylglucosamine and glucosamine. These amino
sugars are transported by the phosphoenolpyruvate:sugar phosphotransferase system
(PTS), with the glucose/mannose enzyme II permease encoded by manLMN playing a
dominant role. Additionally, a previously uncharacterized gene product encoded
downstream of the manLMN operon, ManO, was shown to influence the efficiency of
uptake and growth on N-acetylglucosamine and, to a lesser extent, glucosamine. A
transcriptional regulator, designated NagR, was able to bind the promoter regions
in vitro, and repress the expression in vivo, of the nagA and nagB genes,
encoding N-acetylglucosamine-6-phosphate deacetylase and glucosamine-6-phosphate
deaminase, respectively. The binding activity of NagR could be inhibited by
glucosamine-6-phosphate in vitro. Importantly, in contrast to the case with
certain other Firmicutes, the gene for de novo synthesis of glucosamine-6
phosphate in S. mutans, glmS, was also shown to be regulated by NagR, and NagR
could bind the glmS promoter region in vitro. Finally, metabolism of these amino
sugars by S. mutans resulted in the production of significant quantities of
ammonia, which can neutralize cytoplasmic pH and increase acid tolerance, thus
contributing to enhanced persistence and pathogenic potential.
PMID- 24928870
TI - Metaproteomic identification of diazotrophic methanotrophs and their localization
in root tissues of field-grown rice plants.
AB - In a previous study by our group, CH4 oxidation and N2 fixation were
simultaneously activated in the roots of wild-type rice plants in a paddy field
with no N input; both processes are likely controlled by a rice gene for
microbial symbiosis. The present study examined which microorganisms in rice
roots were responsible for CH4 oxidation and N2 fixation under the field
conditions. Metaproteomic analysis of root-associated bacteria from field-grown
rice (Oryza sativa Nipponbare) revealed that nitrogenase complex-containing
nitrogenase reductase (NifH) and the alpha subunit (NifD) and beta subunit (NifK)
of dinitrogenase were mainly derived from type II methanotrophic bacteria of the
family Methylocystaceae, including Methylosinus spp. Minor nitrogenase proteins
such as Methylocella, Bradyrhizobium, Rhodopseudomonas, and Anaeromyxobacter were
also detected. Methane monooxygenase proteins (PmoCBA and MmoXYZCBG) were
detected in the same bacterial group of the Methylocystaceae. Because these
results indicated that Methylocystaceae members mediate both CH4 oxidation and N2
fixation, we examined their localization in rice tissues by using catalyzed
reporter deposition-fluorescence in situ hybridization (CARD-FISH). The
methanotrophs were localized around the epidermal cells and vascular cylinder in
the root tissues of the field-grown rice plants. Our metaproteomics and CARD-FISH
results suggest that CH4 oxidation and N2 fixation are performed mainly by type
II methanotrophs of the Methylocystaceae, including Methylosinus spp., inhabiting
the vascular bundles and epidermal cells of rice roots.
PMID- 24928871
TI - Following pathogen development and gene expression in a food ecosystem: the case
of a Staphylococcus aureus isolate in cheese.
AB - Human intoxication or infection due to bacterial food contamination constitutes
an economic challenge and a public health problem. Information on the in situ
distribution and expression of pathogens responsible for this risk is to date
lacking, largely because of technical bottlenecks in detecting signals from
minority bacterial populations within a complex microbial and physicochemical
ecosystem. We simulated the contamination of a real high-risk cheese with a
natural food isolate of Staphylococcus aureus, an enterotoxin-producing pathogen
responsible for food poisoning. To overcome the problem of a detection limit in a
solid matrix, we chose to work with a fluorescent reporter (superfolder green
fluorescent protein) that would allow spatiotemporal monitoring of S. aureus
populations and targeted gene expression. The combination of complementary
techniques revealed that S. aureus localizes preferentially on the cheese surface
during ripening. Immunochemistry and confocal laser scanning microscopy enabled
us to visualize, in a single image, dairy bacteria and pathogen populations,
virulence gene expression, and the toxin produced. This procedure is readily
applicable to other genes of interest, other bacteria, and different types of
food matrices.
PMID- 24928872
TI - Binding site concentration explains the differential susceptibility of Chilo
suppressalis and Sesamia inferens to Cry1A-producing rice.
AB - Chilo suppressalis and Sesamia inferens are two important lepidopteran rice pests
that occur concurrently during outbreaks in paddy fields in the main rice-growing
areas of China. Previous and current field tests demonstrate that the transgenic
rice line Huahui 1 (HH1) producing a Cry1Ab-Cry1Ac hybrid toxin from the
bacterium Bacillus thuringiensis reduces egg and larval densities of C.
suppressalis but not of S. inferens. This differential susceptibility to HH1 rice
correlates with the reduced susceptibility to Cry1Ab and Cry1Ac toxins in S.
inferens larvae compared to C. suppressalis larvae. The goal of this study was to
identify the mechanism responsible for this differential susceptibility. In
saturation binding assays, both Cry1Ab and Cry1Ac toxins bound with high affinity
and in a saturable manner to midgut brush border membrane vesicles (BBMV) from C.
suppressalis and S. inferens larvae. While binding affinities were similar, a
dramatically lower concentration of Cry1A toxin binding sites was detected for S.
inferens BBMV than for C. suppressalis BBMV. In contrast, no significant
differences between species were detected for Cry1Ca toxin binding to BBMV.
Ligand blotting detected BBMV proteins binding Cry1Ac or Cry1Ca toxins, some of
them unique to C. suppressalis or S. inferens. These data support that reduced
Cry1A binding site concentration is associated with a lower susceptibility to
Cry1A toxins and HH1 rice in S. inferens larvae than in C. suppressalis larvae.
Moreover, our data support Cry1Ca as a candidate for pyramiding efforts with
Cry1A-producing rice to extend the activity range and durability of this
technology against rice stem borers.
PMID- 24928873
TI - Identification of Mn(II)-oxidizing bacteria from a low-pH contaminated former
uranium mine.
AB - Biological Mn oxidation is responsible for producing highly reactive and abundant
Mn oxide phases in the environment that can mitigate metal contamination.
However, little is known about Mn oxidation in low-pH environments, where metal
contamination often is a problem as the result of mining activities. We isolated
two Mn(II)-oxidizing bacteria (MOB) at pH 5.5 (Duganella isolate AB_14 and
Albidiferax isolate TB-2) and nine strains at pH 7 from a former uranium mining
site. Isolate TB-2 may contribute to Mn oxidation in the acidic Mn-rich subsoil,
as a closely related clone represented 16% of the total community. All isolates
oxidized Mn over a small pH range, and isolates from low-pH samples only oxidized
Mn below pH 6. Two strains with different pH optima differed in their Fe
requirements for Mn oxidation, suggesting that Mn oxidation by the strain found
at neutral pH was linked to Fe oxidation. Isolates tolerated Ni, Cu, and Cd and
produced Mn oxides with similarities to todorokite and birnessite, with the
latter being present in subsurface layers where metal enrichment was associated
with Mn oxides. This demonstrates that MOB can be involved in the formation of
biogenic Mn oxides in both moderately acidic and neutral pH environments.
PMID- 24928874
TI - DegePrime, a program for degenerate primer design for broad-taxonomic-range PCR
in microbial ecology studies.
AB - The taxonomic composition of a microbial community can be deduced by analyzing
its rRNA gene content by, e.g., high-throughput DNA sequencing or DNA chips. Such
methods typically are based on PCR amplification of rRNA gene sequences using
broad-taxonomic-range PCR primers. In these analyses, the use of optimal primers
is crucial for achieving an unbiased representation of community composition.
Here, we present the computer program DegePrime that, for each position of a
multiple sequence alignment, finds a degenerate oligomer of as high coverage as
possible and outputs its coverage among taxonomic divisions. We show that our
novel heuristic, which we call weighted randomized combination, performs better
than previously described algorithms for solving the maximum coverage degenerate
primer design problem. We previously used DegePrime to design a broad-taxonomic
range primer pair that targets the bacterial V3-V4 region (341F-805R) (D. P.
Herlemann, M. Labrenz, K. Jurgens, S. Bertilsson, J. J. Waniek, and A. F.
Andersson, ISME J. 5:1571-1579, 2011, http://dx.doi.org/10.1038/ismej.2011.41),
and here we use the program to significantly increase the coverage of a primer
pair (515F-806R) widely used for Illumina-based surveys of bacterial and archaeal
diversity. By comparison with shotgun metagenomics, we show that the primers give
an accurate representation of microbial diversity in natural samples.
PMID- 24928875
TI - Alternative sigma factors SigF, SigE, and SigG are essential for sporulation in
Clostridium botulinum ATCC 3502.
AB - Clostridium botulinum produces heat-resistant endospores that may germinate and
outgrow into neurotoxic cultures in foods. Sporulation is regulated by the
transcription factor Spo0A and the alternative sigma factors SigF, SigE, SigG,
and SigK in most spore formers studied to date. We constructed mutants of sigF,
sigE, and sigG in C. botulinum ATCC 3502 and used quantitative reverse
transcriptase PCR and electron microscopy to assess their expression of the
sporulation pathway on transcriptional and morphological levels. In all three
mutants the expression of spo0A was disrupted. The sigF and sigE mutants failed
to induce sigG and sigK beyond exponential-phase levels and halted sporulation
during asymmetric cell division. In the sigG mutant, peak transcription of sigE
was delayed and sigK levels remained lower than that in the parent strain. The
sigG mutant forespore was engulfed by the mother cell and possessed a spore coat
but no peptidoglycan cortex. The findings suggest that SigF and SigE of C.
botulinum ATCC 3502 are essential for early sporulation and late-stage induction
of sigK, whereas SigG is essential for spore cortex formation but not for coat
formation, as opposed to previous observations in B. subtilis sigG mutants. Our
findings add to a growing body of evidence that regulation of sporulation in C.
botulinum ATCC 3502, and among the clostridia, differs from the B. subtilis
model.
PMID- 24928876
TI - Automated design of probes for rRNA-targeted fluorescence in situ hybridization
reveals the advantages of using dual probes for accurate identification.
AB - Fluorescence in situ hybridization (FISH) is a common technique for identifying
cells in their natural environment and is often used to complement next
generation sequencing approaches as an integral part of the full-cycle rRNA
approach. A major challenge in FISH is the design of oligonucleotide probes with
high sensitivity and specificity to their target group. The rapidly expanding
number of rRNA sequences has increased awareness of the number of potential
nontargets for every FISH probe, making the design of new FISH probes challenging
using traditional methods. In this study, we conducted a systematic analysis of
published probes that revealed that many have insufficient coverage or
specificity for their intended target group. Therefore, we developed an improved
thermodynamic model of FISH that can be applied at any taxonomic level, used the
model to systematically design probes for all recognized genera of bacteria and
archaea, and identified potential cross-hybridizations for the selected probes.
This analysis resulted in high-specificity probes for 35.6% of the genera when a
single probe was used in the absence of competitor probes and for 60.9% when up
to two competitor probes were used. Requiring the hybridization of two
independent probes for positive identification further increased specificity. In
this case, we could design highly specific probe sets for up to 68.5% of the
genera without the use of competitor probes and 87.7% when up to two competitor
probes were used. The probes designed in this study, as well as tools for
designing new probes, are available online (http://DECIPHER.cee.wisc.edu).
PMID- 24928877
TI - Novel three-component Rieske non-heme iron oxygenase system catalyzing the N
dealkylation of chloroacetanilide herbicides in sphingomonads DC-6 and DC-2.
AB - Sphingomonads DC-6 and DC-2 degrade the chloroacetanilide herbicides alachlor,
acetochlor, and butachlor via N-dealkylation. In this study, we report a three
component Rieske non-heme iron oxygenase (RHO) system catalyzing the N
dealkylation of these herbicides. The oxygenase component gene cndA is located in
a transposable element that is highly conserved in the two strains. CndA shares
24 to 42% amino acid sequence identities with the oxygenase components of some
RHOs that catalyze N- or O-demethylation. Two putative [2Fe-2S] ferredoxin genes
and one glutathione reductase (GR)-type reductase gene were retrieved from the
genome of each strain. These genes were not located in the immediate vicinity of
cndA. The four ferredoxins share 64 to 72% amino acid sequence identities to the
ferredoxin component of dicamba O-demethylase (DMO), and the two reductases share
62 to 65% amino acid sequence identities to the reductase component of DMO. cndA,
the four ferredoxin genes, and the two reductases genes were expressed in
Escherichia coli, and the recombinant proteins were purified using Ni-affinity
chromatography. The individual components or the components in pairs displayed no
activity; the enzyme mixture showed N-dealkylase activities toward alachlor,
acetochlor, and butachlor only when CndA-His6 was combined with one of the four
ferredoxins and one of the two reductases, suggesting that the enzyme consists of
three components, a homo-oligomer oxygenase, a [2Fe-2S] ferredoxin, and a GR-type
reductase, and CndA has a low specificity for the electron transport component
(ETC). The N-dealkylase utilizes NADH, but not NADPH, as the electron donor.
PMID- 24928878
TI - Ether- and ester-bound iso-diabolic acid and other lipids in members of
acidobacteria subdivision 4.
AB - Recently, iso-diabolic acid (13,16-dimethyl octacosanedioic acid) has been
identified as a major membrane-spanning lipid of subdivisions 1 and 3 of the
Acidobacteria, a highly diverse phylum within the Bacteria. This finding pointed
to the Acidobacteria as a potential source for the bacterial glycerol dialkyl
glycerol tetraethers that occur ubiquitously in peat, soil, lakes, and hot
springs. Here, we examined the lipid composition of seven phylogenetically
divergent strains of subdivision 4 of the Acidobacteria, a bacterial group that
is commonly encountered in soil. Acid hydrolysis of total cell material released
iso-diabolic acid derivatives in substantial quantities (11 to 48% of all fatty
acids). In contrast to subdivisions 1 and 3 of the Acidobacteria, 6 out of the 7
species of subdivision 4 (excepting "Candidatus Chloracidobacterium
thermophilum") contained iso-diabolic acid ether bound to a glycerol in larger
fractional abundance than iso-diabolic acid itself. This is in agreement with the
analysis of intact polar lipids (IPLs) by high-performance liquid chromatography
mass spectrometry (HPLC-MS), which showed the dominance of mixed ether-ester
glycerides. iso-Diabolic acid-containing IPLs were not identified, because these
IPLs are not released with a Bligh-Dyer extraction, as observed before when
studying lipid compositions of subdivisions 1 and 3 of the Acidobacteria. The
presence of ether bonds in the membrane lipids does not seem to be an adaptation
to temperature, because the five mesophilic isolates contained a larger amount of
ether lipids than the thermophile "Ca. Chloracidobacterium thermophilum."
Furthermore, experiments with Pyrinomonas methylaliphatogenes did not reveal a
major influence of growth temperature over the 50 to 69 degrees C range.
PMID- 24928879
TI - Sporadic distribution and distinctive variations of cylindrospermopsin genes in
cyanobacterial strains and environmental samples from Chinese freshwater bodies.
AB - Increasing reports of cylindrospermopsins (CYNs) in freshwater ecosystems have
promoted the demand for identifying all of the potential CYN-producing
cyanobacterial species. The present study explored the phylogenetic distribution
and evolution of cyr genes in cyanobacterial strains and water samples from
China. Four Cylindrospermopsis strains and two Raphidiopsis strains were
confirmed to produce CYNs. Mutant cyrI and cyrK genes were observed in these
strains. Cloned cyr gene sequences from eight water bodies were clustered with
cyr genes from Cylindrospermopsis and Raphidiopsis (C/R group) in the
phylogenetic trees with high similarities (99%). Four cyrI sequence types and
three cyrJ sequence types were observed to have different sequence insertions and
repeats. Phylogenetic analysis of the rpoC1 sequences of the C/R group revealed
four conserved clades, namely, clade I, clade II, clade III, and clade V. High
sequence similarities (>97%) in each clade and a divergent clade IV were
observed. Therefore, CYN producers were sporadically distributed in congeneric
and paraphyletic C/R group species in Chinese freshwater ecosystems. In the
evolution of cyr genes, intragenomic translocations and intergenomic transfer
between local Cylindrospermopsis and Raphidiopsis were emphasized and probably
mediated by transposases. This research confirms the existence of CYN-producing
Cylindrospermopsis in China and reveals the distinctive variations of cyr genes.
PMID- 24928880
TI - Latex clearing protein-an oxygenase cleaving poly(cis-1,4-isoprene) rubber at the
cis double bonds.
AB - Gordonia polyisoprenivorans strain VH2, a potent rubber-degrading actinomycete,
harbors two latex clearing proteins (Lcps), which are known to be essential for
the microbial degradation of rubber. However, biochemical information on the
exact role of this protein in the degradation of polyisoprene was lacking. In
this study, the gene encoding Lcp1VH2 was heterologously expressed in strains of
Escherichia coli, the corresponding protein was purified, and its role in rubber
degradation was examined by measurement of oxygen consumption as well as by
chromatographic and spectroscopic methods. It turned out that active Lcp1VH2 is a
monomer and is responsible for the oxidative cleavage of poly(cis-1,4-isoprene)
in synthetic as well as in natural rubber by the addition of oxygen (O2) to the
cis double bonds. The resulting oligomers possess repetitive isoprene units with
aldehyde (CHO-CH2-) and ketone (-CH2-CO-CH3) functional groups at the termini.
Two fractions with average isoprene contents of 18 and 10, respectively, were
isolated, thus indicating an endocleavage mechanism. The activity of Lcp1VH2 was
determined by applying a polarographic assay. Alkenes, acyclic terpenes, or other
rubber-like polymers, such as poly(cis-1,4-butadiene) or poly(trans-1,4
isoprene), are not oxidatively cleaved by Lcp1VH2. The pH and temperature optima
of the enzyme are at pH 7 and 30 degrees C, respectively. Furthermore, it was
demonstrated that active Lcp1VH2 is a Cu(II)-containing oxygenase that exhibits a
conserved domain of unknown function which cannot be detected in any other
hitherto-characterized enzyme. The results presented here indicate that this
domain might represent a new protein family of oxygenases.
PMID- 24928881
TI - Daily expression pattern of protein-encoding genes and small noncoding RNAs in
synechocystis sp. strain PCC 6803.
AB - Many organisms harbor circadian clocks with periods close to 24 h. These cellular
clocks allow organisms to anticipate the environmental cycles of day and night by
synchronizing circadian rhythms with the rising and setting of the sun. These
rhythms originate from the oscillator components of circadian clocks and control
global gene expression and various cellular processes. The oscillator of
photosynthetic cyanobacteria is composed of three proteins, KaiA, KaiB, and KaiC,
linked to a complex regulatory network. Synechocystis sp. strain PCC 6803
possesses the standard cyanobacterial kaiABC gene cluster plus multiple kaiB and
kaiC gene copies and antisense RNAs for almost every kai transcript. However,
there is no clear evidence of circadian rhythms in Synechocystis sp. PCC 6803
under various experimental conditions. It is also still unknown if and to what
extent the multiple kai gene copies and kai antisense RNAs affect circadian
timing. Moreover, a large number of small noncoding RNAs whose accumulation
dynamics over time have not yet been monitored are known for Synechocystis sp.
PCC 6803. Here we performed a 48-h time series transcriptome analysis of
Synechocystis sp. PCC 6803, taking into account periodic light-dark phases,
continuous light, and continuous darkness. We found that expression of
functionally related genes occurred in different phases of day and night.
Moreover, we found day-peaking and night-peaking transcripts among the small
RNAs; in particular, the amounts of kai antisense RNAs correlated or
anticorrelated with those of their respective kai target mRNAs, pointing toward
the regulatory relevance of these antisense RNAs. Surprisingly, we observed that
the amounts of 16S and 23S rRNAs in this cyanobacterium fluctuated in light-dark
periods, showing maximum accumulation in the dark phase. Importantly, the amounts
of all transcripts, including small noncoding RNAs, did not show any rhythm under
continuous light or darkness, indicating the absence of circadian rhythms in
Synechocystis.
PMID- 24928882
TI - Does Campylobacter jejuni form biofilms in food-related environments?
AB - Campylobacter jejuni is one of the most frequent causes of bacterial
gastrointestinal food-borne infection worldwide. This species is part of the
normal flora of the gastrointestinal tracts of animals used for food production,
including poultry, which is regarded as the primary source of human Campylobacter
infections. The survival and persistence of C. jejuni in food processing
environments, especially in poultry processing plants, represent significant risk
factors that contribute to the spread of this pathogen through the food chain.
Compared to other food-borne pathogens, C. jejuni is more fastidious in its
growth requirements and is very susceptible to various environmental stressors.
Biofilm formation is suggested to play a significant role in the survival of C.
jejuni in the food production and processing environment. The aims of this
minireview were (i) to examine the evidence that C. jejuni forms biofilms and
(ii) to establish the extent to which reported and largely laboratory-based
studies of C. jejuni biofilms provide evidence for biofilm formation by this
pathogen in food processing environments. Overall existing studies do not provide
strong evidence for biofilm formation (as usually defined) by most C. jejuni
strains in food-related environments under the combined conditions of atmosphere,
temperature, and shear that they are likely to encounter. Simple attachment to
and survival on surfaces and in existing biofilms of other species are far more
likely to contribute to C. jejuni survival in food-related environments based on
our current understanding of this species.
PMID- 24928883
TI - Epithelial adhesion mediated by pilin SpaC is required for Lactobacillus
rhamnosus GG-induced cellular responses.
AB - Lactobacillus rhamnosus GG is a widely used probiotic, and the strain's salutary
effects on the intestine have been extensively documented. We previously reported
that strain GG can modulate inflammatory signaling, as well as epithelial
migration and proliferation, by activating NADPH oxidase 1-catalyzed generation
of reactive oxygen species (ROS). However, how strain GG induces these responses
is unknown. Here, we report that strain GG's probiotic benefits are dependent on
the bacterial-epithelial interaction mediated by the SpaC pilin subunit. By
comparing strain GG to an isogenic mutant that lacks SpaC (strain GGOmegaspaC),
we establish that SpaC is necessary for strain GG to adhere to gut mucosa, that
SpaC contributes to strain GG-induced epithelial generation of ROS, and that SpaC
plays a role in strain GG's capacity to stimulate extracellular signal-regulated
kinase/mitogen-activated protein kinase (ERK/MAPK) signaling in enterocytes. In
addition, we show that SpaC is required for strain GG-mediated stimulation of
cell proliferation and protection against radiologically inflicted intestinal
injury. The identification of a critical surface protein required for strain GG
to mediate its probiotic influence advances our understanding of the molecular
basis for the symbiotic relationship between some commensal bacteria of the gut
lumen and enterocytes. Further insights into this relationship are critical for
the development of novel approaches to treat intestinal diseases.
PMID- 24928885
TI - Modeling bacterial population growth from stochastic single-cell dynamics.
AB - A few bacterial cells may be sufficient to produce a food-borne illness outbreak,
provided that they are capable of adapting and proliferating on a food matrix.
This is why any quantitative health risk assessment policy must incorporate
methods to accurately predict the growth of bacterial populations from a small
number of pathogens. In this aim, mathematical models have become a powerful
tool. Unfortunately, at low cell concentrations, standard deterministic models
fail to predict the fate of the population, essentially because the heterogeneity
between individuals becomes relevant. In this work, a stochastic differential
equation (SDE) model is proposed to describe variability within single-cell
growth and division and to simulate population growth from a given initial number
of individuals. We provide evidence of the model ability to explain the observed
distributions of times to division, including the lag time produced by the
adaptation to the environment, by comparing model predictions with experiments
from the literature for Escherichia coli, Listeria innocua, and Salmonella
enterica. The model is shown to accurately predict experimental growth population
dynamics for both small and large microbial populations. The use of stochastic
models for the estimation of parameters to successfully fit experimental data is
a particularly challenging problem. For instance, if Monte Carlo methods are
employed to model the required distributions of times to division, the parameter
estimation problem can become numerically intractable. We overcame this
limitation by converting the stochastic description to a partial differential
equation (backward Kolmogorov) instead, which relates to the distribution of
division times. Contrary to previous stochastic formulations based on random
parameters, the present model is capable of explaining the variability observed
in populations that result from the growth of a small number of initial cells as
well as the lack of it compared to populations initiated by a larger number of
individuals, where the random effects become negligible.
PMID- 24928884
TI - Insect gut bacterial diversity determined by environmental habitat, diet,
developmental stage, and phylogeny of host.
AB - Insects are the most abundant animals on Earth, and the microbiota within their
guts play important roles by engaging in beneficial and pathological interactions
with these hosts. In this study, we comprehensively characterized insect
associated gut bacteria of 305 individuals belonging to 218 species in 21
taxonomic orders, using 454 pyrosequencing of 16S rRNA genes. In total, 174,374
sequence reads were obtained, identifying 9,301 bacterial operational taxonomic
units (OTUs) at the 3% distance level from all samples, with an average of 84.3
(+/- 97.7) OTUs per sample. The insect gut microbiota were dominated by
Proteobacteria (62.1% of the total reads, including 14.1% Wolbachia sequences)
and Firmicutes (20.7%). Significant differences were found in the relative
abundances of anaerobes in insects and were classified according to the criteria
of host environmental habitat, diet, developmental stage, and phylogeny. Gut
bacterial diversity was significantly higher in omnivorous insects than in
stenophagous (carnivorous and herbivorous) insects. This insect-order-spanning
investigation of the gut microbiota provides insights into the relationships
between insects and their gut bacterial communities.
PMID- 24928887
TI - Consultant who accused himself of sexual misconduct is struck off.
PMID- 24928886
TI - Microbial successions are associated with changes in chemical profiles of a model
refrigerated fresh pork sausage during an 80-day shelf life study.
AB - Fresh pork sausage is produced without a microbial kill step and therefore
chilled or frozen to control microbial growth. In this report, the microbiota in
a chilled fresh pork sausage model produced with or without an antimicrobial
combination of sodium lactate and sodium diacetate was studied using a
combination of traditional microbiological methods and deep pyrosequencing of 16S
rRNA gene amplicons. In the untreated system, microbial populations rose from
10(2) to 10(6) CFU/g within 15 days of storage at 4 degrees C, peaking at nearly
10(8) CFU/g by day 30. Pyrosequencing revealed a complex community at day 0, with
taxa belonging to the Bacilli, Gammaproteobacteria, Betaproteobacteria,
Actinobacteria, Bacteroidetes, and Clostridia. During storage at 4 degrees C, the
untreated system displayed a complex succession, with species of Weissella and
Leuconostoc that dominate the product at day 0 being displaced by species of
Pseudomonas (P. lini and P. psychrophila) within 15 days. By day 30, a second
wave of taxa (Lactobacillus graminis, Carnobacterium divergens, Buttiauxella
brennerae, Yersinia mollaretti, and a taxon of Serratia) dominated the
population, and this succession coincided with significant chemical changes in
the matrix. Treatment with lactate-diacetate altered the dynamics dramatically,
yielding a monophasic growth curve of a single species of Lactobacillus (L.
graminis), followed by a uniform selective die-off of the majority of species in
the population. Of the six species of Lactobacillus that were routinely detected,
L. graminis became the dominant member in all samples, and its origins were
traced to the spice blend used in the formulation.
PMID- 24928889
TI - Extending an in vitro panel for estrogenicity testing: the added value of
bioassays for measuring antiandrogenic activities and effects on steroidogenesis.
AB - In the present study, a previously established integrated testing strategy (ITS)
for in vitro estrogenicity testing was extended with additional in vitro assays
in order to broaden its sensitivity to different modes of action resulting in
apparent estrogenicity, i.e., other than estrogen receptor (ER) binding. To this
end, an extra set of 10 estrogenic compounds with modes of action in part
different from ER binding, were tested in the previously defined ITS, consisting
of a yeast estrogen reporter gene assay, an U2OS ERalpha CALUX reporter gene
assay and a cell-free coregulator binding assay. Two androgen reporter gene
assays and the enhanced H295R steroidogenesis assay were added to that previous
defined ITS. These assays had added value, as several estrogenic model compounds
also elicited clear and potent antiandrogenic properties and in addition also
showed effects on steroidogenesis that might potentiate their apparent estrogenic
effects in vivo. Adding these assays, examining mechanisms of action for
estrogenicity apart from ERalpha binding, gives a more complete and comprehensive
assessment of the ability of test compounds to interfere with endocrine
signaling. It was concluded that the extended ITS will go beyond in vivo
estrogenicity testing by the uterotrophic assay, thereby contributing to the 3R
principles.
PMID- 24928890
TI - Sparking connections: toward better linkages between research and human health
policy-an example with multiwalled carbon nanotubes.
AB - Risk assessment and subsequent risk management of environmental contaminants can
benefit from early collaboration among researchers, risk assessors, and risk
managers. The benefits of collaboration in research planning are particularly
evident in light of (1) increasing calls to expand upon the risk assessment
paradigm to include a greater focus on problem formulation and consideration of
potential tradeoffs between risk management options, and (2) decreasing research
budgets. Strategically connecting research planning to future decision making may
be most critical in areas of emerging science for which data are often
insufficient to clearly direct targeted research to support future risk
assessment and management efforts. This article illustrates an application of the
comprehensive environmental assessment approach to inform research planning for
future risk assessment and management of one emerging material, multiwalled
carbon nanotubes (MWCNTs). High-priority research areas identified for MWCNTs in
flame-retardant coatings applied to upholstery textiles included the following:
release across the product life cycle; environmental transport, transformation
and fate in air, wastewater and sediment; exposure in human occupational and
consumer groups; kinetics in the human body; impacts on human health and aquatic
populations; and impacts on economic, social, and environmental resources. This
article focuses on specific research questions related to human health and how
these may connect to future risk assessments and risk management efforts. Such
connections will support more effective collaborations across the scientific
community and may inform the prioritization of research funding opportunities for
emerging materials like MWCNTs.
PMID- 24928891
TI - In silico identification and pharmacological evaluation of novel endocrine
disrupting chemicals that act via the ligand-binding domain of the estrogen
receptor alpha.
AB - Endocrine disrupting chemicals (EDCs) pose a significant threat to human health,
society, and the environment. Many EDCs elicit their toxic effects through
nuclear hormone receptors, like the estrogen receptor alpha (ERalpha). In silico
models can be used to prioritize chemicals for toxicological evaluation to reduce
the amount of costly pharmacological testing and enable early alerts for newly
designed compounds. However, many of the current computational models are overly
dependent on the chemistry of known modulators and perform poorly for novel
chemical scaffolds. Herein we describe the development of computational, three
dimensional multi-conformational pocket-field docking, and chemical-field docking
models for the identification of novel EDCs that act via the ligand-binding
domain of ERalpha. These models were highly accurate in the retrospective task of
distinguishing known high-affinity ERalpha modulators from inactive or decoy
molecules, with minimal training. To illustrate the utility of the models in
prospective in silico compound screening, we screened a database of over 6000
environmental chemicals and evaluated the 24 top-ranked hits in an ERalpha
transcriptional activation assay and a differential scanning fluorimetry-based
ERalpha binding assay. Promisingly, six chemicals displayed ERalpha agonist
activity (32nM-3.98MUM) and two chemicals had moderately stabilizing effects on
ERalpha. Two newly identified active compounds were chemically related beta
adrenergic receptor (betaAR) agonists, dobutamine, and ractopamine (a feed
additive that promotes leanness in cattle and poultry), which are the first
betaAR agonists identified as activators of ERalpha-mediated gene transcription.
This approach can be applied to other receptors implicated in endocrine
disruption.
PMID- 24928892
TI - In utero exposure to TCDD alters Wnt signaling during mouse prostate development:
linking ventral prostate agenesis to downregulated beta-catenin signaling.
AB - In utero exposure to 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD) causes ventral
prostate agenesis in C57BL/6J mice by preventing ventral prostatic budding in the
embryonic urogenital sinus (UGS). TCDD (5 MUg/kg, po) administered to pregnant
dams on embryonic day 15.5 (E15.5) activates the aryl hydrocarbon receptor in the
UGS mesenchyme, disrupting the mesenchymally derived paracrine signaling that
instructs epithelial prostatic budding. How TCDD alters the mesenchymal milieu is
not well understood. We previously showed that TCDD disrupts some aspects of Wnt
signaling in UGSs grown in vitro. Here we provide the first comprehensive, in
vivo characterization of Wnt signaling in male E16.5 UGSs during normal
development, and after in utero TCDD exposure. Vehicle- and TCDD-exposed UGSs
were probed by in situ hybridization to assess relative abundance and
localization of RNA from 46 genes that regulate Wnt signaling. TCDD altered the
staining pattern of five genes, increasing staining for Wnt10a and Wnt16 and
decreasing staining for Ror2, Rspo2, and Wif1. We also used immunohistochemistry
to show, for the first time, activation of beta-catenin (CTNNB1) signaling in
ventral basal epithelium of control UGSs at E16.5. This onset of CTNNB1 signaling
occurred immediately prior to the initiation of ventral prostatic budding and is
characterized by a pronounced increase in CTNNB1 nuclear localization and
subsequent expression of the CTNNB1 signaling target gene, Lef1. In utero TCDD
exposure prevented the onset of CTNNB1 signaling and LEF1 expression in the
ventral basal epithelium, thereby elucidating a likely mechanism by which TCDD
contributes to failed prostatic budding in the ventral UGS.
PMID- 24928893
TI - Progress in assessing air pollutant risks from in vitro exposures: matching ozone
dose and effect in human airway cells.
AB - In vitro exposures to air pollutants could, in theory, facilitate a rapid and
detailed assessment of molecular mechanisms of toxicity. However, it is difficult
to ensure that the dose of a gaseous pollutant to cells in tissue culture is
similar to that of the same cells during in vivo exposure of a living person. The
goal of the present study was to compare the dose and effect of O3 in airway
cells of humans exposed in vivo to that of human cells exposed in vitro. Ten
subjects breathed labeled O3 ((18)O3, 0.3 ppm, 2 h) while exercising
intermittently. Bronchial brush biopsies and lung lavage fluids were collected 1
h post exposure for in vivo data whereas in vitro data were obtained from primary
cultures of human bronchial epithelial cells exposed to 0.25-1.0 ppm (18)O3 for 2
h. The O3 dose to the cells was defined as the level of (18)O incorporation and
the O3 effect as the fold increase in expression of inflammatory marker genes (IL
8 and COX-2). Dose and effect in cells removed from in vivo exposed subjects were
lower than in cells exposed to the same (18)O3 concentration in vitro suggesting
upper airway O3 scrubbing in vivo. Cells collected by lavage as well as previous
studies in monkeys show that cells deeper in the lung receive a higher O3 dose
than cells in the bronchus. We conclude that the methods used herein show promise
for replicating and comparing the in vivo dose and effect of O3 in an in vitro
system.
PMID- 24928895
TI - Cortactin-binding protein 2 increases microtubule stability and regulates
dendritic arborization.
AB - Neurons are characterized by subcellular compartments, such as axons, dendrites
and synapses, that have highly specialized morphologies and biochemical
specificities. Cortactin-binding protein 2 (CTTNBP2), a neuron-specific F-actin
regulator, has been shown to play a role in the regulation of dendritic spine
formation and their maintenance. Here, we show that, in addition to F-actin,
CTTNBP2 also associates with microtubules before mature dendritic spines form.
This association of CTTNBP2 and microtubules induced the formation of microtubule
bundles. Although the middle (Mid) region of CTTNBP2 was sufficient for its
association with microtubules, for microtubule bundling, the N-terminal region
containing the coiled-coil motifs (NCC), which mediates the dimerization or
oligomerization of CTTNBP2, was also required. Our study indicates that CTTNBP2
proteins form a dimer or oligomer and brings multiple microtubule filaments
together to form bundles. In cultured hippocampal neurons, knockdown of CTTNBP2
or expression of the Mid or NCC domain alone reduced the acetylation levels of
microtubules and impaired dendritic arborization. This study suggests that
CTTNBP2 influences both the F-actin and microtubule cytoskeletons and regulates
dendritic spine formation and dendritic arborization.
PMID- 24928894
TI - RhoJ interacts with the GIT-PIX complex and regulates focal adhesion disassembly.
AB - RhoJ is a Rho GTPase expressed in endothelial cells and tumour cells, which
regulates cell motility, invasion, endothelial tube formation and focal adhesion
numbers. This study aimed to further delineate the molecular function of RhoJ.
Using timelapse microscopy RhoJ was found to regulate focal adhesion disassembly;
small interfering RNA (siRNA)-mediated knockdown of RhoJ increased focal adhesion
disassembly time, whereas expression of an active mutant (daRhoJ) decreased it.
Furthermore, daRhoJ co-precipitated with the GIT-PIX complex, a regulator of
focal adhesion disassembly. An interaction between daRhoJ and GIT1 was confirmed
using yeast two-hybrid experiments, and this depended on the Spa homology domain
of GIT1. GIT1, GIT2, beta-PIX (also known as ARHGEF7) and RhoJ all colocalised in
focal adhesions and depended on each other for their recruitment to focal
adhesions. Functionally, the GIT-PIX complex regulated endothelial tube
formation, with knockdown of both GIT1 and GIT2, or beta-PIX phenocopying RhoJ
knockdown. RhoJ-knockout mice showed reduced tumour growth and diminished tumour
vessel density, identifying a role for RhoJ in mediating tumour angiogenesis.
These studies give new insight into the molecular function of RhoJ in regulating
cell motility and tumour vessel formation.
PMID- 24928897
TI - sigma1B adaptin regulates adipogenesis by mediating the sorting of sortilin in
adipose tissue.
AB - Here, we describe altered sorting of sortilin in adipocytes deficient for the
sigma1B-containing AP-1 complex, leading to the inhibition of adipogenesis. The
AP-1 complex mediates protein sorting between the trans-Golgi network and
endosomes. Vertebrates express three AP1 sigma1 subunit isoforms - sigma1A,
sigma1B and sigma1C (also known as AP1S1, AP1S2 and AP1S3, respectively). sigma1B
deficient mice display impaired recycling of synaptic vesicles and lipodystrophy.
Here, we show that sortilin is overexpressed in adipose tissue from sigma1B(-/-)
mice, and that its overexpression in wild-type cells is sufficient to suppress
adipogenesis. sigma1B-specific binding of sortilin requires the sortilin DxxD-x12
DSxxxL motif. sigma1B deficiency does not lead to a block of sortilin transport
out of a specific organelle, but the fraction that reaches lysosomes is reduced.
Sortilin binds to the receptor DLK1, an inhibitor of adipocyte differentiation,
and the overexpression of sortilin prevents DLK1 downregulation, leading to
enhanced inhibition of adipogenesis. DLK1 and sortilin expression are not
increased in the brain tissue of sigma1B(-/-) mice, although this is the tissue
with the highest expression of sigma1B and sortilin. Thus, adipose-tissue
specific and sigma1B-dependent routes for the transport of sortilin exist and are
involved in the regulation of adipogenesis and adipose-tissue mass.
PMID- 24928896
TI - A nuclear ubiquitin-proteasome pathway targets the inner nuclear membrane protein
Asi2 for degradation.
AB - The nuclear envelope consists of inner and outer nuclear membranes. Whereas the
outer membrane is an extension of the endoplasmic reticulum, the inner nuclear
membrane (INM) represents a unique membranous environment containing specific
proteins. The mechanisms of integral INM protein degradation are unknown. Here,
we investigated the turnover of Asi2, an integral INM protein in Saccharomyces
cerevisiae. We report that Asi2 is degraded by the proteasome independently of
the vacuole and that it exhibited a half-life of ~45 min. Asi2 exhibits enhanced
stability in mutants lacking the E2 ubiquitin conjugating enzymes Ubc6 or Ubc7,
or the E3 ubiquitin ligase Doa10. Consistent with these data, Asi2 is post
translationally modified by poly-ubiquitylation in a Ubc7- and Doa10-dependent
manner. Importantly Asi2 degradation is significantly reduced in a sts1-2 mutant
that fails to accumulate proteasomes in the nucleus, indicating that Asi2 is
degraded in the nucleus. Our results reveal a molecular pathway that affects the
stability of integral proteins of the inner nuclear membrane and indicate that
Asi2 is subject to protein quality control in the nucleus.
PMID- 24928898
TI - Displacement of p130Cas from focal adhesions links actomyosin contraction to cell
migration.
AB - Cell adhesion complexes provide platforms where cell-generated forces are
transmitted to the extracellular matrix (ECM). Tyrosine phosphorylation of focal
adhesion proteins is crucial for cells to communicate with the extracellular
environment. However, the mechanisms that transmit actin cytoskeletal motion to
the extracellular environment to drive cell migration are poorly understood. We
find that the movement of p130Cas (Cas, also known as BCAR1), a mechanosensor at
focal adhesions, correlates with actin retrograde flow and depends upon
actomyosin contraction and phosphorylation of the Cas substrate domain (CasSD).
This indicates that CasSD phosphorylation underpins the physical link between Cas
and the actin cytoskeleton. Fluorescence recovery after photobleaching (FRAP)
experiments reveal that CasSD phosphorylation, as opposed to the association of
Cas with Src, facilitates Cas displacement from adhesion complexes in migrating
cells. Furthermore, the stabilization of Src-Cas binding and inhibition of myosin
II, both of which sustain CasSD phosphorylation but mitigate Cas displacement
from adhesion sites, retard cell migration. These results indicate that Cas
promotes cell migration by linking actomyosin contractions to the adhesion
complexes through a dynamic interaction with Src as well as through the
phosphorylation-dependent association with the actin cytoskeleton.
PMID- 24928899
TI - Dynamics of the Toxoplasma gondii inner membrane complex.
AB - Unlike most cells, protozoa in the phylum Apicomplexa divide by a distinctive
process in which multiple daughters are assembled within the mother (schizogony
or endodyogeny), using scaffolding known as the inner membrane complex (IMC). The
IMC underlies the plasma membrane during interphase, but new daughters develop in
the cytoplasm, as cytoskeletal filaments associate with flattened membrane
cisternae (alveolae), which elongate rapidly to encapsulate subcellular
organelles. Newly assembled daughters acquire their plasma membrane as they
emerge from the mother, leaving behind vestiges of the maternal cell. Although
the maternal plasma membrane remains intact throughout this process, the maternal
IMC disappears - is it degraded, or recycled to form the daughter IMC? Exploiting
fluorescently tagged IMC markers, we have used live-cell imaging, fluorescence
recovery after photobleaching (FRAP) and mEos2 photoactivation to monitor the
dynamics of IMC biogenesis and turnover during the replication of Toxoplasma
gondii tachyzoites. These studies reveal that the formation of the T. gondii IMC
involves two distinct steps - de novo assembly during daughter IMC elongation
within the mother cell, followed by recycling of maternal IMC membranes after the
emergence of daughters from the mother cell.
PMID- 24928901
TI - Reconstitution of human rRNA gene transcription in mouse cells by a complete SL1
complex.
AB - An important characteristic of the transcription of a ribosomal RNA gene (rDNA)
mediated by DNA-dependent RNA polymerase (Pol) I is its stringent species
specificity. SL1/TIF-IB is a key complex for species specificity, but its
functional complex has not been reconstituted. Here, we established a novel and
highly sensitive monitoring system for Pol I transcription to reconstitute the
SL1 activity in which a transcript harboring a reporter gene synthesized by Pol I
is amplified and converted into translatable mRNA by the influenza virus RNA
dependent RNA polymerase. Using this monitoring system, we reconstituted Pol I
transcription from the human rDNA promoter in mouse cells by expressing four
human TATA-binding protein (TBP)-associated factors (TAFIs) in the SL1 complex.
The reconstituted SL1 also re-activated human rDNA transcription in mouse A9
cells carrying an inactive human chromosome 21 that contains the rDNA cluster.
Chimeric SL1 complexes containing human and mouse TAFIs could be formed, but
these complexes were inactive for human rDNA transcription. We conclude that four
human TAFIs are necessary and sufficient to overcome the barrier of species
specificity for human rDNA transcription in mouse cells.
PMID- 24928900
TI - A specific subset of E2 ubiquitin-conjugating enzymes regulate Parkin activation
and mitophagy differently.
AB - Loss-of-function mutations in the genes encoding PINK1 and Parkin (also known as
PARK2) are the most common causes of recessive Parkinson's disease. Both together
mediate the selective degradation of mitochondrial proteins and whole organelles
via the proteasome and the autophagy-lysosome pathway (mitophagy). The
mitochondrial kinase PINK1 activates and recruits the E3 ubiquitin ligase Parkin
to de-energized mitochondria. However, the cognate E2 co-enzymes of Parkin in
this ubiquitin-dependent pathway have not been investigated. Here, we discovered
a total of four E2s that either positively or negatively regulate the activation,
translocation and enzymatic functions of Parkin during mitochondrial quality
control. UBE2D family members and UBE2L3 redundantly charged the RING-HECT hybrid
ligase Parkin with ubiquitin, resulting in its initial activation and
translocation to mitochondria. UBE2N, however, primarily operated through a
different mechanism in order to mediate the proper clustering of mitochondria, a
prerequisite for degradation. Strikingly, in contrast to UBE2D, UBE2L3 and UBE2N,
depletion of UBE2R1 resulted in enhanced Parkin translocation and clustering upon
mitochondrial uncoupling. Our study uncovered redundant, cooperative or
antagonistic functions of distinct E2 enzymes in the regulation of Parkin and
mitophagy that might suggest a putative role in Parkinson's disease pathogenesis.
PMID- 24928902
TI - Recruitment of septin cytoskeletal proteins by botulinum toxin A protease
determines its remarkable stability.
AB - Proteolytic cleavage of synaptosomal-associated protein 25 by the light chain of
botulinum neurotoxin type A (LCA) results in a blockade of neurotransmitter
release that persists for several months in motor neurons. The L428A/L429A
mutation in LCA is known to significantly shorten both the proteolytic and
neuroparalytic effects of the neurotoxin in mice. To elucidate the cellular
mechanism for LCA longevity, we studied the effects of L428A/L429A mutation on
the interactome, localization and stability of LCA expressed in cultured neuronal
cells. Mass spectrometry analysis of the LCA interactome showed that the mutation
prevented the interaction of LCA with septins. The wild-type LCA was concentrated
in plasma-membrane-associated clusters, colocalizing with septins-2 and septin-7,
which accumulated in these clusters only in the presence of LCA. The L428A/L429A
mutation decreased co-clustering of LCA and septins and accelerated proteasomal
and non-proteasomal degradation of LCA. Similarly, the impairment of septin
oligomerization by forchlorfenuron or silencing of septin-2 prevented LCA
interaction and clustering with septins and increased LCA degradation. Therefore,
the dileucine-mediated LCA-septin co-clustering is crucial for the long-lasting
stabilization of LCA-related proteolytic and presumably neuroparalytic activity.
PMID- 24928903
TI - Myosin VI mediates the movement of NHE3 down the microvillus in intestinal
epithelial cells.
AB - The intestinal brush border Na(+)/H(+) exchanger NHE3 is tightly regulated
through changes in its endocytosis and exocytosis. Myosin VI, a minus-end
directed actin motor, has been implicated in endocytosis at the inter-microvillar
cleft and during vesicle remodeling in the terminal web. Here, we asked whether
myosin VI also regulates NHE3 movement down the microvillus. The basal NHE3
activity and its surface amount, determined by fluorometry of the ratiometric pH
indicator BCECF and biotinylation assays, respectively, were increased in myosin
VI-knockdown (KD) Caco-2/Bbe cells. Carbachol (CCH) and forskolin (FSK)
stimulated NHE3 endocytosis in control but not in myosin VI KD cells.
Importantly, immunoelectron microscopy results showed that NHE3 was
preferentially localized in the basal half of control microvilli but in the
distal half in myosin VI KD cells. Treatment with dynasore duplicated some
aspects of myosin VI KD: it increased basal surface NHE3 activity and prevented
FSK-induced NHE3 endocytosis. However, NHE3 had an intermediate distribution
along the microvillus (between that in myosin VI KD and untreated cells) in
dynasore-treated cells. We conclude that myosin VI is required for basal and
stimulated endocytosis of NHE3 in intestinal cells, and suggest that myosin VI
also moves NHE3 down the microvillus.
PMID- 24928904
TI - Polarizing intestinal epithelial cells electrically through Ror2.
AB - The apicobasal polarity of enterocytes determines where the brush border membrane
(apical membrane) will form, but how this apical membrane faces the lumen is not
well understood. The electrical signal across the epithelium could serve as a
coordinating cue, orienting and polarizing enterocytes. Here, we show that
applying a physiological electric field to intestinal epithelial cells, to mimic
the natural electric field created by the transepithelial potential difference,
polarized phosphorylation of the actin-binding protein ezrin, increased
expression of intestinal alkaline phosphatase (ALPI, a differentiation marker)
and remodeled the actin cytoskeleton selectively on the cathode side. In
addition, an applied electric field also activated ERK1/2 and LKB1 (also known as
STK11), key molecules in apical membrane formation. Disruption of the tyrosine
protein kinase transmembrane receptor Ror2 suppressed activation of ERK1/2 and
LKB1 significantly, and subsequently inhibited apical membrane formation in
enterocytes. Our findings indicate that the endogenous electric field created by
the transepithelial potential difference might act as an essential coordinating
signal for apical membrane formation at a tissue level, through activation of
LKB1 mediated by Ror2-ERK signaling.
PMID- 24928905
TI - Protein kinase D activity controls endothelial nitric oxide synthesis.
AB - Vascular endothelial growth factor (VEGF) regulates key functions of the
endothelium, such as angiogenesis or vessel repair in processes involving
endothelial nitric oxide synthase (eNOS) activation. One of the effector kinases
that become activated in endothelial cells upon VEGF treatment is protein kinase
D (PKD). Here, we show that PKD phosphorylates eNOS, leading to its activation
and a concomitant increase in NO synthesis. Using mass spectrometry, we show that
the purified active kinase specifically phosphorylates recombinant eNOS on
Ser1179. Treatment of endothelial cells with VEGF or phorbol 12,13-dibutyrate
(PDBu) activates PKD and increases eNOS Ser1179 phosphorylation. In addition,
pharmacological inhibition of PKD and gene silencing of both PKD1 and PKD2
abrogate VEGF signaling, resulting in a clear diminished migration of endothelial
cells in a wound healing assay. Finally, inhibition of PKD in mice results in an
almost complete disappearance of the VEGF-induced vasodilatation, as monitored
through determination of the diameter of the carotid artery. Hence, our data
indicate that PKD is a new regulatory kinase of eNOS in endothelial cells whose
activity orchestrates mammalian vascular tone.
PMID- 24928907
TI - The KCl-cotransporter KCC2 linked to epilepsy.
PMID- 24928906
TI - Rassf5 and Ndr kinases regulate neuronal polarity through Par3 phosphorylation in
a novel pathway.
AB - The morphology and polarized growth of cells depend on pathways that control the
asymmetric distribution of regulatory factors. The evolutionarily conserved Ndr
kinases play important roles in cell polarity and morphogenesis in yeast and
invertebrates but it is unclear whether they perform a similar function in
mammalian cells. Here, we analyze the function of mammalian Ndr1 and Ndr2 (also
known as STK38 or STK38L, respectively) in the establishment of polarity in
neurons. We show that they act downstream of the tumor suppressor Rassf5 and
upstream of the polarity protein Par3 (also known as PARD3). Rassf5 and Ndr1 or
Ndr2 are required during the polarization of hippocampal neurons to prevent the
formation of supernumerary axons. Mechanistically, the Ndr kinases act by
phosphorylating Par3 at Ser383 to inhibit its interaction with dynein, thereby
polarizing the distribution of Par3 and reinforcing axon specification. Our
results identify a novel Rassf5-Ndr-Par3 signaling cascade that regulates the
transport of Par3 during the establishment of neuronal polarity. Their role in
neuronal polarity suggests that Ndr kinases perform a conserved function as
regulators of cell polarity.
PMID- 24928909
TI - Conformational plasticity of the influenza A virus NS1 protein.
AB - During infection, the influenza A virus non-structural protein 1 (NS1) interacts
with a diverse range of viral and cellular factors to antagonize host antiviral
defences and promote viral replication. Here, I review the structural basis for
some of these functions and discuss the emerging view that NS1 cannot simply be
regarded as a 'static' protein with a single structure. Rather, the dynamic
property of NS1 to adopt various quaternary conformations is critical for its
multiple activities. Understanding NS1 plasticity, and the mechanisms governing
this plasticity, will be essential for assessing both fundamental protein
function and the consequences of strain-dependent polymorphisms in this important
virulence factor.
PMID- 24928908
TI - Genetically encoded impairment of neuronal KCC2 cotransporter function in human
idiopathic generalized epilepsy.
AB - The KCC2 cotransporter establishes the low neuronal Cl(-) levels required for
GABAA and glycine (Gly) receptor-mediated inhibition, and KCC2 deficiency in
model organisms results in network hyperexcitability. However, no mutations in
KCC2 have been documented in human disease. Here, we report two non-synonymous
functional variants in human KCC2, R952H and R1049C, exhibiting clear statistical
association with idiopathic generalized epilepsy (IGE). These variants reside in
conserved residues in the KCC2 cytoplasmic C-terminus, exhibit significantly
impaired Cl(-)-extrusion capacities resulting in less hyperpolarized Gly
equilibrium potentials (EG ly), and impair KCC2 stimulatory phosphorylation at
serine 940, a key regulatory site. These data describe a novel KCC2 variant
significantly associated with a human disease and suggest genetically encoded
impairment of KCC2 functional regulation may be a risk factor for the development
of human IGE.
PMID- 24928911
TI - Serum concentrations of afamin are elevated in patients with polycystic ovary
syndrome.
AB - Oxidative stress seems to be present in patients with polycystic ovary syndrome
(PCOS). The aim of this study was to evaluate the correlation between
characteristics of PCOS and serum concentrations of afamin, a novel binding
protein for the antioxidant vitamin E. A total of 85 patients with PCOS and 76
control subjects were investigated in a pilot cross-sectional study design
between 2009 and 2013 in the University Hospital of Essen, Germany. Patients with
PCOS were diagnosed according to the Rotterdam ESHRE/ASRM-sponsored PCOS
Consensus Workshop Group. Afamin and diagnostic parameters of PCOS were
determined at early follicular phase. Afamin concentrations were significantly
higher in patients with PCOS than in controls (odds ratio (OR) for a 10 mg/ml
increase in afamin=1.3, 95% CI=1.08-1.58). This difference vanished in a model
adjusting for age, BMI, free testosterone index (FTI), and sex hormone-binding
globulin (SHBG) (OR=1.05, 95% CI=0.80-1.38). In patients with PCOS, afamin
correlated significantly with homeostatic model assessment-insulin resistance
(HOMA-IR), fasting glucose, BMI, FTI, and SHBG (P<0.001), but in a multivariate
linear model, only HOMA-IR remained significantly associated with afamin
(P=0.001). No correlation was observed between afamin and androgens, LH, FSH,
LH/FSH ratio, antral follicle count, ovarian volume, or anti-Mullerian hormone.
In conclusion, elevated afamin values may indicate a state of oxidative stress
and inflammation, strongly associated with IR and offering an indicator of
impaired glucose tolerance in patients with PCOS irrespective of obesity.
PMID- 24928912
TI - 8th International Meeting of "Lorraine Pole of Cartilage Engineering". Foreword.
PMID- 24928910
TI - Host factors determine differential disease progression after infection with nef
deleted simian immunodeficiency virus.
AB - Infection of macaques with live attenuated simian immunodeficiency virus (SIV)
usually results in long-lasting efficient protection against infection with
pathogenic immunodeficiency viruses. However, attenuation by deletion of
regulatory genes such as nef is not complete, leading to a high viral load and
fatal disease in some animals. To characterize immunological parameters and
polymorphic host factors, we studied 17 rhesus macaques infected with attenuated
SIVmac239DeltaNU. Eight animals were able to control viral replication, whereas
the remaining animals (non-controllers) displayed variable set-point viral loads.
Peak viral load at 2 weeks post-infection (p.i.) correlated significantly with
set-point viral load (P<0.0001). CD4(+) T-cell frequencies differed significantly
soon after infection between controllers and non-controllers. Abnormal B-cell
activation previously ascribed to Nef function could already be observed in non
controllers 8 weeks after infection despite the absence of Nef. Two non
controllers developed an AIDS-like disease within 102 weeks p.i. Virus from these
animals transmitted to naive animals replicated at low levels and the recipients
did not develop immunodeficiency. This suggested that host factors determined
differential viral load and subsequent disease course. Known Mhc class I alleles
associated with disease progression in SIV WT infection only marginally
influenced the viral load in Deltanef-infected animals. Protection from SIVmac251
was associated with homozygosity for MHC class II in conjunction with a TLR7
polymorphism and showed a trend with initial viral replication. We speculated
that host factors whose effects were usually masked by Nef were responsible for
the different disease courses in individual animals upon infection with nef
deleted viruses.
PMID- 24928913
TI - Identification of new microRNAs targeting genes regulating the Pi/PPi balance in
chondrocytes.
AB - Osteoarthritis (OA) is a whole-joint disease characterized by cartilage
degradation and mineralization associated with chondrocyte phenotype changes,
subchondral bone sclerosis and mild synovial inflammation. The extracellular
levels of inorganic phosphate (ePi) and pyrophosphate (ePPi) are major regulators
of the mineralization process but also play a role in the maintenance of the
differentiated chondrocyte phenotype. Four membrane proteins control the balance
between ePi and ePPi: the transporters ANK (exporting PPi outside the cells) and
PiT-1 (importing ePi into the cells), and the enzymes PC-1 (generating ePPi from
nucleotides) and Tissue Non-specific Alkaline Phosphatase (TNAP, hydrolyzing ePPi
into ePi). In the present work, we investigated the ability of specific microRNAs
(miR) to modulate activity and level of the mRNA coding for the regulatory
proteins of the ePi/ePPi balance in chondrocytes. The 4 following microRNAs,
let7e, miR-9, miR-188 and miR-219, were selected by bioinformatics for their
ability to putatively target the mRNA 3'UTRs of these regulators. In IL-1beta
stimulated human chondrocytes, chosen as a model of differentiated phenotype loss
implicating the PPi transporter ANK, miR-9, miR-188 and let7e levels increased.
However, luciferase reporter assays and transient miR overexpression in the ATDC5
chondrogenic cell line only support that miR-9 was a negative post
transcriptional regulator of PC-1, Pit-1 and TNAP mRNAs. This suggests that miR-9
could contribute to the acquirement of an altered chondrocyte phenotype by
disrupting the ePi/ePPi balance.
PMID- 24928914
TI - Synovial fluid levels of adipokines in osteoarthritis: Association with local
factors of inflammation and cartilage maintenance.
AB - The role of body weight in the pathogenesis of osteoarthritis (OA) - previously
considered the sole factor in the association between obesity and OA - is being
re-evaluated as the contribution of adiposity to the cartilage degenerative
process becomes clearer. The current study has been undertaken to better
understand the role of adipose-derived proteins, namely adipokines, in OA. For
this purpose, we investigated in patients with OA the relationships between the
joint levels of leptin, adiponectin and resistin and those of factors involved in
inflammation and cartilage maintenance. The sandwich enzyme-linked immunosorbent
assays were used to determine in the synovial fluid (SF) from 35 OA patients, the
concentrations of adipokines, interleukin-6 (IL-6) and transforming growth factor
beta (TGF-beta). The soluble form of leptin receptor (sOb-R) was also examined to
evaluate the biological active free form of leptin. Correlation analysis indicate
that IL-6 levels are positively related to the levels of resistin and
adiponectin. Surprisingly, the free form of leptin, but not the total leptin, is
negatively associated with IL-6. Beside, adiponectin is the single adipokine that
is correlated with TGF-beta. Interestingly, a sexual dimorphism is observed in
the study as correlations between adipokines and IL-6 or TGF-beta are found only
with female OA patients. Taken together, these findings suggest that only
adiponectin may contribute to the metabolic changes associated with OA. The three
adipokines may also be involved in inflammation, but with opposite effects. Both
resistin and adiponectin may exhibit pro-inflammatory activity while the free
form of leptin may down-regulate the inflammation.
PMID- 24928916
TI - Phosphate-induced mineralization of tracheal smooth muscle and cartilage cells.
AB - BACKGROUND: During aging or various diseases, pathologic mineralization may occur
in joints or in the vascular wall. This is due to the deposition of phosphate
(Pi)-containing crystals into the extracellular matrix of articular chondrocytes
or vascular smooth muscle cells. The mineralization ability of chondrocytes and
smooth muscle cells of other tissue has not been investigated. OBJECTIVE: In this
context, our work seeks to study the response induced by Pi on cartilage and
smooth muscle cells from tracheal origin. METHODS: We have established a
dissection procedure to harvest and isolate chondrocyte and smooth muscle cells
from adult mouse trachea. Both cell types were then exposed to different
concentrations of Pi (1, 3 or 5 mM) up to 14 days. Mineralization was evaluated
by alizarin red staining, which identifies calcium deposition. The expression of
genes characterizing the phenotypic identity of the cells and involved in the
mineralization process was assessed by RT-qPCR. RESULTS: Treatment of tracheal
chondrocytes and smooth muscle cells with increasing concentrations of Pi (3 and
5 mM) induced mineralization as revealed by positive alizarin red staining as
early as 7 days of culture. Moreover, gene expression analysis revealed profound
phenotypic changes in both cell types and suggested they mineralize through TNAP
independent or -dependent mechanisms, respectively. CONCLUSIONS: Our data
indicate that, comparably to articular chondrocytes or vascular smooth muscles,
chondrocyte and smooth muscle cells from the trachea are prone to mineralize
under high-phosphate conditions.
PMID- 24928915
TI - Increased internalization of Staphylococcus aureus and cytokine expression in
human Wharton's jelly mesenchymal stem cells.
AB - BACKGROUND: Although a large number of studies have documented the interaction of
mesenchymal stem cells (MSCs) with cells of both the innate and adaptive immune
systems, not much is known about how bacteria interact with MSCs and how this
might influence MSCs behavior. In this study, we investigated the impact of
Staphylococcus aureus (S. aureus), on viability and cytokines' production of
human Wharton's jelly-MSCs (WJ-MSCs). OBJECTIVE: To investigate if WJ-MSCs: (1)
internalize S. aureus; (2) are able to survive and (3) release immunomodulatory
mediators after interaction with S. aureus. METHODS: WJ-MSCs were exposed to S.
aureus at a multiplicity of infection (MOI) of 10:1 or 30:1 for different
designed times. After interaction, intracellular bacteria were quantified as well
as MSCs viability. Expression and cytokine-secretion were assessed using
quantitative real-time PCR and ELISA. RESULTS: We found that the challenge of WJ
MSCs with S. aureus resulted in increased internalization of S. aureus in a time
dependent manner until six hours post-infection at either MOI of 10:1 and 30:1
and in increased expression of IL-6 mRNA and secretion of TNF-alpha at six hours
and nine hours post-infection (p<0.05). CONCLUSIONS: These results indicate that
WJ-MSCs are able to internalize S. aureus and reveal a potential important
function of these cells in the immune response.
PMID- 24928917
TI - Donor's age dependent proliferation decrease of human bone marrow mesenchymal
stem cells is linked to diminished clonogenicity.
AB - While mesenchymal stem cells represent an interesting cell source for
regenerative medicine, several points have to be investigated to improve their
use in clinical, and in particular in the elderly population. This work studied
the proliferation capacity of mesenchymal stem cells isolated from human bone
marrow in function of donor's age. Doubling time after in vitro culture,
clonogenicity and phenotype were analyzed in 17 samples ranging from 3 to 85
years old (mean 47 +/- 27). Results showed an increase in the doubling time for
cell coming from old donor compared to cells coming from young ones. This was
accompanied by a decrease in clonogenicity while no changes were observe in cell
phenotype. In conclusion, this study showed an effect of donor's age on the
proliferation capacity of mesenchymal stem cells isolated from bone marrow that
was correlated to a decrease in clonogenicity. The comprehension of molecular
mechanism involved in this process could help to improve the clinical application
of mesenchymal stem cells.
PMID- 24928918
TI - Gene screening of Wharton's jelly derived stem cells.
AB - Stem cells are the most powerful candidate for the treatment of various diseases.
Suitable stem cell source should be harvested with minimal invasive procedure,
found in great quantity, and transplanted with no risk of immune response and
tumor formation. Fetal derived stem cells have been introduced as an excellent
alternative to adult and embryonic stem cells use, but unfortunately, their
degree of "stemness" and molecular characterization is still unclear. Several
studies have been performed deciphering whether fetal stem cells meet the needs
of regenerative medicine. We believe that a transcriptomic screening of Wharton's
jelly stem cells will bring insights on cell population features.
PMID- 24928919
TI - Chitosan/hydroxyapatite hybrid scaffold for bone tissue engineering.
AB - BACKGROUND: To favor regeneration following critical bone defect, a combination
of autologous bone graft and biomaterials is currently used. Major drawbacks of
such techniques remain the availability of the autologous material and the second
surgical site, inducing pain and morbidity. OBJECTIVE: Our aim was to investigate
the biocompatibility in vitro of three dimensions hybrid biodegradable scaffolds
combining osteoconductive properties of hydroxyapatite and anti-inflammatory
properties of chitosan. METHODS: Hybrid scaffolds were characterized by
microscopic observations, equilibrium swelling ratio and overtime weight loss
measurements. In vitro studies were performed using primary human bone cells
cultured for 7, 14 and 21 days. Cell viability, proliferation, morphology and
differentiation through alkaline phosphatase (ALP) activity measurement were
assessed. RESULTS: Characterization of our scaffolds demonstrated porous,
hydrophilic and biodegradable characteristics. In vitro studies showed that these
scaffolds have induced slight decrease in cell death and proliferation comparing
to the culture plastic substrate control condition, as well as increased short
term osteoinductive properties. CONCLUSIONS: In this study, we have provided
evidence that our hybrid hydroxyapatite/chitosan scaffolds could be suitable for
bone filling.
PMID- 24928920
TI - Age-related alterations in TGF beta signaling as a causal factor of cartilage
degeneration in osteoarthritis.
AB - BACKGROUND: Age is the most important risk factor for primary osteoarthritis
(OA). Members of the TGF-beta superfamily play a crucial role in chondrocyte
differentiation and maintenance of healthy articular cartilage. OBJECTIVE: We
have investigated whether age-related changes in TGF-beta superfamily signaling
components play a role in the relationship between OA-related cartilage
degradation and aging. MATERIAL AND METHODS: The relationship between age, OA and
TGF-beta superfamily signaling was studied using murine experimental OA models,
aging mice, bovine articular cartilage and human OA cartilage. The effects of TGF
beta on cartilage homeostasis was studied with immunohistochemistry, Q-RT-PCR and
signaling pathway analysis with Western blotting and the application of specific
TGF-beta inhibitors. RESULTS: We have found that TGF-beta loses its protective
effects in old cartilage. Moreover, we found that on chondrocytes, TGF-beta not
only signals via the canonical type I receptor ALK5 (TGFBR1) but also via the
ALK1 (ACVRL1) receptor. Remarkably, signaling via ALK5 (Smad2/3 route) results in
protective while ALK1 signaling (Smad1/5/8 route) results in deleterious
responses in articular chondrocytes. In cartilage of aging mice it was detected
that the ALK1/ALK5 ratio is significantly increased, favoring TGF-beta signaling
via the Smad1/5/8 route, inducing changes in chondrocyte differentiation and
matrix metalloproteinase-13 (MMP-13) expression. Moreover, human OA cartilage
showed a significant correlation between ALK1 and MMP-13 expression. Since in
mice aging and OA in often goes hand in hand, we also analyzed age-related
expression of TGF-beta superfamily related signaling molecules in healthy bovine
cartilage in an age range from 6 months to 14 years. In this cohort of aging
cartilage, we found that mainly signaling receptors determining the Smad2/3
pathway were decreased with age while Smad1/5/8-related signaling molecules did
not alter, confirming our findings in aging mice. CONCLUSIONS: Old cartilage
appears to be less protected by TGF-beta and shows significant alterations in TGF
beta signaling pathways. Loss of the protective Smad2/3 pathway during aging can
provide an explanation for the relationship between OA and aging.
PMID- 24928921
TI - Fenofibrate vs pioglitazone: Comparative study of the anti-arthritic potencies of
PPAR-alpha and PPAR-gamma agonists in rat adjuvant-induced arthritis.
AB - BACKGROUND: Rheumatoid arthritis is characterized by synovial hyperplasia,
inflammatory infiltration, cartilage destruction and juxta-articular as well as
generalized bone demineralization. Peroxisome proliferator-activated receptors
(PPARs) are members of the nuclear hormone receptor superfamily which behave as
ligand-activated transcription factors in response to endogenous fatty acids and
eicosanoids or isotype selective synthetic compounds as fibrates and
thiazolidinediones. Beyond their key role in lipid metabolism, increased evidence
has shown a role of the three isotypes in inflammatory modulation. We and others
demonstrated previously that PPAR-gamma agonists reduced the severity of
experimental polyarthritis and the overall inflammatory-induced bone loss.
OBJECTIVE: To compare the anti-arthritic potencies of a PPAR-alpha agonist
(fenofibrate, a lipid lowering drug) and a PPAR-gamma agonist (pioglitazone,
formerly used as an antidiabetic drug) in rat adjuvant-induced arthritis.
METHODS: Male Lewis rats were sensitized by an intra-dermal injection of 1 mg
complete Freund's adjuvant at the basis of the tail and were treated orally for
21 days with fenofibrate 100 mg/kg/day (FENO) or pioglitazone 30 mg/kg/day (PIO),
or with vehicle only. Arthritis severity was evaluated by clinical observations
(oedema, clinical score, body weight). Global and femoral bone mineral density
(BMD), femoral bone mineral content (BMC) were measured by dual-energy X-ray
absorptiometry (DEXA) before sensitization and at day 20. Synovial mRNA levels of
IL-1beta and IL-6 were determined by real-time RT-PCR. RESULTS: Administration of
fenofibrate (100mg/kg/d) and pioglitazone (30 mg/kg/d) significantly reduced
hindpaw oedema and arthritis score. Treatment with fenofibrate exerted a better
effect on clinical scoring. DEXA analysis revealed that pioglitazone and
fenofibrate treatment to a greater extent, reduced inflammatory-bone loss and
increased BMD versus vehicle-treated rats. Finally, we demonstrated that both
agonists decreased synovial expression of IL-1beta and IL-6. CONCLUSION:
Pioglitazone and fenofibrate decreased arthritis severity in adjuvant-induced
arthritis. Both agonists partially protected animals from inflammatory induced
bone loss.
PMID- 24928922
TI - Decrease in red blood cell deformability is associated with a reduction in RBC
NOS activation during storage.
AB - During storage, red blood cells (RBC) become more susceptible to hemolysis and it
has also been shown that RBC deformability, which is influenced by RBC nitric
oxide synthase (RBC-NOS) activity, decreases during blood storage while a
correlation between these two parameters under storage conditions has not been
investigated so far. Therefore, blood from 15 male volunteers was anticoagulated,
leuko-reduced and stored as either concentrated RBC or RBC diluted in saline
adenine-glucose-mannitol (SAGM) for eight weeks at 4 degrees C and results were
compared to data obtained from freshly drawn blood. During storage, decrease of
RBC deformability was related to increased mean cellular volume and increased
cell lysis but also to a decrease in RBC-NOS activation. The changes were more
pronounced in concentrated RBC than in RBC diluted in SAGM suggesting that the
storage method affects the quality of blood. These data shed new light on
mechanisms underlying the phenomenon of storage lesion and reveal that RBC-NOS
activation and possibly nitric oxide production in RBC are key elements that are
influenced by storage and in turn alter deformability. Further studies should
therefore also focus on improving these parameters during storage to improve the
quality of stored blood with respect to blood transfusion.
PMID- 24928923
TI - Battle against the odds: win with effort, attitude, and perseverance!
PMID- 24928925
TI - Phytobezoar leading to gastric outlet obstruction in a patient with diabetes.
AB - Gastroparesis is a condition which results in delayed gastric emptying without
gastric outflow tract obstruction. Gastrointestinal involvement in diabetes can
present in various forms such as oesophageal dysmotility, gastro-oesophageal
reflux disease, gastroparesis, enteropathy, non-alcoholic fatty liver disease and
glycogenic hepatopathy. Gastroparesis is the most common gastric motility
disorder complicating long-standing diabetes. It can sometimes lead to mechanical
obstruction as a result of formation of bezoars. Phytobezoars are the most common
type of bezoar and are composed of indigestible food, vegetable fibre or seeds.
Poor pyloric function and decreased acid formation predisposes phytobezoars
formation in patients with diabetic gastroparesis. An 80-year-old patient with
diabetes in our presentation developed gastric outlet obstruction due to
impaction of phytobezoar over the pylorus.
PMID- 24928924
TI - BEM46 shows eisosomal localization and association with tryptophan-derived auxin
pathway in Neurospora crassa.
AB - BEM46 proteins are evolutionarily conserved, but their functions remain elusive.
We reported previously that the BEM46 protein in Neurospora crassa is targeted to
the endoplasmic reticulum (ER) and is essential for ascospore germination. In the
present study, we established a bem46 knockout strain of N. crassa. This
Deltabem46 mutant exhibited a level of ascospore germination lower than that of
the wild type but much higher than those of the previously characterized bem46
overexpressing and RNA interference (RNAi) lines. Reinvestigation of the RNAi
transformants revealed two types of alternatively spliced bem46 mRNA; expression
of either type led to a loss of ascospore germination. Our results indicated that
the phenotype was not due to bem46 mRNA downregulation or loss but was caused by
the alternatively spliced mRNAs and the peptides they encoded. Using the N.
crassa ortholog of the eisosomal protein PILA from Aspergillus nidulans, we
further demonstrated the colocalization of BEM46 with eisosomes. Employing the
yeast two-hybrid system, we identified a single interaction partner: anthranilate
synthase component II (encoded by trp-1). This interaction was confirmed in vivo
by a split-YFP (yellow fluorescent protein) approach. The Deltatrp-1 mutant
showed reduced ascospore germination and increased indole production, and we used
bioinformatic tools to identify a putative auxin biosynthetic pathway. The genes
involved exhibited various levels of transcriptional regulation in the different
bem46 transformant and mutant strains. We also investigated the indole production
of the strains in different developmental stages. Our findings suggested that the
regulation of indole biosynthesis genes was influenced by bem46 overexpression.
Furthermore, we uncovered evidence of colocalization of BEM46 with the neutral
amino acid transporter MTR.
PMID- 24928926
TI - Peripheral embolisation after an abdominal massage.
AB - A 65-year-old man presented with a history of acute onset pain in toes of the
right foot immediately after an abdominal massage by a 'local healer'. General
physical examination and systemic examination were normal except for
discolouration of the fourth and fifth toes and cold toes. Investigations
including complete blood count, erythrocyte sedimentation rate, renal function
tests, liver profile, lipid profile, antinuclear antibody, antineutrophil
cytoplasmic antibody, ECG, chest X-ray, ultrasound abdomen, cardiac
echocardiography, lower limb Doppler and CT scan of the abdomen were normal. The
patient was treated with regular heparin infusion, aspirin and tramadol. Recovery
was complete in 5 days.
PMID- 24928927
TI - Solid blue dot tumour: minor salivary gland acinic cell carcinoma.
AB - Acinic cell adenocarcinoma (ACC) is a low-grade malignant salivary neoplasm that
constitutes approximately 17% of all primary salivary gland malignancies. In the
head and neck region, the parotid gland is the predominant site of origin and ACC
is usually more frequent in women than men. Previous radiation exposure and
familial predisposition are some of the risk factors for ACC. ACCs rarely involve
minor salivary glands constituting only 13-17% of all minor salivary gland
tumours. Generally, a slowly enlarging mass lesion in the tail of the parotid
gland is the most frequent presentation. ACC has a significant tendency to recur,
metastasise and may have an aggressive evolution. Therefore, a long-term follow
up is mandatory after treatment. Here we report the case of a woman in her 60s
with an ACC in association with the labial minor salivary gland, presenting in
the post-treatment period of squamous cell carcinoma of the tongue.
PMID- 24928928
TI - Presurgical nasal moulding in a neonate with cleft lip.
AB - The concept of presurgical nasoalveolar moulding (PNM) was developed to improve
the aesthetic result of surgically corrected cleft lip. This paper presents the
method of fabrication of PNM appliance and the case of a 30-day-old neonate with
unilateral cleft lip in whom nasal moulding was performed. Treatment was
initiated at 30 days and continued for 60 days after which the surgical
correction of cleft lip was performed. Significant improvement in aesthetics and
symmetry of the nose was achieved at the end of the treatment.
PMID- 24928929
TI - Neonatal Marfan syndrome: a successful early multidisciplinary approach.
AB - Marfan syndrome (MFS) is a genetic disorder of the connective tissue which rarely
manifests in the neonatal period and has an ominous prognosis. A case of a first
female offspring of healthy parents is described here. The pregnancy was
uneventful and the mother had a term caesarean delivery. At birth, some
dysmorphic signs became apparent, such as loose redundant skin, dolichocephaly,
frontal bossing, deeply sunken eyes, micrognathia, contractures of the elbows,
arachnodactyly and hip dysplasia. The echocardiogram showed a mitral and
tricuspid valve regurgitation and a long aortic arch. The diagnosis of neonatal
MFS came forward and genetic studies revealed a de novo mutation in the fibrillin
1 (FBN1) gene. At 6 months, due to a progressive worsening of the cardiac
pathology, she was submitted to mitral valvuloplasty. She is now 2 years and 10
months old, which is a remarkable feat for a child suffering from this condition.
PMID- 24928930
TI - Neurodegenerative disorder masquerading as psychosis in a forensic psychiatry
setting.
AB - A man presenting in his 50s, following conviction for a non-violent crime, to
forensic psychiatric services, and then to a neuropsychiatry service with an
unusual presentation of psychosis: second person auditory hallucinations,
grandiose delusions and somatic delusions. Detailed collateral and family history
revealed a background of progressive cognitive deficit and a family history of
motor neuron disease. MRI of the brain revealed asymmetrical parieto-occipital
volume loss and genetic testing demonstrated a pathogenic expansion of the
chromosome 9 open reading frame 72 (C9ORF72) gene consistent with familial
frontotemporal dementia caused by a hexanucleotide repeat expansion at C9ORF72, a
recently discovered cause of familial frontotemporal dementia/motor neuron
disease. This form of frontotemporal dementia should be considered as an
important potential differential diagnosis for patients presenting with psychotic
symptoms in later life, in whom a detailed family history and thorough cognitive
assessment is essential.
PMID- 24928931
TI - The child with spontaneous recurrent bleeding in the eye.
AB - Juvenile xanthogranuloma is a self-limiting dermatological condition
characterised by spontaneous hyphaema due to uveal involvement causing
neovascularisation. Recurrent hyphaema in a child should alert the clinician
towards this rare diagnosis. Appropriate investigations and treatment should be
directed towards treating this possible diagnosis associated with neovascular
glaucoma where routine filtering surgeries may be associated with several
complications.
PMID- 24928932
TI - Transient acute adrenal insufficiency associated with adenovirus serotype 40
infection.
AB - We present an instance of a 6-year-old boy who was admitted with adenovirus
infection and developed transient acute adrenal insufficiency, which required
supplementation with glucocorticoids and mineralocorticoids for 8 weeks.
Adenovirus has got adrenotropic potential and can cause adrenal insufficiency. We
could not find any similar reported case in medical literature. We hope our case
would add to the existing knowledge of adenoviral complications in paediatric
patients.
PMID- 24928933
TI - Peritoneal inclusion cysts in pregnancy, a diagnostic challenge.
AB - A cystic pelvic mass detected during pregnancy is not uncommon, but can be a
diagnostic challenge. Most of these pelvic masses are benign ovarian cysts and
resolve spontaneously. However, rare non-ovarian causes can complicate the
diagnostic and therapeutic management. In this report an unusual case is
presented of a 27-year-old pregnant woman with an atypical multicystic lesion in
the pelvis, seen on routine first trimester ultrasound. A laparoscopic
exploration was performed to rule out ovarian malignancy, and after histological
analysis she was diagnosed with peritoneal inclusion cysts. The diagnostic and
therapeutic challenges inherent to this rare non-ovarian disease are addressed in
this case report.
PMID- 24928934
TI - Green urine in a postoperative patient.
PMID- 24928935
TI - Pseudointernuclear ophthalmoplegia as a presenting feature of ocular myasthenia
gravis.
PMID- 24928936
TI - Increased serum CXCL1 and CXCL5 are linked to obesity, hyperglycemia, and
impaired islet function.
AB - Proinflammatory cytokines are thought to play a significant role in the
pathogenesis of type 2 diabetes (T2D) and are elevated in the circulation even
before the onset of the disease. However, the full complement of cytokines
involved in the development of T2D is not known. In this study, 32 serum
cytokines were measured from diabetes-prone BKS.Cg-m+/+Lepr(db)/J (db/db) mice
and heterozygous age-matched control mice at 5 weeks (non-diabetic/non-obese), 6
7 weeks (transitional-to-diabetes), or 11 weeks (hyperglycemic/obese) and then
correlated with body weight, blood glucose, and fat content. Among these 32
cytokines, C-X-C motif ligand 1 (CXCL1) showed the greatest increase (+78%) in
serum levels between db/db mice that were hyperglycemic (blood glucose: 519+/-23
mg/dl, n=6) and those that were non-hyperglycemic (193+/-13 mg/dl, n=8).
Similarly, increased CXCL1 (+68%) and CXCL5 (+40%) were associated with increased
obesity in db/db mice; note that these effects could not be entirely separated
from age. We then examined whether islets could be a source of these chemokines.
Exposure to cytokines mimicking low-grade systemic inflammation (10 pg/ml
IL1beta+20 pg/ml IL6) for 48 h upregulated islet CXCL1 expression by 53+/-3-fold
and CXCL5 expression by 83+/-10-fold (n=4, P<0.001). Finally, overnight treatment
with the combination of CXCL1 and CXCL5 at serum levels was sufficient to produce
a significant decrease in the peak calcium response to glucose stimulation,
suggesting reduced islet function. Our findings demonstrated that CXCL1 and CXCL5
i) are increased in the circulation with the onset of T2D, ii) are produced by
islets under stress, and iii) synergistically affect islet function, suggesting
that these chemokines participate in the pathogenesis of T2D.
PMID- 24928937
TI - Thyroid status modulates T lymphoma growth via cell cycle regulatory proteins and
angiogenesis.
AB - We have shown in vitro that thyroid hormones (THs) regulate the balance between
proliferation and apoptosis of T lymphoma cells. The effects of THs on tumor
development have been studied, but the results are still controversial. Herein,
we show the modulatory action of thyroid status on the in vivo growth of T
lymphoma cells. For this purpose, euthyroid, hypothyroid, and hyperthyroid mice
received inoculations of EL4 cells to allow the development of solid tumors.
Tumors in the hyperthyroid animals exhibited a higher growth rate, as evidenced
by the early appearance of palpable solid tumors and the increased tumor volume.
These results are consistent with the rate of cell division determined by
staining tumor cells with carboxyfluorescein succinimidyl ester. Additionally,
hyperthyroid mice exhibited reduced survival. Hypothyroid mice did not differ
significantly from the euthyroid controls with respect to these parameters.
Additionally, only tumors from hyperthyroid animals had increased expression
levels of proliferating cell nuclear antigen and active caspase 3. Differential
expression of cell cycle regulatory proteins was also observed. The levels of
cyclins D1 and D3 were augmented in the tumors of the hyperthyroid animals,
whereas the cell cycle inhibitors p16/INK4A (CDKN2A) and p27/Kip1 (CDKN1B) and
the tumor suppressor p53 (TRP53) were increased in hypothyroid mice. Intratumoral
and peritumoral vasculogenesis was increased only in hyperthyroid mice.
Therefore, we propose that the thyroid status modulates the in vivo growth of EL4
T lymphoma through the regulation of cyclin, cyclin-dependent kinase inhibitor,
and tumor suppressor gene expression, as well as the stimulation of angiogenesis.
PMID- 24928938
TI - Mechanisms of PEDF-mediated protection against reactive oxygen species damage in
diabetic retinopathy and neuropathy.
AB - Pigment epithelium-derived factor (PEDF) is a pluripotent glycoprotein belonging
to the serpin family. PEDF can stimulate several physiological processes such as
angiogenesis, cell proliferation, and survival. Oxidative stress plays an
important role in the occurrence of diabetic retinopathy (DR), which is the major
cause of blindness in young diabetic adults. PEDF plays a protective role in DR
and there is accumulating evidence of the neuroprotective effect of PEDF. In this
paper, we review the role of PEDF and the mechanisms involved in its
antioxidative, anti-inflammatory, and neuroprotective properties.
PMID- 24928939
TI - Gender- and region-specific alterations in bone metabolism in Scarb1-null female
mice.
AB - A positive correlation between plasma levels of HDL and bone mass has been
reported by epidemiological studies. As scavenger receptor class B, type I (SR
BI), the gene product of Scarb1, is known to regulate HDL metabolism, we recently
characterized bone metabolism in Scarb1-null mice. These mice display high
femoral bone mass associated with enhanced bone formation. As gender differences
have been reported in HDL metabolism and SR-BI function, we investigated gender
specific bone alterations in Scarb1-null mice by microtomography and histology.
We found 16% greater relative bone volume and 39% higher bone formation rate in
the vertebrae from 2-month-old Scarb1-null females. No such alteration was seen
in males, indicating gender- and region-specific differences in skeletal
phenotype. Total and HDL-associated cholesterol levels, as well as ACTH plasma
levels, were increased in both Scarb1-null genders, the latter being concurrent
to impaired corticosterone response to fasting. Plasma levels of estradiol did
not differ between null and WT females, suggesting that the estrogen metabolism
alteration is not relevant to the higher vertebral bone mass in female Scarb1
null mice. Constitutively, high plasma levels of leptin along with 2.5-fold
increase in its expression in white adipose tissue were measured in female Scarb1
null mice only. In vitro exposure of bone marrow stromal cells to ACTH and leptin
promoted osteoblast differentiation as evidenced by increased gene expression of
osterix and collagen type I alpha. Our results suggest that hyperleptinemia may
account for the gender-specific high bone mass seen in the vertebrae of female
Scarb1-null mice.
PMID- 24928940
TI - Endocrine regulation of airway contractility is overlooked.
AB - Asthma is a prevalent respiratory disorder triggered by a variety of inhaled
environmental factors, such as allergens, viruses, and pollutants. Asthma is
characterized by an elevated activation of the smooth muscle surrounding the
airways, as well as a propensity of the airways to narrow excessively in response
to a spasmogen (i.e. contractile agonist), a feature called airway
hyperresponsiveness. The level of airway smooth muscle (ASM) activation is
putatively controlled by mediators released in its vicinity. In asthma, many
mediators that affect ASM contractility originate from inflammatory cells that
are mobilized into the airways, such as eosinophils. However, mounting evidence
indicates that mediators released by remote organs can also influence the level
of activation of ASM, as well as its level of responsiveness to spasmogens and
relaxant agonists. These remote mediators are transported through circulating
blood to act either directly on ASM or indirectly via the nervous system by
tuning the level of cholinergic activation of ASM. Indeed, mediators generated
from diverse organs, including the adrenals, pancreas, adipose tissue, gonads,
heart, intestines, and stomach, affect the contractility of ASM. Together, these
results suggest that, apart from a paracrine mode of regulation, ASM is subjected
to an endocrine mode of regulation. The results also imply that defects in organs
other than the lungs can contribute to asthma symptoms and severity. In this
review, I suggest that the endocrine mode of regulation of ASM contractility is
overlooked.
PMID- 24928942
TI - Editorial.
PMID- 24928944
TI - Molecular pathways: PI3K pathway phosphatases as biomarkers for cancer prognosis
and therapy.
AB - Cancer research has seen tremendous changes over the past decade. Fast progress
in sequencing technology has afforded us with landmark genetic alterations, which
had immediate impact on clinical science and practice by pointing to new kinase
targets, such as phosphoinositide 3-kinase (PI3K), the EGF receptor, or BRAF. The
PI3K pathway for growth control has emerged as a prime example for both oncogene
activation and tumor suppressor loss in cancer. Here, we discuss how therapy
using PI3K pathway inhibitors could benefit from information on specific
phosphatases, which naturally antagonize the kinase targets. This PI3K pathway is
found mutated in most cancer types, including prostate, breast, colon, and brain
tumors. The tumor-suppressing phosphatases operate at two levels. Lipid-level
phosphatases, such as PTEN and INPP4B, revert PI3K activity to keep the lipid
second messengers inactive. At the protein level, PHLPP1/2 protein phosphatases
inactivate AKT kinase, thus antagonizing mTOR complex 2 activity. However, in
contrast with their kinase counterparts the phosphatases are unlikely drug
targets. They would need to be stimulated by therapy and are commonly deleted and
mutated in cancer. Yet, because they occupy critical nodes in preventing cancer
initiation and progression, the information on their status has tremendous
potential in outcome prediction, and in matching the available kinase inhibitor
repertoire with the right patients. Clin Cancer Res; 20(12); 3057-63. (c)2014
AACR.
PMID- 24928947
TI - Retraction: molecular analysis of non-small cell lung cancer identifies subsets
with different sensitivity to insulin-like growth factor i receptor inhibition.
PMID- 24928946
TI - CHFR promoter methylation indicates poor prognosis in stage II microsatellite
stable colorectal cancer.
AB - PURPOSE: Data on the prognostic significance of promoter CpG island methylation
in colorectal cancer (CRC) are conflicting, possibly due to associations between
methylation and other factors affecting survival such as genetic alterations and
use of adjuvant therapy. Here, we examine the prognostic impact of promoter
methylation in patients with CRC treated with surgery alone in the context of
microsatellite instability (MSI), BRAF and KRAS mutations. EXPERIMENTAL METHODS:
One hundred and seventy-three CRCs were analyzed for promoter methylation of 19
tumor suppressor and DNA repair genes, the CpG island methylator phenotype
(CIMP), MSI, the exon 15 V600E BRAF mutation and KRAS codon 12 and 13 mutations.
RESULTS: Unsupervised hierarchical clustering based on methylation status of 19
genes revealed three subgroups: cluster 1 [CL1, 57% (98/173) of CRCs], cluster 2
[CL2, 25% (43/173) of CRCs], and cluster 3 [CL3, 18% (32/173) of CRCs]. CL3 had
the highest methylation index (0.25, 0.49, and 0.69, respectively, P = <0.01) and
was strongly associated with CIMP (P < 0.01). Subgroup analysis for tumor stage,
MSI, and BRAF status showed no statistically significant differences in survival
between CL1, CL2, and CL3 nor between CIMP and non-CIMP CRCs. Analyzing genes
separately revealed that CHFR promoter methylation was associated with a poor
prognosis in stage II, microsatellite stability (MSS), BRAF wild-type (WT) CRCs:
multivariate Cox proportional HR = 3.89 [95% confidence interval (CI), 1.58-9.60,
P < 0.01; n = 66] and HR = 2.11 (95% CI, 0.95-4.69, P = 0.068, n = 136) in a
second independent population-based study. CONCLUSIONS: CHFR promoter CpG island
methylation, which is associated with MSI, also occurs frequently in MSS CRCs and
is a promising prognostic marker in stage II, MSS, BRAF WT CRCs.
PMID- 24928941
TI - Circadian regulation of metabolism.
AB - In association with sleep-wake and fasting-feeding cycles, organisms experience
dramatic oscillations in energetic demands and nutrient supply. It is therefore
not surprising that various metabolic parameters, ranging from the activity
status of molecular energy sensors to circulating nutrient levels, oscillate in
time-of-day-dependent manners. It has become increasingly clear that rhythms in
metabolic processes are not simply in response to daily environmental/behavioral
influences, but are driven in part by cell autonomous circadian clocks. By
synchronizing the cell with its environment, clocks modulate a host of metabolic
processes in a temporally appropriate manner. The purpose of this article is to
review current understanding of the interplay between circadian clocks and
metabolism, in addition to the pathophysiologic consequences of disruption of
this molecular mechanism, in terms of cardiometabolic disease development.
PMID- 24928945
TI - Hydroxychloroquine inhibits autophagy to potentiate antiestrogen responsiveness
in ER+ breast cancer.
AB - PURPOSE: Estrogen receptor-alpha (ERalpha)-targeted therapies including tamoxifen
(TAM) or Faslodex (ICI) are used to treat ER(+) breast cancers. Up to 50% of
tumors will acquire resistance to these interventions. Autophagy has been
implicated as a major driver of antiestrogen resistance. We have explored the
ability of hydroxychloroquine (HCQ), which inhibits autophagy, to affect
antiestrogen responsiveness. EXPERIMENTAL DESIGN: TAM-resistant MCF7-RR and ICI
resistant/TAM cross-resistant LCC9 ER(+) breast cancer cells were injected into
mammary fat pads of female athymic mice and treated with TAM and/or ICI in
combination with oral low-dose HCQ. RESULTS: We show that HCQ can increase
antiestrogen responsiveness in MCF7-RR and LCC9 cells and tumors, likely through
the inhibition of autophagy. However, the combination of ICI+HCQ was less
effective than HCQ alone in vivo, unlike the TAM+HCQ combination. Antiestrogen
treatment stimulated angiogenesis in tumors but did not prevent HCQ
effectiveness. The lower efficacy of ICI+HCQ was associated with ICI effects on
cell-mediated immunity within the tumor microenvironment. The mouse chemokine KC
(CXCL1) and IFNgamma were differentially regulated by both TAM and ICI
treatments, suggesting a possible effect on macrophage development/activity.
Consistent with these observations, TAM+HCQ treatment increased tumor CD68(+)
cells infiltration, whereas ICI and ICI+HCQ reduced peripheral tumor macrophage
content. Moreover, macrophage elimination of breast cancer target cells in vitro
was reduced following exposure to ICI. CONCLUSION: HCQ restores antiestrogen
sensitivity to resistant tumors. Moreover, the beneficial combination of TAM+HCQ
suggests a positive outcome for ongoing neoadjuvant clinical trials using this
combination for the treatment of ER(+) ductal carcinoma in situ lesions.
PMID- 24928948
TI - A prospective randomized trial of single- or dual-chamber implantable
cardioverter-defibrillators to minimize inappropriate shock risk in primary
sudden cardiac death prevention.
AB - AIMS: Dual-chamber implantable cardioverter-defibrillators (ICDs) may improve
specificity and reduce the risk of inappropriate shocks, and enhance atrial
arrhythmia (AT/AF) detection to permit stroke prevention compared with single
chamber ICDs, but at additional expense and risk. METHODS AND RESULTS: Patients
(n = 100) receiving primary prevention ICDs at two USA and two Israeli centres
were randomized to dual-chamber or single-chamber devices between December 2008
and December 2010 and were followed for 1 year. Programming in both groups
included: delayed detection to avoid therapy for non-sustained episodes; high
detection cut-off rates to avoid treating slower, better tolerated arrhythmias;
minimized right ventricular pacing; and routine use of supraventricular
ventricular tahcycardia discriminators and antitachycardia pacing. The primary
outcome was the proportion of patients with inappropriate shocks. One patient in
each group (2%) received inappropriate shocks (P = 1.00). Death occurred in two
patients in the single-chamber arm, and in none of the patients in the dual
chamber arm (P = 0.15). New AT/AF was detected in 12 patients (24%) in the dual
chamber group, vs. no patients in the single-chamber group (P < 0.001). Among US
participants, the mean cost of dual- vs. single-chamber ICD implantation was $16
579 vs. $14 249, respectively (P < 0.001); there was no difference in the quality
of life (EQ-5D index difference 0.013, P = 0.769; EQ VAS difference 3.3, P =
0.49). CONCLUSION: When optimal programming is utilized, inappropriate shocks are
rare in primary prevention patients with both single- and dual-chamber ICDs. The
routine use of dual-chamber ICDs increases the expense without reducing
inappropriate shocks or improving the quality of life at 1 year. TRIAL
REGISTRATION: clinicaltrials.gov Identifier: NCT00787800.
PMID- 24928949
TI - Author reply: To PMID 24446511.
PMID- 24928950
TI - In cryoballoon pulmonary vein isolation there is no correlation between biomarker
release indicating myocardial necrosis and cumulative freezing time.
PMID- 24928951
TI - Characteristics of TRK-130 (Naltalimide), a novel opioid ligand, as a new
therapeutic agent for overactive bladder.
AB - We characterized TRK-130 (N-[(5R,6R,14S)-17-(cyclopropylmethyl)-4,5-epoxy-3,14
dihydroxymorphinan-6-yl]phthalimide; naltalimide), an opioid ligand, to clarify
the therapeutic potential for overactive bladder (OAB). In radioligand-binding
assays with cells expressing human u-opioid receptors (MORs), delta-opioid
receptors (DORs), or kappa-opioid receptors (KORs), TRK-130 showed high
selectivity for MORs (Ki for MORs, DORs, and KORs = 0.268, 121, and 8.97 nM,
respectively). In a functional assay (cAMP accumulation) with cells expressing
each human opioid receptor subtype, TRK-130 showed potent but partial agonistic
activity for MORs [EC50 (Emax) for MORs, DORs, and KORs = 2.39 nM (66.1%), 26.1
nM (71.0%), and 9.51 nM (62.6%), respectively]. In isovolumetric rhythmic bladder
contractions (RBCs) in anesthetized guinea pigs, TRK-130 dose-dependently
prolonged the shutdown time (the duration of complete cessation of the bladder
contractions) (ED30 = 0.0034 mg/kg i.v.) without affecting amplitude of RBCs.
Furthermore, TRK-130 ameliorated formalin-induced frequent urination at doses of
higher than 0.01 mg/kg p.o. in guinea pigs under the freely moving condition.
Meanwhile, TRK-130 showed only a negligible effect on the gastrointestinal
transit at doses of up to 10 mg/kg s.c. in mice. These results indicate that TRK
130 is a potent and selective human MOR partial agonist without undesirable
opioid adverse effects such as constipation and enhances the storage function by
suppressing the afferent limb of the micturition reflex pathway, suggesting that
TRK-130 would be a new therapeutic agent for OAB.
PMID- 24928952
TI - Ankle dorsiflexor muscle size, composition and force with ageing and chronic
obstructive pulmonary disease.
AB - Loss of skeletal muscle strength is a well-recognized feature of ageing and
chronic obstructive pulmonary disease (COPD). Reductions in muscle size provide
only a partial explanation for this loss of strength, and additional contributory
factors remain undetermined. We hypothesized that reductions in skeletal muscle
strength, as measured in the ankle dorsiflexor muscles, would be reduced with
ageing and COPD as a result of changes in both size and composition of the
tibialis anterior muscle. Twenty healthy young subjects, 18 healthy elderly
subjects and 17 patients with COPD were studied. Ankle dorsiflexor muscle
strength was assessed by maximal voluntary contraction (ADMVC) and 100 Hz
supramaximal electrical stimulation of the peroneal nerve (100 HzAD). Tibialis
anterior cross-sectional area (TACSA) and composition, as assessed by echo
intensity (TAEI), were measured using ultrasonography. Despite a lack of
differences in TACSA between groups, ADMVC and 100 HzAD were significantly
reduced in COPD patients compared with both healthy elderly and healthy young
subjects, when expressed as absolute values and when normalized to TACSA (P <
0.01). The TAEI was, however, higher in COPD patients compared with healthy
elderly (P = 0.025) and healthy young subjects (P = 0.0008), suggesting increased
levels of non-contractile tissue. Across all participants, ADMVC and 100 HzAD
correlated positively with TACSA (r = 0.78, P < 0.0001) and negatively with TAEI
(r = -0.46, P < 0.0005). The variance in 100 HzAD was best explained with a
regression model incorporating TACSA, TAEI, age and COPD status (r(2) = 0.822, P
= 0.001). These data demonstrate that the loss of skeletal muscle strength in
COPD is related to changes in muscle composition, with infiltration of non
contractile tissue beyond that seen during normal ageing.
PMID- 24928953
TI - Impact of endothelin blockade on acute exercise-induced changes in blood flow and
endothelial function in type 2 diabetes mellitus.
AB - Positive vascular effects of exercise training are mediated by acute increases in
blood flow. Type 2 diabetes patients show attenuated exercise-induced increases
in blood flow, possibly mediated by the endothelin pathway, preventing an optimal
stimulus for vascular adaptation. We examined the impact of endothelin receptor
blockade (bosentan) on exercise-induced blood flow in the brachial artery and on
pre- and postexercise endothelial function in type 2 diabetes patients (n = 9, 60
+/- 7 years old) and control subjects (n = 10, 60 +/- 5 years old). Subjects
reported twice to the laboratory to perform hand-grip exercise in the presence of
endothelin receptor blockade or placebo. We examined brachial artery endothelial
function (via flow-mediated dilatation) before and after exercise, as well as
blood flow during exercise. Endothelin receptor blockade resulted in a larger
increase in blood flow during exercise in type 2 diabetes patients (P = 0.046),
but not in control subjects (P = 0.309). Exercise increased shear rate across the
exercise protocol, unaffected by endothelin receptor blockade. Exercise did not
alter brachial artery diameter in either group, but endothelin receptor blockade
resulted in a larger brachial artery diameter in type 2 diabetes patients (P =
0.033). Exercise significantly increased brachial artery flow-mediated dilatation
in both groups, unaffected by endothelin receptor blockade. Endothelin receptor
blockade increased exercise-induced brachial artery blood flow in type 2 diabetes
patients, but not in control subjects. Despite this effect of endothelin receptor
blockade on blood flow, we found no impact on baseline or post-exercise
endothelial function in type 2 diabetes patients or control subjects, possibly
related to normalization of the shear stimulus during exercise. The successful
increase in blood flow during exercise in type 2 diabetes patients through
endothelin receptor blockade may have beneficial effects in repeated exercise
training.
PMID- 24928954
TI - Extracellular acidosis contracts coronary but neither renal nor mesenteric artery
via modulation of H+,K+-ATPase, voltage-gated K+ channels and L-type Ca2+
channels.
AB - Extracellular acidosis (EA) jeopardizes the heart, whereas mild extracellular
alkalinization is cardioprotective, but it remains elusive how the coronary
artery (CA) responses to EA. In the present study, EA was demonstrated to induce
contraction in rat coronary artery (RCA) in a manner dependent on extracellular
pH (pHo, 7.2-6.6), whereas it did not affect the resting tone of either rat renal
interlobe artery (RIA) or mesenteric artery (MA). The amplitude of contraction
provoked by pHo 6.8 was approximately equal to that induced by 60 mmol l(-1) KCl
at pHo 7.4. Blockade of L-type voltage-gated Ca(2+) channels and inhibition of
H(+),K(+)-ATPase attenuated the contraction, whereas inhibition of nitric oxide
synthesis and endothelial denudation augmented it. A molecular probe study showed
that EA acidified the cytosol of arterial smooth muscle cells (ASMCs) in RIA and
MA, but alkalinized it in RCA. Extracellular acidosis elevated the intracellular
Ca(2+) concentration exclusively in RCA ASMCs. Patch-clamp studies showed that EA
enhanced L-type voltage-gated Ca(2+) channel currents in RCA ASMCs, but depressed
the currents in MA ASMCs and did not affect the currents in RIA ASMCs.
Extracellular acidosis depressed voltage-gated K(+) channel (KV) currents only in
RCA ASMCs. Lansoprazole blunted all these observed effects of EA on RCA. Taken
together, the present results demonstrate that the responses of RCA to EA are
different from those of RIA and MA and suggest that activation of L-type voltage
gated Ca(2+) channels and H(+),K(+)-ATPase as well as depression of KV may, at
least partly, underlie the EA-induced contraction in RCA.
PMID- 24928955
TI - Tonic arterial chemoreceptor activity contributes to cardiac sympathetic
activation in mild ovine heart failure.
AB - Heart failure (HF) is associated with a large increase in cardiac sympathetic
nerve activity (CSNA), which has detrimental effects on the heart and promotes
arrhythmias and sudden death. There is increasing evidence that arterial
chemoreceptor activation plays an important role in stimulating renal sympathetic
nerve activity (RSNA) and muscle sympathetic nerve activity in HF. Given that
sympathetic nerve activity to individual organs is differentially controlled, we
investigated whether tonic arterial chemoreceptor activation contributes to the
increased CSNA in HF. We recorded CSNA and RSNA in conscious normal sheep and in
sheep with mild HF induced by rapid ventricular pacing (ejection fraction <40%).
Tonic arterial chemoreceptor function was evaluated by supplementing room air
with 100% intranasal oxygen (2-3 l min(-1)) for 20 min, thereby deactivating
chemoreceptors. The effects of hyperoxia on resting levels and baroreflex control
of heart rate, CSNA and RSNA were determined. In HF, chemoreceptor deactivation
induced by hyperoxia significantly reduced CSNA [90 +/- 2 versus 75 +/- 5 bursts
(100 heart beats)(-1), P < 0.05, n = 10; room air versus hyperoxia] and heart
rate (96 +/- 4 versus 85 +/- 4 beats min(-1), P < 0.001, n = 12). There was no
change in RSNA burst incidence [93 +/- 4 versus 92 +/- 4 bursts (100 heart
beats)(-1), n = 7], although due to the bradycardia the RSNA burst frequency was
decreased (90 +/- 8 versus 77 +/- 7 bursts min(-1), P < 0.001). In normal sheep,
chemoreceptor deactivation reduced heart rate without a significant effect on
CSNA or RSNA. In summary, deactivation of peripheral chemoreceptors during HF
reduced the elevated levels of CSNA, indicating that tonic arterial chemoreceptor
activation plays a critical role in stimulating the elevated CSNA in HF.
PMID- 24928957
TI - Faster cross-bridge detachment and increased tension cost in human hypertrophic
cardiomyopathy with the R403Q MYH7 mutation.
AB - The first mutation associated with hypertrophic cardiomyopathy (HCM) is the R403Q
mutation in the gene encoding beta-myosin heavy chain (beta-MyHC). R403Q locates
in the globular head of myosin (S1), responsible for interaction with actin, and
thus motor function of myosin. Increased cross-bridge relaxation kinetics caused
by the R403Q mutation might underlie increased energetic cost of tension
generation; however, direct evidence is absent. Here we studied to what extent
cross-bridge kinetics and energetics are related in single cardiac myofibrils and
multicellular cardiac muscle strips of three HCM patients with the R403Q mutation
and nine sarcomere mutation-negative HCM patients (HCMsmn). Expression of R403Q
was on average 41 +/- 4% of total MYH7 mRNA. Cross-bridge slow relaxation
kinetics in single R403Q myofibrils was significantly higher (P < 0.0001) than in
HCMsmn myofibrils (0.47 +/- 0.02 and 0.30 +/- 0.02 s(-1), respectively).
Moreover, compared to HCMsmn, tension cost was significantly higher in the muscle
strips of the three R403Q patients (2.93 +/- 0.25 and 1.78 +/- 0.10 MUmol l(-1)
s(-1) kN(-1) m(-2), respectively) which showed a positive linear correlation with
relaxation kinetics in the corresponding myofibril preparations. This correlation
suggests that faster cross-bridge relaxation kinetics results in an increase in
energetic cost of tension generation in human HCM with the R403Q mutation
compared to HCMsmn. Therefore, increased tension cost might contribute to HCM
disease in patients carrying the R403Q mutation.
PMID- 24928956
TI - Spatial effects of shifting prisms on properties of posterior parietal cortex
neurons.
AB - The posterior parietal cortex contains neurons that respond to visual stimulation
and motor behaviour. The objective of the current study was to test short-term
adaptation in neurons in macaque area 7a and the dorsal prelunate during visually
guided reaching using Fresnel prisms that displaced the visual field. The visual
perturbation shifted the eye position and created a mismatch between perceived
and actual reach location. Two non-human primates were trained to reach to visual
targets before, during and after prism exposure while fixating the reach target
in different locations. They were required to reach to the physical location of
the reach target and not the perceived, displaced location. While behavioural
adaptation to the prisms occurred within a few trials, the majority of neurons
responded to the distortion either with substantial changes in spatial eye
position tuning or changes in overall firing rate. These changes persisted even
after prism removal. The spatial changes were not correlated with the direction
of induced prism shift. The transformation of gain fields between conditions was
estimated by calculating the translation and rotation in Euler angles. Rotations
and translations of the horizontal and vertical spatial components occurred in a
systematic manner for the population of neurons suggesting that the posterior
parietal cortex retains a constant representation of the visual field remapping
between experimental conditions.
PMID- 24928958
TI - Syntaxin-binding domain of Kv2.1 is essential for the expression of apoptotic K+
currents.
AB - Intracellular signalling cascades triggered by oxidative injury can lead to
upregulation of Kv2.1 K(+) channels at the plasma membrane of dying neurons.
Membrane incorporation of new channels is necessary for enhanced K(+) efflux and
a consequent reduction of intracellular K(+) that facilitates apoptosis. We
showed previously that the observed increase in K(+) currents is a soluble N
ethylmaleimide-sensitive factor attachment protein receptor (SNARE)-mediated
process, and that the SNARE protein syntaxin binds directly to Kv2.1 channels. In
the present study, we tested whether disrupting the interaction of Kv2.1 and
syntaxin promoted the survival of cortical neurons following injury. Syntaxin is
known to bind to Kv2.1 in a domain comprising amino acids 411-522 of the
channel's cytoplasmic C terminus (C1a). Here we show that this domain is required
for the apoptotic K(+) current enhancement. Moreover, expression of an isolated,
Kv2.1-derived C1a peptide is sufficient to suppress the injury-induced increase
in currents by interfering with Kv2.1/syntaxin binding. By subdividing the C1a
peptide, we were able to localize the syntaxin binding site on Kv2.1 to the most
plasma membrane-distal residues of C1a. Importantly, expression of this peptide
segment in neurons prevented the apoptotic K(+) current enhancement and cell
death following an oxidative insult, without greatly impairing baseline K(+)
currents or normal electrical profiles of neurons. These results establish that
binding of syntaxin to Kv2.1 is crucial for the manifestation of oxidant-induced
apoptosis, and thereby reveal a potential new direction for therapeutic
intervention in the treatment of neurodegenerative disorders.
PMID- 24928959
TI - Neurohormonal signalling in the gastrointestinal tract: new frontiers.
PMID- 24928963
TI - Opposite regulation of Myc and p21waf1 transcription by STAT3 proteins.
PMID- 24928984
TI - The Aux/IAA gene rum1 involved in seminal and lateral root formation controls
vascular patterning in maize (Zea mays L.) primary roots.
AB - The maize (Zea mays L.) Aux/IAA protein RUM1 (ROOTLESS WITH UNDETECTABLE
MERISTEMS 1) controls seminal and lateral root initiation. To identify RUM1
dependent gene expression patterns, RNA-Seq of the differentiation zone of
primary roots of rum1 mutants and the wild type was performed in four biological
replicates. In total, 2 801 high-confidence maize genes displayed differential
gene expression with Fc >=2 and FDR <=1%. The auxin signalling-related genes
rum1, like-auxin1 (lax1), lax2, (nam ataf cuc 1 nac1), the plethora genes plt1
(plethora 1), bbm1 (baby boom 1), and hscf1 (heat shock complementing factor 1)
and the auxin response factors arf8 and arf37 were down-regulated in the mutant
rum1. All of these genes except nac1 were auxin-inducible. The maize arf8 and
arf37 genes are orthologues of Arabidopsis MP/ARF5 (MONOPTEROS/ARF5), which
controls the differentiation of vascular cells. Histological analyses of mutant
rum1 roots revealed defects in xylem organization and the differentiation of pith
cells around the xylem. Moreover, histochemical staining of enlarged pith cells
surrounding late metaxylem elements demonstrated that their thickened cell walls
displayed excessive lignin deposition. In line with this phenotype, rum1
dependent mis-expression of several lignin biosynthesis genes was observed. In
summary, RNA-Seq of RUM1-dependent gene expression in maize primary roots, in
combination with histological and histochemical analyses, revealed the specific
regulation of auxin signal transduction components by RUM1 and novel functions of
RUM1 in vascular development.
PMID- 24928985
TI - Zn2+ -induced changes at the root level account for the increased tolerance of
acclimated tobacco plants.
AB - Evidence suggests that heavy-metal tolerance can be induced in plants following
pre-treatment with non-toxic metal concentrations, but the results are still
controversial. In the present study, tobacco plants were exposed to increasing
Zn2+ concentrations (up to 250 and/or 500 MUM ZnSO4) with or without a 1-week
acclimation period with 30 MUM ZnSO4. Elevated Zn2+ was highly toxic for plants,
and after 3 weeks of treatments there was a marked (>=50%) decline in plant
growth in non-acclimated plants. Plant acclimation, on the other hand, increased
plant dry mass and leaf area up to 1.6-fold compared with non-acclimated ones. In
non-acclimated plants, the addition of 250 MUM ZnSO4 led to transient membrane
depolarization and stomatal closure within 24h from the addition of the stress;
by contrast, the acclimation process was associated with an improved stomatal
regulation and a superior ability to maintain a negative root membrane potential,
with values on average 37% more negative compared with non-acclimated plants. The
different response at the plasma-membrane level between acclimated and non
acclimated plants was associated with an enhanced vacuolar Zn2+ sequestration and
up to 2-fold higher expression of the tobacco orthologue of the Arabidopsis
thaliana MTP1 gene. Thus, the acclimation process elicited specific
detoxification mechanisms in roots that enhanced Zn2+ compartmentalization in
vacuoles, thereby improving root membrane functionality and stomatal regulation
in leaves following elevated Zn2+ stress.
PMID- 24928986
TI - Acute and chronic B cell depletion disrupts CD4+ and CD8+ T cell homeostasis and
expansion during acute viral infection in mice.
AB - B cells provide humoral protection against pathogens and promote cellular
immunity through diverse nonclassical effector functions. To assess B cell
function in promoting T cell homeostasis, mature B cells were either acutely or
chronically depleted in mice using CD20 mAb. Acute B cell depletion in either 2-
or 4-mo-old mice significantly reduced spleen and lymph node CD4(+) and CD8(+) T
cell numbers, including naive, activated, and Foxp3(+)CD25(+)CD4(+) regulatory T
cell subsets. The numbers of IFN-gamma- and TNF-alpha-producing T cells were also
significantly reduced. Chronic B cell depletion for 6 mo in aged naive mice
resulted in a 40-70% reduction in activated CD4(+) and CD8(+) T cell numbers and
20-50% reductions in IFN-gamma-producing T cells. Therefore, B cells were
necessary for maintaining naive CD4(+) and CD8(+) T cell homeostasis for
subsequent optimal T cell expansion in young and old mice. To determine the
significance of this finding, a week of B cell depletion in 4-mo-old mice was
followed by acute viral infection with lymphocytic choriomeningitis virus
Armstrong. Despite their expansion, activated and cytokine-producing CD4(+) and
CD8(+) T cell numbers were still significantly reduced 1 wk later. Moreover,
viral peptide-specific CD4(+) and CD8(+) T cell numbers and effector cell
development were significantly reduced in mice lacking B cells, whereas
lymphocytic choriomeningitis virus titers were dramatically increased. Thus, T
cell function is maintained in B cell-depleted mice, but B cells are required for
optimal CD4(+) and CD8(+) T cell homeostasis, activation, and effector
development in vivo, particularly during responses to acute viral infection.
PMID- 24928987
TI - Site-specific chemokine expression regulates central nervous system inflammation
and determines clinical phenotype in autoimmune encephalomyelitis.
AB - The adoptive transfer of myelin-reactive T cells into wild-type hosts results in
spinal cord inflammation and ascending paralysis, referred to as conventional
experimental autoimmune encephalomyelitis (EAE), as opposed to brainstem
inflammation and ataxia, which characterize disease in IFN-gammaRKO hosts
(atypical EAE). In this article, we show that atypical EAE correlates with
preferential upregulation of CXCL2 in the brainstem, and is driven by CXCR2
dependent recruitment of neutrophils. In contrast, conventional EAE is associated
with upregulation of CCL2 in the spinal cord, and is driven by recruitment of
monocytes via a partially CCR2-dependent pathway. This study illustrates how
regional differences in chemokine expression within a target organ shape the
spatial pattern and composition of autoimmune infiltrates, leading to disparate
clinical outcomes.
PMID- 24928988
TI - Ficolin-2 inhibits hepatitis C virus infection, whereas apolipoprotein E3
mediates viral immune escape.
AB - Human ficolin-2 (L-ficolin/p35) is a lectin-complement pathway activator that is
present in normal human plasma and is associated with infectious diseases;
however, little is known regarding the roles and mechanisms of ficolin-2 during
chronic hepatitis C virus (HCV) infection. In this study, we found that ficolin-2
inhibits the entry of HCV at an early stage of viral infection, regardless of the
viral genotype. Ficolin-2 neutralized and inhibited the initial attachment and
infection of HCV by binding to the HCV envelope surface glycoproteins E1 and E2,
blocking HCV attachment to low-density lipoprotein receptor (LDLR) and scavenger
receptor B1, and weakly interfering with CD81 receptor attachment. However, no
interference with claudin-1 and occludin receptor attachment was observed. The C
terminal fibrinogen domain (201-313 aa) of ficolin-2 was identified as the
critical binding region for the HCV-E1-E2 N-glycans, playing a critical role in
the anti-HCV activity. More importantly, we found that apolipoprotein E (ApoE)3,
which is enriched in the low-density fractions of HCV RNA-containing particles,
promotes HCV infection and inhibits ficolin-2-mediated antiviral activity. ApoE3,
but not ApoE2 and ApoE4, blocked the interaction between ficolin-2 and HCV-E2.
Our data suggest that the HCV entry inhibitor ficolin-2 is a novel and promising
antiviral innate immune molecule, whereas ApoE3 blocks the effect of ficolin-2
and mediates an immune escape mechanism during chronic HCV infection. HCV may be
neutralized using compounds directed against the lipoprotein moiety of the viral
particle, and ApoE3 may be a new target to combat HCV infection.
PMID- 24928989
TI - CD40 ligand preferentially modulates immune response and enhances protection
against influenza virus.
AB - CD40L, a key regulator of the immune system, was studied as both a targeting
ligand and a molecular adjuvant in nucleoprotein (NP)-based host defense against
influenza in mouse models with different genetic backgrounds. Adenoviral vectors
secreting NP-CD40L fusion protein (denoted as rAd-SNP40L) afforded full
protection of immunocompetent and immunocompromised mice (CD40L(-/-) and CD4(-/
)) against lethal influenza infection. Mechanistically, rAd-SNP40L preferentially
induced early and persistent B cell germinal center formation, and accelerated Ig
isotype-switching and Th1-skewed, NP-specific Ab response. Moreover, it
drastically augmented primary and memory NP-specific CTL activity and
polyfunctional CD8(+) T cells. The markedly enhanced nonneutralizing Abs and CTLs
significantly reduced viral burdens in the lungs of mice upon lethal virus
challenge. Data generated from CD40L(-/-) and CD4(-/-) mice revealed that the
protection was indeed CD40L mediated but CD4(+) T cell independent, demonstrating
the viability of the fusion Ags in protecting immunodeficient hosts. Notably, a
single dose of rAd-SNP40L completely protected mice from lethal viral challenge 4
mo after immunization, representing the first report, to our knowledge, on NP in
conjunction with a molecular adjuvant inducing a robust and long-lasting memory
immune response against influenza. This platform is characterized by an increased
in vivo load of CD40-targeted Ag upon the secretion of the fusion protein from
adenovirus-infected cells and may represent a promising strategy to enhance the
breadth, durability, and potency of Ag-specific immune responses.
PMID- 24928990
TI - Real-time detection of CTL function reveals distinct patterns of caspase
activation mediated by Fas versus granzyme B.
AB - Activation of caspase-mediated apoptosis is reported to be a hallmark of both
granzyme B- and Fas-mediated pathways of killing by CTLs; however, the kinetics
of caspase activation remain undefined owing to an inability to monitor target
cell-specific apoptosis in real time. We have overcome this limitation by
developing a novel biosensor assay that detects continuous, protease-specific
activity in target cells. Biosensors were engineered from a circularly permuted
luciferase, linked internally by either caspase 3/7 or granzyme B/caspase 8
cleavage sites, thus allowing activation upon proteolytic cleavage by the
respective proteases. Coincubation of murine CTLs with target cells expressing
either type of biosensor led to a robust luminescent signal within minutes of
cell contact. The signal was modulated by the strength of TCR signaling, the
ratio of CTL/target cells, and the type of biosensor used. Additionally, the
luciferase signal at 30 min correlated with target cell death, as measured by a
(51)Cr-release assay. The rate of caspase 3/7 biosensor activation was
unexpectedly rapid following granzyme B- compared with Fas-mediated signal
induction in murine CTLs; the latter appeared gradually after a 90-min delay in
perforin- or granzyme B-deficient CTLs. Remarkably, the Fas-dependent, caspase
3/7 biosensor signal induced by perforin-deficient human CTLs was also detectable
after a 90-min delay when measured by redirected killing. Thus, we have used a
novel, real-time assay to demonstrate the distinct pattern of caspase activation
induced by granzyme B versus Fas in human and murine CTLs.
PMID- 24928991
TI - B cells regulate CD4+ T cell responses to papain following B cell receptor
independent papain uptake.
AB - Papain, a cysteine protease allergen with inherent adjuvant activity, induces
potent IL-4 expression by T cells in the popliteal lymph nodes of mice following
footpad immunization. In this study, we identify a novel, non-BCR-mediated
capacity for B cells to rapidly bind and internalize papain. B cells subsequently
regulate the adaptive immune response by enhancing ICOS expression on CD4(+) T
cells and amplifying Th2 and follicular helper T cell induction. Ab blockade of
ICOS ligand, expressed by popliteal lymph node B cells, but not dendritic cells,
at the peak of the response inhibits IL-4 responses in wild-type mice but not B
cell-deficient mice. Thus, B cells play a critical role in amplifying adjuvant
dependent Th2 polarization following noncanonical acquisition and internalization
of the cysteine protease papain.
PMID- 24928995
TI - High proportion of CD5+ B cells in infants predicts development of allergic
disease.
AB - Delayed maturation of the immune system has been proposed to be a risk factor for
development of allergy, but B cell maturation in relation to allergic disease has
not been examined. B cells lose CD5 and acquire CD27 during maturation from
immature via mature/naive to Ig-secreting cells and memory cells. We sought to
investigate B cell maturation in relation to development of allergic disease and
sensitization in the FARMFLORA birth cohort including 65 Swedish children. Total
B cell numbers, proportions of CD5(+) and CD27(+) B cells, and levels of IgM,
IgG, IgA, and IgE were measured in blood on repeated occasions from birth to 36
mo of age, and related to allergic disease and sensitization at 18 and 36 mo of
age with multivariate discriminant analysis. We also compared the expression of
CD24 and CD38 within CD5(+) and CD5(neg) B cells in children and in adults. We
found that infants with a high proportion of CD5(+) B cells at birth and at 1 mo
of age had an increased risk for having allergic disease at 18 and 36 mo of life.
Further, the proportions of CD5(+) B cells at 1 mo of age were inversely
correlated with total IgG levels at 18 and 36 mo of age. The majority of the
CD5(+) B cells were of a CD24(hi/+)CD38(hi/+) immature/naive phenotype at birth
(97%), 7 y of age (95%), and in adults (86%). These results suggest that
development of allergic disease is preceded by an immaturity in neonatal B cell
phenotype.
PMID- 24928992
TI - Adventitial fibroblasts induce a distinct proinflammatory/profibrotic macrophage
phenotype in pulmonary hypertension.
AB - Macrophage accumulation is not only a characteristic hallmark but is also a
critical component of pulmonary artery remodeling associated with pulmonary
hypertension (PH). However, the cellular and molecular mechanisms that drive
vascular macrophage activation and their functional phenotype remain poorly
defined. Using multiple levels of in vivo (bovine and rat models of hypoxia
induced PH, together with human tissue samples) and in vitro (primary mouse, rat,
and bovine macrophages, human monocytes, and primary human and bovine
fibroblasts) approaches, we observed that adventitial fibroblasts derived from
hypertensive pulmonary arteries (bovine and human) regulate macrophage
activation. These fibroblasts activate macrophages through paracrine IL-6 and
STAT3, HIF1, and C/EBPbeta signaling to drive expression of genes previously
implicated in chronic inflammation, tissue remodeling, and PH. This distinct
fibroblast-activated macrophage phenotype was independent of IL-4/IL-13-STAT6 and
TLR-MyD88 signaling. We found that genetic STAT3 haplodeficiency in macrophages
attenuated macrophage activation, complete STAT3 deficiency increased macrophage
activation through compensatory upregulation of STAT1 signaling, and deficiency
in C/EBPbeta or HIF1 attenuated fibroblast-driven macrophage activation. These
findings challenge the current paradigm of IL-4/IL-13-STAT6-mediated alternative
macrophage activation as the sole driver of vascular remodeling in PH, and
uncover a cross-talk between adventitial fibroblasts and macrophages in which
paracrine IL-6-activated STAT3, HIF1alpha, and C/EBPbeta signaling are critical
for macrophage activation and polarization. Thus, targeting IL-6 signaling in
macrophages by completely inhibiting C/EBPbeta or HIF1alpha or by partially
inhibiting STAT3 may hold therapeutic value for treatment of PH and other
inflammatory conditions characterized by increased IL-6 and absent IL-4/IL-13
signaling.
PMID- 24928994
TI - Innate PLZF+CD4+ alphabeta T cells develop and expand in the absence of Itk.
AB - T cell development in the thymus produces multiple lineages of cells, including
innate T cells. Studies in mice harboring alterations in TCR signaling proteins
or transcriptional regulators have revealed an expanded population of CD4(+)
innate T cells in the thymus that produce IL-4 and express the transcription
factor promyelocytic leukemia zinc finger (PLZF). In these mice, IL-4 produced by
the CD4(+)PLZF(+) T cell population leads to the conversion of conventional
CD8(+) thymocytes into innate CD8(+) T cells resembling memory T cells expressing
eomesodermin. The expression of PLZF, the signature invariant NKT cell
transcription factor, in these innate CD4(+) T cells suggests that they might be
a subset of alphabeta or gammadelta TCR(+) NKT cells or mucosal-associated
invariant T (MAIT) cells. To address these possibilities, we characterized the
CD4(+)PLZF(+) innate T cells in itk(-/-) mice. We show that itk(-/-) innate
PLZF(+)CD4(+) T cells are not CD1d-dependent NKT cells, MR1-dependent MAIT cells,
or gammadelta T cells. Furthermore, although the itk(-/-) innate PLZF(+)CD4(+) T
cells express alphabeta TCRs, neither beta2-microglobulin-dependent MHC class I
nor any MHC class II molecules are required for their development. In contrast to
invariant NKT cells and MAIT cells, this population has a highly diverse TCRalpha
chain repertoire. Analysis of peripheral tissues indicates that itk(-/-) innate
PLZF(+)CD4(+) T cells preferentially home to spleen and mesenteric lymph nodes
owing to increased expression of gut-homing receptors, and that their expansion
is regulated by commensal gut flora. These data support the conclusion that itk(
/-) innate PLZF(+)CD4(+) T cells are a novel subset of innate T cells.
PMID- 24928993
TI - Investigation of soluble and transmembrane CTLA-4 isoforms in serum and
microvesicles.
AB - Expression of the CTLA-4 gene is absolutely required for immune homeostasis, but
aspects of its molecular nature remain undefined. In particular, the
characterization of the soluble CTLA-4 (sCTLA-4) protein isoform generated by an
alternatively spliced mRNA of CTLA4 lacking transmembrane-encoding exon 3 has
been hindered by the difficulty in distinguishing it from the transmembrane
isoform of CTLA-4, Tm-CTLA-4. In the current study, sCTLA-4 has been analyzed
using novel mAbs and polyclonal Abs specific for its unique C-terminal amino acid
sequence. We demonstrate that the sCTLA-4 protein is secreted at low levels
following the activation of primary human CD4(+) T cells and is increased only
rarely in the serum of autoimmune patients. Unexpectedly, during our studies
aimed to define the kinetics of sCTLA-4 produced by activated human CD4(+) T
cells, we discovered that Tm-CTLA-4 is associated with microvesicles produced by
the activated cells. The functional roles of sCTLA-4 and microvesicle-associated
Tm-CTLA-4 warrant further investigation, especially as they relate to the
multiple mechanisms of action described for the more commonly studied cell
associated Tm-CTLA-4.
PMID- 24928996
TI - Macrophage migration inhibitory factor promotes clearance of pneumococcal
colonization.
AB - Human genetic polymorphisms associated with decreased expression of macrophage
migration inhibitory factor (MIF) have been linked to the risk of community
acquired pneumonia. Because Streptococcus pneumoniae is the leading cause of
community-acquired pneumonia and nasal carriage is a precursor to invasive
disease, we explored the role of MIF in the clearance of pneumococcal
colonization in a mouse model. MIF-deficient mice (Mif(-/-)) showed prolonged
colonization with both avirulent (23F) and virulent (6A) pneumococcal serotypes
compared with wild-type animals. Pneumococcal carriage led to both local
upregulation of MIF expression and systemic increase of the cytokine. Delayed
clearance in the Mif(-/-) mice was correlated with reduced numbers of macrophages
in upper respiratory tract lavages as well as impaired upregulation of MCP
1/CCL2. We found that primary human monocyte-derived macrophages as well as THP-1
macrophages produced MIF upon pneumococcal infection in a pneumolysin-dependent
manner. Pneumolysin-induced MIF production required its pore-forming activity and
phosphorylation of p38-MAPK in macrophages, with sustained p38-MAPK
phosphorylation abrogated in the setting of MIF deficiency. Challenge with
pneumolysin-deficient bacteria demonstrated reduced MIF upregulation, decreased
numbers of macrophages in the nasopharynx, and less effective clearance. Mif(-/-)
mice also showed reduced Ab response to pneumococcal colonization and impaired
ability to clear secondary carriage. Finally, local administration of MIF was
able to restore bacterial clearance and macrophage accumulation in Mif(-/-) mice.
Our work suggests that MIF is important for innate and adaptive immunity to
pneumococcal colonization and could be a contributing factor in genetic
differences in pneumococcal disease susceptibility.
PMID- 24928997
TI - Rituximab efficiently depletes increased CD20-expressing T cells in multiple
sclerosis patients.
AB - In multiple sclerosis (MS), B cell-depleting therapy using monoclonal anti-CD20
Abs, including rituximab (RTX) and ocrelizumab, effectively reduces disease
activity. Based on indirect evidence, it is generally believed that elimination
of the Ag-presenting capabilities and Ag nonspecific immune functions of B cells
underlie the therapeutic efficacy. However, a small subset of T lymphocytes (T
cells) was shown to also express CD20, but controversy prevails surrounding the
true existence of this T cell subpopulation. Using single-cell imaging flow
cytometry and expression profiling of sorted lymphocyte subsets, we unequivocally
demonstrate the existence of CD3(+)CD20(dim) T cells. We show that in MS
patients, increased levels of CD3(+)CD20(dim) T cells are effectively depleted by
RTX. The pathological relevance of this T cell subset in MS remains to be
determined. However, given their potential proinflammatory functionality,
depletion of CD20-expressing T cells may also contribute to the therapeutic
effect of RTX and other mAbs targeting CD20.
PMID- 24928998
TI - ERAP1-ERAP2 dimerization increases peptide-trimming efficiency.
AB - The endoplasmic reticulum aminopeptidases (ERAP)1 and ERAP2 play a critical role
in the production of final epitopes presented by MHC class I molecules. Formation
of heterodimers by ERAP1 and ERAP2 has been proposed to facilitate trimming of
epitope precursor peptides, but the effects of dimerization on ERAP function
remain unknown. In this study, we produced stabilized ERAP1-ERAP2 heterodimers
and found that they produced several mature epitopes more efficiently than a mix
of the two enzymes unable to dimerize. Physical interaction with ERAP2 changes
basic enzymatic parameters of ERAP1 and improves its substrate-binding affinity.
Thus, by bringing the two enzymes in proximity and by producing allosteric
effects on ERAP1, dimerization of ERAP1/2 creates complexes with superior peptide
trimming efficacy. Such complexes are likely to enhance Ag presentation by cells
displaying coordinated expression of the two enzymes.
PMID- 24928999
TI - Targeting of TLRs inhibits CD4+ regulatory T cell function and activates
lymphocytes in human peripheral blood mononuclear cells.
AB - Accumulating evidence suggests elements within tumors induce exhaustion of
effector T cells and infiltration of immunosuppressive regulatory T cells
(Tregs), thus preventing the development of durable antitumor immunity.
Therefore, the discovery of agents that simultaneously block Treg suppressive
function and reinvigorate effector function of lymphocytes is key to the
development of effective cancer immunotherapy. Previous studies have shown that
TLR ligands (TLRLs) could modulate the function of these T cell targets; however,
those studies relied on cell-free or accessory cell-based assay systems that do
not accurately reflect in vivo responses. In contrast, we used a human PBMC-based
proliferation assay system to simultaneously monitor the effect of TLRLs on T
cells (CD4(+), CD8(+), Tregs), B cells, and NK cells, which gave different and
even conflicting results. We found that the TLR7/8L:CL097 could simultaneously
activate CD8(+) T cells, B cells, and NK cells plus block Treg suppression of T
cells and B cells. The TLRLs TLR1/2L:Pam3CSK4, TLR5L:flagellin, TLR4L:LPS, and
TLR8/7L:CL075 also blocked Treg suppression of CD4(+) or CD8(+) T cell
proliferation, but not B cell proliferation. Besides CL097, TLR2L:PGN, CL075, and
TLR9L:CpG-A, CpG-B, and CpG-C) were strong activators of NK cells. Importantly,
we found that Pam3CSK4 could: 1) activate CD4(+) T cell proliferation, 2) inhibit
the expansion of IL-10(+) naturally occurring FOXP3(+) Tregs and induction of IL
10(+) CD4(+) Tregs (IL-10-producing type 1 Treg), and 3) block naturally
occurring FOXP3(+) Tregs suppressive function. Our results suggest these agents
could serve as adjuvants to enhance the efficacy of current immunotherapeutic
strategies in cancer patients.
PMID- 24929001
TI - Opposing roles of prostaglandin D2 receptors in ulcerative colitis.
AB - Proresolution functions were reported for PGD2 in colitis, but the role of its
two receptors, D-type prostanoid (DP) and, in particular, chemoattractant
receptor homologous molecule expressed on Th2 cells (CRTH2), is less well
defined. We investigated DP and CRTH2 expression and function during human and
murine ulcerative colitis (UC). Expression of receptors was measured by flow
cytometry on peripheral blood leukocytes and by immunohistochemistry and
immunoblotting in colon biopsies of patients with active UC and healthy
individuals. Receptor involvement in UC was evaluated in a mouse model of dextran
sulfate sodium colitis. DP and CRTH2 expression changed in leukocytes of patients
with active UC in a differential manner. In UC patients, DP showed higher
expression in neutrophils but lower in monocytes as compared with control
subjects. In contrast, CRTH2 was decreased in eosinophils, NK, and CD3(+) T cells
but not in monocytes and CD3(+)/CD4(+) T cells. The decrease of CRTH2 on blood
eosinophils clearly correlated with disease activity. DP correlated positively
with disease activity in eosinophils but inversely in neutrophils. CRTH2
internalized upon treatment with PGD2 and 11-dehydro TXB2 in eosinophils of
controls. Biopsies of UC patients revealed an increase of CRTH2-positive cells in
the colonic mucosa and high CRTH2 protein content. The CRTH2 antagonist CAY10595
improved, whereas the DP antagonist MK0524 worsened inflammation in murine
colitis. DP and CRTH2 play differential roles in UC. Although expression of CRTH2
on blood leukocytes is downregulated in UC, CRTH2 is present in colon tissue,
where it may contribute to inflammation, whereas DP most likely promotes anti
inflammatory actions.
PMID- 24929000
TI - A balance between B cell receptor and inhibitory receptor signaling controls
plasma cell differentiation by maintaining optimal Ets1 levels.
AB - Signaling through the BCR can drive B cell activation and contribute to B cell
differentiation into Ab-secreting plasma cells. The positive BCR signal is
counterbalanced by a number of membrane-localized inhibitory receptors that limit
B cell activation and plasma cell differentiation. Deficiencies in these negative
signaling pathways may cause autoantibody generation and autoimmune disease in
both animal models and human patients. We have previously shown that the
transcription factor Ets1 can restrain B cell differentiation into plasma cells.
In this study, we tested the roles of the BCR and inhibitory receptors in
controlling the expression of Ets1 in mouse B cells. We found that Ets1 is
downregulated in B cells by BCR or TLR signaling through a pathway dependent on
PI3K, Btk, IKK2, and JNK. Deficiencies in inhibitory pathways, such as a loss of
the tyrosine kinase Lyn, the phosphatase Src homology region 2 domain-containing
phosphatase 1 (SHP1) or membrane receptors CD22 and/or Siglec-G, result in
enhanced BCR signaling and decreased Ets1 expression. Restoring Ets1 expression
in Lyn- or SHP1-deficient B cells inhibits their enhanced plasma cell
differentiation. Our findings indicate that downregulation of Ets1 occurs in
response to B cell activation via either BCR or TLR signaling, thereby allowing B
cell differentiation and that the maintenance of Ets1 expression is an important
function of the inhibitory Lyn -> CD22/SiglecG -> SHP1 pathway in B cells.
PMID- 24929002
TI - The Wnt/beta-catenin pathway attenuates experimental allergic airway disease.
AB - Signaling via the Wnt/beta-catenin pathway plays crucial roles in embryogenesis
and homeostasis of adult tissues. In the lung, the canonical Wnt/beta-catenin
pathway has been implicated in remodeling processes, development of emphysema,
and fibrosis. However, its relevance for the modulation of allergic responses in
the lung remains unclear. Using genetically modified mice with lung-specific
inducible (doxycycline) Wnt-1 expression (CCSP-rtTA * tetO-Wnt1), the impact of
Wnt on the development of allergic airway disease was analyzed. Overexpression of
Wnt during the allergen challenge phase attenuated the development of airway
inflammation in an acute model, as well as in a more therapeutic model of
secondary challenge. These findings were further supported by treatment of
allergen-sensitized mice with LiCl during challenge. Similar to Wnt, LiCl
prevented the degradation of beta-catenin and, thus, attenuated allergic airway
inflammation and hyperresponsiveness. Migration studies revealed that lung
specific expression of Wnt reduced the migration of Ag-loaded dendritic cells
(DCs) into the draining lymph nodes following allergen challenge. Administration
of in vitro allergen-loaded DCs overcame Wnt-mediated suppression of airway
inflammation. Furthermore, in vitro studies confirmed that DC-dependent T cell
activation is impaired by blocking beta-catenin degradation. These results
demonstrate an important role for the canonical Wnt/beta-catenin pathway in the
DC-mediated regulation of allergic responses in the lung.
PMID- 24929003
TI - DOK3 is required for IFN-beta production by enabling TRAF3/TBK1 complex formation
and IRF3 activation.
AB - The downstream of kinase (DOK) family of adaptors is generally involved in the
negative regulation of signaling pathways. DOK1, 2, and 3 were shown to attenuate
TLR4 signaling by inhibiting Ras-ERK activation. In this study, we elucidated a
novel role for DOK3 in IFN-beta production. Macrophages lacking DOK3 were
impaired in IFN-beta synthesis upon influenza virus infection or polyinosinic
polyribocytidylic acid stimulation. In the absence of DOK3, the transcription
factor IFN regulatory factor 3 was not phosphorylated and could not translocate
to the nucleus to activate ifn-beta gene expression. Interestingly, polyinosinic
polyribocytidylic acid-induced formation of the upstream TNFR-associated factor
(TRAF) 3/TANK-binding kinase (TBK) 1 complex was compromised in dok3(-/-)
macrophages. DOK3 was shown to bind TBK1 and was required for its activation.
Furthermore, we demonstrated that overexpression of DOK3 and TBK1 could
significantly enhance ifn-beta promoter activity. DOK3 was also shown to bind
TRAF3, and the binding of TRAF3 and TBK1 to DOK3 required the tyrosine-rich C
terminal domain of DOK3. We further revealed that DOK3 was phosphorylated by
Bruton's tyrosine kinase. Hence, DOK3 plays a critical and positive role in TLR3
signaling by enabling TRAF3/TBK1 complex formation and facilitating TBK1 and IFN
regulatory factor 3 activation and the induction of IFN-beta production.
PMID- 24929004
TI - A high frequency of MDSCs in sepsis patients, with the granulocytic subtype
dominating in gram-positive cases.
AB - The causative microorganisms dictate the type of MDSC generated in sepsis
patients, and a large proportion of PMN-MDSCs in gram-positive sepsis includes
immunosuppressive myeloid blasts. MDSCs constitute a heterogeneous population of
immature myeloid cells that potently suppress immune responses. They were
identified originally in cancer patients and have since been reported to occur
also in chronic inflammation, autoimmunity, and even bacterial infections. Human
MDSCs are commonly divided into Mo-MDSCs and granulocytic (PMN-MDSCs) subtypes.
To what extent the bona fide cancer MDSCs are representative of the proposed
MDSCs found in other diseases is not well known. PMN-MDSCs have been found
previously to be enriched among LDGs in density gradient-centrifuged blood. In
this study, we analyzed potential MDSCs in sepsis patients with different
causative microorganisms, using total peripheral blood compared with density
gradient-centrifuged blood. We found a high frequency of typical CD14(+)HLA
DR(low) Mo-MDSCs in all sepsis patients, whereas the typical PMN-MDSCs, as well
as a prominent CD14(low) PMN-MDSC-like population, appeared preferentially in
gram-positive cases. The CD14(low) PMN-MDSC variant was demonstrated to suppress
T cell proliferation in vitro via a ROS-dependent mechanism, to display an
increased IL-10:TNF-alpha ratio, and to present with signs of immaturity: blast
morphology and low cytokine levels. We conclude that a spectrum of cells with
MDSC features is enriched in sepsis and that the microbial origin of sepsis
contributes to the substantial interindividual patient variation in the MDSC
pattern.
PMID- 24929006
TI - Essential oil composition, antioxidant and antifungal activities of Salvia
sclarea L. from Munzur Valley in Tunceli, Turkey.
AB - The essential oil composition and in vitro antioxidant and antifungal activity of
the Salvia sclarea L. from Munzur Valley in Tunceli, Turkey were evaluated in
this research. The in vitro antifungal activity of ethanol, hexane and aqueous
extracts of S. sclarea against pathogen fungi Epicoccum nigrum and Colletotrichum
coccodes were investigated. The essential oil of aerial parts of S. sclarea was
obtained by hydrodistillation and was analysed by GC and GC-MS. Total antioxidant
status was determined by using Rel assay diagnostics TAS assay kit (Lot.RL024) by
Multiscan FC (Thermo). 33 compounds were identified representing the 85.0% of the
total oil. The most abundant components (>5%) of the S. sclarea essential oils
were caryophyllene oxide (24.1%), sclareol (11.5%), spathulenol (11.4%), 1H
naphtho (2,1,6) pyran (8.6%) and b-caryophyllene (5.1%). The best antifungal and
antioxidant effect was seen in ethanolic S. sclarea extract. It can be said that
Salvia sclerae could be used as natural antioxidant.
PMID- 24929005
TI - N-Octanoyl dopamine transiently inhibits T cell proliferation via G1 cell-cycle
arrest and inhibition of redox-dependent transcription factors.
AB - Recently, we developed a nonhemodynamic dopamine derivative, NOD, which has
profound anti-inflammatory effects in vitro. As NOD also protects rats from
ischemic AKI, the present study tested whether NOD is able to modulate cellular
immunity for potential use as a T cell-suppressive agent. To this end, T cells
were stimulated by anti-CD3/CD28 or PMA/ionomycin in the presence or absence of
different concentrations of NOD. T cell proliferation, activation markers,
intracellular cytokine expression, and activation of transcription factors were
assessed. Whereas T cell proliferation was inhibited significantly by NOD at Day
3, proliferation was restored at Day 7 or later depending on the NOD
concentration used. Inhibition of proliferation was reflected by a diminished
CD25 expression and switch from naive to memory T cells. Early TCR activation
events were unaffected, yet NF-kappaB and AP-1 were strongly inhibited by NOD.
The inhibitory effect of NOD seemed to be dependent on its redox activity, as
NOT, a redox-inactive NOD derivate, did not influence proliferation. NOD
displayed synergistic effects with CNIs on T cell proliferation. Our data
demonstrate that NOD displays T cell-suppressive activity. In keeping with its
anti-inflammatory action and its beneficial effect on ischemia-induced AKI, NOD
may be an interesting drug candidate to prevent CNI-related side-effects.
PMID- 24929007
TI - Human umbilical cord blood cell transplantation improves cardiac function in a
myoardial infarction rat model but induces intestinal graft versus host disease.
AB - Human umbilical cord blood cell (HUCBC) has low immunity. In the present study we
investigated intestinal graft-versus-host disease (GVHD) induced by HUCBC
transplantation in a myocardial infarction (MI) rat model. MI was established by
using left anterior descending coronary artery (LAD) ligation. HUCBCs were
injected into the animals 5 days post MI. Four weeks after the HUCBC
transplantation, histology changes in small intestine were observed under an
optical microscope. In addition, cardiac functions were tested. Further, factor
VIII, vascular endothelial growth factor (VEGF) in the myocardium and small
intestine were assayed. The HUCBC transplantation significantly induced
intestinal GVHD in the MI rats. The HUCBC implantation remarkably improved
ejection fraction (EF), fractional shortening (FS), and dp/dtmax in the MI rats
(P<0.05). In the myocardium, the capillary density was larger in the small
intestine of the HUCBC-transplanted rats compared to the controls. Real-time PCR
and western blotting revealed that VEGF mRNA and protein levels in the myocardium
and the small intestine dramatically significantly upregulated in the HUCBC
transplanted rats (P<0.05). The HUCBC transplantation significantly improves
aggravated cardiac function of MI rats, but it induces intestinal GVHD.
PMID- 24929008
TI - Functional ability loss in sensory impaired and sensory unimpaired very old
adults: analyzing causal relations with positive affect across four years.
AB - This paper focuses on the relationship between functional ability (FA) and
positive affect (PA), a major component of well-being, in sensory impaired very
old adults (SI) compared with sensory unimpaired individuals (UI). Previous
research mostly suggests a robust causal impact of FA on PA. However, some
research, drawing from Fredrickson's broaden-and-build theory, also points to the
possibility of an inverse causality between FA and PA. We examine in this paper
both of these causal directions in SI as well as UI individuals across a 4year
observation period. Additionally, we checked for the role of negative affect
(NA). The T1-T2 sample comprised 81 out of 237 SI individuals (visually or
hearing impaired) assessed at T1, with a mean age at T1 of 81.8years, and 87 UI
individuals out of 150 assessed at T1, with a mean age at T1 of 81.5years.
Established scales were used to assess FA, PA, and NA. Using cross-lagged panel
analysis to examine the direction of causality, our findings indicate that FA has
significant impact on PA in both the SI and the UI group, whereas the alternative
causal pathway was not confirmed. Both cross-lagged relationships between FA and
NA were non-significant. No group differences in path strengths between SI and UI
were present. Our study provides evidence that FA is a key competence for
successful emotional aging in vulnerable groups of very old adults such as SI as
well as in UI adults in advanced old age.
PMID- 24929009
TI - Resting energy expenditure (REE) in an old-old population: implications for
metabolic stress.
AB - The basis of nutritional therapy and thus an adequate nutrient intake is the
assessment of energy need. On the other end, the assessment of individual energy
requirements based on the gold standard, indirect calorimetry, is associated with
feasibility difficulties in geriatric settings. To identify the most accurate
predictive equations for resting energy expenditure (REE) in older subjects with
overweight, 17 predictive equations were compared to indirect calorimetry
measurement in a study population of 20 obese older subjects (mean BMI 33.7+/
4.5kg/m(2); mean age 79.8+/-8.1 years; gender 5 males and 15 females) and 20 age
matched controls with a normal body weight (mean BMI 24.9+/-2.5 kg/m(2); mean age
82.1+/-6.6 years; gender 9 males and 11 females). The comparison led to two
significant observations: the predictive equations used led to a much better
estimation of the REE in the control group than in the obese older subjects. In
addition, the most accurate equation for estimating the REE in the obese older
subjects has been shown to be that by Luhrmann et al. Further studies are needed
to assess the feasibility of using this equation in a routine geriatric setting.
PMID- 24929010
TI - Exogenous dehydroisoandrosterone sulfate reverses the dendritic changes of the
central neurons in aging male rats.
AB - Sex hormones are known to help maintaining the cognitive ability in male and
female rats. Hypogonadism results in the reduction of the dendritic spines of
central neurons which is believed to undermine memory and cognition and cause
fatigue and poor concentration. In our previous studies, we have reported age
related regression in dendrite arbors along with loss of dendritic spines in the
primary somatosensory cortical neurons in female rats. Furthermore, castration
caused a reduction of dendritic spines in adult male rats. In light of this, it
was surmised that dendritic structures might change in normal aging male rats
with advancing age. Recently, dehydroepiandrosterone sulfate (DHEAS) has been
reported to have memory-enhancing properties in aged rodents. In this study,
normal aging male rats, with a reduced plasma testosterone level of 75-80%, were
used to explore the changes in behavioral performance of neuronal dendritic arbor
and spine density. Aging rats performed poorer in spatial learning memory (Morris
water maze). Concomitantly, these rats showed regressed dendritic arbors and
spine loss on the primary somatosensory cortical and hippocampal CA1 pyramidal
neurons. Exogenous DHEAS and testosterone treatment reversed the behavioral
deficits and partially restored the spine loss of cortical neurons in aging male
rats but had no effects on the dendritic arbor shrinkage of the affected neurons.
It is concluded therefore that DHEAS, has the efficacy as testosterone, and that
it can exert its effects on the central neuron level to effectively ameliorate
aging symptoms.
PMID- 24929011
TI - Solid self-microemulsifying dispersible tablets of celastrol: formulation
development, charaterization and bioavailability evaluation.
AB - The aims of this study were to choose a suitable adsorbent of self-microemulsion
and to develop a fine solid self-microemulsifying dispersible tablets for
promoting the dissolution and oral bioavailability of celastrol. Solubility test,
self-emulsifying grading test, droplet size analysis and ternary phase diagrams
test were performed to screen and optimize the composition of liquid celastrol
self-microemulsifying drug delivery system (SMEDDS). Then microcrystalline
cellulose KG 802 was added as a suitable adsorbent into the optimized liquid
celastrol-SMEDDS formulation to prepare the dispersible tablets by wet
granulation compression method. The optimized formulation of celastrol-SMEDDS
dispersible tablets was finally determinated by the feasibility of the preparing
process and redispersibility. The in vitro study showed that the dispersible
tablets could disperse in the dispersion medium within 3 min with the average
particle size of 25.32 +/- 3.26 nm. In vivo pharmacokinetic experiments of rats,
the relative bioavailability of celastrol SMEDDS and SMEDDS dispersible tablets
compared to the 0.4% CMC-Na suspension was 569 +/- 7.07% and 558 +/- 6.77%,
respectively, while there were no significant difference between the SMEDDS and
SMEDDS dispersible tablets. The results suggest the potential use of SMEDDS
dispersible tablets for the oral delivery of poorly water-soluble terpenes drugs,
such as celastrol.
PMID- 24929012
TI - Self-assembled drug delivery systems. Part 7: hepatocyte-targeted nanoassemblies
of an adefovir lipid derivative with cytochrome P450-triggered drug release.
AB - A novel strategy was used in the design of self-assembled drug delivery systems
(SADDSs) in this study. The nanoassemblies of an amphiphilic adefovir lipid
derivative were prepared and demonstrated to have the functions of hepatocyte
targeting, enzyme-triggered drug release and high anti-hepatitis effect. An
amphiphilic adefovir lipid derivative, N-lauroyl-1-(3-chlorophenyl)-1,3-propanyl
phosphonyl adefovir (LCPA) was prepared and formed the nanoassemblies by
injecting the mixture of LCPA and another amphiphilic polymer, d-galactide
polyoxyethylene (20) cetyl ether (GPCE) (ca. 20:1, mol/mol) into water. The
nanoassemblies were very stable and showed negative charge. LCPA was sensitive to
the cytochrome P450 isozymes that were expressed predominantly in the hepatocytes
to produce adefovir. GPCE contained a long hydrophilic chain and a galactose
ligand targeting the asialoglycoprotein receptors overexpressed on the surface of
hepatocytes. The nanoassemblies showed the long-circulating and liver targeting
effects according to the results of pharmacokinetics, tissue distribution and
fluorescence imagination after bolus intravenous administration of the
nanoassemblies to the mice. The highly efficient hepatitis B treatment was
achieved by 10 day continuous administration of the nanoassemblies to the HBV
infected mice. Many functions were combined in the nanoassemblies, including
prodrug, molecular self-assembly, nanotechnology, long-circulating, hepatocyte
targeting and hepatocyte over expressing enzyme-triggered drug release.
PMID- 24929013
TI - A combined bottom-up/top-down approach to prepare a sterile injectable
nanosuspension.
AB - To prepare a uniform nanosuspension of strongly hydrophobic riboflavin laurate
(RFL) allowing sterile filtration, physical modification (bottom-up) was combined
with high-pressure homogenization (top-down) method. Unlike other bottom-up
approaches, physical modification with surfactants (TPGS and PL-100) by
lyophilization controlled crystallization and compensated for the poor
wettability of RFL. On one hand, crystal growth and aggregation during freezing
was restricted by a stabilizer-layer adsorbed on the drug surface by hydrophobic
interaction. On the other hand, subsequent crystallization of drug in the
sublimation process was limited to the interstitial spaces between solvent
crystals. After lyophilization, modified drug with a smaller particle size and
better wettability was obtained. When adding surfactant solution, water molecules
passed between the hydrophilic groups of surface active molecules and activated
the polymer chains allowing them to stretch into water. The coarse suspension was
crushed into a nanosuspension (MP=162 nm) by high-pressure homogenization. For
long term stability, lyophilization was applied again to solidify the
nanosuspension (sorbitol as cryoprotectant). A slight crystal growth to about 600
nm was obtained to allow slow release for a sustained effect after muscular
administration. Moreover, no paw-licking responses and very slight muscular
inflammation demonstrated the excellent biocompatibility of this long-acting RFL
injection.
PMID- 24929014
TI - Development and characterization of mucoadhesive chitosan films for ophthalmic
delivery of cyclosporine A.
AB - Ocular chitosan films were prepared in order to prolong ocular delivery of
cyclosporine A. The mucoadhesive films were prepared using the solvent casting
evaporation method. A 2(4) full factorial design was used to evaluate the effect
of 4 preparation parameters on the film thickness, swelling index and mechanical
properties. Moreover, uniformity of content and in vitro drug release were
investigated. Possible interactions between the film excipients were studied by
FTIR analysis. In vitro experiments were performed in order to evaluate the
cytotoxicity and anti-inflammatory activity of the chitosan films. Film
thickness, water uptake, mechanical properties and in vitro release of
cyclosporine A were dependent on film composition, especially on the amount of
plasticizer. Lower drug release was measured from chitosan films containing a
higher amount of plasticizer as glycerol decreased the swelling of chitosan
films. FTIR spectra suggest a reorganization of hydrogen bonds between chitosan
chains in the presence of glycerol and cyclodextrins. None of the film
formulations showed significant cytotoxicity as compared to the negative control
using human epithelial cells (HaCaT). Cyclosporine A dispersed in the various
film formulations remained anti-inflammatorily active as significant suppression
of interleukin-2 secretion in concanavalin A stimulated Jurkat T cells was
measured.
PMID- 24929015
TI - Stability of an anti-stroke peptide: driving forces and kinetics in chemical
degradation.
AB - NR2B9c (Lys-Leu-Ser-Ser-Ile-Glu-Ser-Asp-Val) is a 9-amino acid peptide that has
been illustrated to be a potential anti-stroke drug. For more effective
treatment, suitable drug delivery systems should be developed. However, little is
known about the stability of NR2B9c which is essential to its formulation. In
this study, a reversed-phase high-performance liquid chromatography (HPLC) was
applied to study the forced degradation behavior and stability of NR2B9c. HPLC
studies were performed with an C8 column using a mobile phase consisting of
acetonitrile (14.5:85.5, v/v) and aqueous solution (0.1% trifluoroacetic acid
(TFA) and 0.05 M KH2PO4). The flow rate and the wavelength set during HPLC
detection were 1.0 mL/min and 205 nm, respectively. The degradation pattern of
NR2B9c aqueous solution followed pseudo first-order kinetics. The degradation
rate at pH 7.5 was the slowest according to the plotting V-shaped pH-rate
profile. The influence of temperature on the rate of reactions was interpreted in
terms of Arrhenius equation (r(2)>0.98). Thermodynamic parameters were calculated
based on Eyring equation (r(2)>0.98). The concentrations of drug, buffer species,
buffer concentrations, oxidation and organic solvents have noticeable effects on
the degradation of NR2B9c while ultrasound shows little impact under the
experimental conditions. In a word, this study may give a detailed description of
stability of NR2B9c.
PMID- 24929017
TI - Processing by the main olfactory system of chemosignals that facilitate mammalian
reproduction.
AB - This article is part of a Special Issue "Chemosignals and Reproduction". Most
mammalian species possess two parallel circuits that process olfactory
information. One of these circuits, the accessory system, originates with sensory
neurons in the vomeronasal organ (VNO). This system has long been known to detect
non-volatile pheromonal odorants from conspecifics that influence numerous
aspects of social communication, including sexual attraction and mating as well
as the release of luteinizing hormone from the pituitary gland. A second circuit,
the main olfactory system, originates with sensory neurons in the main olfactory
epithelium (MOE). This system detects a wide range of non-pheromonal odors
relevant to survival (e.g., food and predator odors). Over the past decade
evidence has accrued showing that the main olfactory system also detects a range
of volatile odorants that function as pheromones to facilitate mate recognition
and activate the hypothalamic-pituitary-gonadal neuroendocrine axis. We review
early studies as well as the new literature supporting the view that the main
olfactory system processes a variety of different pheromonal cues that facilitate
mammalian reproduction.
PMID- 24929016
TI - GATA4 and GATA6 regulate intestinal epithelial cytodifferentiation during
development.
AB - The intestinal epithelium performs vital roles in organ function by absorbing
nutrients and providing a protective barrier. The zinc-finger containing
transcription factors GATA4 and GATA6 regulate enterocyte gene expression and
control regional epithelial cell identity in the adult intestinal epithelium.
Although GATA4 and GATA6 are expressed in the developing intestine, loss of
either factor alone during the period of epithelial morphogenesis and
cytodifferentiation fails to disrupt these processes. Therefore, we tested the
hypothesis that GATA4 and GATA6 function redundantly to control these aspects of
intestinal development. We used Villin-Cre, which deletes specifically in the
intestinal epithelium during the period of villus development and epithelial
cytodifferentiation, to generate Gata4Gata6 double conditional knockout embryos.
Mice lacking GATA4 and GATA6 in the intestinal epithelium died within 24h of
birth. At E18.5, intestinal villus architecture and epithelial cell populations
were altered. Enterocytes were lost, and goblet cells were increased.
Proliferation was also increased in GATA4-GATA6 deficient intestinal epithelium.
Although villus morphology appeared normal at E16.5, the first time at which both
Gata4 and Gata6 were efficiently reduced, changes in expression of markers of
enterocytes, goblet cells, and proliferative cells were detected. Moreover,
goblet cell number was increased at E16.5. Expression of the Notch ligand Dll1
and the Notch target Olfm4 were reduced in mutant tissue indicating decreased
Notch signaling. Finally, we found that GATA4 occupies chromatin near the Dll1
transcription start site suggesting direct regulation of Dll1 by GATA4. We
demonstrate that GATA4 and GATA6 play an essential role in maintaining proper
intestinal epithelial structure and in regulating intestinal epithelial
cytodifferentiation. Our data highlight a novel role for GATA factors in fine
tuning Notch signaling during intestinal epithelial development to repress goblet
cell differentiation.
PMID- 24929019
TI - Tiny bubbles: coronary aneurysms and lupus.
PMID- 24929018
TI - A curious cause of cavitations: Nocardia pneumonia.
PMID- 24929020
TI - Prolonged pyrexia and hepatitis: Q fever.
PMID- 24929021
TI - Prior history of falls and risk of outcomes in atrial fibrillation: the Loire
Valley Atrial Fibrillation Project.
AB - BACKGROUND: Patients with nonvalvular atrial fibrillation are often denied oral
anticoagulation due to falls risk. The latter is variably defined, and existing
studies have not compared the associated risk of bleeding with other
cardiovascular events. There are no data about outcomes in individuals with
nonvalvular atrial fibrillation with a prior history of (actual) falls, rather
than being "at risk of falls." Our objective was to evaluate the risk of
cardiovascular outcomes associated with prior history of falls in patients with
atrial fibrillation in a contemporary "real world" cohort. METHODS: Patients with
nonvalvular atrial fibrillation in a 4-hospital institution between 2000 and 2010
were included. Stroke/thromboembolism event rates were calculated according to
prior history of falls. Risk factors were investigated by Cox regression.
RESULTS: Among 7156 atrial fibrillation patients, prior history of falls/trauma
was uncommon (n = 76; 1.1%). Compared with patients without history of falls,
those patients were older and less likely to be on oral anticoagulation; they
also had higher risk scores for stroke/thromboembolism but not for bleeding.
Compared with no prior history of falls, rates of stroke/thromboembolism (P =
.01) and all-cause mortality (P < .0001) were significantly higher in patients
with previous falls. In multivariable analyses, prior history of falls was
independently associated with stroke/thromboembolism (hazard ratio [HR] 5.19; 95%
confidence interval [CI], 2.1-12.6; P < .0001), major bleeding (HR 3.32 [1.23
8.91]; P = .02), and all-cause mortality (HR 3.69; 95% CI, 1.52-8.95; P = .04),
but not hemorrhagic stroke (HR 4.20; 95% CI, 0.58-30.48; P = .16) in patients on
oral anticoagulation. CONCLUSION: In this large "real world" atrial fibrillation
cohort, prior history of falls was uncommon but independently increased risk of
stroke/thromboembolism, bleeding, and mortality, but not hemorrhagic stroke in
the presence of anticoagulation. Prior history of (actual) falls may be a more
clinically useful risk prognosticator than "being at risk of falls."
PMID- 24929022
TI - Chronic coinfections in patients diagnosed with chronic lyme disease: a
systematic review.
AB - PURPOSE: Often, the controversial diagnosis of chronic Lyme disease is given to
patients with prolonged, medically unexplained physical symptoms. Many such
patients also are treated for chronic coinfections with Babesia, Anaplasma, or
Bartonella in the absence of typical presentations, objective clinical findings,
or laboratory confirmation of active infection. We have undertaken a systematic
review of the literature to evaluate several aspects of this practice. METHODS:
Five systematic literature searches were performed using Boolean operators and
the PubMed search engine. RESULTS: The literature searches did not demonstrate
convincing evidence of: 1) chronic anaplasmosis infection; 2) treatment
responsive symptomatic chronic babesiosis in immunocompetent persons in the
absence of fever, laboratory abnormalities, and detectable parasitemia; 3) either
geographically widespread or treatment-responsive symptomatic chronic infection
with Babesia duncani in the absence of fever, laboratory abnormalities, and
detectable parasitemia; 4) tick-borne transmission of Bartonella species; or 5)
simultaneous Lyme disease and Bartonella infection. CONCLUSIONS: The medical
literature does not support the diagnosis of chronic, atypical tick-borne
coinfections in patients with chronic, nonspecific illnesses.
PMID- 24929023
TI - Therapeutic efficacy of infused molecular hydrogen in saline on rheumatoid
arthritis: a randomized, double-blind, placebo-controlled pilot study.
AB - The aim of this study was to demonstrate the safety and efficacy of H2-saline
infusion for treatment of rheumatoid arthritis (RA). We conducted a randomized,
double-blind, placebo-controlled investigation of the infusion of 1 ppm H2
dissolved saline (H2-saline) in 24 RA patients. Patients were randomized 1:1 to
receive 500 ml of either H2-saline or placebo-saline, which was drop infused
intravenously (DIV) daily for 5 days. The disease activity score in 28 joints
(DAS28) was measured at baseline, immediately post infusion, and after 4 weeks.
Therapeutic effects of H2-saline on joint inflammation were estimated by
measuring serum biomarkers for RA, tumor necrosis factor-alpha (TNFalpha),
interleukin-6 (IL-6), matrix metalloproteinase-3 (MMP-3), and urinary 8
hydroxydeoxyguanosine (8-OHdG). In the H2-infused group, average DAS28 decreased
from 5.18 +/- 1.16 to 4.02 +/- 1.25 immediately post infusion and reached 3.74 +/
1.22 after 4 weeks. No significant decrease in DAS28 was observed in the placebo
group throughout the study. IL-6 levels in the H2 group significantly decreased
in 4 weeks by 37.3 +/- 62.0% compared to baseline, whereas it increased by 33.6
+/- 34.4% in the placebo group. TNFalpha levels did not change remarkably in the
H2 or placebo groups in 4 weeks post-infusion compared to baseline. The relative
ratio of 8-OHdG in the H2 group also significantly decreased by 4.7%. After 4
weeks, MMP3 was significantly reduced by 19.2% +/- 24.6% in the H2 group, and
increased by 16.9% +/- 50.2% in the placebo group. Drop infusion of H2 safely and
effectively reduced RA disease activity.
PMID- 24929024
TI - Targeting the genetic alterations of the PI3K-AKT-mTOR pathway: its potential use
in the treatment of bladder cancers.
AB - Urothelial carcinoma of the bladder is the most frequent tumor of the urinary
tract and represents the fifth cause of death by cancer worldwide. The current
first line chemotherapy is a combination of cisplatin and gemcitabine with median
survival not exceeding 15months. Vinflunine is the only drug approved by EMEA as
second-line treatment and few progresses have been made for the past 20years to
increase the survival of metastatic patients, especially those who are not
eligible for cisplatin-based regimen. The recent studies characterizing the
genetic background of urothelial cancers of the bladder, revealed chromosomal
alterations that are not seen at the same level in other types of cancers. This
is especially the case for mutations of genes involved in the PI3K/AKT/mTOR
signaling pathway that occupies a major place in the etiology of these tumors.
Here, we describe the mutations leading to constitutive activation of the
PI3K/AKT/mTOR pathway and discuss the potential use of the different classes of
PI3K/AKT/mTOR inhibitors in the treatment of urothelial bladder cancers. Despite
the recent pivotal study evidencing specific mutations of TSC1 in bladder cancer
patients responding to everolimus and the encouraging results obtained with other
derivatives than rapalogs, few clinical trials are ongoing in bladder cancers.
Because of the genetic complexity of these tumors, the cross-talks of the
PI3K/AKT/mTOR pathway with other pathways, and the small number of eligible
patients, it will be of utmost importance to carefully choose the drugs or drug
combinations to be further tested in the clinic.
PMID- 24929025
TI - Neuropsychological performance, brain imaging, and driving violations in multiple
sclerosis.
AB - OBJECTIVE: To examine the relationship between third ventricular width, a measure
of thalamic brain atrophy, and motor vehicle violation type and frequency in a
cohort of patients with multiple sclerosis (MS). DESIGN: Retrospective cohort
study. SETTING: Tertiary care university hospital. PARTICIPANTS: Thirty-five
individuals with clinically confirmed relapsing-remitting multiple sclerosis and
35 age-, sex-, and education-matched community-dwelling healthy comparisons
(N=70). Participants were aged between 25 and 65 years. INTERVENTIONS: Not
applicable. MAIN OUTCOME MEASURES: Data on motor vehicle violations were obtained
from an online database (Iowa Courts Online). The violations were categorized as
follows: (1) speeding, (2) nonmoving safety, (3) administrative, (4) alcohol
related offense, (5) moving safety, and (6) total violations. Neuropsychological
performance in all major cognitive domains was obtained. Thalamic atrophy for the
patients with MS was determined via third ventricular width measurement. RESULTS:
The MS group had a greater number of overall violations, administrative
violations, and nonmoving safety violations. The groups differed on
neuropsychological tasks measuring visuospatial skills, speeded language,
learning, and executive functioning, after controlling for affective symptoms.
Third ventricular width was associated with total violations as well as moving
safety violations. Finally, third ventricular width accounted for a significant
variance in driving violation frequency above and beyond demographic variables
and neuropsychological factors. CONCLUSIONS: There is an increased frequency of
motor vehicle violations among patients with multiple sclerosis, and the number
of violations can be predicted by thalamic brain atrophy.
PMID- 24929026
TI - Long-term trajectories of health-related quality of life in individuals with
cerebral palsy: a multicenter longitudinal study.
AB - OBJECTIVES: To (1) determine the long-term trajectory of health-related quality
of life (HRQOL) for the dimensions of physical complaints and motor,
psychological, and social functioning for groups of individuals with cerebral
palsy (CP) aged 1 to 24 years; (2) assess the variability in HRQOL within
individuals with CP over time; (3) assess the variability in HRQOL between
individuals with CP; and (4) compare the HRQOL in individuals with CP to
reference data of typically developing individuals. DESIGN: Multicenter
prospective longitudinal study. SETTING: Rehabilitation departments of 3
university medical centers and various rehabilitation centers in The Netherlands.
PARTICIPANTS: Dutch individuals with CP (N=424; age, 1-24y). INTERVENTIONS: Not
applicable. MAIN OUTCOME MEASURES: The HRQOL dimensions of physical complaints
and motor, psychological, and social functioning. Each individual visited the
rehabilitation department for 3 or 4 measurements. The time between measurements
was 1 or 2 years. RESULTS: Individuals with CP experience an HRQOL that, on
average, remains fairly stable over time. Variability in HRQOL within individuals
with CP was similar to that within typically developing individuals. Variability
between individuals with CP could be explained by type of CP (motor functioning),
Gross Motor Function Classification System level (physical complaints and motor
and social functioning), and intellectual disability (physical complaints and
social functioning). Finally, individuals with CP experienced a lower HRQOL than
did typically developing individuals, especially for the dimensions of motor and
social functioning. CONCLUSIONS: Many changes take place in the psychosocial
development of the individual with CP, which accordingly change their
expectations and those of their caregivers, peers, and professionals. As a
result, perceived physical complaints and motor, psychological, and social
functioning remain fairly stable over many years.
PMID- 24929027
TI - Role of Marsupenaeus japonicus crustin-like peptide against Vibrio penaeicida and
white spot syndrome virus infection.
AB - Crustins are important AMP that has been identified in crustaceans. In this
study, the role of Marsupenaeus japonicus crustin-like peptide (MjCRS) was
examined in vivo by RNA interference (RNAi) using double-stranded RNA (dsRNA).
Tissue expression analysis revealed that MjCRS transcripts are expressed in
different tissues tested with the highest expression observed in hemocytes.
Treatment with double-stranded RNA specific to MjCRS led to a significant
reduction of MjCRS transcripts within the hemocytes. When MjCRS was silenced and
subsequently infected with Vibrio penaeicida final mortality was significantly
higher compared with PBS and dsGFP treated groups. On the other hand, final
mortalities of MjCRS silenced and PBS injected groups were not significantly
different after infection with white spot virus, however, both are significantly
higher compared with dsGFP treated group. V. penaeicida infection significantly
decreased MjCRS expression at 3, 6, 12 and 24h followed by significant increase
at 48 h post-infection. On the contrary, white spot infection significantly
increased MjCRS expression at 6 and 12h and decreased at 48 h post-infection.
dsRNA treatment alone decreased total hemocyte counts (THCs) and subsequent V.
penaeicida or white spot virus infection further decreased THCs. VP28 gene
expression was both similarly increased in PBS injected group and MjCRS silenced
group at 24 and 48 h-post infection. Results suggest that MjCRS is involved in
antibacterial defense and might not have critical function against viral
infection.
PMID- 24929028
TI - Pretreatment information on dysphagia: exploring the views of head and neck
cancer patients.
AB - CONTEXT: Swallowing difficulties (dysphagia) are a common acute and chronic side
effect of head and neck cancer (HNC) treatment. Dysphagia is associated with
medical concerns such as malnutrition and pulmonary health as well as quality of
life outcomes. Providing information on the likely changes to swallowing is an
important component of pretreatment preparation. There is little research
providing the patients' perspective in this area. OBJECTIVES: This is a
qualitative study to describe patients' views on pretreatment information
regarding changes to eating, drinking, and swallowing after chemoradiotherapy
treatment for HNC. METHODS: A purposive sample of 24 patients with HNC with a
range of post-treatment dysphagia severity, up to two years after
chemoradiotherapy, was selected to participate in focus groups or semistructured
interviews. Thematic analysis was conducted by two researchers, and results were
verified with three participants. RESULTS: Half of the participants presented
with mild dysphagia, with the remainder having moderate to severe dysphagia. Mean
age was 59 years, and mean time post-treatment was 10 months. Data were grouped
into three main themes and subthemes: expectations about treatment outcomes and
whether information correlated with pretreatment information; presentation of
information, including the format and delivery; and the difficulties with
absorption and retention of information. CONCLUSION: Patients require information
on the impact and prognosis for their swallowing ability. There was a general
preference for verbal information, from someone knowledgeable about dysphagia.
However, there are also individual preferences for the manner and pace at which
this information is delivered. Further research is indicated to explore ways of
providing information that is individually tailored to patients' needs and
preferences.
PMID- 24929029
TI - Complexities in euthanasia or physician-assisted suicide as perceived by Dutch
physicians and patients' relatives.
AB - CONTEXT: The practice of euthanasia and physician-assisted suicide (EAS) is
always complex, but some cases are more complex than others. The nature of these
unusually complex cases is not known. OBJECTIVES: To identify and categorize the
characteristics of EAS requests that are more complex than others. METHODS: We
held in-depth interviews with 28 Dutch physicians about their perception of
complex cases of EAS requests. We also interviewed 26 relatives of patients who
had died by EAS. We used open coding and inductive analysis to identify various
different aspects of the complexities described by the participants. RESULTS:
Complexities can be categorized into relational difficulties-such as
miscommunication, invisible suffering, and the absence of a process of growth
toward EAS-and complexities that arise from unexpected situations, such as the
capricious progress of a disease or the obligation to move the patient. The
interviews showed that relatives of the patient influence the process toward EAS.
CONCLUSION: First, the process toward EAS may be disrupted, causing a complex
situation. Second, the course of the process toward EAS is influenced not only by
the patient and his/her attending physician but also by the relatives who are
involved. Communicating and clarifying expectations throughout the process may
help to prevent the occurrence of unusually complex situations.
PMID- 24929030
TI - Why people accept opioids: role of general attitudes toward drugs, experience as
a bereaved family, information from medical professionals, and personal beliefs
regarding a good death.
AB - CONTEXT: Many surveys have evaluated patient-related barriers to pain management.
OBJECTIVES: To explore associations between a preference for opioids and general
attitudes toward drugs, the experience and information received as a bereaved
family, and beliefs regarding a good death. METHODS: A cross-sectional survey,
performed in 2010, of bereaved families of patients with cancer in palliative
care units across Japan. Questionnaires were sent to 997 families. RESULTS: A
total of 66% of families responded. Of these, 224 responses were excluded because
the family declined to participate in the study (n = 38), the patient was not
receiving any opioid analgesics, and there were missing data (n = 164), or data
were missing for the primary end points (n = 22). Thus, 432 responses were
finally analyzed (43%). In total, 26%, 41%, and 31% of family members stated that
they strongly want to receive, want to receive, or slightly want to receive
opioids if needed in the future, respectively. Determinants associated with a
preference for receiving opioid treatment were the following: a general
appreciation of the drugs (P = 0.005), witnessing an improvement in the patient's
quality of life as a result of pain relief (P = 0.003), information provided by
medical professionals that the opioid could be discontinued if side effects
developed (P = 0.042), and the belief that a good death was one that was free
from pain and physical distress (P < 0.001). CONCLUSION: More than 90% of
bereaved families whose relatives were treated with opioid analgesics reported a
preference to receive opioid analgesics for the treatment of cancer pain, if
necessary, in the future.
PMID- 24929032
TI - Temporal and spatial expression of the four Igf ligands and two Igf type 1
receptors in zebrafish during early embryonic development.
AB - The insulin-like growth factor (Igf) family is an evolutionarily conserved system
essential for normal growth and development in vertebrates. Unlike mammals, four
distinct Igf ligands (Igf1, Igf2a, Igf2b and Igf3) and two Igf type 1 receptors
(Igf1ra and Igf1rb) are present in zebrafish. However, the localization of these
multiple ligands and receptors especially the recently discovered igf3 during
early development of zebrafish is poorly understood. In this study, detailed
expression patterns of these components of the Igf system during embryogenesis of
zebrafish were analyzed. It was found that igf1 is specifically expressed in the
trigeminal ganglia region from 18 hpf to 72 hpf, while igf2a is restricted to the
caudal regions of the notochord from 14 hpf to 18 hpf as well as in the midbrain,
dorsal hind brain and otic vesicle at 24 hpf. On the other hand, igf2a is highly
expressed in the midbrain and pharyngeal arch region at 48 hpf, followed by its
appearance in the liver and brain at 72 hpf, while igf2b is restricted to the
floor plate and hypochord from 12 hpf to 18 hpf, and strong expression is also
detected in the midbrain and dorsal hind brain at 24 hpf. The teleost specific
igf3 is highly expressed in the pharyngeal arch region before 24 hpf, but is then
restricted to the sternohyoideus after 48 hpf. The receptor subtype igf1ra is
ubiquitously expressed before 24 hpf but is confined to the brain at 72 hpf.
However, igf1rb is widely expressed before 10 hpf, but is more confined to the
brain region at 24 hpf and 72 hpf. This dynamic temporal-spatial expression
during embryogenesis of zebrafish, together with the unique and overlapping
expression patterns of the Igf ligands and receptors suggest the coordination of
the divergent functions of the Igf system during early development in zebrafish.
PMID- 24929031
TI - A comprehensive analysis of aquaporin and secretory related gene expression in
neonate and adult cholangiocytes.
AB - Canalicular bile is secreted by hepatocytes and then passes through the
intrahepatic bile ducts, comprised of cholangiocytes, to reach the extrahepatic
biliary system. In addition to providing a conduit for bile to drain from the
liver, cholangiocytes play an active role in modifying bile composition. Bile
formation is the result of a series of highly coordinated intricate membrane
transport interactions. Proper systematic regulation of solute and water
transport is critical for both digestion and the health of the liver, yet our
knowledge of cholangiocyte water and ion transporters and their relative
expression patterns remains incomplete. In this report, we provide a
comprehensive expression profile of the aquaporin (AQP) family and three
receptors/channels known to regulate ion transport in the murine cholangiocyte.
In murine intrahepatic cholangiocytes, we found mRNA expression for all twelve of
the members of the AQP family of proteins and found temporal changes in the
expression profile occurring with age. Using AQP4, an established marker within
cholangiocyte physiology, we found that AQP2, AQP5 and AQP6 expression levels to
be significantly different between the neonatal and adult time points.
Furthermore, there were distinct temporal expression patterns, with that of AQP12
unique in that its expression level decreased with age, whilst the majority of
AQPs followed an increasing expression level trend with age. Of the three
receptors/channels regulating ion transport in the murine cholangiocyte, only the
cystic fibrosis transmembrane conductance regulator was found to follow a
consistent trend of decreasing expression coincident with age. We have further
validated AQP3 and AQP8 protein localization in both hepatocytes and
cholangiocytes. This study emphasizes the need to further appreciate and consider
the differences in cholangiocyte biology when treating neonatal and adult
hepatobiliary diseases.
PMID- 24929033
TI - Expression pattern and first functional characterization of riok-1 in
Caenorhabditis elegans.
AB - Rio kinases are atypical serine/threonine kinases that emerge as potential
cooperation partners in Ras-driven tumors. In the current study, we performed an
RNAi screen in Caenorhabditis elegans to identify suppressors of oncogenic Ras
signaling. Aberrant Ras/Raf signaling in C. elegans leads to the formation of a
multi-vulva (Muv) phenotype. We found that depletion of riok-1, the C. elegans
orthologue of the mammalian RioK1, suppressed the Muv phenotype. By using a
promoter GFP construct, we could show that riok-1 is expressed in neuronal cells,
the somatic gonad, the vulva, the uterus and the spermatheca. Furthermore, we
observed developmental defects in the gonad upon riok-1 knockdown in a wildtype
background. Our data suggest that riok-1 is a modulator of the Ras signaling
pathway, suggesting implications for novel interventions in the context of Ras
driven tumors.
PMID- 24929034
TI - Editor's perspectives - July 2014.
PMID- 24929035
TI - Clinical presentation and diagnosis of toxoplasmic encephalitis in Japan.
AB - Distinguishing life-threatening toxoplasmic encephalitis (TE) from brain lymphoma
in patients with acquired immunodeficiency syndrome (AIDS) may be difficult.
Empiric anti-toxoplasmosis treatment is often initiated because of the reluctance
in performing brain biopsies, which may delay the diagnosis and treatment of
brain lymphoma in Japan. In this study, we retrospectively examined the clinical
characteristics of 13 AIDS patients with TE in Japan, including magnetic
resonance imaging and thallium 201 (201TI) single photon emission computed
tomography (SPECT) findings, cerebral spinal fluid analysis, serology, and
polymerase chain reaction (PCR) results. All patients improved on anti
toxoplasmosis treatment. Of the 11 patients who underwent serological testing, 6
(55%) had a positive serological result. Of the 7 patients who underwent PCR
testing, 3 (42.9%) had a positive PCR result. Nine of 11 patients with TE (81.8%)
had multiple lesions. Analysis of the sites of TE lesions did not reveal a
difference in site predilection between TE and brain lymphoma. Uptake was
negative in all 9 patients who underwent 201Tl SPECT. The study findings suggest
that toxoplasma serostatus and PCR may be used to discriminate TE from brain
lymphoma. No focal accumulation of 201TI is strongly suggestive of TE in patients
with AIDS in Japan.
PMID- 24929036
TI - Subjective adverse reactions to metronidazole in patients with amebiasis.
AB - Subjective adverse reactions to metronidazole were analyzed in 111 patients with
amebiasis. Metronidazole was administered to 36 patients at a daily dose of 2250
mg and 75 patients at daily doses lower than 2250 mg. The reactions reported
included nausea without vomiting in 11 (9.9%) patients, nausea with vomiting in 2
(1.8%), dysgeusia in 2 (1.8%), diarrhea in 1 (0.9%), headache in 1 (0.9%),
numbness in 1 (0.9%), dizziness in 1 (0.9%), urticaria in 1 (0.9%), exanthema in
1 (0.9%), and discomfort in 1 (0.9%). Nausea was reported by 28% (10/36) of the
patients receiving metronidazole at a daily dose of 2250 mg and 4% (3/75) of the
patients receiving lower daily doses. The duration of the metronidazole
administration in days was not associated with the appearance of nausea. No life
threatening adverse reactions were identified, and good clinical therapeutic
effects were observed in 96% (107/111) of the patients. While metronidazole
appears to be a safe anti-protozoal agent for patients with amebiasis, our
results indicate that a daily metronidazole dose of 2250 mg is excessive for
amebiasis, as it often induces nausea.
PMID- 24929037
TI - A sequential co-extraction method for DNA, RNA and protein recovery from soil for
future system-based approaches.
AB - A co-extraction protocol that sequentially isolates core biopolymer fractions
(DNA, RNA, protein) from edaphic microbial communities is presented. In order to
confirm compatibility with downstream analyses, bacterial T-RFLP profiles were
generated from the DNA- and RNA-derived fractions of an arid-based soil, with
metaproteomics undertaken on the corresponding protein fraction.
PMID- 24929038
TI - Solid lipid excipients - matrix agents for sustained drug delivery.
AB - Lipid excipients are attracting interest from drug developers due to their
performance, ease of use, versatility and their potential to generate
intellectual property through innovation in drug delivery particularly in the
case of modifying drug release systems. Many articles have described the use of
lipid excipients to develop matrix modified release dosage forms in a range of
processing techniques, therefore a comprehensive review is timely to collect
together and analyze key information. This review article focuses on the utility
of lipid excipients in solid sustained drug delivery systems with emphasis on the
efficiency and robustness of these systems with respect to: (i) the choice of the
manufacturing process and impact on drug release, (ii) the fundamental drug
release mechanisms, (iii) resistance of the drug formulation under physiological
conditions and (iv) long-term stability. Understanding the functionality of these
versatile excipients in formulation is elementary for the development of highly
robust lipid-based sustained release medicines.
PMID- 24929040
TI - Lag phase-associated iron accumulation is likely a microbial counter-strategy to
host iron sequestration: role of the ferric uptake regulator (fur).
AB - Iron is an essential metal for almost all forms of life, but potentiates
oxidative stress via Fenton catalysis. During microbial lag phase there is a
rapid influx of iron with concomitant oxidative hypersensitivity. How and why
iron accumulation occurs remains to be elucidated. Iron homeostasis in
prokaryotes is mediated by the ferric uptake regulator (Fur), an iron-activated
global regulator that controls intracellular iron levels by feedback inhibition
with the metal. Herein it is postulated, based on the expression profiles of
antioxidant enzymes within the Fur regulon as observed in wild type and Deltafur
mutants, that iron accumulation is mediated by a transitively low concentration
of the Fur protein during lag phase. Vertebrate hosts sequester iron upon
'sensing' an infection in order to retard microbial proliferation through a
process known as 'nutritional immunity'. It is herein argued that the purpose of
iron accumulation is not principally a preparative step for the replicative
phase, as suggested elsewhere, but an evolved behavior that counteracts host iron
sequestration. This interpretation is supported by multiple clinical and animal
studies that demonstrate that iron surplus in hosts advances progression and
susceptibility to infection, and vice versa. Contextualizing iron accumulation as
a counter-immune behavior adds impetus to the development of antibiotics
targeting pathogenic modes of iron acquisition.
PMID- 24929041
TI - A computational model of nuclear self-organisation in syncytial embryos.
AB - Syncytial embryos develop through cycles of nuclear division and rearrangement
within a common cytoplasm. A paradigm example is Drosophila melanogaster in which
nuclei form an ordered array in the embryo surface over cell cycles 10-13. This
ordering process is assumed to be essential for subsequent cellularisation. Using
quantitative tissue analysis, it has previously been shown that the regrowth of
actin and microtubule networks after nuclear division generates reordering forces
that counteract its disordering effect (Kanesaki et al., 2011). We present here
an individual-based computer simulation modelling the nuclear dynamics. In
contrast to similar modelling approaches e.g. epithelial monolayers or tumour
spheroids, we focus not on the spatial dependence, but rather on the time
dependence of the interaction laws. We show that appropriate phenomenological
inter-nuclear force laws reproduce the experimentally observed dynamics provided
that the cytoskeletal network regrows sufficiently quickly after mitosis. Then
repulsive forces provided by the actin system are necessary and sufficient to
regain the observed level of order in the system, after the strong disruption
resulting from cytoskeletal network disassembly and spindle formation. We also
observe little mixing of nuclei through cell cycles. Our study highlights the
importance of the dynamics of cytoskeletal forces during this critical phase of
syncytial development and emphasises the need for real-time experimental data at
high temporal resolution.
PMID- 24929039
TI - Injectable controlled release depots for large molecules.
AB - Biodegradable, injectable depot formulations for long-term controlled drug
release have improved therapy for a number of drug molecules and led to over a
dozen highly successful pharmaceutical products. Until now, success has been
limited to several small molecules and peptides, although remarkable improvements
have been accomplished in some of these cases. For example, twice-a-year depot
injections with leuprolide are available compared to the once-a-day injection of
the solution dosage form. Injectable depots are typically prepared by
encapsulation of the drug in poly(lactic-co-glycolic acid) (PLGA), a polymer that
is used in children every day as a resorbable suture material, and therefore,
highly biocompatible. PLGAs remain today as one of the few "real world"
biodegradable synthetic biomaterials used in US FDA-approved parenteral long
acting-release (LAR) products. Despite their success, there remain critical
barriers to the more widespread use of PLGA LARproducts, particularly for
delivery of more peptides and other large molecular drugs, namely proteins. In
this review, we describe key concepts in the development of injectable PLGA
controlled-release depots for peptides and proteins, and then use this
information to identify key issues impeding greater widespread use of PLGA depots
for this class of drugs. Finally, we examine important approaches, particularly
those developed in our research laboratory, toward overcoming these barriers to
advance commercial LAR development.
PMID- 24929042
TI - Salidroside induces neuronal differentiation of mouse mesenchymal stem cells
through Notch and BMP signaling pathways.
AB - Salidroside (p-hydroxyphenethyl-beta-D-glucoside, SAL), a phenylpropanoid
glycoside isolated from a popular traditional Chinese medicinal plant Rhodiola
rosea L., possesses multiple pharmacological actions. Previous study showed that
SAL could induce rat mesenchymal stem cells (MSCs) to differentiate into
dopaminergic neurons and induce mouse MSCs D1 to differentiate into neuronal
cells. However, the mechanisms of SAL-induced neuronal differentiation of MSCs
still need investigation. In this study, we observed the effects of SAL on
neuronal differentiation of D1 cells and the possible involvement of Notch and
BMP signaling pathways. SAL inhibited the proliferation, induced neuronal
phenotypes, and upregulated the expressions of neuronal-specific marker
molecules, such as neuronal enolase 2 (Eno2/NSE), microtubule-associated protein
2 (MAP2), and beta 3 class III tubulin (Tubb3/beta-tubulin III) in D1 cells. SAL
not only downregulated the expressions of Notch1 and hairy enhancer of split 1
(Drosophila) (Hes1) but also upregulated the expression of Smad1/5/8 and its
phosphorylation (p-Smad 1/5/8). The neuronal differentiation effects of SAL on D1
cells were promoted by a Notch signaling antagonist, DAPT, but attenuated by a
BMP signaling pathway antagonist, Noggin. Our findings suggest that SAL might be
promising in inducing neuronal differentiation of mouse MSCs mediated by both
Notch signaling pathway and BMP signaling pathway.
PMID- 24929043
TI - Synthetic peptides for efficient discrimination of anti-enterovirus antibodies at
the serotype level.
AB - Enteroviruses are important human pathogens, causing a broad spectrum of diseases
from minor common colds to fatal myocarditis. However, certain disease syndromes
are caused by one or few serotypes. Serotype identification is difficult due to
the laborious neutralization tests that lack of sensitivity, while in commercial
ELISAs homotypic antibodies' activities are largely masked by the recognition of
genera-specific epitopes by heterotypic antibodies. In the present study
homotypic assays were developed with the ability to discriminate different
enterovirus serotypes. Seventy-three children sera, positive for IgM antibodies
against enterovirus genus and 49 healthy children were examined for the presence
of antibodies against 14 synthetic peptides derived from a non-conserved region
of the VP1 protein of coxsackieviruses B2, B3, B4, B5, A9, A16, A24, echoviruses
6, 7, 9, 11, 30, enterovirus 71 and parechovirus 1. 50% of the anti-enterovirus
IgM positive sera (>150 BU) reacted with the peptides with the majority of them
to preferentially recognize one of them, supporting the homotypic nature of our
assay. Inhibition studies yielded homologous inhibition rates 67-95% suggesting
that specific peptide recognition actually occurred. The diagnostic value of our
assay was tested in blood samples drawn over a 1.5-year period from a 5-year old
patient. The anti-enterovirus reactivity was clearly attributed to echovirus
serotype 11. The IgM/IgG antibody ratio was reversed 4 months later and
subsequently IgM antibodies dropped below the cutoff point. In this paper we
demonstrate that our assay can be used to discriminate between antibodies
targeting different enterovirus serotypes.
PMID- 24929044
TI - Development of conjugated estrogens/bazedoxifene, the first tissue selective
estrogen complex (TSEC) for management of menopausal hot flashes and
postmenopausal bone loss.
AB - Conjugated estrogens (CE) combined with the selective estrogen receptor modulator
(SERM) bazedoxifene (BZA) is a new option for alleviating menopausal symptoms and
preventing postmenopausal bone loss. The rationale for developing the tissue
selective estrogen complex (TSEC) CE/BZA was to combine CE's benefits with the
SERM's tissue-specific properties to offset estrogenic stimulation of endometrial
and breast tissue. TSECs provide a progestin-free alternative to traditional
estrogen-progestin therapy (EPT) in women with a uterus. Preclinical studies
supported bazedoxifene as the SERM of choice and demonstrated that CE/BZA
provided an optimal balance of estrogen receptor agonist/antagonist activity
compared with other potential TSEC pairings. Initial clinical development of
CE/BZA focused on determining the appropriate dose ratio that would demonstrate
efficacy with minimal to no stimulation of the breast or endometrium. Clinical
studies confirmed the efficacy of the selected doses for maintaining bone mass;
relieving vasomotor symptoms, vulvar-vaginal atrophy, and dyspareunia; and
improving sexual function in postmenopausal women. Reduction of hot flashes also
translated into improved menopause-specific quality of life and sleep. Unlike
EPT, the FDA-approved dose of CE 0.45 mg/BZA 20mg does not cause a change in
breast density or the endometrium, or increase breast pain compared with placebo.
In clinical trials up to 2 years, CE 0.45 mg/BZA 20 mg has a favorable
tolerability profile and rates of coronary heart disease, venous thromboembolism,
and amenorrhea similar to placebo. Therefore, CE 0.45 mg/BZA 20 mg is an
effective, well-tolerated alternative to EPT for menopausal symptom relief and
osteoporosis prevention for postmenopausal women with a uterus.
PMID- 24929045
TI - Synthesis of novel anticancer agents through opening of spiroacetal ring of
diosgenin.
AB - Diosgenin has been modified to furostane derivatives after opening the F
spiroacetal ring. The aldehyde group at C26 in derivative 8 was unexpectedly
transformed to the ketone 9. The structure of ketone 9 was confirmed by
spectroscopy and finally by X-ray crystallography. Five of the diosgenin
derivatives showed significant anticancer activity against human cancer cell
lines. The most potent molecule of this series i.e. compound 7, inhibited
cellular growth by arresting the population at G0/G1 phase of cell division
cycle. Cells undergo apoptosis after exposure to the derivative 7 which was
evident by increase in sub G0 population in cell cycle analysis. Docking
experiments showed caspase-3 and caspase-9 as possible molecular targets for
these compounds. This was further validated by cleavage of PARP, a caspase target
in apoptotic pathway. Compound 7 was found non-toxic up to 1000mg/kg dose in
acute oral toxicity in Swiss albino mice.
PMID- 24929046
TI - Selective estrogen-induced apoptosis in breast cancer.
AB - Antihormone therapy remains the gold standard of care in the treatment of
estrogen receptor (ER) positive breast cancer. However, development of acquired
long term antihormone resistance exposes a vulnerability to estrogen that induces
apoptosis. Laboratory and clinical studies indicate that successful therapy with
estrogens is dependent on the duration of estrogen withdrawal and menopausal
status of a woman. Interrogation of estradiol (E2) induced apoptosis using
molecular studies indicate treatment of long term estrogen deprived MCF-7 breast
cancer cells with estrogen causes an endoplasmic reticulum stress response that
induces an unfolded protein response signal to inhibit protein translation. E2
binds to the ER and mediates apoptosis through the classical genomic pathway.
Furthermore, the induction of apoptosis by estrogens is dependent on the
conformation of the estrogen-ER complex. In this review, we explore the mechanism
and the processes involved in the paradox of estrogen induced apoptosis and the
new selectivity of estrogen action on different cell populations that is
correctly been deciphered for clinical practice.
PMID- 24929047
TI - Asterosaponins from the Far Eastern starfish Leptasterias ochotensis and their
anticancer activity.
AB - Six new asterosaponins, leptasteriosides A-F (3-8), one new and one previously
known asterogenins (1, 2) were isolated from the alcoholic extract of the Far
Eastern starfish Leptasterias ochotensis. The structures of 1-8 were elucidated
by extensive NMR and ESI-MS techniques. Compounds 2-8 showed slight or moderate
cytotoxic activities against cancer cell lines RPMI-7951 and T-47D. The
asterosaponins 3-5 demonstrated a significant inhibition of RPMI-7951 and T-47D
cell colony formation in soft agar clonogenic assay in nontoxic doses.
PMID- 24929049
TI - The possible role of hydrogen sulfide as a modulator of hemostatic parameters of
plasma.
AB - Hydrogen sulfide (H2S) is a well known toxic gas at high levels. However, at
physiological levels, H2S may play a role in the pathogenesis of various
cardiovascular diseases. The objective was to study the effects of exogenous H2S
on the hemostatic parameters (coagulation and fibrinolytic activity) of human
plasma. Human plasma was incubated (5, 15 and 30 min) with NaHS as a H2S donor at
the final concentration of 0.01-100 MUM. Hemostatic factors, such as maximum
velocity of clot formation, fibrin lysis half-time, the activated partial
thromboplastin time (APTT), prothrombin time (PT), and thrombin time (TT) were
estimated. Moreover, the aim of our study was to establish the influence of NaHS
(10 MUM; 5, 15 and 30 min) on the clot formation using the purified fibrinogen.
We demonstrated that coagulation/fibrinolytic properties of human plasma
incubated with NaHS were changed. APPT, PT and TT of plasma treated with NaHS at
tested concentrations--0.01-100 MUM were prolonged. We observed that NaHS (0.01
100 MUM) reduced fibrin polymerization in whole plasma and 10 MUM NaHS also
reduced polymerization of purified fibrinogen. In the presence of NaHS (at the
low tested concentration--1 MUM) the decrease was about 18% (in plasma, p<0.05).
Our experiments also showed that NaHS (0.01-100 MUM) stimulated the fibrin lysis
in whole plasma. However, the time-dependent (5, 15 and 30 min) reduction of
fibrin/fibrinogen polymerization and stimulation of fibrin lysis by NaHS (10 MUM)
was not observed. In conclusion, the present study demonstrates the anticoagulant
properties of exogenous H2S in vitro.
PMID- 24929048
TI - The conditioning and extinction of fear in youths: what's sex got to do with it?
AB - Adult work shows differences in emotional processing influenced by sexes of both
the viewer and expresser of facial expressions. We investigated this in 120
healthy youths (57 boys; 10-17 years old) randomly assigned to fear conditioning
and extinction tasks using either neutral male or female faces as the conditioned
threat and safety cues, and a fearful face paired with a shrieking scream as the
unconditioned stimulus. Fear ratings and skin conductance responses (SCRs) were
assessed. Male faces triggered increased fear ratings in all participants during
conditioning and extinction. Greater differential SCRs were observed in boys
viewing male faces and in girls viewing female faces during conditioning. During
extinction, differential SCR findings remained significant in boys viewing male
faces. Our findings demonstrate how sex of participant and sex of target interact
to shape fear responses in youths, and how the type of measure may lead to
distinct profiles of fear responses.
PMID- 24929050
TI - Ruptured aneurysm of the splenic artery associated with fibromuscular dysplasia.
AB - Rupture of a splenic artery aneurysm is a rare event associated with high
mortality rates. Aneurysm of the splenic artery associated with fibromuscular
dysplasia (FMD) is even rarer, with only 3 previously reported cases. We report a
patient with previously undiagnosed FMD who required emergent intervention
because of a ruptured splenic aneurysm. In discussion, we present an overview of
literature on splenic aneurysms and FMD, together with pathology and treatment.
PMID- 24929051
TI - Endovascular repositioning of a migrated stent graft using "endoanchor capture".
AB - Endovascular aneurysm repair is increasingly used to treat patients harboring
abdominal aortic aneurysms with severe comorbidities that make them unfavorable
candidates for open repair. Graft-related complications in these patients also
require unique solutions. We report the novel technique of "endoanchor capture"
for successful repositioning of a migrated stent graft.
PMID- 24929052
TI - Endometrial cancer: a review and current management strategies: part II.
AB - Endometrial carcinoma is the most common gynecologic malignancy. A thorough
understanding of the epidemiology, pathophysiology, and management strategies for
this cancer allows the obstetrician-gynecologist to identify women at increased
risk, contribute toward risk reduction, and facilitate early diagnosis. The
Society of Gynecologic Oncology's Clinical Practice Committee has reviewed the
literature through March of 2014 and created evidence-based practice
recommendations for diagnosis and treatment. The level of recommendations used is
based on the method used by the U.S. Preventive Services Task Force (A: There is
good evidence to support the recommendation, B: There is fair evidence to support
the recommendation, C: There is insufficient evidence to support the
recommendation; however, the recommendation may be made on other grounds, D:
There is fair evidence against the recommendation, E: There is good evidence
against the recommendation.). It is not the purpose of this document to provide a
complete review of the literature on all aspects of endometrial cancer. This
article examines: * Adjuvant therapy, including radiation, vaginal brachytherapy,
and chemotherapy * Therapy for advanced disease, including chemotherapy and
radiation therapy alone and in combination as well as hormone therapy * Treatment
for synchronous endometrial and ovarian cancer * Fertility-sparing treatment *
Post-treatment patient surveillance * The role of hormone replacement therapy in
the development of endometrial carcinoma * Novel targeted therapies.
PMID- 24929053
TI - Non-linear assessment of anticancer activity of 17-picolyl and 17-picolinylidene
androstane derivatives--chemometric guidelines for further syntheses.
AB - The present paper deals with prediction of cytotoxic activity of 17-picolyl and
17-picolinylidene androstane derivatives toward androgen receptor negative
prostate cancer cell line (PC-3). The prediction was achieved applying artificial
neural networks (ANNs) method on the basis of molecular descriptors. The most
important descriptors (skin permeability (SP), Madin-Darby canine kidney cell
permeability (MDCK) and universal salt solubility factor (S+SF)) were selected by
using stepwise selection coupled with partial least squares method. The ANN
modelling was carried out in order to obtain reliable models which can facilitate
further synthesis of androstane derivatives with high antiproliferative activity
toward PC-3 cell line. The modelling procedure resulted in three ANN models with
the best statistical performance. The obtained results show that the established
ANN models can be applied for required purpose.
PMID- 24929054
TI - GMP production and characterization of leucine zipper-tagged tumor necrosis
factor-related apoptosis-inducing ligand (LZ-TRAIL) for phase I clinical trial.
AB - Tumor necrosis factor-related apoptosis-inducing ligand (TRAIL) exhibits potent
antitumor activity in a wide range of cancers without deleterious side effects on
normal tissues. Several TRAIL derivatives have been developed to improve its
pharmacokinetics and therapeutic effects through strategies such as adding a
leucine zipper to increase the circulation half-life. To obtain clinical grade LZ
TRAIL for phase I clinical trial, a single batch of 30 L bioreactor culture was
performed using the Escherichia coli BL21 (DE3) strain expressing the recombinant
LZ-TRAIL. A robust LZ-TRAIL production fermentation process was developed, which
could be scaled up from 5L to 50 L, and had a titer of approximately 1.4 g/l. A
four-step purification strategy was carried out to obtain a final product with
over 95% purity and 45% yield. The final material was filter sterilized,
aseptically vialed, and stored at 4 degrees C, and comprehensively characterized
using multiple assays (vialed product was sterile, purity was 95%, aggregates
were <5%, potency revealed IC50 of 9 nM on MDA-MB-231 cells, and the endotoxin
level was <0.25 U/mg). The purity, composition, and functional activities of the
molecule were confirmed. in vivo investigations indicated that LZ-TRAIL has
better antitumor potency in three Xenograft tumor models compared to TRAIL (95
281). LZ-TRAIL also showed improved pharmacokinetic and safety profiles in
cynomolgus monkeys without abnormalities associated with drug exposure. In
conclusion, the scalable synthesis of LZ-TRAIL is useful for production of phase
I clinical trial material. These preclinical investigations warrant further
clinical development of this product for cancer therapy.
PMID- 24929055
TI - Different effects of lobeline on neuronal and muscle nicotinic receptors.
AB - Lobeline is a plant alkaloid known to interact with cholinergic system. The
effect of lobeline on neuronal alpha3beta4 receptors expressed in COS cells and
muscle embryonic alphabetagammadelta receptors naturally expressed in TE671 cells
was studied using a patch-clamp technique. Our results show that lobeline
inhibited responses to acetylcholine in human embryonic muscle nicotinic receptor
in a pseudo-noncompetitive manner. The responses of rat neuronal alpha3beta4
receptors to a low concentration of acetylcholine were potentiated by a mixed
occupation mechanism that corresponds to "competitive potentiation". This
potentiation turned into voltage-dependent inhibition for alpha3beta4 receptors
was activated by a high concentration of acetylcholine.
PMID- 24929056
TI - Ptena, but not Ptenb, reduces regeneration after spinal cord injury in adult
zebrafish.
AB - Based on the observation that the tumor suppressor gene PTEN (phosphatase and
tensin homolog) reduces regeneration after spinal cord injury (SCI) as evidenced
in the PTEN knockout mouse, we have investigated the function of Ptena and Ptenb,
the two zebrafish homologs of mammalian PTEN, in adult zebrafish after spinal
cord injury with the aim to assess the contribution of the two zebrafish genes to
functional recovery in an animal species that spontaneously recovers from central
nervous system injury. The inhibition of Ptena expression by antisense morpholino
(MO) application improved spinal cord regeneration through 4 to 5weeks after
injury. Retrograde tracing showed regrowth of axons from neurons of the
regeneration-competent nucleus of the medial longitudinal fascicle in the
brainstem in the Ptena MO-treated fish. Ptenb MO-treated fish recovered as well
as control MO-treated fish at 4 and 5weeks after SCI, with their locomotion being
similar to that of sham-injured and non-injured fish. The mRNA levels of Ptena
were upregulated after SCI at the early stage after injury (12h and 6days) caudal
to the lesion site, compared to the non-injured control, while the levels of
Ptenb were upregulated only at 12h after injury. In situ hybridization
experiments were in agreement with the qPCR measurements. At the protein level,
Ptena was found to be expressed in spinal motoneurons and immature neurons. These
results indicate that Ptena, but not Ptenb, inhibits regeneration in zebrafish,
thus sharing this feature with PTEN in mammals. The fact that zebrafish
regenerate better than mammals despite the inhibitory presence of Ptena is likely
due to regeneration-conducive molecules that tip the balance from inhibition to
enhancement. Interestingly, although Ptena and Ptenb have been shown to be
functionally redundant in promoting the development of the fish larval central
nervous system, they are not functionally redundant in the adult, suggesting that
regeneration in fish is not predominantly due to the overall recapitulation of
development.
PMID- 24929057
TI - Measurement of plasma protein and lipoprotein binding of pyrethroids.
AB - INTRODUCTION: A simple, reliable procedure was developed to measure binding of
pyrethroid insecticides to total proteins and lipoproteins of rat and human
plasma. METHODS: The extent of binding of (14)C-labeled deltamethrin (DLM), cis
permethrin (CIS) and trans-permethrin (TRANS) was quantified by a 3-step organic
solvent extraction technique. Rat and human plasma samples, containing NaF to
inhibit esterases, were spiked with a range of concentrations of each
radiolabeled pyrethroid. Protein binding reached equilibrium within ~1h of
incubation at 37 degrees C. The samples were extracted in turn with: isooctane to
collect the unbound fraction; 2-octanol to extract the lipoprotein-bound
fraction; and acetonitrile to obtain the protein-bound fraction. RESULTS:
Absolute recoveries of DLM, CIS and TRANS ranged from 86 to 95%. Adherence of
these very lipophilic chemicals to glass and plastic was minimized by using
silanized glass vials and LoBind(r) plastic pipettes. The method's ability to
distinguish lipoprotein from protein binding was confirmed by experiments with
diazepam and cyclosporine, drugs that bind selectively to albumin and
lipoproteins, respectively. DISCUSSION: This procedure was effectively utilized
for studies of the species-dependence of plasma protein and lipoprotein binding
of three pyrethroids for inclusion in physiologically-based pharmacokinetic
models of pyrethroids for use in health risk assessments of the insecticides in
children and adults.
PMID- 24929058
TI - The clinical efficacy of short-term steroid treatment in multilevel anterior
cervical arthrodesis.
AB - BACKGROUND CONTEXT: Dysphagia is the most common complication of anterior
cervical discectomy and fusion (ACDF), and it is closely related to prevertebral
soft-tissue swelling (PSTS). A few studies have found that local or systemic
methylprednisolone is effective against laryngopharyngeal edema and airway
obstruction. PURPOSE: To assess the effectiveness of short-term use of systemic
methylprednisolone in relieving dysphagia and decreasing PSTS during the
hospitalization period. STUDY DESIGN: A prospective study. PATIENT SAMPLE: Forty
patients who underwent multilevel (more than three levels) ACDF with same plate
fixation. OUTCOME MEASURE: Radiologic and clinical measures. METHODS: Twenty of
these patients were given 250 mg of methylprednisolone intravenously (IV) four
times a day only for 24 hours after the operation (at 6-hour intervals), whereas
the remaining 20 did not receive methylprednisolone and served as controls. We
used the Bazaz scale to compare the degree of dysphagia between groups during the
hospitalization period. We used the C-spine lateral view to assess the degree of
pre- and postoperative PSTS from C2 to C7. At the final follow-up, we assessed
the relationship between the occurrence of complications and steroid use.
RESULTS: The degree of dysphagia according to the Bazaz scale was less severe in
the group that received methylprednisolone (p values; postoperative Day [POD]
2~5<.05, POD 6=.014, POD 7=.019). Prevertebral soft-tissue swelling was also
significantly lower in the group that received methylprednisolone (p values; POD
2~POD 5 <.005, POD 1=.061, POD 6=.007, POD 7=.091). The amount of PSTS and
dysphagia did not differ according to sex, age, smoking history, or length of
surgery. The period of hospitalization in the experimental group was shorter than
in the control group. No complications related to steroid use were found at the
final follow-up. CONCLUSIONS: The short-term use of systemic methylprednisolone
after ACDF appears to be effective in relieving dysphagia and decreasing the
PSTS. Furthermore, the short-term use of methylprednisolone was not associated
with any adverse effects of short-term IV steroid usage, such as peptic ulcer
disease or postoperative infection. The clinical use of methylprednisolone in
relieving dysphagia and decreasing PSTS deserves consideration during the early
postoperative period.
PMID- 24929059
TI - Cellular bone matrices: viable stem cell-containing bone graft substitutes.
AB - BACKGROUND CONTEXT: Advances in the field of stem cell technology have stimulated
the development and increased use of allogenic bone grafts containing live
mesenchymal stem cells (MSCs), also known as cellular bone matrices (CBMs). It is
estimated that CBMs comprise greater than 17% of all bone grafts and bone graft
substitutes used. PURPOSE: To critically evaluate CBMs, specifically their
technical specifications, existing published data supporting their use, US Food
and Drug Administration (FDA) regulation, cost, potential pitfalls, and other
aspects pertaining to their use. STUDY DESIGN: Areview of literature. METHODS: A
series of Ovid, Medline, and Pubmed-National Library of Medicine/National
Institutes of Health (www.ncbi.nlm.nih.gov) searches were performed. Only
articles in English journals or published with English language translations were
included. Level of evidence of the selected articles was assessed. Specific
technical information on each CBM was obtained by direct communication from the
companies marketing the individual products. RESULTS: Five different CBMs are
currently available for use in spinal fusion surgery. There is a wide variation
between the products with regard to the average donor age at harvest, total
cellular concentration, percentage of MSCs, shelf life, and cell viability after
defrosting. Three retrospective studies evaluating CBMs and fusion have shown
fusion rates ranging from 90.2% to 92.3%, and multiple industry-sponsored trials
are underway. No independent studies evaluating spinal fusion rates with the use
of CBMs exist. All the commercially available CBMs claim to meet the FDA criteria
under Section 361, 21 CFR Part 1271, and are not undergoing FDA premarket review.
The CBMs claim to provide viable MSCs and are offered at a premium cost. Numerous
challenges exist in regard to MSCs' survival, function, osteoblastic potential,
and cytokine production once implanted into the intended host. CONCLUSIONS:
Cellular bone matrices may be a promising bone augmentation technology in spinal
fusion surgery. Although CBMs appear to be safe for use as bone graft
substitutes, their efficacy in spinal fusion surgery remains highly inconclusive.
Large, nonindustry sponsored studies evaluating the efficacy of CBMs are
required. Without results from such studies, surgeons must be made aware of the
potential pitfalls of CBMs in spinal fusion surgery. With the currently available
data, there is insufficient evidence to support the use of CBMs as bone graft
substitutes in spinal fusion surgery.
PMID- 24929060
TI - Validity and reliability of computerized measurement of lumbar intervertebral
disc height and volume from magnetic resonance images.
AB - BACKGROUND CONTEXT: Magnetic resonance (MR) examinations of morphologic
characteristics of intervertebral discs (IVDs) have been used extensively for
biomechanical studies and clinical investigations of the lumbar spine.
Traditionally, the morphologic measurements have been performed using time- and
expertise-intensive manual segmentation techniques not well suited for analyses
of large-scale studies.. PURPOSE: The purpose of this study is to introduce and
validate a semiautomated method for measuring IVD height and mean sagittal area
(and volume) from MR images to determine if it can replace the manual assessment
and enable analyses of large MR cohorts. STUDY DESIGN/SETTING: This study
compares semiautomated and manual measurements and assesses their reliability and
agreement using data from repeated MR examinations. METHODS: Seven healthy
asymptomatic males underwent 1.5-T MR examinations of the lumbar spine involving
sagittal T2-weighted fast spin-echo images obtained at baseline, pre-exercise,
and postexercise conditions. Measures of the mean height and the mean sagittal
area of lumbar IVDs (L1-L2 to L4-L5) were compared for two segmentation
approaches: a conventional manual method (10-15 minutes to process one IVD) and a
specifically developed semiautomated method (requiring only a few mouse clicks to
process each subject). RESULTS: Both methods showed strong test-retest
reproducibility evaluated on baseline and pre-exercise examinations with strong
intraclass correlations for the semiautomated and manual methods for mean IVD
height (intraclass correlation coefficient [ICC]=0.99, 0.98) and mean IVD area
(ICC=0.98, 0.99), respectively. A bias (average deviation) of 0.38 mm (4.1%, 95%
confidence interval 0.18-0.59 mm) was observed between the manual and
semiautomated methods for the IVD height, whereas there was no statistically
significant difference for the mean IVD area (0.1%+/-3.5%). The semiautomated and
manual methods both detected significant exercise-induced changes in IVD height
(0.20 and 0.28 mm) and mean IVD area (5.7 and 8.3 mm(2)), respectively.
CONCLUSIONS: The presented semiautomated method provides an alternative to time-
and expertise-intensive manual procedures for analysis of larger, cross
sectional, interventional, and longitudinal MR studies for morphometric analyses
of lumbar IVDs.
PMID- 24929061
TI - Oxidative stress and lung function profiles of male smokers free from COPD
compared to those with COPD: a case-control study.
AB - BACKGROUND: The mechanisms of smoking tobacco leading to chronic obstructive
pulmonary disease (COPD) are beginning to be understood. However, conclusions
about the role of blood or lung oxidative stress markers were disparate. AIMS: To
investigate the oxidative stress in blood or lung associated with tobacco smoke
and to evaluate its effect on pulmonary function data and its relation with
physical activity. METHODS: It is a case-control study. Fifty-four male-smokers
of more than five pack-years (PY) and aged 40-60 years were included (29 Non
COPD, 16 COPD). Physical activity score was determined. Blood sample levels of
malondialdehyde (MDA), protein-cys-SH (PSH), and Glutathione (GSH) were measured.
Fractional exhaled nitric oxide (FeNO) and plethysmographic measurements were
performed. Correlation coefficients (r) evaluated the association between
oxidative stress markers and independent variables (plethysmographic data and
physical activity score). RESULTS: Non-COPD (48 +/- 6 years) and COPD (49 +/- 5
years) groups had similar tobacco consumption patterns, that is, 27 +/- 14 PY
versus 30 +/- 19 PY, respectively. Compared to the Non-COPD group, the COPD group
had significantly lower levels of GSH and PSH, that is, mean +/- SE were 40 +/- 6
versus 25 +/- 5 ug/mL and 54 +/- 10 versus 26 +/- 5 ug/g of hemoglobin,
respectively. However, MDA level and FeNO values were similar. In the COPD group,
none of the oxidative stress markers was significantly correlated with
plethysmographic data or physical activity score. In the Non-COPD group, GSH was
significantly correlated with physical activity score (r = 0.47) and PSH was
significantly correlated with total lung capacity (TLC) (r = -0.50), residual
volume (r = 0.41), and physical activity score (r = 0.62). FeNO was significantly
correlated with TLC of the COPD group (r = -0.48). CONCLUSION: Compared to the
Non-COPD group, the COPD group had a marked decrease in blood antioxidant markers
(GSH and PSH) but similar blood oxidant (MDA) or lung (FeNO) burden.
PMID- 24929062
TI - Effects of orexin 2 receptor activation on apnea in the C57BL/6J mouse.
AB - BACKGROUND: The hypothesis was that an orexin 2 receptor (OX2R) agonist would
prevent sleep-related disordered breathing. METHODS: In C57BL/6J (B6) mice, body
plethysmography was performed with and without EEG monitoring of state
(wakefulness, NREM and REM sleep). Outcome was apnea rate/h during sleep-wake
states at baseline and with an intracerebroventricular administration of vehicle,
4 nMol of agonist OB(DL), and 4 nMol of an antagonist, TCS OX2 29. RESULTS: A
significant reduction (p=0.035, f=2.99) in apneas/hour occurred, especially with
the agonist. Expressed as a function of the change from baseline, there was a
significant difference among groups in Wake (p=0.03, f=3.8), NREM (p=0.003,
f=6.98) and REM (p=0.03, f=3.92) with the agonist reducing the rate of apneas
during sleep from 29.7+/-4.7 (M+/-SEM) to 7.3+/-2.4 during sleep (p=0.001). There
was also a reduction in apneas during wakefulness. Administration of the
antagonist did not increase event rate over baseline levels. CONCLUSIONS: The B6
mouse is a preclinical model of wake-and sleep-disordered breathing, and the
orexin receptor agonist at a dose of 4 nMol given intracerebroventricularly will
reduce events in sleep and also wakefulness.
PMID- 24929063
TI - Consequences of gestational stress on GABAergic modulation of respiratory
activity in developing newborn pups.
AB - The GABAergic system modulates respiratory activity and undergoes substantial
changes during early life. Because this maturation process is sensitive to
stress, we tested the hypothesis that gestational stress (GS) alters development
of GABAergic modulation of respiratory control in rat pups. The respiratory
responses to the selective GABAA receptor agonist muscimol were compared between
pups born to dams subjected to GS (bright light and predator odor; 20 min/day
from G9 to G19) or maintained under standard (control) conditions. Respiratory
activity was measured on 1 and 4 days old pups of both sexes using in vivo (whole
body plethysmography) and in vitro (isolated brainstem-spinal cord preparation)
approaches. In intact pups, muscimol injection (0.75 mg/kg; i.p.) depressed
minute ventilation; this response was less in GS pups, and at P4, muscimol
augmented minute ventilation in GS females. Bath application of muscimol (0.01
0.5 MUM) onto brainstem preparations decreased inspiratory (C4) burst frequency
and amplitude in a dose-dependent manner; the responsiveness decreased with age.
However, GS had limited effects on these results. We conclude that the results
obtained in vivo are consistent with our hypothesis and show that GS delays
maturation of GABAergic modulation of respiratory activity. The differences in
the results observed between experimental approaches (in vivo versus in vitro)
indicate that the effect of prenatal stress on maturation of GABAergic modulation
of respiratory control mainly affects the peripheral/metabolic components of the
respiratory control system.
PMID- 24929064
TI - Aging causes exacerbated ischemic brain injury and failure of sevoflurane post
conditioning: role of B-cell lymphoma-2.
AB - Aging is associated with exacerbated brain injury after ischemic stroke. Herein,
we explored the possible mechanisms underlying the age-associated exacerbated
brain injury after ischemic stroke and determined whether therapeutic
intervention with anesthetic post-conditioning would provide neuroprotection in
aged rats. Male Fisher 344 rats (young, 4 months; aged, 24 months) underwent 2h
of middle cerebral artery occlusion (MCAO) followed by 24-h reperfusion, with or
without sevoflurane post-conditioning for 15 min immediately at the onset of
reperfusion. Compared with young rats, aged rats showed larger infarct size,
worse neurological scores and more TUNEL-positive cells in the penumbral cerebral
cortex at 24h after MCAO. However, edema formation and motor coordination were
similar in both groups. Sevoflurane reduced the infarct size, edema formation,
and TUNEL-positive cells, and improved the neurological outcome in young rats but
not in aged rats. Molecular studies revealed that basal expression of the anti
apoptotic molecule B-cell lymphoma-2 (Bcl-2) in the brain was lower in aged rats
compared with young rats before MCAO, while basal expression of the pro-apoptotic
molecule Bcl-2-associated X protein (Bax) showed similar levels in both groups.
MCAO reduced Bcl-2 expression and increased Bax expression in both groups;
however, Bax increase was more pronounced in aged rats. In young rats,
sevoflurane reversed the above MCAO-induced changes. In contrast, sevoflurane
failed to enhance Bcl-2 expression but decreased Bax expression in aged rats.
These findings suggest that aging-associated reduction in basal Bcl-2 expression
in the brain contributes to increased neuronal injury by enhancing cell apoptosis
after ischemic stroke. Sevoflurane post-conditioning failed to provide
neuroprotection in aged rats, probably due to its inability to increase Bcl-2
levels and prevent apoptosis in the brain.
PMID- 24929065
TI - Microglial NADPH oxidase activation mediates rod cell death in the retinal
degeneration in rd mice.
AB - Accumulating evidence supports that nicotinamide adenine dinucleotide phosphate
(NADPH) oxidase contributes to microglia-mediated neurotoxicity in the CNS
neurodegenerative diseases. Several studies, including ours, suggest that
microglial activation is involved in the retinal degeneration in the animal
models of retinitis pigmentosa (RP). In the present study, we investigated the
activation of NADPH oxidase in the rod degeneration in rd mice and further
explored its role in the microglia-mediated photoreceptor apoptosis. Expression
of gp91phox protein, a major subunit of NAPDH oxidase in the whole retina of rd
mice at postnatal days (P) 8, 10, 12, 14, 16 and 18 was assessed by western blot
analysis. Location of gp91phox in the rd retina at each age group and its
cellular source were studied by immunohistochemical analysis and double labeling
respectively. The generation of superoxide radicals in the rd retinas was
demonstrated by intraperitoneal injection of hydroethidine. Apocynin was applied
intraperitoneally in the rd mice from P8 to P14 to inhibit the activity of NAPDH
oxidase and the outer nuclear layer (ONL) thickness was measured before and after
apocynin treatment. Our results demonstrated that during the rod degenerative
process, the expression of gp91phox started to increase in the outer part of rd
retina at P10 and reached a peak at P14. Double labeling of gp91phox with CD11b
showed co-localization of gp91phox in the retinal microglial cells. Increasing
generation of superoxide radicals visualized by hydroethidine was noted at P8 and
reached a peak at P14. Apocynin markedly reduced the production of superoxide
radicals and preserved the rod cells. The results suggested that NADPH oxidase
might play an important role in the rod degeneration in the rd mice. Inhibition
of NAPDH oxidase could be a possible approach to treat RP in the early
degenerative stage.
PMID- 24929067
TI - Three structurally similar odorants trigger distinct signaling pathways in a
mouse olfactory neuron.
AB - In the mammalian olfactory system, one olfactory sensory neuron (OSN) expresses a
single olfactory receptor gene. By calcium imaging of individual OSNs in intact
mouse olfactory turbinates, we observed that a subset of OSNs (Ho-OSNs) located
in the most ventral olfactory receptor zone can mediate distinct signaling
pathways when activated by structurally similar ligands. Calcium imaging showed
that Ho-OSNs were highly sensitive to 2-heptanone, heptaldehyde and cis-4
heptenal. 2-heptanone-evoked intracellular calcium elevation was mediated by cAMP
signaling while heptaldehyde triggered the diacylglycerol pathway. An increase of
intracellular calcium evoked by cis-4-heptenal was due to a combination of
activation mediated by the adenylate cyclase pathway and suppression generated by
phospholipase C signaling. Pharmacological studies demonstrated that novel
mechanisms were involved in the phospholipase C-mediated intracellular calcium
changes. Binary-mixture studies and cross-adaptation data indicate that three
odorants acted on the same olfactory receptor. The feature that an olfactory
receptor mediates multiple signaling pathways was specific for Ho-OSNs and not
established in another population of OSNs characterized. Our study suggests that
distinct signaling pathways triggered by ligand-induced conformational changes of
an olfactory receptor constitute a complex information process mechanism in
olfactory transduction. This study has important implications beyond olfaction in
that it provides insights of plasticity and complexity of G-protein-coupled
receptor activation and signal transduction.
PMID- 24929066
TI - Differential cavitation, angiogenesis and wound-healing responses in injured
mouse and rat spinal cords.
AB - The vascular disruption, blood vessel loss and cavitation that occur at spinal
cord injury (SCI) epicenters in mice and rats are different, but few studies have
compared the acute SCI response in the two species. This is of interest since key
elements of the rat SCI response are shared with humans. In this study, we
investigated acute SCI responses and characterized changes in pro- and anti
angiogenic factors and matrix deposition in both species. Cavitation was absent
in mouse but the area of the lesion site was 21- and 27-fold larger at 8 and 15
days post-lesion (dpl), respectively, in the rat compared to intact control. The
absence of wound cavitation in the mouse was correlated with increased levels of
immunoreactive pro-angiogenic, pro-matrix and pro-wound-healing factors, e.g.
laminin, matrix metalloproteinase-1 (MMP-1) and vascular endothelial growth
factor-A (VEGF-A) within the wound, which were 6.0-, 2.9-, and 2.8-fold,
respectively, higher in the mouse compared to rats at 8 dpl. Increased axonal
sparing was observed after dorsal column (DC) injury, detected by higher levels
of neurofilament 200 (NF200) immunoreactivity in the dorsal column of mice
compared to rats at both T7 and T9 spinal segments. Despite similar post SCI
deficits in plantar heat tests at 2h after injury (1.4- and 1.6-fold lower than
control mice and rats, respectively), by 7 days the magnitude of these responses
were comparable to sham-treated controls in both species, while no post-SCI
changes in Von Frey hair filament test response were observed in either species.
We conclude that the more robust angiogenesis/wound-healing response in the mouse
attenuates post-injury wound cavitation. Although the spinal cord functions that
were monitored post-injury were similarly affected in both species, we suggest
that the quality of the angiogenesis/wound-healing response together with the
diminished lesion size seen after mouse SCI may protect against secondary axon
damage and create an environment more conducive to axon sprouting/regeneration.
These results suggest the potential therapeutic utility of manipulating the
angiogenic response after human SCI.
PMID- 24929068
TI - Low-grade inflammation disrupts structural plasticity in the human brain.
AB - Increased low-grade inflammation is thought to be associated with several
neuropsychiatric disorders characterized by decreased neuronal plasticity. The
purpose of the present study was to investigate the relationship between
structural changes in the human brain during cognitive training and the intensity
of low-grade peripheral inflammation in healthy individuals (n=56). A two-month
training (30 min/day) with a platformer video game resulted in a significantly
increased volume of the right hippocampal formation. The number of stressful life
events experienced during the past year was associated with less pronounced
enlargement of the hippocampus. However, the main predictor of hippocampal volume
expansion was the relative peripheral expression of Nuclear Factor-kappaB (NF
kappaB), a transcription factor playing a central role in the effect of pro
inflammatory cytokines. Interleukin-6 (IL-6) and C-reactive protein levels were
not related to hippocampal plasticity when NF-kappaB was taken into
consideration. These results suggest that more intensive peripheral inflammation
is associated with weaker neuronal plasticity during cognitive training.
PMID- 24929071
TI - Molecular mechanisms of histone modification function.
PMID- 24929069
TI - Maternal treatment with glucocorticoids modulates gap junction protein expression
in the ovine fetal brain.
AB - Gap junctions facilitate intercellular communication and are important in brain
development. Connexins (Cx) comprise a transmembrane protein family that forms
gap junctions. Cx-32 is expressed in oligodendrocytes and neurons, Cx-36 in
neurons, and Cx-43 in astrocytes. Although single antenatal steroid courses are
recommended for fetal lung maturation, multiple courses can be given to women at
recurrent risk for premature delivery. We examined the effects of single and
multiple glucocorticoid courses on Cx-32, Cx-36, and Cx-43 protein expressions in
the fetal cerebral cortex, cerebellum, and spinal cord, and differences in Cx
expression among brain regions under basal conditions. In the single-course
groups, the ewes received dexamethasone (6 mg) or placebo as four intramuscular
injections every 12h over 48 h. In the multiple-course groups, the ewes received
the same treatment, once a week for 5 weeks starting at 76-78 days of gestation.
Cx were measured by Western immunoblot on brain samples from 105 to 108-day
gestation fetuses. A single dexamethasone course was associated with increases
(P<0.05) in cerebral cortical and spinal cord Cx-36 and Cx-43 and multiple
courses with increases in cerebellar and spinal cord Cx-36, and cerebral cortical
and cerebellar Cx-43. Cx-32 did not change. Cx-32 was higher in the cerebellum
than cerebral cortex and spinal cord, Cx-36 higher in the spinal cord than
cerebellum, and Cx-43 higher in the cerebellum and spinal cord than cerebral
cortex during basal conditions. In conclusion, maternal glucocorticoid therapy
increases specific Cx, responses to different maternal courses vary among Cx and
brain regions, and Cx expression differs among brain regions under basal
conditions. Maternal treatment with glucocorticoids differentially modulates Cx
in the fetal brain.
PMID- 24929070
TI - Biallelic targeting of expressed genes in mouse embryonic stem cells using the
Cas9 system.
AB - Gene targeting - homologous recombination between transfected DNA and a
chromosomal locus - is greatly stimulated by a DNA break in the target locus.
Recently, the RNA-guided Cas9 endonuclease, involved in bacterial adaptive
immunity, has been modified to function in mammalian cells. Unlike other site
specific endonucleases whose specificity resides within a protein, the
specificity of Cas9-mediated DNA cleavage is determined by a guide RNA (gRNA)
containing an ~20 nucleotide locus-specific RNA sequence, representing a major
advance for versatile site-specific cleavage of the genome without protein
engineering. This article provides a detailed method using the Cas9 system to
target expressed genes in mouse embryonic stem cells. In this method, a
promoterless marker flanked by short homology arms to the target locus is
transfected into cells together with Cas9 and gRNA expression vectors.
Importantly, biallelic gene knockout is obtained at high frequency by only one
round of targeting using a single marker.
PMID- 24929072
TI - Novel drug targets for asthma and COPD: lessons learned from in vitro and in vivo
models.
AB - Asthma and chronic obstructive pulmonary disease (COPD) are highly prevalent
respiratory diseases characterized by airway inflammation, airway obstruction and
airway hyperresponsiveness. Whilst current therapies, such as beta-agonists and
glucocorticoids, may be effective at reducing symptoms, they do not reduce
disease progression. Thus, there is a need to identify new therapeutic targets.
In this review, we summarize the potential of novel targets or tools, including
anti-inflammatories, phosphodiesterase inhibitors, kinase inhibitors, transient
receptor potential channels, vitamin D and protease inhibitors, for the treatment
of asthma and COPD.
PMID- 24929073
TI - Airway and systemic oxidant-antioxidant dysregulation in asthma: a possible
scenario of oxidants spill over from lung into blood.
AB - Asthma is characterized by repeated episodes of airway obstruction and an ongoing
cycle of airway inflammation. Reactive oxygen species (ROS) produced by
inflammatory cells in the lung play a key role in the pathogenesis as well as
amplification of inflammation in asthmatic airways. Several enzymatic and non
enzymatic antioxidants are available in the lung and systemic circulation to
counteract ROS-mediated damage on various biomolecules such as lipid membranes,
proteins, and DNA; however during asthmatic inflammation these defenses are
overwhelmed due to excessive production of ROS thereby leading to inflammatory
events in the airways/systemic circulation. ROS-mediated damage may result in
increased vascular permeability, mucus hypersecretion, smooth muscle contraction,
epithelial shedding and impairment in the responsiveness of beta-adrenergic
receptors. Strategies aimed to boost the endogenous antioxidants either through
dietary or pharmacological intervention to redress oxidant-antioxidant imbalance
in asthma is the current area of research in many laboratories throughout the
world. This review aims at providing a comprehensive overview of the available
literature on oxidative stress and antioxidants imbalance in asthma with a focus
both on lung and blood components and bring forth correlations between lung/blood
oxidative stress/antioxidant parameters and lung function.
PMID- 24929074
TI - Exploration of ecological factors related to the spatial heterogeneity of
tuberculosis prevalence in P. R. China.
AB - BACKGROUND: The current prevalence of tuberculosis (TB) in the People's Republic
of China (P. R. China) demonstrates geographical heterogeneities, which show that
the TB prevalence in the remote areas of Western China is more serious than that
in the coastal plain of Eastern China. Although a lot of ecological studies have
been applied in the exploration on the regional difference of disease risks,
there is still a paucity of ecological studies on TB prevalence in P. R. China.
OBJECTIVE: To understand the underlying factors contributing to the regional
inequity of TB burden in P. R. China by using an ecological approach and, thus,
aiming to provide a basis to eliminate the TB spatial heterogeneity in the near
future. DESIGN: Latent ecological variables were identified by using exploratory
factor analysis from data obtained from four sources, i.e. the databases of the
National TB Control Programme (2001-2010) in P. R. China, the China Health
Statistical Yearbook during 2002-2011, the China Statistical Yearbook during 2002
2011, and the provincial government websites in 2013. Partial least squares path
modelling was chosen to construct the structural equation model to evaluate the
relationship between TB prevalence and ecological variables. Furthermore, a
geographically weighted regression model was used to explore the local spatial
heterogeneity in the relationships. RESULTS: The latent ecological variables in
terms of 'TB prevalence', 'TB investment', 'TB service', 'health investment',
'health level', 'economic level', 'air quality', 'climatic factor' and
'geographic factor' were identified. With the exception of TB service and health
levels, other ecological factors had explicit and significant impacts on TB
prevalence to varying degrees. Additionally, each ecological factor had different
impacts on TB prevalence in different regions significantly. CONCLUSION:
Ecological factors that were found predictive of TB prevalence in P. R. China are
essential to take into account in the formulation of locally comprehensive
strategies and interventions aiming to tailor the TB control and prevention
programme into local settings in each ecozone.
PMID- 24929075
TI - Cognitive and behaviour dysfunction of children with neurocysticercosis: a cross
sectional study.
AB - Eighty-three confirmed cases of neurocysticercosis diagnosed as per modified
delBrutto criteria were enrolled in the study (Group-I) to observe cognitive and
behavioural changes. Controls consisted of two groups: children with idiopathic
generalized tonic-clonic seizure (Group-II) and normal children with non-specific
cough (Group-III). Cases and controls were subjected to cognitive and behaviour
assessment. There was significant difference in the intelligence quotient (IQ) of
cases in domains of visual perception, immediate recall, analysis synthesis and
reasoning, verbal ability, memory and spatial ability. In the age group of 6-18
years, cases had significantly more behaviour problems than control without
seizure, in domains of anxious depressed, withdrawn depressed, somatic problems,
social problems and rule-breaking behaviour. Neurocysticercosis causes decline in
cognitive function and behaviours in older children, which should be recognized
early for appropriate management and to avoid undue parental anxiety.
PMID- 24929076
TI - Infectious causes of childhood disability: results from a pilot study in rural
Bangladesh.
AB - PURPOSE: To identify the contribution of infectious aetiologies to major
childhood disabilities in Bangladesh. METHODS: Active community-based survey was
conducted for severe childhood disability using the Key Informants Method between
September 2011 and March 2012 in a rural sub-district of Bangladesh. RESULTS: We
screened 1069 children and identified 859 with severe disabilities. The mean age
of the disabled children was 8.5 year and 42.9% were girls. The major forms of
impairments/conditions were cerebral palsy (n = 324, 37.7%), hearing impairment
(n = 201, 23.4%), physical impairment (n = 147, 17.1%), visual impairment (n =
49, 5.7%), cerebral palsy with epilepsy (n = 39, 4.5%) and epilepsy (n = 41,
4.7%). Congenital rubella syndrome was identified in 1.1% (n = 9). 7.1%
disabilities resulted from clinically confirmed infections, and another 10.8%
originated from probable infections; thus a total of 17.9% disabilities were
related to an infectious origin. CONCLUSIONS: Infectious diseases appear to be
one of the major causes of severe childhood disability in rural Bangladesh.
PMID- 24929077
TI - Re: Matching tumor risk with aggressiveness of treatment in men with multiple
comorbidities and early-stage prostate cancer.
PMID- 24929078
TI - Re: Architectural heterogeneity and cribriform pattern predict adverse clinical
outcome for Gleason grade 4 prostatic adenocarcinoma.
PMID- 24929079
TI - Re: Metformin use and all-cause and prostate cancer-specific mortality among men
with diabetes.
PMID- 24929080
TI - Re: Increased risk of non-fatal myocardial infarction following testosterone
therapy prescription in men.
PMID- 24929081
TI - Re: Global effects of smoking, of quitting, and of taxing tobacco.
PMID- 24929082
TI - Re: Procalcitonin as a diagnostic marker for sepsis: a systematic review and meta
analysis.
PMID- 24929083
TI - TESTIN suppresses tumor growth and invasion via manipulating cell cycle
progression in endometrial carcinoma.
AB - BACKGROUND: The TESTIN gene was demonstrated to be a tumor suppressor in prostate
and breast cancer through inhibiting tumor growth and invasion. Herein, we aimed
to investigate the detailed functions of TESTIN in the highly sexual hormone
(estrogen)-dependent malignancy, endometrial carcinoma. MATERIAL AND METHODS:
TESTIN mRNA and protein expression were measured by qRT-PCR, Western blot and
immunohistochemistry. Upregulation of TESTIN was achieved by transfecting the
pcDNA3.1-TESTIN plasmids into AN3CA cells. Knockdown of TESTIN was achieved by
transfecting the shRNA-TESTIN into Ishikawa cells. MTT assay, colony formation
assay, and Transwell assay were used to investigate the effects of TESTIN on
cellular proliferation and invasion. The apoptotic status and cell cycle were
analyzed using flow cytometry. MMP2 secretion was determined by ELISA assay. The
xenograft assay was used to investigate the functions of TESTIN in nude mice.
RESULTS: Compared to the non-malignant adjacent endometrium, 54% of tumor samples
presented downregulation of TESTIN (P<0.001). Loss of TESTIN protein was
correlated with advanced tumor stage (P=0.047), high grade (P=0.034), and
lymphatic vascular space invasion (P=0.036). In vitro, overexpression of TESTIN
suppressed cell proliferation, induced dramatic G1 arrest, and inhibited tumor
invasion through blocking the secretion of MMP2. Loss of TESTIN accelerated
cellular proliferation, promoted cell cycle progression, and enhanced tumor
invasion by increasing the secretion of MMP2. Consistently, TESTIN could
significantly delay the growth of xenografts in nude mice. CONCLUSIONS: TESTIN
was commonly downregulated in human endometrial carcinoma and was associated with
poor prognostic markers. Moreover, TESTIN significantly inhibited tumor growth
and invasion via arresting cell cycle in in vitro and in vivo experiments.
Therefore, we propose that TESTIN might be a prognostic marker and therapeutic
target for endometrial carcinoma.
PMID- 24929084
TI - Inhibitory effects of a peptide-fusion protein (Latarcin-PAP1-Thanatin) against
chikungunya virus.
AB - Chikungunya virus (CHIKV) outbreaks have led to a serious economic burden, as the
available treatment strategies can only alleviate disease symptoms, and no
effective therapeutics or vaccines are currently available for human use. Here,
we report the use of a new cost-effective approach involving production of a
recombinant antiviral peptide-fusion protein that is scalable for the treatment
of CHIKV infection. A peptide-fusion recombinant protein LATA-PAP1-THAN that was
generated by joining Latarcin (LATA) peptide with the N-terminus of the PAP1
antiviral protein, and the Thanatin (THAN) peptide to the C-terminus, was
produced in Escherichia coli as inclusion bodies. The antiviral LATA-PAP1-THAN
protein showed 89.0% reduction of viral plaque formation compared with PAP1
(46.0%), LATA (67.0%) or THAN (79.3%) peptides alone. The LATA-PAP1-THAN protein
reduced the viral RNA load that was 0.89-fold compared with the untreated control
cells. We also showed that PAP1 resulted in 0.44-fold reduction, and THAN and
LATA resulting in 0.78-fold and 0.73-fold reductions, respectively. The LATA-PAP1
THAN protein inhibited CHIKV replication in the Vero cells at an EC50 of
11.2MUg/ml, which is approximately half of the EC50 of PAP1 (23.7MUg/ml) and
protected the CHIKV-infected mice at the dose of 0.75mg/ml. We concluded that
production of antiviral peptide-fusion protein in E. coli as inclusion bodies
could accentuate antiviral activities, enhance cellular internalisation, and
could reduce product toxicity to host cells and is scalable to epidemic response
quantities.
PMID- 24929085
TI - DNA-dependent RNA polymerase detects hidden giant viruses in published databanks.
AB - Environmental metagenomic studies show that there is a "dark matter," composed of
sequences not linked to any known organism, as determined mainly using ribosomal
DNA (rDNA) sequences, which therefore ignore giant viruses. DNA-dependent RNA
polymerase (RNAP) genes are universal in microbes and conserved in giant viruses
and may replace rDNA for identifying microbes. We found while reconstructing RNAP
subunit 2 (RNAP2) phylogeny that a giant virus sequenced together with the genome
of a large eukaryote, Hydra magnipapillata, has been overlooked. To explore the
dark matter, we used viral RNAP2 and reconstructed putative ancestral RNAP2,
which were significantly superior in detecting distant clades than current
sequences, and we revealed two additional unknown mimiviruses, misclassified as
an euryarchaeote and an oomycete plant pathogen, and detected unknown putative
viral clades. We suggest using RNAP systematically to decipher the black matter
and identify giant viruses.
PMID- 24929086
TI - Optimal detection of cholinesterase activity in biological samples: modifications
to the standard Ellman's assay.
AB - Ellman's assay is the most commonly used method to measure cholinesterase
activity. It is cheap, fast, and reliable, but it has limitations when used for
biological samples. The problems arise from 5,5-dithiobis(2-nitrobenzoic acid)
(DTNB), which is unstable, interacts with free sulfhydryl groups in the sample,
and may affect cholinesterase activity. We report that DTNB is more stable in
0.09 M Hepes with 0.05 M sodium phosphate buffer than in 0.1M sodium phosphate
buffer, thereby notably reducing background. Using enzyme-linked immunosorbent
assay (ELISA) to enrich tissue homogenates for cholinesterase while depleting the
sample of sulfhydryl groups eliminates unwanted interactions with DTNB, making it
possible to measure low cholinesterase activity in biological samples. To
eliminate possible interference of DTNB with enzyme hydrolysis, we introduce a
modification of the standard Ellman's assay. First, thioesters are hydrolyzed by
cholinesterase to produce thiocholine in the absence of DTNB. Then, the reaction
is stopped by a cholinesterase inhibitor and the produced thiocholine is revealed
by DTNB and quantified at 412 nm. Indeed, this modification of Ellman's method
increases butyrylcholinesterase activity by 20 to 25%. Moreover, high stability
of thiocholine enables separation of the two reactions of the Ellman's method
into two successive steps that may be convenient for some applications.
PMID- 24929087
TI - Quantitative pH assessment of small-volume samples using a universal pH
indicator.
AB - We developed a hue-based pH determination method to analyze digital images of
samples in a 384-well plate after the addition of a universal pH indicator. The
standard error of calibration for 69 pH standards was 0.078 pH units, and no
sample gave an error greater than 0.23 units. We then used in-solution
isoelectric focusing to determine the isoelectric point of Wnt3A protein in
conditioned medium and after purification and applied the described method to
assess the pH of these small-volume samples. End users may access our standard to
assay the pH of their own samples with no additional calibration.
PMID- 24929088
TI - Short homologies efficiently generate detectable homologous recombination events.
AB - When recombineering bacterial artificial chromosomes (BACs), it is common
practice to design the ends of the donor molecule with 50 bp of homology
specifying its insertion site. We demonstrate that desired recombinants can be
produced using intermolecular homologies as short as 15 bp. Although the use of
shorter donor end regions decreases total recombinants by several fold, the
frequency of recombinants with correctly inserted donor molecules was high enough
for easy detection by simple polymerase chain reaction (PCR) screening. This
observation may have important implications for the design of oligonucleotides
for recombineering, including significant cost savings, especially for high
throughput projects that use large quantities of primers.
PMID- 24929089
TI - High-throughput amplification of mature microRNAs in uncharacterized animal
models using polyadenylated RNA and stem-loop reverse transcription polymerase
chain reaction.
AB - This study makes a significant advancement on a microRNA amplification technique
previously used for expression analysis and sequencing in animal models without
annotated mature microRNA sequences. As research progresses into the post-genomic
era of microRNA prediction and analysis, the need for a rapid and cost-effective
method for microRNA amplification is critical to facilitate wide-scale analysis
of microRNA expression. To facilitate this requirement, we have reoptimized the
design of amplification primers and introduced a polyadenylation step to allow
amplification of all mature microRNAs from a single RNA sample. Importantly, this
method retains the ability to sequence reverse transcription polymerase chain
reaction (RT-PCR) products, validating microRNA-specific amplification.
PMID- 24929090
TI - Liver sinusoidal cells collecting MHC-I molecules: you can't get enough of a good
thing.
PMID- 24929091
TI - IFNL3 expression and response to treatment: behind the HCV tricks.
PMID- 24929093
TI - Stones, sugar and air-emphysematous pyelonephritis.
PMID- 24929092
TI - Reply to: "bacterial translocation in liver cirrhosis: site and role in
fibrogenesis".
PMID- 24929094
TI - Anti-aromatase effect of resveratrol and melatonin on hormonal positive breast
cancer cells co-cultured with breast adipose fibroblasts.
AB - Targeting the estrogen pathway has been proven effective in the treatment for
estrogen receptor positive breast cancer. There are currently two common groups
of anti-estrogenic compounds used in the clinic; Selective Estrogen Receptor
Modulators (SERMs, e.g. tamoxifen) and Selective Estrogen Enzyme Modulators
(SEEMs e.g. letrozole). Among various naturally occurring, biologically active
compounds, resveratrol and melatonin have been suggested to act as aromatase
inhibitors, which make them potential candidates in hormonal treatment of breast
cancer. Here we used a co-culture model in which we previously demonstrated that
primary human breast adipose fibroblasts (BAFs) can convert testosterone to
estradiol, which subsequently results in estrogen receptor-mediated breast cancer
T47D cell proliferation. In the presence of testosterone in this model, we
examined the effect of letrozole, resveratrol and melatonin on cell
proliferation, estradiol (E2) production and gene expression of CYP19A1, pS2 and
Ki-67. Both melatonin and resveratrol were found to be aromatase inhibitors in
this co-culture system, albeit at different concentrations. Our co-culture model
did not provide any indications that melatonin is also a selective estrogen
receptor modulator. In the T47D-BAF co-culture, a melatonin concentration of 20
nM and resveratrol concentration of 20 MUM have an aromatase inhibitory effect as
potent as 20 nM letrozole, which is a clinically used anti-aromatase drug in
breast cancer treatment. The SEEM mechanism of action of especially melatonin
clearly offers potential advantages for breast cancer treatment.
PMID- 24929095
TI - CYP2E1 induction leads to oxidative stress and cytotoxicity in glutathione
depleted cerebellar granule neurons.
AB - Increasing evidence suggests that brain cytochrome P450 (CYP) can contribute to
the in situ metabolism of xenobiotics. In the liver, some xenobiotics can be
metabolized by CYPs into more reactive products that can damage hepatocytes and
induce cell death. In addition, normal CYP activity may produce reactive oxygen
species (ROS) that contribute to cell damage through oxidative mechanisms. CYP2E1
is a CYP isoform that can generate ROS leading to cytotoxicity in multiple tissue
types. The aim of this study was to determine whether CYP2E1 induction may lead
to significant brain cell impairment. Immunological analysis revealed that
exposure of primary cerebellar granule neuronal cultures to the CYP inducer
isoniazid, increased CYP2E1 expression. In the presence of buthionine
sulfoximine, an agent that reduces glutathione levels, isoniazid treatment also
resulted in reactive oxygen species (ROS) production, DNA oxidation and cell
death. These effects were attenuated by simultaneous exposure to diallyl sulfide,
a CYP2E1 inhibitor, or to a mimetic of superoxide dismutase/catalase, (Euka).
These results suggest that in cases of reduced antioxidant levels, the induction
of brain CYP2E1 could represent a risk of in situ neuronal damage.
PMID- 24929096
TI - Integration of pharmacokinetic and NRF2 system biology models to describe
reactive oxygen species production and subsequent glutathione depletion in liver
microfluidic biochips after flutamide exposure.
AB - We present a systems biology analysis of rat primary hepatocytes response after
exposure to 10 MUM and 100 MUM flutamide in liver microfluidic biochips. We
coupled an in vitro pharmacokinetic (PK) model of flutamide to a system biology
model of its reactive oxygen species (ROS) production and scavenging by the Nrf2
regulated glutathione production. The PK model was calibrated using data on
flutamide kinetics, hydroxyflutamide and glutathione conjugates formation in
microfluidic conditions. The parameters of Nrf2-related gene activities and the
subsequent glutathione depletion were calibrated using microarray data from our
microfluidic experiments and literature information. Following a 10 MUM flutamide
exposure, the model predicted a recovery time to baseline levels of glutathione
(GSH) and ROS in agreement with our experimental observations. At 100 MUM, the
model predicted that metabolism saturation led to an important accumulation of
flutamide in cells, a high ROS production and complete GSH depletion. The high
levels of ROS predicted were consistent with the necrotic switch observed by
transcriptomics, and the high cell mortality we had experimentally observed. The
model predicted a transition between recoverable GSH depletion and deep GSH
depletion at about 12.5 MUM of flutamide (single perfusion exposure). Our work
shows that in vitro biochip experiments can provide supporting information for
complex in silico modeling including data from extra cellular and intra cellular
levels. We believe that this approach can be an efficient strategy for a global
integrated methodology in predictive toxicology.
PMID- 24929097
TI - Diagnostic accuracy of the WHO clinical staging system for defining eligibility
for ART in sub-Saharan Africa: a systematic review and meta-analysis.
AB - INTRODUCTION: The World Health Organization (WHO) recommends that HIV-positive
adults with CD4 count <=500 cells/mm(3) initiate antiretroviral therapy (ART). In
many countries of sub-Saharan Africa, CD4 count is not widely available or
consistently used and instead the WHO clinical staging system is used to
determine ART eligibility. However, concerns have been raised regarding its
discriminatory ability to identify patients eligible to start ART. We therefore
reviewed the accuracy of WHO stage 3 or 4 assessment in identifying ART
eligibility according to CD4 count thresholds for ART initiation. METHODS: We
systematically searched PubMed and Global Health databases and conference
abstracts using a comprehensive strategy for studies that compared the results of
WHO clinical staging with CD4 count thresholds. Studies performed in sub-Saharan
Africa and published in English between 1998 and 2013 were eligible for inclusion
according to our predefined study protocol. Two authors independently extracted
data and assessed methodological quality and risk of bias using the Quality
Assessment Tool for Diagnostic Accuracy Studies (QUADAS-2) tool. Summary
estimates of sensitivity and specificity were derived for each CD4 count
threshold and hierarchical summary receiver operator characteristic curves were
plotted. RESULTS: Fifteen studies met the inclusion criteria, including 25,032
participants from 14 countries. Most studies assessed individuals attending ART
clinics prior to treatment initiation. WHO clinical stage 3 or 4 disease had a
sensitivity of 60% (95% CI: 45-73%, Q=914.26, p<0.001) and specificity of 73%
(95% CI: 60-83%, Q=1439.43, p<0.001) for a CD4 threshold of <=200 cells/mm(3) (11
studies); sensitivity and specificity for a threshold of CD4 count <=350
cells/mm(3) were 45% (95% CI: 26-66%, Q=1607.31, p<0.001) and 85% (95% CI: 69
93%, Q=896.70, p<0.001), respectively (six studies). For the threshold of CD4
count <=500 cells/mm(3) sensitivity was 14% (95% CI: 13-15%) and specificity was
95% (95% CI: 94-96%) (one study). CONCLUSIONS: When used for individual treatment
decisions, WHO clinical staging misses a high proportion of individuals who are
ART eligible by CD4 count, with sensitivity falling as CD4 count criteria rises.
Access to accurate, accessible, robust and affordable CD4 count testing methods
will be a pressing need for as long as ART initiation decisions are based on
criteria other than seropositivity.
PMID- 24929100
TI - Prediction of bacterial protein subcellular localization by incorporating various
features into Chou's PseAAC and a backward feature selection approach.
AB - Information on the subcellular localization of bacterial proteins is essential
for protein function prediction, genome annotation and drug design. Here we
proposed a novel approach to predict the subcellular localization of bacterial
proteins by fusing features from position-specific score matrix (PSSM), Gene
Ontology (GO) and PROFEAT. A backward feature selection approach by linear kennel
of SVM was then used to rank the integrated feature vectors and extract optimal
features. Finally, SVM was applied for predicting protein subcellular locations
based on these optimal features. To validate the performance of our method, we
employed jackknife cross-validation tests on three low similarity datasets, i.e.,
M638, Gneg1456 and Gpos523. The overall accuracies of 94.98%, 93.21%, and 94.57%
were achieved for these three datasets, which are higher (from 1.8% to 10.9%)
than those by state-of-the-art tools. Comparison results suggest that our method
could serve as a very useful vehicle for expediting the prediction of bacterial
protein subcellular localization.
PMID- 24929101
TI - Unusual carboxylesterase bearing a GGG(A)X-type oxyanion hole discovered in
Paenibacillus barcinonensis BP-23.
AB - Strain Paenibacillus barcinonensis BP-23, previously isolated from Ebro's river
delta (Spain), bears a complex hydrolytic system showing the presence of at least
two enzymes with activity on lipidic substrates. EstA, a cell-bound B-type
carboxylesterase from the strain was previously isolated and characterized. The
gene coding for a second putative lipase, located upstream cellulase Cel5A, was
obtained using a genome walking strategy and cloned in Escherichia coli for
further characterization. The recombinant clone obtained displayed high activity
on medium/short-chain fatty acid-derivative substrates. The enzyme, named Est23,
was purified and characterized, showing maximum activity on pNP-caprylate (C8:0)
or MUF-heptanoate (C7:0) under conditions of moderate temperature and pH.
Although Est23 displays a GGG(A)X-type oxyanion hole, described as an important
motif for tertiary alcohol ester resolution, neither conversion nor enantiomeric
resolution of tertiary alcohols could be detected. Amino acid sequence alignment
of Est23 with those of known bacterial lipase families and with closely related
proteins suggests that the cloned enzyme does not belong to any of the described
bacterial lipase families. A phylogenetic tree including Est23 and similar amino
acid sequences showed that the enzyme belongs to a differentiated sequence
cluster which probably constitutes a new family of bacterial lipolytic enzymes.
PMID- 24929102
TI - Impairment of interstrain social recognition during territorial aggressive
behavior in oxytocin receptor-null mice.
AB - In humans, oxytocin has been shown to be involved in in-group cooperative
behaviors and out-group aggression. Studies have also demonstrated that oxytocin
plays a pivotal role in social recognition. However, no empirical research has
investigated the effect of oxytocin on in-group and out-group aggressiveness. We
employed a resident-intruder paradigm to assess the ability of resident male mice
to discriminate intruder male strain differences. We found that resident male
mice exhibited higher frequencies of attack bites against intruders of different
strains than against intruders of their own strain. Subsequently, we examined
whether the interstrain recognition was regulated by the oxytocin system using
oxytocin receptor (OTR)-null mice. OTR wild-type or heterozygous residents
displayed higher aggression toward intruders of a strain different from their own
(C57BL/6J). On the other hand, OTR-null residents exhibited greater aggression
toward intruders of the same strain compared to OTR wild-type or heterozygous
residents, and aggression levels were not different compared to those exhibited
toward other strains. Our findings demonstrated that the oxytocin system
contributes to interstrain social recognition in territorial aggression in male
mice, implying that one function of oxytocin is to promote an in-group "tend-and
defend" response, such as in-group favoritism, which could be evolutionarily
conserved in mammals.
PMID- 24929098
TI - The regulation of reproductive neuroendocrine function by insulin and insulin
like growth factor-1 (IGF-1).
AB - The mammalian reproductive hormone axis regulates gonadal steroid hormone levels
and gonadal function essential for reproduction. The neuroendocrine control of
the axis integrates signals from a wide array of inputs. The regulatory pathways
important for mediating these inputs have been the subject of numerous studies.
One class of proteins that have been shown to mediate metabolic and growth
signals to the CNS includes Insulin and IGF-1. These proteins are structurally
related and can exert endocrine and growth factor like action via related
receptor tyrosine kinases. The role that insulin and IGF-1 play in controlling
the hypothalamus and pituitary and their role in regulating puberty and
nutritional control of reproduction has been studied extensively. This review
summarizes the in vitro and in vivo models that have been used to study these
neuroendocrine structures and the influence of these growth factors on
neuroendocrine control of reproduction.
PMID- 24929103
TI - Involvement of P38MAPK activation by NMDA receptors and non-NMDA receptors in
amyloid-beta peptide-induced neuronal loss in rat hippocampal CA1 and CA3
subfields.
AB - Oligomeric amyloid-beta peptide (Abeta) has been found to be associated with the
pathogenesis of Alzheimer's disease (AD). Numerous studies have reported Abeta
neurotoxicity, but the underlying molecular mechanisms remain to be fully
illuminated. In the present study, we investigated the Abeta-induced activation
and regulation of P38MAPKs in rat hippocampus in vivo. The results showed that
intracerebroventricular injection of oligomeric Abeta25-35 increased the
activation (phosphorylation) of P38MAPKs, and the level of cleaved caspase-3, but
decreased the number of neurons in rat hippocampal CA1 and CA3 subfields.
Downregulation of P38MAPK activity by SB239063 protected against the Abeta
neurotoxicity. Pretreatment with NMDA and non-NMDA receptor antagonists
respectively suppressed P38MAPK activation induced by Abeta25-35 oligomers and
presented neuroprotective effect. Taken together, these data suggest that P38MAPK
activation via NMDA and non-NMDA receptors is a key signal cascade in Abeta
induced neuronal death. Inhibition of P38MAPK cascades may be a promising
treatment in AD.
PMID- 24929104
TI - Role of the red nucleus in suppressing the jaw-opening reflex following
stimulation of the raphe magnus nucleus.
AB - In a previous study, we found that electrical and chemical stimulation of the red
nucleus (RN) suppressed the high-threshold afferent-evoked jaw-opening reflex
(JOR). It has been reported that the RN receives bilaterally projection fibers
from the raphe magnus nucleus (RMg), and that stimulation of the RMg inhibits the
tooth pulp-evoked nociceptive JOR. These facts imply that RMg-induced inhibition
of the JOR could be mediated via the RN. The present study first examines whether
stimulation of the RMg suppresses the high-threshold afferent-evoked JOR. The JOR
was evoked by electrical stimulation of the inferior alveolar nerve (IAN), and
was recorded as the electromyographic response of the anterior belly of the
digastric muscle. The stimulus intensity was 4.0 (high-threshold) times the
threshold. Conditioning electrical stimulation of the RMg significantly
suppressed the JOR. A further study then examined whether electrically induced
lesions of the RN or microinjection of muscimol into the RN affects RMg-induced
suppression of the JOR. Electrically induced lesions of the bilateral RN and
microinjection of muscimol into the bilateral RN both reduced the RMg-induced
suppression of the JOR. These results suggest that RMg-induced suppression of the
high-threshold afferent-evoked JOR is mediated by a relay in the RN.
PMID- 24929105
TI - Coptis chinensis Franch. exhibits neuroprotective properties against oxidative
stress in human neuroblastoma cells.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The dried rhizome of Coptis chinensis Franch.
(family Ranunculaceae) is traditionally used in Chinese medicine for the
treatment of inflammatory diseases and diabetes. Recent studies showed a variety
of activities of Coptis chinensis Franch. alkaloids, including neuroprotective,
neuroregenerative, anti-diabetic, anti-oxidative and anti-inflammatory effects.
However, there is no report on the neuroprotective effect of Coptis chinensis
Franch. watery extract against tert-butylhydroperoxide (t-BOOH) induced oxidative
damage. The aim of the study is to investigate neuroprotective properties of
Coptis chinensis Franch. rhizome watery extract (CRE) and to evaluate its
potential mechanism of action. MATERIALS AND METHODS: Neuroprotective properties
on t-BOOH induced oxidative stress were investigated in SH-SY5Y human
neuroblastoma cells. Cells were pretreated with CRE for 2 h or 24 h followed by 2
h of treatment with t-BOOH. To evaluate the neuroprotective effect of CRE, cell
viability, cellular reactive oxygen species (ROS), mitochondrial membrane
potential (MMP) and the apoptotic rate were determined and microarray analyses,
as well as qRT-PCR analyses were conducted. RESULTS: Two hours of exposure to 100
uM t-BOOH resulted in a significant reduction of cell viability, increased
apoptotic rate, declined mitochondrial membrane potential (MMP) and increased ROS
production. Reduction of cell viability, increased apoptotic rate and declined
mitochondrial membrane potential (MMP) could be significantly reduced in cells
pretreated with CRE (100 ug/ml) for 2h or 24h ahead of t-BOOH exposure with the
greatest effect after 24h of pretreatment; however ROS production was not changed
significantly. Furthermore, microarray analyses revealed that the expressions of
2 genes; thioredoxin-interacting protein (TXNIP) and mitochondrially encoded NADH
dehydrogenase 1, were significantly regulated. Down regulation of TXNIP was
confirmed by qRT-PCR. CONCLUSION: Due to its neuroprotective properties CRE might
be a potential therapeutic agent for the prevention or amelioration of diseases
like diabetic neuropathy and neurodegenerative disorders like Alzheimer and
Parkinsons disease.
PMID- 24929099
TI - GABAA receptor-acting neurosteroids: a role in the development and regulation of
the stress response.
AB - Regulation of hypothalamic-pituitary-adrenocortical (HPA) axis activity by stress
is a fundamental survival mechanism and HPA-dysfunction is implicated in
psychiatric disorders. Adverse early life experiences, e.g. poor maternal care,
negatively influence brain development and programs an abnormal stress response
by encoding long-lasting molecular changes, which may extend to the next
generation. How HPA-dysfunction leads to the development of affective disorders
is complex, but may involve GABAA receptors (GABAARs), as they curtail stress
induced HPA axis activation. Of particular interest are endogenous neurosteroids
that potently modulate the function of GABAARs and exhibit stress-protective
properties. Importantly, neurosteroid levels rise rapidly during acute stress,
are perturbed in chronic stress and are implicated in the behavioural changes
associated with early-life adversity. We will appraise how GABAAR-active
neurosteroids may impact on HPA axis development and the orchestration of the
stress-evoked response. The significance of these actions will be discussed in
the context of stress-associated mood disorders.
PMID- 24929106
TI - Cytotoxicity and inhibition of P-glycoprotein by selected medicinal plants from
Thailand.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Thai medicine has a long tradition of tonifying
medicinal plants. In the present investigation, we studied the flower extracts of
Jasminum sambac, Mammea siamensis, Mesua ferrea, Michelia alba, Mimusops elengi,
and Nelumbo nucifera and speculated that these plants might influence metabolism
and substance flow in the body. MATERIALS AND METHODS: Isolation of porcine brain
capillary endothelial cells (PBCECs) as well as multidrug-resistance CEM/ADR5000
leukemia cells, MDA-M;B-231 breast cancer, U-251 brain tumor, and HCT-116 colon
cancer cells were used. The calcein-acetoxymethylester (AM) assay was used to
measure inhibition of P-glycoprotein transport. XTT and resazurin assays served
for measuring cytotoxicity. RESULTS: The extracts revealed cytotoxicity towards
CCRF-CEM leukemia cells to a different extent. The strongest growth inhibition
was found for the n-hexane extracts of Mammea siamensis and Mesua ferrea, and the
dichloromethane extracts of Mesua ferrea and Michelia alba. The flower extracts
also inhibited P-glycoprotein function in porcine brain capillary endothelial
cells and CEM/ADR5000 leukemia cells, indicating modulation of the blood-brain
barrier and multidrug resistance of tumors. Bioactivity-guided isolation of
coumarins from Mammea siamensis flowers revealed considerable cytotoxicity of
mammea A/AA, deacetylmammea E/BA and deacetylmammea E/BB towards human MDA-MB-231
breast cancer, U-251 brain tumor, HCT-116 colon cancer, and CCRF-CEM leukemia
cells. CONCLUSION: The plants analyzed may be valuable in developing novel
treatment strategies to overcome the blood-brain barrier and multidrug-resistance
in tumor cells mediated by P-glycoprotein.
PMID- 24929107
TI - Pharmacokinetic comparisons of five ephedrine alkaloids following oral
administration of four different Mahuang-Guizhi herb-pair aqueous extracts ratios
in rats.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Herba Ephedra (Mahuang in Chinese), is derived
from dried Ephedra sinica Stapf stems and has been widely used to treat the
common cold, coughs, asthma, and edema for thousands of years. The Mahuang-Guizhi
herb-pair is a famous formula composed of Mahuang and Ramulus Cinnamomi (Guizhi
in Chinese, the dried twig of Cinnamomum cassia Presl.), used to improve
pharmacological effects and reduce toxicity. In order to investigate the
influence of Mahuang-Guizhi herb-pair ratios on bioavailability, the plasma
pharmacokinetics profiles of five ephedrine alkaloids were compared following
oral administration of four different ratios to rats. MATERIALS AND METHODS:
Sprague-Dawley rats were randomly assigned to four groups and orally administered
Mahuang-Guizhi (ratios 3:0; 3:1; 3:2; 3:4, w/w). Assays for five ephedrine
alkaloids (ephedrine, pseudoephedrine, methylephedrine, norephedrine, and
norpseudoephedrine) were developed and validated using ultra-high-performance
liquid chromatography tandem mass spectrometry coupled with liquid-liquid
extraction. RESULTS: Key pharmacokinetic parameters of the five ephedrine
alkaloids (maximal plasma concentration, mean residence time, and half-life) were
significantly different (p<0.05) after oral administration of Mahuang-Guizhi herb
pair ratios, as compared to those of Mahuang. CONCLUSION: Ephedrine alkaloid
pharmacokinetic differences in rat plasma could help explain previous findings of
pharmacological and toxicity differences between Mahuang and Mahuang-Guizhi herb
pair preparations. These results could facilitate future studies to increase the
efficacy and decrease the toxicity of Mahuang and Guizhi.
PMID- 24929108
TI - The use of plants in the traditional management of diabetes in Nigeria:
pharmacological and toxicological considerations.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: The prevalence of diabetes is on a steady
increase worldwide and it is now identified as one of the main threats to human
health in the 21st century. In Nigeria, the use of herbal medicine alone or
alongside prescription drugs for its management is quite common. We hereby carry
out a review of medicinal plants traditionally used for diabetes management in
Nigeria. Based on the available evidence on the species' pharmacology and safety,
we highlight ways in which their therapeutic potential can be properly harnessed
for possible integration into the country's healthcare system. MATERIALS AND
METHODS: Ethnobotanical information was obtained from a literature search of
electronic databases such as Google Scholar, Pubmed and Scopus up to 2013 for
publications on medicinal plants used in diabetes management, in which the place
of use and/or sample collection was identified as Nigeria. 'Diabetes' and
'Nigeria' were used as keywords for the primary searches; and then 'Plant name -
accepted or synonyms', 'Constituents', 'Drug interaction' and/or 'Toxicity' for
the secondary searches. RESULTS: The hypoglycemic effect of over a hundred out of
the 115 plants reviewed in this paper is backed by preclinical experimental
evidence, either in vivo or in vitro. One-third of the plants have been studied
for their mechanism of action, while isolation of the bioactive constituent(s)
has been accomplished for twenty three plants. Some plants showed specific organ
toxicity, mostly nephrotoxic or hepatotoxic, with direct effects on the levels of
some liver function enzymes. Twenty eight plants have been identified as in vitro
modulators of P-glycoprotein and/or one or more of the cytochrome P450 enzymes,
while eleven plants altered the levels of phase 2 metabolic enzymes, chiefly
glutathione, with the potential to alter the pharmacokinetics of co-administered
drugs. CONCLUSION: This review, therefore, provides a useful resource to enable a
thorough assessment of the profile of plants used in diabetes management so as to
ensure a more rational use. By anticipating potential toxicities or possible herb
drug interactions, significant risks which would otherwise represent a burden on
the country's healthcare system can be avoided.
PMID- 24929109
TI - Alcohol: a stimulant activating brain stress responsive systems with persistent
neuroadaptation.
AB - Addictive diseases, including addiction to alcohol, opiates or cocaine, pose
massive public health costs. Addictions are chronic relapsing brain diseases,
caused by drug-induced direct effects and persistent neuroadaptations at the
molecular, cellular and behavioral levels. These drug-type specific
neuroadapations are mainly contributed by three factors: environment, including
stress, the direct reinforcing effects of the drug on the CNS, and genetics.
Results from animal models and basic clinical research (including human genetic
study) have shown important interactions between the stress responsive systems
and alcohol abuse. In this review we will discuss the involvement of the
dysregulation of the stress responsive hypothalamic-pituitary-adrenal (HPA) axis
in alcohol addiction (Section I). Addictions to specific drugs such as alcohol,
psychostimulants and opiates (e.g., heroin) have some common direct or downstream
effects on several brain stress-responsive systems, including vasopressin and its
receptor system (Section II), POMC and mu opioid receptor system (Section III)
and dynorphin and kappa opioid receptor systems (Section IV). Further
understanding of these systems, through laboratory-based and translational
studies, have the potential to optimize early interventions and to discover new
treatment targets for the therapy of alcoholism. This article is part of the
Special Issue entitled 'CNS Stimulants'.
PMID- 24929110
TI - Activation of neurotensin receptor type 1 attenuates locomotor activity.
AB - Intracerebroventricular administration of neurotensin (NT) suppresses locomotor
activity. However, the brain regions that mediate the locomotor depressant effect
of NT and receptor subtype-specific mechanisms involved are unclear. Using a
brain-penetrating, selective NT receptor type 1 (NTS1) agonist PD149163, we
investigated the effect of systemic and brain region-specific NTS1 activation on
locomotor activity. Systemic administration of PD149163 attenuated the locomotor
activity of C57BL/6J mice both in a novel environment and in their homecage.
However, mice developed tolerance to the hypolocomotor effect of PD149163 (0.1
mg/kg, i.p.). Since NTS1 is known to modulate dopaminergic signaling, we examined
whether PD149163 blocks dopamine receptor-mediated hyperactivity. Pretreatment
with PD149163 (0.1 or 0.05 mg/kg, i.p.) inhibited D2R agonist bromocriptine (8
mg/kg, i.p.)-mediated hyperactivity. D1R agonist SKF-81297 (8 mg/kg, i.p.)
induced hyperlocomotion was only inhibited by 0.1 mg/kg of PD149163. Since the
nucleus accumbens (NAc) and medial prefrontal cortex (mPFC) have been implicated
in the behavioral effects of NT, we examined whether microinjection of PD149163
into these regions reduces locomotion. Microinjection of PD149163 (2 pmol) into
the NAc, but not the mPFC suppressed locomotor activity. In summary, our results
indicate that systemic and intra-NAc activation of NTS1 is sufficient to reduce
locomotion and NTS1 activation inhibits D2R-mediated hyperactivity. Our study
will be helpful to identify pharmacological factors and a possible therapeutic
window for NTS1-targeted therapies for movement disorders.
PMID- 24929111
TI - Effects of D-series resolvins on behavioral and neurochemical changes in a
fibromyalgia-like model in mice.
AB - This study investigated whether the spinal or systemic treatment with the lipid
resolution mediators resolvin D1 (RvD1), aspirin-triggered resolvin D1 (AT-RvD1)
and resolvin D2 (RvD2) might interfere with behavioral and neurochemical changes
in the mouse fibromyalgia-like model induced by reserpine. Acute administration
of AT-RvD1 and RvD2 produced a significant inhibition of mechanical allodynia and
thermal sensitization in reserpine-treated mice, whereas RvD1 was devoid of
effects. A similar antinociceptive effect was obtained by acutely treating
animals with the reference drug pregabalin. Noteworthy, the repeated
administration of AT-RvD1 and RvD2 also prevented the depressive-like behavior in
reserpine-treated animals, according to assessment of immobility time, although
the chronic administration of pregabalin failed to affect this parameter. The
induction of fibromyalgia by reserpine triggered a marked decrease of dopamine
and serotonin (5-HT) levels, as examined in total brain, spinal cord, cortex and
thalamus. Reserpine also elicited a reduction of glutamate levels in total brain,
and a significant increase in the spinal cord and thalamus. Chronic treatment
with RvD2 prevented 5-HT reduction in total brain, and reversed the glutamate
increases in total brain and spinal cord. Otherwise, AT-RvD1 led to a recovery of
dopamine levels in cortex, and 5-HT in thalamus, whilst it diminished brain
glutamate contents. Concerning pregabalin, this drug prevented dopamine reduction
in total brain, and inhibited glutamate increase in brain and spinal cord of
reserpine-treated animals. Our data provide novel evidence, showing the ability
of D-series resolvins AT-RvD1, and mainly RvD2, in reducing painful and
depressive symptoms allied to fibromyalgia in mice.
PMID- 24929113
TI - Morphofunctional characterization of decellularized vena cava as tissue
engineering scaffolds.
AB - Clinical experience for peripheral arterial disease treatment shows poor results
when synthetic grafts are used to approach infrapopliteal arterial segments.
However, tissue engineering may be an option to yield surrogate biocompatible
neovessels. Thus, biological decellularized scaffolds could provide natural
tissue architecture to use in tissue engineering, when the absence of ideal
autologous veins reduces surgical options. The goal of this study was to evaluate
different chemical induced decellularization protocols of the inferior vena cava
of rabbits. They were decellularized with Triton X100 (TX100), sodium dodecyl
sulfate (SDS) or sodium deoxycholate (DS). Afterwards, we assessed the remaining
extracellular matrix (ECM) integrity, residual toxicity and the biomechanical
resistance of the scaffolds. Our results showed that TX100 was not effective to
remove the cells, while protocols using SDS 1% for 2h and DS 2% for 1h,
efficiently removed the cells and were better characterized. These scaffolds
preserved the original organization of ECM. In addition, the residual toxicity
assessment did not reveal statistically significant changes while decellularized
scaffolds retained the equivalent biomechanical properties when compared with the
control. Our results concluded that protocols using SDS and DS were effective at
obtaining decellularized scaffolds, which may be useful for blood vessel tissue
engineering.
PMID- 24929112
TI - SKF-83959 is not a highly-biased functionally selective D1 dopamine receptor
ligand with activity at phospholipase C.
AB - SKF-83959 [6-chloro-7,8-dihydroxy-3-methyl-1-(3-methylphenyl)-2,3,4,5-tetrahydro
1H-3-benzazepine] is reported to be a functionally selective dopamine D1 receptor
ligand with high bias for D1-mediated phospholipase C (PLC) versus D1-coupled
adenylate cyclase signaling. This signaling bias is proposed to explain
behavioral activity in both rat and primate Parkinson's disease models, and a D1
D2 heterodimer has been proposed as the underlying mechanism. We have conducted
an in-depth pharmacological characterization of this compound in dopamine D1 and
D2 receptors in both rat brain and heterologous systems expressing human D1 or D2
receptors. Contrary to common assumptions, SKF-83959 is similar to the classical,
well-characterized partial agonist SKF38393 in all systems. It is a partial
agonist (not an antagonist) at adenylate cyclase in vitro and ex vivo, and is a
partial agonist in D1-mediated beta-arrestin recruitment. Contrary to earlier
reports, it does not have D1-mediated effects on PLC signaling in heterologous
systems. Because drug metabolites can also contribute, its 3-N-demethylated
analog also was synthesized and tested. As expected from the known structure
activity relationships of the benzazepines, this compound also had high affinity
for the D1 receptor and somewhat higher intrinsic activity than the parent
ligand, and also might contribute to in vivo effects of SKF-83959. Together,
these data demonstrate that SKF-83959 is not a highly-biased functionally
selective D1 ligand, and that its reported behavioral data can be explained
solely by its partial D1 agonism in canonical signaling pathway(s). Mechanisms
that have been proposed based on the purported signaling novelty of SKF-83959 at
PLC should be reconsidered.
PMID- 24929114
TI - Structure and functioning mechanism of transketolase.
AB - Studies of thiamine diphosphate-dependent enzymes appear to have commenced in
1937, with the isolation of the coenzyme of yeast pyruvate decarboxylase, which
was demonstrated to be a diphosphoric ester of thiamine. For quite a long time,
these studies were largely focused on enzymes decarboxylating alpha-keto acids,
such as pyruvate decarboxylase and pyruvate dehydrogenase complexes.
Transketolase, discovered independently by Racker and Horecker in 1953 (and named
by Racker) [1], did not receive much attention until 1992, when crystal X-ray
structure analysis of the enzyme from Saccharomyces cerevisiae was performed [2].
These data, together with the results of site-directed mutagenesis, made it
possible to understand in detail the mechanism of thiamine diphosphate-dependent
catalysis. Some progress was also made in studies of the functional properties of
transketolase. The last review on transketolase, which was fairly complete,
appeared in 1998 [3]. Therefore, the publication of this paper should not seem
premature.
PMID- 24929115
TI - Toward a common aggregation mechanism for a beta-barrel protein family: insights
derived from a stable dimeric species.
AB - Delta78Delta is a second generation functional all-beta sheet variant of IFABP
(intestinal fatty acid binding protein) corresponding to the fragment 29-106 of
the parent protein. This protein and its predecessor, Delta98Delta (segment 29
126 of IFABP), were initially uncovered by controlled proteolysis. Remarkably,
although IFABP and Delta98Delta are monomers in solution, Delta78Delta adopts a
stable dimeric structure. With the aim of identifying key structural features
that modulate the aggregation of beta-proteins, we evaluate here the structure
and aggregation propensity of Delta78Delta. The 2,2,2-trifluoroethanol (TFE)
induced aggregation of this protein shows a primary nucleation-elongation
mechanism, characterized by the stabilization of a dimeric nucleus. Its rate of
production from the co-solvent induced aggregation prone state governs the
kinetics of polymerization. In this context, the value of Delta78Delta lies in
the fact that - being a stable dimeric species - it reduces an otherwise
bimolecular reaction to a unimolecular one. Interestingly, even though
Delta78Delta and IFABP display similar conformational stability, the abrogated
form of IFABP shows an enhanced aggregation rate, revealing the ancillary role
played on this process by the free energy of the native proteins. Delta78Delta
share with IFABP and Delta98Delta a common putative aggregation-prone central
peptide. Differences in the exposure/accessibility of this segment dictated by
the environment around this region might underlie the observed variations in the
speed of aggregation. Lessons learnt from this natural dimeric protein might shed
light on the early conformational events leading to beta-conversion from barrels
to amyloid aggregates.
PMID- 24929116
TI - The therapeutic potential of histamine receptor ligands in inflammatory bowel
disease.
AB - In the intestine of patients suffering from inflammatory bowel disease
concentrations of histamine are increased compared to healthy controls. Genetic
ablation of histamine production in mice ameliorates the course of experimentally
induced colitis. These observations and first pharmacological studies indicate a
function of histamine in the pathogenesis of inflammatory bowel disease. However,
a closer examination reveals that available data are highly heterogeneous,
limiting the rational design of strategies addressing specific histamine receptor
subtypes as possible target for pharmacological interaction. However, very
recently first clinical data indicate that antagonism at the histamine receptor
subtype H4 provides a beneficial effect in at least the skin. Here, we discuss
the available data on histamine effects and histamine receptor subtype functions
in inflammatory bowel disease with a special emphasis on the histamine H4
receptor.
PMID- 24929117
TI - Trolox inhibits osteolytic bone metastasis of breast cancer through both PGE2
dependent and independent mechanisms.
AB - Bone is a preferred site of metastasis from breast cancer, and increased
osteoclast activity is implicated in breast cancer outgrowth in the bone
microenvironment. Our previous observation of an anti-osteoclastic activity of
Trolox, a vitamin E analog, led us to investigate whether Trolox inhibits bone
metastasis and osteolysis caused by breast cancer. Administration of Trolox
markedly inhibited osteolytic bone metastasis in an experimental metastasis model
by intracardiac injection of 4T1 breast cancer cells. Trolox inhibited
proliferation of 4T1 cells in the bone marrow but not in the mammary fat pad. In
addition, Trolox could reduce tumor burden, osteolysis, and prostaglandin E2
(PGE2) production induced by direct inoculation of 4T1 cells into the marrow
cavity of the tibia. Trolox decreased the migratory and invasive activities of
4T1 cells via PGE2-dependent and independent mechanisms. It also inhibited the
ability of 4T1 cells to stimulate the expression of receptor activator of nuclear
factor-kappaB ligand (RANKL), a key cytokine for osteoclast differentiation
factor, in osteoblasts. In addition, Trolox suppressed RANKL expression in
osteoblasts induced by soluble factors from 4T1 cells. Furthermore, Trolox
suppressed 4T1 cell-induced osteoclast differentiation in the co-culture of bone
marrow cells and osteoblasts via both PGE2-dependent and independent mechanisms.
Taken together, these results suggest that Trolox inhibits breast cancer cell
induced osteoclast differentiation and the invasive behavior of cancer cells
through PGE2-dependent and independent mechanisms, thereby suppressing osteolytic
bone metastasis of breast cancer.
PMID- 24929119
TI - Commercial PCV2a-based vaccines are effective in protecting naturally PCV2b
infected finisher pigs against experimental challenge with a 2012 mutant PCV2.
AB - Current commercial PCV2 vaccines are all based on PCV2a and have been shown to be
effective in reducing PCV2a and PCV2b viremia and PCV2-associated lesions and
disease. The recent emergence of novel mutant PCV2 (mPCV2) strains and linkage of
mPCV2 with cases of porcine circovirus associated disease (PCVAD) in vaccinated
herds have raised concerns over emergence of vaccine-escape mutants and reduced
efficacy of PCV2a-based vaccines. The aim of this study was to determine the
ability of three commercial PCV2a-based vaccines administered in the presence of
an ongoing PCV2b infection and passively-acquired anti-PCV2 antibodies to protect
conventional pigs against experimental challenge with mPCV2 at 11 weeks of age.
Fifty naturally PCV2b-infected 2-week-old pigs were divided into five treatment
groups with 10 pigs each. Pigs were unvaccinated (positive and negative controls)
or vaccinated at 3 (VAC-A, VAC-B, VAC-C) and at 5 weeks of age (VAC-C). At 11
weeks of age, all pigs except the negative controls were challenged with a 2012
U.S. strain of mPCV2. The experiment was terminated 21 days after challenge.
Under the conditions of this study, vaccinated pigs were protected against PCV2
viremia and lesions whereas non-vaccinated pigs were not. Moreover, concurrent
PCV2b and mPCV2 infection was demonstrated in all positive controls and 3/10 had
microscopic lesions consistent with PCVAD while negative controls infected with
PCV2b alone did not develop PCVAD. The results indicate that concurrent
PCV2b/mPCV2 infection can trigger PCVAD development and that commercial vaccines
are effective in protecting conventional pigs against emerging mPCV2 strains.
PMID- 24929118
TI - Organization of columnar inputs in the third optic ganglion of a highly visual
crab.
AB - Motion information provides essential cues for a wide variety of animal behaviors
such as mate, prey, or predator detection. In decapod crustaceans and pterygote
insects, visual codification of object motion is associated with visual
processing in the third optic neuropile, the lobula. In this neuropile,
tangential neurons collect motion information from small field columnar neurons
and relay it to the midbrain where behavioral responses would be finally shaped.
In highly ordered structures, detailed knowledge of the neuroanatomy can give
insight into their function. In spite of the relevance of the lobula in
processing motion information, studies on the neuroarchitecture of this neuropile
are scant. Here, by applying dextran-conjugated dyes in the second optic
neuropile (the medulla) of the crab Neohelice, we mass stained the columnar
neurons that convey visual information into the lobula. We found that the
arborizations of these afferent columnar neurons lie at four main lobula depths.
A detailed examination of serial optical sections of the lobula revealed that
these input strata are composed of different number of substrata and that the
strata are thicker in the centre of the neuropile. Finally, by staining the
different lobula layers composed of tangential processes we combined the present
characterization of lobula input strata with the previous characterization of the
neuroarchitecture of the crab's lobula based on reduced-silver preparations. We
found that the third lobula input stratum overlaps with the dendrites of lobula
giant tangential neurons. This suggests that columnar neurons projecting from the
medulla can directly provide visual input to the crab's lobula giant neurons.
PMID- 24929120
TI - Autophagic activity in the midgut gland of the overwintering harvestmen Gyas
annulatus (Phalangiidae, Opiliones).
AB - Juvenile harvestmen Gyas annulatus overwinter in dormancy in hypogean habitats
for 4-5 months. The ultrastructure of the autophagic structures in their midgut
epithelium cells was studied by light microscopy, transmission electron
microscopy (TEM) and immunofluorescence microscopy (IFM) during this non-feeding
period. Before overwintering (November), autophagic structures were scarce. In
the middle (January) and at the end of overwintering (March), phagophores,
autophagosomes and autolysosomes were present in the cytoplasm of both the
secretory and the digestive midgut epithelium cells, gradually increasing their
abundance during overwintering. In addition, vacuolization of the cytoplasm
intensified. Both processes are induced by starvation. Autophagic structures and
cytoplasm vacuolization enable the reuse of the cell's own components required
for the maintenance of vital processes during dormancy. While TEM is a much more
convenient method for recognition of the autophagic structure types and their
ultrastructure, IFM enables exact counting of these structures.
PMID- 24929121
TI - Biomarker predictors of cardiac hospitalization in chronic heart failure: a
recurrent event analysis.
AB - BACKGROUND: Identification of heart failure (HF) patients at risk for
hospitalization may improve care and reduce costs. We evaluated 9 biomarkers as
predictors of cardiac hospitalization in chronic HF. METHODS AND RESULTS: In a
multicenter cohort of 1,512 chronic HF outpatients, we assessed the association
between 9 biomarkers and cardiac hospitalization with the use of a recurrent
events approach. Over a median follow-up of 4 years, 843 participants experienced
>= 1 hospitalizations (total 2,178 hospitalizations). B-type natriuretic peptide
(BNP) and troponin I (TnI) exhibited the strongest associations with risk of
hospitalization (hazard ratio [HR] 3.8 [95% confidence interval (CI) 2.9-4.9] and
HR 3.3 [95% CI 2.8-3.9]; 3rd vs 1st tertiles). Soluble Fms-like tyrosine kinase
receptor 1 (sFlt-1) exhibited the next strongest association (HR 2.8 [95% CI 2.4
3.4]), followed by soluble Toll-like receptor 2 (HR 2.3 [95% CI 2.0-2.8]) and
creatinine (HR 1.9 [95% CI 1.6-2.4]). Within ischemic/nonischemic subgroups, BNP
and TnI remained most strongly associated. Except for creatinine, HRs for all
biomarkers studied were smaller within the ischemic subgroup, suggesting greater
importance of cardiorenal interactions in decompensation of ischemic HF.
CONCLUSION: Although BNP and TnI exhibited the strongest associations with
hospitalization, etiology-dependent associations for the remaining biomarkers
suggest etiology-specific mechanisms for HF exacerbation. sFlt-1 exhibited a
strong association with cardiac hospitalization, highlighting its potential role
as a biomarker of HF morbidity.
PMID- 24929122
TI - Phylogenetic characterization of VP6 gene (inner capsid) of porcine rotavirus C
collected in Japan.
AB - Porcine rotavirus C (RVC) has been often detected in sporadic cases or outbreaks
of diarrhea in suckling and weaned pigs. Previous surveillance studies using both
enzyme-linked immunosorbent assays and reverse-transcription polymerase chain
reaction in some countries including Japan and the United States have
demonstrated a high prevalence of porcine RVCs. In order to understand the
phylogenetic relatedness of RVCs, we performed genetic analysis of VP6 gene
encoding inner capsid protein by using 22 porcine RVC strains collected in Japan
from 2002 to 2010. Comparative analyses of the VP6 nucleotide and amino acid
sequences from these porcine RVCs exhibited lower sequence identities than those
from human and bovine RVCs. The phylogenetic analysis of VP6 gene of RVC
indicated the presence of seven clusters (tentatively assigned I1-I7) according
to host species with cut-off values of 87% at the nucleotide level, and VP6 genes
of porcine RVCs were divided into five genotypes. These findings indicate that
multiple porcine RVC strains with distinctive genotypes are broadly spreading and
circulating among farms in Japan. Our data may provide important insights in
understanding evolutionary dynamics of RVCs.
PMID- 24929124
TI - Screening and identification of soybean seed-specific genes by using integrated
bioinformatics of digital differential display, microarray, and RNA-seq data.
AB - Soybean is one of the most economically important crops in the world. Soybean
seeds have abundant protein and lipid content and very high economic value. In
this study, a total of 184 seed-specific genes were obtained using online
microarray databases, DDD, and RNA-seq data. The reported seed-specific genes in
soybean and the 184 seed-specific genes analyzed in this paper were compared. Of
the screened genes, 26 were common to both previous reports and the current
screening. Meanwhile, 90 of the 184 genes have homologous counterparts in
Arabidopsis, among which 24 have seed-specific expression, as indicated by
microarray data for Arabidopsis. Furthermore, promoter analysis showed that
almost all seed-specific genes contain at least one seed specific-related
element. Seed-specific element Skn-1 motif exists in most, if not all, of the
seed-specific genes screened. Five genes were randomly selected from 184 soybean
seed specific gene pool and their expressions were quantified using quantitative
real time polymerase chain reaction (qRT-PCR) to further confirm the specificity
of the screened genes. The results indicated that all five genes showed seed
specific expression. Moreover, the identification of genes with seed-specific
expression screened in this study provides information valuable to the in-depth
study of soybean.
PMID- 24929125
TI - Frequency of MEFV gene mutations in Hatay province, Mediterranean region of
Turkey and report of a novel missense mutation (I247V).
AB - In the present study, 1000 patients with clinical suspicion of FMF were
retrospectively reviewed to determine the spectrum of MEFV gene mutations by
using DNA sequence analysis between September, 2008 and April, 2012. Sixteen
different mutations and 55 different genotypes were detected in 618 of 1000
patients. Among 16 different mutations, R202Q (21.35%) was the most frequently
observed mutation; followed by E148Q (8.85%), M694V (7.95%), M680I (2.40%), V726A
(1.85%), M694I (0.95%), A744S (0.80%), R761H (0.55%), P283L (0.35%), K695R
(0.20%), E230K (0.15%), L110P (0.10%), I247V (0.05%), G196W (0.05%) and G304R
(0.05%). In the present study, a novel missense mutation (I247V) and a silent
variant (G150G) were identified in the MEFV gene. On the other hand, P238L, G632A
and G304R mutations are the first cases reported from Turkey. Our results
indicated that MEFV mutations are highly heterogeneous in our study population as
in other regions of Turkey and mutation screening techniques such as PCR-RFLP,
amplification refractory mutation system or reverse hybridization do not
adequately detect uncommon or novel mutations. Therefore, it was proven that
sequence analysis of the MEFV gene could be useful for detection of rare or
unknown mutations.
PMID- 24929123
TI - Differential roles of an Anopheline midgut GPI-anchored protein in mediating
Plasmodium falciparum and Plasmodium vivax ookinete invasion.
AB - Novel strategies to directly thwart malaria transmission are needed to maintain
the gains achieved by current control measures. Transmission-blocking
interventions (TBIs), namely vaccines and drugs targeting parasite or mosquito
molecules required for vector-stage parasite development, have been recognized as
promising approaches for preventing malaria transmission. However, the number of
TBI targets is limited and their degree of conservation among the major vector
parasite systems causing human disease is unclear. Therefore, discovery and
characterization of novel proteins involved in vector-stage parasite development
of Plasmodium falciparum and Plasmodium vivax is paramount. We mined the recent
Anopheles gambiae midgut lipid raft proteome for putative mosquito-derived TBI
targets and characterized a secreted glycoconjugate of unknown function, AgSGU.
We analyzed molecular variation in this protein among a range of anopheline
mosquitoes, determined its transcriptomic and proteomic profiles, and conducted
both standard and direct membrane feeding assays with P. falciparum (lab/field)
and P. vivax (field) in An. gambiae and Anopheles dirus. We observed that alpha
AgSGU antibodies significantly reduced midgut infection intensity for both lab
and field isolates of P. falciparum in An. gambiae and An. dirus. However, no
transmission-reducing effects were noted when comparable concentrations of
antibodies were included in P. vivax-infected blood meals. Although antibodies
against AgSGU exhibit transmission-reducing activity, the high antibody titer
required for achieving 80% reduction in oocyst intensity precludes its
consideration as a malaria mosquito-based TBI candidate. However, our results
suggest that P. falciparum and P. vivax ookinetes use a different repertoire of
midgut surface glycoproteins for invasion and that alpha-AgSGU antibodies, as
well as antibodies to other mosquito-midgut microvillar surface proteins, may
prove useful as tools for interrogating Plasmodium-mosquito interactions.
PMID- 24929126
TI - Isolation and characterization of three TaYUC10genes from wheat.
AB - YUCCA protein participates in a key rate-limiting step in the tryptophan
dependent pathway for auxin biosynthesis and is involved in numerous processes
during plant development. In this study, the genomic and cDNA sequences of three
TaYUC10 homoeologous genes were isolated. These sequences showed a very high
conservation in coding region and the exon/intron structure, whereas their intron
lengths were different. The cDNA and polypeptide chains of the three TaYUC10
genes were highly similar. These genes were most homologous to BdYUC10. Location
analysis showed that TaYUC10.1 was present in chromosome 5BL. TaYUC10.3 was
expressed in all parts of the wheat, but was predominant in the reproductive
organs of mature wheat, such as flowering spikelets or fertilized embryos. In the
fertilized embryos 28d post-anthesis, expression of TaYUC10.3 was clearly
increased with the development of seeds. This indicates that TaYUC genes may play
a vital role in seed development. TaYUC10.3 overexpressed in Arabidopsis had a
typical phenotype, excessive auxin accumulation also seen in higher plants, and
showed increased spacing of silique and downward curling of the blade margin.
Sterility was observed in adult transgenic plants, becoming more severe in late
development. The floral structures of sterile plants were not integrated. TaYUC10
may be required for numerous wheat growth processes, including flower and seed
development.
PMID- 24929127
TI - Global expression profile of telomerase-associated genes in HeLa cells.
AB - Telomerase is a specialized nucleoprotein enzyme complex that maintains the
telomere length. The telomerase reverse transcriptase (TERT) is the catalytically
active component of the telomerase complex. In humans, the protein component
(hTERT) and RNA component (hTR) are found to differentially express in cancer
cells. In contrast to differentiated cells, most of the cancer cells overexpress
hTERT, which is needed to maintain the proliferative potential of cells. The
overexpression of telomerase is not proportionate to telomere length in cancer
cells, suggesting that the immortalizing phenotype can be mediated through other
factors in addition to telomere length. To investigate the role of hTERT in
immortalizing process, loss of gene function studies were carried out. Short
interfering RNA (siRNA) and short hairpin RNA (shRNA) against hTERT showed the
reduction of hTERT transcript, reduction of telomerase activity and alteration of
gene expression in HeLa cells. The molecular basis of proliferative capacity of
hTERT was investigated by gene expression microarray. Analysis of microarray data
for HeLa cells following siRNA and shRNA mediated knockdown of hTERT showed that
80 genes were upregulated and 73 genes downregulated. Out of these, 37 genes are
known to be involved in cancer. Further analyses of previously known genes
involved in cancer like KLF4, FGF2, IRF-9 and PLAU by Real Time PCR showed their
upregulation. We are documenting for the first time the effect of knocking down
hTERT on expression of KLF4 and FGF2. Interestingly, it has been earlier reported
that KLF4 and FGF2 up-regulate the expression of hTERT in cancer cells. This
suggests that hTERT may be subject to its own auto-regulatory effects.
PMID- 24929128
TI - Construction of a standard reference plasmid containing seven target genes for
the detection of transgenic cotton.
AB - Insect resistance and herbicide tolerance are the dominant traits of
commercialized transgenic cotton. In this study, we constructed a general
standard reference plasmid for transgenic cotton detection. Target genes,
including the cowpea trypsin gene cptI, the insect resistance gene cry1Ab/1Ac,
the herbicide tolerance gene cp4-epsps, the Agrobacterium tumefaciens nopaline
synthase (Nos) terminator that exists in transgenic cotton and part of the
endogenous cotton SadI gene were amplified from plasmids pCPT1, pBT, pCP4 and
pBI121 and from DNA of the nontransgenic cotton line K312, respectively. The
genes cry1Ab/1Ac and cptI, as well as cp4-epsps and the Nos terminator gene, were
ligated together to form the fusion genes cptI-Bt and cp4-Nos, respectively, by
overlapping PCR. We checked the validity of genes Sad1, cptI-Bt and cp4-Nos by
DNA sequencing. Then, positive clones of cptI-Bt, cp4-Nos and Sad1 were digested
with the corresponding restriction enzymes and ligated sequentially into vector
pCamBIA2300, which contains the CAMV 35S promoter and nptII gene, to form the
reference plasmid pMCS. Qualitative detection showed that pMCS is a good positive
control for transgenic cotton detection. Real-time PCR detection efficiencies
with pMCS as a calibrator ranged from 94.35% to 98.67% for the standard curves of
the target genes (R(2)?0.998). The relative standard deviation of the mean value
for the known sample was 11.95%. These results indicate that the strategy of
using the pMCS plasmid as a reference material is feasible and reliable for the
detection of transgenic cotton. Therefore, this plasmid can serve as a useful
reference tool for qualitative and quantitative detection of single or stacked
trait transgenic cotton, thus paving the way for the identification of various
products containing components of transgenic cotton.
PMID- 24929129
TI - Preparation, quality criteria, and properties of human blood platelet lysate
supplements for ex vivo stem cell expansion.
AB - Most clinical applications of human multipotent mesenchymal stromal cells (MSCs)
for cell therapy, tissue engineering, regenerative medicine, and treatment of
immune and inflammatory diseases require a phase of isolation and ex vivo
expansion allowing a clinically meaningful cell number to be reached. Conditions
used for cell isolation and expansion should meet strict quality and safety
requirements. This is particularly true for the growth medium used for MSC
isolation and expansion. Basal growth media used for MSC expansion are
supplemented with multiple nutrients and growth factors. Fetal bovine serum (FBS)
has long been the gold standard medium supplement for laboratory-scale MSC
culture. However, FBS has a poorly characterized composition and poses risk
factors, as it may be a source of xenogenic antigens and zoonotic infections. FBS
has therefore become undesirable as a growth medium supplement for isolating and
expanding MSCs for human therapy protocols. In recent years, human blood
materials, and most particularly lysates and releasates of platelet concentrates
have emerged as efficient medium supplements for isolating and expanding MSCs
from various origins. This review analyzes the advantages and limits of using
human platelet materials as medium supplements for MSC isolation and expansion.
We present the modes of production of allogeneic and autologous platelet
concentrates, measures taken to ensure optimal pathogen safety profiles, and
methods of preparing PLs for MSC expansion. We also discuss the supply of such
blood preparations. Produced under optimal conditions of standardization and
safety, human platelet materials can become the future 'gold standard' supplement
for ex vivo production of MSCs for translational medicine and cell therapy
applications.
PMID- 24929130
TI - Systems biology of synaptic plasticity: a review on N-methyl-D-aspartate receptor
mediated biochemical pathways and related mathematical models.
AB - Synaptic plasticity, an emergent property of synaptic networks, has shown strong
correlation to one of the essential functions of the brain, memory formation.
Through understanding synaptic plasticity, we hope to discover the modulators and
mechanisms that trigger memory formation. In this paper, we first review the well
understood modulators and mechanisms underlying N-methyl-D-aspartate receptor
dependent synaptic plasticity, a major form of synaptic plasticity in
hippocampus, and then comment on the key mathematical modelling approaches
available in the literature to understand synaptic plasticity as the integration
of the established functionalities of synaptic components.
PMID- 24929131
TI - Vaccination with a genetically modified Brugia malayi cysteine protease inhibitor
2 reduces adult parasite numbers and affects the fertility of female worms
following a subcutaneous challenge of Mongolian gerbils (Meriones unguiculatus)
with B. malayi infective larvae.
AB - Vaccination of Mongolian gerbils with Brugia malayi cysteine protease inhibitor-2
in which the amino acid Asn66 was mutated to Lys66 (Bm-CPI-2M) resulted in
reduced parasite numbers of 48.6% and 48.0% at 42 and 90 days p.i. with B. malayi
L3s. Fertility of female worms was also affected at 90 days p.i. In vitro killing
of L3s observed in the presence of gerbil peritoneal exudate cells and anti-Bm
CPI-2M sera suggests antibody-dependent cell-mediated cytotoxicity as a putative
protective mechanism. These observations suggest that Bm-CPI-2M is a promising
prophylactic and anti-fecundity vaccine candidate.
PMID- 24929132
TI - Small molecule analogues of the immunomodulatory parasitic helminth product ES-62
have anti-allergy properties.
AB - ES-62, a glycoprotein secreted by the filarial nematode Acanthocheilonema viteae,
exhibits anti-inflammatory properties by virtue of covalently attached
phosphorylcholine moieties. Screening of a library of ES-62 phosphorylcholine
based small molecule analogues (SMAs) revealed that two compounds, termed 11a and
12b, mirrored the helminth product both in inhibiting mast cell degranulation and
cytokine responses in vitro and in preventing ovalbumin-induced Th2-associated
airway inflammation and eosinophil infiltration of the lungs in mice.
Furthermore, the two SMAs inhibited neutrophil infiltration of the lungs when
administered therapeutically. ES-62-SMAs 11a and 12b thus represent starting
points for novel drug development for allergies such as asthma.
PMID- 24929133
TI - A multi-component integrated approach for the elimination of schistosomiasis in
the People's Republic of China: design and baseline results of a 4-year cluster
randomised intervention trial.
AB - Despite major successes in its control over the past 50years, schistosomiasis
japonica continues to be a public health problem in the People's Republic of
China (P.R. China). Historically, the major endemic foci occur in the lakes and
marshlands along the Yangtze River, areas where transmission interruption has
proven difficult. The current endemic situation may alter due to the closure of
the Three Gorges Dam. Considerable environmental and ecological changes are
anticipated that may result in new habitats for the oncomelanid intermediate
snail host of Schistosoma japonicum (Sj), thereby increasing the risk of
transmission. The current national control program for P.R. China involves a
multi-component integrated strategy but, despite targeting multiple transmission
pathways, certain challenges remain. As the Chinese government pushes towards
elimination, there is a requirement for additional tools, such as vaccination,
for long-term prevention. Whereas the zoonotic nature of schistosomiasis japonica
adds to the complexity of control, it provides a unique opportunity to develop a
transmission blocking vaccine targeting bovines to assist in the prevention of
human infection and disease. Mathematical modelling has shown that control
options targeting the various transmission pathways of schistosomiasis japonica
and incorporating bovine vaccination, mass human chemotherapy and mollusciciding
could lead to its elimination from P.R. China. Here we present the study design
and baseline results of a four-year cluster randomised intervention trial we are
undertaking around the schistosomiasis-endemic Dongting Lake in Hunan Province
aimed at determining the impact on schistosome transmission of the multi
component integrated control strategy, including bovine vaccination using a
heterologous "prime-boost" delivery platform based on the previously tested
SjCTPI vaccine.
PMID- 24929134
TI - Destabilization domain approach adapted for regulated protein expression in the
protozoan parasite Entamoeba histolytica.
AB - A plethora of information has been gained by sequencing the genome of the human
parasite Entamoeba histolytica, however a lack of robust genetic tools hampers
experimental elucidation of gene functions. We adapted the destabilization domain
approach for modulation of protein levels in E. histolytica using the
destabilization domains of FK506 binding protein (ddFKBP) and dihydrofolate
reductase (ddDHFR), respectively. In our studies, the ddFKBP appears to be more
tightly regulated than ddDHFR, with minimal detectable protein in trophozoites in
the absence of the stabilizing compound. The on- and off-rate kinetics for ddFKBP
were rapid, with stabilization and degradation within 3h of addition or removal
of stabilizing compound, respectively. The kinetics for ddDHFR was different,
with rapid stabilization (within 3h of stabilizing compound being added) but much
slower degradation (protein not destabilized until 24h after compound removal).
Furthermore, we demonstrated that for the ddFKBP, the standard stabilizing
compound Shield-1 could be effectively replaced by two cheaper alternatives
(rapamycin and FK506), indicating that the more cost-effective alternatives are
viable options for use with E. histolytica. Thus, the destabilization domain
approach represents a powerful method to study protein functions in E.
histolytica and adds to the catalog of genetic tools that could be used to study
this important human pathogen.
PMID- 24929135
TI - Fish pathogens near the Arctic Circle: molecular, morphological and ecological
evidence for unexpected diversity of Diplostomum (Digenea: Diplostomidae) in
Iceland.
AB - Host-parasite systems at high latitudes are promising model systems for detecting
and predicting the impact of accelerated environmental change. A major challenge
is the lack of baselines for the diversity and distribution of parasites in
Arctic wildlife, especially in the freshwater environment. Here we present the
first known estimates of the species diversity and host associations of
Diplostomum spp. in sub-Arctic freshwater ecosystems of the Palaearctic. Our
analyses integrating different analytical approaches, phylogenies based on
mitochondrial and nuclear DNA, estimates of genetic divergence, character-based
barcoding, morphological examination, precise detection of microhabitat
specialisation and host use, led to the discovery of one described and five
putative new species that complete their life-cycles within a fairly narrow
geographic area in Iceland. This increases the species richness of Diplostomum in
Iceland by 200% and raises the number of molecularly characterised species from
the Palaearctic to 17 species. Our results suggest that the diversity of
Diplostomum spp. is underestimated globally in the high latitude ecosystems and
call for a cautionary approach to pathogen identification in developing the much
needed baselines of pathogen diversity that may help detect effects of climate
change in the freshwater environment of the sub-Arctic.
PMID- 24929136
TI - Manipulation of host-resource dynamics impacts transmission of trophic parasites.
AB - Many complex life cycle parasites rely on predator-prey interactions for
transmission, whereby definitive hosts become infected via the consumption of an
infected intermediate host. As such, these trophic parasites are embedded in the
larger community food web. We postulated that exposure to infection and, hence,
parasite transmission are inherently linked to host foraging ecology, and that
perturbation of the host-resource dynamic will impact parasite transmission
dynamics. We employed a field manipulation experiment in which natural
populations of the eastern chipmunk (Tamias striatus) were provisioned with a
readily available food resource in clumped or uniform spatial distributions.
Using replicated longitudinal capture-mark-recapture techniques, replicated
supplemented and unsupplemented control sites were monitored before and after
treatment for changes in infection levels with three gastro-intestinal helminth
parasites. We predicted that definitive hosts subject to food supplementation
would experience lower rates of exposure to infective intermediate hosts,
presumably because they shifted their diet away from the intermediate host
towards the more readily available resource (sunflower seeds). As predicted,
prevalence of infection by the trophically transmitted parasite decreased in
response to supplemental food treatment, but no such change in infection
prevalence was detected for the two directly transmitted parasites in the system.
The fact that food supplementation only had an impact on the transmission of the
trophically transmitted parasite, and not the directly transmitted parasites,
supports our hypothesis that host foraging ecology directly affects exposure to
parasites that rely on the ingestion of intermediate hosts for transmission. We
concluded that the relative availability of different food resources has
important consequences for the transmission of parasites and, more specifically,
parasites that are embedded in the food web. The broader implications of these
findings for food web dynamics and disease ecology are discussed.
PMID- 24929137
TI - Identification of three doublesex genes in the monogonont rotifer Brachionus
koreanus and their transcriptional responses to environmental stressor-triggered
population growth retardation.
AB - Doublesex and Mab-3-related transcription factor (Dmrt) gene family members have
rarely been identified or characterized in aquatic invertebrates. In this study,
we identified and characterized three DMdomain-containing genes - Dmrt11E,
Dmrt93B, and Dmrt99B - in the monogonont rotifer, Brachionus koreanus. DMdomains
of the proteins encoded by the B.koreanus Dmrt (Bk-Dmrt) genes had high
similarities to DM domains of other invertebrate species. To understand the
potential effects of environmental stressors on the transcriptional expression of
Dmrt genes in rotifers, we exposed B.koreanus to a wide range of UV-B radiation
and different concentrations of benzo[a]pyrene (B[a]P) over different time
courses. Transcript levels of all Bk-Dmrt genes decreased significantly in
response to relatively high doses of UV-B irradiation, and were also
downregulated in response to exposure to UV-B radiation over time. Transcript
levels of all Bk-Dmrt genes were downregulated in response to B[a]P exposure for
24h. This decrease in expression of all Bk-Dmrt genes was concomitant with the
growth retardation induced by UV-B and B[a]P exposure. We concluded that both
environmental stressors have detrimental effects on transcriptional regulation of
all Bk-Dmrt genes, especially relatively high doses of these stressors, leading
to growth retardation. However, further studies are required to better understand
the potential role of Dmrt genes in environmental stressor-triggered growth
retardation in the rotifer B.koreanus.
PMID- 24929138
TI - Cylindrospermopsin decreases the oxidative burst capacity of human neutrophils.
AB - Cylindrospermopsin (CYN), a cyanobacterial toxin, presents a risk to human health
due to its relatively high chemical stability, a global spread of its producers
and its occurrence in drinking-water reservoirs. Despite a broad interest in its
toxicity to eukaryotes, little is known about the potential effects of CYN on the
human immune system. Here, we have investigated whether CYN (0.01-1.0 MUg mL(-1))
can affect the function of human peripheral blood neutrophils during a 1-h
exposure. Using whole-blood assays, we have studied an oxidative burst,
phagocytic activity, cell number and viability. At all studied concentrations,
CYN had the ability to significantly decrease the level of reactive oxygen
species (ROS) production in stimulated neutrophils. The greatest ROS decline was
observed for the highest assayed concentration, maximally exceeding 65% (a mean
decrease of 22.8%). A depressed level of ROS was also found in unstimulated cells
at all studied concentrations of the toxin. At the same time, CYN did not
significantly affect the percentage of phagocytic cells or the number of engulfed
bacteria. Neither was any induction of apoptosis or significant necrosis found.
From the results we postulate that CYN at environmentally-relevant concentrations
can reduce the ability of human neutrophils to fight infections through a
decrease in NADPH oxidase-mediated production of ROS.
PMID- 24929139
TI - Venom toxicity and composition in three Pseudomyrmex ant species having different
nesting modes.
AB - We aimed to determine whether the nesting habits of ants have influenced their
venom toxicity and composition. We focused on the genus Pseudomyrmex
(Pseudomyrmecinae) comprising terrestrial and arboreal species, and, among the
latter, plant-ants that are obligate inhabitants of myrmecophytes (i.e., plants
sheltering ants in hollow structures). Contrary to our hypothesis, the venom of
the ground-dwelling species, Pseudomyrmex termitarius, was as efficacious in
paralyzing prey as the venoms of the arboreal and the plant-ant species,
Pseudomyrmex penetrator and Pseudomyrmex gracilis. The lethal potency of P.
termitarius venom was equipotent with that of P. gracilis whereas the venom of P.
penetrator was less potent. The MALDI-TOF MS analysis of each HPLC fraction of
the venoms showed that P. termitarius venom is composed of 87 linear peptides,
while both P. gracilis and P. penetrator venoms (23 and 26 peptides,
respectively) possess peptides with disulfide bonds. Furthermore, P. penetrator
venom contains three hetero- and homodimeric peptides consisting of two short
peptidic chains linked together by two interchain disulfide bonds. The large
number of peptides in P. termitarius venom is likely related to the large
diversity of potential prey plus the antibacterial peptides required for nesting
in the ground. Whereas predation involves only the prey and predator, P.
penetrator venom has evolved in an environment where trees, defoliating insects,
browsing mammals and ants live in equilibrium, likely explaining the diversity of
the peptide structures.
PMID- 24929140
TI - Risk of chronic and end stage kidney disease in patients with nephrolithiasis.
AB - PURPOSE: We examine kidney stone disease as a potential risk factor for chronic
kidney disease, end stage kidney disease and treatment with dialysis. MATERIALS
AND METHODS: The NHANES (National Health and Nutrition Examination Survey) 2007
2010 database was interrogated for patients with a history of kidney stones.
Demographics and comorbid conditions including age, gender, body mass index,
diabetes, hemoglobin A1c, hypertension, gout and smoking were also assessed.
Multivariate analysis adjusting for patient demographics and comorbidities was
performed to assess differences in the prevalence of chronic kidney disease and
treatment with dialysis between the 2 groups. History of nephrolithiasis was
assessed with the question, "Have you ever had kidney stones?" Chronic kidney
disease was defined as an estimated glomerular filtration rate of less than 60
ml/minute/1.73 m(2) and/or a urinary albumin-to-creatinine ratio greater than 30
mg/gm. Statistical calculations were performed using Stata(r) software with
determinations of p values and 95% CI where appropriate. RESULTS: The study
included an analysis of 5,971 NHANES participants for whom data on chronic kidney
disease and kidney stones were available, of whom 521 reported a history of
kidney stones. On multivariate analysis a history of kidney stones was associated
with chronic kidney disease and treatment with dialysis (OR 1.50, 1.10-2.04, p =
0.013 and OR 2.37, 1.13-4.96, p = 0.025, respectively). This difference appeared
to be driven by women, where a history of kidney stones was associated with a
higher prevalence of chronic kidney disease (OR 1.76, 1.13-2.763, p = 0.016) and
treatment with dialysis (OR 3.26, 1.48-7.16, p = 0.004). There was not a
significant association between kidney stone history and chronic kidney disease
or treatment with dialysis in men. CONCLUSIONS: Kidney stone history is
associated with an increased risk of chronic kidney disease and treatment with
dialysis among women even after adjusting for comorbid conditions. Large scale
prospective studies are needed to further characterize the relationship between
nephrolithiasis and chronic kidney disease.
PMID- 24929141
TI - Calcium signalling as a hub for translational medicine a starting point to model
life.
PMID- 24929142
TI - Genetic polymorphisms in the Toll-like receptor signalling pathway in
Helicobacter pylori infection and related gastric cancer.
AB - BACKGROUND: Gastric cancer (GC) is a progressive process initiated by
Helicobacter pylori-induced inflammation. Initial recognition of H. pylori
involves Toll-like receptors (TLRs), central molecules in the host inflammatory
response. Here, we investigated the association between novel polymorphisms in
genes involved in the TLR signalling pathway, including TLR2, TLR4, LBP, MD-2,
CD14 and TIRAP, and risk of H. pylori infection and related GC. METHODS: A case
control study comprising 310 ethnic Chinese individuals (87 non-cardia GC cases
and 223 controls with functional dyspepsia) was conducted. Twenty-five
polymorphisms were detected by MALDI-TOF mass spectrometry, PCR, PCR-RFLP and
real-time PCR. RESULTS: Seven polymorphisms showed significant associations with
GC (TLR4 rs11536889, TLR4 rs10759931, TLR4 rs1927911, TLR4 rs10116253, TLR4
rs10759932, TLR4 rs2149356 and CD14 -260 C/T). In multivariate analyses, TLR4
rs11536889 remained a risk factor for GC (OR: 3.58, 95% CI: 1.20-10.65). TLR4
rs10759932 decreased the risk of H. pylori infection (OR: 0.59, 95% CI: 0.41
0.86). Statistical analyses assessing the joint effect of H. pylori infection and
the selected polymorphisms revealed strong associations with GC (TLR2, TLR4, MD
2, LBP and TIRAP polymorphisms). CONCLUSIONS: Novel polymorphisms in TLR2, TLR4,
MD-2, LBP, CD14 and TIRAP, genes encoding important molecules of the TLR
signalling pathway, showed clear associations with H. pylori-related GC in
Chinese.
PMID- 24929143
TI - Killer-cell immunoglobulin-like receptors and falciparum malaria in southwest
Nigeria.
AB - Killer-cell immunoglobulin-like receptors (KIRs) are a group of natural killer
cell receptors (NKRs) that regulate NK-cell-mediated production of interferon
gamma (IFN-gamma) in response to infection. These receptors have recently been
suggested to influence the severity of clinical Plasmodium falciparum malaria
infection. We examined the KIR locus in relation to malaria in children from
southwest Nigeria. Sequence specific priming (SSP)-PCR was used to detect the KIR
genes. The presence or absence of fifteen different KIR genes was determined in
each individual and the proportions compared across 3 clinical groups;
asymptomatic malaria, uncomplicated clinical malaria and severe clinical malaria.
The genes KIR2DL5, KIR2DS3 and KIR2DS5 were present in a significantly higher
proportion of individuals in the asymptomatic control group than in the malaria
cases. Furthermore, KIR2DS3 and KIR2DS5 were present in a higher proportion of
uncomplicated malaria cases than severe malaria cases. Carriage c-AB2 genotype
(which comprises all centromeric KIR genes including KIR2DL5, KIR2DS3 and
KIR2DS5) decreases with severity of the disease suggesting that the KIR AB
profile might be associated with protection from severe malaria infection in this
population in Nigeria.
PMID- 24929144
TI - KIR3DL1-HLA-Bw4 combination and IL28B polymorphism predict response to Peg-IFN
and ribavirin with and without telaprevir in chronic hepatitis C.
AB - Natural killer cells play a key role in the immune control of viral infections.
Killer immunoglobulin-like receptors (KIRs) regulate natural killer cell
activation and inhibition through the recognition of their cognate HLA class I
ligands. We assessed the predictive factors of a sustained virological response
(SVR) in 200 Japanese patients with chronic genotype 1b hepatitis C who were
treated with telaprevir (TVR), pegylated-interferon-alpha2b (PEG-IFN), and
ribavirin (RBV) triple therapy (92 patients) or PEG-IFN/RBV therapy alone (108
patients). Sixteen KIR genotypes, HLA-A, -B and -C ligands, and an interleukin
(IL) 28B polymorphism (rs8099917) were analyzed. We observed that triple therapy,
white blood cell count, hemoglobin value, hepatitis C viral load, a rapid
virological response (RVR), IL28B TT genotype, and KIR3DL1-HLA-Bw4 genotype were
associated with an SVR. In multivariate regression analysis, we identified an RVR
(P < 0.000001; odds ratio [OR] = 20.95), the IL28B TT genotype (P = 0.00014; OR =
5.53), and KIR3DL1-HLA-Bw4 (P = 0.004, OR = 3.42) as significant independent
predictive factors of an SVR. In conclusion, IL28B and KIR3DL1/HLA-Bw4 are
independent predictors of an SVR in Japanese patients infected with genotype 1b
HCV receiving TVR/PEG-IFN/RBV or PEG-IFN/RBV therapy.
PMID- 24929145
TI - HLA-G gene expression influenced at allelic level in association with end stage
renal disease and acute allograft rejection.
AB - BACKGROUND: Human leukocyte antigen (HLA)-G is a non-classical major
histocompatibility complex class-I molecule associated with immunosuppressive
function. We have evaluated the impact of HLA-G allele associated with
untranslated-region (UTR)-haplotype in end stage renal disease (ESRD) and acute
allograft rejection (AR) cases. The mRNA levels of different HLA-G isoforms were
evaluated in ESRD and AR cases. Subsequently, the total HLA-G mRNA levels and
protein concentration were evaluated against its UTR-haplotype among ESRD and AR
cases. METHODOLOGY: Sequence based typing of the promoter region was carried-out
to evaluate the impact of HLA-G haplotype in 350 ESRD cases and 300 controls. HLA
G gene expression was evaluated at the transcriptional level using semi
quantitative and quantitative PCR, whereas protein concentration was determined
by ELISA among both cases and control. RESULTS: Increased risk was observed for
G*01:01:01:03, G*01:01:02, G*01:06 and G*01:05:N haplotypes while G*01:01:01:01
and G*01:04:01 haplotypes showed a protective effect in ESRD and AR cases. Higher
level of soluble HLA-G isoforms (G5 and G6) was observed among ESRD cases.
Reduced levels of soluble isoform (G5) and increased levels of membrane bound (G1
and G3) isoforms were found among AR cases, revealing risk association. Decreased
HLA-G expression was observed at both mRNA and protein level for G*01:01:01:03
and G*01:05:N haplotypes in ESRD and AR cases. CONCLUSIONS: These results suggest
that the variation in the expression profile of membrane bound and soluble
isoforms may modulate the risk for ESRD and AR. UTR-haplotypes appear to be
involved in different HLA-G expression patterns at transcriptional and
translational levels.
PMID- 24929146
TI - Potentiated anti-microsporidial activity of Lactobacillus acidophilus CH1
bacteriocin using gold nanoparticles.
AB - Through increased awareness and improved diagnostics, microsporidiosis has now
been identified in a broader range of human populations; however current
therapies are inconsistently effective. Recently, probiotics were determined as
means for the control of intestinal parasitic infections through their secretory
products; bacteriocins. This is the first study on the effect of bacteriocin
produced by Lactobacillus acidophilus CH1 bacteriocin, with or without gold
nanoparticles (Au-NPs), against intestinal microsporidiosis in immunosuppressed
mice. Fecal and intestinal spore loads, besides viability, extrusion and
infectivity of spores from treated animals were assessed. Results showed that the
anti-microsporidial effects of bacteriocin were significantly potent. This
efficiency was further potentiated upon conjugating bacteriocins with Au-NPs, as
it induced a strikingly sustained reduction in fecal spore shedding after
cessation of therapy by 1 week (94.26%). Furthermore, reduction in intestinal
spore load was highest in bacteriocin/Au-NPs-inoculated mice (89.7%) followed by
bacteriocin-inoculated group (73.5%). Spores encountered from stool of
bacteriocin/Au-NPs group showed 92.4% viability, versus 93.7% in bacteriocin
group. Spore extrusion and infectivity were most inhibited by exposure to
bacteriocin/Au-NPs. Safety of bacteriocin/Au-NPs was also verified. Thus,
considering the results of the present work, L. acidophilus CH1-derived
bacteriocin can present a powerful safe therapy against intestinal
microsporidiosis.
PMID- 24929147
TI - Muller cell activation and photoreceptor depletion in a mice model of congenital
ocular toxoplasmosis.
AB - Muller glial cells are critically involved in retinal inflammatory processes.
Here, we investigate the activation of Muller cells in a model of congenital
ocular toxoplasmosis (OT). Four weeks after infection, retinal sections were
studied immunohistochemically using the markers glial fibrillary acidic protein
(GFAP) and vimentin. Muller cells showed strong up-regulation of both markers, as
well as a deteriorated morphology in all infected retinas. Moreover, cell density
and color intensity of the outer nuclear layer (ONL) of photoreceptors were
decreased. Our results indicate that the severe retinal damage and loss of vision
observed in human OT may be not only directly caused by infection but rather
mediated by infection induced reactive gliosis.
PMID- 24929148
TI - Development of a combined in vitro cell culture--quantitative PCR assay for
evaluating the disinfection performance of pulsed light for treating the
waterborne enteroparasite Giardia lamblia.
AB - Giardia lamblia is a flagellated protozoan parasite that is recognised as a
frequent cause of water-borne disease in humans and animals. We report for the
first time on the use of a combined in vitro HCT-8 cell culture-quantitative PCR
assay for evaluating the efficacy of using pulsed UV light for treating G.
lamblia parasites. Findings showed that current methods that are limited to using
vital stains before and after cyst excystation are not appropriate for monitoring
or evaluating cyst destruction post PUV-treatments. Use of the human ileocecal
HCT-8 cell line was superior to that of the human colon Caco-2 cell line for in
vitro culture and determining PUV sensitivity of treated cysts. G. lamblia cysts
were also shown to be more resistant to PUV irradiation compared to treating
similar numbers of Cryptosporidium parvum oocysts. These observations also show
that the use of this HCT-8 cell culture assay may replace use of animal models
for determining disinfection performances of PUV for treating both C. parvum and
G. lamblia.
PMID- 24929149
TI - Immunolocalization and developmental expression patterns of two cathepsin B
proteases (AC-cathB-1, -2) of Angiostrongylus cantonensis.
AB - In this study we have investigated the anatomic sites of expression and
developmental expression patterns of two cathepsin B-like cysteine proteases (AC
cathB-1, -2) of Angiostrongylus cantonensis. The immunolocalization results
revealed that native AC-cathBs were found present in the L1 and L3 larvae, female
and male adults, and the AC-cathBs were localized mainly on the digestive tract
of A. cantonensis and expressed at varied levels and in different patterns in the
internal tissues according to their developmental stage. Consistent with the
infective stage of L3 is a much more intense staining of AC-cathBs in the
esophagus compared with the intestine. In contrast to L3, more abundant signals
were located to the intestine of adults, suggesting that nutrition digestion
likely to be the main function of the protease at this point. AC-cathBs
fluorescent signals were present in excretory pore, excretory tube in lateral
cords, and muscular esophagus of larvae, further supported the AC-cathB-1, -2
likely to be released by A. cantonensis as excretory/secretory products.
Additionally, only the protein AC-cathB-2 was detected in the reproductive
system, especially in the wall of vas deferens, uterus, and oviduct of the
parasites, whether the AC-cathB-2 has some function in germ cells development and
maturation need to be further characterized. Although the anatomic sites and
expression patterns were different in larvae and adults and the corresponding
function might not the same, AC-cathB-1 and -2 involved in the host-parasite
interaction in addition to digestive function.
PMID- 24929150
TI - Preventive and therapeutic effects of auxotrophic Edwardsiella tarda mutant
harboring CpG 1668 motif-enriched plasmids against scuticociliatosis in olive
flounder (Paralichthys olivaceus).
AB - Previously generated two auxotrophic genes knockout Edwardsiella tarda (Deltaalr
Deltaasd E. tarda) was used as a delivery vehicle for CpG 1668 motif-enriched
plasmids (pL-CpG30), and potential of the Deltaalr Deltaasd E. tarda-mediated
delivery of CpG motifs in both prevention and therapeutic treatment of
scuticociliatosis caused by Miamiensis avidus in olive flounder (Paralichthys
olivaceus) was investigated. The auxotrophic E. tarda mutant with pL-CpG30
plasmids elicited evidently higher survival rates and delayed both onset of
mortality and time-to-death of olive flounder against M. avidus challenge.
Furthermore, administration of E. tarda mutant that contains plasmids enriched in
CpG 1668 motif elicited clearly higher survival rates of fish that were already
infected with M. avidus. These results indicate that CpG 1668 plasmids-harboring
E. tarda mutant may provide not only preventive measure but also therapeutic
approach against scuticociliatosis in olive flounder.
PMID- 24929151
TI - Bringing radiation therapy to underserved nations: an increasingly global
responsibility in an ever-shrinking world.
PMID- 24929152
TI - Bringing cancer care to the underserved globally: a challenging problem for which
radiation oncology can pioneer novel solutions.
PMID- 24929153
TI - Bringing global access to radiation therapy: time for a change in approach.
PMID- 24929154
TI - Radiation oncology in Africa: improving access to cancer care on the African
continent.
PMID- 24929155
TI - Implementation of a high-dose-rate brachytherapy program for carcinoma of the
cervix in Senegal: a pragmatic model for the developing world.
AB - West Africa has one of the highest incidence rates of carcinoma of the cervix in
the world. The vast majority of women do not have access to screening or disease
treatment, leading to presentation at advanced stages and to high mortality
rates. Compounding this problem is the lack of radiation treatment facilities in
Senegal and many other parts of the African continent. Senegal, a country of 13
million people, had a single (60)Co teletherapy unit before our involvement and
no brachytherapy capabilities. Radiating Hope, a nonprofit organization whose
mission is to provide radiation therapy equipment to countries in the developing
world, provided a high-dose-rate afterloading unit to the cancer center for
curative cervical cancer treatment. Here we describe the implementation of high
dose-rate brachytherapy in Senegal requiring a nonstandard fractionation schedule
and a novel treatment planning approach as a possible blueprint to providing this
technology to other developing countries.
PMID- 24929157
TI - Cobalt, linac, or other: what is the best solution for radiation therapy in
developing countries?
AB - The international growth of cancer and lack of available treatment is en route to
become a global crisis. With >60% of cancer patients needing radiation therapy at
some point during their treatment course, the lack of available facilities and
treatment programs worldwide is extremely problematic. The number of deaths from
treatable cancers is projected to increase to 11.5 million deaths in 2030 because
the international population is aging and growing. In this review, we present how
best to answer the need for radiation therapy facilities from a technical
standpoint. Specifically, we examine whether cobalt teletherapy machines or
megavoltage linear accelerator machines are best equipped to handle the
multitudes in need of radiation therapy treatment in the developing world.
PMID- 24929156
TI - Addressing the growing cancer burden in the wake of the AIDS epidemic in
Botswana: The BOTSOGO collaborative partnership.
AB - Botswana has experienced a dramatic increase in HIV-related malignancies over the
past decade. The BOTSOGO collaboration sought to establish a sustainable
partnership with the Botswana oncology community to improve cancer care. This
collaboration is anchored by regular tumor boards and on-site visits that have
resulted in the introduction of new approaches to treatment and perceived
improvements in care, providing a model for partnership between academic oncology
centers and high-burden countries with limited resources.
PMID- 24929158
TI - International outreach: what is the responsibility of ASTRO and the major
international radiation oncology societies?
AB - In this era of globalization and rapid advances in radiation oncology worldwide,
the American Society for Radiation Oncology (ASTRO) is committed to help decrease
profound regional disparities through the work of the International Education
Subcommittee (IES). The IES has expanded its base, reach, and activities to
foster educational advances through a variety of educational methods with broad
scope, in addition to committing to the advancement of radiation oncology care
for cancer patients around the world, through close collaboration with our sister
radiation oncology societies and other educational, governmental, and
organizational groups.
PMID- 24929159
TI - Why target the globe?: 4-year report (2009-2013) of the Association of Residents
in Radiation Oncology Global Health Initiative.
PMID- 24929160
TI - The medical student perspective on global health care in radiation oncology:
opportunities, barriers to sustainability, and future directions.
PMID- 24929161
TI - Intraoperative accelerated partial breast irradiation: caution still warranted.
PMID- 24929162
TI - Predictors of rectal tolerance observed in a dose-escalated phase 1-2 trial of
stereotactic body radiation therapy for prostate cancer.
AB - PURPOSE: To convey the occurrence of isolated cases of severe rectal toxicity at
the highest dose level tested in 5-fraction stereotactic body radiation therapy
(SBRT) for localized prostate cancer; and to rationally test potential causal
mechanisms to guide future studies and experiments to aid in mitigating or
altogether avoiding such severe bowel injury. METHODS AND MATERIALS: Clinical and
treatment planning data were analyzed from 91 patients enrolled from 2006 to 2011
on a dose-escalation (45, 47.5, and 50 Gy in 5 fractions) phase 1/2 clinical
study of SBRT for localized prostate cancer. RESULTS: At the highest dose level,
6.6% of patients treated (6 of 91) developed high-grade rectal toxicity, 5 of
whom required colostomy. Grade 3+ delayed rectal toxicity was strongly correlated
with volume of rectal wall receiving 50 Gy >3 cm(3) (P<.0001), and treatment of
>35% circumference of rectal wall to 39 Gy (P=.003). Grade 2+ acute rectal
toxicity was significantly correlated with treatment of >50% circumference of
rectal wall to 24 Gy (P=.010). CONCLUSION: Caution is advised when considering
high-dose SBRT for treatment of tumors near bowel structures, including prostate
cancer. Threshold dose constraints developed from physiologic principles are
defined, and if respected can minimize risk of severe rectal toxicity.
PMID- 24929163
TI - Impact of pretreatment tumor growth rate on outcome of early-stage lung cancer
treated with stereotactic body radiation therapy.
AB - PURPOSE: To determine the influence of pretreatment tumor growth rate on outcomes
in patients with early-stage non-small cell lung cancer (NSCLC) treated with
stereotactic body radiation therapy (SBRT). METHODS AND MATERIALS: A review was
conducted on 160 patients with T1-T2N0M0 NSCLC treated with SBRT at single
institution. The patient's demographic and clinical data, time interval (t)
between diagnostic and planning computed tomography (CT), vital status, disease
status, and cause of death were extracted from a prospectively kept database.
Differences in gross tumor volume between diagnostic CT (GTV1) and planning CT
(GTV2) were recorded, and growth rate was calculated by use of specific growth
rate (SGR). Kaplan-Meier curves were constructed for overall survival (OS).
Differences between groups were compared with a log-rank test. Multivariate
analyses were performed by use of the Cox proportional hazard model with SGR and
other relevant clinical factors. Cumulative incidence was calculated for local,
regional, and distant failures by use of the competing risk approach and was
compared with Gray's test. RESULTS: The median time interval between diagnostic
and planning CT was 82 days. The patients were divided into 2 groups, and the
median SGR was used as a cut-off. The median survival times were 38.6 and 27.7
months for the low and high SGR groups, respectively (P=.03). Eastern Cooperative
Oncology Group performance status (P=.01), sex (P=.04), SGR (P=.03), and GTV2
(P=.002) were predictive for OS in multivariable Cox regression analysis and,
except sex, were similarly predictive for failure-free survival (FFS). The 3-year
cumulative incidences of regional failure were 19.2% and 6.0% for the high and
low SGR groups, respectively (P=.047). CONCLUSION: High SGR was correlated with
both poorer OS and FFS in patients with early-stage NSCLC treated with SBRT. If
validated, this measurement may be useful in identifying patients most likely to
benefit from adjuvant therapy after SBRT.
PMID- 24929164
TI - Neoadjuvant chemoradiation therapy using concurrent S-1 and irinotecan in rectal
cancer: impact on long-term clinical outcomes and prognostic factors.
AB - PURPOSE: To assess the long-term outcomes of patients with rectal cancer who
received neoadjuvant chemoradiation therapy (NCRT) with concurrent S-1 and
irinotecan (S-1/irinotecan) therapy. METHODS AND MATERIALS: The study group
consisted of 115 patients with clinical stage T3 or T4 rectal cancer. Patients
received pelvic radiation therapy (45 Gy) plus concurrent oral S-1/irinotecan.
The median follow-up was 60 months. RESULTS: Grade 3 adverse effects occurred in
7 patients (6%), and the completion rate of NCRT was 87%. All 115 patients (100%)
were able to undergo R0 surgical resection. Twenty-eight patients (24%) had a
pathological complete response (ypCR). At 60 months, the local recurrence-free
survival was 93%, disease-free survival (DFS) was 79%, and overall survival (OS)
was 80%. On multivariate analysis with a proportional hazards model, ypN2 was the
only independent prognostic factor for DFS (P=.0019) and OS (P=.0064) in the
study group as a whole. Multivariate analysis was additionally performed for the
subgroup of 106 patients with ypN0/1 disease, who had a DFS rate of 85.3%. Both
ypT (P=.0065) and tumor location (P=.003) were independent predictors of DFS. A
combination of these factors was very strongly related to high risk of recurrence
(P<.0001), which occurred most commonly in the lung. CONCLUSIONS: NCRT with
concurrent S-1/irinotecan produced high response rates and excellent long-term
survival, with acceptable adverse effects in patients with rectal cancer. ypN2 is
a strong predictor of dismal outcomes, and a combination of ypT and tumor
location can identify high-risk patients among those with ypN0/1 disease.
PMID- 24929165
TI - Identification of patients at very low risk of local recurrence after breast
conserving surgery.
AB - PURPOSE: To identify clinical and pathological factors that identify groups of
women with stage I breast cancer with a 5-year risk of local recurrence (LR)
<=1.5% after breast-conserving therapy (BCS) plus whole-breast radiation therapy
(RT). METHODS AND MATERIALS: Study subjects were 5974 patients >=50 years of age
whose cancer was diagnosed between 1989 and 2006, and were referred with pT1 pN0
invasive breast cancer treated with BCS and RT. Cases of 5- and 10-year LR were
examined using Kaplan-Meier methods. Recursive partitioning analysis was
performed in patients treated with and without endocrine therapy to identify
combinations of factors associated with a 5-year LR risk <=1.5%. RESULTS: The
median follow-up was 8.61 years. Median age was 63 years of age (range, 50 to
91). Overall 5-year LR was 1.5% (95% confidence interval [CI], 1.2%-1.9%) and 10
year LR was 3.4% (95% CI, 2.8%-4.0%). Of 2830 patients treated with endocrine
therapy, patient subsets identified with 5-year LR <=1.5% included patients with
grade 1 histology (n=1038; LR, 0.2%; 95% CI, 0%-0.5%) or grade 2 histology plus
>=60 years of age (n=843; LR, 0.5%; 95% CI, 0%-1.0%). Ten-year LR for these
groups were 0.8% (95% CI, 0.1%-1.6%) and 0.9% (95% CI, 0.2%-1.6%), respectively.
Of 3144 patients treated without endocrine therapy, patients with grade 1
histology plus clear margins had 5-year LR <=1.5% (n=821; LR, 0.6%; 95% CI, 0.1%
1.2%). Ten-year LR for this group was 2.2% (95% CI, 1.0%-3.4%). CONCLUSIONS:
Histologic grade, age, margin status, and use of endocrine therapy identified 45%
of a population-based cohort of female patients over age 50 with stage I breast
cancer with a 5-year LR risk <=1.5% after BCS plus RT. Prospective study is
needed to evaluate the safety of omitting RT in patients with such a low risk of
LR.
PMID- 24929166
TI - Thalidomide ameliorates inflammation and vascular injury but aggravates tubular
damage in the irradiated mouse kidney.
AB - PURPOSE: The late side effects of kidney irradiation include vascular damage and
fibrosis, which are promoted by an irradiation-induced inflammatory response. We
therefore treated kidney-irradiated mice with the anti-inflammatory and
angiogenesis-modulating drug thalidomide in an attempt to prevent the development
of late normal tissue damage and radiation nephropathy in the mouse kidney.
METHODS AND MATERIALS: Kidneys of C57Bl/6 mice were irradiated with a single dose
of 14 Gy. Starting from week 16 after irradiation, the mice were fed with
thalidomide-containing chow (100 mg/kg body weight/day). Gene expression and
kidney histology were analyzed at 40 weeks and blood samples at 10, 20, 30, and
40 weeks after irradiation. RESULTS: Thalidomide improved the vascular structure
and vessel perfusion after irradiation, associated with a normalization of
pericyte coverage. The drug also reduced infiltration of inflammatory cells but
could not suppress the development of fibrosis. Irradiation-induced changes in
hematocrit and blood urea nitrogen levels were not rescued by thalidomide.
Moreover, thalidomide worsened tubular damage after irradiation and also
negatively affected basal tubular function. CONCLUSIONS: Thalidomide improved the
inflammatory and vascular side effects of kidney irradiation but could not
reverse tubular toxicity, which probably prevented preservation of kidney
function.
PMID- 24929167
TI - How fast does real-time delivery affirmation need to be?
PMID- 24929169
TI - Comprehensive population-averaged arterial input function for dynamic contrast
enhanced vmagnetic resonance imaging of head and neck cancer.
AB - PURPOSE: To generate a population-averaged arterial input function (PA-AIF) for
quantitative analysis of dynamic contrast-enhanced MRI data in head and neck
cancer patients. METHODS AND MATERIALS: Twenty patients underwent dynamic
contrast-enhanced MRI during concurrent chemoradiation therapy. Imaging consisted
of 2 baseline scans 1 week apart (B1/B2) and 1 scan after 1 week of
chemoradiation therapy (Wk1). Regions of interest (ROIs) in the right and left
carotid arteries were drawn on coronal images. Plasma concentration curves of all
ROIs were averaged and fit to a biexponential decay function to obtain the final
PA-AIF (AvgAll). Right-sided and left-sided ROI plasma concentration curves were
averaged separately to obtain side-specific AIFs (AvgRight/AvgLeft). Regions of
interest were divided by time point to obtain time-point-specific AIFs
(AvgB1/AvgB2/AvgWk1). The vascular transfer constant (Ktrans) and the fractional
extravascular, extracellular space volume (Ve) for primaries and nodes were
calculated using the AvgAll AIF, the appropriate side-specific AIF, and the
appropriate time-point-specific AIF. Median Ktrans and Ve values derived from
AvgAll were compared with those obtained from the side-specific and time-point
specific AIFs. The effect of using individual AIFs was also investigated.
RESULTS: The plasma parameters for AvgAll were a1,2 = 27.11/17.65 kg/L, m1,2 =
11.75/0.21 min(-1). The coefficients of repeatability (CRs) for AvgAll versus
AvgLeft were 0.04 min(-1) for Ktrans and 0.02 for Ve. For AvgAll versus AvgRight,
the CRs were 0.08 min(-1) for Ktrans and 0.02 for Ve. When AvgAll was compared
with AvgB1/AvgB2/AvgWk1, the CRs were slightly higher: 0.32/0.19/0.78 min(-1),
respectively, for Ktrans; and 0.07/0.08/0.09 for Ve. Use of a PA-AIF was not
significantly different from use of individual AIFs. CONCLUSION: A PA-AIF for
head and neck cancer was generated that accounts for differences in right carotid
artery versus left carotid artery, day-to-day fluctuations, and early treatment
induced changes. The small CRs obtained for Ktrans and Ve indicate that side
specific AIFs are not necessary. However, a time-point-specific AIF may improve
pharmacokinetic accuracy.
PMID- 24929168
TI - Comparison of radiation-induced normal lung tissue density changes for patients
from multiple institutions receiving conventional or hypofractionated treatments.
AB - PURPOSE: To quantitatively assess changes in computed tomography (CT)-defined
normal lung tissue density after conventional and hypofractionated radiation
therapy (RT). METHODS AND MATERIALS: The pre-RT and post-RT CT scans from 118 and
111 patients receiving conventional and hypofractionated RT, respectively, at 3
institutions were registered to each other and to the 3-dimensional dose
distribution to quantify dose-dependent changes in normal lung tissue density.
Dose-response curves (DRC) for groups of patients receiving conventional and
hypofractionated RT were generated for each institution, and the frequency of
density changes >80 Hounsfield Units (HU) was modeled depending on the
fractionation type using a Probit model for different follow-up times. RESULTS:
For the pooled data from all institutions, there were significant differences in
the DRC between the conventional and hypofractionated groups; the respective
doses resulting in 50% complication risk (TD50) were 62 Gy (95% confidence
interval [CI] 57-67) versus 36 Gy (CI 33-39) at <6 months, 48 Gy (CI 46-51)
versus 31 Gy (CI 28-33) at 6-12 months, and 47 Gy (CI 45-49) versus 35 Gy (32-37)
at >12 months. The corresponding m values (slope of the DRC) were 0.52 (CI 0.46
0.59) versus 0.31 (CI 0.28-0.34) at <6 months, 0.46 (CI 0.42-0.51) versus 0.30
(CI 0.26-0.34) at 6-12 months, and 0.45 (CI 0.42-0.50) versus 0.31 (CI 0.27-0.35)
at >12 months (P<.05 for all comparisons). CONCLUSION: Compared with conventional
fractionation, hypofractionation has a lower TD50 and m value, both suggesting an
increased degree of normal tissue density sensitivity with hypofractionation.
PMID- 24929170
TI - Standardization of the target volume for boost or partial breast radiation
therapy after lumpectomy of breast cancer.
PMID- 24929171
TI - Dose escalation, not "new biology," can account for the efficacy of stereotactic
body radiation therapy with non-small cell lung cancer. In regard to Brown et al.
PMID- 24929172
TI - Dose escalation, not "new biology," can account for the efficacy of stereotactic
body radiation therapy with non-small cell lung cancer. In reply to Rao et al.
PMID- 24929173
TI - Occult pelvic lymph node involvement in bladder cancer: implications for
definitive radiation. In reply to Leung.
PMID- 24929174
TI - Occult pelvic lymph node involvement in bladder cancer: implications for
definitive radiation. In regard to Goldsmith and Christadouleas.
PMID- 24929175
TI - Pretreatment PET scan as a predictor of distant recurrence in non-small cell lung
cancer. In regard to Nair et al.
PMID- 24929180
TI - Scavenging of reactive oxygen species by tryptophan metabolites helps Pseudomonas
aeruginosa escape neutrophil killing.
AB - Pseudomonas aeruginosa is responsible for persistent infections in cystic
fibrosis patients, suggesting an ability to circumvent innate immune defenses.
This bacterium uses the kynurenine pathway to catabolize tryptophan.
Interestingly, many host cells also produce kynurenine, which is known to control
immune system homeostasis. We showed that most strains of P. aeruginosa isolated
from cystic fibrosis patients produce a high level of kynurenine. Moreover, a
strong transcriptional activation of kynA (the first gene involved in the
kynurenine pathway) was observed upon contact with immune cells and particularly
with neutrophils. In addition, using coculture of human neutrophils with various
strains of P. aeruginosa producing no (DeltakynA) or a high level of kynurenine
(DeltakynU or DeltakynA pkynA), we demonstrated that kynurenine promotes
bacterial survival. In addition, increasing the amount kynurenine inhibits
reactive oxygen species production by activated neutrophils, as evaluated by
chemiluminescence with luminol or isoluminol or SOD-sensitive cytochrome c
reduction assay. This inhibition is due neither to a phagocytosis defect nor to
direct NADPH oxidase inhibition. Indeed, kynurenine has no effect on oxygen
consumption by neutrophils activated by PMA or opsonized zymosan. Using in vitro
reactive oxygen species-producing systems, we showed that kynurenine scavenges
hydrogen peroxide and, to a lesser extent, superoxide. Kynurenine's scavenging
effect occurs mainly intracellularly after bacterial stimulation, probably in the
phagosome. In conclusion, the kynurenine pathway allows P. aeruginosa to
circumvent the innate immune response by scavenging neutrophil reactive oxygen
species production.
PMID- 24929182
TI - Men are different than women: it's true for cardiac troponin too.
PMID- 24929181
TI - A domain analysis model for eIRB systems: addressing the weak link in clinical
research informatics.
AB - Institutional Review Boards (IRBs) are a critical component of clinical research
and can become a significant bottleneck due to the dramatic increase, in both
volume and complexity of clinical research. Despite the interest in developing
clinical research informatics (CRI) systems and supporting data standards to
increase clinical research efficiency and interoperability, informatics research
in the IRB domain has not attracted much attention in the scientific community.
The lack of standardized and structured application forms across different IRBs
causes inefficient and inconsistent proposal reviews and cumbersome workflows.
These issues are even more prominent in multi-institutional clinical research
that is rapidly becoming the norm. This paper proposes and evaluates a domain
analysis model for electronic IRB (eIRB) systems, paving the way for streamlined
clinical research workflow via integration with other CRI systems and improved
IRB application throughput via computer-assisted decision support.
PMID- 24929183
TI - Mistrust of numbers: the difficult development of psychiatric epidemiology in
France, 1940-80.
AB - This article uses archival as well as published materials to trace the
development of psychiatric epidemiology in France from 1945 to 1980. Although a
research programme in this field was launched in the early 1960s at the National
Institute of Medical Research (INH, later renamed INSERM), psychiatric
epidemiology remained an embryonic field in France during the next two decades.
French researchers in this field were hampered by limited resources, but their
work was primarily characterized by a deep engagement with the epistemological
challenges of psychiatric epidemiology. The history of French psychiatric
epidemiology in the 1960s and 1970s can be seen as an attempt to create a
specifically French way of doing psychiatric epidemiology research. In the first
part of this article, the author relates this unique history to internal
professional dynamics during the development of psychiatric research and, more
broadly, to the biomedical institutional context in which epidemiological work
was being done. The next part of this article examines the conditions under which
the INH research team framed epidemiological research in psychiatry in the 1960s.
The last part focuses on INH's flagship psychiatric epidemiology programme,
developed in cooperation with pioneers of French community psychiatry in Paris's
13th arrondissement in the 1960s.
PMID- 24929184
TI - Consumption of both low and high (-)-epicatechin apple puree attenuates platelet
reactivity and increases plasma concentrations of nitric oxide metabolites: a
randomized controlled trial.
AB - We hypothesised that consumption of flavanol-containing apple puree would
modulate platelet activity and increase nitric oxide metabolite status, and that
high flavanol apple puree would exert a greater effect than low flavanol apple
puree. 25 subjects consumed 230 g of apple puree containing 25 and 100mg
epicatechin (low and high flavanol apple puree, respectively) and aspirin (75 mg)
in random order. Measurements were made at baseline, acutely after treatment (2,
6 and 24 h), and after 14 d of treatment. Low flavanol apple puree significantly
attenuated ADP and epinephrine-induced integrin-beta3 expression 2 h and 6 h
after consumption and ADP and epinephrine-induced P-selectin expression within 2h
of consumption. High flavanol apple puree attenuated epinephrine and ADP-induced
integrin-beta3 expression after 2 and 6h. ADP and epinephrine-induced integrin
beta3 expression was significantly attenuated 2, 6 and 24 h after consumption of
aspirin, whilst 14 d aspirin consumption attenuated collagen-induced P-selectin
expression only. The plasma total nitric oxide metabolite conc. was significantly
increased 6h after consumption of both low and high flavanol apple purees. In
conclusion, consumption of apple purees containing ?25 or 100 mg flavanols
transiently attenuated ex vivo integrin-beta3 and P-selectin expression and
increased plasma nitric oxide metabolite conc. in healthy subjects, but the
effect was not enhanced for the high flavanol apple puree.
PMID- 24929185
TI - The immune system, bone and RANKL.
AB - Bone and immune systems are tightly linked. In the past years, many molecules
originally believed to belong to the immune system were found to function in bone
cells. It is now evident that the two systems are coregulated by many shared
cytokines and signaling molecules. Here we exemplify the complex interaction
between bone metabolism and immune response focusing on the multifaceted role of
receptor activator of NF-kappaB ligand (RANKL). RANKL is expressed by cells of
both systems, is an essential regulator of bone degradation and exerts either pro
or anti-inflammatory effects on the immune response. In the present review, we
summarize the multiple functions of RANKL in bone and in the immune systems,
aiming to provide an overview of the field of osteoimmunology.
PMID- 24929186
TI - Quercetin-3-O-glucuronide inhibits noradrenaline-promoted invasion of MDA-MB-231
human breast cancer cells by blocking beta2-adrenergic signaling.
AB - Endogenous catecholamines such as adrenaline (A) and noradrenaline (NA) are
released from the adrenal gland and sympathetic nervous system during exposure to
stress. The adrenergic system plays a central role in stress signaling, and
excessive stress was found to be associated with increased production of reactive
oxygen species (ROS). Overproduction of ROS induces oxidative damage in tissues
and causes the development of diseases such as cancer. In this study, we
investigated the effects of quercetin-3-O-glucuronide (Q3G), a circulating
metabolite of quercetin, which is a type of natural flavonoid, on the
catecholamine-induced beta2-adrenergic receptor (beta2-AR)-mediated response in
MDA-MB-231 human breast cancer cells expressing beta2-AR. Treatment with A or NA
at concentrations above 1MUM generated significant levels of ROS, and NA
treatment induced the gene expression of heme oxygenase-1 (HMOX1), and matrix
metalloproteinase-2 (MMP-2) and -9 (MMP9). Inhibitors of p38 MAP kinase
(SB203580), cAMP-dependent protein kinase (PKA) (H-89), activator protein-1 (AP
1) transcription factor (SR11302), and NF-kappaB and AP-1 (Tanshinone IIA)
decreased MMP2 and MMP9 gene expression. NA also enhanced cAMP induction, RAS
activation and phosphorylation of ERK1/2. These results suggested that the cAMP
PKA, MAPK, and ROS-NF-kappaB pathways are involved in beta2-AR signaling.
Treatment with 0.1MUM Q3G suppressed ROS generation, cAMP and RAS activation,
phosphorylation of ERK1/2 and the expression of HMOX1, MMP2, and MMP9 genes.
Furthermore, Q3G (0.1MUM) suppressed invasion of MDA-MB-231 breast cancer cells
and MMP-9 induction, and inhibited the binding of [(3)H]-NA to beta2-AR. These
results suggest that Q3G may function to suppress invasion of breast cancer cells
by controlling beta2-adrenergic signaling, and may be a dietary chemopreventive
factor for stress-related breast cancer.
PMID- 24929187
TI - ATM-p53 pathway causes G2/M arrest, but represses apoptosis in pseudolaric acid B
treated HeLa cells.
AB - Pseudolaric acid B (PAB) is a diterpene acid, isolated from the root and trunk
bark of Pseudolarix kaempferi Gordon (Pinaceae). Previous studies demonstrated
that PAB induced G2/M arrest and apoptosis in several cancer cell lines, but the
relationship between G2/M arrest and apoptosis is still unclear. We examined the
relevant signaling pathways for human cervical carcinoma HeLa cells treated with
1 MUM PAB. Intriguingly, we found that activation of ATM-p53 signaling pathway by
the treatment with 1 MUM PAB played a protective role for the subsequent
apoptosis. Although the treatment with 1 MUM PAB up-regulated the expression of
cyclin B1 and p-Histone 3 (mitotic markers) at 12 h, the expression decreased at
24 and 36 h along with the up-down expression of mitotic markers. The expressions
of p-ATM and p-p53 that were involved in G2/M arrest increased at 12h after
treatment with PAB. However, a prolonged treatment with PAB (longer than 24 h)
caused cell apoptosis. When the cells were arrested in G1 or S phase by the
treatment with serum starvation, cytosine beta-D-arabinofuranoside (Ara-C) or
hydroxyurea (Hu), the apoptotic ratio induced by PAB decreased.
PMID- 24929188
TI - Shifting redox states of the iron center partitions CDO between crosslink
formation or cysteine oxidation.
AB - Cysteine dioxygenase (CDO) is a mononuclear iron-dependent enzyme that catalyzes
the oxidation of L-cysteine to L-cysteine sulfinic acid. The mammalian CDO
enzymes contain a thioether crosslink between Cys93 and Tyr157, and purified
recombinant CDO exists as a mixture of the crosslinked and non crosslinked
isoforms. The current study presents a method of expressing homogenously non
crosslinked CDO using a cell permeative metal chelator in order to provide a
comprehensive investigation of the non crosslinked and crosslinked isoforms.
Electron paramagnetic resonance analysis of purified non crosslinked CDO revealed
that the iron was in the EPR silent Fe(II) form. Activity of non crosslinked CDO
monitoring dioxygen utilization showed a distinct lag phase, which correlated
with crosslink formation. Generation of homogenously crosslinked CDO resulted in
an ~5-fold higher kcat/Km value compared to the enzyme with a heterogenous
mixture of crosslinked and non crosslinked CDO isoforms. EPR analysis of
homogenously crosslinked CDO revealed that this isoform exists in the Fe(III)
form. These studies present a new perspective on the redox properties of the
active site iron and demonstrate that a redox switch commits CDO towards either
formation of the Cys93-Tyr157 crosslink or oxidation of the cysteine substrate.
PMID- 24929189
TI - Inhibition of human glutathione transferases by dinitronaphthalene derivatives.
AB - Glutathione transferase (GST) enzymes catalyze the conjugation of glutathione
with reactive functional groups of endogenous compounds and xenobiotics,
including halonitroaromatics. 1-Chloro-2,4-dinitrobenzene (CDNB) is one of the
most commonly used substrates for GST activity assays. We have studied the
interactions of dinitronaphthalene analogues of CDNB with recombinant human GST
enzymes (Alpha, Mu, and Pi classes) expressed in Escherichia coli.
Dinitronaphthalene derivatives were found to be GST inhibitors. The highest
potency of inhibition was observed towards Mu-class GSTs, M1-1 and M2-2; IC50
values for 1-methoxy- and 1-ethoxy-2,4-dinitronaphthalene were in the high
nanomolar to low micromolar range. Inhibition accompanies the formation, at the
enzyme active site, of very stable Meisenheimer complex intermediates.
PMID- 24929190
TI - Gastric bypass patients' goal-strategy-monitoring networks for long-term dietary
management.
AB - Following gastric bypass surgery, patients must make dramatic dietary changes,
but little is known about patients' perspectives on long-term dietary management
after this surgery. This grounded theory, qualitative study sought to advance
conceptual understanding of food choice by examining how gastric bypass patients
constructed personal food systems to guide food and eating behaviors 12 months
post-surgery. Two in-depth interviews were conducted with each of 16 adults,
purposively sampled from bariatric support groups. Using constant comparative
analysis of verbatim interview transcripts, researchers identified participants'
goal-strategy-monitoring networks representing how participants used specific
food and eating behaviors towards their main goals of: Weight Management, Overall
Health, Avoiding Negative Reactions to Eating, and Integrating Dietary Changes
with Daily Life. Linked to each main goal was a hierarchy of intermediary goals,
strategies, and tactics. Participants used monitoring behaviors to assess
strategy effectiveness towards goal achievement. Individuals' Weight Management
networks were compared to uncover similarities and differences among strategy use
and monitoring methods among those who maintained weight loss and those who
regained weight. The complex, multilevel goal-strategy-monitoring networks
identified illustrate the "work" involved in constructing new personal food
systems after surgery, as well as advance understanding of strategies as a
component of people's personal food systems. These findings provide researchers
and practitioners with insight into the long-term dietary issues that gastric
bypass patients face and a potential method for representing how people relate
deliberate dietary behaviors to their goals.
PMID- 24929191
TI - Liking the odour, liking the food. Toddlers' liking of strongly flavoured foods
correlates with liking of their odour.
AB - Olfaction plays a significant role in the sensing of foods. However, little
information is available at any age on the relationship between the hedonic
responses to given food odours and the effective liking and disliking of foods
bearing these same odours. The present study aimed to assess the relationships
between food odour liking and liking of the corresponding foods. This study
relied on a longitudinal design involving 235 toddlers who were assessed for both
their observed liking of a set of food odours and their parent-reported liking of
foods at 12 and 22 months. To assess odour liking, eight odorants representing
pleasant and unpleasant foods were presented in bottles along with neutral
stimuli. The participants' behaviour towards the odorized and control bottles was
measured in terms of mouthing, a behaviour considered to reflect attraction. For
each odorant, odour-liking scores were calculated relative to the control. The
participants' food liking was rated by the parents at the periods 12-15 and 21-24
months. Positive correlations were found between the odour-liking scores for some
of the odours and the liking of the associated foods. These correlations
concerned foods with strong, unpleasant flavours at 12 months only, suggesting
that the olfactory system acts as an 'alarm' system during this period of food
transition. At 22 months, no significant correlations were found, except a
marginal one for green vegetables. Whatever the age, no significant correlations
were found for pleasant odours. Thus, some correlations were found between the
observed odour liking for food-related odours and the liking for the
corresponding foods. However, these relationships are subject to developmental
fluctuations depending on the hedonic nature of the odorants and the age when
infants are tested.
PMID- 24929192
TI - Kinetic characteristics of euflammation: the induction of controlled inflammation
without overt sickness behavior.
AB - We found recently that controlled progressive challenge with subthreshold levels
of E. coli can confer progressively stronger resistance to future reinfection
induced sickness behavior to the host. We have termed this type of inflammation
"euflammation". In this study, we further characterized the kinetic changes in
the behavior, immunological, and neuroendocrine aspects of euflammation. Results
show euflammatory animals only display transient and subtle sickness behaviors of
anorexia, adipsia, and anhedonia upon a later infectious challenge which would
have caused much more severe and longer lasting sickness behavior if given
without prior euflammatory challenges. Similarly, infectious challenge-induced
corticosterone secretion was greatly ameliorated in euflammatory animals. At the
site of E.coli priming injections, which we termed euflammation induction locus
(EIL), innate immune cells displayed a partial endotoxin tolerant phenotype with
reduced expression of innate activation markers and muted inflammatory cytokine
expression upon ex vivo LPS stimulation, whereas innate immune cells outside EIL
displayed largely opposite characteristics. Bacterial clearance function,
however, was enhanced both inside and outside EIL. Finally, sickness induction by
an infectious challenge placed outside the EIL was also abrogated. These results
suggest euflammation could be used as an efficient method to "train" the innate
immune system to resist the consequences of future infectious/inflammatory
challenges.
PMID- 24929193
TI - C-reactive protein and depression in persons with Human Immunodeficiency Virus
infection: the Positive Living with HIV (POLH) Study.
AB - BACKGROUND: Human Immunodeficiency Virus (HIV) infection has been frequently
associated with chronic inflammation as well as depression. C-reactive protein
(CRP) is positively associated with depression in people without HIV infection.
We tested the hypothesis of an independent relationship between CRP and
depression in a cohort of HIV-positive people. METHODS: A cross-sectional survey
was conducted among 316 HIV-positive people (181 men and 135 women) aged 18
60years residing in the Kathmandu Valley, Nepal. The latex agglutination
turbidimetric method was used to measure serum CRP concentrations and the Beck
Depression Inventory (BDI)-I method was used to measure depression, with a cut
off of ?20 indicating likely depression. The relationship between CRP
concentrations and depression symptoms was assessed using both multiple linear
regression analysis and multiple logistic regression analysis, with adjustment
for potential socio-demographic, cardiovascular, life-style, and HIV-related
clinical and treatment confounding factors. RESULTS: Twenty-six percent
participants (men: 23%; women: 29%) met criteria for depression. In multiple
regression analysis, the authors observed a linear relation between serum CRP
concentrations and BDI score (beta for 1 unit change in ln(CRP)=1.13, p=0.001) in
HIV-positive participants. In a logistic regression analysis, participants with
serum CRP levels>3mg/L had a 2.3-fold higher odds of depression symptoms compared
to those with serum CRP level?3mg/L (p=0.005). In analyses stratified by sex,
associations were stronger in men than in women. For example, CRP>3mg/L was
associated with a 3.6-fold higher odds of depression in men (p=0.002), while in
women the odds ratio was 1.7 (p=0.33). CONCLUSION: We found a linear relationship
between serum CRP concentrations and depression symptoms score in HIV-positive
people, and evidence that risk of depression is elevated among HIV-positive men
with a high level of inflammation (CRP>3mg/L). Further prospective study to
confirm the role of inflammation in depression among HIV-positive people is
warranted.
PMID- 24929194
TI - Cohabitation with a sick partner increases allergic lung inflammatory response in
mice.
AB - The bidirectional relationship between the nervous system and the immune system
is relevant for homeostatic organism maintenance. Studies from our laboratory
showed that 14days of cohabitation with a sick partner (injected with Ehrlich
tumor cells-TAE) produced behavioral, neurochemical, endocrinological and
immunological changes. This study analyzes the effects of cohabitation with an
Ehrlich tumor-bearing animal on ovalbumin (OVA)-induced lung inflammatory
response in mice. Pairs of male mice were divided into three groups: naive,
control and experimental. Animals of the naive group were kept undisturbed being
used for the assessment of basal parameters. One animal of each experimental and
control pair of mice was immunized with OVA. On ED(0), these OVA-immunized
animals received an OVA booster. At this day (D(0)) the experimental mice that
were kept undisturbed were inoculated with 5*10(6) Ehrlich tumor cells; their
immunized cage-mates were then referred as to CSP ("companion of sick partner").
The undisturbed mice of each control pair were i.p. treated on D(0) with 0.9%
NaCl; their sensitized cage-mates were subsequently referred as CHP ("companion
of health partner"). The OVA challenge was performed on CSP and CHP mice on
ED(12) and ED(13); blood and tissue collection were performed on ED(14). Fourteen
days after cohabitation, in comparison to the CHP mice, the CSP mice displayed
the following: (1) an increased number of eosinophils and neutrophils in the BAL,
(2) a decreased bone marrow cell count, (3) increased levels of IL-4 and IL-5 and
decreased levels of IL-10 and IFN-gamma in the BAL supernatant, (5) increased
levels of IgG1-OVA, decreased levels of IgG2a-OVA and no changes in OVA-specific
IgE in the peripheral blood, (6) increased expression of L-selectin in the BAL
granulocytes, (7) decreased tracheal reactivity to methacholine measured in
vitro, (8) no changes in plasma corticosterone levels and (9) increased levels of
plasmatic noradrenaline. These results suggest that allergic lung inflammatory
response exacerbation in CSP mice is a consequence of the psychological stress
induced by forced cohabitation with the sick partner. Strong involvement of the
sympathetic nervous system (SNS) through adrenaline and noradrenaline release and
a shift of the Th1/Th2 cytokine profile toward a Th2 response were considered to
be the mechanisms underlying the cell recruitment to the animal's airways.
PMID- 24929195
TI - Proinflammatory milieu in combat-related PTSD is independent of depression and
early life stress.
AB - BACKGROUND: Chronic inflammation may be involved in combat-related post-traumatic
stress disorder (PTSD) and may help explain comorbid physical diseases. However,
the extent to which combat exposure per se, depression, or early life trauma, all
of which are associated with combat PTSD, may confound the relationship between
PTSD and inflammation is unclear. METHODS: We quantified interleukin (IL)-6, IL
1beta, tumor necrosis factor (TNF)-alpha, interferon (IFN)-gamma, and C-reactive
protein (CRP) in 51 combat-exposed males with PTSD and 51 combat-exposed males
without PTSD, and assessed PTSD and depression severity as well as history of
early life trauma. To decrease the possibility of Type I errors, we summed
standardized scores of IL-1beta, IL-6, TNFalpha, IFNgamma and CRP into a total
"pro-inflammatory score". PTSD symptom severity was assessed with the Clinician
Administered PTSD Scale (CAPS) rating scale. RESULTS: Subjects with PTSD had
significantly higher pro-inflammatory scores compared to combat-exposed subjects
without PTSD (p=0.006), and even after controlling for early life trauma,
depression diagnosis and severity, body mass index, ethnicity, education,
asthma/allergies, time since combat and the use of possibly confounding
medications (p=0.002). Within the PTSD group, the pro-inflammatory score was not
significantly correlated with depressive symptom severity, CAPS total score, or
with the number of early life traumas. CONCLUSIONS: Combat-related PTSD in males
is associated with higher levels of pro-inflammatory cytokines, even after
accounting for depression and early life trauma. These results, from one of the
largest studies of inflammatory cytokines in PTSD to date, suggest that immune
activation may be a core element of PTSD pathophysiology more so than a signature
of combat exposure alone.
PMID- 24929196
TI - Driving towards obesity: a systematized literature review on the association
between motor vehicle travel time and distance and weight status in adults.
AB - BACKGROUND: Higher levels of sedentary behavior are associated with adverse
health outcomes. Over-reliance on private motor vehicles for transportation is a
potential contributor to the obesity epidemic. The objective of this study was to
review evidence on the relationship between motor vehicle travel distance and
time and weight status among adults. METHODS: Keywords associated with driving
and weight status were entered into four databases (PubMed Medline Transportation
Research Information Database and Web of Science) and retrieved article titles
and abstracts screened for relevance. Relevant articles were assessed for their
eligibility for inclusion in the review (English-language articles a sample >= 16
years of age included a measure of time or distance traveling in a motor vehicle
and weight status and estimated the association between driving and weight
status). RESULTS: The database search yielded 2781 articles, from which 88 were
deemed relevant and 10 studies met the inclusion criteria. Of the 10 studies
included in the review, 8 found a statistically significant positive association
between time and distance traveled in a motor vehicle and weight status.
CONCLUSIONS: Multilevel interventions that make alternatives to driving private
motor vehicles more convenient, such as walking and cycling, are needed to
promote healthy weight in the adult population.
PMID- 24929197
TI - Association between perceived urban built environment attributes and leisure-time
physical activity among adults in Hangzhou, China.
AB - BACKGROUND: Neighborhood built environment may influence residents' physical
activity, which in turn, affects their health. This study aimed to determine the
associations between perceived built environment and leisure-time physical
activity in Hangzhou, China. METHODS: 1440 participants aged 25-59 were randomly
selected from 30 neighborhoods in three types of administrative planning units in
Hangzhou. International Physical Activity Questionnaire long form and NEWS-A were
used to obtain individual-level data. The China Urban Built Environment Scan Tool
was used to objectively assess the neighborhood-level built environment. Multi
level regression was used to explore the relationship between perceived built
environment variables and leisure-time physical activities. Data was collected in
Hangzhou from June to December in 2012, and was analyzed in May 2013. RESULTS:
Significant difference between neighborhood random variations in physical
activity was identified (P=0.0134); neighborhood-level differences accounted for
3.0% of the variability in leisure-time physical activity. Male residents who
perceived higher scores on access to physical activity destinations reported more
involvement in leisure-time physical activity. Higher scores on perception of
esthetic quality, and lower on residential density were associated with more time
in leisure-time walking in women. CONCLUSIONS: The present study demonstrated
that perceived urban built environment attributes significantly correlate with
leisure-time physical activity in Hangzhou, China.
PMID- 24929198
TI - Reply to letter: False positive absent somatosensory evoked potentials in cardiac
arrest with therapeutic hypothermia.
PMID- 24929199
TI - Post-resuscitation electrocardiograms, acute coronary findings and in-hospital
prognosis of survivors of out-of-hospital cardiac arrest.
AB - BACKGROUND: Identification of acute coronary lesions amenable to urgent
intervention in survivors of out-of-hospital cardiac arrest is crucial. We aimed
to compare the clinical and electrocardiographic characteristics to urgent
coronary findings, and to analyze in-hospital prognosis of these patients.
METHODS: From January 2005 to December 2012 we retrospectively identified
consecutive patients resuscitated from out-of-hospital cardiac arrest, and
analyzed the clinical characteristics, post-resuscitation electrocardiogram and
coronary angiogram of those who underwent emergent angiography. Mortality and
neurologic status at discharge were also assessed. RESULTS: Patients with ST
elevation more frequently had obstructive coronary artery disease (89% vs. 51%,
p<0.001) or acute coronary occlusions (83% vs. 8%, p<0.001) than patients without
ST-elevation. Independent predictors of an acute coronary occlusion were chest
pain before arrest (OR 0.16, 95% CI 0.04-0.7, p=0.01), a shockable initial rhythm
(OR 0.16, 95% CI 0.03-0.9, p=0.03), and ST-elevation on the post-resuscitation
electrocardiogram (OR 0.02, 95% CI 0.004-0.13, p<0.001). Survival with favorable
neurologic recovery at discharge was 59%. Independent predictors of mortality or
unfavorable neurological outcome at discharge were absence of basic life support
(OR 0.2, 95% CI 0.06-0.9, p=0.04), prolonged resuscitation time (OR 0.9, 95% CI
0.8-0.9, p=0.01), and necessity of vasopressors (OR 14.8, 95% CI 3.3-65.4,
p=0.001). CONCLUSIONS: Most patients with ST-elevation on the post-resuscitation
electrocardiogram had an acute coronary occlusion, as opposed to patients without
ST-elevation. Absence of basic life support, prolonged resuscitation time and use
of vasopressors were independent predictors of worse in-hospital outcome.
PMID- 24929200
TI - Efficient extracellular production of kappa-carrageenase in Escherichia coli:
effects of wild-type signal sequence and process conditions on extracellular
secretion.
AB - Signal peptides direct proteins to translocate across the bacterial cytoplasmic
membrane. This study aimed to improve the level of extracellular secretion of
recombinant carrageenase by recombining the gene encoding wild-type signal
peptide (OmpZ) of Zobellia sp. ZM-2 kappa-carrageenase into the expression vector
pProEX-HTa-cgkZ. The recombinant strain BL21-HTa-cgkZ achieved extracellular
secretion of kappa-carrageenase. The effects of induction, culture conditions,
and additives were investigated to further promote the extracellular secretion of
the enzyme. Results showed that the wild-type signal sequence secreted
recombinant kappa-carrageenase out of the cytoplasmic membrane. Low temperature
(23 degrees C) and optimum isopropyl-beta-thiogalactoside concentration (0.9 mM)
favored soluble protein expression. Moreover, additives such as lactose, glycine,
Tween-80, and TritonX-100 promoted the release of intracellular enzymes. The
existence of OmpZ resulted in 51% of the total kappa-carrageenase accumulation
secreted into culture medium, and 33% accumulated in the periplasmic space. High
extracellular secretion of recombinant kappa-carrageenase under the optimum
conditions showed promising applications of the process for extracellular protein
production.
PMID- 24929201
TI - Investigating socio-cognitive processes in deception: a quantitative meta
analysis of neuroimaging studies.
AB - Recent neuroimaging studies have found a broad network of brain regions involved
in deception, including the prefrontal cortex, insula, anterior cingulate cortex
(ACC), and inferior parietal lobule (IPL). Although deception can be
conceptualized as the attempt to deliberately cause another person to accept a
false belief, research to date has mainly focused on executive control processes
when participants are instructed to lie under certain conditions. Recently, more
ecologically valid and interactive experimental paradigms have been used in which
subjects were also requested to take the perspective of another person, read his
or her intentions, and make a self-determined decision to deceive that person and
break a moral rule. To investigate the influence of these socio-cognitive
processes on the neural network of deception, we performed a quantitative meta
analysis combining the data from 416 participants across 22 fMRI and two PET
studies. Based on the description of the experimental paradigm, studies were
divided in social interactive and non-interactive deception studies. Increased
activation in the dorsal ACC, the right temporo-parietal junction (TPJ)/angular
gyrus, and the bilateral temporal pole (TP) was found to be greater in social
interactive than in non-interactive deception. These results demonstrate the
important role of perspective taking, theory of mind, and moral reasoning
processes in deception as well as conflict processing. In addition to the role of
executive control processes determined by previous meta-analyses, our findings
show the importance of these socio-cognitive processes in deception and give new
insight into the function and interpretation of the brain regions involved.
PMID- 24929202
TI - EBI3 is pivotal for the initiation of experimental autoimmune uveitis.
AB - Murine experimental autoimmune uveitis (EAU) is a model for human autoimmune
uveitis, whose pathogenesis is caused by both Th1 and Th17 cell responses.
Epstein-Barr virus-induced gene 3 (EBI3) is a component of the heterodimeric
cytokines: interleukin (IL)-27 and IL-35. Although IL-27 was shown to initiate
Th1 cell development, it is also recognized as a negative regulator of fully
activated CD4+ T cells, including Th17 cells. Recently, IL-35 also has also been
reported to play immunosuppressive roles in autoimmunity. To investigate the
roles of EBI3 in EAU, EBI3(-/-) mice were immunized with human interphotoreceptor
retinoid binding protein peptide 1-20 (IRBP) to induce EAU. We observed that the
clinical score in EBI3(-/-) mice was diminished compared with that in EBI3(+/+)
mice up to day 22 after immunization, whereas the score in EBI3(-/-) mice reached
the same levels as that of EBI3(+/+) mice after day 28. Histological analysis
revealed a significant reduction of cellular infiltration into the retina in
EBI3(-/-) mice on day 16. Although Th1 cell responses and IRBP-specific IL-10
production were reduced in EBI3(-/-) mice, the development of Th17 cell responses
was unaffected on day 9. On day 21, Th1 cell responses and IRBP-specific IL-10
production was restored to the same levels as that in EBI3(+/+) mice, and Th17
cell responses significantly increased in EBI3(-/-) mice. Furthermore, Foxp3
expression in CD4+ T cells was comparable between EBI3(+/+) and EBI3(-/-) mice on
days 9 and 21. Therefore, these results indicate that EBI3 may be important in
EAU initiation by Th1 cell responses and may suppress EAU by inhibition of both
Th1 and Th17 cell responses in the late/maintenance phase.
PMID- 24929204
TI - Mechanical and structural properties of bone in non-critical and critical healing
in rat.
AB - A fracture in bone results in a dramatic change of mechanical loading conditions
at the site of injury. Usually, bone injuries heal normally but with increasing
fracture gaps, healing is retarded, eventually leading to non-unions. The
clinical situation of these two processes with different outcomes is well
described. However, the exact relation between the mechanical environment and
characteristics of the tissues at all levels of structural hierarchy remains
unclear. Here we studied the differences in material formation of non-critical
(1mm) and critical (5mm gap) healing. We employed a rat osteotomy model to
explore bone material structure depending upon the different mechanical
conditions. In both cases, primary bone formation was followed by secondary bone
deposition with mineral particle sizes changing from on average short and thick
to long and thin particles. Bony bridging occurred at first in the endosteal
callus and the nanostructure and microstructure developed towards cortical
ordered material organization. In contrast, in critical healing, instead of
bridging, a marrow cavity closure was formed endosteal, exhibiting tissue
structure oriented along the curvature and a periosteal callus with less mature
material structure. The two healing processes separated between 4 and 6 weeks
post-osteotomy. The outcome of healing was determined by the varied geometrical
conditions in critical and non-critical healing, inducing completely different
mechanical situations.
PMID- 24929203
TI - BAC-EDTA transepithelial riboflavin-UVA crosslinking has greater biomechanical
stiffening effect than standard epithelium-off in rabbit corneas.
AB - Studies suggest that standard corneal collagen crosslinking (CXL) is a safe and
effective treatment to stiffen the cornea for keratoconus and other ectatic
corneal disorders. The purpose of the present study was to compare the
biomechanical effects of transepithelial benzalkonium chloride-EDTA (BAC-EDTA)
riboflavin-UVA crosslinking to standard epithelium-off riboflavin-UVA
crosslinking in a rabbit model. Corneal stiffness was quantified using optical
coherence elastography at two months after treatment. The mean lateral-to-axial
displacement ratio for the BAC-EDTA transepithelial CXL group was lower (greater
stiffness) [0.062 +/- 0.042, mean +/- SD] than epithelium-off CXL (mean +/- SD:
0.065 +/- 0.045) or untreated control eyes (0.069 +/- 0.044). Using ANOVA with
Tukey correction, a statistically significant difference was found between the
BAC-EDTA transepithelial CXL group and standard epithelium-off CXL (p = 0.0019)
or the untreated control (p < 0.0001) groups. A graph of the probability density
functions for biomechanical stiffness also showed a greater shift in stiffening
in the BAC-EDTA transepithelial CXL group than the standard epithelium-off CXL or
untreated control group. These results demonstrated that the biomechanical
stiffening effect produced by BAC-EDTA transepithelial CXL was greater than that
produced by standard epithelium-off CXL in a rabbit model.
PMID- 24929205
TI - Clinical relevance of urinary angiotensinogen and renin as potential biomarkers
in patients with overt proteinuria.
AB - Urinary angiotensinogen (AGT) and renin have been reported to reflect the
intrarenal renin-angiotensin system (RAS) activity. However, the adequacy and
clinical significance of these markers have not been evaluated in overtly
proteinuric patients. In patients with biopsy-proven glomerulonephritis, plasma
and urinary AGT and renin were analyzed. A cohort of 75 patients treated with RAS
inhibitors was followed for 1 year. Among the 207 patients, 105 had subnephrotic
and 102 had nephrotic-range proteinuria. Mean age, estimated glomerular
filtration rate (eGFR), and urinary protein-to-creatinine ratio (P/Cr) of all
patients were 48 years, 79.7 mL/min/1.73 m(2), and 5.66 mg/mg, respectively. Both
natural logarithm of urinary AGT/creatinine (ln [urinary AGT/Cr]) and ln (urinary
renin/Cr) showed positive correlations with urinary P/Cr. There was a positive
correlation between ln (urinary AGT/Cr) and ln (urinary renin/Cr). Ln (urinary
renin/Cr) was not affected by ln (plasma renin) regardless of the degree of
proteinuria. The treatment response to RAS inhibitors was greatest in patients
with high urinary AGT and renin. However, the predictive value of those
parameters was no longer present when the values were adjusted by the degree of
proteinuria. Ln (urinary renin/Cr) and initial eGFR were independently associated
with the changes in renal function for 1 year. Ln (urinary AGT/Cr) was associated
with persistent overt proteinuria after 1 year. Our study suggests that urinary
renin may be a better marker in heavy proteinuria, and the treatment response to
RAS inhibitors may be enhanced in patients with high urinary renin and AGT.
Further studies will be necessary to explore the value of urinary AGT and renin.
PMID- 24929206
TI - Adipose tissue changes in obesity and the impact on metabolic function.
AB - Obesity is associated with adverse alterations in adipose tissue that predispose
to metabolic dysregulation. These adverse alterations include accumulation of
inflammatory macrophages leading to the activation of inflammation pathways,
reduction in lipid turnover, and deposition of fat in ectopic locations. These
alterations are precursors to the development of insulin resistance and metabolic
dysfunction.
PMID- 24929207
TI - The genetics of human obesity.
AB - The heritability of obesity has long been appreciated and the genetics of obesity
has been the focus of intensive study for decades. Early studies elucidating
genetic factors involved in rare monogenic and syndromic forms of extreme obesity
focused attention on dysfunction of hypothalamic leptin-related pathways in the
control of food intake as a major contributor. Subsequent genome-wide association
studies of common genetic variants identified novel loci that are involved in
more common forms of obesity across populations of diverse ethnicities and ages.
The subsequent search for factors contributing to the heritability of obesity not
explained by these 2 approaches ("missing heritability") has revealed additional
rare variants, copy number variants, and epigenetic changes that contribute.
Although clinical applications of these findings have been limited to date, the
increasing understanding of the interplay of these genetic factors with
environmental conditions, such as the increased availability of high calorie
foods and decreased energy expenditure of sedentary lifestyles, promises to
accelerate the translation of genetic findings into more successful preventive
and therapeutic interventions.
PMID- 24929208
TI - Tumor-stromal cross talk: direct cell-to-cell transfer of oncogenic microRNAs via
tunneling nanotubes.
AB - Tunneling nanotubes (TnTs) represent a novel mechanism by which intercellular
components such as proteins, Golgi vesicles, and mitochondria can be transferred
from cell to cell in the complex tumor microenvironment. Here, we report data
showing that microRNAs (miRNAs) are transferred through TnTs in osteosarcoma (OS)
and ovarian cancer as in vitro model systems. miRNA array analysis demonstrated
significant upregulation of miR-19a in OS tumors resected from human patients,
and differential expression of miR-199a in ovarian cancer cell lines resistant or
sensitive to platinum chemotherapy. K7M2 murine OS cells were transfected with
miR-19a and cultured with nontransfected K7M2 cells in low-serum, hyperglycemic
medium for up to 72 hours to induce TnT formation. miRNA transfer via TnTs was
detected by time-lapse microscopic imaging. miR-19a was also transported via TnTs
connecting transfected K7M2 cells and nontransfected stromal MC3T3 murine
osteoblast cells. Similar findings were observed in studies of TnT-mediated
transport of miR-199a among SKOV3 ovarian cancer cells and nonmalignant
immortalized ovarian epithelial cells. To quantify TnT-mediated transport of
miRNAs, we used modified Boyden chambers to separate miR-19a-transfected K7M2
cells (top chamber) and DiI-labeled MC3T3 cells (bottom chamber) compared with
open culture of these cells. Fluorescence-activated cell sorting analysis of
cells collected after 48 hours of culture indicated that miR-19a-positive MC3T3
cells were 3-fold higher in open culture; this finding suggests that miR-19a
transfer occurred via TnTs, exclusive of other forms of cell-cell communication.
These studies demonstrate that TnTs mediate direct transfer of genetic material
between tumor and stromal cells.
PMID- 24929210
TI - Release behavior and antibiofilm activity of usnic acid-loaded carboxylated
poly(L-lactide) microparticles.
AB - The use of controlled drug delivery systems could give a significant contribution
to the improvement of therapies against biofilm-based infections. The aim of this
study was to develop polymer microparticles, based on carboxylated poly(L
lactide)s, to be employed as carriers for usnic acid (UA), a poorly soluble drug
possessing antiviral, antiproliferative and wide spectrum antimicrobial activity.
Thanks to polymer surfactant-like structure, 2.4 MUm-in-size microparticles were
obtained by a surfactant-free oil-in-water emulsion/evaporation method. UA was
encapsulated into these microparticles with a high loading efficiency (80%). The
drug release kinetics was found to be temperature dependent (the released dose
increasing with temperature) and showed bimodal release behavior. By polarized
optical microscopy observations and the application of kinetics models, the
initial burst effect was attributed to the delivery of the drug amorphous
fraction while the slower release occurring for longer times to the crystalline
one, both entrapped in the polymer amorphous phase. UA-loaded microparticles were
able to promote the killing of a 24h-old Staphylococcus epidermidis biofilm more
efficaciously than free UA.
PMID- 24929209
TI - Alpha II Spectrin breakdown products in immature Sprague Dawley rat hippocampus
and cortex after traumatic brain injury.
AB - After traumatic brain injury (TBI), proteolysis of Alpha II Spectrin by Calpain 1
produces 145 Spectrin breakdown products (SBDPs) while proteolysis by Caspase 3
produces 120 SBDPs. 145 and 120 SBDP immunoblotting reflects the relative
importance of caspase-dependent apoptosis or calpain-dependent
excitotoxic/necrotoxic cell death in brain regions over time. In the adult rat,
controlled cortical impact (CCI) increased 120 SBDPs in the first hours, lasting
a few days, and increased 145 SBDPs within the first few days lasting up to 14
days after injury. Little is known about SBDPs in the immature brain after TBI.
Since development affects susceptibility to apoptosis after TBI, we hypothesized
that CCI would increase 145 and 120 SBDPs in the immature rat brain relative to
SHAM during the first 3 and 5 days, respectively. SBDPs were measured in
hippocampi and cortices at post injury days (PID) 1, 2, 3, 5, 7 and 14 after CCI
or SHAM surgery in the 17 day old Sprague Dawley rat. 145 SBDPs increased in both
brain tissues ipsilateral to injury during the first 3 days, while changes in
contralateral tissues were limited to PID2 cortex. 145 SBDPs elevations were more
marked and enduring in hippocampus than in cortex. Against expectations, 120
SBDPs only increased in PID1 hippocampus and PID2 cortex. 145 SBDPs elevations
occurred early after CCI, similar to previous studies in the adult rat, but
resolved more quickly. The minimal changes in 120 SBDPs suggest that calpain
dependent, but not caspase-dependent, cell death predominates in the 17 day old
rat after CCI.
PMID- 24929211
TI - Tablets of pre-liposomes govern in situ formation of liposomes: concept and
potential of the novel drug delivery system.
AB - The purpose of this study was to develop a novel drug delivery system for
challenging drugs with potential for scale-up manufacturing and controlled
release of incorporated drug. Pre-liposomes powder containing metronidazole,
lecithin and mannitol, prepared by spray-drying, was mixed with different
tableting excipients (microcrystalline cellulose, lactose monohydrate, mannitol,
dibasic calcium phosphate, pregelatinized starch, pectin or chitosan) and
compressed into tablets. The delivery system was characterized with respect to
(i) dry powder characteristics, (ii) mechanical tablet properties and drug
release, and (iii) liposomal characteristics. The pre-liposomes powder was free
flowing, and tablets of similarly high qualities as tablets made of physical
mixtures were prepared with all excipients. Liposomes were formed in situ upon
tablet disintegration, dissolution or erosion depending on the type of tablet
excipient used. The liposomal characteristics and drug release were found to
depend on the tablet excipient. The new delivery system offers a unique synergy
between the ability of liposomes to encapsulate and protect drugs and increased
stability provided by compressed formulations. It can be adjusted for drug
administration via various routes, e.g. oral, buccal and vaginal.
PMID- 24929212
TI - Polymersomes conjugated to 83-14 monoclonal antibodies: in vitro targeting of
brain capillary endothelial cells.
AB - The blood-brain barrier (BBB) remains an obstacle for many drugs to reach the
brain. A strategy to cross the BBB is to modify nanocarrier systems with ligands
that bind to endogenous receptors expressed at the BBB to induce receptor
mediated transport. The aim of the present study was to investigate the potential
of polymersomes composed of the amphiphilic diblock copolymer
poly(dimethylsiloxane)-block-poly(2-methyl-2-oxazoline), PDMS-b-PMOXA, for active
targeting of brain capillary endothelial cells. We conjugated PDMS-b-PMOXA
polymersomes to the anti-human insulin receptor antibody 83-14 and studied their
uptake by brain capillary endothelial cells. Transmission electron micrography
and light scattering measurements revealed the self-assembly of the block
copolymers into 200 nm vesicles after extrusion. Fluorescence correlation
spectroscopy was employed to calculate the number of antibodies coupled to one
polymersome. Binding and uptake of the polymersomes conjugated to 83-14 mAb were
studied in the human BBB in vitro model hCMEC/D3 expressing the human insulin
receptor. Competitive inhibition with an excess of free 83-14 mAb demonstrated
the specificity of cellular binding and uptake. Our results suggest that PDMS-b
PMOXA polymersomes conjugated to 83-14 mAb may be suitable nanocarriers for drug
delivery to the brain.
PMID- 24929213
TI - Chronic arthritis in a boy with Cernunnos immunodeficiency.
PMID- 24929214
TI - Hydrogen sulfide and nitric oxide interactions in inflammation.
AB - Together with carbon monoxide (CO), nitric oxide (NO) and hydrogen sulfide (H2S)
form a group of physiologically important gaseous transmitters, sometimes
referred to as the "gaseous triumvirate". The three molecules share a wide range
of physical and physiological properties: they are small gaseous molecules, able
to freely penetrate cellular membranes; they are all produced endogenously in the
body and they seem to exert similar biological functions. In the cardiovascular
system, for example, they are all vasodilators, promote angiogenesis and protect
tissues against damage (e.g. ischemia-reperfusion injury). In addition, they have
complex roles in inflammation, with both pro- and anti-inflammatory effects
reported. Researchers have focused their efforts in understanding and describing
the roles of each of these molecules in different physiological systems, and in
the past years attention has also been given to the gases interaction or "cross
talk". This review will focus on the role of NO and H2S in inflammation and will
give an overview of the evidence collected so far suggesting the importance of
their cross-talk in inflammatory processes.
PMID- 24929215
TI - Wolbachia translation initiation factor-1 is copiously expressed by the adult,
microfilariae and infective larvae of Brugia malayi and competitively inhibited
by tetracycline.
AB - The intracellular alphaproteobacteria, Wolbachia, is considered to be a future
antimacrofilarial drug target as it is obligatory for filarial endurance.
Characterizing wolbachial proteins is necessary to understand wolbachial
mechanisms and also for discovering new drug entities. Translation initiation
factor-1 (Tl IF-1) is an indispensable prokaryotic factor concerned with
bacterial viability. This factor is prioritized as one of the most potent
antibacterial drug target. To investigate its role in filarial biology,
recombinant Wol Tl IF-1 was purified on metal ion column. The factor was found
folded in its monomeric native conformation, and contained a buried fluorophore.
Molecular modeling revealed that the factor belonged to the Oligomer Binding
family, and consisted of the highly conserved S1 domain with 81.6% of the amino
acids occupying the allowed regions in Ramachandran plot. In addition, Wol Tl IF
1 exhibited selective binding to the 30S ribosomal subunit, which declined
progressively with tetracycline addition. Tetracycline perturbs interaction of
Thr18 and Asn32 of the factor with ribosomal protein S4. The factor was immune
localized in adult, microfilariae (Mf) and infective larvae (L3) of Brugia malayi
by immunoblotting. High expression was also observed in Wolbachia within B.
malayi Mf, L3 and female adult parasite along the gravid uteri by the confocal
microscopy. Therefore, Wol Tl IF-1 appears to be an essential Wolbachia factor
whose inhibition leads to extensive cell apoptosis and premature killing of adult
worms, validating the antifilarial potential of the factor.
PMID- 24929216
TI - Comprehensive review on lactate metabolism in human health.
AB - Metabolic pathways involved in lactate metabolism are important to understand the
physiological response to exercise and the pathogenesis of prevalent diseases
such as diabetes and cancer. Monocarboxylate transporters are being investigated
as potential targets for diagnosis and therapy of these and other disorders.
Glucose and alanine produce pyruvate which is reduced to lactate by lactate
dehydrogenase in the cytoplasm without oxygen consumption. Lactate removal takes
place via its oxidation to pyruvate by lactate dehydrogenase. Pyruvate may be
either oxidized to carbon dioxide producing energy or transformed into glucose.
Pyruvate oxidation requires oxygen supply and the cooperation of pyruvate
dehydrogenase, the tricarboxylic acid cycle, and the mitochondrial respiratory
chain. Enzymes of the gluconeogenesis pathway sequentially convert pyruvate into
glucose. Congenital or acquired deficiency on gluconeogenesis or pyruvate
oxidation, including tissue hypoxia, may induce lactate accumulation. Both obese
individuals and patients with diabetes show elevated plasma lactate concentration
compared to healthy subjects, but there is no conclusive evidence of
hyperlactatemia causing insulin resistance. Available evidence suggests an
association between defective mitochondrial oxidative capacity in the pancreatic
beta-cells and diminished insulin secretion that may trigger the development of
diabetes in patients already affected with insulin resistance. Several mutations
in the mitochondrial DNA are associated with diabetes mellitus, although the
pathogenesis remains unsettled. Mitochondrial DNA mutations have been detected in
a number of human cancers. d-lactate is a lactate enantiomer normally formed
during glycolysis. Excess d-lactate is generated in diabetes, particularly during
diabetic ketoacidosis. d-lactic acidosis is typically associated with small bowel
resection.
PMID- 24929217
TI - Toxic response of HIPCO single-walled carbon nanotubes in mice and RAW264.7
macrophage cells.
AB - In this study, we identified the toxic response of pristine single-walled carbon
nanotubes (P-SWCNTs) synthesized by HIPCO method in mice and RAW264.7 cells, a
murine peritoneal macrophage cell line. P-SWCNT contained a large amount of Fe
ion (36 wt%). In the lungs of mice 24 h after intratracheal administration, P
SWCNTs increased the secretion of IL-6 and MCP-1, and the number of total cells,
the portion of neutrophils, lymphocytes, and eosinophils, also significantly
increased at a 100 MUg/mL of concentration. In RAW264.7 cells, cell viability and
ATP production decreased in a dose-dependent manner at 24 h after exposure,
whereas the generations of ROS and NO were enhanced at all concentrations
together with the activation of the MAP kinase pathway. Moreover, the levels of
both apoptosis- and autophagy-related proteins and ER stress-related proteins
clearly increased, and the concentrations of Fe, Cu, and Zn ions, but not of Mn
ions, increased in a dose-dependent manner. TEM images also revealed that P
SWCNTs induced the formation of autophagosome-like vacuoles, the dilatation of
the ER, the generation of mitochondrial flocculent densities, and the separation
of organelle by disappearance of the cell membrane. Taken together, we suggest
that P-SWCNTs cause acute inflammatory response in the lungs of mice, and induce
autophagy accompanied with apoptosis through mitochondrial dysfunction and ER
stress in RAW264.7 cells. Furthermore, further study is required to elucidate how
the physicochemical properties of SWCNTs determine the cell death pathway and an
immune response.
PMID- 24929219
TI - Exploiting genomic data to identify proteins involved in abalone reproduction.
AB - Aside from their critical role in reproduction, abalone gonads serve as an
indicator of sexual maturity and energy balance, two key considerations for
effective abalone culture. Temperate abalone farmers face issues with tank
restocking with highly marketable abalone owing to inefficient spawning induction
methods. The identification of key proteins in sexually mature abalone will serve
as the foundation for a greater understanding of reproductive biology. Addressing
this knowledge gap is the first step towards improving abalone aquaculture
methods. Proteomic profiling of female and male gonads of greenlip abalone,
Haliotis laevigata, was undertaken using liquid chromatography-mass spectrometry.
Owing to the incomplete nature of abalone protein databases, in addition to
searching against two publicly available databases, a custom database comprising
genomic data was used. Overall, 162 and 110 proteins were identified in females
and males respectively with 40 proteins common to both sexes. For proteins
involved in sexual maturation, sperm and egg structure, motility, acrosomal
reaction and fertilization, 23 were identified only in females, 18 only in males
and 6 were common. Gene ontology analysis revealed clear differences between the
female and male protein profiles reflecting a higher rate of protein synthesis in
the ovary and higher metabolic activity in the testis. BIOLOGICAL SIGNIFICANCE: A
comprehensive mass spectrometry-based analysis was performed to profile the
abalone gonad proteome providing the foundation for future studies of
reproduction in abalone. Key proteins involved in both reproduction and energy
balance were identified. Genomic resources were utilised to build a database of
molluscan proteins yielding >60% more protein identifications than in a standard
workflow employing public protein databases.
PMID- 24929220
TI - Cognitive reappraisal of facial expressions: electrophysiological evidence of
social anxiety.
AB - The present study investigates the effect of cognitive reappraisal on emotion
regulation in socially anxious individuals. Twenty-eight female subjects were
divided into high-socially anxious (HSA) and low-socially anxious (LSA) groups.
All subjects viewed threatening faces under cognitive reappraisal and passive
viewing conditions, with subjective emotion ratings and event-related potentials
(ERPs) recorded. Although the HSA and LSA groups reported similar amounts of
reduction in emotion ratings while reappraising threatening faces, ERP data
showed the LSA group generated a significantly larger stimuli-preceding
negativity (SPN) than the HSA group when viewing the reappraisal cue word.
Additionally, the LSA group, but not the HSA group, exhibited reduced P2-N2 peak
to-peak values for the reappraisal condition relative to the passive viewing
condition. These results suggest that the LSA subjects paid more attention to and
prepared better for the upcoming emotion-regulating task than the HSA subjects.
Unlike subjects in the HSA group, subjects in the LSA group could modulate face
processing by reappraisal. In conclusion, cognitive reappraisal can be an
effective emotion regulation strategy for socially anxious people, and a
different neural mechanism may be involved for people who are not socially
anxious.
PMID- 24929218
TI - Bayesian modeling of flexible cognitive control.
AB - "Cognitive control" describes endogenous guidance of behavior in situations where
routine stimulus-response associations are suboptimal for achieving a desired
goal. The computational and neural mechanisms underlying this capacity remain
poorly understood. We examine recent advances stemming from the application of a
Bayesian learner perspective that provides optimal prediction for control
processes. In reviewing the application of Bayesian models to cognitive control,
we note that an important limitation in current models is a lack of a plausible
mechanism for the flexible adjustment of control over conflict levels changing at
varying temporal scales. We then show that flexible cognitive control can be
achieved by a Bayesian model with a volatility-driven learning mechanism that
modulates dynamically the relative dependence on recent and remote experiences in
its prediction of future control demand. We conclude that the emergent Bayesian
perspective on computational mechanisms of cognitive control holds considerable
promise, especially if future studies can identify neural substrates of the
variables encoded by these models, and determine the nature (Bayesian or
otherwise) of their neural implementation.
PMID- 24929221
TI - LOX-1 and neurodegeneration.
PMID- 24929222
TI - Multidrug resistance in cancer or inefficacy of neuroactive agents: innovative
strategies to inhibit or circumvent the active efflux transporters selectively.
AB - Multidrug resistance (MDR) is a crucial issue in the treatment of cancer cells
that protect themselves by overexpression of active efflux transporters (AETs).
AET expression maintains the homeostasis in healthy tissues and in the blood
brain barrier it often prevents drugs from reaching the brain. Inhibition of AETs
could therefore be a valuable solution for preventing MDR; but nonselective long
term AET blocking can be harmful toward healthy tissues and, in particular, the
brain. This review looks at the development of innovative formulations suitable
for selectively blocking or avoiding AETs as promising ways to overcome the
challenges of MDR and inefficacy of neuroactive agents.
PMID- 24929223
TI - Pharmacokinetics, metabolism and distribution of PEGs and PEGylated proteins: quo
vadis?
AB - The pharmacokinetics (PK), metabolism and biodistribution of polyethylene glycol
(PEG) in PEGylated proteins are important to understand the increased cellular
vacuolation reported in various tissues in animals. The tissue distribution
profile of PEGylated proteins and 'metabolic' PEG is guided largely by absolute
PEG load, PEG molecular weight and, where applicable, receptor-mediated uptake
via the protein moiety. High molecular weight PEGs show slow renal clearance, and
consequently have a greater potential to accumulate within cells. The
intracellular nonbiodegradable PEG can accumulate within the lysosome ultimately
causing distension and vacuolation observed by standard histological
examinations. Improved bioanalytical methodologies will contribute to the
identification of specific PK parameters including distribution behavior to
support development of PEGylated proteins as therapeutics.
PMID- 24929224
TI - Gene-environment interplay in Drosophila melanogaster: chronic nutritional
deprivation in larval life affects adult fecal output.
AB - Life history consequences of stress in early life are varied and known to have
lasting impacts on the fitness of an organism. Gene-environment interactions play
a large role in how phenotypic differences are mediated by stressful conditions
during development. Here we use natural allelic 'rover/sitter' variants of the
foraging (for) gene and chronic early life nutrient deprivation to investigate
gene-environment interactions on excretion phenotypes. Excretion assay analysis
and a fully factorial nutritional regimen encompassing the larval and adult life
cycle of Drosophila melanogaster were used to assess the effects of larval and
adult nutritional stress on adult excretion phenotypes. Natural allelic variants
of for exhibited differences in the number of fecal spots when they were
nutritionally deprived as larvae and well fed as adults. for mediates the
excretion response to chronic early-life nutritional stress in mated female,
virgin female, and male rovers and sitters. Transgenic manipulations of for in a
sitter genetic background under larval but not adult food deprivation increases
the number of fecal spots. Our study shows that food deprivation early in life
affects adult excretion phenotypes and these excretion differences are mediated
by for.
PMID- 24929226
TI - A spatial model with pulsed releases to compare strategies for the sterile insect
technique applied to the mosquito Aedes aegypti.
AB - We present a simple mathematical model to replicate the key features of the
sterile insect technique (SIT) for controlling pest species, with particular
reference to the mosquito Aedes aegypti, the main vector of dengue fever. The
model differs from the majority of those studied previously in that it is
simultaneously spatially explicit and involves pulsed, rather than continuous,
sterile insect releases. The spatially uniform equilibria of the model are
identified and analysed. Simulations are performed to analyse the impact of
varying the number of release sites, the interval between pulsed releases and the
overall volume of sterile insect releases on the effectiveness of SIT programmes.
Results show that, given a fixed volume of available sterile insects, increasing
the number of release sites and the frequency of releases increases the
effectiveness of SIT programmes. It is also observed that programmes may become
completely ineffective if the interval between pulsed releases is greater that a
certain threshold value and that, beyond a certain point, increasing the overall
volume of sterile insects released does not improve the effectiveness of SIT. It
is also noted that insect dispersal drives a rapid recolonisation of areas in
which the species has been eradicated and we argue that understanding the density
dependent mortality of released insects is necessary to develop efficient, cost
effective SIT programmes.
PMID- 24929225
TI - Both children and adults scan faces of own and other races differently.
AB - Extensive behavioral and neural evidence suggests that processing of own-race
faces differs from that of other-race faces in both adults and infants. However,
little research has examined whether and how children scan faces of own and other
races differently for face recognition. In this eye-tracking study, Chinese
children aged from 4 to 7 years and Chinese adults were asked to remember Chinese
and Caucasian faces. None of the participants had any direct contact with foreign
individuals. Multi-method analyses of eye-tracking data revealed that regardless
of age group, proportional fixation duration on the eyes of Chinese faces was
significantly lower than that on the eyes of Caucasian faces, whereas
proportional fixation duration on the nose and mouth of Chinese faces was
significantly higher than that on the nose and mouth of Caucasian faces. In
addition, the amplitude of saccades on Chinese faces was significantly lower than
that on Caucasian faces, potentially reflecting finer-grained processing for own
race faces. Moreover, adults' fixation duration/saccade numbers on the whole
faces, proportional fixation percentage on the nose, proportional number of
saccades between AOIs, and accuracy in recognizing faces were higher than those
of children. These results together demonstrate that an abundance of visual
experience with own-race faces and a lack of it with other-race faces may result
in differential facial scanning in both children and adults. Furthermore, the
increased experience of processing faces may result in a more holistic and
advanced scanning strategy in Chinese adults.
PMID- 24929227
TI - Extensive review of fish embryo acute toxicities for the prediction of GHS acute
systemic toxicity categories.
AB - Distribution and marketing of chemicals require appropriate labelling of health,
physical and environmental hazards according to the United Nations global
harmonisation system (GHS). Labelling for (human) acute toxicity categories is
based on experimental findings usually obtained by oral, dermal or inhalative
exposure of rodents. There is a strong societal demand for replacing animal
experiments conducted for safety assessment of chemicals. Fish embryos are
considered as alternative to animal testing and are proposed as predictive model
both for environmental and human health effects. Therefore, we tested whether
LC50s of the fish embryo acute toxicity test would allow effectively predicting
of acute mammalian toxicity categories. A database of published fish embryo LC50
containing 641 compounds was established. For these compounds corresponding rat
oral LD50 were identified resulting in 364 compounds for which both fish embryo
LC50 and rat LD50 was available. Only a weak correlation of fish embryo LC50 and
rat oral LD50 was obtained. Fish embryos were also not able to effectively
predict GHS oral acute toxicity categories. We concluded that due to fundamental
exposure protocol differences (single oral dose versus water-borne exposure) a
reverse dosimetry approach is needed to explore the predictive capacity of fish
embryos.
PMID- 24929228
TI - An insulin-like androgenic gland hormone gene in the mud crab, Scylla
paramamosain, extensively expressed and involved in the processes of growth and
female reproduction.
AB - Insulin-like androgenic gland hormone (IAG) produced by androgenic gland (AG) in
male crustaceans is regarded as a key regulator of sex differentiation. As a
member of the insulin/insulin-like growth factor family, IAG is also likely
involved in regulating somatic growth. In this study, a full-length cDNA of IAG
(termed Sp-IAG) was isolated from the mud crab, Scylla paramamosain. Genomic DNA
of Sp-IAG was also cloned, analysis of which reveals that Sp-IAG gene is
organized in a 4 exon/3 intron manner. RNA in situ hybridization analysis
detected positive signals in both type I and type II AG cells. Reverse
transcription-polymerase chain reaction (RT-PCR) analysis showed that Sp-IAG was
expressed not only in AG, but also in many other tissues. Sp-IAG expression
levels in ovaries were examined at different stages of ovarian development
(stages I to V); it was found that the expression was maintained at low levels
during undeveloped stage (stage I) to late vitellogenic stage (stage IV) and then
increased significantly at mature stage (stage V), suggesting that Sp-IAG may
participate in inhibiting oocyte growth and vitellogenesis. The expression
pattern of Sp-IAG during the molting cycle of the first stage crabs (C1) was also
determined. Sp-IAG expression level continuously decreased from 0 h C1 (postmolt)
crabs to 96 h C1 (premolt) crabs, and then increased significantly in the newly
molted second stage crabs (C2, postmolt). The combined results suggested for the
first time that IAG is involved in regulating ovarian development and somatic
growth in crustaceans.
PMID- 24929229
TI - Avian photoreceptors and their role in the regulation of daily and seasonal
physiology.
AB - Birds time their activities in synchronization with daily and seasonal
periodicities in the environment, which is mainly provided by changes in day
length (=photoperiod). Photoperiod appears to act at different levels than simply
entraining the hypothalamic clock via eyes in birds. Photoreceptor cells that
transmit light information to an avian brain are localized in three independent
structures, the retina of eyes, pineal gland and hypothalamus, particularly in
the paraventricular organ and lateral septal area. These hypothalamic
photoreceptors are commonly referred to as encephalic or deep brain
photoreceptors, DBPs. Eyes and pineal are known to contribute to the circadian
regulation of behavior and physiology via rhythmic melatonin secretion in several
birds. DBPs have been implicated in the regulation of seasonal physiology,
particularly in photoperiod induced gonadal growth and development. Here, we
briefly review limited evidence that is available on the roles of these
photoreceptors in the regulation of circadian and seasonal physiology, with
particular emphasis placed on the DBPs.
PMID- 24929230
TI - Involvement of Galphas-proteins in the action of relaxin-like gonad-stimulating
substance on starfish ovarian follicle cells.
AB - Gonad-stimulating substance (GSS) in starfish is the only known invertebrate
peptide hormone responsible for final gamete maturation, rendering it
functionally analogous to gonadotropins in vertebrates. In breeding season (stage
V), GSS stimulates oocyte maturation to induce 1-methyladenine (1-MeAde) by
ovarian follicle cells. The hormonal action of GSS is mediated through the
activation of its receptor, G-proteins and adenylyl cyclase. It has been reported
that GSS fails to induce 1-MeAde and cyclic AMP (cAMP) production in follicle
cells of ovaries during oogenesis (stage IV). This study examined the regulatory
mechanism how ovarian follicle cells acquire the potential to respond to GSS by
producing 1-MeAde and cAMP. Because the failure of GSS action was due to G
proteins of follicle cells, the molecular structures of Galphas, Galphai, Galphaq
and Gbeta were identified in follicle cells of starfish Asterina pectinifera. The
cDNA sequences of Galphas, Galphai, Galphaq and Gbeta consisted of ORFs encoding
379, 354, 353 and 353 amino acids. The expression levels of Galphas were
extremely low in follicle cells at stage IV, whereas the mRNA levels increased
markedly in stage V. On contrary, the mRNA levels of Galphai were almost constant
regardless of stage IV and V. These findings strongly suggest that de novo
synthesis of Galphas-proteins is contributed to the action of GSS on follicle
cells to produce 1-MeAde and cAMP.
PMID- 24929231
TI - Anorexigenic effects of central adrenomedullin are associated with hypothalamic
changes in juvenile Gallus gallus.
AB - Adrenomedullin (AM), a 52 residue neuropeptide, is associated with anorexia in
mammals and has a poorly understood central mechanism of action. Thus, this study
focused on elucidating AM's central mechanism of action in an alternative
vertebrate model, the chick (Gallus gallus). In Experiment 1, chicks centrally
injected with AM dose-dependently reduced food but not water intake. In
Experiment 2, those chicks that received central AM had increased c-Fos
immunoreactivity in the magnocellular division of the paraventricular nucleus
(PaMC), ventromedial hypothalamus (VMH) and doromedial hypothalamus (DM). The
lateral hypothalamic area, parvocellular division of the paraventricular
hypothalamus and the arcuate nucleus were not affected. In Experiment 3,
antagonism of corticotrophin releasing factor (CRF) receptors did not affect AM
associated anorexia. In Experiment 4, a comprehensive behavior analysis was
conducted and AM-treated chicks pecked less, moved more, jumped more and spent
more time in deep rest. In conclusion, exogenous AM induced anorexia is
associated with activation of the PaMC, VMH and DM of the hypothalamus, is not
CRF dependent, and affects behaviors unrelated to food intake in chicks.
PMID- 24929232
TI - Seasonal variation in glucocorticoid and mineralocorticoid receptors in metabolic
tissues of the house sparrow (Passer domesticus).
AB - Glucocorticoid hormones like corticosterone (CORT) play essential metabolic roles
at both baseline and stress-induced concentrations, and CORT titers vary
seasonally in patterns occurring across many different vertebrate species. It has
been hypothesized that CORT may vary seasonally due to changing energy
requirements at different times of year. However, hormone effects are dependent
on binding to receptors in target tissues, and receptors might also vary
seasonally. CORT alters metabolism primarily through binding to two receptors,
the high-affinity mineralocorticoid receptor (MR) and low-affinity glucocorticoid
receptor (GR). We quantified GR and MR in metabolic tissues (liver, kidney,
omental and subcutaneous fat, and gastrocnemius and pectoralis muscle) of wild
caught house sparrows (Passer domesticus) to assess these tissues' capacity to
respond to CORT-mediated metabolic demands. We quantified receptors using
radioligand binding assays in early and late winter, pre-egg-laying, breeding,
late breeding and molt (n=12 at each stage). MR binding did not vary
significantly in any tissue over the course of the year. Because MR is associated
with baseline CORT effects, this suggests that changing hormone titers may
primarily regulate baseline CORT effects on metabolism. Seasonal modulation of GR
binding occurred in every tissue but omental fat, though peak receptor density
did not coincide with peak stress-induced CORT concentrations measured
previously. Because GR is associated with stress-induced CORT effects, these data
demonstrate seasonal patterns in stress-induced CORT are not driven by metabolic
needs alone, although at different times of year sparrows may vary which tissue
types respond to increased energy demands resulting from exposure to stressors.
PMID- 24929233
TI - Molecular and morphological changes in zebrafish following transient ethanol
exposure during defined developmental stages.
AB - Alcohol is a teratogen that has diverse effects on brain and craniofacial
development, leading to a constellation of developmental disorders referred to as
fetal alcohol spectrum disorder (FASD). The molecular basis of ethanol insult
remains poorly understood, as does the relationship between molecular and
behavioral changes as a consequence of prenatal ethanol exposure. Zebrafish
embryos were exposed to a range of ethanol concentrations (0.5-5.0%) during
defined developmental stages, and examined for morphological phenotypes
characteristic of FASD. Embryos were also analyzed by in situ hybridization for
changes in expression of defined cell markers for neural cell types that are
sonic hedgehog-dependent. We show that transient binge-like ethanol exposures
during defined developmental stages, such as early gastrulation and early
neurulation, result in a range of phenotypes and changes in expression of Shh
dependent genes. The severity of fetal alcohol syndrome (FAS) morphological
phenotypes, such as microphthalmia, depends on the embryonic stage and
concentration of alcohol exposure, as does diminution of retinal Pax6a or
forebrain and hindbrain GAD1 gene expression. We also show that changes in eye
and brain morphology correlate with changes in Pax6a and GAD1 gene expression.
Our results therefore show that transient binge-like ethanol exposures in
zebrafish embryos produce the stereotypical morphological phenotypes of FAS, with
the severity of phenotypes depending on the developmental stage and alcohol
concentration of exposure.
PMID- 24929234
TI - Neuropharmacological and cochleotoxic effects of styrene. Consequences on noise
exposures.
AB - Occupational noise exposure can damage workers' hearing, particularly when
combined with exposure to cochleotoxic chemicals such as styrene. Although
styrene-induced cochlear impairments only become apparent after a long incubation
period, the pharmacological impact of styrene on the central nervous system (CNS)
can be rapidly measured by determining the threshold of the middle-ear acoustic
reflex (MER) trigger. The aim of the study was to evaluate the effects of a noise
(both continuous and impulse), and a low concentration of styrene
[300ppm<(threshold limit value*10) safety factor] on the peripheral auditory
receptor, and on the CNS in rats. The impact of the different conditions on
hearing loss was assessed using distortion product oto-acoustic emissions, and
histological analysis of cochleae. Although the LEX,8h (8-hour time-weighted
average exposure) of the impulse noise was lower (80dB SPL sound pressure level)
than that of the continuous noise (85dB SPL), it appeared more detrimental to the
peripheral auditory receptors. A co-exposure to styrene and continuous noise was
less damaging than exposure to continuous noise alone. In contrast, the traumatic
effects of impulse noise on the organ of Corti were enhanced by co-exposure to
styrene. The pharmacological effects of the solvent on the CNS were discussed to
put forward a plausible explanation of these surprising results. We hypothesize
that CNS effects of styrene may account for this apparent paradox. Based on the
present results, the temporal structure of the noise should be reintroduced as a
key parameter in hearing conservation regulations.
PMID- 24929236
TI - Lymphangioma of the colon: a curious endoscopic finding.
PMID- 24929235
TI - No resistance to tenofovir disoproxil fumarate through 96 weeks of treatment in
patients with lamivudine-resistant chronic hepatitis B.
AB - BACKGROUND & AIMS: A recent study compared the efficacy of tenofovir disoproxil
fumarate (TDF) vs the combination of emtricitabine and TDF (FTC/TDF) in patients
with lamivudine-resistant chronic hepatitis B who were treated for as long as 96
weeks. We report findings from resistance analyses conducted for this study.
METHODS: Two hundred eighty patients with chronic hepatitis B virus (HBV)
infection and lamivudine resistance (confirmed by INNO-LiPA Multi-DR) were
randomly assigned (1:1) to groups treated with TDF or FTC/TDF. The HBV reverse
transcriptase domain from the polymerase gene from all patients was sequenced at
baseline and from 18 viremic patients at week 96 or early discontinuation.
RESULTS: At screening for the efficacy study, 99% of patients were found to have
lamivudine resistance. Prior exposure to entecavir or entecavir resistance was
observed in 12% of patients, and 22% of patients had been previously exposed to
adefovir; 1.8% were resistant to adefovir. Only 18 patients (6.4%) qualified for
sequence analysis, including 1 patient who experienced virologic breakthrough and
17 with persistent viremia. Six of these patients did not have any sequence
changes from baseline in HBV reverse transcriptase (33%), and sequence analysis
could not be performed for 5 patients (28%). In 2 patients who qualified for
phenotypic analysis (1 given TDF and 1 given FTC/TDF), no resistance to TDF was
observed. Neither previous treatment exposure nor resistance to entecavir or
adefovir affected viral kinetics. However, the mean baseline level of HBV DNA was
significantly higher in viremic patients than in patients with viral suppression
by week 96 (7.28 log10 IU/mL vs 5.62 log10 IU/mL; P = .0003). CONCLUSIONS: No
resistance to TDF was detected through 96 weeks of treatment in patients with
lamivudine-resistant chronic hepatitis B. Prior treatment or resistance to
entecavir or adefovir did not affect viral kinetics through 96 weeks. No
additional benefit was observed with the addition of emtricitabine vs TDF
monotherapy. ClinicalTrial.gov number: NCT00737568.
PMID- 24929238
TI - Nanog co-regulated by Nodal/Smad2 and Oct4 is required for pluripotency in
developing mouse epiblast.
AB - Nanog, a core pluripotency factor, is required for stabilizing pluripotency of
inner cell mass (ICM) and embryonic stem cells (ESCs), and survival of primordial
germ cells in mice. Here, we have addressed function and regulation of Nanog in
epiblasts of postimplantation mouse embryos by conditional knockdown (KD),
chromatin immunoprecipitation (ChIP) using in vivo epiblasts, and protein
interaction with the Nanog promoter in vitro. Differentiation of Nanog-KD
epiblasts demonstrated requirement for Nanog in stabilization of pluripotency.
Nanog expression in epiblast is directly regulated by Nodal/Smad2 pathway in a
visceral endoderm-dependent manner. Notably, Nanog promoters switch from
Oct4/Esrrb in ICM/ESCs to Oct4/Smad2 in epiblasts. Smad2 directly associates with
Oct4 to form Nanog promoting protein complex. Collectively, these data
demonstrate that Nanog plays a key role in stabilizing Epiblast pluripotency
mediated by Nodal/Smad2 signaling, which is involved in Nanog promoter switching
in early developing embryos.
PMID- 24929237
TI - SLC6 family transporter SNF-10 is required for protease-mediated activation of
sperm motility in C. elegans.
AB - Motility of sperm is crucial for their directed migration to the egg. The
acquisition and modulation of motility are regulated to ensure that sperm move
when and where needed, thereby promoting reproductive success. One specific
example of this phenomenon occurs during differentiation of the ameboid sperm of
Caenorhabditis elegans as they activate from a round spermatid to a mature,
crawling spermatozoon. Sperm activation is regulated by redundant pathways to
occur at a specific time and place for each sex. Here, we report the
identification of the solute carrier 6 (SLC6) transporter protein SNF-10 as a key
regulator of C. elegans sperm activation in response to male protease activation
signals. We find that SNF-10 is present in sperm and is required for activation
by the male but not by the hermaphrodite. Loss of both snf-10 and a hermaphrodite
activation factor render sperm completely insensitive to activation. Using in
vitro assays, we find that snf-10 mutant sperm show a specific deficit in
response to protease treatment but not to other activators. Prior to activation,
SNF-10 is present in the plasma membrane, where it represents a strong candidate
to receive signals that lead to subcellular morphogenesis. After activation, it
shows polarized localization to the cell body region that is dependent on
membrane fusions mediated by the dysferlin FER-1. Our discovery of snf-10 offers
insight into the mechanisms differentially employed by the two sexes to
accomplish the common goal of producing functional sperm, as well as how the
physiology of nematode sperm may be regulated to control motility as it is in
mammals.
PMID- 24929239
TI - Unopposed cathepsin G, neutrophil elastase, and proteinase 3 cause severe lung
damage and emphysema.
AB - Cigarette smoking is a major factor for the development of pulmonary emphysema
because it induces abnormal inflammation and a protease-rich local milieu that
causes connective tissue breakdown of the lungs. As a result of its capacity to
degrade lung tissue and the high risk of patients lacking alpha1-antitrypsin to
develop emphysema, much interest has focused on neutrophil elastase (NE). Two
similar neutrophil serine proteases (NSPs), cathepsin G and proteinase 3, coexist
with NE in humans and mice, but their potential tissue-destructive role(s)
remains unclear. Using a gene-targeting approach, we observed that in contrast to
their wild-type littermates, mice deficient in all three NSPs were substantially
protected against lung tissue destruction after long-term exposure to cigarette
smoke. In exploring the underlying basis for disrupted wild-type lung air spaces,
we found that active NSPs collectively caused more severe lung damage than did NE
alone. Furthermore, NSP activities unleashed increased activity of the tissue
destructive proteases macrophage elastase (matrix metalloproteinase-12) and
gelatinase B (matrix metalloproteinase-9). These in vivo data provide, for the
first time, compelling evidence of the collateral involvement of cathepsin G, NE,
and proteinase 3 in cigarette smoke-induced tissue damage and emphysema. They
also reveal a complex positive feed-forward loop whereby these NSPs induce the
destructive potential of other proteases, thereby generating a chronic and
pathogenic protease-rich milieu.
PMID- 24929240
TI - Combinatorial therapy with acetylation and methylation modifiers attenuates lung
vascular hyperpermeability in endotoxemia-induced mouse inflammatory lung injury.
AB - Impairment of tissue fluid homeostasis and migration of inflammatory cells across
the vascular endothelial barrier are crucial factors in the pathogenesis of acute
lung injury (ALI). The goal for treatment of ALI is to target pathways that lead
to profound dysregulation of the lung endothelial barrier. Although studies have
shown that chemical epigenetic modifiers can limit lung inflammation in
experimental ALI models, studies to date have not examined efficacy of a
combination of DNA methyl transferase inhibitor 5-Aza 2-deoxycytidine and histone
deacetylase inhibitor trichostatin A (herein referred to as Aza+TSA) after
endotoxemia-induced mouse lung injury. We tested the hypothesis that treatment
with Aza+TSA after lipopolysaccharide induction of ALI through epigenetic
modification of lung endothelial cells prevents inflammatory lung injury.
Combinatorial treatment with Aza+TSA mitigated the increased endothelial
permeability response after lipopolysaccharide challenge. In addition, we
observed reduced lung inflammation and lung injury. Aza+TSA also significantly
reduced mortality in the ALI model. The protection was ascribed to inhibition of
the eNOS-Cav1-MLC2 signaling pathway and enhanced acetylation of histone markers
on the vascular endothelial-cadherin promoter. In summary, these data show for
the first time the efficacy of combinatorial Aza+TSA therapy in preventing ALI in
lipopolysaccharide-induced endotoxemia and raise the possibility of an essential
role of DNA methyl transferase and histone deacetylase in the mechanism of ALI.
PMID- 24929241
TI - Moderate intensity resistive exercise improves metaphyseal cancellous bone
recovery following an initial disuse period, but does not mitigate decrements
during a subsequent disuse period in adult rats.
AB - Spaceflight provides a unique environment for skeletal tissue causing decrements
in structural and densitometric properties of bone. Previously, we used the adult
hindlimb unloaded (HU) rat model to show that previous exposure to HU had minimal
effects on bone structure after a second HU exposure followed by recovery.
Furthermore, we found that the decrements during second HU exposure were milder
than the initial HU cycle. In this study, we used a moderate intensity resistance
exercise protocol as an anabolic stimulus during recovery to test the hypothesis
that resistance exercise following an exposure to HU will significantly enhance
recovery of densitometric, structural, and, more importantly, mechanical
properties of trabecular and cortical bone. We also hypothesized that resistance
exercise during recovery, and prior to the second unloading period, will mitigate
the losses during the second exposure. The hypothesis that exercise during
recovery following hindlimb unloading will improve bone quality was supported by
our data, as total BMC, total vBMD, and cancellous bone formation at the proximal
tibia metaphysis increased significantly during exercise period, and total
BMC/vBMD exceeded age-matched control and non-exercised values significantly by
the end of recovery. However, our results did not support the hypothesis that
resistance exercise prior to a subsequent unloading period will mitigate the
detrimental effects of the second exposure, as the losses during the second
exposure in total BMC, total vBMD, and cortical area at the proximal tibia
metaphysis for the exercised animals were similar to those of the non-exercised
group. Therefore, exercise did not mitigate effects of the second HU exposure in
terms of pre-to-post HU changes in these variables, but it did produce beneficial
effects in a broader sense.
PMID- 24929242
TI - Msx1 role in craniofacial bone morphogenesis.
AB - The homeobox gene Msx1 encodes a transcription factor that is highly expressed
during embryogenesis and postnatal development in bone. Mutations of the MSX1
gene in humans are associated with cleft palate and (or) tooth agenesis. A
similar phenotype is observed in newborn mice invalidated for the Msx1 gene.
However, little is known about Msx1 function in osteoblast differentiation and
bone mineralization in vivo. In the present study, we aimed to explore the
variations of individualized bone shape in a subtle way avoiding the often severe
consequences associated with gene mutations. We established transgenic mice that
specifically express Msx1 in mineral-matrix-secreting cells under the control of
the mouse 2.3kb collagen 1 alpha 1 (Col1alpha1) promoter, which enabled us to
investigate Msx1 function in bone in vivo. Adult transgenic mice (Msx1-Tg)
presented altered skull shape and mineralization resulting from increased Msx1
expression during bone development. Serial section analysis of the mandibles
showed a high amount of bone matrix in these mice. In addition, osteoblast
number, cell proliferation and apoptosis were higher in Msx1-Tg mice than in
controls with regional differences that could account for alterations of bone
shape. However, Von Kossa staining and MUCT analysis showed that bone
mineralization was lower in Msx1-Tg mice than in controls due to alteration of
osteoblastic differentiation. Msx1 appears to act as a modeling factor for
membranous bone; it stimulates trabecular bone metabolism but limits cortical
bone growth by promoting apoptosis, and concomitantly controls the collagen-based
mineralization process.
PMID- 24929243
TI - Characterization of a lectin from the craysfish Cherax quadricarinatus hemolymph
and its effect on hemocytes.
AB - Lectins participate in the immune mechanisms of crustaceans. They have been
considered as humoral receptors for pathogen-associated molecular patterns;
however, some reports suggest that lectins could regulate crustacean cellular
functions. In the present study, we purified and characterized a serum lectin
(CqL) from the hemolymph of Cherax quadricarinatus by affinity chromatography and
determined its participation in the regulation of hemocytes' oxidative burst. CqL
is a 290-kDa lectin in native form, constituted by 108, 80, and 29-kDa subunits.
It is mainly composed of glycine, alanine, and a minor proportion of methionine
and histidine. It showed no carbohydrates in its structure. CqL is composed of
several isoforms, as determined by 2D-electrophoresis, and shows no homology with
any crustacean protein as determined by Lc/Ms mass spectrometry. CqL agglutinated
mainly rat and rabbit erythrocytes and showed a broad specificity for
monosaccharides such as galactose, glucose, and sialic acid, as well as for
glycoproteins, such as porcine stomach and bovine submaxillary mucin and fetuin.
It is a Mn(2+)-dependent lectin. CqL recognized 8% of crayfish granular hemocytes
and increased 4.2-fold the production of hemocytes' superoxide anion in vitro
assays when compared with non-treated hemocytes. This effect showed the same
specificity for carbohydrates as hemagglutination; moreover, superoxide dismutase
and diphenyleneiodonium chloride were effective inhibitors of CqL oxidative
activation. The CqL homoreceptor is a 120-kDa glycoprotein identified in the
hemocytes lysate. Our results suggest that CqL participates actively in the
regulation of the generation of superoxide anions in hemocytes using NADPH
dependent mechanisms.
PMID- 24929244
TI - Classification and phagocytosis of circulating haemocytes in Chinese mitten crab
(Eriocheir sinensis) and the effect of extrinsic stimulation on circulating
haemocytes in vivo.
AB - Eriocheir sinensis (Henri Milne Edwards 1854) is one of the most important
aquaculture species in China. In this investigation, we characterised the
different types of haemocytes of E. sinensis using light and electron microscopy
combined with cytochemical analysis and determined the in vivo phagocytic ability
of different haemocyte types by injecting polystyrene beads. The haemocytes of E.
sinensis were divided into three types: hyalinocytes, semigranulocytes and
granulocytes. The hyalinocytes had no or few cytoplasmic granules; the
semigranulocytes contained abundant small granules and a few large refractile
cytoplasmic granules; and the granulocytes contained numerous large refractile
cytoplasmic granules. The hyalinocytes were demonstrated to be the most abundant
circulating haemocytes and the most avid phagocytic haemocytes, accounting for
approximately 88.7% of the total phagocytes. The haemocyte-containing granules
displayed limited phagocytic ability, with approximately 5.0% of granulocytes and
6.3% of semigranulocytes displaying positive phagocytic ability against the
invading polystyrene beads in vivo. After injection with Aeromonas hydrophila,
Bacillus subtilis and different concentrations of lipopolysaccharide for 0.25,
0.5, 1, 2, 4, 6 and 8 h, all three types of haemocytes experienced dramatic
decline and then rapid recovery to their initial levels. A high concentration of
lipopolysaccharide and A. hydrophila were extremely toxic to the crabs, as they
induced a more serious loss of haemocytes compared with a low concentration of
lipopolysaccharide and B. subtilis. Overall, the results obtained in this study
indicate that a small proportion of the haemocytes of E. sinensis contributed to
the phagocytic process, and the migration of haemocytes and haemocyte lysis were
most likely a prominent pathway for pathogen elimination.
PMID- 24929245
TI - Incongruence among different mitochondrial regions: a case study using complete
mitogenomes.
AB - Mitochondrial sequences have long been used to examine vertebrate phylogenetic
relationships. The extensive use of mitochondrial data reflects the ease of
obtaining mitochondrial sequences and its relatively rapid coalescence time.
Mitochondrial genomes typically do not undergo recombination, so the entire
mitogenome should have the same underlying gene tree. Thus, given appropriate
analyses, conflict among estimates of phylogeny from different mitochondrial
regions should not exist. However, estimates of phylogeny based upon different
mitochondrial regions can exhibit incongruence. Conflict in phylogenetic signal
among mitochondrial regions has been observed in galliform birds for the position
of the Odontophoridae (New World quail). To explore this, we expanded sampling to
47 galliform mitogenomes, adding six new mitogenomes, which included
representatives of two previously unsampled families. Analyses of complete
mitogenomes recovered a well-supported topology that was congruent with
expectations from multi-locus studies. However, when analyzing individual
regions, we found conflicting positions for the Odontophoridae and several other
relationships at multiple taxonomic levels. We tested multiple analytical
strategies to reduce incongruence among regions, including partitioning by codon
position, using mixture and codon-based models, RY coding, and excluding
potentially misleading sites. No approach consistently reduced the conflict among
mitochondrial regions at any taxonomic level. The biological attributes of both
strongly misleading and non-misleading sites were essentially identical.
Increasing taxa actually appeared to increase conflicting signal, even when taxa
were selected to break up long branches. Collectively, our results indicate that
analyzing mitochondrial data remains difficult, although analyzing complete
mitogenomes resulted in a good estimate of the mitochondrial gene tree.
PMID- 24929246
TI - Dubious resolution and support from published sparse supermatrices: the
importance of thorough tree searches.
AB - We re-analyzed 10 sparse supermatrices wherein the original authors relied
primarily or entirely upon maximum likelihood phylogenetic analyses implemented
in RAxML and quantified branch support using the bootstrap. We compared the RAxML
based topologies and bootstrap values with both superficial- and relatively
thorough-tree-search parsimony topologies and bootstrap values. We tested for
clades that were resolved by RAxML but properly unsupported by checking if the SH
like aLRT equals zero and/or if the parsimony-optimized minimum branch length
equals zero. Four of our conclusions are as follows. (1) Despite sampling nearly
50,000 characters, highly supported branches in a RAxML tree may be entirely
unsupported because of missing data. (2) One should not rely entirely upon RAxML
SH-like aLRT, RAxML bootstrap, or superficial parsimony bootstrap methods to
rigorously quantify branch support for sparse supermatrices. (3) A fundamental
factor that favors thorough parsimony analyses of sparse supermatrices is being
able to distinguish between clades that are unequivocally supported by the data
from those that are not; superficial likelihood analyses that quantify branch
support using the bootstrap cannot be relied upon to always make this
distinction. (4) The SH-like aLRT and parsimony-optimized-minimum-branch-length
tests generally identify the same properly unsupported clades; the latter is a
more severe test.
PMID- 24929247
TI - Molecular systematics and biogeography of Logania R.Br. (Loganiaceae).
AB - The angiosperm genus Logania R.Br. (Loganiaceae) is endemic to the mainland of
Australia. A recent genetic study challenged the monophyly of Logania, suggesting
that its two sections, Logania sect. Logania and Logania sect. Stomandra, do not
group together. Additionally, the genus has a disjunct distribution, with a gap
at the Nullarbor Plain in southern Australia. Therefore, Logania is a favourable
candidate to gain insight into phylogenetic relationships and how these might
intersect with Earth-history events. Our phylogenetic analyses of DNA sequences
of two chloroplast markers (petD and rps16) showed that Logania sect. Logania and
L. sect. Stomandra were each resolved as monophyletic, but the genus (as
currently circumscribed) was not. Based on our Bayesian estimates of divergence
times, the disjunct distributions within Logania sect. Stomandra could have been
caused by flooding of the Eucla Basin. However, this biogeographical process
cannot account for the distribution of Logania sect. Logania, with long-distance
dispersal and establishment seeming more likely.
PMID- 24929248
TI - Limit of blank and limit of detection of Plasmodium falciparum thick blood smear
microscopy in a routine setting in Central Africa.
AB - BACKGROUND: Proper malaria diagnosis depends on the detection of asexual forms of
Plasmodium spp. in the blood. Thick blood smear microscopy is the accepted gold
standard of malaria diagnosis and is widely implemented. Surprisingly, diagnostic
performance of this method is not well investigated and many clinicians in
African routine settings base treatment decisions independent of microscopy
results. This leads to overtreatment and poor management of other febrile
diseases. Implementation of quality control programmes is recommended, but
requires sustained funding, external logistic support and constant training and
supervision of the staff. This study describes an easily applicable method to
assess the performance of thick blood smear microscopy by determining the limit
of blank and limit of detection. These two values are representative of the
diagnostic quality and allow the correct discrimination between positive and
negative samples. METHODS: Standard-conform methodology was applied and adapted
to determine the limit of blank and the limit of detection of two thick blood
smear microscopy methods (WHO and Lambarene method) in a research centre in
Lambarene, Gabon. Duplicates of negative and low parasitaemia thick blood smears
were read by several microscopists. The mean and standard deviation of the
results were used to calculate the limit of blank and subsequently the limit of
detection. RESULTS: The limit of blank was 0 parasites/MUL for both methods. The
limit of detection was 62 and 88 parasites/MUL for the Lambarene and WHO method,
respectively. CONCLUSION: With a simple, back-of-the-envelope calculation, the
performance of two malaria microscopy methods can be measured. These results are
specific for each diagnostic unit and cannot be generalized but implementation of
a system to control microscopy performance can improve confidence in
parasitological results and thereby strengthen malaria control.
PMID- 24929249
TI - Viscoelastic properties of bovine knee joint articular cartilage: dependency on
thickness and loading frequency.
AB - BACKGROUND: The knee is an incongruent joint predisposed to developing
osteoarthritis, with certain regions being more at risk of cartilage degeneration
even in non-osteoarthrosed joints.At present it is unknown if knee regions prone
to cartilage degeneration have similar storage and/or loss stiffness, and
frequency-dependent trends, to other knee joint cartilage. The aim of this study
was to determine the range of frequency-dependent, viscoelastic stiffness of
articular cartilage across the bovine knee joint. Such changes were determined at
frequencies associated with normal and rapid heel-strike rise times. METHODS:
Cartilage on bone, obtained from bovine knee joints, was tested using dynamic
mechanical analysis (DMA). DMA was performed at a range of frequencies between 1
and 88 Hz (i.e. relevant to normal and rapid heel-strike rise times).
Viscoelastic stiffness of cartilage from the tibial plateau, femoral condyles and
patellar groove were compared. RESULTS: For all samples the storage stiffness
increased, but the loss stiffness remained constant, with frequency. They were
also dependent on cartilage thickness. Both the loss stiffness and the storage
stiffness decreased with cartilage thickness. Femoral condyles had the thinnest
cartilage but had the highest storage and loss stiffness. Tibial plateau
cartilage not covered by the meniscus had the thickest cartilage and lowest
storage and loss stiffness. CONCLUSION: Differences in regional thickness of knee
joint cartilage correspond to altered frequency-dependent, viscoelastic
stiffness.
PMID- 24929250
TI - Birthplace in New South Wales, Australia: an analysis of perinatal outcomes using
routinely collected data.
AB - BACKGROUND: The outcomes for women who give birth in hospital compared with at
home are the subject of ongoing debate. We aimed to determine whether a
retrospective linked data study using routinely collected data was a viable means
to compare perinatal and maternal outcomes and interventions in labour by planned
place of birth at the onset of labour in one Australian state. METHODS: A
population-based cohort study was undertaken using routinely collected linked
data from the New South Wales Perinatal Data Collection, Admitted Patient Data
Collection, Register of Congenital Conditions, Registry of Birth Deaths and
Marriages and the Australian Bureau of Statistics. Eight years of data provided a
sample size of 258,161 full-term women and their infants. The primary outcome was
a composite outcome of neonatal mortality and morbidity as used in the Birthplace
in England study. RESULTS: Women who planned to give birth in a birth centre or
at home were significantly more likely to have a normal labour and birth compared
with women in the labour ward group. There were no statistically significant
differences in stillbirth and early neonatal deaths between the three groups,
although we had insufficient statistical power to test reliably for these
differences. CONCLUSION: This study provides information to assist the
development and evaluation of different places of birth across Australia. It is
feasible to examine perinatal and maternal outcomes by planned place of birth
using routinely collected linked data, although very large data sets will be
required to measure rare outcomes associated with place of birth in a low risk
population, especially in countries like Australia where homebirth rates are low.
PMID- 24929251
TI - Gene-carbohydrate and gene-fiber interactions and type 2 diabetes in diverse
populations from the National Health and Nutrition Examination Surveys (NHANES)
as part of the Epidemiologic Architecture for Genes Linked to Environment (EAGLE)
study.
AB - BACKGROUND: Both environmental and genetic factors impact type 2 diabetes (T2D).
To identify such modifiers, we genotyped 15 T2D-associated variants from genome
wide association studies (GWAS) in 6,414 non-Hispanic whites, 3,073 non-Hispanic
blacks, and 3,633 Mexican American participants from the National Health and
Nutrition Examination Surveys (NHANES) and evaluated interactions between these
variants and carbohydrate intake and fiber intake. RESULTS: We calculated a
genetic risk score (GRS) with the 15 SNPs. The odds ratio for T2D with each GRS
point was 1.10 (95% CI: 1.05-1.14) for non-Hispanic whites, 1.07 (95% CI: 1.02
1.13) for non-Hispanic blacks, and 1.11 (95% CI: 1.06-1.17) for Mexican
Americans. We identified two gene-carbohydrate interactions (P < 0.05) in non
Hispanic whites (with CDKAL1 rs471253 and FTO rs8050136), two in non-Hispanic
blacks (with IGFBP2 rs4402960 and THADA rs7578597), and two in Mexican Americans
(with NOTCH2 rs1092398 and TSPAN8-LGRS rs7961581). We found three gene-fiber
interactions in non-Hispanic whites (with ADAMT59 rs4607103, CDKN2A/2B rs1801282,
and FTO rs8050136), two in non-Hispanic blacks (with ADAMT59 rs4607103 and THADA
rs7578597), and two in Mexican Americans (with THADA rs7578597 and TSPAN8-LGRS
rs796158) at the P < 0.05 level. Interactions between the GRS and nutrients
failed to reach significance in all the racial/ethnic groups. CONCLUSION: Our
results suggest that dietary carbohydrates and fiber may modify T2D-associated
variants, highlighting the importance of dietary nutrients in predicting T2D
risk.
PMID- 24929252
TI - The long-term effect of community-based health management on the elderly with
type 2 diabetes by the Markov modeling.
AB - The aim of this study was to assess the long-term effects of community-based
health management on elderly diabetic patients using a Markov model. A Markov
decision model was used to simulate the natural history of diabetes. Data were
obtained from our randomized trials of elderly with type 2 diabetes and from the
published literature. One hundred elderly patients with type 2 diabetes were
randomly allocated to either the management or the control group in a one-to-one
ratio. The management group participated in a health management program for 18
months in addition to receiving usual care. The control group only received usual
care. Measurements were performed on both groups at baseline and after 18 months.
The Markov model predicted that for every 1000 diabetic patients receiving health
management, approximately 123 diabetic patients would avoid complications, and
approximately 37 would avoid death over the next 13 years. The results suggest
that the health management program had a positive long-term effect on the health
of elderly diabetic patients. The Markov model appears to be useful in health
care planning and decision-making aimed at reducing the financial and social
burden of diabetes.
PMID- 24929253
TI - The clinical relevance of dry powder inhaler performance for drug delivery.
AB - BACKGROUND: Although understanding of the scientific basis of aerosol therapy
with dry powder inhalers (DPIs) has increased, some misconceptions still persist.
These include the beliefs that high resistance inhalers are unsuitable for some
patients, that extra fine (<1.0 MUm) particles improve peripheral lung deposition
and that inhalers with flow rate-independent fine particle fractions (FPFs)
produce a more consistent delivered dose to the lungs. OBJECTIVES: This article
aims to clarify the complex inter-relationships between inhaler design and
resistance, inspiratory flow rate (IFR), FPF, lung deposition and clinical
outcomes, as a better understanding may result in a better choice of DPI for
individual patients. METHODS: The various factors that determine the delivery of
drug particles into the lungs are reviewed. These include aerodynamic particle
size distribution, the inspiratory manoeuvre, airway geometry and the three basic
principles that determine the site and extent of deposition: inertial impaction,
sedimentation and diffusion. DPIs are classed as either dependent or independent
of inspiratory flow rate and vary in their internal resistance to inspiration.
The effects of these characteristics on drug deposition in the airways are
described using data from studies directly comparing currently available inhaler
devices. RESULTS: Clinical experience shows that most patients can use a high
resistance DPI effectively, even during exacerbations. Particles in the
aerodynamic size range from 1.5-5 MUm are shown to be optimal, as particles <1.0
MUm are very likely to be exhaled again while those >5 MUm may impact on the
oropharynx. For DPIs with a constant FPF at all flow rates, less of the delivered
dose reaches the central and peripheral lung when the flow rate increases,
risking under-dosing of the required medication. In contrast, flow rate-dependent
inhalers increase their FPF output at higher flow rates, which compensates for
the greater impaction on the upper airways as flow rate increases. CONCLUSIONS:
The technical characteristics of different inhalers and the delivery and
deposition of the fine particle dose to the lungs may be important additional
considerations to help the physician to select the most appropriate device for
the individual patient to optimise their treatment.
PMID- 24929254
TI - Downregulation of PPARgamma by miR-548d-5p suppresses the adipogenic
differentiation of human bone marrow mesenchymal stem cells and enhances their
osteogenic potential.
AB - BACKGROUND: Human bone marrow mesenchymal stem cells (hBMSCs) are multipotent
cells that can differentiate into a variety of cell types. Elevated expression of
peroxisome proliferator-activated receptor-gamma (PPARgamma) promotes the
adipogenic differentiation of hBMSCs, and reduces their osteogenic
differentiation. MicroRNAs (miRNAs) have been shown to play important roles in
the regulation of hBMSCs differentiation. Because bioinformatic analysis has
indicated that PPARgamma is a candidate target of miR-548d-5p, the aim of this
study was to assess the impact of miR-548d-5p on the dexamethasone-induced
adipogenic differentiation of hBMSCs. METHODS: A quantitative RT-PCR (qRT-PCR)
assay was used to compare miR-548d-5p expression levels in dexamethasone-induced
hBMSCs and uninduced control cells. Oil red O staining, cellular triglyceride
(TG) content, and the mRNA and protein levels of PPARgamma and CCAAT/enhancer
binding protein alpha (C/EBPalpha) were used to evaluate the adipogenic
differentiation of hBMSCs. Alkaline phosphatase (ALP) activity and levels of
osteocalcin (OCN) and Runx2 were used to evaluate the osteogenic potential of
hBMSCs. RESULTS: Compared with untreated cells, miR-548d-5p expression levels
were downregulated during dexamethasone-induced adipogenic differentiation of
hBMSCs. In contrast to the profuse Oil Red O staining in the cytoplasm of
dexamethasone + scrambled miRNA-treated cells, there was limited staining in the
cytoplasm of dexamethasone + miR-548d-5p-treated cells, indicating the absence of
adipocytes. Moreover, compared with scrambled miRNA-treated cells, treatment with
miR-548d-5p suppressed cellular levels of PPARgamma and C/EBPalpha mRNA and
protein, and cell TG content (P < 0.05). In contrast, compared with scrambled
miRNA-treated cells, cellular levels of OCN and Runx2 mRNA and protein, as well
as ALP activity, were significantly higher in miR-548d-5p-treated cells (P <
0.05). Western blot and luciferase reporter assays confirmed that miR-548d-5p
directly targeted the 3'-untranslated region of PPARgamma. CONCLUSIONS: miR-548d
5p is downregulated during dexamethasone-induced adipogenic differentiation of
hBMSCs. By directly targeting and downregulating PPARgamma, miR-548d-5p
suppresses the dexamethasone-induced adipogenic differentiation of hBMSCs and
enhances their osteogenic potential. Our findings suggest that miR-548d-5p has
potential in the treatment of corticosteroid-induced osteonecrosis of the femoral
head.
PMID- 24929255
TI - Foreword to the Virus Research special issue on "hantaviruses".
PMID- 24929256
TI - [Cardiac tamponade after withdrawal of a peripheral access central catheter].
AB - Central venous catheterization is a very common technique, although its
complications can be multiple and sometimes fatal. A case is presented of cardiac
tamponade by parenteral nutrition a few hours after moving a central venous
catheter peripherally inserted a few days before. The diagnosis was made by
echocardiography, and an emergency pericardiocentesis was performed, achieving
complete recovery of the patient. Peripherally inserted central venous catheters
are more likely to change their position secondary to the movements of the
patient's arm, thus it is important to use soft catheters, make sure the tip lies
above the carina to avoid perforation of the pericardial reflexion, and fix it
well to the skin. Diagnosis must be made as soon as possible, given the high
mortality rate of this complication, and the essential diagnostic tool is
echocardiography. Elective treatment consists of early catheter withdrawal and
emergency pericardiocentesis.
PMID- 24929257
TI - Phonatory outcome of 585 nm/pulsed-dye laser in the management of glottic
leukoplakia.
AB - OBJECTIVE: Vocal cord mucosectomy using pulsed-dye laser was assessed for its
ability to completely remove lesions without deterioration of vocal quality in
cases of vocal cord leukoplakia. To confirm the validity of a pulsed-dye laser,
we retrospectively analyzed the treatment outcomes of patients who received
surgery preceded by pulsed-dye laser and compared these with the outcomes of
patients who received vocal cord mucosectomy using CO2 laser. METHODS: Between
February 2007 and June 2012, 36 patients were enrolled. Seventeen patients
received vocal cord treatment with a CO2 laser and 19 patients received operation
with a pulsed-dye laser. To evaluate voice status, acoustic wave form analysis
and electroglottography were done, and voice handicap index was measured before
and after the operation. RESULTS: The entire lesion was removed in all patients.
Compared to preoperative vocal parameters, the postoperative values for jitter
were only improved in the pulsed-dye laser group. On stroboscopic findings, a
diminution or lack of mucosal wave was observed in more CO2 laser cases than
pulsed-dye laser cases. Significant improvement in voice handicap index results
was only observed in the pulsed-dye laser group. CONCLUSION: Although long-term
results with more patients are required to establish the validity of pulsed-dye
laser, this study confirmed the merits of pulsed-dye laser for the en-bloc
removal of vocal cord leukoplakia and improved voice outcome.
PMID- 24929259
TI - Psychosis in children with systemic lupus erythematosus: the role of steroids as
both treatment and cause.
AB - Steroids may both be a cause of and treatment for pediatric patients with
systemic lupus erythematosus (SLE) presenting with psychotic symptoms. We present
two cases demonstrating that careful histories (including prior steroid exposure)
and the use of biomarkers can help guide the management of children with SLE
presenting with psychosis.
PMID- 24929258
TI - Elevated early follicular progesterone levels and in vitro fertilization
outcomes: a prospective intervention study and meta-analysis.
AB - OBJECTIVE: To assess the impact of elevated early follicular progesterone (P)
levels in gonadotropin-releasing hormone (GnRH) antagonist cycles on clinical
outcome using prospective data in combination with a systematic review and meta
analysis. DESIGN: Nested study within a multicenter randomized controlled trial
and a systematic review and meta-analysis. SETTING: Reproductive medicine center
in an university hospital. PATIENT(S): 158 in vitro
fertilization/intracytoplasmic sperm injection (IVF-ICSI) patients.
INTERVENTION(S): Recombinant follicle-stimulating hormone (FSH) (150-225 IU)
administered daily from cycle day 2 onward; GnRH antagonist treatment randomly
started on cycle day 2 or 6; assignment into two groups according to P level on
cycle day 2: normal or elevated (>4.77 nmol/L or >1.5 ng/mL, respectively). MAIN
OUTCOME MEASURE(S): Ongoing pregnancy rate (OPR) per started cycle. RESULT(S):
The incidence of elevated P was 13.3%. A non-statistically-significant difference
in OPR was present between the normal and elevated P groups (27.0% vs. 19.0%). No
differential impact of early or late GnRH antagonist initiation on the effect of
elevated or normal P on OPR was observed. A systematic search of Medline and
EMBASE from 1972-2013 was performed to identify studies analyzing elevated early
P levels in GnRH antagonists. The meta-analysis (n=1,052) demonstrated that
elevated P levels statistically significantly decreased the OPR with 15% (95% CI
23, -7 %). Heterogeneity across the studies, presumably based on varying
protocols, may have modulated the effect of elevated P. CONCLUSION(S): From the
present meta-analysis it appears that early elevated P levels are associated with
a lower OPR in GnRH antagonists. The incidence of such a condition, however, is
low. CLINICAL TRIAL REGISTRATION NUMBER: NCT00866034.
PMID- 24929260
TI - Letter from the editor: the great mimickers in radiology.
PMID- 24929261
TI - Tuberculosis--the great mimicker.
AB - Tuberculosis is an immense health problem in the developing world, and it remains
a health care challenge in the developed world. It can affect virtually any organ
system in the body. Diagnosis of tuberculosis is often difficult. Many patients
with tuberculosis present with nonspecific symptoms, negative purified protein
derivative skin test result, and negative findings on culture specimens. Cross
sectional imaging with ultrasound, multidetector computed tomography, and
magnetic resonance imaging plays an important role in the diagnosis of
tuberculosis. Tuberculosis demonstrates a variety of radiologic features
depending on the organ involved and can mimic a number of other disease entities.
Cross-sectional imaging alone is insufficient in reaching a conclusive diagnosis.
Tuberculosis is a great mimicker as its radiologic manifestations can simulate
numerous other diseases across the body systems. However, recognition and
understanding of the common and uncommon radiologic manifestations of
tuberculosis should alert considering tuberculosis in the high-risk population
and correct clinical setting to enable appropriate treatment.
PMID- 24929262
TI - Sarcoidosis--the greatest mimic.
AB - Little is known regarding the exact pathogenesis of sarcoidosis, but it is widely
recognized that it affects multiple organs. The presentation and imaging features
can be nonspecific, and this is the reason why it is a great mimic of other
diseases. Diagnosis of sarcoidosis is often prompted initially by clinical
suspicion. Imaging plays a crucial role in both detection and monitoring of
disease process. This review is a case-based systemic approach looking at various
systemic manifestation of the disease presenting real clinical encounters using
various imaging modalities.
PMID- 24929263
TI - Amyloidosis: review and imaging findings.
AB - Amyloidosis is a collection of pathophysiologically related disease entities
caused by the extracellular deposition of abnormal fibrillar proteins called
amyloid. The accumulation of amyloid may be systemic, involving many organs, or
localized manifesting as infiltration of individual organs, or in the form of a
focal, tumorlike lesion. Amyloidosis may develop in the setting of underlying
conditions, usually chronic inflammatory diseases, in which case it is termed
secondary, or it may involve no underlying disease and thus be primary or
idiopathic. Amyloid infiltration leads to pathology through the disruption of
normal tissue structure and function or through cytotoxic effects of intermediate
forms of protein aggregates. Clinical manifestations of the disease vary and are
nonspecific, increasing the need of imaging during the investigation of the
disease. Imaging findings are diverse and not pathognomonic; however, combined
with the patient's clinical history they can raise the suspicion of amyloidosis
and direct toward its confirmation by biopsy. Radiologists should be familiar
with the appearance of amyloidosis in various modalities to aid the early
identification of the disease and direct toward prompt treatment planning. Such
knowledge would provide the radiologist with an opportunity to contribute to
patient care and aid reducing the high morbidity and mortality of the disease.
PMID- 24929265
TI - Extramedullary hemopoiesis.
AB - Various chronic hematologic disorders that lead to ineffective hemopoiesis or
inadequate bone marrow function (ie, chronic hemolytic anemias, thalassemia,
sickle cell anemia, myelofibrosis of many causes, lymphoma, and leukemia) can
potentially precipitate extramarrow new blood element creation. Extramarrow soft
tissue that produces blood elements is called extramedullary hemopoietic tissue
and the process extramedullary hemopoiesis (EMH). Sites commonly involved by EMH
include the liver, spleen, lymph nodes, and most commonly, paravertebral regions,
although other sites can sometimes be involved. Physicians rarely consider EMH in
their differential diagnosis even in cases where it is warranted (diseases of
ineffective erythropoiesis). This is likely because of the rarity of the
condition and because imaging findings are nonspecific. We present here a
systematic review of the imaging findings in EMH.
PMID- 24929264
TI - IgG4-related disease in the abdomen: a great mimicker.
AB - IgG4-related disease is a systemic disorder that can involve various abdominal
organs. Abdominal manifestations include autoimmune pancreatitis, sclerosing
cholangitis, gallbladder pseudotumours, multifocal renal abnormalities,
retroperitoneal fibrosis, and sclerosing mesenteritis. Radiological appearances
of the IgG4-related disease often resemble malignant tumors and other
inflammatory processes, potentially leading to unnecessary invasive procedures.
Moreover, a timely diagnosis of IgG4-related disease leads to an effective
treatment with steroids. Therefore, it is important to understand the spectrum of
imaging manifestations of IgG4-related disease and their differentiating features
from other diagnoses.
PMID- 24929266
TI - The great mimickers: Castleman disease.
AB - Castleman disease (CD) was first described and most commonly occurs in the form
of classic hyaline-vascular-type CD with hyperenhancing localized (unicentric)
nonneoplastic lymphadenopathy. CD may affect any body region including unusual
locations for isolated lymph node enlargement. This makes CD a great mimicker of
more common benign and malignant masses in the neck, chest, abdomen, and pelvis.
CD masses commonly raise the suspicion of lymphoma, paraganglioma, neuroendocrine
tumor, metastatic adenopathy, solid parenchymal tumors, and infectious or
inflammatory diseases. The less common plasma cell-type CD, mixed CD, and human
herpes virus-8-associated CD (HHV8-CD) are more prone to lead to generalized
(multicentric) adenopathy without the typical nodal hyperenhancement and are
associated with B symptoms and hematologic and immunologic manifestations. The
generalized forms of CD have a worse prognosis, which can approach that of
malignancies. CD has multiple known associations with neoplasms, skin diseases,
blood dyscrasias, and immunodeficiency, which include certain forms of lymphoma;
paraneoplastic pemphigus; polyneuropathy, organomegaly, endocrinopathy, M
protein, and skin changes syndrome; and plasma cell dyscrasias. Cytokine
overproduction (IL-6) is an important pathogenetic factor in the development of
CD. The treatment is accordingly variable, from curative or diagnostic resection
of a solitary lesion to systemic chemotherapy or anti-IL-6 therapy.
PMID- 24929267
TI - Metastatic melanoma in the chest and abdomen: the great radiologic imitator.
AB - Metastatic melanoma causes an unpredictable variety of manifestations in the
chest and abdomen that may be indistinguishable from other diseases by imaging
alone. Melanoma metastases commonly involve the lymph nodes, lungs, liver, and
small bowel, but virtually any organ can be affected. Newer modalities, such as
contrast-enhanced ultrasound and whole-body magnetic resonance imaging, may
provide more sensitive detection of metastatic melanoma for diagnosis, staging,
and surveillance. An understanding of the predominantly hematogenous nature of
metastatic spread by melanoma as well as a high index of suspicion in any patient
with a history of melanoma may allow for more precise and confident diagnosis.
PMID- 24929268
TI - Malignant and benign lesions of the skeletal musculature.
AB - There are several tumors and tumorlike conditions with variable biological
behavior that may involve the skeletal musculature. The aim of this work was to
review different intramuscular lesions and to provide a classification of muscle
lesions based on their radiological patterns as well as to provide as a pictorial
essay the imaging characteristics of typical muscle lesions. Radiologically,
intramuscular lesions can manifest as solid masses, liquid or semiliquid masses,
fat-containing lesions, diffuse muscle enlargement, and muscle calcifications.
Additionally, lesions with mixed patterns can also occur. It is noteworthy that
different malignant or benign muscle lesions can manifest with identical
radiological patterns.
PMID- 24929269
TI - The renal sinus--transitional cell carcinoma and its mimickers on computed
tomography.
AB - The renal sinus is a deep recess located at the medial aspect of the kidney
surrounded by the renal parenchyma. It contains within it the collecting system
of the kidney, lymphatics, nerves, and renal blood vessels. The remainder of the
sinus is filled with adipose and fibrous tissue. A variety of pathological
conditions arise from the different tissues in this site. The aim of this review
is to explore and illustrate the common and less common processes affecting the
renal pelvis.
PMID- 24929270
TI - Variability of weight loss results with Roux-en-Y gastric bypass.
PMID- 24929271
TI - Survey of women's experiences of care in a new freestanding midwifery unit in an
inner city area of London, England: 2. Specific aspects of care.
AB - OBJECTIVE: to describe and compare women's experiences of specific aspects of
maternity care before and after the opening of the Barkantine Birth Centre, a new
freestanding midwifery unit in an inner city area. DESIGN: telephone surveys
undertaken in late pregnancy and about six weeks after birth. Two separate waves
of interviews were conducted, Phase 1 before the birth centre opened and Phase 2
after it had opened. SETTING: Tower Hamlets, a deprived inner city borough in
east London, 2007-2010. PARTICIPANTS: 620 women who were resident in Tower
Hamlets and who satisfied the Barts and the London Trust's eligibility criteria
for using the birth centre. Of these, 259 women were recruited to Phase 1 and 361
to Phase 2. MEASUREMENTS AND FINDINGS: the replies women gave show marked
differences between the model of care in the birth centre and that at the
obstetric unit at the Royal London Hospital with respect to experiences of care
and specific practices. Women who initially booked for birth centre care were
more likely to attend antenatal classes and find them useful and were less likely
to be induced. Women who started labour care at the birth centre in spontaneous
labour were more likely to use non-pharmacological methods of pain relief, most
notably water and less likely to use pethidine than women who started care at the
hospital. They were more likely to be able to move around in labour and less
likely to have their membranes ruptured or have continuous CTG. They were more
likely to be told to push spontaneously when they needed to rather than under
directed pushing and more likely to report that they had been able to choose
their position for birth and deliver in places other than the bed, in contrast to
the situation at the hospital. The majority of women who had a spontaneous onset
of labour delivered vaginally, with 28.6 per cent of women at the birth centre
but no one at the hospital delivering in water. Primiparous women who delivered
at the birth centre were less likely to have an episiotomy. Most women who
delivered at the birth centre reported that they had chosen whether or not to
have a physiological third stage, whereas a worrying proportion at the hospital
reported that they had not had a choice. A higher proportion of women at the
birth centre reported skin to skin contact with their baby in the first two hours
after birth. KEY CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: significant
differences were reported between the hospital and the birth centre in practices
and information given to the women, with lower rates of intervention, more choice
and significant differences in women's experiences. This case study of a single
inner-city freestanding midwifery unit, linked to the Birthplace in England
Research Programme, indicates that this model of care also leads to greater
choice and a better experience for women who opted for it.
PMID- 24929272
TI - A feminist narrative study of the maternity care experiences of women who were
sexually abused in childhood.
AB - BACKGROUND: One in five women experience childhood sexual abuse and these women
may suffer trauma during childbirth. Their maternity care is often reminiscent of
their abuse. OBJECTIVE: To inform practice by exploring the impact that childhood
sexual abuse has on the maternity care experiences of adult women. DESIGN: This
was a narrative study from a feminist perspective. The part of the study reported
here utilised in-depth interviews with women. Data were analysed using the Voice
Centred Relational Method of analysis and further thematic analysis. SETTING:
Users of one maternity service in the South of England PARTICIPANTS: Nine women
were interviewed following purposive sampling. FINDINGS: The main themes
identified were women's narratives of self, women's narratives of relationship,
women's narratives of context and the childbirth journey. The concept of silence
linked all these themes and aspects of the study relating to it are reported
here. KEY CONCLUSIONS AND IMPLICATIONS FOR PRACTICE: Every day midwives will
encounter women who were sexually abused in childhood. Most of these women do not
disclose to those caring for them and may not respond to a direct question. They
will not necessarily be distinguishable from other women accessing maternity
services but they may find their experiences deeply traumatic. Silence is a
challenge for those providing their care. Open communication and a genuine
interest in women as individuals are required. Midwives need to listen for the
unspoken messages women are trying to convey. If all women were treated with
dignity and respect more may emerge from the experience of childbirth feeling
empowered rather than violated.
PMID- 24929273
TI - [Ophthalmology, history and humanities].
PMID- 24929274
TI - [The eye of the man with the camera].
PMID- 24929275
TI - [Human immunodeficiency virus infection in Spain: it is time to act].
PMID- 24929276
TI - Investigating how implementation intentions improve non-focal prospective memory
tasks.
AB - Implementation intentions are a self-regulatory strategy broadly studied in the
area of social cognition that can improve realization of one's goals and improve
performance on prospective memory tasks. Three experiments, using a non-focal
task for which the prospective memory targets were specified at the time of
intention formation, investigated whether (and how) implementation intentions can
improve non-focal prospective memory performance. An improvement in prospective
memory performance was accompanied by an increase in the allocation of conscious
resources to the prospective memory task, but not by an increase in perceived
importance of the prospective memory task. The third experiment also investigated
the effects of implementation intentions on recall of the appropriate action and
found that accurate action recall was improved by implementation intentions.
Finally, the effect of implementation intention instructions on cognitive
processes that underlie non-focal prospective memory performance was investigated
using a multinomial model.
PMID- 24929277
TI - Learning a keying sequence you never executed: evidence for independent
associative and motor chunk learning.
AB - A substantial amount of research has addressed how people learn and control
movement sequences. Recent results suggested that practice with discrete key
pressing sequences results in two types of sequence learning: associative
learning and motor chunk development (Verwey & Abrahamse, 2012). In the present
study, we addressed whether in keying sequences of limited length associative
learning develops also when the use of the chunking mode is prevented by
introducing during practice random deviants. In line with the notion of two
different learning mechanisms, the present results indicate that associative
sequence learning develops when motor chunks cannot be developed during practice.
This confirms the notion that motor chunks do not rely on these associations. In
addition, experience with a particular execution mode during the practice phase
seems to benefit subsequent use of that mode with unfamiliar and random
sequences. Also, participants with substantial video-gaming experience were
faster in executing discrete keying sequences in the chunking mode. These last
two results may point to the development of a general ability to produce movement
sequences in the chunking mode.
PMID- 24929278
TI - Effects of ammonium carbonate pretreatment on the enzymatic digestibility and
structural features of rice straw.
AB - Rice straw was pretreated with ammonium carbonate ((NH4)2CO3), a major
intermediate of ammonia-based carbon capture process, and evaluated for the
effects of critical pretreatment parameters including (NH4)2CO3 concentration (5
25%), temperature (60-90 degrees C), and reaction time (4-24 h) on enzymatic
digestibility. Pretreatment of rice straw at 80 degrees C for 12 h using 20%
(NH4)2CO3 and 1:10 solid to liquid ratio resulted in enzymatic digestibility of
72.2%, which was higher than that pretreated with the same moles of aqueous
ammonia. We also investigated physical characteristics of pretreated rice straw,
including surface area, pore volume and size, crystallinity, and scanning
electron microscopy image. The ammonium carbonate pretreatment process, as a
novel pretreatment technique, enhanced enzymatic hydrolysis of lignocellulose by
altering structural features.
PMID- 24929280
TI - Assessment and regression analysis on instant catapult steam explosion
pretreatment of corn stover.
AB - Instant catapult steam explosion (ICSE) offers enormous physical force on
lignocellulosic biomass due to its extremely short depressure duration. In this
article, the response surface methodology was applied to optimize the effect of
working parameters including pressure, maintaining time and mass loading on the
crystallinity index and glucose yield of the pretreated corn stover. It was found
that the pressure was of essential importance, which determined the physical
force that led to the morphological changes without significant chemical
reactions, and on the other hand the maintaining time mainly contributed to the
thermo-chemical reactions. Furthermore, the pretreated biomass was assessed by
scanning electron microscope, X-ray diffraction and Fourier transform infrared
spectra to understand mechanisms underlying the ICSE pretreatment.
PMID- 24929279
TI - Assessment of energy crops alternative to maize for biogas production in the
Greater Region.
AB - The biomethane yield of various energy crops, selected among potential
alternatives to maize in the Greater Region, was assessed. The biomass yield, the
volatile solids (VS) content and the biochemical methane potential (BMP) were
measured to calculate the biomethane yield per hectare of all plant species. For
all species, the dry matter biomass yield and the VS content were the main
factors that influence, respectively, the biomethane yield and the BMP. Both
values were predicted with good accuracy by linear regressions using the biomass
yield and the VS as independent variable. The perennial crop miscanthus appeared
to be the most promising alternative to maize when harvested as green matter in
autumn and ensiled. Miscanthus reached a biomethane yield of 5.5 +/- 1 *
10(3)m(3)ha(-1) during the second year after the establishment, as compared to
5.3 +/- 1 * 10(3)m(3)ha(-1) for maize under similar crop conditions.
PMID- 24929281
TI - Anaerobic digestion of pig and dairy manure under photo-dark fermentation
condition.
AB - Anaerobic digestion (AD) with livestock manure is a promising way for biogas
production. This work presents the influence of photo-dark fermentation on biogas
production of pig manure (PM) and dairy manure (DM). All sets were conducted with
temperature 35 +/- 2 degrees C and total solid concentrations 8%: PM1 and DM1 in
transparent reactor under sunlight for photo-dark fermentation, and PM2 and DM2
in non-transparent reactor for dark fermentation. DM2 had the best cumulative
biogas production (CBP) of 15,447.5 mL, followed by PM1 (15,020 mL) with stable
pH and low total ammonium nitrogen (TAN) concentration (1384.99 mg/L), and DM1
and PM2. The CBP of DM2 was 5.77 times as much as PM2. The relationship between
CBP and four factors including volatile fatty acid (VFA), TAN, total alkalinity
and pH was analyzed. pH gained the maximum determination coefficient with the CBP
among all sets and total alkalinity showed negative correlation with CBP of PM1
and DM1.
PMID- 24929282
TI - Periprosthetic supracondylar femoral fractures above a total knee replacement:
compatibility guide for fixation with a retrograde intramedullary nail.
AB - The incidence of total knee arthroplasty (TKA) is increasing, as are
periprosthetic supracondylar femoral fractures. Treatment is complex and may
involve the use of a retrograde intramedullary femoral nail, and it is essential
to know the nail will fit through the femoral prosthesis in line with the
intramedullary canal. Knowledge of certain measurements is crucial i.e. minimal
intercondylar distance and the position of the notch on the femoral component in
relation to the intramedullary canal. A review of TKA prostheses dimensions and
their compatibility with a retrograde nail was obtained directly from the
manufacturers. A comprehensive data set lists manufacturer, model, size, minimal
intercondylar notch distance and position. This will be of practical use when
planning the operative management of periprosthetic supracondylar femoral
fractures.
PMID- 24929283
TI - Revision total hip arthroplasty with a modular cementless femoral stem.
AB - We retrospectively reviewed 123 patients who underwent cementless THA with
modular femoral stem designs for revision THA or conversion of failed ORIF and
found 75 patients available for analysis. The Harris Hip Score (HHS) improved
from 52 +/- 14 to 86 +/- 11 (P < 0.001). The femoral stem was re-revised in eight
patients (11%). The mean time to re-revision was 1.1years (0.13-2.54). Reasons
for re-revision included infection (n = 5, 7%), aseptic loosening (n = 2, 3%) and
significant pain (n = 1, 1%). There were no failures of the modular junctions. PC
stems had an increased rate of intraoperative fractures (PC 28% vs. STS 9%, P =
0.04). Modular cementless femoral stems provide acceptable mid-term results in
revision THA.
PMID- 24929284
TI - Cholesterol modulates LRP5 expression in the vessel wall.
AB - OBJECTIVE: Macrophages are key players in atherosclerotic lesion formation and
progression. We have recently demonstrated that lipid-loaded macrophages show
activation of the canonical Wnt signaling pathway. METHODS: To test the in vivo
role of the canonical Wnt pathway in atherosclerosis we used mice deficient in
the Wnt signaling receptor LRP5 (LRP5(-/-)) fed a hypercholesterolemic diet (HC)
to induce atherosclerosis. These dietary groups were further subdivided into two
subgroups receiving their respective diets supplemented with 2% plant sterol
esters (PSE). All mice remained on their assigned diets until age 18 weeks.
RESULTS: HC WT mice had mildly increased non-HDL cholesterol levels, developed
aortic atherosclerotic lesions and showed upregulated expression levels of aortic
Lrp5. HC LRP5(-/-) mice develop larger aortic atherosclerotic lesions than WT
mice indicating that LRP5 has a protective function in atherosclerosis
progression. The oral administration of PSE, a dietary cholesterol-lowering
agent, had an effect in the expression levels of the Wnt signaling receptor and
in atherosclerosis progression. We found that PSE reduced serum total cholesterol
levels, abolished HC-induced LRP5 overexpression and reduced aortic
atherosclerotic plaques. CONCLUSION: The proatherogenic effects of the excess of
plasma lipids are in part mediated by modulation of LRP5 in the aorta. LRP5 and
canonical Wnt signaling exert a protective defense mechanism against
hyperlipidemia and atherosclerosis lesion progression.
PMID- 24929285
TI - Determinants of cerebrovascular remodeling: do large brain arteries accommodate
stenosis?
AB - OBJECTIVE: It is hypothesized that outward remodeling in systemic arteries is a
compensatory mechanism for lumen area preservation in the face of increasing
arterial stenosis. Large brain arteries have also been studied, but it remains
unproven if all assumptions about arterial remodeling can be replicated in the
cerebral circulation. METHODS: The sample included 196 autopsied subjects with a
mean age of 55 years; 63 % were men, and 74 % non-Hispanic whites. From each of
1396 dissected cadaveric large arteries of the circle of Willis, the areas of the
lumen, intima, media, and adventitia were measured. Internal elastic lamina (IEL)
area was defined as the area encircled by this layer. Stenosis was calculated by
dividing the plaque area by the IEL area and multiplying by 100. RESULTS:
Plotting stenosis against lumen area or stratified by arterial size showed no
preservation of the lumen in the setting of growing stenosis. We could not find
an association between greater IEL proportion and stenosis (B = 0.44, P = 0.86).
Stratifying arteries by their size, we found that smaller arteries have greater
lumen reduction at any degree of stenosis (B = -23.65, P <= 0.0001), and although
larger arteries show a positive association between IEL proportion and stenosis,
this was no longer significant after adjusting for covariates (B = 6.0, P =
0.13). CONCLUSIONS: We cannot confirm the hypothesis that large brain arteries
undergo outward remodeling as an adaptive response to increasing degrees of
stenosis. We found that the lumen decreases proportionally to the degree of
stenosis.
PMID- 24929286
TI - The inverse association of incident cardiovascular disease with plasma bilirubin
is unaffected by adiponectin.
AB - OBJECTIVE: Bilirubin may protect against atherosclerotic cardiovascular disease
(CVD). The heme oxygenase pathway is crucial for bilirubin generation, and is
stimulated by adiponectin. We tested the relationship of plasma bilirubin with
adiponectin, and determined whether the association of incident CVD with
bilirubin is modified by adiponectin. METHODS: A community-based prospective
nested case-control study (PREVEND cohort) was carried out in 87 non-diabetic men
who developed a first cardiovascular event (cases) and 94 controls during a
median follow-up of 6.1 (2.8-10.6) years. RESULTS: In all subjects combined,
bilirubin was positively related to adiponectin (r = 0.205, P = 0.006). Age
adjusted incident CVD was inversely associated with bilirubin (hazard ratio (HR):
0.80 (95% CI 0.65-0.99), P = 0.048), independently of adiponectin (HR: 0.78 (95%
CI 0.63-0.97), P = 0.027). Adiponectin did not modify the association of CVD with
bilirubin (interaction term: P = 0.65). After additional adjustment for CVD risk
factors, neither the association of incident CVD with bilirubin nor with
adiponectin remained significant (P > 0.20 for both), and there was again no
interaction between bilirubin and adiponectin on CVD risk (P = 0.67). CONCLUSION:
Bilirubin is related to adiponectin, but the association of bilirubin with CVD
risk is largely unaffected by adiponectin.
PMID- 24929288
TI - Synthesis and antimicrobial activity of amine linked bis- and tris-heterocycles.
AB - A series of amine linked bis- and tris-heterocycles were prepared from heteroaryl
cinnamamides and tested for antimicrobial activity. The compounds 11c and 12c
exhibited excellent antibacterial activity while 12a and 12c displayed excellent
antifungal activity.
PMID- 24929287
TI - Lipoprotein-associated phospholipase A2 and risk of dementia in the
Cardiovascular Health Study.
AB - OBJECTIVE: To evaluate associations between Lipoprotein-associated phospholipase
A2 (Lp-PLA2) mass and activity with risk of dementia and its subtypes. METHODS:
Analysis were completed on 3320 participants of the Cardiovascular Health Study
(CHS), a population-based longitudinal study of community-dwelling adults age
>=65 years followed for an average of 5.4 years. Baseline serum Lp-PLA2 mass was
measured using a sandwich enzyme immunoassay and Lp-PLA2 activity utilized a
tritiated-platelet activating factor activity assay. Cox proportional hazards
regression assessed the relative risk of incident dementia with higher baseline
Lp-PLA2 adjusting for demographics, cardiovascular disease (CVD) and risk
factors, inflammation markers and apolipoprotein E (APOE) genotype. RESULTS: Each
standard deviation higher Lp-PLA2 mass and activity were related to increased
risk of dementia (fully adjusted HR: 1.11 per SD, 95% CI: 1.00-1.24 for mass; HR:
1.12 per SD, 95% CI: 1.00-1.26 for activity). Persons in the highest quartile of
Lp-PLA2 mass were 50% more likely to develop dementia than those in the lowest
quartile in adjusted models (HR: 1.49; 95% CI: 1.08-2.06). Among dementia
subtypes, the risk of AD was increased two-fold in the highest compared to lowest
quartile of Lp-PLA2 mass (adjusted HR: 1.98, 95% CI: 1.22-3.21). Results were
attenuated in models of mixed dementia and VaD. Lp-PLA2 activity also doubled the
risk of mixed dementia in the highest compared to lowest quartile (HR: 2.21, 95%
CI: 1.12-4.373). INTERPRETATION: These data support Lp-PLA2 as a risk factor for
dementia independent of CVD and its risk factors. Further study is required to
clarify the role of Lp-PLA2-related mechanisms in dementia subtypes.
PMID- 24929289
TI - Design, synthesis and pharmacological evaluation of novel substituted quinoline-2
carboxamide derivatives as human dihydroorotate dehydrogenase (hDHODH) inhibitors
and anticancer agents.
AB - In continuation of our research for novel human dihydroorotate dehydrogenase
(hDHODH) inhibitors, herein we reported design, synthesis and pharmacological
evaluation of novel substituted quinoline-2-carboxamide derivatives. Human DHODH
enzyme inhibition assay was used to screen the synthesized compounds as hDHODH
inhibitors. The synthesized compounds were also evaluated for their
antiproliferative effects on the cancer cell lines (HEP-3B and A-375) to
establish a proof as anticancer agents. The chemical structures of compounds were
confirmed by (1)H, (13)C NMR, IR, MS and elemental analysis. The purity of
compounds was also checked by HPLC analysis. Compounds with bulky groups (-OCH3,
OCF3 and -CF3) at C6-position of quinoline ring showed good activity.
PMID- 24929290
TI - Inhibition of 17beta-HSD1: SAR of bicyclic substituted hydroxyphenylmethanones
and discovery of new potent inhibitors with thioether linker.
AB - Estradiol is the most potent estrogen in humans. It is known to be involved in
the development and proliferation of estrogen dependent diseases such as breast
cancer and endometriosis. The last step of its biosynthesis is catalyzed by
17beta-hydroxysteroid dehydrogenase type 1 (17beta- HSD1) which consequently is a
promising target for the treatment of these diseases. Recently, we reported on
bicyclic substituted hydroxyphenylmethanones as potent inhibitors of 17beta-HSD1.
The present study focuses on rational structural modifications in this compound
class with the aim of gaining more insight into its structure-activity
relationship (SAR). (4-Hydroxyphenyl)-(5-(3-hydroxyphenylsulfanyl)-thiophen-2
yl)methanone (25) was discovered as a member of a novel potent class of human
17beta-HSD1 inhibitors. Computational methods were used to elucidate its
interactions with the target protein. The compound showed activity also towards
the murine 17beta-HSD1 enzyme and thus is a starting point for the design of
compounds suitable for evaluation in an animal disease model.
PMID- 24929291
TI - Synthesis, biological evaluation and structure-activity relationships of new
phthalazinedione derivatives with vasorelaxant activity.
AB - Five series of 1,4-phthalazinedione derivatives were synthesized in good yields.
Vasorelaxant activity of these new derivatives was measured on either intact or
endothelium-denuded isolated rat thoracic aortic rings pre-contracted with
phenylephrine. Most of studied compounds, substituted in both nitrogen atoms,
attained practically the total relaxation of the organ at low micromolar
concentrations. The presence of functional endothelium significantly reduced the
EC50 values for most of studied compounds. Some structure-activity relationships
were established and compounds 2d and 5d can be considered as new leads for
further modifications.
PMID- 24929292
TI - Design, synthesis and biological evaluation of hybrid bioisoster derivatives of N
acylhydrazone and furoxan groups with potential and selective anti-Trypanosoma
cruzi activity.
AB - Hybrid bioisoster derivatives from N-acylhydrazones and furoxan groups were
designed with the objective of obtaining at least a dual mechanism of action:
cruzain inhibition and nitric oxide (NO) releasing activity. Fifteen designed
compounds were synthesized varying the substitution in N-acylhydrazone and in
furoxan group as well. They had its anti-Trypanosoma cruzi activity in
amastigotes forms, NO releasing potential and inhibitory cruzain activity
evaluated. The two most active compounds (6, 14) both in the parasite amastigotes
and in the enzyme contain the nitro group in para position of the aromatic ring.
The permeability screening in Caco-2 cell and cytotoxicity assay in human cells
were performed for those most active compounds and both showed to be less
cytotoxic than the reference drug, benznidazole. Compound 6 was the most
promising, since besides activity it showed good permeability and selectivity
index, higher than the reference drug. Thereby the compound 6 was considered as a
possible candidate for additional studies.
PMID- 24929293
TI - 7-MEOTA-donepezil like compounds as cholinesterase inhibitors: Synthesis,
pharmacological evaluation, molecular modeling and QSAR studies.
AB - A novel series of 7-methoxytacrine (7-MEOTA)-donepezil like compounds was
synthesized and tested for their ability to inhibit electric eel
acetylcholinesterase (EeAChE), human recombinant AChE (hAChE), equine serum
butyrylcholinesterase (eqBChE) and human plasmatic BChE (hBChE). New hybrids
consist of a 7-MEOTA unit, representing less toxic tacrine (THA) derivative,
connected with analogues of N-benzylpiperazine moieties mimicking N
benzylpiperidine fragment from donepezil. 7-MEOTA-donepezil like compounds
exerted mostly non-selective profile in inhibiting cholinesterases of different
origin with IC50 ranging from micromolar to sub-micromolar concentration scale.
Kinetic analysis confirmed mixed-type inhibition presuming that these inhibitors
are capable to simultaneously bind peripheral anionic site (PAS) as well as
catalytic anionic site (CAS) of AChE. Molecular modeling studies and QSAR studies
were performed to rationalize studies from in vitro. Overall, 7-MEOTA-donepezil
like derivatives can be considered as interesting candidates for Alzheimer's
disease treatment.
PMID- 24929294
TI - Syntheses, characterization and evaluation of novel 2,6-diarylpiperidin-4-ones as
potential analgesic-antipyretic agents.
AB - A novel series of N-(N-methylpiperazinoacetyl)-2,6-diarylpiperidin-4-one
derivatives (1c-3c and 5c) were synthesized, via base catalyzed nucleophilic
substitution of N-chloroacetyl-2,6-diarylpiperidin-4-ones (1b-6b) with N-methyl
piperazine. The newly synthesized compounds were characterized by FTIR, Mass and
NMR spectral studies. All the compounds were screened for their possible
analgesic and antipyretic activities. The compound 2c exhibited promising
antipyretic activity, comparable to that of paracetamol at 60 mg/kg dose. The
compounds 2b and 2c showed significant analgesic profile at a dose of 60 mg/kg
and were also found to be more potent than the reference drug, diclofenac sodium.
Thus, it can be concluded that the synthesized 2,6-diarylpiperidin-4-ones exhibit
promising antipyretic and analgesic activities and could be potential drug
candidates.
PMID- 24929295
TI - [Author's response to article "Bilateral traumatic facial paralysis. Case report"
by Jose Undabeitia et al].
PMID- 24929296
TI - The quadricuspid aortic valve.
PMID- 24929297
TI - Free radical-mediated systemic immunity in plants.
AB - Systemic acquired resistance (SAR) is a form of defense that protects plants
against a broad-spectrum of secondary infections by related or unrelated
pathogens. SAR related research has witnessed considerable progress in recent
years and a number of chemical signals and proteins contributing to SAR have been
identified. All of these diverse constituents share their requirement for the
phytohormone salicylic acid, an essential downstream component of the SAR
pathway. However, recent work demonstrating the essential parallel functioning of
nitric oxide (NO)-derived and reactive oxygen species (ROS)-derived signaling
together with SA provides important new insights in the overlapping pathways
leading to SAR. This review discusses the potential significance of branched
pathways and the relative contributions of NO/ROS-derived and SA-derived pathways
in SAR.
PMID- 24929298
TI - Broad compatibility in fungal root symbioses.
AB - Plants associate with a wide range of beneficial fungi in their roots which
facilitate plant mineral nutrient uptake in exchange for carbohydrates and other
organic metabolites. These associations play a key role in shaping terrestrial
ecosystems and are widely believed to have promoted the evolution of land plants.
To establish compatibility with their host, root-associated fungi have evolved
diverse colonization strategies with distinct morphological, functional and
genomic specializations as well as different degrees of interdependence. They
include obligate biotrophic arbuscular mycorrhizal (AM), and facultative
biotrophic ectomycorrhizal (ECM) interactions but are not restricted to these
well-characterized symbioses. There is growing evidence that root endophytic
associations, which due to their inconspicuous nature have been often overlooked,
can be of mutualistic nature and represent important players in natural and
managed environments. Recent research into the biology and genomics of root
associations revealed fascinating insight into the phenotypic and trophic
plasticity of these fungi and underlined genomic traits associated with biotrophy
and saprotrophy. In this review we will consider the commonalities and
differences of AM and ECM associations and contrast them with root endophytes.
PMID- 24929299
TI - Influence of ferric oxyhydroxide addition on biomethanation of waste activated
sludge in a continuous reactor.
AB - This study investigated the potential of enhancing the activity of iron-reducing
bacteria (IRBs) to increase the biomethanation rate of waste activate sludge
(WAS). The effects of biostimulation by ferric oxyhydroxide (Phase 2) and
bioaugmentation with an enriched IRB consortium (Phase 3) were examined in a
continuous anaerobic reactor treating WAS. Compared to the control operation
(Phase 1), significant rises in methane yield (10.8-59.4%) and production rate
(24.5-52.9%) were demonstrated by the biostimulation and bioaugmentation
treatments. Visible structural changes were observed in bacterial community with
the phases while not in archaeal community. Acinetobacter- and Spirochaetales
related populations were likely the major players driving anaerobic iron
respiration and thus leading to enhanced biomethanation performance, in Phases 2
and 3, respectively. Our results suggest an interesting new potential for
enhancing biomethanation of WAS.
PMID- 24929300
TI - Effects of anionic surfactant on extraction of free fatty acid from Chlorella
vulgaris.
AB - Microalgal lipid with a high free fatty acid (FFA) content was directly extracted
from Chlorella vulgaris, using SDBS, in an acid-catalyzed hot-water extraction
process. The total fatty acid content of C. vulgaris was 296.0 mg/g cell. Under
the 1.0% sulfuric acid, 0.4% SDBS conditions, the FFA content of the lipid
increased to 96.7%, and the lipid-extraction yield was 248.4 mg/g cell. Under the
2.0% sulfuric acid, 0.2% SDBS conditions, the FFA content of the lipid was 96.1%,
and the lipid-extraction yield was 266.0mg/g cell. Whereas the FAME content of
the microalgal lipid extracted by hexane-methanol was 76.4% at the 10.0% sulfuric
acid concentration, the FAME content of the high-FFA microalgal lipid was
increased to 70.1% at a sulfuric acid concentration of only 0.1%. By combined
sulfuric acid/SDBS treatment, high-FFA microalgal lipid was extracted in large
yields; moreover, the amount of catalyst was remarkably reduced in the
esterification of FFA.
PMID- 24929301
TI - Denitrifying sulfide removal and nitrososulfide complex: Azoarcus sp. NSC3 and
Pseudomonas sp. CRS1 mix.
AB - Denitrifying sulfide removal (DSR) process simultaneously removes nitrate,
sulfide and organic matters in the same reactor. This study applied Azoarcus sp.
NSC3 and Pseudomonas sp. CRS1 mix for DSR tests in autotrophic, heterotrophic and
mixotrophic growths. Negligible NO-compounds were noted in heterotrophic or
mixotrophic growths, while most cells were damaged and bound with NO-compounds in
autotrophic growth. Nitroprusside (SNP) ions were applied as model compound to
reveal the formation of nitrososulfide complex (RSNO) by nitroso (NO(+)) and
excess sulfide (S(2-)), rather than the previously proposed mechanism by direct
reaction between nitric oxide (NO) and S(2-). We speculated that RSNO was then
abiotically decomposed to NO and elemental sulfur in the presence of biological
cells. A revised nitrogen cycle considering interactions with sulfur compounds
was proposed. We also speculated that SNO and NO were inhibitory to the
functional strains, whose efficient removals were essential to reach high-rate
DSR performance.
PMID- 24929302
TI - Volatilization and sorption of dissolved mercury by metallic iron of different
particle sizes: implications for treatment of mercury contaminated water
effluents.
AB - Batch experiments were conducted to investigate the interactions between metallic
iron particles and mercury (Hg) dissolved in aqueous solutions. The effect of
bulk zero valent iron (ZVI) particles was tested by use of (i) granular iron and
(ii) iron particles with diameters in the nano-size range and referred to herein
as nZVI. The results show that the interactions between Hg(n+) and Fe(0) are
dominated by Hg volatilization and Hg adsorption; with Hg adsorption being the
main pathway for Hg removal from solution. Hg adsorption kinetic studies using
ZVI and nZVI resulted in higher rate constants (k) for nZVI when k values were
expressed as a function of mass of iron used (day(-1)g(-1)). In contrast, ZVI
showed higher rates of Hg removal from solution when k values were expressed as a
function iron particles' specific surface area (gm(-2)day(-1)). Overall, nZVI
particles had a higher maximum sorption capacity for Hg than ZVI, and appeared to
be an efficient adsorbent for Hg dissolved in aqueous solutions.
PMID- 24929303
TI - Development of a novel multi-functional active membrane capping barrier for the
remediation of nitrobenzene-contaminated sediment.
AB - A novel bio-reactive capping barrier composed of polysulfone/granular activated
carbon (PS/GAC) hybrid membranes immobilized with microorganism was developed for
the remediation of nitrobenzene in sediments. The SEM observation demonstrated
that all the membranes had a dense top layer and a porous sublayer, this
structure can block the transfer of nitrobenzene from sediment to the water and
enhance nitrobenzene degradation. Adsorption behaviors of nitrobenzene on
membranes showed that the membrane impregnated with GAC had better performance
than the pure PS membrane. The values of Kads increased from 4.64 (without GAC)
to 6.19 (1:2 GAC). 20mg/L nitrobenzene can be completely degraded by Pseudomonas
putida immobilized on membranes. The biodegradation rate of activated carbon
filled membrane system was little higher than that of pure PS membrane system.
For remediation experiments, only about 21.7, 28.3 and 43.9% of nitrobenzene in
the sediment was removed by the end of the experiments for PS/GAC membrane, sand
alone and sand amended with activated carbon capping systems, respectively. While
for PS/GAC+microorganisms capping system, more than 70% of nitrobenzene loss was
observed. This demonstrated that nitrobenzene can be effectively removed from
contaminated sediments by microbial degradation in the bio-reactive capping
system.
PMID- 24929304
TI - The reductive immobilization of aqueous Se(IV) by natural pyrrhotite.
AB - The interaction of Se(IV) with natural pyrrhotite was investigated at pH
conditions ranging from acidic to nearly neutral. The results indicate that the
reduction rate can be described in terms of a pseudo-first order reaction. At pH
~4.0 to ~5.0, the rate decreased with increasing pH. Unexpectedly, at pH ~5.0,
the rate increased with increasing reaction time. This response was also observed
at pH ~6.0. Two different reaction mechanisms were proposed to explain pyrrhotite
oxidation by Se(IV). Because pyrrhotite is acid-soluble and can be attacked by
both Fe(3+) and protons, direct reduction by the released aqueous sulfide
dominates the reaction at low pH, whereas the cyclic oxidation of aqueous Fe(2+)
adsorbed on pyrrhotite surfaces becomes predominant at high pH. Phosphate, which
can be irreversibly bound to Fe(3+) intermediates even under acidic conditions,
can significantly decrease the reaction rate by an order of magnitude at pH ~4.5.
In contrast to the thermodynamic calculations and the predicted prevalence of
FeSe based on previous reports of aqueous Se(IV) reduction by synthetic
mackinawite or troilite, only Se(0) was observed as the reaction product in this
study. This observation confirmed that a slow reaction favors the formation of
Se(0) rather than iron selenides.
PMID- 24929305
TI - Radical induced degradation of acetaminophen with Fe3O4 magnetic nanoparticles as
heterogeneous activator of peroxymonosulfate.
AB - Magnetic nano-scaled particles Fe3O4 were studied for the activation of
peroxymonosulfate (PMS) to generate active radicals for degradation of
acetaminophen (APAP) in water. The Fe3O4 MNPs were found to effectively catalyze
PMS for removal of APAP, and the reactions well followed a pseudo-first-order
kinetics pattern (R(2)>0.95). Within 120min, approximately 75% of 10ppm APAP was
accomplished by 0.2mM PMS in the presence of 0.8g/L Fe3O4 MNPs with little Fe(3+)
leaching (<4MUg/L). Higher Fe3O4 MNP dose, lower initial APAP concentration,
neutral pH, and higher reaction temperature favored the APAP degradation. The
production of sulfate radicals and hydroxyl radicals was validated through two
ways: (1) indirectly from the scavenging tests with scavenging agents, tert-butyl
alcohol (TBA) and ethanol (EtOH); (2) directly from the electron paramagnetic
resonance (ESR) tests with 0.1M 5,5-dimethyl-1-pyrrolidine N-oxide (DMPO).
Plausible mechanisms on the radical generation from Fe3O4 MNP activation of PMS
are proposed based on the results of radical identification tests and XPS
analysis. It appeared that Fe(2+)Fe(3+) on the catalyst surface was responsible
for the radical generation. The results demonstrated that Fe3O4 MNPs activated
PMS is a promising technology for water pollution caused by contaminants such as
pharmaceuticals.
PMID- 24929306
TI - Lichen Permelia perlata: a novel system for biodegradation and detoxification of
disperse dye Solvent Red 24.
AB - Lichen is a self-supporting symbiotic association of fungi and algae which was
not yet explored for its bioremediation potential. Lichen Permelia perlata showed
potential of decolorization and biodegradation of Solvent Red 24 (SR24). Optimum
pH and temperature for decolorization was found to be 8 and 50 degrees C,
respectively. Induction in the activity of laccase in P. perlata during
biodegradation of SR24 showed their involvement. HPTLC, FTIR and GC-HRMS analysis
confirmed biodegradation of SR24 in to metabolites such as naphthalen-1
yldiazene, naphthalene, 1-(2-methylphenyl)-2-phenyldiazene and diphenyldiazene.
Phytotoxicity and genotoxicity analysis revealed the reduction in toxicity of
SR24 after its biodegradation.
PMID- 24929307
TI - How does ASD symptomology correlate with ADHD presentations?
AB - Elevated rates of attention deficit/hyperactivity disorder (ADHD) symptoms have
been documented in the autism spectrum disorder (ASD) population. However, the
recent restructuring of the ASD diagnostic category and its respective symptom
structure has elicited concern about how these changes may impact prevalence
rates, the deliverance of services, and the rates of comorbid psychopathology. At
present, few researchers have investigated the prevalence rates of specific ADHD
presentations within ASD populations. As we seek to increase our understanding of
ADHD symptom manifestation in ASD populations it is important to establish base
rates of attention and hyperactive symptoms. The current manuscript sought to
investigate the prevalence of inattention and impulsive symptoms in 1722 infants
and toddlers. Individuals were separated into three diagnostic groups for
analyses, a DSM-5 ASD group, an atypically developing group, and a DSM-IV-TR ASD
group. Initial analysis extended previous research by demonstrating significantly
elevated rates of inattention/impulsive symptoms in toddlers meeting DSM-5
criteria for ASD when compared to the DSM-IV-TR ASD and atypically developing
groups. Additional analysis demonstrated that ASD symptom severity was positively
correlated with inattention/impulsive symptoms regardless of primary diagnosis.
Lastly, analyses examined the exhibition of inattention and impulsive symptoms
separately within diagnostic groups. Results suggest that the expression of
impulsive and inattentive symptoms did not significantly differ within diagnostic
groups.
PMID- 24929308
TI - The isolation and characterization of dammarenediol synthase gene from Panax
quinquefolius and its heterologous co-expression with cytochrome P450 gene PqD12H
in yeast.
AB - Panax quinquefolius is one of perennial herbs and well known for its outstanding
pharmacological activity. Ginsenosides are thought to be the main active
ingredients in Panax quinquefolius and exist in many kinds of plant genus Panax
(ginseng). Dammarenediol synthase, which is considered as a key enzyme in
ginsenoside biosynthesis pathway can convert 2, 3-oxidosqualene into
dammarenediol-II. However, the dammarenediol synthase gene in Panax quinquefolius
has not been identified. Here, we cloned and identified a dammarenediol synthase
gene from Panax quinquefolius (PqDS, GenBank accession No. KC316048) at the first
time, and reverse transcription-PCR (RT-PCR) analysis also showed an obvious
transcription increase of PqDS in the methyl jasmonate (MeJA)-induced hairy
roots. Ectopic expression of PqDS in yeast resulted in the production of
dammarenediol-II was confirmed by liquid chromatography-atmospheric pressure
chemical ionization mass spectrometry (LC/APCIMS). Moreover, overexpression of
PqDS in transgenic hairy roots could increase the transcription of gene PqDS and
another P450 gene PqD12H (encoding protopanaxadiol synthase in Panax
quinquefolius), the accumulation of ginsenosides also increased at the same time.
In addition, both PqDS and PqD12H gene co-expressed in recombinant yeast result
in the production of protopanaxadiol was detected by LC/APCIMS; this result also
provides a new strategy for the abundant production of protopanaxadiol in vitro.
PMID- 24929309
TI - Production of cellobiose dehydrogenase from a newly isolated white rot fungus
Termitomyces sp. OE147.
AB - Class I cellobiose dehydrogenases (CDHs) are extracellular hemoflavo enzymes
produced at low levels by the Basidiomycetes (white rot fungi). In presence of
suitable electron acceptors, e.g., cytochrome c, 2,6-dichlorophenol-indophenol,
or metal ions, it oxidizes cellobiose to cellobionolactone. A stringent
requirement for disaccharides makes CDH also useful for conversion of lactose to
lactobionic acid, an important ingredient in pharma and detergent industry. In
this work, class I CDH was produced using a newly identified white rot fungus
Termitomyces sp. OE147. Four media were evaluated for CDH production, and maximum
enzyme activity of 0.92 international unit (IU)/ml was obtained on Ludwig medium
under submerged conditions. Statistical optimization of N source, which had
significant effect on CDH production, using Box-Behnken design followed by
optimization of inoculum size and age resulted in an increase in activity to 2.9
IU/ml and a productivity of ~25 IU/l/h. The nearly purified CDH exhibited high
activity of 26.4 IU/mg protein on lactose indicating this enzyme to be useful for
lactobionic acid synthesis. Some of the internal peptide sequences bore 100 %
homology to the CDH produced in Myceliophthora thermophila. The fungal isolate
was amenable to scale up, and an overall productivity of ~18 IU/l/h was obtained
at 14-l level.
PMID- 24929310
TI - Impedance spectroscopic characterization of Sm2O3 containing lithium borate
glasses.
AB - 27.5 Li2O-(72.5-X) B2O3-X Sm2O3 (X=0.5, 1, 1.5 and 2) were prepared by
conventional melt quench technique. Impedance spectroscopy (IS) is used to study
the electrical properties of these prepared glasses. Modulus formalism is
introduced to study relaxation behaviour of these glasses. Scaling model shows
the good overlap of data on single master curve which suggests that conduction
mechanism in these glasses is compositional dependent. Variation of dielectric
constant and dielectric loss with the addition of Sm2O3 and frequency are
discussed here.
PMID- 24929311
TI - Vibrational spectroscopy of the sulphate mineral sturmanite from Kuruman
manganese deposits, South Africa.
AB - The mineral sturmanite is a hydrated calcium iron aluminium manganese sulphate
tetrahydroxoborate hydroxide of formula Ca6(Fe, Al,
Mn)2(SO4)2(B(OH)4)(OH)12.26H2O. We have studied the mineral sturmanite using a
number of techniques, including SEM with EPMA and vibrational spectroscopy.
Chemical analysis shows a homogeneous phase, composed by Ca, Fe, Mn, S, Al and
Si. B is not determined in this EPMA technique. An intense Raman band at 990cm(
1) is assigned to the SO4(2-) symmetric stretching mode. Raman spectroscopy
identifies multiple sulphate symmetric stretching modes in line with the three
sulphate crystallographically different sites. Raman spectroscopy also identifies
a band at 1069cm(-1) which may be attributed to a carbonate symmetric stretching
mode, indicating the presence of thaumasite. Infrared spectra display two bands
at 1080 and 1107cm(-1) assigned to the SO4(2-) antisymmetric stretching modes.
The observation of multiple bands in this nu4 spectral region offers evidence for
the reduction in symmetry of the sulphate anion from Td to C2v or even lower
symmetry. The Raman band at 3622cm(-1) is assigned to the OH unit stretching
vibration and the broad feature at around 3479cm(-1) to water stretching bands.
Infrared spectroscopy shows a set of broad overlapping bands in the OH stretching
region. Vibrational spectroscopy enables an assessment of the molecular structure
of sturmanite to be made.
PMID- 24929312
TI - Naked-eye detection of biologically important anions by a new chromogenic azo
azomethine sensor.
AB - A new chromogenic azo-azomethine sensor, containing active phenolic sites, has
been designed and synthesized via condensation reaction of N,N,N',N'-tetrakis(2
aminoethyl)-2,2-dimethyl propane-1,3-diamine with 1-(3-formyl-4-hydroxyphenylazo)
4-nitrobenzene. The anion recognition ability of the synthesized receptor was
evaluated using UV-Vis spectroscopy and (1)H NMR technique. The anion recognition
studies exhibited that the receptor acts as a sensor for biologically important
anions such as F(-), AcO(-) and H2PO4(-) over other anions. The binding
stoichiometry between sensor and anions was found to be 1:2. (1)H NMR experiment
revealed that sensor recognizes anions via H-bonds and subsequent deprotonation
to elicit a vivid color change. Interestingly, the sensory system not only let
for the naked eye detection without any spectroscopic instrumentation but also
helped to discriminate between anions.
PMID- 24929313
TI - Fluorescent sensor for selective detection of Al(3+) based on quinoline-coumarin
conjugate.
AB - A fluorescence probe, 8-formyl-7-hydroxyl-4-methyl coumarin - (2'-methylquinoline
4-formyl) hydrazone (L) has been synthesized. The chemosensor is found
preferential binding to Al(3+) in presence of other competitive ions with
associated changes in its optical and fluorescence spectra behavior. Upon
addition of Al(3+) to a solution of L, it shows 200-fold enhancement of
fluorescence intensity which might be attributed to form a 2:1 stoichiometry of
the binding mode of LAl(III) and the chelation enhanced fluorescence (CHEF)
process at 479nm in ethanol. The lowest detection limit for Al(3+) is determined
as 8.2*10(-7)M.
PMID- 24929314
TI - ESIPT inspired dual fluorescent probe (Z)-3-((4-(4-aminobenzyl) phenyl) amino)
1,3-diphenylprop-2-en-1-one: experimental and DFT based approach to photophysical
properties.
AB - A fluorescent probe (Z)-3-((4-(4-aminobenzyl) phenyl) amino)-1,3-diphenylprop-2
en-1-one (L) was synthesized and characterized by IR, (1)H NMR, ESI mass, UV
visible and fluorescence spectroscopy and by single crystal X-ray diffraction.
The molecule has a stable helical structure due to intermolecular CH pi
interaction. The thermal stability of L was studied by TG analysis. The
electronic structure calculations of L have been carried out using DFT at B3LYP/6
31G (d,p) level. The vibrational frequencies and (1)H NMR spectra were computed
at this level and compared with experimental values. Major orbital contributions
for the electronic transitions were assigned with the help of time-dependent
density functional theory (TD-DFT). The observed electronic absorption spectra of
L in different solvents coincide with the computed spectra in keto form. The dual
emission and high Stokes shift values support the excited state intramolecular
proton transfer (ESIPT) process. The molecular docking has been employed to get
information about the interaction of L with DNA [6BNA].
PMID- 24929315
TI - A selectively fluorescein-based colorimetric probe for detecting copper(II) ion.
AB - A novel fluorescein derivative 3-bromo-5-methylsalicylaldehyde fluorescein
hydrazone (BMSFH) has been synthesized by reacting fluorescein hydrazide with 3
bromo-5-methylsalicylaldehyde and was developed as a new colorimetric probe for
detection of Cu(2+). In the presence of Cu(2+) the BMSFH exhibits a rapid color
change from colorless to yellow together with an obvious new band appeared at
502nm in the UV-vis absorption spectra. However, other common alkali-, alkaline
earth-, transition- and rare earth metal ions induced no or minimal spectral
changes. This change is attributed to BMSFH via coordination with Cu(2+) in a 1:1
stoichiometry and this binding to Cu(2+) is reversible, as indicated by the
bleaching of the color when the Cu(2+) is extracted with EDTA. Experimental
results indicate that the BMSFH can provide a rapid, selective and sensitive
response to Cu(2+) with a linear dynamic range 3.0-330MUmol/L and can be used as
a potential Cu(2+) colorimetric probe in aqueous solution.
PMID- 24929316
TI - Synthesis, characterization and dielectric properties of SnO2 thin films.
AB - SnO2 thin films have been grown on glass substrates using Successive Ionic Layer
Adsorption and Reaction (SILAR) method at room temperature and ambient pressure.
The annealing temperature effect on the structural, morphological, optical and
electrical properties of SnO2 thin films has been investigated. The X-ray
Diffraction (XRD) and Scanning Electron Microscopy (SEM) studies have showed that
all the films have exhibited polycrystalline nature with tetragonal structure and
have been covered well on glass substrates. The crystalline and surface
properties of the films have improved with increasing annealing temperature. The
band gap values have been changed from 3.73 to 3.66eV depending on the annealing
temperature. The refractive index (n), optical static and high frequency
dielectric constants (epsilono, epsiloninfinity) values have been calculated as a
function of the annealing temperature. The resistivity values of the films have
changed between 10(-1) - 10(-3)Omegacm with annealing temperature and light at
room temperature.
PMID- 24929317
TI - Quantum dots (QDs) based fluorescence probe for the sensitive determination of
kaempferol.
AB - In this work, using the quenching of fluorescence of thioglycollic acid (TGA)
capped CdTe quantum dots (QDs), a novel method for the determination of
kaempferol (KAE) has been developed. Under optimum conditions, a linear
calibration plot of the quenched fluorescence intensity at 552nm against the
concentration of KAE was observed in the range of 4-44MUgmL(-1) with a detection
limit (3sigma/K) of 0.79MUgmL(-1). In addition, the detailed reaction mechanism
has also been proposed on the basis of electron transfer supported by ultraviolet
visible (UV-vis) absorption and fluorescence (FL) spectroscopy. The method has
been applied for the determination of KAE in pharmaceutical preparations with
satisfactory results. The proposed method manifested several advantages such as
high sensitivity, short analysis time, low cost and ease of operation.
PMID- 24929318
TI - N-phenyl-1-naphthylamine/beta-cyclodextrin inclusion complex as a new fluorescent
probe for rapid and visual detection of Pd(2+).
AB - Inclusion complex between N-phenyl-1-naphthylamine (NPN) and beta-cyclodextrin
(beta-CD) was studied by FT-IR, (1)H and 2D NMR, XRD, FT-Raman, SEM and DSC
techniques. The formation of 1:1 stoichiometric inclusion complex of NPN with
beta-CD was proposed based on the Nuclear magnetic resonance spectroscopy and
Molecular docking study. The molecular encapsulation of host-guest inclusion
complex based on simple chemosensor has high selectivity and sensitivity for the
determination of Pd(2+) ion. Host-guest inclusion complex as a spectroscopic
probe is used for the detection of transition metal cation Pd(2+). Coordination
of this Pd(2+) with (NPN/beta-CD) inclusion complex exhibited a noticeable color
change in the solution state it used for naked-eye detection.
PMID- 24929319
TI - Photoinduced interaction studies on N-(2-methylthiophenyl)-2-hydroxy-1
naphthadiamine with TiO2 nanoparticles: a combined experimental and theoretical
(DFT and spectroscopic) approach.
AB - Schiff base derivative synthesized by the reaction of 2-(methylthio) aniline and
2-hydroxy-1-naphthaldehyde exhibits keto-amine tautomerism in methanol solvent.
The fluorescence quenching of N-(2-methyl thiophenyl)-2-hydroxy-1-naphthadiamine
(NMTHN) by TiO2 nanoparticles in methanol has been studied. The excitation and
emission peaks have been observed at 439 and 509nm respectively. The apparent
association constant has been deduced from the absorption spectral changes of
NMTHN-TiO2 nanoparticles using Bensi-Hildebrand equation. The number of binding
sites and the binding constant have been calculated from the relevant
fluorescence data. Quenching of fluorescence of NMTHN by TiO2 could be due to a
dynamic mode. Density Functional Theory (DFT) calculations also have been
performed to study the charge distribution of NMTHN-TiO2 both in ground and
excited states. The HOMO-LUMO analysis of NMTHN-TiO2 in the ground state has been
made.
PMID- 24929320
TI - Application of response surface methodology for determination of methyl red in
water samples by spectrophotometry method.
AB - In this study a rapid and effective method (dispersive liquid-liquid
microextraction (DLLME)) was developed for extraction of methyl red (MR) prior to
its determination by UV-Vis spectrophotometry. Influence variables on DLLME such
as volume of chloroform (as extractant solvent) and methanol (as dispersive
solvent), pH and ionic strength and extraction time were investigated. Then
significant variables were optimized by using a Box-Behnken design (BBD) and
desirability function (DF). The optimized conditions (100MUL of chloroform, 1.3mL
of ethanol, pH 4 and 4% (w/v) NaCl) resulted in a linear calibration graph in the
range of 0.015-10.0mgmL(-1) of MR in initial solution with R(2)=0.995 (n=5). The
limits of detection (LOD) and limit of quantification (LOQ) were 0.005 and
0.015mgmL(-1), respectively. Finally, the DLLME method was applied for
determination of MR in different water samples with relative standard deviation
(RSD) less than 5% (n=5).
PMID- 24929321
TI - A combined experimental and theoretical investigation of a new imineoxime and its
palladium(II) and platinum(II) complexes: synthesis, structural characterization
and spectroscopic properties.
AB - A new imineoxime compound {(1E,2E)-(2-hydroxy-ethylimino)-naphthalene-2yl-ethanal
oxime (heineoH)} and its palladium(II) and platinum(II) complexes ([M(heineo)2])
have been synthesized and characterized by IR, NMR, UV-vis, elemental analysis,
mass spectra and X-ray single crystal diffraction. [Pt(heineo)2] was obtained as
a single crystal, while [Pd(heineo)2] was synthesized as a polycrystalline
powder. The X-ray diffraction analysis of the [Pt(heineo)2] indicated that the
platinum(II) ion is coordinated by two heineo ligands in a distorted square
planar geometry. DFT (B3LYP/6-311++G(d,p) and LANL2DZ) calculations on the ligand
and its complexes were carried out to correlate the geometry and vibrational and
electronic properties. Additionally, heineoH is fluorescent in EtOH at room
temperature, but the fluorescence is quenched in the case of the metal complexes.
PMID- 24929322
TI - Determination of acetylsalicylic acid in commercial tablets by SERS using silver
nanoparticle-coated filter paper.
AB - In this work, filter paper was used as a low cost substrate for silver
nanoparticles in order to perform the detection and quantification of
acetylsalicylic acid by SERS in a commercial tablet. The reaction conditions were
150mM of ammonium hydroxide, 50mM of silver nitrate, 500mM of glucose, 12min of
the reaction time, 45 degrees C temperature, pretreatment with ammonium hydroxide
and quantitative filter paper (1-2MUm). The average size of silver nanoparticles
deposited on the paper substrate was 180nm. Adsorption time of acetylsalicylic
acid on the surface of the silver-coated filter paper was studied and an
adsorption time of 80min was used to build the analytical curve. It was possible
to obtain a calibration curve with good precision with a coefficient of
determination of 0.933. The method proposed in this work was capable to quantify
acetylsalicylic acid in commercial tablets, at low concentration levels, with
relative error of 2.06% compared to the HPLC. The preparation of filter paper
coated with silver nanoparticles using Tollen's reagent presents several
advantages such as low cost of synthesis, support and reagents; minimum amount of
residuals, which are easily treated, despite the SERS spectroscopy presenting
fast analysis, with low sample preparation and low amount of reactants as in HPLC
analysis.
PMID- 24929323
TI - Spectroscopic characterization of recently excavated archaeological potsherds
from Tamilnadu, India with multi-analytical approach.
AB - A combined analytical study of potsherds excavated from different archaeological
sites of Tamilnadu (Kavalapatti, Nattapuraki and Thamaraikulam villages), India
are analyzed by spectroscopic techniques such as FTIR, X-ray diffraction,
thermogravimetric analysis (TGA) and Scanning Electron Microscope (SEM) coupled
with Energy Dispersive Spectrometer (EDS). FTIR and XRD techniques have been
attempted to characterize the mineralogical composition, firing temperature and
firing conditions of the archaeological potsherds. Thermogravimetric analysis
(TGA) is the complementary study to estimate the firing temperature from
characteristic thermal reactions in potsherds under controlled firing in inert
gas atmosphere. Further, Scanning Electron Microscopy (SEM) equipped and coupled
with an Energy Dispersive Spectrometer (EDS) to analyze internal morphology and
chemical composition of the potsherds was used. From the results of the above
techniques, the firing temperatures of potsherds were found to be greater than
650 degrees C.
PMID- 24929324
TI - Differential susceptibility to maternal expressed emotion in children with ADHD
and their siblings? Investigating plasticity genes, prosocial and antisocial
behaviour.
AB - The differential susceptibility theory states that children differ in their
susceptibility towards environmental experiences, partially due to plasticity
genes. Individuals carrying specific variants in such genes will be more
disadvantaged in negative but, conversely, more advantaged in positive
environments. Understanding gene-environment interactions may help unravel the
causal mechanisms involved in multifactorial psychiatric disorders such as
Attention-Deficit/Hyperactivity Disorder (ADHD). The differential susceptibility
theory was examined by investigating the presence of interaction effects between
maternal expressed emotion (EE; warmth and criticism) and the solitary and
combined effects of plasticity genes (DAT1, DRD4, 5-HTT) on prosocial and
antisocial behaviour (measured with parent- and self-reports) in children with
ADHD and their siblings (N = 366, M = 17.11 years, 74.9% male). Maternal warmth
was positively associated with prosocial behaviour and negatively with antisocial
behaviour, while maternal criticism was positively associated with antisocial
behaviour and negatively with prosocial behaviour. No evidence of differential
susceptibility was found. The current study found no evidence for differential
susceptibility based on the selected plasticity genes, in spite of strong EE
behaviour associations. It is likely that additional factors play a role in the
complex relationship between genes, environment and behaviour.
PMID- 24929325
TI - Germline mutations of TP53 gene in breast cancer.
AB - Germline alterations of the TP53 gene encoding the p53 protein have been observed
in the majority of families with the Li-Fraumeni syndrome, a rare dominantly
inherited disorder with breast cancer. Genomic DNA samples of 182 breast cancer
cases and 186 controls were sequenced for TP53 mutations in the exon 5-9 and
intervening introns 5, 7-9. Direct sequencing was done using Applied Biosystem
3730 DNA analyzer. In the present study, we observed nine mutations in the
sequenced region, of which five were novel. Hardy-Weinberg equilibrium (HWE) was
done for all the mutations; C14181T, T14201G, and G13203A have shown deviation
from HWE. High linkage disequilibrium (LD) was observed between C14181T
(rs129547788) and T14201G (rs12951053) (r (2) = 0.98.3; D' = 1.00), whereas other
observed mutations do not show strong LD with any of the other mutations. None of
the intronic mutations has shown significant association with the breast cancer,
two exonic mutations G13203A (rs28934578) and A14572G are significantly (P =
0.04, P = 0.007) associated with breast cancer. Germline mutations observed in
DNA-binding domain of the gene showed significant association with breast cancer.
This study reports five novel germline mutations in the TP53 gene out of which
one mutation may confer significant risk to the breast cancer. Mutations in DNA
binding domain of TP53 gene may play role in the early onset and prognosis of
breast cancer. The population-based studies of germline mutations in DNA-binding
domain of TP53 gene helps in identification of individuals and families who are
at risk of developing cancers.
PMID- 24929326
TI - Aberrant promoter methylation of the SFRP1 gene may contribute to colorectal
carcinogenesis: a meta-analysis.
AB - This meta-analysis of published cohort studies was conducted to evaluate whether
promoter methylation of the secreted frizzled-related protein 1 (SFRP1) gene
contributes to colorectal carcinogenesis. The Web of Science (1945 ~ 2013), the
Cochrane Library Database (Issue 12, 2013), PubMed (1966 ~ 2013), EMBASE (1980 ~
2013), CINAHL (1982 ~ 2013), and the Chinese Biomedical Database (CBM) (1982 ~
2013) were searched without language restrictions. Meta-analysis was conducted
using the STATA 12.0 software. We calculated odds ratio (OR) and its 95 %
confidence interval (95 % CI) to estimate the correlations between SFRP1 promoter
methylation and colorectal carcinogenesis. In the present meta-analysis, 8 cohort
studies with a total of 942 patients with colorectal cancer (CRC) were included.
The pooled results revealed that the frequency of SFRP1 promoter methylation in
cancer tissues were significantly higher than those of normal, adjacent, and
benign tissues (cancer tissues vs. normal tissues: OR = 31.49, 95 % CI = 17.57 ~
56.44, P < 0.001; cancer tissues vs. adjacent tissues: OR = 5.95, 95 % CI 3.12 ~
10.00, P < 0.001; cancer tissues vs. benign tissues: OR = 3.01, 95 % CI 1.72 ~
5.27, P < 0.001; respectively). Furthermore, ethnicity-stratified analysis
indicated that SFRP1 promoter methylation was strongly correlated with colorectal
carcinogenesis among both Asians and Caucasians (all P < 0.05). Our findings
provide empirical evidence that SFRP1 promoter methylation may be correlated with
the pathogenesis of CRC.
PMID- 24929327
TI - Association of IL-8-251A>T polymorphisms with oral cancer risk: evidences from a
meta-analysis.
AB - The findings of associations between interleukin-8 (IL-8) polymorphisms and risk
of oral cancer are controversial. We conducted a meta-analysis on the basis of
data from all published studies to provide evidence of the current understanding
of the genetic association with oral cancer. Eligible studies were identified by
means of an electronic search of PubMed, Elsevier, ScienceDirect, EMBASE, EBSCO,
and CBM databases for studies published up to March 2013. In accordance with the
inclusion and exclusion criteria, a total of six eligible studies were included
in the pooled analyses. In the overall analysis, we did not observe any
significant associations between the IL-8-251A>T polymorphism and oral cancer
risk under any of the genetic models (all P > 0.05). In the stratified analysis
by ethnicity, Caucasian individuals with genotype AA had a higher risk of oral
cancer under the dominant model (OR = 1.35, 95 % CI 1.09-1.67, P = 0.006). This
meta-analysis indicated that the IL-8-251A>T polymorphism was not associated with
the susceptibility of oral cancer, while individuals in the Caucasian population
with genotype AA had a higher risk of oral cancer under the dominant model.
PMID- 24929328
TI - Single-nucleotide polymorphisms of LIG1 associated with risk of lung cancer.
AB - To investigate the association of LIG1 with the risk of lung cancer, all subjects
of unrelated ethnic Han Chinese in Liaoning Province were involved in a hospital
based case-control study. The case group consisted of 370 histologically
diagnosed lung cancer patients; 314 controls were selected from cancer-free
patients during Dec. 2009 to Dec. 2011. LIG1 rs1050298SNP were analyzed by TaqMan
real-time PCR method. All statistical analyses were performed with Statistical
Product and Service Solution sv13.0 (SPSS). The genotype distribution frequency
of LIG1 rs1050298 SNP displayed significant difference between the case and the
control group. Individuals carrying the LIG1 rs1050298 T genotype had higher
risks of lung cancer, especially those with squamous cell carcinoma.
PMID- 24929330
TI - Clinical and neuroimaging findings in neonatal herpes simplex virus infection.
AB - In a retrospective review of infants with neonatal herpes simplex virus disease
(n=29), we found bilateral multilobar (n=8), pontine (n=3), thalamic (n=6), and
internal capsule and corticospinal tract (n=5) involvement on magnetic resonance
imaging (MRI). Diffusion-weighted imaging (n=6) performed early revealed
additional involvement than detected by conventional MRI. Neurodevelopmental
sequelae were correlated with MRI abnormalities. Our findings demonstrate that
MRI, including diffusion-weighted imaging, is a valuable prognostic adjunct in
neonatal herpes simplex virus disease.
PMID- 24929329
TI - Role of astrocytes in thiamine deficiency.
AB - Thiamine deficiency (TD) is the underlying cause of Wernicke's encephalopathy
(WE), an acute neurological disorder characterized by structural damage to key
periventricular structures in the brain. Increasing evidence suggests these focal
histological lesions may be representative of a gliopathy in which astrocyte
related changes are a major feature of the disorder. These changes include a loss
of the glutamate transporters GLT-1 and GLAST concomitant with elevated
interstitial glutamate levels, lowered brain pH associated with increased lactate
production, decreased levels of GFAP, reduction in the levels of glutamine
synthetase, swelling, alterations in levels of aquaporin-4, and disruption of the
blood-brain barrier. This review focusses on how these manifestations contribute
to the pathophysiology of TD and possibly WE.
PMID- 24929331
TI - Hypothermia therapy for neonatal hypoxic ischemic encephalopathy in the state of
California.
AB - OBJECTIVE: To characterize the implementation of hypothermia for neonatal hypoxic
ischemic encephalopathy (HIE) in a population-based cohort. STUDY DESIGN: Using
the California Perinatal Quality Care Collaborative and California Perinatal
Transport System linked 2010-2012 datasets, we categorized infants>=36 weeks'
gestation with HIE as receiving hypothermia or normothermia. Sociodemographic and
clinical factors were compared, and multivariable logistic regression was used to
determine factors associated with hypothermia therapy. RESULTS: There were 238
reported encephalopathy cases in 2010, 280 in 2011, and 311 in 2012. Hypothermia
therapy use in newborns with HIE increased from 59% to 73% across the study
period, mainly occurring in newborns with mild or moderate encephalopathy. A
total of 36 centers provided hypothermia and cared for 94% of infants, with the
remaining 6% being cared for at one of 25 other centers. Of the centers providing
hypothermia, 12 centers performed hypothermia therapy to more than 20 patients
during the 3-year study period, and 24 centers cared for <20 patients receiving
hypothermia. In-hospital mortality was 13%, which primarily was associated with
the severity of encephalopathy. CONCLUSIONS: Our findings highlight an
opportunity to explore practice-site variation and to develop quality improvement
interventions to assure consistent evidence-based care of term infants with HIE
and appropriate application of hypothermia therapy for eligible newborns.
PMID- 24929332
TI - Impaired range of motion of limbs and spine in chronic fatigue syndrome.
AB - OBJECTIVE: To determine whether adolescents and young adults with chronic fatigue
syndrome (CFS) have a greater prevalence of impaired range of motion (ROM) of the
limbs and spine than healthy control patients. STUDY DESIGN: Case-control study
comparing rates of abnormal ROM in 48 consecutive adolescents and young adults
with CFS and 48 healthy control patients matched by sex and joint hypermobility.
We examined range of ankle dorsiflexion, passive straight-leg raise, seated
slump, upper-limb neurodynamic test, prone knee bend, and prone press-up.
Abnormal ROM was defined before the study began. The number of abnormal responses
ranged from 0 (normal ROM throughout) to 11 (impaired ROM in all areas tested).
RESULTS: The median number of areas with impaired ROM was greater in patients
with CFS at the onset of stretch in the involved limb (5 vs 2, P<.001) and at end
range (2 vs 0, P<.001). Patients with CFS were more likely to have greater than 3
areas of impaired ROM (OR 6.0, 95% CI 2.1-17.3; P<.001) and were more likely to
develop abnormal symptomatic responses to the individual tests and to the overall
assessment (40% vs 4%; P<.001). CONCLUSIONS: Impaired ROM is more common in
subjects with CFS than in healthy adolescents and young adults matched by sex and
joint hypermobility. Adding a longitudinal strain to the nerves and soft tissues
provoked symptoms in some subjects with CFS. The causes, functional impact, and
optimal treatment of these abnormalities warrant further study.
PMID- 24929333
TI - Detection of bloodstream infections and prediction of bronchopulmonary dysplasia
in preterm neonates with an electronic nose.
AB - We show that smellprints of volatile organic components measured with an
electronic nose (Cyranose 320; Smiths Detection Group Ltd, Watford, United
Kingdom) differ between tracheal aspirates from preterm neonates with or without
laboratory-confirmed bloodstream infections and with or without subsequent
development of bronchopulmonary dysplasia. Tracheal aspirate smellprints could be
useful noninvasive diagnostic markers for preterm neonates.
PMID- 24929334
TI - There is something in the ear: mondini dysplasia.
PMID- 24929335
TI - Cause of death among infants in rural western China: a community-based study
using verbal autopsy.
AB - OBJECTIVES: To determine the causes of death among infants in high-mortality
areas of western China with the use of globally recognized methods. STUDY DESIGN:
A survey of all infant deaths identified over 1 year in 4 counties in Yunnan and
Xinjiang in which combined verbal autopsy was combined with a physician's
diagnosis of the cause to calculate the local infant mortality rate. RESULTS:
Among 470 completed investigations, a cause of death was assigned to 423 cases
(90%). Overall, pneumonia (34.5%), preterm birth complications (16.5%), diarrhea
(10.4%), birth asphyxia (10.3%), and congenital abnormalities (8.5%) were the
main causes, with 56.6% of deaths occurring in the neonatal period. Deaths were
attributable predominantly to prematurity or birth asphyxia in the early neonatal
period, whereas infection accounted for more than 60% and 80% of deaths in the
late and postneonatal periods, respectively. Calculated infant mortality was 21.9
in 1000 live births. CONCLUSIONS: The pattern of infant mortality observed in the
surveyed counties differs markedly from that reported previously at the national
level, with a high proportion the result of causes that may be preventable with
globally recommended interventions. Financial and political support is needed to
promote improved cause of death surveillance and newborn and infant health care
in China's western region.
PMID- 24929336
TI - Coarse-grained analysis of stochastically simulated cell populations with a
positive feedback genetic network architecture.
AB - Among the different computational approaches modelling the dynamics of isogenic
cell populations, discrete stochastic models can describe with sufficient
accuracy the evolution of small size populations. However, for a systematic and
efficient study of their long-time behaviour over a wide range of parameter
values, the performance of solely direct temporal simulations requires
significantly high computational time. In addition, when the dynamics of the cell
populations exhibit non-trivial bistable behaviour, such an analysis becomes a
prohibitive task, since a large ensemble of initial states need to be tested for
the quest of possibly co-existing steady state solutions. In this work, we study
cell populations which carry the lac operon network exhibiting solution
multiplicity over a wide range of extracellular conditions (inducer
concentration). By adopting ideas from the so-called "equation-free" methodology,
we perform systems-level analysis, which includes numerical tasks such as the
computation of coarse steady state solutions, coarse bifurcation analysis, as
well as coarse stability analysis. Dynamically stable and unstable macroscopic
(population level) steady state solutions are computed by means of bifurcation
analysis utilising short bursts of fine-scale simulations, and the range of
bistability is determined for different sizes of cell populations. The results
are compared with the deterministic cell population balance model, which is valid
for large populations, and we demonstrate the increased effect of stochasticity
in small size populations with asymmetric partitioning mechanisms.
PMID- 24929337
TI - Is more better? Higher sterilization of infected hosts need not result in reduced
pest population size.
AB - We analyze the effect of sterilization in the infected hosts in several
epidemiological models involving infectious diseases that can be transmitted both
vertically and horizontally. Sterilizing pathogens can be used as pest control
agents by intentionally inoculating the target population, with the goal of
reducing or eliminating it completely. Contrary to previous models that did not
include vertical transmission we found that the population size at the endemic
equilibrium may actually increase with higher levels of sterility. This effect is
proved to exist for low to high efficiencies of vertical transmission. On the
other hand, if the disease is sexually transmitted and the host reproduction and
disease transmission are both consistently mediated by mating, we do not observe
such a counter-intuitive effect and the population size in the stable endemic
equilibrium is decreasing with higher levels of sterility. We suggest that models
of the pest control techniques involving the release of sterilizing pathogens
have to carefully consider the routes such pathogens use for transmission.
PMID- 24929338
TI - E6 and E7 interactions with micro-RNA.
PMID- 24929339
TI - Comparing lactate and glycerol as a single-electron donor for sulfate reduction
in fluidized bed reactors.
AB - Among the greatest challenges to the full implementation of biological sulfate
reduction are the cost and availability of the electron source. With the
development of the biofuel industry, new organic substrates have become
available. Therefore, this work sought to compare the performance of a
sulfidogenic process utilizing either lactate or glycerol as the substrate for
sulfate-reducing bacteria (SRB) growth. Although sulfate reduction is
energetically more favorable with lactate, glycerol is a less expensive
alternative because excess production is forecasted with the worldwide
development of the biodiesel industry. Continuous experiments were performed in a
fluidized bed (FB) reactor containing activated carbon as a carrier for a mixed
bacterial population composed of sulfate-reducing and fermentative bacteria.
During the lactate-fed phases, incomplete oxidation of lactate to acetate by SRB
was the dominant metabolic pathway resulting in as much as 90 % sulfate reduction
and high acetate concentrations (2.7 g L(-1)). Conversely, in the glycerol-fed
phases, glycerol degradation resulted from syntrophic cooperation between sulfate
reducing and fermentative bacteria that produce butyrate along with acetate (1.0
g L(-1)) as oxidation products. To our knowledge, this is the first report of
butyrate formation during sulfate reduction in a glycerol-fed continuous-flow
reactor. Sulfate concentrations were reduced by about 90 % (from 2,000 to 100-300
mg L(-1)) when glycerol was being fed to the reactor. Since the FB reactor was
able to stand a change from lactate to glycerol, this reactor is recommended as
the preferred option should glycerol be selected as a cost-effective alternative
to lactate for continuous sulfate reduction.
PMID- 24929340
TI - Phonon waveguides for electromechanical circuits.
AB - Nanoelectromechanical systems (NEMS), utilizing localized mechanical vibrations,
have found application in sensors, signal processors and in the study of
macroscopic quantum mechanics. The integration of multiple mechanical elements
via electrical or optical means remains a challenge in the realization of NEMS
circuits. Here, we develop a phonon waveguide using a one-dimensional array of
suspended membranes that offers purely mechanical means to integrate isolated
NEMS resonators. We demonstrate that the phonon waveguide can support and guide
mechanical vibrations and that the periodic membrane arrangement also creates a
phonon bandgap that enables control of the phonon propagation velocity.
Furthermore, embedding a phonon cavity into the phonon waveguide allows mobile
mechanical vibrations to be dynamically switched or transferred from the
waveguide to the cavity, thereby illustrating the viability of waveguide
resonator coupling. These highly functional traits of the phonon waveguide
architecture exhibit all the components necessary to permit the realization of
all-phononic NEMS circuits.
PMID- 24929341
TI - Selective transport control on molecular velcro made from intrinsically
disordered proteins.
AB - The selectivity and speed of many biological transport processes transpire from a
'reduction of dimensionality' that confines diffusion to one or two dimensions
instead of three. This behaviour remains highly sought after on polymeric
surfaces as a means to expedite diffusional search processes in molecular
engineered systems. Here, we have reconstituted the two-dimensional diffusion of
colloidal particles on a molecular brush surface. The surface is composed of
phenylalanine-glycine nucleoporins (FG Nups)--intrinsically disordered proteins
that facilitate selective transport through nuclear pore complexes in eukaryotic
cells. Local and ensemble-level experiments involving optical trapping using a
photonic force microscope and particle tracking by video microscopy,
respectively, reveal that 1-um-sized colloidal particles bearing nuclear
transport receptors called karyopherins can exhibit behaviour that varies from
highly localized to unhindered two-dimensional diffusion. Particle diffusivity is
controlled by varying the amount of free karyopherins in solution, which
modulates the multivalency of Kap-binding sites within the molecular brush. We
conclude that the FG Nups resemble stimuli-responsive molecular 'velcro', which
can impart 'reduction of dimensionality' as a means of biomimetic transport
control in artificial environments.
PMID- 24929342
TI - Conformationally constrained goniofufurone mimics as inhibitors of tumour cells
growth: Design, synthesis and SAR study.
AB - Synthesis of conformationally restricted (+)-goniofufurone (1) and 7-epi-(+)
goniofufurone (2) analogues, with embedded O-isopropylidene, O-methylidene or
cyclic carbonate functions is disclosed starting from d-glucose. A number of
potential bioisosteres of 1 and 2 bearing both 5,7-O-methylidene and 4
substituted cinnamoyloxy functions at the C-7 position have also been
synthesized. In vitro cytotoxicity of target molecules against a number of human
tumour cell lines were recorded and compared with those observed for the parent
molecules 1 and 2. Some of the analogues displayed powerful antiproliferative
effects on selected human tumour cell lines, but all of them were devoid of any
cytotoxicity towards the normal foetal lung fibroblasts (MRC-5). A SAR study
reveals the structural features of these lactones that may increase their
antiproliferative activity.
PMID- 24929343
TI - Microwave-assisted synthesis of C-8 aryl and heteroaryl inosines and
determination of their inhibitory activities against Plasmodium falciparum purine
nucleoside phosphorylase.
AB - 8-Arylinosines have been scarcely studied for therapeutic purposes, probably due
to difficulties in their synthesis. The recently described direct arylation
reaction at position 8 of purine nucleosides has been employed to synthesize a
series of 8-aryl and 8-pyridylinosines. These compounds have been studied for
hydrolytic stability and subjected to biological evaluation. Three compounds have
shown a pronounced specific inhibition of Plasmodium falciparum-encoded purine
nucleoside phosphorylase, an important target for antimalarial chemotherapy.
PMID- 24929344
TI - Synthesis and identification of cytotoxic diphyllin glycosides as vacuolar H(+)
ATPase inhibitors.
AB - The concise syntheses of two natural diphyllin glycosides Cleistanthin-A (CA),
Cleistanthoside-A (CleA) and its derivative, Cleistanthoside-A tetraacetate
(CleT), have been achieved. They were evaluated for their in vitro anti
proliferative activities against MCF-7, HeLa, HepG2, HCT-116, U251 cancer cell
lines by MTT assay. Both of CA and CleT were anti-proliferative to these cancer
cells at nanomolar concentrations. They have been shown to inhibit the activity
of vacuolar H(+)-ATPase (V-ATPase) in HepG2 cells and neutralize the pH of
lysosomes at nanomolar concentrations.
PMID- 24929345
TI - Fast Gaussian kernel learning for classification tasks based on specially
structured global optimization.
AB - For a practical pattern classification task solved by kernel methods, the
computing time is mainly spent on kernel learning (or training). However, the
current kernel learning approaches are based on local optimization techniques,
and hard to have good time performances, especially for large datasets. Thus the
existing algorithms cannot be easily extended to large-scale tasks. In this
paper, we present a fast Gaussian kernel learning method by solving a specially
structured global optimization (SSGO) problem. We optimize the Gaussian kernel
function by using the formulated kernel target alignment criterion, which is a
difference of increasing (d.i.) functions. Through using a power-transformation
based convexification method, the objective criterion can be represented as a
difference of convex (d.c.) functions with a fixed power-transformation
parameter. And the objective programming problem can then be converted to a SSGO
problem: globally minimizing a concave function over a convex set. The SSGO
problem is classical and has good solvability. Thus, to find the global optimal
solution efficiently, we can adopt the improved Hoffman's outer approximation
method, which need not repeat the searching procedure with different starting
points to locate the best local minimum. Also, the proposed method can be proven
to converge to the global solution for any classification task. We evaluate the
proposed method on twenty benchmark datasets, and compare it with four other
Gaussian kernel learning methods. Experimental results show that the proposed
method stably achieves both good time-efficiency performance and good
classification performance.
PMID- 24929347
TI - OSL and thermally assisted OSL response in dental enamel for its possible
application in retrospective dosimetry.
AB - Dental enamel was studied for its thermoluminescence (TL) and optically
stimulated luminescence (OSL) defects. The TL studies showed a wide glow curve
with multiple peaks. The thermally assisted OSL (TA-OSL) studies showed that the
integrated TA-OSL and thus OSL signal increases with readout temperature between
100 and 250 degrees C, due to the temperature dependence of OSL. The thermally
assisted energy E A associated with this increase is found to be 0.21 +/- 0.015
eV. On the other hand, the signal intensity decreases with temperature between
260 and 450 degrees C. This decrease could be due to depletion of OSL active
traps or possible thermal quenching. The increase of the OSL signal at increased
temperature can be used to enhance the sensitivity of dental enamel for ex vivo
measurements in retrospective dosimetry. The emission and excitation spectra of
its luminescence centers were studied by photoluminescence and were found to be
at 412 and 324 nm, respectively. It was found to possess multiple OSL active
traps having closely lying photoionization cross sections characterized by
continuous wave OSL and nonlinear OSL methods. The investigated dental enamel
samples showed a linear OSL dose response up to 500 Gy. The dose threshold was
found to be 100 mGy using a highly sensitive compact OSL reader with blue LED
(470 nm) stimulation.
PMID- 24929346
TI - Modification of radiation-induced oral mucositis (mouse) by adult stem cell
therapy: single-dose irradiation.
AB - Early oral mucositis occurs in response to accidental upper partial body exposure
as well as to radiotherapy in the head-and-neck region. This study was initiated
to define the potential of mobilization of endogenous bone marrow (BM) stem cells
by rHuG-CSF or of bone marrow transplantation (BMT) to reduce the effect of
single-dose irradiation on mouse oral epithelium. A 3 * 3 mm(2) area of the lower
tongue surface of mice was irradiated with graded single doses (day 0). Mucosal
ulceration was used as the endpoint for dose-response analyses. Stem cells were
mobilized by rHuG-CSF (8 times/4 days), timed to achieve a maximum of circulating
stem cells on days 0, +1, +4, +8 or +10. Alternatively, syngeneic BM was
transplanted on these days. The ED(50) (dose at which ulceration is expected in
50 % of the animals) for irradiation alone was 11.9 +/- 3.4 Gy. Mobilization of
stem cells with a maximum of circulating stem cells on days +4, +8 or +10
significantly increased the ED(50) to 25.5 +/- 10.1, 23.5 +/- 10.1 and 26.5 +/-
13.0 Gy. In contrast, a maximum of circulating stem cells on day 0 or day +1 had
no effect. BMT did not result in a significant change in isoeffective doses in
any of the protocols. In conclusion, the response of oral mucosal epithelium to a
single-radiation exposure can be significantly reduced by post-exposure
mobilization, but not by transplantation, of BM stem cells.
PMID- 24929348
TI - Temporal dynamics of bird community composition: an analysis of baseline
conditions from long-term data.
AB - Numerous anthropogenic activities threaten the biodiversity found on earth.
Because all ecological communities constantly experience temporal turnover due to
natural processes, it is important to distinguish between change due to
anthropogenic impact and the underlying natural rate of change. In this study, we
used data sets on breeding bird communities that covered at least 20 consecutive
years, from a variety of terrestrial ecosystems, to address two main questions.
(1) How fast does the composition of bird communities change over time, and can
we identify a baseline of natural change that distinguishes primeval systems from
systems experiencing varying degrees of human impact? (2) How do patterns of
temporal variation in composition vary among bird communities in ecosystems with
different anthropogenic impacts? Time lag analysis (TLA) showed a pattern of
increasing rate of temporal compositional change from large-scale primeval
systems to disturbed and protected systems to distinctly successional systems.
TLA slopes of <0.04 were typical for breeding bird communities with natural
turnover, while communities subjected to anthropogenic impact were characterised
by TLA slopes of >0.04. Most of the temporal variability of breeding bird
communities was explained by slow changes occurring over decades, regardless of
the intensity of human impact. In most of the time series, medium- and short-wave
periodicity was not detected, with the exception of breeding bird communities
subjected to periodic pulses (e.g. caterpillar outbreaks causing food resource
peaks).
PMID- 24929349
TI - Can transgenerational plasticity contribute to the invasion success of annual
plant species?
AB - Adaptive transgenerational plasticity (TGP), i.e., significantly higher fitness
when maternal and offspring conditions match, might contribute to the population
growth of non-native species in highly variable environments. However,
comparative studies that directly test this hypothesis are lacking. Therefore, we
performed a reciprocal split-brood experiment to compare TGP in response to N and
water availability in single populations of two invasive (Amaranthus retroflexus,
Galinsoga parviflora) and two congeneric non-invasive introduced species
(Amaranthus albus, Galinsoga ciliata). We hypothesized that the transgenerational
effect is adaptive: (1) in invasive species compared with non-invasive
adventives, and (2) in stressful conditions compared with resource-rich
environments. The phenotypic variation among offspring was generated, in large
part, by our experimental treatments in the maternal generation; therefore, we
demonstrated a direct TGP effect on the offspring's adult fitness. We found
evidence, for the first time, that invasive and non-invasive adventive species
differ regarding the expression of TGP in the adult stage, as adaptive responses
were found exclusively in the invasive species. The manifestation of TGP was more
explicit under resource-rich conditions; therefore, it might contribute to the
population dynamics of non-native species in resource-rich sites rather than to
their ecological tolerance spectra.
PMID- 24929350
TI - Use of the REG1 anticoagulation system in patients with acute coronary syndromes
undergoing percutaneous coronary intervention: results from the phase II RADAR
PCI study.
AB - AIMS: We sought to determine the feasibility of conducting percutaneous coronary
intervention (PCI) in high-risk acute coronary syndrome (ACS) patients utilising
the REG1 system consisting of pegnivacogin, an aptameric factor IXa inhibitor,
and its controlling agent anivamersen. METHODS AND RESULTS: In RADAR, ACS
patients were randomised to pegnivacogin 1 mg/kg with 25%, 50%, 75%, or 100%
anivamersen reversal or unfractionated heparin. Of the 640 patients randomised,
388 (61%) underwent PCI. Major modified ACUITY 30-day bleeding rates were 18%
(25% reversal), 12% (50% reversal), 9% (75% reversal), and 7% (100% reversal),
compared with 11% with heparin. The corresponding total bleeding rates were 68%,
39%, 35%, 34%, and 38% (heparin). Ischaemic events were less frequent in those
receiving pegnivacogin versus heparin (4.4% vs. 7.3%, p=0.3). Thirty-day urgent
TVR (1.1% vs. 0.9%, p=1.0), myocardial infarction (4.0% vs. 6.4%, p=0.3), and
angiographic complication (11.2% and 10.8%, p=0.9) rates were similar with
pegnivacogin and heparin. There were no incidences of clot formation on
guidewires or catheters. CONCLUSIONS: High-level factor IXa inhibition in ACS
patients undergoing PCI, with at least 50% reversal, has a favourable bleeding
profile and appears effective at suppressing ischaemic events and thrombotic
complications. Larger phase trials in PCI are warranted. CLINICAL TRIALS
REGISTRATION: ClinicalTrials.gov NCT00932100.
PMID- 24929351
TI - Atrazine reduces reproduction in Japanese medaka (Oryzias latipes).
AB - Atrazine is an effective broadleaf herbicide and the second most heavily used
herbicide in the United States. Effects along the hypothalamus-pituitary-gonad
axis in a number of vertebrate taxa have been demonstrated. Seasonally elevated
concentrations of atrazine in surface waters may adversely affect fishes, but
only a few studies have examined reproductive effects of this chemical. The
present study was designed to evaluate a population endpoint (egg production) in
conjunction with histological (reproductive stage, gonad pathology) and
biochemical (aromatase activity, sex hormone production) phenotypes associated
with atrazine exposure in Japanese medaka. Adult virgin breeding groups of one
male and four females were exposed to nominal concentrations of 0, 0.5, 5.0, and
50 MUg/L (0, 2.3, 23.2, 231 nM) of atrazine in a flow-through diluter for 14 or
38 days. Total egg production was lower (36-42%) in all atrazine-exposed groups
as compared to the controls. The decreases in cumulative egg production of
atrazine-treated fish were significant by exposure day 24. Reductions in total
egg production in atrazine treatment groups were most attributable to a reduced
number of eggs ovulated by females in atrazine-treated tanks. Additionally, males
exposed to atrazine had a greater number of abnormal germ cells. There was no
effect of atrazine on gonadosomatic index, aromatase protein, or whole body 17
beta-estradiol or testosterone. Our results suggest that atrazine reduces egg
production through alteration of final maturation of oocytes. The reduced egg
production observed in this study was very similar to our previously reported
results for fathead minnow. This study provides further information with which to
evaluate atrazine's risk to fish populations.
PMID- 24929352
TI - Effects of water accommodated fractions of crude oils and diesel on a suite of
biomarkers in Atlantic cod (Gadus morhua).
AB - The aim of this study was to characterize concentration- and time-dependent
responses in juvenile Atlantic cod (Gadus morhua) following exposure for one and
three weeks to the water-soluble fraction (WAF) of three weathered oils: Arabian
Light crude oil (ALC), North Sea crude oil (NSC) and ship-diesel. The sum of
polycyclic aromatic hydrocarbons (PAH) in water was highest after one week of
exposure and within environmentally relevant concentrations. PAH metabolites in
bile confirmed exposure to and uptake of PAHs. Hepatic cytochrome P450 1A (CYP1A)
gene expression (mRNA quantification) increased dramatically following exposure
to all three oil types (fold-change up to 165) and there was a time lag between
gene and protein expression. Hepatic CYP1A protein concentration and
ethoxyresorufin-O-deethylase (EROD) activity were more variable among individuals
and treatments than gene expression. EROD activity in liver and gills increased
in fish exposed to WAF from the two crude oils, but not in fish exposed to WAF
from diesel. Exposure to diesel appeared to induce oxidative stress to a greater
extent than exposure to crude oils. Other biomarkers (glutathione S-transferases,
acetylcholine esterase, vitellogenin) did not appear to respond to the exposure
and hence did not discriminate among oils. Biomarker responses in cod after
exposure to weathered crude oils and diesel suggested that the CYP1A system and
oxidative stress markers have the highest potential for discriminating among
different oil types and to monitor the environmental consequences of spills.
PMID- 24929353
TI - Metal-PAH mixtures in the aquatic environment: a review of co-toxic mechanisms
leading to more-than-additive outcomes.
AB - Mixtures of metals and polycyclic aromatic hydrocarbons (PAHs) occur ubiquitously
in aquatic environments, yet relatively little is known regarding their combined
toxicities. Emerging reports investigating the additive mortality in metal-PAH
mixtures have indicated that more-than-additive effects are equally as common as
strictly-additive effects, raising concern for ecological risk assessment
typically based on the summation of individual toxicities. Moreover, the current
separation of focus between in vivo and in vitro studies, and fine- and coarse
scale endpoints, creates uncertainty regarding the mechanisms of co-toxicity
involved in more-than-additive effects on whole organisms. Drawing from
literature on metal and PAH toxicity in bacteria, protozoa, invertebrates, fish,
and mammalian models, this review outlines several key mechanistic interactions
likely to promote more-than-additive toxicity in metal-PAH mixtures. Namely, the
deleterious effects of PAHs on membrane integrity and permeability to metals, the
potential for metal-PAH complexation, the inhibitory nature of metals to the
detoxification of PAHs via the cytochrome P450 pathway, the inhibitory nature of
PAHs towards the detoxification of metals via metallothionein, and the
potentiated production of reactive oxygenated species (ROS) in certain metal
(e.g. Cu) and PAH (e.g., phenanthrenequinone) mixtures. Moreover, the mutual
inhibition of detoxification suggests the possibility of positive feedback among
these mechanisms. The individual toxicities and interactive aspects of
contaminant transport, detoxification, and the production of ROS are herein
discussed.
PMID- 24929354
TI - The iceberg of suicide and self-harm in Irish adolescents: a population-based
study.
AB - PURPOSE: Suicide is a leading cause of death among adolescents. Self-harm is the
most important risk factor for suicide, yet the majority of self-harm does not
come to the attention of health services. The purpose of this study was to
establish the relative incidence of adolescent suicide, hospital-treated self
harm and self-harm in the community. METHODS: Annual suicide rates were
calculated for 15-17 year-old in the Cork and Kerry region in Ireland based on
data from the Central Statistics Office. Rates of hospital-treated self-harm were
collected by the Irish National Registry of Deliberate Self-Harm. Rates of self
harm in the community were assessed using a survey of 3,881 adolescents, the
Child and Adolescent Self-harm in Europe study. RESULTS: The annual suicide rate
was 10/100,000. Suicide was six times more common among boys than girls. The
annual incidence rate of hospital-treated self-harm was approximately
344/100,000, with the female rate almost twice the male rate. The rate of self
harm in the community was 5,551/100,000, and girls were almost four times more
likely to report self-harm. For every boy who died by suicide, 16 presented to
hospital with self-harm and 146 reported self-harm in the community. For every
female suicide, 162 girls presented to hospital with self-harm and 3,296 reported
self-harm. CONCLUSIONS: Gender differences in relative rates of self-harm and
suicide are very large, with boys who have harmed themselves at particularly high
risk of suicide. Knowledge of the relative incidence of self-harm and suicide in
adolescents can inform prevention programmes and services.
PMID- 24929356
TI - An audit of cancer of unknown primary notifications: A cautionary tale for
population health research using cancer registry data.
AB - BACKGROUND: Cancer of unknown primary (CUP) is a common cancer yet little is
known about the reliability of incidence data. METHODS: We audited 574 CUP
(C80.9) diagnoses (median age 81 years) registered by the New South Wales (NSW)
Central Cancer Registry (2004-2007) in a cohort of Australian Government
Department of Veterans' Affairs clients. The registry did not clarify diagnoses
with notifiers during this period due to interpretation of privacy legislation.
For the audit, current registry practice was applied by seeking additional
information from CUP notifiers and reclassifying diagnoses as necessary. In
addition, clinicopathological characteristics were extracted from notifications.
Fisher's exact test and Student's t-test were used to compare the demographic and
clinicopathological characteristics of the CUP subgroups. Age/sex-standardised
CUP incidence rates and 95% confidence intervals were calculated, standardised to
the 2001 Australian population. RESULTS: 172 (30.0%) cases were reclassified to a
known primary site, mostly cutaneous, and nine (1.6%) were found to be non
malignant diagnoses. After the audit the age/sex-standardised CUP incidence rates
decreased from 26.0 (95% CI 21.2-30.8) to 15.9 (95% CI 12.5-19.3) per 100,000
person-years. Of the 393 remaining CUP cases, 202 (51%) were registered on the
basis of a clinical diagnosis (46 by death certificate only) and 191 (49%) by
pathological diagnosis (79 by cytology alone). Compared to cases with a
pathological diagnosis, cases with a clinical diagnosis were older (85.6 vs. 82.0
years, p<0.001), and the reported number and location of metastases differed
(p<0.001); metastatic sites were more likely to be unspecified for clinical
diagnoses (36.1% vs. 4.2%). CONCLUSIONS: Cancer registry processes can markedly
influence CUP incidence. Future population-based CUP research should take this
into account, and consider stratification by basis of diagnosis due to
differences in patient and tumour characteristics.
PMID- 24929355
TI - Frequencies and predictors of barriers to mental health service use: a
longitudinal study of Hurricane Ike survivors.
AB - BACKGROUND: The majority of disaster survivors suffering from psychological
symptoms do not receive mental health services. Research on barriers to service
use among disaster survivors is limited by a lack of longitudinal studies of
representative samples and investigations of predictors of barriers. The purpose
of this study was to address these limitations through analysis of a three-wave
population-based study of Hurricane Ike survivors (N = 658). METHODS: Frequencies
of preference, outcome expectancy, resource, and stigma barriers among
participants with unmet mental health needs were documented and logistic
regression using a generalized estimating equations approach explored
predisposing (e.g., age), illness-related (e.g., posttraumatic stress) and
enabling (e.g., insurance coverage) factors as predictors of each type of
barrier. RESULTS: Preference barriers were most frequently cited at each wave,
whereas stigma barriers were least frequently cited. Older age and higher
emotional support predicted preference barriers; being a parent of a child under
18-years old at the time of the hurricane, higher generalized anxiety, and lack
of insurance predicted resource barriers; and higher posttraumatic stress
predicted stigma barriers. CONCLUSIONS: These findings suggest that postdisaster
practices targeting subpopulations most likely to have barriers to service use
may be indicated.
PMID- 24929357
TI - Active cigarette smoking and the risk of breast cancer: a cohort study.
AB - BACKGROUND: Tobacco use has been implicated in the etiology of a large number of
cancers, and there exists substantial biological plausibility that it could also
be involved in breast carcinogenesis. Despite this, epidemiological evidence to
date is inconsistent. The aim of this study was to investigate the role of active
smoking and the risk of incident, invasive breast cancer using a prospective
cohort of women from the Canadian Study of Diet, Lifestyle and Health. METHODS:
Using a case-cohort design, an age-stratified subcohort of 3314 women was created
from 39,532 female participants who returned completed self-administered
lifestyle and dietary questionnaires at baseline. A total of 1096 breast cancer
cases were identified in the entire cohort (including 141 cases from the
subcohort) by linkage to the Canadian Cancer Registry. Cox regression models were
used to estimate hazard ratios for the association between the different smoking
exposures and the risk of breast cancer, using a modification for the case-cohort
design. RESULTS: After carefully considering early-life exposures and potential
confounders, we found no association between any smoking exposure and risk of
breast cancer in this study (Hazard ratio=1.00, 95% confidence interval=0.87-1.17
for ever vs never smokers). CONCLUSIONS: Although these results cannot rule out
an association between smoking and breast cancer, they do agree with the current
literature suggesting that, if an association does exist, it is relatively weak.
PMID- 24929358
TI - Assessment of phenol infiltration resilience in soil media by HYDRUS-1D transport
model for a waste discharge site.
AB - The movement of contaminants through soil imparts a variety of geo-environmental
problem inclusive of lithospheric pollution. Near-surface aquifers are often
vulnerable to contamination from surface source if overlying soil possesses poor
resilience or contaminant attenuation capacity. The prediction of contaminant
transport through soil is urged to protect groundwater from sources of
pollutants. Using field simulation through column experiments and mathematical
modeling like HYDRUS-1D, assessment of soil resilience and movement of
contaminants through the subsurface to reach aquifers can be predicted. An
outfall site of effluents of a coke oven plant comprising of alarming
concentration of phenol (4-12.2 mg/L) have been considered for studying
groundwater condition and quality, in situ soil characterization, and effluent
characterization. Hydrogeological feature suggests the presence of near-surface
aquifers at the effluent discharge site. Analysis of groundwater of nearby
locality reveals the phenol concentration (0.11-0.75 mg/L) exceeded the
prescribed limit of WHO specification (0.002 mg/L). The in situ soil, used in
column experiment, possess higher saturated hydraulic conductivity (KS = 5.25 *
10(-4) cm/s). The soil containing 47 % silt, 11 % clay, and 1.54% organic carbon
content was found to be a poor absorber of phenol (24 mg/kg). The linear phenol
adsorption isotherm model showed the best fit (R(2) = 0.977, RMSE = 1.057) to the
test results. Column experiments revealed that the phenol removal percent and the
length of the mass transfer zone increased with increasing bed heights. The
overall phenol adsorption efficiency was found to be 42-49%. Breakthrough curves
(BTCs) predicted by HYDRUS-1D model appears to be close fitting with the BTCs
derived from the column experiments. The phenol BTC predicted by the HYDRUS-1D
model for 1.2 m depth subsurface soil, i.e., up to the depth of groundwater in
the study area, showed that the exhaustion point was reached within 12 days of
elapsed time. This clearly demonstrated poor attenuation capacity of the soil to
retard migration of phenol to the groundwater from the surface outfall site.
Suitable liner, based on these data, may be designed to inhibit subsurface
transport of phenol and thereby to protect precious groundwater from
contamination.
PMID- 24929359
TI - Coupling between endocytosis and sphingosine kinase 1 recruitment.
AB - Genetic studies have suggested a functional link between cholesterol/sphingolipid
metabolism and endocytic membrane traffic. Here we show that perturbing the
cholesterol/sphingomyelin balance in the plasma membrane results in the massive
formation of clusters of narrow endocytic tubular invaginations positive for N
BAR proteins. These tubules are intensely positive for sphingosine kinase 1
(SPHK1). SPHK1 is also targeted to physiologically occurring early endocytic
intermediates, and is highly enriched in nerve terminals, which are cellular
compartments specialized for exo/endocytosis. Membrane recruitment of SPHK1
involves a direct, curvature-sensitive interaction with the lipid bilayer
mediated by a hydrophobic patch on the enzyme's surface. The knockdown of SPHKs
results in endocytic recycling defects, and a mutation that disrupts the
hydrophobic patch of Caenorhabditis elegans SPHK fails to rescue the
neurotransmission defects in loss-of-function mutants of this enzyme. Our studies
support a role for sphingosine phosphorylation in endocytic membrane trafficking
beyond the established function of sphingosine-1-phosphate in intercellular
signalling.
PMID- 24929360
TI - Adherens junction treadmilling during collective migration.
AB - Collective cell migration is essential for both physiological and pathological
processes. Adherens junctions (AJs) maintain the integrity of the migrating cell
group and promote cell coordination while allowing cellular rearrangements. Here,
we show that AJs undergo a continuous treadmilling along the lateral sides of
adjacent leading cells. The treadmilling is driven by an actin-dependent rearward
movement of AJs and is supported by the polarized recycling of N-cadherin. N
cadherin is mainly internalized at the cell rear and then recycled to the leading
edge where it accumulates before being incorporated into forming AJs at the front
of lateral cell-cell contacts. The polarized dynamics of AJs is controlled by a
front-to-rear gradient of p120-catenin phosphorylation, which regulates polarized
trafficking of N-cadherin. Perturbation of the GSK3-dependent phosphorylation of
p120-catenin impacts on the stability of AJs, and the polarity and speed of
leading cells during collective migration.
PMID- 24929361
TI - Protein interaction switches coordinate Raf-1 and MST2/Hippo signalling.
AB - Signal transduction requires the coordination of activities between different
pathways. In mammalian cells, Raf-1 regulates the MST-LATS and MEK-ERK pathways.
We found that a complex circuitry of competing protein interactions coordinates
the crosstalk between the ERK and MST pathways. Combining mathematical modelling
and experimental validation we show that competing protein interactions can cause
steep signalling switches through phosphorylation-induced changes in binding
affinities. These include Akt phosphorylation of MST2 and a feedback
phosphorylation of Raf-1 Ser 259 by LATS1, which enables Raf-1 to suppress both
MST2 and MEK signalling. Mutation of Raf-1 Ser 259 stimulates both pathways,
simultaneously driving apoptosis and proliferation, whereas concomitant MST2
downregulation switches signalling to cell proliferation, transformation and
survival. Thus, competing protein interactions provide a versatile regulatory
mechanism for signal distribution through the dynamic integration of graded
signals into switch-like responses.
PMID- 24929363
TI - Nitrogen and phosphorus discharge of animal origin in the Baixo Vouga: a spatial
data analysis.
AB - The livestock production is a strategic and crucial activity for it fixes
populations in rural areas, contributing to its conservation, and most
importantly because it ensures food production. By producing meat, milk or eggs,
this sector contributes to national food self-sufficiency. However, intensive
livestock farming activities carry a strong pollution load on the environment,
particularly through the emission of nitrogen (N), phosphorus (P), and greenhouse
gases (GHG). The Baixo Vouga region in Portugal due to its climatic conditions
and soil characteristics holds a high number of livestock production units mainly
cattle, poultry and swine. Thus such an activity contributes to a significant
portion of the wealth created in this region. This high potential has collided
with the existence of environmentally sensitive areas, many of which are
protected by European environmental regulations. This work studies the
geographical distribution of livestock population of cattle, poultry and swine in
the Baixo Vouga region and quantifies its impacts on the environment by
calculating the excretion loads of N and P of these species. In the cattle and
poultry sectors two clusters or agglomerations are identified, the first in the
northern region and the second in the inland region. Moreover, the cattle farming
cluster overlaps on vulnerable zones requiring specific monitoring on effluent
treatment issues.
PMID- 24929362
TI - Molecular diversity of the methanotrophic bacteria communities associated with
disused tin-mining ponds in Kampar, Perak, Malaysia.
AB - In a previous study, notable differences of several physicochemical properties,
as well as the community structure of ammonia oxidizing bacteria as judged by 16S
rRNA gene analysis, were observed among several disused tin-mining ponds located
in the town of Kampar, Malaysia. These variations were associated with the
presence of aquatic vegetation as well as past secondary activities that occurred
at the ponds. Here, methane oxidizing bacteria (MOB), which are direct
participants in the nutrient cycles of aquatic environments and biological
indicators of environmental variations, have been characterised via analysis of
pmoA functional genes in the same environments. The MOB communities associated
with disused tin-mining ponds that were exposed to varying secondary activities
were examined in comparison to those in ponds that were left to nature. Comparing
the sequence and phylogenetic analysis of the pmoA clone libraries at the
different ponds (idle, lotus-cultivated and post-aquaculture), we found pmoA
genes indicating the presence of type I and type II MOB at all study sites, but
type Ib sequences affiliated with the Methylococcus/Methylocaldum lineage were
most ubiquitous (46.7 % of clones). Based on rarefaction analysis and diversity
indices, the disused mining pond with lotus culture was observed to harbor the
highest richness of MOB. However, varying secondary activity or sample type did
not show a strong variation in community patterns as compared to the ammonia
oxidizers in our previous study.
PMID- 24929365
TI - Communication: He-tagged vibrational spectra of the SarGlyH+ and H+(H2O)(2,3)
ions: quantifying tag effects in cryogenic ion vibrational predissociation (CIVP)
spectroscopy.
AB - To assess the degree to which more perturbative, but widely used "tag" species
(Ar, H2, Ne) affect the intrinsic band patterns of the isolated ions, we describe
the extension of mass-selective, cryogenic ion vibrational spectroscopy to the
very weakly interacting helium complexes of three archetypal ions: the dipeptide
SarGlyH(+) and the small protonated water clusters: H(+)(H2O)(2,3), including the
H5O2(+) "Zundel" ion. He adducts were generated in a 4.5 K octopole ion trap
interfaced to a double-focusing, tandem time-of-flight photofragmentation mass
spectrometer to record mass-selected vibrational predissociation spectra. The H2
tag-induced shift (relative to that by He) on the tag-bound NH stretch of the
SarGlyH(+) spectrum is quite small (12 cm(-1)), while the effect on the floppy
H5O2(+) ion is more dramatic (125 cm(-1)) in going from Ar (or H2) to Ne. The
shifts from Ne to He, on the other hand, while quantitatively significant
(maximum of 10 cm(-1)), display the same basic H5O2(+) band structure, indicating
that the He-tagged H5O2(+) spectrum accurately represents the delocalized nature
of the vibrational zero-point level. Interestingly, the He-tagged spectrum of
H(+)(H2O)3 reveals the location of the non-bonded OH group on the central H3O(+)
ion to fall between the collective non-bonded OH stretches on the flanking water
molecules in a position typically associated with a neutral OH group.
PMID- 24929364
TI - (1)H, (13)C, and (15)N backbone and sidechain chemical shift assignments for the
HEAT2 domain of human eIF4GI.
AB - The translation initiation factor eIF4G is required for the translation of many
eukaryotic messenger RNAs. Its interaction with the ATP-dependent RNA helicase
eIF4A plays an important role in the regulation of translation initiation. eIF4G
in humans and other higher eukaryotes contains three HEAT domains, of which HEAT1
and HEAT2 contain binding sites for eIF4A. Here we report the near complete NMR
resonance assignment of the 192-residue HEAT2 domain of the human translation
initiation factor eIF4GI. The chemical shift data constitute the basis for NMR
structural studies aimed at expanding understanding of the role of interactions
between the initiation factor eIF4A and eIF4G in translation initiation.
PMID- 24929366
TI - Communication: Chemical functionality of interfacial water enveloping nanoscale
structural defects in proteins.
AB - Building upon a non-Debye multiscale treatment of water dielectrics, this work
reveals the biochemical role of interfacial water enveloping nanoscale structural
defects in soluble proteins, asserting its role as a chemical base. This quasi
reactant status is already implied by the significant concentration of structural
defects in the vicinity of an enzymatically active site, delineating their role
as promoters or enhancers of catalytic activity.
PMID- 24929367
TI - Communication: Remarkable electrophilicity of the oxalic acid monomer: an anion
photoelectron spectroscopy and theoretical study.
AB - Our experimental and computational results demonstrate an unusual
electrophilicity of oxalic acid, the simplest dicarboxylic acid. The monomer is
characterized by an adiabatic electron affinity and electron vertical detachment
energy of 0.72 and 1.08 eV (+/-0.05 eV), respectively. The electrophilicity
results primarily from the bonding carbon-carbon interaction in the singly
occupied molecular orbital of the anion, but it is further enhanced by
intramolecular hydrogen bonds. The well-resolved structure in the photoelectron
spectrum is reproduced theoretically, based on Franck-Condon factors for the
vibronic anion -> neutral transitions.
PMID- 24929368
TI - Exponential time differencing methods with Chebyshev collocation for polymers
confined by interacting surfaces.
AB - We present a fast and accurate numerical method for the self-consistent field
theory calculations of confined polymer systems. It introduces an exponential
time differencing method (ETDRK4) based on Chebyshev collocation, which exhibits
fourth-order accuracy in temporal domain and spectral accuracy in spatial domain,
to solve the modified diffusion equations. Similar to the approach proposed by
Hur et al. [Macromolecules 45, 2905 (2012)], non-periodic boundary conditions are
adopted to model the confining walls with or without preferential interactions
with polymer species, avoiding the use of surface field terms and the mask
technique in a conventional approach. The performance of ETDRK4 is examined in
comparison with the operator splitting methods with either Fourier collocation or
Chebyshev collocation. Numerical experiments show that our exponential time
differencing method is more efficient than the operator splitting methods in high
accuracy calculations. This method has been applied to diblock copolymers
confined by two parallel flat surfaces.
PMID- 24929369
TI - Asymptotic solution of the diffusion equation in slender impermeable tubes of
revolution. I. The leading-term approximation.
AB - The anisotropic 3D equation describing the pointlike particles diffusion in
slender impermeable tubes of revolution with cross section smoothly depending on
the longitudinal coordinate is the object of our study. We use singular
perturbations approach to find the rigorous asymptotic expression for the local
particles concentration as an expansion in the ratio of the characteristic
transversal and longitudinal diffusion relaxation times. The corresponding
leading-term approximation is a generalization of well-known Fick-Jacobs
approximation. This result allowed us to delineate the conditions on temporal and
spatial scales under which the Fick-Jacobs approximation is valid. A striking
analogy between solution of our problem and the method of inner-outer expansions
for low Knudsen numbers gas kinetic theory is established. With the aid of this
analogy we clarify the physical and mathematical meaning of the obtained results.
PMID- 24929370
TI - Identifying the Hamiltonian structure in linear response theory.
AB - We present a unifying framework for linear response eigenvalue equations that
encompasses both variational Hartree-Fock and Kohn-Sham density functional theory
as well as non-variational coupled-cluster theory. The joint description is
rooted in the so-called Hamiltonian structure of the response kernel matrices,
whose properties permit an immediate identification of the well-known paired
eigenvalue spectrum describing a molecule in the isolated state. Recognizing the
Hamiltonian structure underlying the equations further enables a generalization
to the case of a polarizable-embedded molecule treated in variational and, in
particular, in non-variational theories.
PMID- 24929372
TI - Temporal cross-correlation asymmetry and departure from equilibrium in a bistable
chemical system.
AB - This paper aims at determining sustained reaction fluxes in a nonlinear chemical
system driven in a nonequilibrium steady state. The method relies on the
computation of cross-correlation functions for the internal fluctuations of
chemical species concentrations. By employing Langevin-type equations, we derive
approximate analytical formulas for the cross-correlation functions associated
with nonlinear dynamics. Kinetic Monte Carlo simulations of the chemical master
equation are performed in order to check the validity of the Langevin equations
for a bistable chemical system. The two approaches are found in excellent
agreement, except for critical parameter values where the bifurcation between
monostability and bistability occurs. From the theoretical point of view, the
results imply that the behavior of cross-correlation functions cannot be
exploited to measure sustained reaction fluxes in a specific nonlinear system
without the prior knowledge of the associated chemical mechanism and the rate
constants.
PMID- 24929371
TI - Derivation of coarse-grained potentials via multistate iterative Boltzmann
inversion.
AB - In this work, an extension is proposed to the standard iterative Boltzmann
inversion (IBI) method used to derive coarse-grained potentials. It is shown that
the inclusion of target data from multiple states yields a less state-dependent
potential, and is thus better suited to simulate systems over a range of
thermodynamic states than the standard IBI method. The inclusion of target data
from multiple states forces the algorithm to sample regions of potential phase
space that match the radial distribution function at multiple state points, thus
producing a derived potential that is more representative of the underlying
interactions. It is shown that the algorithm is able to converge to the true
potential for a system where the underlying potential is known. It is also shown
that potentials derived via the proposed method better predict the behavior of n
alkane chains than those derived via the standard IBI method. Additionally,
through the examination of alkane monolayers, it is shown that the relative
weight given to each state in the fitting procedure can impact bulk system
properties, allowing the potentials to be further tuned in order to match the
properties of reference atomistic and/or experimental systems.
PMID- 24929373
TI - Identifiability analysis of rotational diffusion tensor and electronic transition
moments measured in time-resolved fluorescence depolarization experiment.
AB - The subject of this paper is studies of the deterministic identifiability of
molecular parameters, such as rotational diffusion tensor components and
orientation of electronic transition moments, resulting from the time-resolved
fluorescence anisotropy experiment. In the most general case considered, a pair
of perpendicularly polarized emissions enables the unique determination of all
the rotational diffusion tensor's principal components. The influence of the
tensor's symmetry and the associated degeneration of its eigenvalues on the
identifiability of the electronic transitions moments is systematically
investigated. The analysis reveals that independently of the rotational diffusion
tensor's symmetry, the transition moments involved in photoselection and emission
processes cannot be uniquely identified without a priori information about their
mutual orientation or their orientation with respect to the principal axes of the
tensor. Moreover, it is shown that increasing the symmetry of the rotational
diffusion tensor deteriorates the degree of the transition moments
identifiability. To obtain these results analytically, a novel approach to solve
bilinear system of equations for Markov parameters is applied. The effect of the
additional information, obtained from fluorescence measurements for different
molecular mobilities, to improve the identifiability at various levels of
analysis is shown. The effectiveness and reliability of the target analysis
method for experimental determination of the molecular parameters is also
discussed.
PMID- 24929374
TI - Path integral Liouville dynamics for thermal equilibrium systems.
AB - We show a new imaginary time path integral based method--path integral Liouville
dynamics (PILD), which can be derived from the equilibrium Liouville dynamics [J.
Liu and W. H. Miller, J. Chem. Phys. 134, 104101 (2011)] in the Wigner phase
space. Numerical tests of PILD with the simple (white noise) Langevin thermostat
have been made for two strongly anharmonic model problems. Since implementation
of PILD does not request any specific form of the potential energy surface, the
results suggest that PILD offers a potentially useful approach for general
condensed phase molecular systems to have the two important properties: conserves
the quantum canonical distribution and recovers exact thermal correlation
functions (of even nonlinear operators, i.e., nonlinear functions of position or
momentum operators) in the classical, high temperature, and harmonic limits.
PMID- 24929375
TI - Landau-Zener type surface hopping algorithms.
AB - A class of surface hopping algorithms is studied comparing two recent Landau
Zener (LZ) formulas for the probability of nonadiabatic transitions. One of the
formulas requires a diabatic representation of the potential matrix while the
other one depends only on the adiabatic potential energy surfaces. For each
classical trajectory, the nonadiabatic transitions take place only when the
surface gap attains a local minimum. Numerical experiments are performed with
deterministically branching trajectories and with probabilistic surface hopping.
The deterministic and the probabilistic approach confirm the affinity of both the
LZ probabilities, as well as the good approximation of the reference solution
computed by solving the Schrodinger equation via a grid based pseudo-spectral
method. Visualizations of position expectations and superimposed surface hopping
trajectories with reference position densities illustrate the effective dynamics
of the investigated algorithms.
PMID- 24929376
TI - Full-dimensional diabatic potential energy surfaces including dissociation: the
2E" state of NO3.
AB - A scheme to produce accurate full-dimensional coupled diabatic potential energy
surfaces including dissociative regions and suitable for dynamical calculations
is proposed. The scheme is successfully applied to model the two-sheeted surface
of the (2)E" state of the NO3 radical. An accurate potential energy surface for
the NO3- anion ground state is developed as well. Both surfaces are based on high
level ab initio calculations. The model consists of a diabatic potential matrix,
which is expanded to higher order in terms of symmetry polynomials of symmetry
coordinates. The choice of coordinates is key for the accuracy of the obtained
potential energy surfaces and is discussed in detail. A second central aspect is
the generation of reference data to fit the expansion coefficients of the model
for which a stochastic approach is proposed. A third ingredient is a new and
simple scheme to handle problematic regions of the potential energy surfaces,
resulting from the massive undersampling by the reference data unavoidable for
high-dimensional problems. The final analytical diabatic surfaces are used to
compute the lowest vibrational levels of NO3- and the photo-electron detachment
spectrum of NO3- leading to the neutral radical in the (2)E" state by full
dimensional multi-surface wave-packet propagation for NO3 performed using the
Multi-Configuration Time Dependent Hartree method. The achieved agreement of the
simulations with available experimental data demonstrates the power of the
proposed scheme and the high quality of the obtained potential energy surfaces.
PMID- 24929377
TI - Two-state theory of binned photon statistics for a large class of waiting time
distributions and its application to quantum dot blinking.
AB - A theoretical method is proposed for the calculation of the photon counting
probability distribution during a bin time. Two-state fluorescence and steady
excitation are assumed. A key feature is a kinetic scheme that allows for an
extensive class of stochastic waiting time distribution functions, including
power laws, expanded as a sum of weighted decaying exponentials. The solution is
analytic in certain conditions, and an exact and simple expression is found for
the integral contribution of "bright" and "dark" states. As an application for
power law kinetics, theoretical results are compared with experimental intensity
histograms from a number of blinking CdSe/ZnS quantum dots. The histograms are
consistent with distributions of intensity states around a "bright" and a "dark"
maximum. A gap of states is also revealed in the more-or-less flat inter-peak
region. The slope and to some extent the flatness of the inter-peak feature are
found to be sensitive to the power-law exponents. Possible models consistent with
these findings are discussed, such as the combination of multiple charging and
fluctuating non-radiative channels or the multiple recombination center model. A
fitting of the latter to experiment provides constraints on the interaction
parameter between the recombination centers. Further extensions and applications
of the photon counting theory are also discussed.
PMID- 24929379
TI - Cholesky-decomposed density MP2 with density fitting: accurate MP2 and double
hybrid DFT energies for large systems.
AB - Our recently developed QQR-type integral screening is introduced in our Cholesky
decomposed pseudo-densities Moller-Plesset perturbation theory of second order
(CDD-MP2) method. We use the resolution-of-the-identity (RI) approximation in
combination with efficient integral transformations employing sparse matrix
multiplications. The RI-CDD-MP2 method shows an asymptotic cubic scaling behavior
with system size and a small prefactor that results in an early crossover to
conventional methods for both small and large basis sets. We also explore the use
of local fitting approximations which allow to further reduce the scaling
behavior for very large systems. The reliability of our method is demonstrated on
test sets for interaction and reaction energies of medium sized systems and on a
diverse selection from our own benchmark set for total energies of larger
systems. Timings on DNA systems show that fast calculations for systems with more
than 500 atoms are feasible using a single processor core. Parallelization
extends the range of accessible system sizes on one computing node with multiple
cores to more than 1000 atoms in a double-zeta basis and more than 500 atoms in a
triple-zeta basis.
PMID- 24929380
TI - Analytical solution of the Poisson-Nernst-Planck equations for an electrochemical
system close to electroneutrality.
AB - Single charge densities and the potential are used to describe models of
electrochemical systems. These quantities can be calculated by solving a system
of time dependent nonlinear coupled partial differential equations, the Poisson
Nernst-Planck equations. Assuming small deviations from the electroneutral
equilibrium, the linearized and decoupled equations are solved for a radial
symmetric geometry, which represents the interface between a cell and a sensor
device. The densities and the potential are expressed by Fourier-Bessels series.
The system considered has a ratio between the Debye-length and its geometric
dimension on the order of 10(-4) so the Fourier-Bessel series can be approximated
by elementary functions. The time development of the system is characterized by
two time constants, tau(c) and tau(g). The constant tau(c) describes the approach
to the stationary state of the total charge and the potential. tau(c) is several
orders of magnitude smaller than the geometry-dependent constant tau(g), which is
on the order of 10 ms characterizing the transition to the stationary state of
the single ion densities.
PMID- 24929378
TI - Assessment of amide I spectroscopic maps for a gas-phase peptide using IR-UV
double-resonance spectroscopy and density functional theory calculations.
AB - The spectroscopy of amide I vibrations has become a powerful tool for exploring
protein structure and dynamics. To help with spectral interpretation, it is often
useful to perform molecular dynamics (MD) simulations. To connect spectroscopic
experiments to simulations in an efficient manner, several researchers have
proposed "maps," which relate observables in classical MD simulations to quantum
spectroscopic variables. It can be difficult to discern whether errors in the
theoretical results (compared to experiment) arise from inaccuracies in the MD
trajectories or in the maps themselves. In this work, we evaluate spectroscopic
maps independently from MD simulations by comparing experimental and theoretical
spectra for a single conformation of the alpha-helical model peptide Ac-Phe
(Ala)5-Lys-H(+) in the gas phase. Conformation-specific experimental spectra are
obtained for the unlabeled peptide and for several singly and doubly (13)C
labeled variants using infrared-ultraviolet double-resonance spectroscopy, and
these spectra are found to be well-modeled by density functional theory (DFT)
calculations at the B3LYP/6-31G** level. We then compare DFT results for the
deuterated and (13)C(18)O-labeled peptide with those from spectroscopic maps
developed and used previously by the Skinner group. We find that the maps are
typically accurate to within a few cm(-1) for both frequencies and couplings,
having larger errors only for the frequencies of terminal amides.
PMID- 24929381
TI - Certification and the potential energy landscape.
AB - Typically, there is no guarantee that a numerical approximation obtained using
standard nonlinear equation solvers is indeed an actual solution, meaning that it
lies in the quadratic convergence basin. Instead, it may lie only in the linear
convergence basin, or even in a chaotic region, and hence not converge to the
corresponding stationary point when further optimization is attempted. In some
cases, these non-solutions could be misleading. Proving that a numerical
approximation will quadratically converge to a stationary point is termed
certification. In this report, we provide details of how Smale's alpha-theory can
be used to certify numerically obtained stationary points of a potential energy
landscape, providing a mathematical proof that the numerical approximation does
indeed correspond to an actual stationary point, independent of the precision
employed.
PMID- 24929382
TI - Exchange interaction between the triplet exciton and the localized spin in copper
phthalocyanine.
AB - Triplet excitonic state in the organic molecule may arise from a singlet
excitation and the following inter-system crossing. Especially for a spin-bearing
molecule, an exchange interaction between the triplet exciton and the original
spin on the molecule can be expected. In this paper, such exchange interaction in
copper-phthalocyanine (CuPc, spin-1/2) was investigated from first-principles by
using density-functional theory within a variety of approximations to the
exchange correlation, ranging from local-density approximation to long-range
corrected hybrid-exchange functional. The magnitude of the computed exchange
interaction is in the order of meV with the minimum value (1.5 meV,
ferromagnetic) given by the long-range corrected hybrid-exchange functional CAM
B3LYP. This exchange interaction can therefore give rise to a spin coherence with
an oscillation period in the order of picoseconds, which is much shorter than the
triplet lifetime in CuPc (typically tens of nanoseconds). This implies that it
might be possible to manipulate the localized spin on Cu experimentally using
optical excitation and inter-system crossing well before the triplet state
disappears.
PMID- 24929383
TI - Rotational excitation of HCN by para- and ortho-H2.
AB - Rotational excitation of the hydrogen cyanide (HCN) molecule by collisions with
para-H2(j = 0, 2) and ortho-H2(j = 1) is investigated at low temperatures using a
quantum time independent approach. Both molecules are treated as rigid rotors.
The scattering calculations are based on a highly correlated ab initio 4
dimensional (4D) potential energy surface recently published. Rotationally
inelastic cross sections among the 13 first rotational levels of HCN were
obtained using a pure quantum close coupling approach for total energies up to
1200 cm(-1). The corresponding thermal rate coefficients were computed for
temperatures ranging from 5 to 100 K. The HCN rate coefficients are strongly
dependent on the rotational level of the H2 molecule. In particular, the rate
coefficients for collisions with para-H2(j = 0) are significantly lower than
those for collisions with ortho-H2(j = 1) and para-H2(j = 2). Propensity rules in
favor of even Deltaj transitions were found for HCN in collisions with para-H2(j
= 0) whereas propensity rules in favor of odd Deltaj transitions were found for
HCN in collisions with H2(j ? 1). The new rate coefficients were compared with
previously published HCN-para-H2(j = 0) rate coefficients. Significant
differences were found due the inclusion of the H2 rotational structure in the
scattering calculations. These new rate coefficients will be crucial to improve
the estimation of the HCN abundance in the interstellar medium.
PMID- 24929384
TI - Dipole polarizability of alkali-metal (Na, K, Rb)-alkaline-earth-metal (Ca, Sr)
polar molecules: prospects for alignment.
AB - Electronic open-shell ground-state properties of selected alkali-metal-alkaline
earth-metal polar molecules are investigated. We determine potential energy
curves of the (2)Sigma(+) ground state at the coupled-cluster singles and doubles
with partial triples (CCSD(T)) level of electron correlation. Calculated
spectroscopic constants for the isotopes ((23)Na, (39)K, (85)Rb)-((40)Ca, (88)Sr)
are compared with available theoretical and experimental results. The variation
of the permanent dipole moment (PDM), average dipole polarizability, and
polarizability anisotropy with internuclear distance is determined using finite
field perturbation theory at the CCSD(T) level. Owing to moderate PDM (KCa: 1.67
D, RbCa: 1.75 D, KSr: 1.27 D, RbSr: 1.41 D) and large polarizability anisotropy
(KCa: 566 a.u., RbCa: 604 a.u., KSr: 574 a.u., RbSr: 615 a.u.), KCa, RbCa, KSr,
and RbSr are potential candidates for alignment and orientation in combined
intense laser and external static electric fields.
PMID- 24929385
TI - Accuracy of the centrifugal sudden approximation in the H + CHD3 -> H2 + CD3
reaction.
AB - The initial state selected time-dependent wave packet method has been extended to
calculate the coupled-channel reaction probabilities with total angular momentum
J(tot) > 0 for the title reaction with seven degrees of freedom included. Fully
converged integral cross sections were obtained for the ground and a number of
vibrational excited initial states on a new potential energy surface recently
constructed by this group using neural network fitting. As found from a previous
study with the centrifugal sudden (CS) approximation, all these initial
vibrational excitations investigated in this study enhance the reactivity
considerably at a given collision energy, in particular the CH stretch excited
state. The energy initially deposited in CH stretch motion is more effective than
translational energy on promoting the reaction in the entire energy region, while
for CH bending or CD3 umbrella excitations only at the high collision energy the
vibrational energy becomes more effective. Our calculations also revealed that
the accuracy of the CS approximation considerably deteriorates with the increase
of J(tot), in particular on the threshold energy. The CS approximation
underestimates the integral cross sections for all these initial states, albeit
not very severely. In general, it works better at high collision energies and for
vibrationally excited initial states, with the increase of integral cross
section.
PMID- 24929386
TI - Ab initio calculation of ICD widths in photoexcited HeNe.
AB - Excitation of HeNe by synchrotron light just below the frequency of the 1s -> 3p
transition of isolated He has been recently shown to be followed by resonant
interatomic Coulombic decay (ICD). The vibrationally resolved widths of the ICD
states were extracted with high precision from the photoion spectra. In this
paper, we report the results of ab initio calculations of these widths. We show
that interaction between electronic states at about the equilibrium distance of
HeNe makes dark states of He accessible for the photoexcitation and subsequent
electronic decay. Moreover, the values of the calculated widths are shown to be
strongly sensitive to the presence of the non-adiabatic coupling between the
electronic states participating in the decay. Therefore, only by considering the
complete manifold of interacting decaying electronic states a good agreement
between the measured and computed ICD widths can be achieved.
PMID- 24929387
TI - Absolute fragmentation cross sections in atom-molecule collisions: scaling laws
for non-statistical fragmentation of polycyclic aromatic hydrocarbon molecules.
AB - We present scaling laws for absolute cross sections for non-statistical
fragmentation in collisions between Polycyclic Aromatic Hydrocarbons (PAH/PAH(+))
and hydrogen or helium atoms with kinetic energies ranging from 50 eV to 10 keV.
Further, we calculate the total fragmentation cross sections (including
statistical fragmentation) for 110 eV PAH/PAH(+) + He collisions, and show that
they compare well with experimental results. We demonstrate that non-statistical
fragmentation becomes dominant for large PAHs and that it yields highly reactive
fragments forming strong covalent bonds with atoms (H and N) and molecules
(C6H5). Thus nonstatistical fragmentation may be an effective initial step in the
formation of, e.g., Polycyclic Aromatic Nitrogen Heterocycles (PANHs). This
relates to recent discussions on the evolution of PAHNs in space and the
reactivities of defect graphene structures.
PMID- 24929388
TI - Threshold ionization, structural isomers, and electronic states of M2O2 (M = Sc,
Y, and La).
AB - M2O2 (M = Sc, Y, and La) were synthesized in a pulsed laser-vaporization
molecular beam source and studied by mass-analyzed threshold ionization (MATI)
spectroscopy and ab initio calculations. Adiabatic ionization energies (AIEs) and
several vibrational frequencies were measured accurately for the first time from
the MATI spectra. Six possible structural isomers of M2O2 were considered in the
calculations and the three converged structures were used in the spectral
analysis. A planar cyclic structure in D2h point group was predicted to be the
most stable one by the theory and observed by the experiment. The cyclic
structure is formed by joining two MO2 fragments together through two shared
oxygen atoms. In forming the ground state clusters, each metal atom loses two (n
1)d electrons and as a result, has only one ns electron in the metal-based
valence orbital. The ground electronic state of Sc2O2 is (1)A(g), and those of
Y2O2 and La2O2 are (3)B(1u). Ionization of both (1)A(g) and (3)B(1u) neutral
states yields the (2)A(g) ion state by removing one of the two ns electrons, and
the resultant ion has a similar geometry to the neutral cluster. The AIEs of the
clusters are 5.5752 (6), 5.2639 (6), 4.5795 (6) eV for M = Sc, Y, and La,
respectively. The vibrational frequencies of the observed modes, including O-M
and M-M stretches, are in the range of 200-800 cm(-1).
PMID- 24929389
TI - The electric dipole moment of magnesium deuteride, MgD.
AB - The (0,0) A(2)Pi-X (2)Sigma(+) band of a cold molecular beam sample of magnesium
monodeuteride, MgD, has been recorded field-free and in the presence of a static
electric field of up to 11 kV/cm. The lines associated with the lowest rotational
levels are detected for the first time. The field-free spectrum was analyzed to
produce an improved set of fine structure parameters for the A(2)Pi (v = 0)
state. The observed electric field induced splittings and shifts were analyzed to
produce permanent electric dipole moments, MU(el) of 2.567(10)D and 1.31(8)D for
A(2)Pi (v = 0) and X(2)Sigma(+)(v = 0) states, respectively. The recommended
value for MU(el)(X(2)Sigma(+) (v = 0)) for MgH, based upon the measured value for
MgD, is 1.32(8)D.
PMID- 24929390
TI - Kinetics of ion-ion mutual neutralization: halide anions with polyatomic cations.
AB - The binary mutual neutralization (MN) of a series of 17 cations (O2+, NO(+),
NO2+, CO(+), CO2+, Cl(+), Cl2+, SO2+, CF3+, C2F5+, NH3+, H3+, D3+, H2O(+),
H3O(+), ArH(+), ArD(+)) with 3 halide anions (Cl(-), Br(-), I(-)) has been
investigated in a flowing afterglow-Langmuir probe apparatus using the variable
electron and neutral density attachment mass spectrometry technique. The MN rate
constants of atom-atom reactions are dominated by the chemical nature of the
system (i.e., the specific locations of curve crossings). As the number of atoms
in the system increases, the MN rate constants become dominated instead by the
physical nature of the system (e.g., the relative velocity of the reactants). For
systems involving 4 or more atoms, the 300 K MN rate constants are well described
by 2.7 * 10(-7) MU(-0.5), where the reduced mass is in Da and the resulting rate
constants in cm(3) s(-1). An upper limit to the MN rate constants appears well
described by the complex potential model described by Hickman assuming a cross
section to neutralization of 11,000 A(2) at 300 K, equivalent to 3.5 * 10(-7) MU(
0.5).
PMID- 24929391
TI - Photoisomerization among ring-open merocyanines. I. Reaction dynamics and wave
packet oscillations induced by tunable femtosecond pulses.
AB - Upon ultraviolet excitation, photochromic spiropyran compounds can be converted
by a ring-opening reaction into merocyanine molecules, which in turn can form
several isomers differing by cis and trans configurations in the methine bridge.
Whereas the spiropyran-merocyanine conversion reaction of the nitro-substituted
indolinobenzopyran 6-nitro-1',3',3'-trimethylspiro[2H-1-benzopyran-2,2'-indoline]
(6-nitro BIPS) has been studied extensively in theory and experiments, little is
known about photoisomerization among the merocyanine isomers. In this article, we
employ femtosecond transient absorption spectroscopy with variable excitation
wavelengths to investigate the excited-state dynamics of the merocyanine in
acetonitrile at room temperature, where exclusively the trans-trans-cis (TTC) and
trans-trans-trans (TTT) isomers contribute. No photochemical ring-closure
pathways exist for the two isomers. Instead, we found that (18+/-4)% of excited
TTC isomers undergo an ultrafast excited-state cis->trans photoisomerization to
TTT within 200 fs, while the excited-state lifetime of TTC molecules that do not
isomerize is 35 ps. No photoisomerization was detected for the TTT isomer, which
relaxes to the ground state with a lifetime of roughly 160 ps. Moreover, signal
oscillations at 170 cm(-1) and 360 cm(-1) were observed, which can be ascribed to
excited-state wave-packet dynamics occurring in the course of the TTC->TTT
isomerization. The results of high-level time-dependent density functional theory
in conjunction with polarizable continuum models are presented in the subsequent
article [C. Walter, S. Ruetzel, M. Diekmann, P. Nuernberger, T. Brixner, and B.
Engels, J. Chem. Phys. 140, 224311 (2014)].
PMID- 24929392
TI - Photoisomerization among ring-open merocyanines. II. A computational study.
AB - The photochemical isomerization of the trans-trans-cis to the trans-trans-trans
isomer of the merocyanine form of 6-nitro BIPS, which has been studied with
femtosecond transient absorption spectroscopy [S. Ruetzel, M. Diekmann, P.
Nuernberger, C. Walter, B. Engels, and T. Brixner, J. Chem. Phys. 140, 224310
(2014)], is investigated using time-dependent density functional theory in
conjunction with polarizable continuum models. Benchmark calculations against SCS
ADC(2) evaluate the applicability of the CAM-B3LYP functional. Apart from a
relaxed scan in the ground state with additional computation of the corresponding
excitation energies, which produces the excited-state surface vertical to the
ground-state isomerization coordinate, a relaxed scan in the S1 gives insight
into the geometric changes orthogonal to the reaction coordinate and the
fluorescence conditions. The shape of the potential energy surface (PES) along
the reaction coordinate is found to be highly sensitive to solvation effects,
with the method of solvation (linear response vs. state-specific) being critical.
The shape of the PES as well as the computed harmonic frequencies in the S1
minima are in line with the experimental results and offer a straightforward
interpretation.
PMID- 24929393
TI - Hylleraas-configuration-interaction nonrelativistic energies for the 1S ground
states of the beryllium isoelectronic sequence.
AB - In a previous work, Sims and Hagstrom ["Hylleraas-configuration-interaction study
of the 1 (1)S ground state of neutral beryllium," Phys. Rev. A 83, 032518 (2011)]
reported Hylleraas-configuration-interaction (Hy-CI) method variational
calculations for the (1)S ground state of neutral beryllium with an estimated
accuracy of a tenth of a microhartree. In this work, the calculations have been
extended to higher accuracy and, by simple scaling of the orbital exponents, to
the entire Be 2 (1)S isoelectronic sequence. The best nonrelativistic energies
for Be, B(+), and C(++) obtained are -14.6673 5649 269, -24.3488 8446 36, and
36.5348 5236 25 hartree, respectively. Except for Be, all computed
nonrelativistic energies are superior to the known reference energies for these
states.
PMID- 24929394
TI - A nine-dimensional ab initio global potential energy surface for the H2O+ + H2 ->
H3O+ + H reaction.
AB - An accurate full-dimensional global potential energy surface (PES) is developed
for the title reaction. While the long-range interactions in the reactant
asymptote are represented by an analytical expression, the interaction region of
the PES is fit to more than 81,000 of ab initio points at the UCCSD(T)-F12b/AVTZ
level using the permutation invariant polynomial neural network approach. Fully
symmetric with respect to permutation of all four hydrogen atoms, the PES
provides a faithful representation of the ab initio points, with a root mean
square error of 1.8 meV or 15 cm(-1). The reaction path for this exoergic
reaction features an attractive and barrierless entrance channel, a submerged
saddle point, a shallow H4O(+) well, and a barrierless exit channel. The rate
coefficients for the title reaction and kinetic isotope effect have been
determined on this PES using quasi-classical trajectories, and they are in good
agreement with available experimental data. It is further shown that the H2O(+)
rotational enhancement of reactivity observed experimentally can be traced to the
submerged saddle point. Using our recently proposed Sudden Vector Projection
model, we demonstrate that a rotational degree of freedom of the H2O(+) reactant
is strongly coupled with the reaction coordinate at this saddle point, thus
unraveling the origin of the pronounced mode specificity in this reaction.
PMID- 24929395
TI - Low valency in lanthanides: a theoretical study of NdF and LuF.
AB - The ground and low-lying excited state potential energy curves of neodymium
monofluoride were calculated using multireference (CASSCF) and single reference
(EOM-CR-CCSD(T)) methods. Optimized bond lengths were obtained and accurate bond
dissociation energies were computed. The EOM-CR-CCSD(T) method was used to
determine the bond dissociation energy of lutetium monofluoride, and it is shown
that core correlation is required to produce bond dissociation energies in
agreement with experiment.
PMID- 24929396
TI - High-resolution photoelectron imaging of cold C60- anions and accurate
determination of the electron affinity of C60.
AB - High-resolution photoelectron imaging and spectroscopy of cold C60- anions are
reported using a newly built photoelectron imaging apparatus coupled with an
electrospray ionization source and a temperature-controlled cryogenic ion trap.
Vibrationally resolved photoelectron spectra are obtained for the detachment
transition from the ground state of C60- to that of C60 at various detachment
wavelengths from 354.84 nm to 461.35 nm. The electron affinity of C60 is
accurately measured to be 2.6835 +/- 0.0006 eV. Numerous unexpected vibrational
excitations are observed in the photoelectron spectra due to the Jahn-Teller
effect in C60- and Hertzberg-Teller vibronic coupling in both C60- and C60. Both
the relative intensities of vibrational peaks and their photoelectron angular
distributions provide evidence for the vibronic couplings. The observed p-wave
like behavior in the angular distribution of the 000 transition suggests that the
electron is detached from an s-type orbital.
PMID- 24929397
TI - A fixed-node diffusion Monte Carlo study of the 1,2,3-tridehydrobenzene
triradical.
AB - The electronic structure of 1,2,3-tridehydrobenzene was investigated using
quantum Monte Carlo methods. The radical contains two low-lying electronic states
that are nearly degenerate adiabatically (within 2 kcal/mol separation),
according to previous coupled cluster calculations. We performed Diffusion Monte
Carlo (DMC) calculations starting from Multi-Reference Configuration Interaction
(MRCI) trial wavefunctions, with a complete active space (CAS) containing 9
electrons in 9 orbitals, CAS(9,9). Our converged DMC results are in close
agreement with the best coupled-cluster results, and further strengthen the
assignment of a (2)A1 ground state.
PMID- 24929399
TI - The structure of D2O-nonane nanodroplets.
AB - We study the internal structure of nanometer-sized D2O-nonane aerosol droplets
formed in supersonic nozzle expansions using a variety of experimental techniques
including small angle X-ray scattering (SAXS). By fitting the SAXS spectra to a
wide range of droplet structure models, we find that the experimental results are
inconsistent with mixed droplets that form aqueous core-organic shell structures,
but are quite consistent with spherically asymmetric lens-on-sphere structures.
The structure that agrees best with the SAXS data and Fourier transform infra-red
spectroscopy measurements is that of a nonane lens on a sphere of D2O with a
contact angle in the range of 40 degrees -120 degrees .
PMID- 24929398
TI - Vibrational states of a water molecule in a nano-cavity of beryl crystal lattice.
AB - Low-energy excitations of a single water molecule are studied when confined
within a nano-size cavity formed by the ionic crystal lattice. Optical spectra
are measured of manganese doped beryl single crystal Mn:Be3Al2Si6O18, that
contains water molecules individually isolated in 0.51 nm diameter voids within
the crystal lattice. Two types of orientation are distinguished: water-I
molecules have their dipole moments aligned perpendicular to the c axis and
dipole moments of water-II molecules are parallel to the c-axis. The optical
conductivity sigma(nu) and permittivity E'(nu) spectra are recorded in terahertz
and infrared ranges, at frequencies from several wavenumbers up to nu = 7000 cm(
1), at temperatures 5-300 K and for two polarizations, when the electric vector E
of the radiation is parallel and perpendicular to the c-axis. Comparative
experiments on as-grown and on dehydrated samples allow to identify the spectra
of sigma(nu) and E'(nu) caused exclusively by water molecules. In the infrared
range, well-known internal modes nu1, nu2, and nu3 of the H2O molecule are
observed for both polarizations, indicating the presence of water-I and water-II
molecules in the crystal. Spectra recorded below 1000 cm(-1) reveal a rich set of
highly anisotropic features in the low-energy response of H2O molecule in a
crystalline nano-cavity. While for E?c only two absorption peaks are detected, at
~90 cm(-1) and ~160 cm(-1), several absorption bands are discovered for E?c, each
consisting of narrower resonances. The bands are assigned to librational (400-500
cm(-1)) and translational (150-200 cm(-1)) vibrations of water-I molecule that is
weakly coupled to the nano-cavity "walls." A model is presented that explains the
"fine structure" of the bands by a splitting of the energy levels due to quantum
tunneling between the minima in a six-well potential relief felt by a molecule
within the cavity.
PMID- 24929400
TI - Photochemistry of glycolaldehyde in cryogenic matrices.
AB - The photochemistry of glycolaldehyde (GA) upon irradiation at 266 nm is
investigated in argon, nitrogen, neon, and para-hydrogen matrices by IR
spectroscopy. Isomerization and fragmentation processes are found to compete. The
hydrogen-bonded Cis-Cis form of GA is transformed mainly to the open Trans-Trans
conformer and to CO and CH3OH fragments and their mixed complexes. Different
photo-induced behaviours appear depending on the matrix. In nitrogen, small
amounts of Trans-Gauche and Trans-Trans conformers are detected after deposition
and grow together upon irradiation. The Trans-Gauche conformer is characterized
for the first time. In para-hydrogen due to a weaker cage effect additional H2CO
and HCO fragments are seen. Calculations of the potential energy surfaces of S0,
S1, and T1 states--to analyse the torsional deformations which are involved in
the isomerization process--and a kinetic analysis are presented to investigate
the different relaxation pathways of GA. Fragmentation of GA under UV irradiation
through the CO+CH3OH molecular channel is a minor process, as in the gas phase.
PMID- 24929401
TI - Multi-scale study of condensation in water jets using ellipsoidal-statistical
Bhatnagar-Gross-Krook and molecular dynamics modeling.
AB - Homogeneous water condensation and ice formation in supersonic expansions to
vacuum for stagnation pressures from 12 to 1000 mbar are studied using the
particle-based Ellipsoidal-Statistical Bhatnagar-Gross-Krook (ES-BGK) method. We
find that when condensation starts to occur, at a stagnation pressure of 96 mbar,
the increase in the degree of condensation causes an increase in the rotational
temperature due to the latent heat of vaporization. The simulated rotational
temperature profiles along the plume expansion agree well with measurements
confirming the kinetic homogeneous condensation models and the method of
simulation. Comparisons of the simulated gas and cluster number densities,
cluster size for different stagnation pressures along the plume centerline were
made and it is found that the cluster size increase linearly with respect to
stagnation pressure, consistent with classical nucleation theory. The sensitivity
of our results to cluster nucleation model and latent heat values based on bulk
water, specific cluster size, or bulk ice are examined. In particular, the ES-BGK
simulations are found to be too coarse-grained to provide information on the
phase or structure of the clusters formed. For this reason, molecular dynamics
simulations of water condensation in a one-dimensional free expansion to simulate
the conditions in the core of a plume are performed. We find that the internal
structure of the clusters formed depends on the stagnation temperature. A larger
cluster of average size 21 was tracked down the expansion, and a calculation of
its average internal temperature as well as a comparison of its radial
distribution functions (RDFs) with values measured for solid amorphous ice
clusters lead us to conclude that this cluster is in a solid-like rather than
liquid form. In another molecular-dynamics simulation at a much lower stagnation
temperature, a larger cluster of size 324 and internal temperature 200 K was
extracted from an expansion plume and equilibrated to determine its RDF and self
diffusion coefficient. The value of the latter shows that this cluster is formed
in a supercooled liquid state rather than in an amorphous solid state.
PMID- 24929402
TI - Search for a liquid-liquid critical point in models of silica.
AB - Previous research has indicated the possible existence of a liquid-liquid
critical point (LLCP) in models of silica at high pressure. To clarify this
interesting question we run extended molecular dynamics simulations of two
different silica models (WAC and BKS) and perform a detailed analysis of the
liquid at temperatures much lower than those previously simulated. We find no
LLCP in either model within the accessible temperature range, although it is
closely approached in the case of the WAC potential near 4000 K and 5 GPa.
Comparing our results with those obtained for other tetrahedral liquids, and
relating the average Si-O-Si bond angle and liquid density at the model glass
temperature to those of the ice-like beta-cristobalite structure, we conclude
that the absence of a critical point can be attributed to insufficient
"stiffness" in the bond angle. We hypothesize that a modification of the
potential to mildly favor larger average bond angles will generate a LLCP in a
temperature range that is accessible to simulation. The tendency to crystallize
in these models is extremely weak in the pressure range studied, although this
tendency will undoubtedly increase with increasing stiffness.
PMID- 24929403
TI - Potential energy landscape of the two-dimensional XY model: higher-index
stationary points.
AB - The application of numerical techniques to the study of energy landscapes of
large systems relies on sufficient sampling of the stationary points. Since the
number of stationary points is believed to grow exponentially with system size,
we can only sample a small fraction. We investigate the interplay between this
restricted sample size and the physical features of the potential energy
landscape for the two-dimensional XY model in the absence of disorder with up to
N = 100 spins. Using an eigenvector-following technique, we numerically compute
stationary points with a given Hessian index I for all possible values of I. We
investigate the number of stationary points, their energy and index
distributions, and other related quantities, with particular focus on the scaling
with N. The results are used to test a number of conjectures and approximate
analytic results for the general properties of energy landscapes.
PMID- 24929404
TI - Local vibrational dynamics of hematite (alpha-Fe2O3) studied by extended x-ray
absorption fine structure and molecular dynamics.
AB - The local vibrational dynamics of hematite (alpha-Fe2O3) has been investigated by
temperature-dependent extended x-ray absorption fine structure spectroscopy and
molecular dynamics simulations. The local dynamics of both the short and long
nearest-neighbor Fe-O distances has been singled out, i.e., their local thermal
expansion and the parallel and perpendicular mean-square relative atomic
displacements have been determined, obtaining a partial agreement with molecular
dynamics. No evidence of the Morin transition has been observed. More
importantly, the strong anisotropy of relative thermal vibrations found for the
short Fe-O distance has been related to its negative thermal expansion. The
differences between the local dynamics of short and long Fe-O distances are
discussed in terms of projection and correlation of atomic motion. As a result,
we can conclude that the short Fe-O bond is stiffer to stretching and softer to
bending than the long Fe-O bond.
PMID- 24929405
TI - Distribution of diffusion constants and Stokes-Einstein violation in supercooled
liquids.
AB - It is widely believed that the breakdown of the Stokes-Einstein (SE) relation
between the translational diffusivity and the shear viscosity in supercooled
liquids is due to the development of dynamic heterogeneity, i.e., the presence of
both slow and fast moving particles in the system. In this study we directly
calculate the distribution of the diffusivity for a model system for different
temperatures in the supercooled regime. We find that with decreasing temperature,
the distribution evolves from Gaussian to bimodal indicating that on the time
scale of the typical relaxation time, mobile (fluid like) and less mobile (solid
like) particles in the system can be unambiguously identified. We also show that
less mobile particles obey the Stokes-Einstein relation even in the supercooled
regime and it is the mobile particles which show strong violation of the Stokes
Einstein relation in agreement with the previous studies on different model glass
forming systems. Motivated by some of the recent studies where an ideal glass
transition is proposed by randomly pinning some fraction of particles, we then
studied the SE breakdown as a function of random pinning concentration in our
model system. We showed that degree of SE breakdown increases quite dramatically
with increasing pinning concentration, thereby providing a new way to unravel the
puzzles of SE violation in supercooled liquids in greater details.
PMID- 24929406
TI - Electrostatics of liquid interfaces.
AB - The standard Maxwell formulation of the problem of polarized dielectrics suffers
from a number of difficulties, both conceptual and practical. These difficulties
are particularly significant for liquid interfaces. For these systems, the
ability of interfacial multipoles to change their orientations leads to the
interfacial polarization localized within a thin microscopic layer. A formalism
to capture this physical reality is proposed and is based on the surface charge
as the source of microscopic electric fields in dielectrics. The surface charge
density incorporates the local structure of the interface into electrostatic
calculations. The corresponding surface susceptibility and interface dielectric
constant provide local closures to the electrostatic boundary value problem. A
robust approach to calculate the surface susceptibility from numerical
simulations is formulated. The susceptibility can alternatively be extracted from
a number of solution experiments, in particular those sensitive to the overall
dipole moment of a closed dielectric surface. The theory is applied to the
solvent-induced spectral shift and high-frequency dielectric response of
solutions.
PMID- 24929407
TI - Quasichemical analysis of the cluster-pair approximation for the thermodynamics
of proton hydration.
AB - A theoretical analysis of the cluster-pair approximation (CPA) is presented based
on the quasichemical theory of solutions. The sought single-ion hydration free
energy of the proton includes an interfacial potential contribution by
definition. It is shown, however, that the CPA involves an extra-thermodynamic
assumption that does not guarantee uniform convergence to a bulk free energy
value with increasing cluster size. A numerical test of the CPA is performed
using the classical polarizable AMOEBA force field and supporting quantum
chemical calculations. The enthalpy and free energy differences are computed for
the kosmotropic Na(+)/F(-) ion pair in water clusters of size n = 5, 25, 105.
Additional calculations are performed for the chaotropic Rb(+)/I(-) ion pair. A
small shift in the proton hydration free energy and a larger shift in the
hydration enthalpy, relative to the CPA values, are predicted based on the n =
105 simulations. The shifts arise from a combination of sequential hydration and
interfacial potential effects. The AMOEBA and quantum chemical results suggest an
electrochemical surface potential of water in the range -0.4 to -0.5 V. The
physical content of single-ion free energies and implications for ion-water force
field development are also discussed.
PMID- 24929408
TI - Adsorption of probe molecules in pillared interlayered clays: experiment and
computer simulation.
AB - In this paper we investigate the adsorption of various probe molecules in order
to characterize the porous structure of a series of pillared interlayered clays
(PILC). To that aim, volumetric and microcalorimetric adsorption experiments were
performed on various Zr PILC samples using nitrogen, toluene, and mesitylene as
probe molecules. For one of the samples, neutron scattering experiments were also
performed using toluene as adsorbate. Various structural models are proposed and
tested by means of a comprehensive computer simulation study, using both
geometric and percolation analysis in combination with Grand Canonical Monte
Carlo simulations in order to model the volumetric and microcalorimetric
isotherms. On the basis of this analysis, we propose a series of structural
models that aim at accounting for the adsorption experimental behavior, and make
possible a microscopic interpretation of the role played by the different
interactions and steric effects in the adsorption processes in these rather
complex disordered microporous systems.
PMID- 24929409
TI - Ab initio investigation of electronic and vibrational contributions to linear and
nonlinear dielectric properties of ice.
AB - Electronic and vibrational contributions to the static and dynamic
(hyper)polarizability tensors of ice XI and model structures of ordinary
hexagonal ice have been theoretically investigated. Calculations were carried out
by the finite field nuclear relaxation method for periodic systems (FF-NR)
recently implemented in the CRYSTAL code, using the coupled-perturbed Kohn-Sham
approach (CPKS) for evaluating the required electronic properties. The effect of
structure on the static electronic polarizabilities (dielectric constants) and
second-hyperpolarizabilities is minimal. On the other hand, the vibrational
contributions to the polarizabilities were found to be significant. A reliable
evaluation of these (ionic) contributions allows one to discriminate amongst ice
phases characterized by different degrees of proton-order, primarily through
differences caused by librational motions. Transverse static and dynamic
vibrational (hyper)polarizabilities were found by extrapolating calculations for
slabs of increasing size, in order to eliminate substantial surface
contributions.
PMID- 24929410
TI - The structural diversity of ABS3 compounds with d0 electronic configuration for
the B-cation.
AB - We use first-principles density functional theory within the local density
approximation to ascertain the ground state structure of real and theoretical
compounds with the formula ABS3 (A = K, Rb, Cs, Ca, Sr, Ba, Tl, Sn, Pb, and Bi;
and B = Sc, Y, Ti, Zr, V, and Nb) under the constraint that B must have a d(0)
electronic configuration. Our findings indicate that none of these AB
combinations prefer a perovskite ground state with corner-sharing BS6 octahedra,
but that they prefer phases with either edge- or face-sharing motifs. Further, a
simple two-dimensional structure field map created from A and B ionic radii
provides a neat demarcation between combinations preferring face-sharing versus
edge-sharing phases for most of these combinations. We then show that by
modifying the common Goldschmidt tolerance factor with a multiplicative term
based on the electronegativity difference between A and S, the demarcation
between predicted edge-sharing and face-sharing ground state phases is enhanced.
We also demonstrate that, by calculating the free energy contribution of phonons,
some of these compounds may assume multiple phases as synthesis temperatures are
altered, or as ambient temperatures rise or fall.
PMID- 24929411
TI - Predicted boron-carbide compounds: a first-principles study.
AB - By using developed particle swarm optimization algorithm on crystal structural
prediction, we have explored the possible crystal structures of B-C system. Their
structures, stability, elastic properties, electronic structure, and chemical
bonding have been investigated by first-principles calculations with density
functional theory. The results show that all the predicted structures are
mechanically and dynamically stable. An analysis of calculated enthalpy with
pressure indicates that increasing of boron content will increase the stability
of boron carbides under low pressure. Moreover, the boron carbides with rich
carbon content become more stable under high pressure. The negative formation
energy of predicted B5C indicates its high stability. The density of states of
B5C show that it is p-type semiconducting. The calculated theoretical Vickers
hardnesses of B-C exceed 40 GPa except B4C, BC, and BC4, indicating they are
potential superhard materials. An analysis of Debye temperature and electronic
localization function provides further understanding chemical and physical
properties of boron carbide.
PMID- 24929412
TI - Polymorphism and thermodynamic ground state of silver fulminate studied from van
der Waals density functional calculations.
AB - Silver fulminate (AgCNO) is a primary explosive, which exists in two polymorphic
phases, namely, orthorhombic (Cmcm) and trigonal (R3) forms at ambient
conditions. In the present study, we have investigated the effect of pressure and
temperature on relative phase stability of the polymorphs using planewave
pseudopotential approaches based on Density Functional Theory (DFT). van der
Waals interactions play a significant role in predicting the phase stability and
they can be effectively captured by semi-empirical dispersion correction methods
in contrast to standard DFT functionals. Based on our total energy calculations
using DFT-D2 method, the Cmcm structure is found to be the preferred
thermodynamic equilibrium phase under studied pressure and temperature range.
Hitherto Cmcm and R3 phases denoted as alpha- and beta-forms of AgCNO,
respectively. Also a pressure induced polymorphic phase transition is seen using
DFT functionals and the same was not observed with DFT-D2 method. The equation of
state and compressibility of both polymorphic phases were investigated.
Electronic structure and optical properties were calculated using full potential
linearized augmented plane wave method within the Tran-Blaha modified Becke
Johnson potential. The calculated electronic structure shows that alpha, beta
phases are indirect bandgap insulators with a bandgap values of 3.51 and 4.43 eV,
respectively. The nature of chemical bonding is analyzed through the charge
density plots and partial density of states. Optical anisotropy, electric-dipole
transitions, and photo sensitivity to light of the polymorphs are analyzed from
the calculated optical spectra. Overall, the present study provides an early
indication to experimentalists to avoid the formation of unstable beta-form of
AgCNO.
PMID- 24929413
TI - Computational study on ice growth inhibition of Antarctic bacterium antifreeze
protein using coarse grained simulation.
AB - Antarctic bacterium antifreeze proteins (AFPs) protect and support the survival
of cold-adapted organisms by binding and inhibiting the growth of ice crystals.
The mechanism of the anti-freezing process in a water environment at low
temperature of Antarctic bacterium AFPs remains unclear. In this research, we
study the effects of Antarctic bacterium AFPs by coarse grained simulations
solution at a temperature range from 262 to 273 K. The results indicated that
Antarctic bacterium AFPs were fully active in temperatures greater than 265 K.
Additionally, the specific temperature ranges at which the water molecules become
completely frozen, partially frozen, and not frozen were identified.
PMID- 24929414
TI - Relationship between beta-relaxation and structural stability of lysozyme:
microscopic insight on thermostabilization mechanism by trehalose from Raman
spectroscopy experiments.
AB - Raman investigations were carried out in the low-frequency and amide I regions on
lysozyme aqueous solutions in absence and presence of trehalose. Raman
spectroscopy gives the unique opportunity to analyze the protein and solvent
dynamics in the low-frequency range while monitoring the unfolding process by
capturing the spectrum of the amide I band. From the analysis of the quasielastic
intensity, a dynamic change is firstly observed in a highly hydrated protein,
around 70 degrees C, and interpreted in relation with the denaturation mechanism
of the protein. The use of heavy water and partly deuterated trehalose gives
clear information on protein-trehalose interactions in the native state of
lysozyme (at room temperature) and during the thermal denaturation process of
lysozyme. At room temperature, it was found that trehalose is preferentially
excluded from the protein surface, and has a main effect on the tetrahedral local
order of water molecules corresponding to a stiffening of the H-bond network in
the solvent. The consequence is a significant reduction of the amplitude of fast
relaxational motions, inducing a less marked dynamic transition shifted toward
the high temperatures. Upon heating, interaction between trehalose and lysozyme
is detected during the solvent penetration within the protein, i.e., while the
native globular state softens into a molten globule (MG) state. Addition of
trehalose reduces the protein flexibility in the MG state, improving the
structural stability of the protein, and inhibiting the protein aggregation.
PMID- 24929415
TI - Endohedral confinement of a DNA dodecamer onto pristine carbon nanotubes and the
stability of the canonical B form.
AB - Although carbon nanotubes are potential candidates for DNA encapsulation and
subsequent delivery of biological payloads to living cells, the thermodynamical
spontaneity of DNA encapsulation under physiological conditions is still a matter
of debate. Using enhanced sampling techniques, we show for the first time that,
given a sufficiently large carbon nanotube, the confinement of a double-stranded
DNA segment, 5'-D(*CP*GP*CP*GP*AP*AP*TP*TP*CP*GP*CP*G)-3', is thermodynamically
favourable under physiological environments (134 mM, 310 K, 1 bar), leading to
DNA-nanotube hybrids with lower free energy than the unconfined biomolecule. A
diameter threshold of 3 nm is established below which encapsulation is inhibited.
The confined DNA segment maintains its translational mobility and exhibits the
main geometrical features of the canonical B form. To accommodate itself within
the nanopore, the DNA's end-to-end length increases from 3.85 nm up to
approximately 4.1 nm, due to a ~0.3 nm elastic expansion of the strand termini.
The canonical Watson-Crick H-bond network is essentially conserved throughout
encapsulation, showing that the contact between the DNA segment and the
hydrophobic carbon walls results in minor rearrangements of the nucleotides H
bonding. The results obtained here are paramount to the usage of carbon nanotubes
as encapsulation media for next generation drug delivery technologies.
PMID- 24929416
TI - Comment on "Communication: Benzene dimer--the free energy landscape" [J. Chem.
Phys. 139, 201102 (2013)].
PMID- 24929417
TI - Response to "Comment on 'Communication: Benzene dimer--the free energy
landscape'" [J. Chem. Phys. 140, 227101 (2014)].
PMID- 24929422
TI - Polyamine-responsive ribosomal arrest at the stop codon of an upstream open
reading frame of the AdoMetDC1 gene triggers nonsense-mediated mRNA decay in
Arabidopsis thaliana.
AB - During mRNA translation, nascent peptides with certain specific sequences cause
arrest of ribosomes that have synthesized themselves. In some cases, such
ribosomal arrest is coupled with mRNA decay. In yeast, mRNA quality control
systems have been shown to be involved in mRNA decay associated with ribosomal
arrest. However, a link between ribosomal arrest and mRNA quality control systems
has not been found in multicellular organisms. In this study, we aimed to explore
the relationship between ribosomal arrest and mRNA decay in plants. For this
purpose, we used an upstream open reading frame (uORF) of the Arabidopsis
thaliana AdoMetDC1 gene, in which the uORF-encoded peptide is involved in
polyamine-responsive translational repression of the main coding sequence. Our in
vitro analyses revealed that the AdoMetDC1 uORF-encoded peptide caused ribosomal
arrest at the uORF stop codon in response to polyamine. Using transgenic calli
harboring an AdoMetDC1 uORF-containing reporter gene, we showed that polyamine
promoted mRNA decay in a uORF sequence-dependent manner. These results suggest
that the polyamine-responsive ribosomal arrest mediated by the uORF-encoded
peptide is coupled with mRNA decay. Our results also showed that the polyamine
responsive acceleration of mRNA decay was compromised by defects in factors that
are essential for nonsense-mediated mRNA decay (NMD), an mRNA quality control
system that degrades mRNAs with premature stop codons, suggesting that NMD is
involved in AdoMetDC1 uORF peptide-mediated mRNA decay. Collectively, these
findings suggest that AdoMetDC1 uORF peptide-mediated ribosomal arrest at the
uORF stop codon induces NMD.
PMID- 24929423
TI - Wide-range high-resolution transmission electron microscopy reveals morphological
and distributional changes of endomembrane compartments during log to stationary
transition of growth phase in tobacco BY-2 cells.
AB - Rapid growth of plant cells by cell division and expansion requires an
endomembrane trafficking system. The endomembrane compartments, such as the Golgi
stacks, endosome and vesicles, are important in the synthesis and trafficking of
cell wall materials during cell elongation. However, changes in the morphology,
distribution and number of these compartments during the different stages of cell
proliferation and differentiation have not yet been clarified. In this study, we
examined these changes at the ultrastructural level in tobacco Bright yellow 2
(BY-2) cells during the log and stationary phases of growth. We analyzed images
of the BY-2 cells prepared by the high-pressure freezing/freeze substitution
technique with the aid of an auto-acquisition transmission electron microscope
system. We quantified the distribution of secretory and endosomal compartments in
longitudinal sections of whole cells by using wide-range gigapixel-class images
obtained by merging thousands of transmission electron micrographs. During the
log phase, all Golgi stacks were composed of several thick cisternae.
Approximately 20 vesicle clusters (VCs), including the trans-Golgi network and
secretory vesicle cluster, were observed throughout the cell. In the stationary
phase cells, Golgi stacks were thin with small cisternae, and only a few VCs were
observed. Nearly the same number of multivesicular body and small high-density
vesicles were observed in both the stationary and log phases. Results from
electron microscopy and live fluorescence imaging indicate that the morphology
and distribution of secretory-related compartments dramatically change when cells
transition from log to stationary phases of growth.
PMID- 24929424
TI - Transcriptional control of GABAergic neuronal subtype identity in the thalamus.
AB - BACKGROUND: The thalamus is often defined as the 'gateway to consciousness', a
feature that is supported by the specific connectivity and electrophysiological
properties of its neurons. Inhibitory GABAergic neurons are required for the
dynamic gating of information passing through the thalamus. The high degree of
heterogeneity among thalamic GABA neurons suggests that, during embryonic
development, alternative differentiation programmes exist to guide the
acquisition of inhibitory neuron subtype identity. RESULTS: Taking advantage of
the accessibility of the developing chick embryo, we have used in ovo
manipulations of gene expression to test the role of candidate transcription
factors in controlling GABAergic neuronal subtype identity in the developing
thalamus. CONCLUSIONS: In this study, we describe two alternative differentiation
programmes for GABAergic neurogenesis in the thalamus and identify Helt and Dlx2
as key transcription factors that are sufficient to direct neuronal progenitors
along a specific differentiation pathway at the expense of alternative lineage
choices. Furthermore, we identify Calb2, a gene encoding for the GABA subtype
marker calretinin as a target of the transcription factor Sox14. This work is a
step forward in our understanding of how GABA neuron diversity in the thalamus is
achieved during development and will help future investigation of the molecular
mechanisms that lead up to the acquisition of different synaptic targets and
electrophysiological features of mature thalamic inhibitory neurons.
PMID- 24929425
TI - Evidence of weak genetic structure and recent gene flow between Bactrocera
dorsalis s.s. and B. papayae, across Southern Thailand and West Malaysia,
supporting a single target pest for SIT applications.
AB - BACKGROUND: Bactrocera dorsalis s.s. (Hendel) and B. papayae Drew & Hancock, are
invasive pests belonging to the B. dorsalis complex. Their species status, based
on morphology, is sometimes arguable. Consequently, the existence of cryptic
species and/or population isolation may decrease the effectiveness of the sterile
insect technique (SIT) due to an unknown degree of sexual isolation between
released sterile flies and wild counterparts. To evaluate the genetic
relationship and current demography in wild populations for guiding the
application of area-wide integrated pest management using SIT, seven
microsatellite-derived markers from B. dorsalis s.s. and another five from B.
papayae were used for surveying intra- and inter-specific variation, population
structure, and recent migration among sympatric and allopatric populations of the
two morphological forms across Southern Thailand and West Malaysia. RESULTS:
Basic genetic variations were not significantly different among forms,
populations, and geographical areas (P > 0.05). Nonetheless, two sets of
microsatellite markers showed significantly different levels of polymorphisms.
Genetic differentiation between intra- and inter-specific differences was
significant, but low. Seventeen populations revealed three hypothetical genetic
clusters (K = 3) regardless of forms and geographical areas. The genetic
structure of sympatric populations slightly changed during the different years of
collection. Recent gene flow (m >= 0.10) was frequently detected whether samples
were sympatric or allopatric. Ninety-five of 379 individuals distributed across
the given area were designated as recent migrants or of admixed ancestry. As a
consequence of substantial migration, no significant correlation between genetic
and geographic distances was detected (R2 = 0.056, P = 0.650). CONCLUSIONS:
According to the 12 microsatellite variations, weak population structure and
recent gene flow suggest that there is no status for cryptic species between B.
dorsalis s.s. and B. papayae forms in Southern Thailand and West Malaysia. Both
forms can be treated as a single target pest for the SIT program in an area-wide
sense. Additionally, the result of species identification based on molecular data
and morphological character are not congruent. The use of independent, multiple
approaches in the characterization of the target population may ensure the
effectiveness and feasibility of SIT-based control in the target area.
PMID- 24929426
TI - FastaValidator: an open-source Java library to parse and validate FASTA formatted
sequences.
AB - BACKGROUND: Advances in sequencing technologies challenge the efficient importing
and validation of FASTA formatted sequence data which is still a prerequisite for
most bioinformatic tools and pipelines. Comparative analysis of commonly used
Bio*-frameworks (BioPerl, BioJava and Biopython) shows that their scalability and
accuracy is hampered. FINDINGS: FastaValidator represents a platform-independent,
standardized, light-weight software library written in the Java programming
language. It targets computer scientists and bioinformaticians writing software
which needs to parse quickly and accurately large amounts of sequence data. For
end-users FastaValidator includes an interactive out-of-the-box validation of
FASTA formatted files, as well as a non-interactive mode designed for high
throughput validation in software pipelines. CONCLUSIONS: The accuracy and
performance of the FastaValidator library qualifies it for large data sets such
as those commonly produced by massive parallel (NGS) technologies. It offers
scientists a fast, accurate and standardized method for parsing and validating
FASTA formatted sequence data.
PMID- 24929427
TI - Disease-specific outcomes of radical prostatectomies in Northern Norway; a case
for the impact of perineural infiltration and postoperative PSA-doubling time.
AB - BACKGROUND: Prostate cancer is the most common male malignancy and a mayor cause
of mortality in the western world. The impact of clinicopathological variables on
disease related outcomes have mainly been reported from a few large US series,
most of them not reporting on perineural infiltration. We therefore wanted to
investigate relevant cancer outcomes in patients undergoing radical prostatectomy
in two Norwegian health regions with an emphasis on the impact of perineural
infiltration (PNI) and prostate specific antigen- doubling time (PSA-DT).
METHODS: We conducted a retrospective analysis of 535 prostatectomy patients at
three hospitals between 1995 and 2005 estimating biochemical failure- (BFFS),
clinical failure- (CFFS) and prostate cancer death-free survival (PCDFS) with the
Kaplan-Meier method. We investigated clinicopathological factors influencing risk
of events using cox proportional hazard regression. RESULTS: After a median
follow-up of 89 months, 170 patients (32%) experienced biochemical failure (BF),
36 (7%) experienced clinical failure and 15 (3%) had died of prostate cancer. pT
Stage (p = 0.001), preoperative PSA (p = 0.047), Gleason Score (p = 0.032), non
apical positive surgical margins (PSM) (p = 0.003) and apical PSM (p = 0.031)
were all independently associated to BFFS. Gleason score (p = 0.019), PNI (p =
0.012) and non-apical PSM (p = 0.002) were all independently associated to CFFS
while only PNI (P = 0.047) and subgroups of Gleason score were independently
associated to PCDFS. After BF, patients with a shorter PSA-DT had independent and
significant worse event-free survivals than patients with PSA-DT > 15 months (PSA
DT = 3-9 months, CFFS HR = 6.44, p < 0.001, PCDFS HR = 13.7, p = 0.020; PSA-DT <
3 months, CFFS HR = 11.2, p < 0.001, PCDFS HR = 27.5, p = 0.006). CONCLUSIONS:
After prostatectomy, CFFS and PCDFS are variable, but both are strongly
associated to Gleason score and PNI. In patients with BF, PSA-DT was most
strongly associated to CF and PCD. Our study adds weight to the importance of PSA
DT and re-launches PNI as a strong prognosticator for clinically relevant
endpoints.
PMID- 24929428
TI - Effect of lowering the glycemic load with canola oil on glycemic control and
cardiovascular risk factors: a randomized controlled trial.
AB - OBJECTIVE: Despite their independent cardiovascular disease (CVD) advantages,
effects of alpha-linolenic acid (ALA), monounsaturated fatty acid (MUFA), and low
glycemic-load (GL) diets have not been assessed in combination. We therefore
determined the combined effect of ALA, MUFA, and low GL on glycemic control and
CVD risk factors in type 2 diabetes. RESEARCH DESIGN AND METHODS: The study was a
parallel design, randomized trial wherein each 3-month treatment was conducted in
a Canadian academic center between March 2011 and September 2012 and involved 141
participants with type 2 diabetes (HbA1c 6.5%-8.5% [48-69 mmol/mol]) treated with
oral antihyperglycemic agents. Participants were provided with dietary advice on
either a low-GL diet with ALA and MUFA given as a canola oil-enriched bread
supplement (31 g canola oil per 2,000 kcal) (test) or a whole-grain diet with a
whole-wheat bread supplement (control). The primary outcome was HbA1c change.
Secondary outcomes included calculated Framingham CVD risk score and reactive
hyperemia index (RHI) ratio. RESULTS: Seventy-nine percent of the test group and
90% of the control group completed the trial. The test diet reduction in HbA1c
units of -0.47% (-5.15 mmol/mol) (95% CI -0.54% to -0.40% [-5.92 to -4.38
mmol/mol]) was greater than that for the control diet (-0.31% [-3.44 mmol/mol]
[95% CI -0.38% to -0.25% (-4.17 to -2.71 mmol/mol)], P = 0.002), with the
greatest benefit observed in those with higher systolic blood pressure (SBP).
Greater reductions were seen in CVD risk score for the test diet, whereas the RHI
ratio increased for the control diet. CONCLUSIONS: A canola oil-enriched low-GL
diet improved glycemic control in type 2 diabetes, particularly in participants
with raised SBP, whereas whole grains improved vascular reactivity.
PMID- 24929429
TI - Safety of outpatient closed-loop control: first randomized crossover trials of a
wearable artificial pancreas.
AB - OBJECTIVE: We estimate the effect size of hypoglycemia risk reduction on closed
loop control (CLC) versus open-loop (OL) sensor-augmented insulin pump therapy in
supervised outpatient setting. RESEARCH DESIGN AND METHODS: Twenty patients with
type 1 diabetes initiated the study at the Universities of Virginia, Padova, and
Montpellier and Sansum Diabetes Research Institute; 18 completed the entire
protocol. Each patient participated in two 40-h outpatient sessions, CLC versus
OL, in randomized order. Sensor (Dexcom G4) and insulin pump (Tandem t:slim) were
connected to Diabetes Assistant (DiAs)-a smartphone artificial pancreas platform.
The patient operated the system through the DiAs user interface during both CLC
and OL; study personnel supervised on site and monitored DiAs remotely. There
were no dietary restrictions; 45-min walks in town and restaurant dinners were
included in both CLC and OL; alcohol was permitted. RESULTS: The primary outcome
reduction in risk for hypoglycemia as measured by the low blood glucose (BG)
index (LGBI)-resulted in an effect size of 0.64, P = 0.003, with a twofold
reduction of hypoglycemia requiring carbohydrate treatment: 1.2 vs. 2.4
episodes/session on CLC versus OL (P = 0.02). This was accompanied by a slight
decrease in percentage of time in the target range of 3.9-10 mmol/L (66.1 vs.
70.7%) and increase in mean BG (8.9 vs. 8.4 mmol/L; P = 0.04) on CLC versus OL.
CONCLUSIONS: CLC running on a smartphone (DiAs) in outpatient conditions reduced
hypoglycemia and hypoglycemia treatments when compared with sensor-augmented pump
therapy. This was accompanied by marginal increase in average glycemia resulting
from a possible overemphasis on hypoglycemia safety.
PMID- 24929430
TI - Improved glucose control with weight loss, lower insulin doses, and no increased
hypoglycemia with empagliflozin added to titrated multiple daily injections of
insulin in obese inadequately controlled type 2 diabetes.
AB - OBJECTIVE: We investigated the efficacy and safety of the sodium glucose
cotransporter 2 inhibitor, empagliflozin, added to multiple daily injections of
insulin (MDI insulin) in obese patients with type 2 diabetes mellitus (T2DM).
RESEARCH DESIGN AND METHODS: Patients inadequately controlled on MDI insulin +/-
metformin (mean HbA1c 8.3% [67 mmol/mol]; BMI 34.8 kg/m(2); insulin dose 92
international units/day) were randomized and treated with once-daily
empagliflozin 10 mg (n = 186), empagliflozin 25 mg (n = 189), or placebo (n =
188) for 52 weeks. Insulin dose was to remain stable in weeks 1-18, adjusted to
meet glucose targets in weeks 19-40, then stable in weeks 41-52. The primary end
point was change from baseline in HbA1c at week 18. Secondary end points were
changes from baseline in insulin dose, weight, and HbA1c at week 52. RESULTS:
Adjusted mean +/- SE changes from baseline in HbA1c were -0.50 +/- 0.05% (-5.5 +/
0.5 mmol/mol) for placebo versus -0.94 +/- 0.05% (-10.3 +/- 0.5 mmol/mol) and
1.02 +/- 0.05% (-11.1 +/- 0.5 mmol/mol) for empagliflozin 10 mg and empagliflozin
25 mg, respectively, at week 18 (both P < 0.001). At week 52, further reductions
with insulin titration resulted in changes from baseline in HbA1c of -0.81 +/-
0.08% (-8.9 +/- 0.9 mmol/mol), -1.18 +/- 0.08% (-12.9 +/- 0.9 mmol/mol), and
1.27 +/- 0.08% (-13.9 +/- 0.9 mmol/mol) with placebo, empagliflozin 10 mg, and
empagliflozin 25 mg, respectively, and final HbA1c of 7.5% (58 mmol/mol), 7.2%
(55 mmol/mol), and 7.1% (54 mmol/mol), respectively. More patients attained HbA1c
<7% (<53 mmol/mol) with empagliflozin (31-42%) versus placebo (21%; both P <
0.01). Empagliflozin 10 mg and empagliflozin 25 mg reduced insulin doses (-9 to
11 international units/day) and weight (-2.4 to -2.5 kg) versus placebo (all P <
0.01) at week 52. CONCLUSIONS: In obese, difficult-to-treat patients with T2DM
inadequately controlled on high MDI insulin doses, empagliflozin improved
glycemic control and reduced weight without increasing the risk of hypoglycemia
and with lower insulin requirements.
PMID- 24929431
TI - Glucose-lowering effects and low risk of hypoglycemia in patients with maturity
onset diabetes of the young when treated with a GLP-1 receptor agonist: a double
blind, randomized, crossover trial.
AB - OBJECTIVE: The most common form of maturity-onset diabetes of the young (MODY),
hepatocyte nuclear factor 1alpha (HNF1A diabetes: MODY3) is often treated with
sulfonylureas that confer a high risk of hypoglycemia. We evaluated treatment
with GLP-1 receptor agonists (GLP-1RAs) in patients with HNF1A diabetes. RESEARCH
DESIGN AND METHODS: Sixteen patients with HNF1A diabetes (8 women; mean age 39
years [range 23-67 years]; BMI 24.9 +/- 0.5 kg/m(2) [mean +/- SEM]; fasting
plasma glucose [FPG] 9.9 +/- 0.9 mmol/L; HbA1c 6.4 +/- 0.2% [47 +/- 3 mmol/mol])
received 6 weeks of treatment with a GLP-1RA (liraglutide) and placebo (tablets),
as well as a sulfonylurea (glimepiride) and placebo (injections), in randomized
order, in a double-blind, crossover trial. Glimepiride was up-titrated once
weekly in a treat-to-target manner; liraglutide was up-titrated once weekly to
1.8 mg once daily. At baseline and at the end of each treatment period a
standardized liquid meal test was performed, including a 30-min light bicycle
test. RESULTS: FPG decreased during the treatment periods (-1.6 +/- 0.5 mmol/L
liraglutide [P = 0.012] and -2.8 +/- 0.7 mmol/L glimepiride [P = 0.003]), with no
difference between treatments (P = 0.624). Postprandial plasma glucose (PG)
responses (total area under the curve) were lower with both glimepiride (2,136 +/
292 min * mmol/L) and liraglutide (2,624 +/- 340 min * mmol/L) compared with
baseline (3,127 +/- 291 min * mmol/L; P < 0.001, glimepiride; P = 0.017,
liraglutide), with no difference between treatments (P = 0.121). Eighteen
episodes of hypoglycemia (PG <=3.9 mmol/L) occurred during glimepiride treatment
and one during liraglutide treatment. CONCLUSIONS: Six weeks of treatment with
glimepiride or liraglutide lowered FPG and postprandial glucose excursions in
patients with HNF1A diabetes. The glucose-lowering effect was greater with
glimepiride at the expense of a higher risk of exclusively mild hypoglycemia.
PMID- 24929433
TI - Diagnosed hematological malignancies in Bangladesh - a retrospective analysis of
over 5000 cases from 10 specialized hospitals.
AB - BACKGROUND: The global burden from cancer is rising, especially as low-income
countries like Bangladesh observe rapid aging. So far, there are no comprehensive
descriptions reporting diagnosed cancer group that include hematological
malignancies in Bangladesh. METHODS: This was a multi-center hospital-based
retrospective descriptive study of over 5000 confirmed hematological cancer cases
in between January 2008 to December 2012. Morphological typing was carried out
using the "French American British" classification system. RESULTS: A total of
5013 patients aged between 2 to 90 years had been diagnosed with malignant
hematological disorders. A 69.2% were males (n=3468) and 30.8% females (n=1545),
with a male to female ratio of 2.2:1. The overall median age at diagnosis was 42
years. Acute myeloid leukemia was most frequent (28.3%) with a median age of 35
years, followed by chronic myeloid leukemia with 18.2% (median age 40 years), non
Hodgkin lymphoma (16.9%; median age 48 years), acute lymphoblastic leukemia
(14.1%; median age 27 years), multiple myeloma (10.5%; median age 55 years),
myelodysplastic syndromes (4.5%; median age 57 years) and Hodgkin's lymphoma
(3.9%; median age 36 years). The least common was chronic lymphocytic leukemia
(3.7%; median age 60 years). Below the age of 20 years, acute lymphoblastic
leukemia was predominant (37.3%), followed by acute myeloid leukemia (34%).
Chronic lymphocytic leukemia and multiple myeloma had mostly occurred among older
patients, aged 50-over. CONCLUSIONS: For the first time, our study presents the
pattern and distribution of diagnosed hematological cancers in Bangladesh. It
shows differences in population distributions as compared to other settings with
possibly a lower presence of non-Hodgkin lymphoma. There might be under-reporting
of affected women. Further studies are necessary on the epidemiology, genetics
and potential environmental risk factors within this rapidly aging country.
PMID- 24929434
TI - An innovative system for 3D clinical photography in the resource-limited
settings.
AB - BACKGROUND: Kaposi's sarcoma (KS) is the most frequently occurring cancer in
Mozambique among men and the second most frequently occurring cancer among women.
Effective therapeutic treatments for KS are poorly understood in this area. There
is an unmet need to develop a simple but accurate tool for improved monitoring
and diagnosis in a resource-limited setting. Standardized clinical photographs
have been considered to be an essential part of the evaluation. METHODS: When a
therapeutic response is achieved, nodular KS often exhibits a reduction of the
thickness without a change in the base area of the lesion. To evaluate the
vertical space along with other characters of a KS lesion, we have created an
innovative imaging system with a consumer light-field camera attached to a
miniature "photography studio" adaptor. The image file can be further processed
by computational methods for quantification. RESULTS: With this novel imaging
system, each high-quality 3D image was consistently obtained with a single camera
shot at bedside by minimally trained personnel. After computational processing,
all-focused photos and measurable 3D parameters were obtained. More than 80 KS
image sets were processed in a semi-automated fashion. CONCLUSIONS: In this proof
of-concept study, the feasibility to use a simple, low-cost and user-friendly
system has been established for future clinical study to monitor KS therapeutic
response. This 3D imaging system can be also applied to obtain standardized
clinical photographs for other diseases.
PMID- 24929435
TI - Sarcopenia is a predictor of outcomes in very elderly patients undergoing
emergency surgery.
AB - BACKGROUND: With the increasing aging population, the number of very elderly
patients (age >=80 years) undergoing emergency operations is increasing.
Evaluating patient-specific risk factors for postoperative morbidity and
mortality in the acute care surgery setting is crucial to improving outcomes. We
hypothesize that sarcopenia, a severe depletion of skeletal muscles, is a
predictor of morbidity and mortality in very elderly patients undergoing
emergency surgery. METHODS: A total of 170 patients older than the age of 80
underwent emergency surgery between 2008 and 2010 at a tertiary care facility;
100 of these patients had abdominal computed tomography images within 30 days of
the operation that were adequate for the assessment of sarcopenia. The impact of
sarcopenia on the operative outcomes was evaluated using both univariate and
multivariate analysis. RESULTS: The mean patient age was 84 years, with an in
hospital mortality of 18%. Sarcopenia was present in 73% of patients. More
sarcopenic patients had postoperative complications (45% sarcopenic versus 15%
nonsarcopenic, P = .005) and more died in hospital (23 vs 4%, P = .037). There
were no differences in duration of stay or requirement for intensive care unit
postoperatively. After we controlled for confounding factors, increasing skeletal
muscle index (per incremental cm(2)/m(2)) was associated with decreased in
hospital mortality (odds ratio ~0.834, 95% confidence interval 0.731-0.952, P =
.007) in multivariate analysis. CONCLUSION: Sarcopenia was independently
predictive of greater complication rates, discharge disposition, and in-hospital
mortality in the very elderly emergency surgery population. Using sarcopenia as
an objective tool to identify high-risk patients would be beneficial in
developing tailored preventative strategies and potentially resource allocation
in the future.
PMID- 24929436
TI - Dicer-microRNA-Myc circuit promotes transcription of hundreds of long noncoding
RNAs.
AB - Long noncoding RNAs (lncRNAs) are important regulators of cell fate, yet little
is known about mechanisms controlling lncRNA expression. Here we show that
transcription is quantitatively different for lncRNAs and mRNAs--as revealed by
deficiency of Dicer (Dcr), a key RNase that generates microRNAs (miRNAs). Dcr
loss in mouse embryonic stem cells led unexpectedly to decreased levels of
hundreds of lncRNAs. The canonical Dgcr8-Dcr-miRNA pathway is required for robust
lncRNA transcriptional initiation and elongation. Computational and genetic
epistasis analyses demonstrated that Dcr activation of the oncogenic
transcription factor cMyc is partly responsible for lncRNA expression. A
quantitative metric of mRNA-lncRNA decoupling revealed that Dcr and cMyc
differentially regulate lncRNAs versus mRNAs in diverse cell types and in vivo.
Thus, numerous lncRNAs may be modulated as a class in development and disease,
notably where Dcr and cMyc act.
PMID- 24929437
TI - The translational landscape of fission-yeast meiosis and sporulation.
AB - Sexual development in Schizosaccharomyces pombe culminates in meiosis and
sporulation. We used ribosome profiling to investigate the translational
landscape of this process. We show that the translation efficiency of hundreds of
genes is regulated in complex patterns, often correlating with changes in RNA
levels. Ribosome-protected fragments show a three-nucleotide periodicity that
identifies translated sequences and their reading frame. Using this property, we
identified 46 new translated genes and found that 24% of noncoding RNAs are
actively translated. We also detected 19 nested antisense genes, in which both
DNA strands encode translated mRNAs. Finally, we identified 1,735 translated
upstream open reading frames (ORFs) in leader sequences. In S. pombe, in contrast
with Saccharomyces cerevisiae, sexual development is not accompanied by large
increases in upstream ORF use, thus suggesting that this is an organism-specific
adaptation, not a general feature of developmental processes.
PMID- 24929438
TI - Evaluation of the spatial and temporal distribution of and risk factors for
Bluetongue serotype 1 epidemics in sheep Extremadura (Spain), 2007-2011.
AB - Extremadura has been one of the regions in Spain most severely affected by
Bluetongue (BT) epidemics. The first incursion of BTV, which was successfully
eradicated one year later, occurred in 2004, involving the BTV-serotype 4.
However, a second incursion occurred in September 2007, this time involving
serotype 1. Since then, the implementation of intensive vaccination programs have
significantly reduced BTV-1 occurrence, but the disease has not been completely
eradicated yet. This study aimed to provide, for the first time, a complete
description of the spatial and temporal patterns of BTV-1 occurrence in sheep in
Extremadura from 2007 to 2011 and to identify the risk factors that contributed
to the seasonal occurrence of BTV-1 in this region. The results showed that risk
factors contributing to BTV-1 occurrence in sheep changed between 2007 and 2011.
Initially, when the population was still immunologically naive, the main risk
factors for BTV-1 occurrence were extensive management practices, large sheep
farms and Culicoides abundance on farms. However, after the implementation of
vaccination, other factors became more relevant for BTV-1 occurrence, mostly
related to BTV reservoirs, such as the proximity of cattle farms or the
introduction of cattle into farms. The Talaverana sheep breed also seemed to be
associated with a significantly higher risk of BTV-1 occurrence, although it may
be due to confounding factors, such as the geographical concentration of where
this breed is kept and/or management practises used for this breed. The results
of this study suggest that preventive and control strategies, including
vaccination and active surveillance strategies, should be primarily focused on
cattle farms kept in close vicinity to sheep flocks as well as in high-risk sheep
farms (i.e. farms with a large farm size keeping both cattle and sheep and with a
high number of animal introductions). Methods and results presented here may be
used to guide decisions for the annual update of the control and eradication
program in Spain.
PMID- 24929439
TI - Ellagic acid inhibits adipocyte differentiation through coactivator-associated
arginine methyltransferase 1-mediated chromatin modification.
AB - Chromatin remodeling is a key mechanism in adipocyte differentiation. However, it
is unknown whether dietary polyphenols are epigenetic effectors for adiposity
control. Ellagic acid (EA) is a naturally occurring polyphenol in numerous fruits
and vegetables. Recently, EA-containing foods have been reported to reduce
adiposity. In the present study, we sought to determine whether EA inhibits
adipogenesis by modifying chromatin remodeling in human adipogenic stem cells
(hASCs). qPCR microarray of chromatin modification enzymes revealed that 10
MUmol/L of EA significantly inhibits histone deacetylase (HDAC)9 down-regulation.
In addition, EA was associated with up-regulation of HDAC activity and a marked
reduction of histone acetylation levels. However, chemical inhibition of HDAC
activity or depletion of HDAC9 by siRNA were not sufficient to reverse the
antiadipogenic effects of EA. Intriguingly, EA treatment was also associated with
reduced histone 3 arginine 17 methylation levels (H3R17me2), implying the
inhibitory role of EA in coactivator-associated arginine methyltransferase 1
(CARM)1 activity during adipogenesis. Boosting CARM1 activity by delivering cell
penetrating peptides of CARM1 not only recovered H3R17me2 but also restored
adipogenesis evidenced by H3 acetylation at lysine 9, HDAC9 down-regulation,
PPARgamma expression and triglyceride accumulation. Taken together, our data
suggest that reduced CARM1 activity by EA results in a decrease of H3R17me2
levels, which may interrupt consecutive histone remodeling steps for adipocyte
differentiation including histone acetylation and HDAC9 dissociation from
chromatin. Our work provides the mechanistic insights into how EA, a polyphenol
ubiquitously found in fruits and vegetables, attenuates human adipocyte
differentiation by altering chromatin remodeling.
PMID- 24929440
TI - Changes in physical activity, physical fitness, self-perception and quality of
life following a 6-month physical activity counseling and cognitive behavioral
therapy program in outpatients with binge eating disorder.
AB - The aim of the current study was to explore the associations between changes in
the number of binges, physical activity participation, physical fitness, physical
self-perception and quality of life following a 6-month physical activity
counseling and cognitive behavioral program in patients with binge eating
disorder (BED). In total 34 (31 women) outpatients with BED (38.5+/-10.7 years)
completed a 6-month 1-day per week group-based program. Participants completed
the 36-item Short Form Health Survey, the Baecke Physical Activity questionnaire,
the Physical Self Perception Profile and performed a 6-min walk test (6MWT) at
baseline, after 3 and 6 months. Except for physical activity at work, physical
strength and self-worth perception, all parameters significantly improved after 6
months. The effect sizes ranged from -0.33 for the number of binges to 1.67 for
participation in sports activities. Significant increases in leisure time
physical activity were associated with significant improvements in physical
health related quality of life, perceived sports competence and physical fitness
and in perceived body attractiveness. The significant reduction in the number of
binges was associated with significant improvements in physical health related
quality of life. Future research should focus on detailing which techniques can
stimulate physical activity participation in patients with BED.
PMID- 24929441
TI - A professional duty to contribute toward preventing unsafe abortion and its
consequences.
PMID- 24929442
TI - The detection of coronary stiffness in cardiac allografts using MR imaging.
AB - OBJECTIVE: To test the hypothesis that biomechanical changes are quantitatively
related to morphological features of coronary arteries in heart transplant (HTx)
recipients. MATERIALS AND METHODS: With IRB approval, three-dimensional (3D)
magnetic resonance (MR) angiography and two-dimensional (2D) black-blood stead
state free precession (SSFP) MR imaging were performed to image coronary arteries
of 36 HTx patients. Contours of coronary wall were manually drawn. For each
coronary segment, coronary wall thickness, wall area, lumen area (in systole and
diastole) were acquired. Coronary distensibility index (CDI) and the percent of
the coronary wall occupying the vessel area (PWOV) were calculated. RESULTS:
There are totally 98 coronary segments eligible for quantitative analysis from 27
HTx patients. The CDI is 4.90 +/- 2.44 mmHg(-1). The mean wall thickness is 1.49
+/- 0.24 mm and the PWOV is 74.6% +/- 7.5%. CDI has moderate correlations with
wall thickness (r=-0.531, P<0.001) and with PWOV (R=-0.435, P<0.001).
CONCLUSIONS: Detected with coronary MR imaging, CDI is quantitatively correlated
with the morphological features of the coronary artery in HTx patients. Coronary
stiffness has the potential to become an alternative imaging biomarker for the
quantitative assessment of the status of cardiac allografts.
PMID- 24929443
TI - Clinical values for abnormal 18F-FDG uptake in the head and neck region of
patients with head and neck squamous cell carcinoma.
AB - PURPOSE: Fluorine 18-fluorodeoxyglucose ((18)F-FDG) positron emission tomography
(PET)/computed tomography (CT) is used to identify index or second primary cancer
(SP) of the head and neck (HN) through changes in (18)F-FDG uptake. However, both
physiologic and abnormal lesions increase (18)F-FDG uptake. Therefore, we
evaluated (18)F-FDG uptake in the HN region to determine clinical values of
abnormal tracer uptake. METHODS: A prospective study approved by the
institutional review board was conducted in 314 patients with newly diagnosed HN
squamous cell carcinoma (HNSCC) and informed consent was obtained from all
enrolled patients. The patients received initial staging workups including (18)F
FDG PET/CT and biopsies. All lesions with abnormal HN (18)F-FDG uptake were
recorded and most of those were confirmed by biopsies. Diagnostic values for
abnormal (18)F-FDG uptake were calculated. RESULTS: Abnormal (18)F-FDG uptake was
identified in primary tumors from 285 (91.9%) patients. False-negative results
were obtained for 22.3% (23/103) T1 tumors and 2.2% (2/93) T2 tumors (P<0.001).
Thirty-eight regions of abnormal (18)F-FDG uptake were identified in 36 (11.5%)
patients: the thyroid (n=13), maxillary sinus (n=7), palatine tonsil (n=6),
nasopharynx (n=5), parotid gland (n=2) and others (n=5). Synchronous SP of the HN
was identified in eight (2.5%) patients: the thyroid (n=5), palatine tonsil
(n=2), and epiglottis (n=1). The sensitivity and specificity of (18)F-FDG PET/CT
for identification of SPs were 75.0% and 98.7%, respectively. CONCLUSIONS: (18)F
FDG PET/CT is a reliable method for tumor staging and identify SP in HN region,
promoting appropriate therapeutic planning. Additional examinations may be
required to identify superficial or small-volume tumors.
PMID- 24929444
TI - Pain management in Guillain-Barre syndrome: a systematic review.
AB - INTRODUCTION: Pain is a common symptom in patients with Guillain-Barre syndrome.
Intensity is moderate to severe in most cases and pain may persist after
resolution of the disease. OBJECTIVE: Identify the most appropriate analgesic
therapy for pain management in patients with Guillain-Barre syndrome. MATERIAL
AND METHODS: Systematic review and selection of scientific articles on treatment
of pain in Guillain-Barre syndrome patients, published between January 1985 and
December 2012. We included only randomised, double-blind, controlled trials
assessing the effectiveness of drugs for pain management in these patients.
RESULTS: Four articles met the inclusion criteria. One evaluated the use of
gabapentin, another evaluated carbamazepine, a third compared gabapentin to
carbamazepine, and the last evaluated use of methylprednisolone. Both
carbamazepine and gabapentin were useful for pain management. Patients
experienced lower-intensity pain with gabapentin treatment in the study comparing
that drug to carbamazepine. Methylprednisolone was not shown to be effective for
reducing pain. The published data did not permit completion of a meta-analysis.
CONCLUSIONS: There is no robust evidence at present that would point to a single
treatment option for this disorder. Further clinical studies of larger patient
samples and with a longer duration are needed to characterise types of pain for
each patient and measure pain intensity in an objective way.
PMID- 24929445
TI - A cross-sectional survey of critical care services in Sri Lanka: a lower middle
income country.
AB - PURPOSE: To describe the extent and variation of critical care services in Sri
Lanka as a first step towards the development of a nationwide critical care unit
(CCU) registry. MATERIALS AND METHODS: A cross-sectional survey was conducted in
all state CCUs by telephone or by visits to determine administration,
infrastructure, equipment, staffing, and overall patient outcomes. RESULTS: There
were 99 CCUs with 2.5 CCU beds per 100000 population and 13 CCU beds per 1 000
hospital beds. The median number of beds per CCU was 5. The overall admissions
were 194 per 100000 population per year. The overall bed turnover was 76.5 per
unit per year, with CCU mortality being 17%. Most CCUs were headed by an
anesthetist. There were a total of 790 doctors (1.6 per bed), 1,989 nurses (3.9
per bed), and 626 health care assistants (1.2 per bed). Majority (87.9%) had 1:1
nurse-to-patient ratio, although few (11.4%) nurses had received formal intensive
care unit training. All CCUs had basic infrastructure (electricity, running
water, piped oxygen) and basic equipment (such as electronic monitoring and
infusion pumps). CONCLUSION: Sri Lanka, a lower middle-income country has an
extensive network of critical care facilities but with inequalities in its
distribution and facilities.
PMID- 24929447
TI - Temporal association of ambient temperature and relative humidity with Spirocerca
lupi infection of Onthophagus sellatus: a 14-year longitudinal study.
AB - The parasitic nematode Spirocerca lupi causes major morbidity and mortality in
dogs. The scarab beetle Onthophagus sellatus is its major intermediate host in
Israel. We investigated the prevalence of beetle infection by S. lupi in
different years between 1994 and 2008. The average monthly maximum and minimum
relative humidity (RH) and ambient temperature (AT) throughout the study period
were calculated based on daily meteorological data. The infection prevalence
decreased over the study period, possibly due to a chronological change resulting
from increased preventive treatment of dogs against S. lupi, or climate change.
Multivariate analysis was performed for these two hypotheses. Under the first
hypothesis, chronological change was forced into the model, and environmental
variables were inserted stepwise. The final model included beetle-collection
date, minimum RH (RH min) during the month preceding beetle collection, its
interaction with maximal AT (AT max) during that same month, and the interaction
of maximal RH (RH max) and AT max, during the month of beetle collection. Under
the second hypothesis, chronological change was not forced. The final model
included RH max during the month of beetle collection, average RH (RHave) during
the month preceding beetle collection, and its interaction with AT max during the
latter month. The results suggest that under both hypotheses, RH and AT during
the month preceding beetle collection influence S. lupi's ability to develop and
survive in O. sellatus, and may be used to predict the risk to dogs of S. lupi
infection.
PMID- 24929446
TI - Efficacy of free and nanoencapsulated Eucalyptus citriodora essential oils on
sheep gastrointestinal nematodes and toxicity for mice.
AB - Herbal medicines with anthelmintic effects are alternatives for the sustainable
control and prevention of disease caused by gastrointestinal parasites. The
nanoencapsulation of essential oils has been proposed to enhance the absorption
of their constituents and improve their efficacy. The present study aimed to
evaluate the efficacy of free and nanoencapsulated Eucalyptus citriodora
essential oil (EcEO) on the control of gastrointestinal nematodes of small
ruminants in vitro and in vivo. Chitosan was used as a matrix for the formulation
of a nanoemulsion. Chromatographic and physico-chemical analyses of EcEO were
performed. Egg hatch (EHT) and larval development (LDT) tests were conducted to
evaluate the effectiveness of nanoencapsulated and free EcEO on the eggs and
larvae of Haemonchus contortus. Acute toxicity of free and nanoencapsulated EcEO
was evaluated using mice. Finally, nanoencapsulated EcEO efficacy on the control
of gastrointestinal nematodes was calculated by fecal egg count reduction test
(FECRT) treating 30 sheep naturally infected with 250 mg/kg of free and
nanoencapsulated EcEO. In vitro tests were analyzed by an analysis of variance
(ANOVA) followed by comparison with the Tukey test. The efficacy of FECRT was
calculated by the BootStreet program through arithmetic average, using the
formula 100 (1-XT/XC). To compare the differences between epg, the data were
transformed to log(x+1) and subjected to an ANOVA to compare the significant
differences between groups by Tukey's. The level of significance was P<0.05. The
free (4 mg/ml concentration) and nanoencapsulated (2mg/ml concentration) EcEO
inhibited larvae hatching by 97.2% and 92.8%, respectively. Free and
nanoencapsulated EcEO at 8 mg/ml inhibited larval development by 99.8% and 98.1%,
respectively. In the acute toxicity test, the LD10 and LD50 of free EcEO was 1999
and 2653 mg/kg, respectively, while the LD10 and LD50 of nanoencapsulated EcEO
was 1121 and 1681 mg/kg, respectively. Nanoencapsulated and free EcEO reduced FEC
similarly by 40.5% and 55.9%, respectively at 10 days post-treatment.
Nanoencapsulated EcEO did not obtain the expected efficacy in vivo.
PMID- 24929448
TI - Effects of daily pyrantel tartrate on strongylid population dynamics and
performance parameters of young horses repeatedly infected with cyathostomins and
Strongylus vulgaris.
AB - Strongylid infections are ubiquitous in grazing horse populations. Infections
with cyathostomin (small strongyle) and strongylin (large strongyle) nematodes
have long been associated with clinical disease in horses, but little is known
about their subclinical impact. A masked, randomized, controlled study was
conducted to evaluate the effects of daily administration of pyrantel tartrate on
body condition scores, weight gain, fecal egg counts, and total worm counts of
young horses repeatedly inoculated with strongylid larvae. Twenty eight immature
horses were treated with larvicidal anthelmintic regimens and randomly allocated
to two groups. Group 1 horses were given a pelleted placebo product once daily,
and those in Group 2 received pyrantel tartrate once daily at ~ 2.64 mg/kg body
weight. On five days during each week, ~ 5000 infective cyathostomin larvae were
administered to each horse. In addition, horses received ~ 25 infective
Strongylus vulgaris larvae once weekly. Horses were maintained on pasture for 154
days and had ad libitum access to grass hay throughout. At approximate, 14-day
intervals, body weights were measured, body condition scores were assigned, fecal
samples were collected for egg counts, and blood samples were collected for
measurement of S. vulgaris antibodies and various physiologic parameters. After
22 weeks at pasture and 14-17 days in confinement, horses were euthanatized and
necropsied. Nematodes were recovered and counted from aliquots of organ contents,
representative samples of large intestinal mucosa, and the root of the cranial
mesenteric artery. Daily treatment with pyrantel tartrate at the recommended
dosage significantly reduced numbers of adult cyathostomins in the gut lumen and
early third-stage larvae in the cecal mucosa, increased the proportions of fourth
stage larvae in the gut contents, and was accompanied by significant improvements
in body condition scores. Fecal egg counts of horses receiving daily pyrantel
tartrate were significantly reduced, with percentages of efficacy ranging from
84.4% to 98.9%, but egg counts of both groups increased significantly over the
course of the study. Treatment also significantly reduced the numbers of S.
vulgaris larvae in the cranial mesenteric artery by 99.2%. Serum antibodies to S.
vulgaris apparently persisted from pre-enrollment infections, but ELISA values
gradually declined over the course of the study. This study has provided useful
insights into the effects of daily pyrantel tartrate on the dynamics of
cyathostomin infection, and into some subclinical effects of strongylid
parasitism in horses.
PMID- 24929450
TI - Ending sexual violence in conflict and beyond.
PMID- 24929449
TI - Molecular characterization, gene structure and antibacterial activity of a g-type
lysozyme from the European sea bass (Dicentrarchus labrax L.).
AB - In fish, the first line of defense is represented by the innate immune system and
the lysozyme is one of the molecules involved in this mechanism of protection.
Three types of lysozymes have been identified in metazoan, the c-type (chicken or
conventional), the g-type (goose-type) and the i-type (invertebrate type). They
are all involved in the hydrolysation of the bacterial cell wall. Our work has
been focused on the molecular characterization, expression analysis by real-time
PCR, both at basal condition and after in vivo challenges, and 3D structural
studies on the g-type lysozyme from sea bass (Dicentrarchus labrax L.). Moreover,
a recombinant sea bass lysozyme has been produced in Escherichia coli and used to
investigate the activity of the enzyme at different pH and temperatures and to
perform antibacterial assays against typical fish pathogens. The cloned sea bass
cDNA for g-type lysozyme (accession number FN667957) consists of 742 bp and
translates for a putative protein of 188 amino acids. The molecular weight is
20.251, 41Da with a theoretical pI of 8.53, two cysteine residues along the
sequence and no putative signal peptide. These features of the enzyme are in
agreement with the expected characteristics of a proper g-type lysozyme, except
for the cysteine residues that in fish are quite variable in number. An alignment
between known g-type lysozyme sequences evidences that the amino acid residues
thought to be involved in the enzyme catalysis (Glu(71), Asp(84) and Asp(95) in
sea bass) are quite well conserved between mammalian, avian and fish sequences.
The sea bass g-type lysozyme gene is composed of four exons and three introns and
this gene structure is more compact compared to other known fish lysozyme
homologues. Modeling of 3D structure has been performed on the template structure
of g-type lysozyme from Atlantic cod. The catalytic site appears well conserved
when compared with known structures of fish g-type lysozymes (cod and salmon).
The basal expression of lysozyme transcripts is highest in gills, followed by
head kidney and peripheral blood leukocytes. The lysozyme expression is up
regulated in head kidney leukocytes both after challenge with the fish bacterial
pathogen Photobacterium damselae subsp. piscicida. The lytic activity, determined
using as substrate Micrococcus lysodeikticus, was optimal at pH 5.5 and at a
temperature of 30 degrees C. In conclusion, these results suggest that the
identified g-type lysozyme should be involved in the innate immune responses of
sea bass.
PMID- 24929451
TI - Why is UK performance in child and youth mortality so poor?
PMID- 24929452
TI - Deaths in young people aged 0-24 years in the UK compared with the EU15+
countries, 1970-2008: analysis of the WHO Mortality Database.
AB - BACKGROUND: Concern is growing that mortality and health in children and young
people in the UK lags behind that of similar countries. METHODS: We analysed
death registry data provided to the WHO Mortality Database to compare UK
mortality for children and young people aged 0-24 years with that of European
Union member states (before May, 2004, excluding the UK, plus Australia, Canada,
and Norway [the EU15+ countries]) from 1970 to 2008 using the WHO World Mortality
Database. We grouped causes of death by Global Burden of Disease classification:
communicable, nutritional, or maternal causes; non-communicable disorders; and
injury. UK mortality trends were compared with quartiles of mortality in EU15+
countries. We used quasi-likelihood Poisson models to explore differences between
intercepts and slopes between the UK and the EU15+ countries. FINDINGS: In 1970,
UK total mortality was in the best EU15+ quartile (<25th centile) for children
and young people aged 1-24 years, with UK infant mortality similar to the EU15+
median. Subsequent mortality reductions in the UK were smaller than were those in
the EU15+ countries in all age groups. By 2008, total mortality for neonates,
infants, and children aged 1-4 years in the UK was in the worst EU15+ quartile
(>75th centile). In 2008, UK annual excess mortality compared with the EU15+
median was 1035 deaths for infants and 134 for children aged 1-9 years. Mortality
from non-communicable diseases in the UK fell from being roughly equivalent to
the EU15+ median in 1970 to the worst quartile in all age groups by 2008, with
446 annual excess deaths from non-communicable diseases in the UK (280 for young
people aged 10-24 years) in 2008. UK mortality from injury remained in the best
EU15+ quartile for the study period in all age groups. INTERPRETATION: The UK has
not matched the gains made in child, adolescent, and young adult mortality by
other comparable countries in the 40 years since 1970, particularly for infant
deaths and mortality from non-communicable diseases, including neuropsychiatric
disorders. The UK needs to identify and address amenable social determinants and
health system factors that lead to poor health outcomes for infants and for
children and young people with chronic disorders. FUNDING: None.
PMID- 24929453
TI - The PI3K/Akt signalling pathway may play an internal role related to abnormal
condylar growth: a preliminary study.
AB - Developmental deformity of the mandible is one of the most common craniofacial
malformations and is closely related to abnormal condylar growth. In this study,
the role of PI3K/Akt signalling in the regulation of chondrocyte proliferation
and hypertrophic differentiation in the condylar cartilage was studied.
Immunohistochemical staining was used to investigate the expression of PI3K and p
Akt in the rat condyle cartilage. Rat condylar chondrocytes were cultured for the
investigation of chondrocyte proliferation and hypertrophic differentiation when
PI3K/Akt was inhibited. In addition, organ culture of the rat mandibular condyle
was performed to evaluate the condyle cartilage growth while PI3K/Akt was
inhibited. PI3K-positive cells and p-Akt-positive cells showing cytoplasmic
staining were found to be present in the condylar cartilage. Reduced cell
proliferation was observed in the culture of rat condylar chondrocytes when
PI3K/Akt was inhibited; however, the hypertrophic differentiation level was
increased. The proliferative zone thickness of condylar cartilage in the
experimental group was less than that in the control group (P=0.00185), but the
hypertrophic zone was greater than that in the control group (P=0.01048).
PI3K/Akt signalling exerts opposite influences on chondrocyte proliferation and
hypertrophic differentiation of the condylar cartilage, and these data suggest
that PI3K/Akt is a potential intracellular regulation signal pathway in condylar
cartilage development.
PMID- 24929454
TI - Neurobiological mechanisms of acupuncture for some common illnesses: a
clinician's perspective.
AB - This paper presents some previously proposed neurobiological mechanisms on how
acupuncture may work in some clinical applications from a clinician's
perspective. For the treatment of musculoskeletal conditions, the proposed
mechanisms included microinjury, increased local blood flow, facilitated healing,
and analgesia. Acupuncture may trigger a somatic autonomic reflex, thereby
affecting the gastric and cardiovascular functions. Acupuncture may also change
the levels of neurotransmitters such as serotonin and dopamine, thereby affecting
the emotional state and craving. This mechanism may form the basis for the
treatment of smoking cessation. By affecting other pain-modulating
neurotransmitters such as met-enkephalin and substance P along the nociceptive
pathway, acupuncture may relieve headache. Acupuncture may affect the
hypothalamus pituitary axis and reduce the release of the luteinizing hormone in
the treatment of polycystic ovary syndrome. In addition, two other approaches to
the acupuncture mechanism, the fascia connective tissue network and the primo
vascular system, are briefly reviewed. Finally, the idea of true versus sham
acupuncture points, which are commonly used in clinical trials, is examined
because the difference between true and sham points does not exist in the
neurobiological model.
PMID- 24929455
TI - Efficacy of acupuncture versus local methylprednisolone acetate injection in De
Quervain's tenosynovitis: a randomized controlled trial.
AB - There is no consensus on the management of De Quervain's tenosynovitis, but local
corticosteroid injection is considered the mainstay of treatment. However, some
patients are reluctant to take steroid injections. This study was performed to
compare the efficacy of acupuncture versus corticosteroid injection for the
treatment of this disease. Thirty patients were consequently treated in two
groups. The acupuncture group received five acupuncture sessions of 30 minutes
duration on classic points of LI-5, LU-7, and LU-9 and on ahshi points. The
injection group received one methylprednisolone acetate injection in the first
dorsal compartment of the wrist. The degree of disability and pain was evaluated
by using the Quick Disabilities of the Arm, Shoulder, and Hand (Q-DASH) scale and
the Visual Analogue Scale (VAS) at baseline and at 2 weeks and 6 weeks after the
start of treatment. The baseline means of the Q-DASH and the VAS scores were 62.8
and 6.9, respectively. At the last follow-up, the mean Q-DASH scores were 9.8
versus 6.2 in the acupuncture and injection groups, respectively, and the mean
VAS scores were 2 versus 1.2. We demonstrated short-term improvement of pain and
function in both groups. Although the success rate was somewhat higher with
corticosteroid injection, acupuncture can be considered as an alternative option
for treatment of De Quervain's tenosynovitis.
PMID- 24929456
TI - Use of pupil size to determine the effect of electromagnetic acupuncture on
activation level of the autonomic nervous system.
AB - Magnetic fields are widely considered as a method of treatment to increase the
therapeutic effect when applied to acupoints. Hence, this study proposes a new
method which creates significant stimulation of acupoints by using weak magnetic
fields. We conducted this experiment in order to confirm the effect on the
activation level of the autonomic nervous system by measuring pupil sizes in
cases of stimulation by using manual acupuncture and electromagnetic acupuncture
(EMA) at BL15. We selected 30 Hz of biphasic wave form with 570.1 Gauss. To
confirm the biopotential by the magnetic flux density occurring in EMA that
affected the activation of the autonomic nervous system, we observed the
biopotential induced at the upper and the mid left and right trapezius. We
observed a significant decrease in pupil size only in the EMA group (p < 0.05),
thus confirming that EMA decreased the pupil size through activation of the
parasympathetic nerve in the autonomic nervous system. Moreover, we confirmed
that the amplitude of the biopotential which was caused by 570.1 Gauss was higher
than +/-20 MUA. Thus, we can conclude that EMA treatment successfully activates
the parasympathetic nerve in the autonomic nervous system by inducing a
biotransformation by the induced biopotential.
PMID- 24929457
TI - The effect of short-term bed-rest on radial pulse in healthy subjects.
AB - The objective of this study is to investigate the effects of short-term bed rest
on the radial pulse in healthy subjects. Twenty-one healthy volunteers
participated in this study. Their radial pulse was measured at different
measuring positions using a multi-step tonometry system. The participants took 30
minutes of bed rest and their radial pulse was measured before and after the bed
rest. The effects of bed rest on the radial pulse were analyzed. The pulse area,
the amplitudes of h4 and h5, the pulse period, and the diastolic pulse proportion
increased with short-term bed rest, whereas the proportions of systolic and
hightension pulse and the fundamental frequency of the pulse wave decreased with
short-term bed rest. All the changes were in the same direction in both male and
female participants at all measuring positions; however, some parameters changed
more in women than in men, and some changed more at the distal position than at
the proximal position. In shortly, Short-term bed rest induces significant
changes in the radial pulse of healthy subjects. The results of this study could
be used as a control reference for clinical acupuncture studies with participants
lying on a bed for acupuncture treatment.
PMID- 24929458
TI - Strong anticancer potential of nano-triterpenoid from Phytolacca decandra against
A549 adenocarcinoma via a Ca(2+)-dependent mitochondrial apoptotic pathway.
AB - We isolated a triterpenoid from an ethanolic extract of Phytolacca decandra and
nanoencapsulated it with biodegradable nontoxic polymers of poly(lactide-co
glycolide) to examine if the nanoform of this hitherto unexplored betulinic-acid
derivative (NdBA) could produce a stronger anticancer effect by rendering better
drug bioavailability and targeted delivery than the nonencapsulated betulinic
acid derivative (dBA). The nanoparticles were characterized with the help of
physicochemical and morphological studies involving dynamic light scattering and
atomic force microscopy. A549 cancer cells were exposed to NdBA and dBA at the
IC50 doses of 50 MUg/mL and 100 MUg/mL, respectively. Mitochondrial dysfunction
mediated apoptosis was determined by examining the changes in the intracellular
calcium content, the reactive oxygen species accumulation, the cytochrome c
release, the upregulation of Bcl-2-associated-X protein (Bax) and caspase 3, the
downregulation of B cell lymphoma 2, and the mitochondrial membrane potential
(DeltaPsim) depolarization. Apoptosis was also verified by acridine orange
staining observed under fluorescence microscopy and annexin V-fluorescein
isothiocyanate/propidium iodide staining through flow cytometric studies. The
levels of intracellular adenosine triphosphate/adenosine diphosphate ratio
decreased, and the ATPase activity increased more strikingly in A549 cells
exposed to NdBA than in A549 cells exposed to dBA. Overall results showed that
both drugs directly target the mitochondrial oxidative phosphorylation system,
with NdBA having a stronger effect, indicating NdBA to be a better candidate for
the development of an anticancer drug for use against lung adenocarcinomas.
PMID- 24929459
TI - Increase in plasma phylloquinone concentrations following acupoint injection for
the treatment of primary dysmenorrhea.
AB - The therapeutic benefits of acupoint injection of vitamin K in spleen-6 (SP6) for
the treatment of primary dysmenorrhea have been observed in limited clinical
settings. However, menadione, the form of vitamin K most studied for treating
dysmenorrhea, is not routinely used in clinical practice in North America. As
part of a larger clinical trial among women aged 18-25 years with primary
dysmenorrhea, we conducted a substudy to test the plasma concentration of
phylloquinone (vitamin K1). We collected blood samples from four women before and
24-48 hours after an acupoint injection of phylloquinone in SP6. Despite the
rapid turnover of phylloquinone observed in previous studies, we found that the
plasma phylloquinone concentrations increased significantly from preinjection to
1-2 days after the injection. Interestingly, higher phylloquinone concentrations
were correlated with less pain intensity among women with dysmenorrhea.
Additional research is needed to understand the association between vitamin K and
menstrual pain, including the role of vitamin K deficiency in inflammation and
pain, and on the possible mechanisms of acupoint injection of vitamin K for the
treatment of primary dysmenorrhea.
PMID- 24929460
TI - Complex regional pain syndrome type 1 relieved by acupuncture point injections
with placental extract.
AB - This is a case report of a female patient who developed complex regional pain
syndrome in the left upper limb after a traumatic injury to the distal part of
the left forearm. The pain was immediate and resistant to oral analgesics and
continued transcutaneous electrical nerve stimulation. Five months after the
injury, the patient presented to our clinic with severe pain, swelling, redness,
cold sensation of the left hand, and loss of function from the left hand up to
the left shoulder. Acupuncture points LI5, LU2, SI10, HT1, GB21, and SI11 (which
are localized in the joints or in the muscles responsible for the movement of the
left upper limb) were selected for the application of the placental extract.
Injection of placental extract into the acupuncture points resulted in dramatic
pain relief, swelling remission, motor recovery, temperature normalization, and
disappearance of redness in this patient with complex regional pain syndrome type
1.
PMID- 24929461
TI - Protocol: the effect of 12 weeks of Tai Chi practice on anxiety in healthy but
stressed people compared to exercise and wait-list comparison groups: a
randomized controlled trial.
AB - Stress is a major problem in today's fast-paced society and can lead to serious
psychosomatic complications. The ancient Chinese mind-body exercise of Tai Chi
may provide an alternative and self-sustaining option to pharmaceutical
medication for stressed individuals to improve their coping mechanisms. The
protocol of this study is designed to evaluate whether Tai Chi practice is
equivalent to standard exercise and whether the Tai Chi group is superior to a
wait-list control group in improving stress coping levels. This study is a 6
week, three-arm, parallel, randomized, clinical trial designed to evaluate Tai
Chi practice against standard exercise and a Tai Chi group against a nonactive
control group over a period of 6 weeks with a 6-week follow-up. A total of 72
healthy adult participants (aged 18-60 years) who are either Tai Chi naive or
have not practiced Tai Chi in the past 12 months will be randomized into a Tai
Chi group (n = 24), an exercise group (n = 24) or a wait-list group (n = 24). The
primary outcome measure will be the State Trait Anxiety Inventory with secondary
outcome measures being the Perceived Stress Scale 14, heart rate variability,
blood pressure, Short Form 36 and a visual analog scale. The protocol is reported
using the appropriate Standard Protocol Items: Recommendations for Interventional
Trials (SPIRIT) items.
PMID- 24929462
TI - Major controversies in Fluency disorders: clarifying the relationship between
anxiety and stuttering.
PMID- 24929463
TI - Stuttering in relation to anxiety, temperament, and personality: review and
analysis with focus on causality.
AB - Anxiety and emotional reactions have a central role in many theories of
stuttering, for example that persons who stutter would tend to have an
emotionally sensitive temperament. The possible relation between stuttering and
certain traits of temperament or personality were reviewed and analyzed, with
focus on temporal relations (i.e., what comes first). It was consistently found
that preschool children who stutter (as a group) do not show any tendencies
toward elevated temperamental traits of shyness or social anxiety compared with
children who do not stutter. Significant group differences were, however,
repeatedly reported for traits associated with inattention and
hyperactivity/impulsivity, which is likely to reflect a subgroup of children who
stutter. Available data is not consistent with the proposal that the risk for
persistent stuttering is increased by an emotionally reactive temperament in
children who stutter. Speech-related social anxiety develops in many cases of
stuttering, before adulthood. Reduction of social anxiety in adults who stutter
does not in itself appear to result in significant improvement of speech fluency.
Studies have not revealed any relation between the severity of the motor symptoms
of stuttering and temperamental traits. It is proposed that situational
variability of stuttering, related to social complexity, is an effect of
interference from social cognition and not directly from the emotions of social
anxiety. In summary, the studies in this review provide strong evidence that
persons who stutter are not characterized by constitutional traits of anxiety or
similar constructs. EDUCATIONAL OBJECTIVES: This paper provides a review and
analysis of studies of anxiety, temperament, and personality, organized with the
objective to clarify cause and effect relations. Readers will be able to (a)
understand the importance of effect size and distribution of data for
interpretation of group differences; (b) understand the role of temporal
relations for interpretation of cause and effect; (c) discuss the results of
studies of anxiety, temperament and personality in relation to stuttering; and
(d) discuss situational variations of stuttering and the possible role of social
cognition.
PMID- 24929464
TI - Anxiety of children and adolescents who stutter: a review.
AB - PURPOSE: Adults who stutter have heightened rates of anxiety disorders,
particularly social anxiety disorder, compared with non-stuttering controls.
However, the timing of anxiety onset and its development in relation to
stuttering is poorly understood. Identifying the typical age of anxiety onset in
stuttering has significant clinical implications and is crucial for the
management of both disorders across the lifespan. The present review aims to
determine the scope of the research pertaining to this topic, identify trends in
findings, and delineate timing of anxiety onset in stuttering. METHODS: We
examine putative risk factors of anxiety present for children and adolescents who
stutter, and provide a review of the research evidence relating to anxiety for
this population. RESULTS: Young people who stutter can experience negative social
consequences and negative attitudes towards communication, which is hypothesised
to place them at increased risk of developing anxiety. The prevalence of anxiety
of young people who stutter, and the timing of anxiety onset in stuttering could
not be determined. This was due to methodological limitations in the reviewed
research such as small participant numbers, and the use of measures that lack
sensitivity to identify anxiety in the targeted population. CONCLUSIONS: In sum,
the evidence suggests that anxiety in stuttering might increase over time until
it exceeds normal limits in adolescence and adulthood. The clinical implications
of these findings, and recommendations for future research, are discussed.
EDUCATIONAL OBJECTIVES: The reader will be able to: (a) discuss contemporary
thinking on the role of anxiety in stuttering and reasons for this view; (b)
describe risk factors for the development of anxiety in stuttering, experienced
by children and adolescents who stutter (c) outline trends in current research on
anxiety and children and adolescents with stuttering; and (d) summarise
rationales behind recommendations for future research in this area.
PMID- 24929465
TI - Trait and social anxiety in adults with chronic stuttering: conclusions following
meta-analysis.
AB - PURPOSE: The relationship between chronic stuttering and anxiety has been a
matter of some debate over the past two decades, with a major emphasis of
research focused on examining whether people who stutter have abnormally elevated
levels of trait or social anxiety. The major goal of this paper was to perform a
systematic literature review and perform meta-analyses on research that has
assessed (i) trait anxiety and (ii) social anxiety, in adults who stutter.
METHOD: Only studies that met strict inclusion criteria were selected for the
meta-analyses. Two meta-analyses were conducted, the first for trait anxiety, and
the second for social anxiety. Meta-analysis combines statistically the results
of selected studies that meet strict design criteria, thereby clarifying the size
of differences in trait and social anxiety between adults who stutter and adults
who do not stutter. RESULTS: Meta-analytic results confirmed that adults with
chronic stuttering do have substantially elevated trait and social anxiety. The
overall effect size for trait and social anxiety was calculated to be .57 and
.82, respectively. CONCLUSIONS: Trait and social anxiety are definite problems
for many adults who stutter. Clinical implications of these findings for the
diagnosis and treatment of adult who stutter are discussed. EDUCATIONAL
OBJECTIVES: The reader will be able to: (a) describe the process of conducting a
systematic review and meta-analysis; (b) describe the possible impact of
publication bias on meta-analysis results; (c) explain the impact of a chronic
disorder like stuttering on levels of trait anxiety; (d) explain the impact of
stuttering on levels of social anxiety; (e) interpret the results of meta
analysis when applied to differences in anxiety between adult people who stutter
and those who do not stutter; and (f) describe implications for fluency enhancing
treatments.
PMID- 24929466
TI - Anxiety and speaking in people who stutter: an investigation using the emotional
Stroop task.
AB - People with anxiety disorders show an attentional bias towards threat or negative
emotion words. This exploratory study examined whether people who stutter (PWS),
who can be anxious when speaking, show similar bias and whether reactions to
threat words also influence speech motor planning and execution. Comparisons were
made between 31 PWS and 31 fluent controls in a modified emotional Stroop task
where, depending on a visual cue, participants named the colour of threat and
neutral words at either a normal or fast articulation rate. In a manual version
of the same task participants pressed the corresponding colour button with either
a long or short duration. PWS but not controls were slower to respond to threat
words than neutral words, however, this emotionality effect was only evident for
verbal responding. Emotionality did not interact with speech rate, but the size
of the emotionality effect among PWS did correlate with frequency of stuttering.
Results suggest PWS show an attentional bias to threat words similar to that
found in people with anxiety disorder. In addition, this bias appears to be
contingent on engaging the speech production system as a response modality. No
evidence was found to indicate that emotional reactivity during the Stroop task
constrains or destabilises, perhaps via arousal mechanisms, speech motor
adjustment or execution for PWS. EDUCATIONAL OBJECTIVES: The reader will be able
to: (1) explain the importance of cognitive aspects of anxiety, such as
attentional biases, in the possible cause and/or maintenance of anxiety in people
who stutter, (2) explain how the emotional Stroop task can be used as a measure
of attentional bias to threat information, and (3) evaluate the findings with
respect to the relationship between attentional bias to threat information and
speech production in people who stutter.
PMID- 24929467
TI - Axis I anxiety and mental health disorders among stuttering adolescents.
AB - PURPOSE: The purpose of this study was to evaluate anxiety and psychological
functioning among adolescents seeking speech therapy for stuttering using a
structured, diagnostic interview and psychological questionnaires. This study
also sought to determine whether any differences in psychological status were
evident between younger and older adolescents. METHOD: Participants were 37
stuttering adolescents seeking stuttering treatment. We administered the
Computerized Voice Version of the Diagnostic Interview Schedule for Children, and
five psychometric tests. Participants were classified into younger (12-14 years;
n=20) and older adolescents (15-17 years; n=17). RESULTS: Thirty-eight percent of
participants attained at least one diagnosis of a mental disorder, according to
the diagnostic criteria of the Diagnostic and Statistical Manual of Mental
Disorders, Fourth Edition (DSM-IV; APA, 2000), with the majority of these
diagnoses involving anxiety. This figure is double current estimates for general
adolescent populations, and is consistent with our finding of moderate and
moderate-severe quality of life impairment. Although many of the scores on
psychological measures fell within the normal range, older adolescents (15-17
years) reported significantly higher anxiety, depression, reactions to
stuttering, and emotional/behavioral problems, than younger adolescents (12-14
years). There was scant evidence that self-reported stuttering severity is
correlated with mental health issues. There are good reasons to believe these
results are conservative because many participants gave socially desirable
responses about their mental health status. DISCUSSION: These results reveal a
need for large-scale, statistically powerful assessments of anxiety and other
mental disorders among stuttering adolescents with reference to control
populations. EDUCATIONAL OBJECTIVES: The reader will be able to: (a) explain the
clinical importance of assessing for mental health with stuttering adolescents,
(b) state the superior method for adolescent mental health assessment and (c)
state a major issue with determining the genuineness of stuttering adolescent
responses to psychological assessment.
PMID- 24929468
TI - Social anxiety disorder and stuttering: current status and future directions.
AB - Anxiety is one of the most widely observed and extensively studied psychological
concomitants of stuttering. Research conducted prior to the turn of the century
produced evidence of heightened anxiety in people who stutter, yet findings were
inconsistent and ambiguous. Failure to detect a clear and systematic relationship
between anxiety and stuttering was attributed to methodological flaws, including
use of small sample sizes and unidimensional measures of anxiety. More recent
research, however, has generated far less equivocal findings when using social
anxiety questionnaires and psychiatric diagnostic assessments in larger samples
of people who stutter. In particular, a growing body of research has demonstrated
an alarmingly high rate of social anxiety disorder among adults who stutter.
Social anxiety disorder is a prevalent and chronic anxiety disorder characterised
by significant fear of humiliation, embarrassment, and negative evaluation in
social or performance-based situations. In light of the debilitating nature of
social anxiety disorder, and the impact of stuttering on quality of life and
personal functioning, collaboration between speech pathologists and psychologists
is required to develop and implement comprehensive assessment and treatment
programmes for social anxiety among people who stutter. This comprehensive
approach has the potential to improve quality of life and engagement in everyday
activities for people who stutter. Determining the prevalence of social anxiety
disorder among children and adolescents who stutter is a critical line of future
research. Further studies are also required to confirm the efficacy of Cognitive
Behaviour Therapy in treating social anxiety disorder in stuttering. EDUCATIONAL
OBJECTIVES: The reader will be able to: (a) describe the nature and course of
social anxiety disorder; (b) outline previous research regarding anxiety and
stuttering, including features of social anxiety disorder; (c) summarise research
findings regarding the diagnostic assessment of social anxiety disorder among
people who stutter; (d) describe approaches for the assessment and treatment of
social anxiety in stuttering, including the efficacy of Cognitive Behaviour
Therapy; and (e) outline clinical implications and future directions associated
with heightened social anxiety in stuttering.
PMID- 24929469
TI - Social support and its association with negative affect in adults who stutter.
AB - PURPOSE: The purpose of the research reported in this manuscript is to clarify
the relationship between social support and negative affect for people who
stutter. Social support results in many benefits that help individuals to achieve
self-esteem, motivation to adjust adaptively, and to experience a sense of
belonging. Lack of such support is likely to result in heightened anxiety and
negative affect manifesting in many forms. METHOD: This study used the Symptom
Checklist--Revised (SCL-90-R) and the Significant Others Scale (SOS) to
investigate social support and its relationship to negative affect in 200 adults
who stutter, with comparisons made to 200 adults who do not stutter. Negative
affect was assessed by interpersonal sensitivity, depressive mood and anxiety.
The Significant Others Scale was used to provide an indication of the
participants' actual and ideal levels of social support. RESULTS: It was found
that (i) those participants who stuttered had significantly elevated levels of
negative affect across the SCL-90-R domains of interpersonal sensitivity,
depressive mood and anxiety; (ii) the group who stuttered was found to have lower
levels of actual and ideal social support; and (iii) those who stuttered and who
also had low social support had significantly elevated levels of negative affect.
CONCLUSION: Results highlight the potentially harmful influence that poor social
support has on mood states for adults who stutter. These findings have
implications for treatment such as the necessity to address and integrate social
support and social integration issues in the treatment process for adults who
stutter. EDUCATIONAL OBJECTIVES: The reader will be able to: (a) describe the
methodology of assessing social support using the Social Support Scale (SOS); (b)
apply the concept of assessing social support in stuttering to treatment; (c)
describe the protective contribution of helpful social support for adults who
stutter; (d) describe the relationship between social support and negative mood
states.
PMID- 24929470
TI - The impact of threat and cognitive stress on speech motor control in people who
stutter.
AB - PURPOSE: In the present study, an Emotional Stroop and Classical Stroop task were
used to separate the effect of threat content and cognitive stress from the
phonetic features of words on motor preparation and execution processes. METHOD:
A group of 10 people who stutter (PWS) and 10 matched people who do not stutter
(PNS) repeated colour names for threat content words and neutral words, as well
as for traditional Stroop stimuli. Data collection included speech acoustics and
movement data from upper lip and lower lip using 3D EMA. RESULTS: PWS in both
tasks were slower to respond and showed smaller upper lip movement ranges than
PNS. For the Emotional Stroop task only, PWS were found to show larger inter-lip
phase differences compared to PNS. General threat words were executed with faster
lower lip movements (larger range and shorter duration) in both groups, but only
PWS showed a change in upper lip movements. For stutter specific threat words,
both groups showed a more variable lip coordination pattern, but only PWS showed
a delay in reaction time compared to neutral words. Individual stuttered words
showed no effects. Both groups showed a classical Stroop interference effect in
reaction time but no changes in motor variables. CONCLUSION: This study shows
differential motor responses in PWS compared to controls for specific threat
words. Cognitive stress was not found to affect stuttering individuals
differently than controls or that its impact spreads to motor execution
processes. EDUCATIONAL OBJECTIVES: After reading this article, the reader will be
able to: (1) discuss the importance of understanding how threat content
influences speech motor control in people who stutter and non-stuttering
speakers; (2) discuss the need to use tasks like the Emotional Stroop and Regular
Stroop to separate phonetic (word-bound) based impact on fluency from other
factors in people who stutter; and (3) describe the role of anxiety and cognitive
stress on speech motor processes.
PMID- 24929471
TI - Tributes for Gene J. Brutten, Ph.D. (1928-2013).
PMID- 24929472
TI - Effect of ferrocene-substituted porphyrin RL-91 on Candida albicans biofilm
formation.
AB - Ferrocene-substituted porphyrin RL-91 exhibits antifungal activity against
opportune human pathogen Candida albicans. RL-91 efficiently inhibits growth of
both planktonic C. albicans cells and cells within biofilms without
photoactivation. The minimal inhibitory concentration for plankton form (PMIC)
was established to be 100 MUg/mL and the same concentration killed 80% of sessile
cells in the mature biofilm (SMIC80). Furthermore PMIC of RL-91 efficiently
prevents C. albicans biofilm formation. RL-91 is cytotoxic for human fibroblasts
in vitro in concentration of 10 MUg/mL, however it does not cause hemolysis in
concentrations of up to 50 MUg/mL. These findings open possibility for
application of RL-91 as an antifungal agent for external antibiofilm treatment of
medical devices as well as a scaffold for further development of porphyrin based
systemic antifungals.
PMID- 24929473
TI - Successful transradial removal of an inflated coronary stent dislodged from the
right coronary ostium.
AB - We describe our solution to the unusual situation of an inflated, large coronary
stent (3.5 mm diameter) which became dislodged from the ostium of the right
coronary artery after deployment during a transradial procedure. We discussed
tips for retrieval from the radial artery while preserving the access for
completion of the procedure.
PMID- 24929474
TI - Object and space perception - is it a matter of hemisphere?
AB - In the 1980s, following Newcombe's observations, Ungerleider and Mishkin put
forward the functional subdivision of the visual system into a ventral stream
dedicated to object perception and a dorsal stream dedicated to space perception.
Ten years after this discovery, the perception-action model re-defined the dorsal
stream as responsible for non-conscious visual guidance, and most recently a
tripartition has been suggested to account for a variety of visuospatial
functions. Here, we investigated the neural underpinnings of object and space
perception by combining the administration of the Visual Object Space Perception
(VOSP) battery with a voxel-based lesion symptom mapping (VLSM) approach in a
large sample of patients with penetrating traumatic brain injury (pTBI). First,
our results provided new support for the complementary role of both hemispheres
in object recognition. The right lateral occipital complex was found to be
critical in early perceptual discrimination, whereas more anterior temporal and
frontal regions in the left hemisphere were found to be critical in more complex
forms of object discrimination and recognition. Second, our findings confirmed
that space perception depended on the integrity of the right inferior parietal
lobule (IPL) and revealed that a network linking the right IPL with the right
premotor cortex was critical for the perception of spatial relationships in both
2D and 3D representations. Taken together, our results supported the functional
subdivision of the visual system and shed new light on the specific processes
involved along both the dorsal and the ventral streams.
PMID- 24929475
TI - Budget goal commitment, clinical managers' use of budget information and
performance.
AB - Despite the importance placed on accounting as a means to influence performance
in public healthcare, there is still a lot to be learned about the role of
management accounting in clinical managers' work behavior and their link with
organizational performance. The article aims at analyzing the motivational role
of budgetary participation and the intervening role of individuals' mental states
and behaviors in influencing the relationship between budgetary participation and
performance. According to the goal-setting theory, SEM technique was used to test
the relationships among variables. The data were collected by a survey conducted
in an Italian hospital. The results show that: (i) budgetary participation does
not directly influence the use of budget information, but the latter is
encouraged by the level of budget goal commitment which, as a result, is
influenced by the positive motivational consequences of participative budgeting;
(ii) budget goal commitment does not directly influence performance, but the
relationship is mediated by the use of budget information. This study contributes
to health policy and management accounting literature and has significant policy
implications. Mainly, the findings prove that the introduction of business-like
techniques in the healthcare sector can improve performance if attitudinal and
behavioral variables are adequately stimulated.
PMID- 24929476
TI - Environmental risk assessment of selected pharmaceuticals in Turkey.
AB - In this study, environmental risks of selected pharmaceuticals were investigated
to assess potential hazards. Ciprofloxacin, Clarithromycin, Cefuroxime axetil,
antibiotics, Benzalkoniuman antiseptic, Paracetamol, an analgesic, and Naproxen,
an anti-inflammatory, were selected due to their high rate of usage in Turkey.
Ciprofloxacin was found to have the highest risk due to its high PEC/PNEC ratio
(28.636). Benzalkonium, Paracetamol and Clarithromycin have a potential to cause
environmental hazards. The biodegradation and biological concentration factors
(BCF) of the drugs were also determined using EPA/STWIN and EPA/BCFWIN programs.
The results illustrated that these pharmaceuticals are nonbiodegradable in
wastewater treatment plants. The BCFs of Benzalkonium and Clarithromycin were
found to be very high, 70.790 L/kg and 56.490 L/kg, respectively. It was
suggested that alternative treatment methods other than biological ones should be
investigated for these pharmaceuticals because of their low biodegradability.
Also, unnecessary use of antibiotics is supposed to be discouraged to reduce
environmental hazards.
PMID- 24929477
TI - Effects of agmatine and resveratrol on RGC-5 cell behavior under light
stimulation.
AB - A light radiation causes dysfunction and death of retinal cells and leads to
degeneration. Present study, investigated the light-induced cell dysfunction, and
their activity. Further, the effects of agmatine and resveratrol on light-induced
damage and these underlying photo-oxidative and protective mechanisms were
monitored by real-time bio-impedance system. After light exposure retinal
ganglion cells underwent death in a time dependent manner. During light exposure
the cells elevate free radicals and Ca(2+), followed by nitric oxide (NO) and
tumor necrosis factor-alpha (TNF-alpha), which can be facilitated to cell demise.
The results revealed that these drugs can control the elevation of free radical,
calcium gating, NO level, and increased TNF-alpha, which could diminish cell
photo-damage. In summary, resveratrol helps more to rescue damaged cells compared
to agmatine. The proposed system suggested mechanism could meet to identify the
photo-toxic effects in retinal cells, and provides high throughput screening for
early stages photo-damage.
PMID- 24929478
TI - A simultaneous endoscopic and laparoscopic approach for management of early
iatrogenic bile duct obstruction.
AB - BACKGROUND: Bile duct occlusion secondary to inadvertent application of a
surgical clip or suture usually is managed with endoscopic or surgical
exploration. OBJECTIVE: To evaluate the safety and efficacy of a novel method of
simultaneous endoscopic and laparoscopic approach in patients with acute
iatrogenic bile duct obstruction. DESIGN: Single arm study and single center
design. SETTING: University medical center. PATIENTS: Three consecutive patients
diagnosed with complete or near-complete obstruction of a bile duct after
cholecystectomy were identified for inclusion. INTERVENTIONS: Endoscopic
retrograde cholangiopancreatography (ERCP) and laparoscopy was performed
simultaneously. Surgeon removes the surgical clips or suture from the bile duct
with concurrent ERCP by endoscopist to assess and treat bile duct injury
following resolution of the block. MAIN OUTCOME MEASUREMENTS: Technical and
clinical success rate and adverse events. RESULTS: All of the patients were seen
between 5 and 7 days after cholecystectomy. The diagnosis of obstructed bile duct
was established by ERCP. The guidewire failed to negotiate across the obstruction
in one of these patients. In another patient, a guidewire could be passed, but a
biliary stent could not be deployed across the high-grade stricture. In a third
patient, only a single biliary stent (7F * 11 cm) could be placed across the
obstruction, with significant difficulty. In all the patients, simultaneous ERCP
and laparoscopy were performed immediately to remove the surgical clips and/or
sutures from the bile duct, followed by placement of biliary stents. LIMITATIONS:
Small series. CONCLUSION: The concurrent endoscopic and laparoscopic approach for
the management of acute iatrogenic common bile duct obstruction is associated
with rapid and complete recovery.
PMID- 24929479
TI - Biliary papillomatosis: diagnosis with direct peroral cholangioscopy.
PMID- 24929481
TI - Endoscopically identified pseudomelanosis duodeni: striking yet harmless.
PMID- 24929482
TI - EUS diagnosis and endoscopic management of iatrogenic biliary stent placement
into the portal vein.
PMID- 24929480
TI - Neoplasms arising in large gastric hyperplastic polyps: endoscopic and pathologic
features.
AB - BACKGROUND: Little is known about gastric neoplasms arising from hyperplastic
polyps (HPs). OBJECTIVE: To investigate the risk factors associated with
neoplasms within HPs and to evaluate the role of alterations of the p16-cyclin D1
pRb pathway in the malignant transformation of HPs. DESIGN: Retrospective, case
control study. SETTING: Tertiary-care center. PATIENTS: Between May 1995 and
January 2011, a total of 809 HPs >1 cm were investigated. Associated neoplasms
were present in 30 HPs (case group); 30 HPs without neoplasms were selected as a
control group. INTERVENTIONS: Gastric polypectomy. MAIN OUTCOME MEASUREMENTS: The
risk factors associated with neoplasms within HPs and immunohistochemical
expression of p16, cyclin D1, p53, and Ki-67 between case and control groups.
RESULTS: Of the 809 HPs, 15 had associated dysplasia, and 15 had carcinoma.
Multivariate analysis showed that neoplasm was associated with patient age (odds
ratio [OR] 1.159; 95% confidence interval [CI], 1.243-2.044; P < .001), polyp
size (OR 1.103; 95% CI, 1.055-1.152; P < .001), and polyp lobulation (OR 4.549;
95% CI, 1.759-11.0766; P < .001) but not with location, multiplicity, intestinal
metaplasia, growth pattern, or Helicobacter pylori infection. Loss of p16
expression and high Ki-67 expression were observed in dysplastic areas of HPs
compared with the control group (p16 = 14.3% vs 60%; P = .001, Ki-67 = 60.7% vs
36.7%; P < .001). However, no significant differences were found in nondysplastic
areas in both groups. LIMITATIONS: Single-center, retrospective study.
CONCLUSION: HPs >1 cm may indicate the presence of neoplasms. Loss of p16 and
high Ki-67 expression may be markers of HP-associated dysplasia.
PMID- 24929483
TI - A novel balloon colonoscope detects significantly more simulated polyps than a
standard colonoscope in a colon model.
AB - BACKGROUND: Although standard colonoscopy is considered the optimal test to
detect adenomas, it can have a significant adenoma miss rate. A major
contributing factor to high miss rates is the inability to visualize adenomas
behind haustral folds and at anatomic flexures. OBJECTIVE: To compare the
diagnostic yield of balloon-assisted colonoscopy versus standard colonoscopy in
the detection of simulated polyps in a colon model. DESIGN: Prospective, cohort
study. SETTING: International gastroenterology meeting. SUBJECT: A colon model
composed of elastic material, which mimics the flexible structure of haustral
folds, allowing for dynamic responses to balloon inflation, with embedded
simulated colon polyps (n = 12 silicone "polyps"). INTERVENTIONS: Fifty
gastroenterologists were recruited to identify simulated colon polyps in a colon
model, first using standard colonoscopy immediately followed by balloon-assisted
colonoscopy. MAIN OUTCOME MEASUREMENTS: Detection of simulated polyps. RESULTS:
The median polyp detection rate for all simulated polyps was significantly higher
with balloon-assisted as compared with standard colonoscopy (91.7% vs 45.8%,
respectively; P < .0001). The significantly higher simulated polyp detection rate
with balloon-assisted versus standard colonoscopy was notable both for non
obscured polyps (100.0% vs 75.0%; P < .0001) and obscured polyps (88.0% vs 25.0%;
P < .0001). LIMITATIONS: Non-randomized design, use of a colon model, and
simulated colon polyps. CONCLUSION: As compared with standard colonoscopy,
balloon-assisted colonoscopy detected significantly more obscured and non
obscured simulated polyps in a colon model. Clinical studies in human
participants are being pursued to further evaluate this new colonoscopic
technology.
PMID- 24929485
TI - EUS-guided transesophageal drainage of a mediastinal abscess using a diabolo
shaped lumen-apposing metal stent.
PMID- 24929484
TI - Volatile organic compounds in bile can diagnose malignant biliary strictures in
the setting of pancreatic cancer: a preliminary observation.
AB - BACKGROUND: Ascertaining the nature of biliary strictures is challenging. The
role of volatile organic compounds (VOCs) in bile in determining the cause of
biliary strictures is not known. OBJECTIVE: To identify potential VOCs in the
headspaces (gas above the sample) of bile in patients with malignant biliary
strictures from pancreatic cancer. DESIGN: Prospective cross-sectional study.
SETTING: Referral center. PATIENTS: Prospective study in which bile was aspirated
in 96 patients undergoing ERCP for benign and malignant conditions. MAIN OUTCOME
MEASUREMENTS: Selected ion flow tube mass spectrometry (VOICE200R SIFT-MS
instrument; Syft Technologies Ltd, Christchurch, New Zealand) was used to analyze
the headspace and to build a predictive model for pancreatic cancer. RESULTS: The
headspaces from 96 bile samples were analyzed, including 24 from patients with
pancreatic cancer and 72 from patients with benign biliary conditions. The
concentrations of 6 compounds (acetaldehyde, acetone, benzene, carbon disulfide,
pentane, and trimethylamine [TMA]) were increased in patients with pancreatic
cancer compared with controls (P < .05). By using receiver-operating
characteristic curve analysis, we developed a model for the diagnosis of
pancreatic cancer based on the levels of TMA, acetone, isoprene, dimethyl
sulfide, and acetaldehyde. The model [10.94 + 1.8229* log (acetaldehyde) +
0.7600* log (acetone) - 1.1746* log (dimethyl sulfide) + 1.0901* log (isoprene) -
2.1401 * log (trimethylamine) >= 10] identified the patients with pancreatic
cancer (area under the curve = 0.85), with 83.3% sensitivity and 81.9%
specificity. LIMITATIONS: Sample size. CONCLUSIONS: The measurement of biliary
fluid VOCs may help to distinguish malignant from benign biliary strictures.
Further studies are warranted to validate these observations. (Clinical Trial
Registration Number NCT01565460.).
PMID- 24929486
TI - Successful management of distal intestinal obstruction syndrome with a jet
irrigation flushing device during colonoscopy.
PMID- 24929487
TI - Endoscopic removal of a large common bile duct stone: more than one way to skin a
cat.
PMID- 24929488
TI - Buried bumper syndrome: single-step endoscopic management and replacement.
PMID- 24929489
TI - Prevention of delayed perforation after resection of a massive lateral wall
duodenal polyp by using an anchored, fully-covered self-expandable metal stent.
PMID- 24929490
TI - Pancreatic necrosectomy by using a lumen-apposing metal stent.
PMID- 24929491
TI - Mechanical hemostasis by using the index finger during rectal endoscopic
submucosal dissection.
PMID- 24929492
TI - Per-oral endoscopic tunneling for restoration of the esophagus (POETRE).
PMID- 24929493
TI - Detection and staging of esophageal cancers within Barrett's esophagus is
improved by assessment in specialized Barrett's units.
AB - BACKGROUND: Identification and resection of mucosal abnormalities are critical in
managing dysplastic Barrett's esophagus (BE) because these areas may harbor
esophageal adenocarcinoma (EAC). OBJECTIVES: To compare mucosal lesion and EAC
detection rates in dysplastic BE in the community versus a BE unit and assess the
impact of EMR on disease staging and management. DESIGN: Prospective cohort
study. SETTING: Tertiary referral center. PATIENTS: Patients with dysplastic BE.
INTERVENTIONS: Reassessment with high-definition white-light endoscopy (HD-WLE),
narrow-band imaging (NBI), and Seattle protocol biopsies. EMR performed in
lesions thought to harbor neoplasia. Review of referral histology and
endoscopies. MAIN OUTCOME MEASUREMENTS: Mucosal lesion and EAC detection rates in
a BE unit versus the community. Impact of EMR on management. RESULTS: Sixty-nine
patients were referred (88% male; median age, 69 years). At referral, HD-WLE/NBI
use was 57%/14%, and Seattle protocol adherence was 20%. Eighteen patients had
intramucosal cancer. Lesions were detected in 65 patients in the BE unit versus
29 patients at referral (P < .001). EMR was performed in 47 patients. BE unit
assessment confirmed EAC in all 18 patients and identified 10 additional patients
(56% increased cancer detection, P = .036); all 10 had lesions identified in the
BE unit (vs 3 identified at referral). EMR in these patients found submucosal
cancer (n = 4) and intramucosal cancer (n = 6), resulting in esophagectomy (n =
4) and chemoradiotherapy (n = 1). LIMITATION: Academic center. CONCLUSION: BE
assessment at a BE unit resulted in increased lesion and EAC detection. EMR of
early cancers was critical in optimizing patient management. These data suggest
that BE unit referral be considered in patients with dysplastic BE.
PMID- 24929494
TI - Adult ileocolic intussusception: endoscopic treatment.
PMID- 24929495
TI - Endoscopic resection of subtotal and complete circumferential colonic advanced
mucosal neoplasia.
PMID- 24929496
TI - Incisionless osteotomy for contouring the skull: pinhole osteo-chipping with
irrigation for the esthetic treatment of a benign frontal osteoma.
PMID- 24929497
TI - Herceptin cardiotoxicity and delayed breast reconstruction surgery.
PMID- 24929498
TI - Dynamics and climate change mitigation potential of soil organic carbon
sequestration.
AB - When assessing soil organic carbon (SOC) sequestration and its climate change
(CC) mitigation potential at global scale, the dynamic nature of soil carbon
storage and interventions to foster it should be taken into account. Firstly,
adoption of SOC-sequestration measures will take time, and reasonably such
schemes could only be implemented gradually at large-scale. Secondly, if soils
are managed as carbon sinks, then SOC will increase only over a limited time, up
to the point when a new SOC equilibrium is reached. This paper combines these two
processes and predicts potential SOC sequestration dynamics in agricultural land
at global scale and the corresponding CC mitigation potential. Assuming that
global governments would agree on a worldwide effort to gradually change land use
practices towards turning agricultural soils into carbon sinks starting 2014, the
projected 87-year (2014-2100) global SOC sequestration potential of agricultural
land ranged between 31 and 64 Gt. This is equal to 1.9-3.9% of the SRES-A2
projected 87-year anthropogenic emissions. SOC sequestration would peak 2032-33,
at that time reaching 4.3-8.9% of the projected annual SRES-A2 emission. About 30
years later the sequestration rate would have reduced by half. Thus, SOC
sequestration is not a C wedge that could contribute increasingly to mitigating
CC. Rather, the mitigation potential is limited, contributing very little to
solving the climate problem of the coming decades. However, we deliberately did
not elaborate on the importance of maintaining or increasing SOC for sustaining
soil health, agro-ecosystem functioning and productivity; an issue of global
significance that deserves proper consideration irrespectively of any potential
additional sequestration of SOC.
PMID- 24929499
TI - Effect of geochemical properties on degradation of trichloroethylene by
stabilized zerovalent iron nanoparticle with Na-acrylic copolymer.
AB - Stable nanoscale zero-valent iron (NZVI) particles have been developed to
remediate chlorinated compounds. The degradation kinetics and efficiency of
trichloroethylene (TCE) by a commercial stabilized NZVI with Na-acrylic copolymer
(acNZVI) were investigated and compared with those by laboratory-synthesized NZVI
and carboxymethyl cellulose (CMC)-stabilized NZVI particles. Results show that
the degradation of TCE by acNZVI was faster than that by NZVI and CMC-NZVI.
Increase in temperature enhanced the degradation rate and efficiency of TCE with
acNZVI. The activation energy of TCE degradation by acNZVI was estimated to be 23
kJ/mol. The degradation rate constants of TCE decreased from 0.064 to 0.026 min(
1) with decrease in initial pH from 9.03 to 4.23. Common groundwater anions
including NO3(-), Cl(-), HCO3(-), and SO4(2-) inhibited slightly the degradation
efficiencies of TCE by acNZVI. The Na-acrylic copolymer-stabilized NZVI, which
exhibited high degradation kinetics and efficiency, could be a good remediation
agent for chlorinated organic compounds.
PMID- 24929500
TI - Assessment of the role of agricultural wastes in aggregate formation and their
stability.
AB - The purpose of this study was to evaluate the effects of three agricultural
processing wastes (APWs) on aggregate formation and aggregate stability in a
sandy loam textured soil (Typic Xerofluvent) in Antalya, Turkey. The effects of
APW applications on aggregate formation and aggregate stability were observed for
different aggregate size groups (>4; 4-2; 2-1; 1-0.5; 0.5-0.25; 0.25-0.050 and
<0.050 mm). Sugar Beet Pulp (SBP), Apple Pomace (AP) and Cotton Gin Waste (CGW)
were applied to soil as fresh material (dry weight basis 0, 10, 20 and 40 t ha(
1)), and a greenhouse pot experiment was conducted using a completely randomized
design with five replicates of each treatment. The study consisted of two
periods. The first period (P1) consisted of a six-month incubation period (1st
sample period). The second period (P2) is a six-month period and includes an
eight-week green bean (Phaseolus vulgaris L.) growing process (2nd sample
period). At the end of the first six months and fourteen months in total,
aggregate formation and aggregate stability were determined and their correlation
to different C sources was explained. At the end of the experiment, formation of
aggregates was increased with increase in the application level of organic wastes
in particular intermediate aggregates. Increase in the incubation time
significantly enhanced the formation of particular macroaggregates. Soil
aggregate stability of all aggregate sizes generally increased with the
increasing in the level of implementation. In addition, incubation time effects
on aggregate stability for macroaggregates were not significant, but significant
for macro and microaggregates.
PMID- 24929501
TI - Investigations of metal leaching from mobile phone parts using TCLP and WET
methods.
AB - Metal leaching from landfills containing end-of-life or otherwise discarded
mobile phones poses a threat to the environment as well as public health. In the
present study, the metal toxicity of printed wire boards (PWBs), plastics, liquid
crystal displays (LCDs) and batteries of mobile phones was assessed using the
Toxicity Characteristics Leaching Procedures (TCLP) and the Waste Extraction Test
(WET). The PWBs failed TCLP for Pb and Se, and WET for Pb and Zn. In WET, the two
PWB samples for Pb and Zn and the battery samples for Co and Cu failed the test.
Furthermore, the PWBS for Ni and the battery samples for Ni and Co failed the WET
in their TCLP leachates. Both, Ni and Co are the regulatory metals in only WET
and not covered under TCLP. These observations indicate that the TCLP seems to be
a more aggressive test than the WET for the metal leaching from the mobile phone
parts. The compositional variations, nature of leaching solution (acetate in TCLP
and citrate in WET) and the redox conditions in the leaching solution of the PWBs
resulted in different order of metals with respect to their amounts of leaching
from PWBs in TCLP (Fe > Pb > Zn > Ni > Co > Cu) and WET (Zn > Fe > Ni > Pb > Cu).
The metal leaching also varied with the make, manufacturing year and part of the
mobile phone tested. PWBs, plastics and batteries should be treated as hazardous
waste. Metal leaching, particularly of Se and Pb, from mobile phones can be
harmful to the environment and human health. Therefore, a scientifically sound
and environmentally safe handling and disposal management system needs to be
evolved for the mobile phone disposal.
PMID- 24929502
TI - Effect of cation type, alkyl chain length, adsorbate size on adsorption kinetics
and isotherms of bromide ionic liquids from aqueous solutions onto microporous
fabric and granulated activated carbons.
AB - The adsorption from aqueous solution of imidazolium, pyrrolidinium and pyridinium
based bromide ionic liquids (ILs) having different alkyl chain lengths was
investigated on two types of microporous activated carbons: a fabric and a
granulated one, well characterized in terms of surface chemistry by "Boehm"
titrations and pH of point of zero charge measurements and of porosity by N2
adsorption at 77 K and CO2 adsorption at 273 K. The influence of cation type,
alkyl chain length and adsorbate size on the adsorption properties was analyzed
by studying kinetics and isotherms of eight different ILs using conductivity
measurements. Equilibrium studies were carried out at different temperatures in
the range [25-55 degrees C]. The incorporation of ILs on the AC porosity was
studied by N2 adsorption-desorption measurements at 77 K. The experimental
adsorption isotherms data showed a good correlation with the Langmuir model.
Thermodynamic studies indicated that the adsorption of ILs onto activated carbons
was an exothermic process, and that the removal efficiency increased with
increase in alkyl chain length, due to the increase in hydrophobicity of long
chain ILs cations determined with the evolution of the calculated octanol-water
constant (Kow). The negative values of free energies indicated that adsorption of
ILs with long chain lengths having hydrophobic cations was more spontaneous at
the investigated temperatures.
PMID- 24929503
TI - Studying functional properties of hydrogel and silicone-hydrogel contact lenses
with PALS, MIR and Raman spectroscopy.
AB - Determination of free volume holes of the hydrogel and silicone-hydrogel polymer
contact lenses were investigated. Two types of polymer contact lenses were used
as materials: the first is a hydrogel contact lenses Proclear family (Omafilcon
A), while the second is a silicone-hydrogel contact lens of the family Biofinity
(Comfilcon A). Positron annihilation lifetime spectroscopy PALS was used to
characterize geometrical sizes and fraction of the free volume holes in the
investigated samples. There is a clear difference in the free volume sizes and
their fractions between silicone-hydrogel and polymer hydrogel contact lenses
which in turn are connected with oxygen permeability in these lenses. Apart from
that, spectroscopic (middle infrared) MIR and Raman examinations were carried out
in order to demonstrate the differences of the water content in the test contact
lenses.
PMID- 24929504
TI - Using an Ecosystem Approach to complement protection schemes based on organism
level endpoints.
AB - Radiation protection goals for ecological resources are focussed on ecological
structures and functions at population-, community-, and ecosystem-levels. The
current approach to radiation safety for non-human biota relies on organism-level
endpoints, and as such is not aligned with the stated overarching protection
goals of international agencies. Exposure to stressors can trigger non-linear
changes in ecosystem structure and function that cannot be predicted from effects
on individual organisms. From the ecological sciences, we know that important
interactive dynamics related to such emergent properties determine the flows of
goods and services in ecological systems that human societies rely upon. A
previous Task Group of the IUR (International Union of Radioecology) has
presented the rationale for adding an Ecosystem Approach to the suite of tools
available to manage radiation safety. In this paper, we summarize the arguments
for an Ecosystem Approach and identify next steps and challenges ahead pertaining
to developing and implementing a practical Ecosystem Approach to complement
organism-level endpoints currently used in radiation safety.
PMID- 24929505
TI - Study of indoor radon distribution using measurements and CFD modeling.
AB - Measurement and/or prediction of indoor radon ((222)Rn) concentration are
important due to the impact of radon on indoor air quality and consequent
inhalation hazard. In recent times, computational fluid dynamics (CFD) based
modeling has become the cost effective replacement of experimental methods for
the prediction and visualization of indoor pollutant distribution. The aim of
this study is to implement CFD based modeling for studying indoor radon gas
distribution. This study focuses on comparison of experimentally measured and CFD
modeling predicted spatial distribution of radon concentration for a model test
room. The key inputs for simulation viz. radon exhalation rate and ventilation
rate were measured as a part of this study. Validation experiments were performed
by measuring radon concentration at different locations of test room using active
(continuous radon monitor) and passive (pin-hole dosimeters) techniques. Modeling
predictions have been found to be reasonably matching with the measurement
results. The validated model can be used to understand and study factors
affecting indoor radon distribution for more realistic indoor environment.
PMID- 24929506
TI - Uncertainty related to input parameters of (137)Cs soil redistribution model for
undisturbed fields.
AB - This study presents an alternative method to empirically establish the effective
diffusion coefficient and the convective velocity of (137)Cs in undisturbed
soils. This approach offers the possibility to improve the parameterisation and
the accuracy of the (137)Cs Diffusion and Migration Model (DMM) used to assess
soil erosion magnitudes. The impact of the different input parameters of this
radiometric model on the derived-soil redistribution rates has been determined
for a Romanian pastureland located in the northwest extremity of the
Transylvanian Plain. By fitting the convection-diffusion equation to the
available experimental data, the diffusion coefficient and convection velocity of
(137)Cs in soil could be determined; 72% of the (137)Cs soil content could be
attributed to the (137)Cs fallout originating from Chernobyl. The medium-term net
erosion rate obtained with the calculated input parameters reached -6.6 t ha(-1)
yr(-1). The model highlights great sensitivity to parameter estimations and the
calculated erosion rates for undisturbed landscapes can be highly impacted if the
input parameters are not accurately determined from the experimental data set.
Upper and lower bounds should be established based on the determined uncertainty
budget for the reliable estimates of the derived redistribution rates.
PMID- 24929507
TI - Comparison of modern pollen distribution between the northern and southern parts
of the South China Sea.
AB - The authors conducted a palynological analysis based on different number of air
pollen samples for the northern and southern parts of the South China Sea,
respectively, in order to give a reference to reconstruct the paleoclimate of the
area. (1) Fifteen air pollen samples were collected from the northern part of the
South China Sea from August to September 2011, and 13 air pollen samples were
collected from the southern part of the South China Sea in December 2011. The
pollen types were more abundant in the north than in the south. The total pollen
number and concentration in the north was 10 times more than that in the south,
which may be because of the sampling season. Airborne pollen types and
concentrations have a close relationship with wind direction and distance from
the sampling point to the continent. (2) Seventy-four samples were collected from
surface sediments in the northern part of the South China Sea in the autumn.
Thirty-three samples were collected from surface sediments in the southern part
of the South China Sea in the winter. Pollen concentrations in the north were
nearly 10 times higher than that in the south. This is because trilete spores are
transported by rivers from Hainan Island to the sea and also by the summer
monsoon-forced marine current. (3) Ten air pollen samples and 10 surface
sediments samples were selected for comparison. The pollen and spores in the air
were mainly herbaceous and woody pollen, excluding fern spores, having seasonal
pollen characteristics. Pollen in the surface sediments were mainly trilete,
Pinus, and herbaceous, and may also show a combination of annual pollen
characteristics.
PMID- 24929508
TI - [Progress in early pancreas development and reprogramming of terminally
differentiated cells into beta cells].
AB - Type 1 diabetes mellitus (T1DM) is an autoimmune disease in which the immune
system attacks insulin-secreting beta cells, thus leading to an absolute
deficiency of insulin. Patients must rely on exogenous insulin, which cannot
effectively prevent diabetes complications. Generation of insulin-secreting cells
by reprogramming of pluripotent stem cells or somatic cells is a potential
approach for the treatment of T1DM. These cells can be used for cell therapy and
drug screening, and may eventually provide a cure for the disease. Significant
progress has been made in generating insulin-secreting cells through the
expression of beta cell specific transcription factors in stem cells or somatic
cells. In this review, we summarize recent research progress in early pancreas
development, beta cell specific transcription factors and reprogramming of
terminally differentiated cells into beta cells.
PMID- 24929509
TI - [The molecular mechanism of necroptosis].
AB - Programmed necrosis called necroptosis, is different from traditional necrosis
and apoptosis, it has attracted considerable attention over the last few years.
Necroptosis can be initiated through many factors such as tumor necrosis factor
receptor (TNFR) or pattern recognition receptor (PRR), and receptor-interacting
protein (RIP) 1 and 3 are two key proteins during the process. A lot of molecules
have been characterized as modulators and effectors of necroptosis, including
poly(ADP-ribose) polymerase (PARP-1), reactive oxygen species (ROS), Ca(2+),
which can destruct mitochondria or other organelles and induce cell dead through
caspase-independent pathway. Then, damage-associated molecular pattern (DAMP)
molecules were released from necroptosis cells, recognized and internalized by
phagocytes. Here, we briefly discuss the initiation and execution of necroptosis
and the clearance of death cells.
PMID- 24929510
TI - [Role of genes and their cis-regulatory elements during animal morphological
evolution].
AB - Cis-regulatory hypothesis is one of the most important theories in evolutionary
developmental biology (evo-devo), which claims that evolution of cis-regulatory
elements (CREs) plays a key role during evolution of morphology. However, an
increasing number of experimental results show that cis-regulatory hypothesis
alone is not far enough to explain the complexity of evo-devo processes. Other
modifications, including mutations of protein coding, gene and genome
duplications, and flexibility of homeodomains and CREs, also cause the
morphological changes in animals. In this review, we retrospect the recent
results of evolution of CREs and genes associated with CREs and discuss new
methods and trends for research in evo-devo.
PMID- 24929511
TI - [Structure and biological functions of plant FKBP family].
AB - FK506-binding proteins (FKBPs) are well known as both the receptor for the
immunosuppressant drug FK506 and the prolyl isomerase (PPIase) enzyme. FKBPs are
widely and constitutively expressed, and highly conserved during evolution. In
higher plants, FKBPs usually form a relative large and diverse family compared
with that in other eukaryotes, and serve as important molecular chaperones that
interact with specific protein partners to regulate a diversity of cellular
processes which mainly influence the plant development and stress responding.
More recently, studies discovered a series of new interacting partners of FKBPs,
which implicate FKBPs in gene expression regulation and photosynthetic
adaptation. This review mainly focuses on the structural characteristics,
classification, and the latest discoveries in the physiological functions of
FKBPs in higher plants.
PMID- 24929512
TI - [Molecular mechanisms of SNARE proteins in regulating autophagy].
AB - Autophagy is a self-protective mechanism that degrades inner and outer invaded
substances to sustain self-maintenance. SNARE (Soluble N-ethylmaleimide-sensitive
factor attachment protein receptors) hypothesis suggests important roles of SNARE
proteins in the cellular activities of substance transport and specific membrane
fusion, unveiling the molecular mechanisms of normal and physiological activities
within a cell. Since autophagy involves membrane fusions from the birth of
autophagosomes to the formation of autolysosomes, we review recent research
progress on the roles of SNARE proteins in regulating autophagy.
PMID- 24929513
TI - [Screening of azoospermia factor microdeletions on Y chromosome in infertile men
by QF-PCR].
AB - To assess the application of quantitative fluorescent polymerase chain reaction
(QF-PCR) on rapid screening of azoospermia factor (AZF) microdeletions, 1218
infertile men with non-obstructive azoospermia or oligospermia were detected for
9 sequence tagged sites (STSs) in AZF region by multiplex QF-PCR combined with
capillary electrophoresis. AMEL (amelogenin) as well as SRY (sex-determining
region of Y chromosome) located on short arm of sex chromosome was selected as
internal control. Karyotyping was performed on Giemsa-banded metaphase
chromosomes of peripheral blood lymphocytes. Of the 1218 patients, 105 (8.62%)
were identified as AZF microdeletions. Deletion of AZFc (67.62%) was the most
frequent, followed by deletion of AZFb,c (20.95%), AZFb (7.62%) and AZFa (3.81%).
Five patients presented with deletions of both AZFa,b,c and AMEL-Y, indicating
sex reversal which was confirmed to be 46,XX by karyotyping. Among the 105
patients with AZF microdeletions, 16 were karyotyped as chromosomal anomalies,
most commonly 46,XY,Yqh- (75%, 12/16). In addition, of the total 1218 patients
examined, 86 patients showed abnormal AMEL-X/AMEL- Y ratio, suggesting a
possibility of sex chromosome anomalies, and 68 of them were verified as sex
chromosome aneuploid by karyotyping. Multiplex QF-PCR is capable to detect all
markers in one reaction and is also suggestive for sex chromosome anomalies. It
could serve as an effective technique for screening Y-microdeletions, and thus
have general application in diagnosis and treatment of male infertility.
PMID- 24929514
TI - [Identification of chromosomal aberration in esophageal cancer cells by mixed BAC
DNA probes of chromosome arms and regions].
AB - Chromosomal aberration is an important genetic feature of malignant tumor cells.
This study aimed to clarify whether BAC DNA could be used to identify chromosome
region and arm alterations. For each chromosome region, five to ten 1 Mb BAC DNA
clones were selected to construct mixed BAC DNA clones for the particular region.
All of the mixed clones from regions which could cover the whole chromosome arm
were then mixed to construct mixed BAC DNA clones for the arms. Mixed BAC DNA
probes of arms and regions were labeled by degenerate oligonucleotide primed PCR
(DOP-PCR) and Nick translation techniques, respectively. The specificities of
these probes were validated by fluorescence in situ hybridization (FISH) on the
metaphase chromosomes of normal human peripheral blood lymphocytes. FISH with arm
specific mixed BAC DNA probes showed that chromosomal rearrangements and involved
chromosome arms were confirmed in several esophageal cancer cells. By using
region-specific mixed probes, the breakpoint on 1q from the derivative chromosome
t(1q;7q) was identified in 1q32-q41 in esophageal KYSE140 cells. In conclusion,
we established an effective labeling method for 1 Mb BAC DNA mixed clone probes,
and chromosome arm and region rearrangements could be identified in several
esophageal cancer cells by using these probes. Our study provides a more precise
method for identification of chromosomal aberration by M-FISH, and the
established method may also be applied to the karyotype analysis of hematological
malignancies and prenatal diagnosis.
PMID- 24929515
TI - [Blueberry anthocyanins induce G2/M cell cycle arrest and apoptosis of oral
cancer KB cells through down-regulation methylation of p53].
AB - Blueberries are an excellent source of dietary polyphenols such as anthocyanins
and phenolic acids. In this study, we investigated the ability of anthocyanins
from the wild blueberries of Inner Mongolia to suppress the growth of the oral
cancer cell line KB. The blueberry anthocyanins were extracted with methanol
containing 0.1% (v/v) hydrochloric acid. Fourteen unique anthocyanins were
identified using high-performance liquid chromatography-mass spectrometry (HPLC
MS). The anticancer bioactivity of the extracts on KB cells was analyzed using
methylthiazolyl-tetrazolium (MTT), flow cytometry (FCM) and immunocytochemistry.
It was shown that the blueberry anthocyanins suppressed the proliferation of KB
cells in a dose-dependent manner, as well as induced G2/M cell cycle arrest and
apoptosis of oral cancer KB cells. Immunocytochemistry analysis showed that the
expression of caspase-9 and cytochrome c were obviously increased after the
anthocyanins treatment. Western blot analysis also indicated that the expression
of p53 was increased. Methylation-specific PCR (MSP) showed that the amount of
unmethylated p53 increased, indicating that the anthocyanins can down-regulate
the methylation of p53.
PMID- 24929516
TI - [Differentially expressed genes identified in the main olfactory epithelium of
mice with deficiency of adenylate cyclase 3 by using suppression subtractive
hybridization approach].
AB - Adenylate cyclase 3 (AC3) is one of the major players in the olfactory signaling
within the main olfactory epithelium (MOE) of mice. However, we are not
ascertained whether deficiency of AC3 will lead to the differential expression of
related genes in the MOE. Forward and reverse subtractive libraries were
constructed by suppression subtractive hybridization (SSH) approach, with MOEs
from AC3(-/-) and AC3(+/+) mice. These two libraries were primarily screened by
Dot blot, differential expressed clones were sequenced and analyzed by
bioinformatics, and differential expressed genes were verified by qRT-PCR. A
total of 386 differentially expressed clones were picked out after Dot blot. The
DNA sequences of 80 clones randomly selected were determined, and 62 clones were
identified by blasting in GenBank. We found that 24 up-regulated clones were
corresponded to genes of kcnk3, mapk7, megf11, and 38 down-regulated clones were
corresponded to tmem88b, c-mip, skp1a, mlycd, etc. Their functions were annotated
with Gene Ontology (GO) and found to be mainly focused on molecular binding, cell
cycle, processes of biology and cells. Five genes (kcnk3, c-mip, mlycd, tmem88b
and trappc5) were verified by qRT-PCR with individuals of AC3(+/+) and AC3(-/-)
mice. The data indicate that kcnk3 gene is up-regulated significantly, increasing
1.27 folds compared to control mice, whereas c-mip, mlycd, tmem88b and trappc5
are down-regulated significantly, decreasing 20%, 7%, 32% and 29% compared to the
AC3(+/+)mice. The functions of these genes are closely related with K(+)
channels, cell differentiation, metabolism of fats, membrane transportation, and
so on. It is tempting to speculate that these genes might work together with AC3
to orchestrate the olfactory transduction signaling in the MOE.
PMID- 24929517
TI - [Cloning and functional validation of promoter of mo-molybdopterin cofactor
sulfurase gene in maize].
AB - To overcome the problems caused by the over-expression of exogenous genes under
the control of constitutive promoters, the promoter (ABA3s) sequence of maize
(Zea mays) mo-molybdopterin cofactor sulfurase gene (ABA3) was cloned
homologously, analyzed for its abiotic stress-responsive elements by the
PlantCARE software, and detected for differential expression of the ABA3 gene
under the abiotic stresses by real-time quantitative PCR. Then, this promoter was
used to construct expression vector to start GUS (beta-glucuronidase) gene, and
transform maize calli by biolistics. After identification by histochemical
staining, the ratio of the GUS activity relative to the luciferase activity
(internal control) (GUS/LUC) was measured under the stresses of hypertonic, high
salt, low temperature, and the induction of ABA, and used to evaluate the
activity of the ABA3s promoter in response to abiotic stresses. The results
showed that the ABA3 gene was differentially expressed under the stress of
simulative drought, low temperature, high temperature, high salt, and the
induction of ABA and ethylene, indicating that the promoter (ABA3s) of this gene
is induced by abtiotic stress. The sequence analysis showed that the ABA3s
promoter is 777 bp long, and contains abiotic stress-responsive elements ARE,
HSE, MBS, TGA and circadian. The transformed calli by the expression vector of
the GUS gene under the control of the ABA3s promoter showed positive in GUS
detection in response to the abiotic stresses of drought, low temperature, high
temperature, high salt, and the induction of ABA and ethylene. The GUS/LUC ratio
was six folds higher than the blank control under the hypertonic stress of 8%
mannitol. It is concluded that the promoter ABA3s is inducible in response to
abiotic stresses, and might be applied to transgenic research of maize for
abiotic tolerance after further functional evaluation.
PMID- 24929519
TI - [Structure and function of class 1 integron in clinical isolates of Klebsiella
pneumoniae].
AB - To investigate molecular mechanism of multi-resistance of Klebsiella pneumoniae
and its spreading, 179 strains isolated from different clinical samples in the
period of 2002-2007 with serious resistance to 14 anti-bacterial agents were
examined. Among them, 118 (65.9%) were resistant to at least two anti-bacterial
agents; 36.3% (65/179) were found to contain class 1 integrons. There was a
significant difference for resistance rate between the integron positive and the
negative groups, especially for antimicrobial agents of aminoglycosides,
quinolones and sulfonamides (P<0.01). Gene cassette structures of the class 1
integrons in these bacteria were analyzed and their resistance genes were further
cloned and tested for antibiotic resistance activities. Fifteen gene cassettes
were identified with dfrA17-aadA5 being the most popular form. Three recombinant
plasmids pET28a-dhfr17, pET28a-dhfr17-orfF and pET28a-dhfr17-orfF-aadA2 were
cloned from a gene cassette of dhfr17-orfF-aadA2. When introduced into a
recipient E. coli strain BL21, all of them rendered resistance to co-trimoxazole,
with minimum inhibitory concentration (MIC) value up to 256 ug/uL. The E. coli
BL21 carrying pET28a- dhfr17 or pET28a-dhfr17-orfF had the same MIC value of 8
ug/uL to streptomycin as the recipient strain without plasmid. However, the E.
coli carrying pET28a-dhfr17-orfF-aadA2 was resistant to streptomycin with MIC
level up to 256 ug/uL. In conclusion, class 1 integrons were regularly identified
in Klebsiella pneumoniae. They mainly carry resistance genes against
antimicrobial agents of aminoglycosides and sulfonamide. Transferable plasmid
carrying integrons with resistance genes may play an important role in resistance
spreading among bacterial species.
PMID- 24929518
TI - [Cloning of tae-MIR156 precursor gene and sequence polymorphisms of tae-miR156
targeted TaSPL17].
AB - Squamosa-promoter binding protein (SBP)-box genes, encoding plant-specific
transcription factors, play an important role in plant development. Some members
of the SBP-box gene family are regulated by miR156. In this study, we cloned the
tae-MIR156 precursor gene, which could form a stem loop after its transcription.
Sequence analysis showed that TaSPL3 and TaSPL17 had putative targets of tae
miR156 among the ten wheat SBP-box genes. The diploid donor species of hexaploid
common wheat (Triticum aestivum, genome AABBDD), i.e., Triticum urartu (AA) UR209
and Aegilops speltoides Y2001 (SS, closely related to BB) possessed more than one
copy of SPL17 (SPL17-A1, SPL17-A2 and SPL17-A3 from Triticum urartu; SPL17-B1,
SPL17-B2 and SPL17-B3 from Aegilops speltoides), while Aegilops tauschii (DD)
Ae38 only possessed one (SPL17-D). The identities between nucleotide sequences of
SPL17-A2 and SPL17-B2, SPL17-A3 and SPL17-B3 or SPL17-D were higher than 99%.
They were highly similar with the sequence of TaSPL17 in common wheat cultivars
Chinese Spring, Hengguan 35 and Shuangfengshou. These genes might originate from
a common ancestor and were highly conserved in the process of evolution. The
target site of tae-miR156 in TaSPL17 was also highly conserved in two subgroups
consisted of accessions with diverse spike number per plant and genetic
background.
PMID- 24929520
TI - [Elucidation of key genes in sex determination in genetics teaching].
AB - Sex is an important and complex feature of organisms, which is controlled by the
genetic and environmental factors. The genetic factors, i.e., genes, are vital in
sex determination. However, not all the related genes play the same roles, and
some key genes play a vital role in the sex determination and differentiation.
With the development of the modern genetics, a great progress on the key genes
has been made in sex determination. In this review, we summarize the mechanism of
sex determination and the strategy of how to study the key genes in sex
determination. It will help us to understand the mechanism of sex determination
better in the teaching of genetics.
PMID- 24929521
TI - [Automatic analysis pipeline of next-generation sequencing data].
AB - The development of next-generation sequencing has generated high demand for data
processing and analysis. Although there are a lot of software for analyzing next
generation sequencing data, most of them are designed for one specific function
(e.g., alignment, variant calling or annotation). Therefore, it is necessary to
combine them together for data analysis and to generate interpretable results for
biologists. This study designed a pipeline to process Illumina sequencing data
based on Perl programming language and SGE system. The pipeline takes original
sequence data (fastq format) as input, calls the standard data processing
software (e.g., BWA, Samtools, GATK, and Annovar), and finally outputs a list of
annotated variants that researchers can further analyze. The pipeline simplifies
the manual operation and improves the efficiency by automatization and parallel
computation. Users can easily run the pipeline by editing the configuration file
or clicking the graphical interface. Our work will facilitate the research
projects using the sequencing technology.
PMID- 24929522
TI - The critical role of didodecyldimethylammonium bromide on physico-chemical,
technological and biological properties of NLC.
AB - Exploiting the experimental factorial design and the potentiality of Turbiscan AG
Station, we developed and characterized unmodified and DDAB-coated NLC prepared
by a low energy organic solvent free phase inversion temperature technique. A 22
full factorial experimental design was developed in order to study the effects of
two independent variables (DDAB and ferulic acid) and their interaction on mean
particle size and zeta potential values. The factorial planning was validated by
ANOVA analysis; the correspondence between the predicted values of size and zeta
and those measured experimentally confirmed the validity of the design and the
equation applied for its resolution. The DDAB-coated NLC were significantly
affected in their physico-chemical properties by the presence of DDAB, as showed
by the results of the experimental design. The coated NLC showed higher physical
stability with no particles aggregation compared to the unmodified NLC, as
demonstrated by Turbiscan((r)) AGS measurements. X-ray diffraction, Raman
spectroscopy and Cryo-TEM images allowed us to assert that DDAB plays a critical
role in increasing the lipids structural order with a consequent enhancement of
the NLC physical stability. Furthermore, the results of the in vitro biological
studies allow the revisiting of the role of DDAB to the benefit of glioblastoma
treatment, due to its efficacy in increasing the NLC uptake and reducing the
viability of human glioblastoma cancer cells (U87MG).
PMID- 24929523
TI - Analytical characterization and purification of a commercial extract of enzymes:
a case study.
AB - This paper presents a rational strategy to identify and quantify the components
of a commercial extract of the lipase B of Candida antarctica that can be
extended to the analytical investigation of other crude extracts of enzymes.
These information provided the fundamental knowledge for the development of a
methodology to obtain highly pure and catalytically active CALB enzyme. The
commercial extract Lipozyme((r)) was subjected to a series of analytical
techniques that allowed determining the presence of a non-soluble fraction;
nucleic acids; benzoate and sorbate species and a mixture of three proteins.
Particularly, it is worth noticing that the Bradford assay using CALB as standard
instead of BSA proved to be a more reliable and accurate methodology to quantify
the protein content of the assayed enzymatic samples. Size exclusion
chromatography coupled with anionic exchange chromatography using a non
conventional, easy to remove buffer system such as ammonia-ammonium acetate
afforded a sample that retains 47% of the proteins (being CALB the only enzymatic
component of the purified sample) with a hydrolytic activity higher than the
crude extract.
PMID- 24929524
TI - Phenylboronic acid polymer brush-enabled oriented and high density antibody
immobilization for sensitive microarray immunoassay.
AB - There still has a big challenge for low-abundance protein detection with antibody
microarrays. In this work, phenylboronic acid (PBA) polymer brush-enabled
oriented, high density, and covalent antibody immobilization was realized for
sensitive antibody microarrays. PBA-enabled oriented antibody attachment via
carbohydrate at Fc portion keeps antigen-binding sites fully expose to their
corresponding antigens, resulting in higher antibody-antigen (Ab-Ag) binding
efficiency. Sandwich immunoassay with rabbit IgG as model analyte was performed
on poly(glycidyl methacrylate)-amino-phenylboronic acid-coated glass slide (PGMA
APBA-slide). One order improvement of LOD was achieved as compared with that on
poly(glycidyl methacrylate) glass slide (PGMA-slide). The improvement is mainly
attributed to PBA-assisted high density and oriented antibody immobilization.
This work provides a versatile and effective strategy to develop high sensitive
antibody microarrays for low-abundance protein analysis in various proteomic
applications.
PMID- 24929525
TI - The expression of the multiple splice variants of AQP8 in porcine testes at
different developmental stages.
AB - Aquaporin 8 (AQP8) may be involved in cytoplasmic condensation and fluid
formation in the vas deferens during the process of spermiogenesis. In the
present study, using reverse transcription polymerase chain reaction (RT-PCR)
combined with DNA sequencing technology, the splice isoforms of AQP8 post
transcriptionally formed by alternative splicing in porcine testis at various
developmental stages were analysed, and the differences in the amino acid
sequences encoded by the splice isoforms were compared. The results showed that a
total of three splice isoforms of AQP8 mRNA, including full-length mRNA, were
produced via alternative splicing in porcine testes at the four developmental
stages. Because the number of bases removed during splicing was not an integer
multiple of 3, the amino acid sequence and protein structure of AQP8 were
significantly altered after alternative splicing.
PMID- 24929526
TI - Thermoluminescence dosimetry properties and kinetic parameters of lithium
potassium borate glass co-doped with titanium and magnesium oxides.
AB - Lithium potassium borate (LKB) glasses co-doped with TiO2 and MgO were prepared
using the melt quenching technique. The glasses were cut into transparent chips
and exposed to gamma rays of (60)Co to study their thermoluminescence (TL)
properties. The TL glow curve of the Ti-doped material featured a single
prominent peak at 230 degrees C. Additional incorporation of MgO as a co
activator enhanced the TL intensity threefold. LKB:Ti,Mg is a low-Z material
(Z(eff)=8.89) with slow signal fading. Its radiation sensitivity is 12 times
lower that the sensitivity of TLD-100. The dose response is linear at doses up to
10(3) Gy. The trap parameters, such as the kinetics order, activation energy, and
frequency factor, which are related to the glow peak, were determined using
TolAnal software.
PMID- 24929528
TI - DrugTargetSeqR: a genomics- and CRISPR-Cas9-based method to analyze drug targets.
AB - To identify physiological targets of drugs and bioactive small molecules, we
developed an approach, named DrugTargetSeqR, which combines high-throughput
sequencing, computational mutation discovery and clustered regularly interspaced
short palindromic repeats (CRISPR)-Cas9-based genome editing. We applied this
approach to ispinesib and YM155, drugs that have undergone clinical trials as
anticancer agents, and uncovered mechanisms of action and identified genetic and
epigenetic mechanisms likely to cause drug resistance in human cancer cells.
PMID- 24929527
TI - Small-molecule modulation of Ras signaling.
AB - Despite intense efforts in pharmaceutical industry and academia, a therapeutic
grip on oncogenic Ras proteins has remained elusive. Mutated Ras is associated
with ~20-30% of all human cancers often not responsive to established therapies.
In particular, K-Ras, the most frequently mutated Ras isoform, is considered one
of the most important but 'undruggable' targets in cancer research. Recently, new
cavities on Ras for small-molecule ligands were identified, and selective direct
targeting of mutated K-Ras(G12C) has become possible for what is to our knowledge
the first time. In addition, impairment of Ras spatial organization, in
particular via targeting the prenyl-binding Ras chaperone PDEdelta, has opened a
fresh perspective in anticancer research. These recent advances fuel hopes for
the development of new drugs targeting Ras.
PMID- 24929530
TI - Interaction of fengycin with stratum corneum mimicking model membranes: a
calorimetry study.
AB - Based on its outstanding antifungal properties, it is reasonable to believe that
fengycin might be efficient to topically treat localized dermatomycoses. Since
most of the fungi species involved in the formation of those mycotic skin
diseases colonize primarily the stratum corneum (SC), studying the interaction
between fengycin and SC-mimicking lipid membranes is a primary step to determine
the potential of fengycin to overcome the physical barrier of the skin. In this
respect, multilamellar lipid vesicles (MLVs), with a lipid composition mimicking
that of the SC, were prepared and characterized by differential scanning
calorimetry (DSC). The critical micelle concentration (CMC) of fengycin was also
assessed under skin conditions and found to be 1.2+/-0.1MUM. The molecular
interactions of fengycin with SC-mimicking MLVs were investigated by both DSC and
isothermal titration calorimetry (ITC). Results showed that the interactions were
considerably affected by changes in lipid phase behaviour. At 40 degrees C and
below, fengycin induced exothermic changes in the lipid structures suggesting
that less-ordered lipid domains became more-ordered in presence of fengycin. At
60 degrees C, clearly endothermic interaction enthalpies were observed, which
could arise from the "melting" of remaining solid domains enriched in high
melting lipids that without fengycin melt at higher temperatures.
PMID- 24929529
TI - DNA sequencing and CRISPR-Cas9 gene editing for target validation in mammalian
cells.
AB - Identification and validation of drug-resistant mutations can provide important
insights into the mechanism of action of a compound. Here we demonstrate the
feasibility of such an approach in mammalian cells using next-generation
sequencing of drug-resistant clones and CRISPR-Cas9-mediated gene editing on two
drug-target pairs, 6-thioguanine-HPRT1 and triptolide-ERCC3. We showed that
disrupting functional HPRT1 allele or introducing ERCC3 point mutations by gene
editing can confer drug resistance in cells.
PMID- 24929531
TI - Intercellular pH-responsive histidine modified dextran-g-cholesterol micelle for
anticancer drug delivery.
AB - Herein, the micelles based on histidine modified dextran-g-cholesterol (HDC) were
successfully prepared which exhibited excellent pH-responsive behavior in acidic
aqueous solution (pH<6, within the range of malignant cellular endosome). Taking
advantage of this pH-sensitivity in acidic conditions, doxorubicin (DOX), a model
anticancer drug, was effectively loaded into the micelles via hydrophobic
interactions. The DOX release from all DOX-loaded micelles was accelerated in
acid conditions mimicking the endosomal/lysosomal compartments. The enhanced
intracellular DOX release was also observed in MCF-7 cells. DOX-loaded pH
sensitive micelles showed higher cellular proliferation inhibition toward MCF-7
cells than that of pH-insensitive micelles. These features suggested that the
micelles could efficiently load and deliver DOX into tumor cells, which can
enhance the inhibition of cellular proliferation in vitro, providing a powerful
mean for delivering and releasing cargoes at the tumor sites.
PMID- 24929532
TI - Transcranial magnetic motor evoked potentials in Great Danes with and without
clinical signs of cervical spondylomyelopathy: association with neurological
findings and magnetic resonance imaging.
AB - Transcranial magnetic motor evoked potentials (TMMEPs) assess the functional
integrity of the descending motor pathways, which are typically compromised in
canine cervical spondylomyelopathy (CSM). The objective of this prospective study
was to establish the reference ranges of TMMEP latency and amplitude in
clinically normal (control) Great Danes (GDs), compare TMMEPs obtained in GDs
with and without CSM, and determine whether there is any association between
TMMEP data and severity of neurological signs or magnetic resonance imaging (MRI)
findings. Twenty-nine client-owned GDs were enrolled (15 controls, 14 CSM
affected). All dogs underwent TMMEPs under sedation, and latencies and amplitudes
were recorded from the extensor carpi radialis (ECR) and cranial tibial (CT)
muscles. MRI of the cervical vertebral column was performed to evaluate the
presence and severity of spinal cord (SC) compression, and the presence of SC
signal changes. ECR and CT latencies were significantly longer in CSM-affected
than control GDs. No significant differences between groups were found for
amplitudes or neuronal path lengths. For the CT TMMEPs, CSM-affected GDs with
moderate and severe clinical signs had significantly longer latencies than those
with mild clinical signs. Significantly longer CT latencies were found in dogs
with moderate and severe SC compression compared with dogs with mild compression.
CT TMMEPs could not be recorded in 7/9 CSM-affected GDs with SC signal changes.
These results provide a reference range for TMMEPs of clinically normal GDs. The
use of TMMEPs is a valid ancillary test to assess the integrity of motor pathways
in GDs with CSM.
PMID- 24929533
TI - Efficacy of passively transferred antibodies in cats with acute viral upper
respiratory tract infection.
AB - A commercial hyperimmune serum, containing antibodies against feline calicivirus
(FCV), feline herpesvirus 1 (FHV-1), and feline panleukopenia virus, is available
for treatment of cats with feline upper respiratory tract disease (FURTD), but
its efficacy has not been rigorously evaluated in scientific studies. The aim of
this randomised, placebo-controlled, double-blind clinical trial was to evaluate
the efficacy of passive immunisation in cats with acute viral FURTD caused by FCV
and/or FHV-1 infection. All cats received symptomatic treatment during the study
period. Hyperimmune serum was administered to one group (n = 22) and an
equivalent amount of saline was administered to the control group (n = 20) as
placebo, for 3 consecutive days. In the treatment group, cats <=12 weeks old
received 2 mL, cats >12 weeks old received 4 mL, subcutaneously once daily and
topically into eyes, nostrils, and mouth every 8 h. Clinical signs, including a
'FURTD score' and general health status, were recorded daily for 8 days and again
on day 21. FCV shedding was determined by quantitative PCR on days 0 and 21.
Clinical signs and health status in both groups improved significantly over time
(P < 0.001). Cats receiving hyperimmune serum significantly improved in terms of
'FURTD score' (P = 0.046) and general health status (P = 0.032) by day 3, while
cats in the placebo group only improved significantly by day 7. There was no
significant difference in the number of cats shedding FCV between the two groups.
Thus, administration of hyperimmune serum led to a more rapid improvement of
clinical signs in cats with acute viral FURTD, but by day 7, clinical signs had
improved equally in both groups.
PMID- 24929534
TI - Selection response to DNA testing for canine ceroid lipofuscinosis in Tibetan
terriers.
AB - A late onset form of canine ceroid lipofuscinosis (CCL) is prevalent in Tibetan
terriers. The disease is inherited as a monogenic recessive trait caused by
aberrant exon skipping in ATP13A2. The aim of the present study was to analyse
the frequencies of this mutation in Tibetan terriers registered with the German
club for Tibetan dog breeds (Internationaler Klub fur Tibetische Hunderassen,
KTR) from 1987 to 2012 and to determine responses to selection following the
introduction of DNA testing in 2010. The study included DNA extracted from blood
samples from 1120/1240 (90.3%) Tibetan terriers registered with the KTR,
including 405/420 (96.4%) registered breeding dogs. Mutant allele frequencies
before the introduction of DNA testing were 0.20-0.28 in the registered and
breeding dog populations, respectively, decreasing to 0.09 and 0.14,
respectively, following the introduction of DNA testing.
PMID- 24929535
TI - Charting a roadmap for heart failure biomarker studies.
AB - Heart failure is a syndrome with a pathophysiological basis that can be traced to
dysfunction in several interconnected molecular pathways. Identification of
biomarkers of heart failure that allow measurement of the disease on a molecular
level has resulted in enthusiasm for their use in prognostication and selection
of appropriate therapies. However, despite considerable amounts of information
available on numerous biomarkers, inconsistent research methodologies and lack of
clinical correlations have made bench-to-bedside translations rare and left the
literature with countless publications of varied quality. There is a need for a
systematic and collaborative approach aimed at definitively studying the clinical
benefits of novel biomarkers. In this review, on the basis of input from
academia, industry, and governmental agencies, we propose a systematized approach
based on adherence to specific quality measures for studies looking to augment
current prediction model or use biomarkers to tailor therapeutics. We suggest
that study quality, rather than results, should determine publication and propose
a system for grading biomarker studies. We outline the need for collaboration
between clinical investigators and statisticians to introduce more advanced
statistical methodologies into the field of biomarkers that would allow for data
from a large number of variables to be distilled into clinically actionable
information. Lastly, we propose the creation of a heart failure biomarker
consortium that would allow for a comprehensive list of biomarkers to be
concomitantly analyzed in a pooled sample of randomized clinical trials and
hypotheses to be generated for testing in biomarker-guided trials. Such a
consortium could collaborate in sharing samples to identify biomarkers, undertake
meta-analyses on completed trials, and spearhead clinical trials to test the
clinical utility of new biomarkers.
PMID- 24929536
TI - Doxycycline administration improves fascial interface in hernia repair.
AB - BACKGROUND: Despite improvements in ventral hernia repair techniques, their
recurrence rates are unacceptably high. Increased levels of matrix
metalloproteinases (MMPs) and reduced collagen-1 to -3 ratios are implicated in
incisional hernia formation. We have recently shown doxycycline treatment for 4
wk after hernia repair reduced MMP levels, significantly increased collagen-1 to
3 ratios, and increased tensile strength of repaired interface fascia. However,
this increase was not statistically significant. In this study, we extended
treatment duration to determine whether this would impact the tensile strength of
the repaired interface fascia. MATERIALS AND METHODS: Thirty-two male Sprague
Dawley rats underwent incision hernia creation and subsequent repair with
polypropylene mesh. The animals received either saline (n = 16) or doxycycline (n
= 16) beginning from 1 day before hernia repair until the end of survival time of
6 wk (n = 16) or 12 wk (n = 16). Tissue samples were investigated for MMPs and
collagen subtypes using Western blot procedures, and tensiometric analysis was
performed. RESULTS: At both 6 and 12 wk after hernia repair, the tensiometric
strength of doxycycline-treated mesh to fascia interface (MFI) tissue showed a
statistically significant increase when compared with untreated control MFI. In
both groups, collagen-1, -2, and -3 ratios were remarkably increased in
doxycycline-treated MFI. At 6 wk, the doxycycline-treated MFI group showed a
significant decrease in MMP-2, an increase in MMP-3, and no change in MMP-9. At
12 wk, MMP-9 showed a remarkable reduction, whereas MMP-2 and -3 protein levels
increased in the doxycycline-treated MFI group. CONCLUSIONS: Doxycycline
administration results in significantly improved strength of repaired fascial
interface tissue along with a remarkable increase in collagen-1, -2, and -3
ratios.
PMID- 24929537
TI - Meta-analysis demonstrates lack of association of the GSK3B -50C/T polymorphism
with risk of bipolar disorder.
AB - Published data on the association between GSK3B -50C/T (rs334558) and bipolar
disorder (BD) are inconclusive. We performed this meta-analysis to evaluate the
relationship of this single-nucleotide polymorphism with the susceptibility, and
with the age at onset of BD. A literature search was conducted though PubMed,
EMBASE, Web of Science and China National Knowledge Infrastructure databases to
identify relevant studies up to February 14, 2014. We identified a total of 6
publications including 1,251 cases and 1,804 controls to investigate the effect
of GSK3B -50C/T on BD risk, and found no significant association in any genetic
models (C vs. T: OR = 1.03, 95 % CI: 0.92-1.15; CC vs. TT+TC: OR = 1.04, 95 % CI:
0.84-1.28; TC+CC vs. TT: OR = 1.16, 95 % CI: 0.97-1.39; and CC vs. TC vs. TT: OR
= 1.08, 95 % CI: 0.96-1.22). Subgroup analysis by ethnicity did not change the
results. The association between GSK3B -50C/T and age at onset of BD was explored
by 6 identified studies with a total of 659 BD type I patients. Similarly, we did
not observe significant results in any genetic models (TC+CC vs. TT: SMD = 0.20,
95 % CI: -0.07 to 0.47; CC vs. TT+TC: SMD = 0.11, 95 % CI: -0.10 to 0.32; CC vs.
TT: SMD = 0.32, 95 % CI: -0.13 to 0.77). The power analysis and tests for
publication bias ensured the reliability of our results. In summary, this meta
analysis suggests that the functional polymorphism -50C/T within the GSK3B gene
promoter is unlikely to relate with BD risk. However, more larger and well
designed studies are still needed to yield a conclusive result on the topic.
PMID- 24929538
TI - Molecular cloning and characterization of a lysozyme cDNA from the mole cricket
Gryllotalpa orientalis (Orthoptera: Gryllotalpidae).
AB - A full-length lysozyme cDNA from Gryllotalpa orientalis was cloned and sequenced.
The deduced amino acid sequence of the lysozyme protein was 143 amino acids in
length, with a calculated molecular mass of 15.84 kDa and an isoelectric point of
4.74. Sequence motifs, together with alignment and phylogenetic results,
confirmed that G. orientalis lysozyme belongs to the C (chicken)-type lysozyme
family of proteins. The protein sequence of lysozyme from G. orientalis showed
high identity to that of Drosophila melanogaster (51.7 %); however, in contrast
to D. melanogaster lysozyme, G. orientalis lysozyme was immune inducible and
expressed in a wide range of tissues. Expression of G. orientalis lysozyme mRNA
was highest at 8 h post-infection and subsequently decreased with time after
bacterial infection. We also expressed G. orientalis lysozyme protein in vitro
using the pET expression system. Compared with the negative control, over
expressed G. orientalis lysozyme showed antimicrobial activity against Gram
negative bacteria Escherichia coli and Gram-positive bacteria Bacillus subtilis
by radial diffusion assay, with minimal inhibitory concentration values of 30.3
and 7.55 uM, respectively. These results indicate that G. orientalis lysozyme may
have stronger antimicrobial activity than other lysozymes against a broad range
of microorganisms.
PMID- 24929539
TI - Resistin-induced stromal cell-derived factor-1 expression through Toll-like
receptor 4 and activation of p38 MAPK/ NFkappaB signaling pathway in gastric
cancer cells.
AB - BACKGROUND: Stromal cell-derived factor-1 (SDF-1) (CXC chemokine ligand-12)/CXC
chemokine receptor 4 (CXCR4) is involved in the carcinogenesis of human gastric
cancer, where it stimulates angiogenesis and favors metastasis of tumor cells to
distant organs. In addition, resistin is suggested to be an important link
between obesity and the development of gastric cancer. Resistin has identified as
an important player in inflammatory responses, and emerged as a mediator in
inflammation-associated cancer. A limited number of studies have investigated the
association of resistin and SDF-1 with gastric cancer. Herein, we investigated
the molecular mechanisms by which resistin influences the expression of SDF-1 in
gastric carcinoma cells. RESULTS: Human gastric cancer cell lines were exposed to
doses of resistin; SDF-1 expression and secretion levels were then determined.
Real-time polymerase chain reaction and western blotting analyses were performed
to clarify molecular changes. Inhibition of Toll-like receptor 4 (TLR4) by a
competitive antagonist inhibited resistin-induced SDF-1 expression.
Pharmacological inhibitors and small interfering RNA (siRNA) demonstrated that
activation of the p38 mitogen-activated protein kinase (MAPK) pathway is critical
for resistin-induced SDF-1 expression mediated by TLR4. The promoter activity and
transcription factor enzyme-linked immunosorbent assay revealed that resistin
induced expression of SDF-1 mediated by NF-kappaB in gastric cancer cells.
Inhibition of p38 MARK activation blocked the SDF-1-induced expression and the
SDF-1 promoter activity in the cancer gastric cells. Chromatin
immunoprecipitation assay revealed that inhibition of p38 MARK activation also
blocked the resistin-increased NF-kappaB-DNA-binding activity. CONCLUSIONS:
Resistin-induced SDF-1 upregulation by activation of TLR4, p38 MARK and NF-kappaB
may explain a new role of resistin in the link of obesity and gastric cancer.
PMID- 24929540
TI - The effect of coffee, tea, and caffeine consumption on serum uric acid and the
risk of hyperuricemia in Korean Multi-Rural Communities Cohort.
AB - Caffeine, a commonly consumed food constituent, is known to exert beneficial
physiological effects in humans. There is a lack of comprehensive population data
for the effects of caffeine intake on urate metabolism. Therefore, the aim of
this study was to determine whether coffee, tea, and caffeine intake influences
serum uric acid and the risk of hyperuricemia in the Korean Multi-Rural
Communities Cohort. We enrolled 9,400 participants in this study. An assessment
of various dietary intake amounts of substances such as coffee and tea was
performed using a food frequency questionnaire. The content of caffeine was
calculated from coffee (74 mg/cup) and tea (15 mg/cup) intake information from
the past year. Multivariate logistic regression models, multiple linear
regression models, and analysis of covariance were applied to identify any
association of dietary intake with serum uric acid levels or the risk of
hyperuricemia. No trends for coffee, tea, or caffeine intake were found according
to each quintile with serum uric acid in males, although there were weak,
marginally significant trends between the content of coffee and caffeine intake
and serum uric acid level in females (p = 0.07 for both). Tea intake in males and
caffeine intake in females were significantly different between non-hyperuricemia
and hyperuricemia (p = 0.04 and p = 0.04, respectively). In addition, a
significant association of serum uric acid level with tea intake in males (beta =
0.0006, p = 0.02) and with tea intake and caffeine intake in females (beta =
0.0003, p = 0.04 and beta = 0.0006, p = 0.02, respectively) was observed. There
was no effect of coffee, tea, or caffeine intake on the risk of hyperuricemia in
either males or females. This study suggests that caffeine consumption might have
an effect on serum uric acid in females. However, coffee, tea, and caffeine
intake amounts were not associated with the risk of hyperuricemia.
PMID- 24929541
TI - Prevalence of colorectal carcinoma in CKD patients in pre-dialysis and during the
dialysis introduction period.
PMID- 24929542
TI - Decreased accumulation of cadmium in Drosophila selected for resistance suggests
a mechanism independent of metallothionein.
AB - Heavy metals, including cadmium, are common contaminants in environments subject
to human activity. Responses to exposure in the fruit fly, Drosophila
melanogaster, are dosage-dependent and resistance is selectable. While
metallothionein-mediated sequestration has been extensively studied as a
mechanism of cadmium resistance, a link between selection for resistance and an
increased accumulation of cadmium has yet to be demonstrated. To address this
need, we have selected wild-type flies for cadmium resistance for 20 generations
and tested metal content using mass spectrometry. Resistant flies were observed
to contain lower levels of cadmium, arguing for a mechanism of cadmium resistance
that is not mediated by increased sequestration. This, coupled with genetic
evidence suggesting the involvement of factors located on the X chromosome,
suggests a gene other than metallothionein may be involved in resistance in this
line.
PMID- 24929543
TI - Hepatoprotective effect of Arctium lappa root extract on cadmium toxicity in
adult Wistar rats.
AB - This study was performed to determine the effects of Arctium lappa (Al) to
protect against cadmium damage in the rat liver. Male rats received a single i.p.
dose of CdCl2 (1.2 mg/kg body weight (BW)) with or without Al extract
administered daily by gavage (300 mg/kg BW) for 7 or 56 days. After 7 days, Al
caused plasma transaminase activity to diminish in groups Al (glutamic
oxaloacetic transaminase (GOT) and glutamic pyruvic transaminase (GPT)) and CdAl
(GPT). After 56 days, GOT and GPT plasma activities were reduced in the Cd group.
No alteration in plasma levels of creatinine, total bilirubin, and total protein
were observed. GOT liver activity increased in the Cd group. No alteration was
observed in superoxide dismutase (SOD), catalase (CAT), glutathione-S-transferase
(GST), and malondialdehyde (MDA) dosage. In the Cd group, hepatocyte proportion
decreased and sinusoid capillary proportion increased. In the Al and CdAl groups,
the nuclear proportion increased and the cytoplasmic proportion decreased. The
hepatocyte nucleus density reduced in Cd and increased in the Al group. After 56
days, there was no alteration in the Cd group. In Al and CdAl groups, the nuclear
proportion increased without cytoplasmic proportion variation, but the sinusoid
capillary proportion was reduced. The hepatocyte nucleus density decreased in the
Cd group and increased in the Al and CdAl groups. In conclusion, the liver
function indicators showed that A. lappa protected the liver against cadmium
toxicity damage.
PMID- 24929544
TI - Gene cloning, homology comparison and analysis of the main functional structure
domains of beta estrogen receptor in Jining Gray goat.
AB - To clarify the molecular evolution and characteristic of beta estrogen receptor
(ERbeta) gene in Jining Gray goat in China, the entire ERbeta gene from Jining
Gray goat ovary was amplified, identified and sequenced, and the gene sequences
were compared with those of other animals. Functional structural domains and
variations in DNA binding domains (DBD) and ligand binding domains (LBD) between
Jining Gray goat and Boer goat were analyzed. The results indicate that the
ERbeta gene in Jining Gray goat includes a 1584bp sequence with a complete open
reading-frame (ORF), encoding a 527 amino acid (aa) receptor protein. Compared to
other species, the nucleotide homology is 73.9-98.9% and the amino acid homology
is 79.5-98.5%. The main antigenic structural domains lie from the 97th aa to the
286th aa and from the 403rd aa to the 527th aa. The hydrophilicity and the
surface probability of the structural domains are distributed throughout a range
of amino acids. There are two different amino acids in the DBD and three
different amino acids in the LBD between Jining Gray and Boer goats, resulting in
dramatically different spatial structures for ERbeta protein. These differences
may explain the different biological activities of ERbeta between the two goat
species. This study firstly acquired the whole ERbeta gene sequence of Jining
Gray goat with a complete open reading frame, and analyzed its gene evolutionary
relationship and predicted its mainly functional structural domains, which may
very help for further understanding the genome evolution and gene diversity of
goat ERbeta.
PMID- 24929545
TI - In silico study of potential autoimmune threats from rotavirus infection.
AB - Rotavirus, the major cause of infantile nonbacterial diarrhea, was found to be
associated with development of diabetes-associated auto-antibodies. In our study
we tried to find out further potential autoimmune threats of this virus using
bioinformatics approach. We took rotaviral proteins to study similarity with Homo
sapiens proteome and found most conserved structural protein VP6 matches at two
regions with ryanodine receptor, an autoimmune target associated with myasthenia
gravis. Myasthenia gravis, a chronic neurodegenerative autoimmune disorder with
no typical known reason, is characterized by fluctuating muscle weakness which is
typically enhanced during muscular effort. Affected patients generate auto
antibodies against mainly acetyl choline receptor and sarcoplasmic reticulum
calcium-release channel protein ryanodine receptor. Further, we observed that two
regions which matched with ryanodine receptor remain conserved in all circulating
rotaviral strains and showed significant antigenecity with respect to myasthenia
gravis associated HLA haplotypes. Overall, our study detected rotaviral VP6 as a
potential threat for myasthenia gravis and enlighten an area of virus associated
autoimmune research.
PMID- 24929546
TI - Photochemical performance of thylakoid membrane in lead-treated Nymphoides
peltatum.
AB - Photochemical responses in the thylakoid membrane of Nymphoides peltatum to
increasing lead (Pb) concentrations were investigated after 5 days of exposure.
Pb accumulation increased in a concentration-dependent manner, with a maximum of
118.44 MUg g(-1) at 100 MUM. Nutrients (Zn, Mg, Mo, Ca, Fe and Mn), ATPase
activity and pigment generally increased progressively at Pb concentrations of
12.5 and 25 MUM, but then declined at concentrations of 50 and 100 MUM. Moreover,
Pb stress induced an increase in chlorophyll (Chl) a/b ratio in a different
extent. No outstanding changes were observed in several Chl a fluorescence
parameters at low Pb concentrations (12.5 and 25 MUM), while significant changes
(p < 0.05) were observed in these parameters at higher concentrations. The
alterations of nutrients, ATPase activity and pigment content were associated
with disturbances in the thylakoid membrane, indicated by the quenching of Chl a
fluorescence. These results were indicative of a disarray in photochemical
activities exerted by Pb phytotoxicity.
PMID- 24929547
TI - An emerging water contaminant, semicarbazide, exerts an anti-estrogenic effect in
zebrafish (Danio rerio).
AB - To determine the endocrine disrupting effect of semicarbazide, an emerging water
contaminant, the changes in transcript levels of hepatic estrogen-response genes
including vitellogenin-1 (vtg-1), estrogen receptor alpha (ERalpha), and estrogen
receptor beta (ERbeta) were measured in male and female zebrafish exposed to
semicarbazide with or without exogenous 17beta-estradiol (E2). Exposure of male
zebrafish to semicarbazide for 96 h or 28 days resulted in no significant
induction in hepatic vtg-1, ERalpha, or ERbeta mRNA expression, indicating that
semicarbazide has no estrogenic effect. However, a remarkable anti-estrogenic
effect of semicarbazide was demonstrated: semicarbazide treatment of female
zebrafish for 96 h and 28 days resulted in significant decreases in transcript
levels of vtg-1, ERalpha, and ERbeta, as well as decreases in the gonadosomatic
index level after 28 days. Moreover, semicarbazide exposure significantly
inhibited the induction of vtg-1, ERalpha and ERbeta mRNA by E2 when male
zebrafish were co-exposed for 28 days.
PMID- 24929548
TI - Comparing the effectiveness of video-assisted oral debriefing and oral debriefing
alone on behaviors by undergraduate nursing students during high-fidelity
simulation.
AB - Complex healthcare, less resources, high-level medical equipment, and fewer
available clinical settings have led many health professionals to use simulation
as a method to further augment educational experiences for nursing students.
While debriefing is recommended in the literature as a key component of
simulation, the optimal format in which to conduct debriefing is unknown. This
pre- and posttest two-group randomized quasi-experimental design compared the
effectiveness of video-assisted oral debriefing (VAOD) and oral debriefing alone
(ODA) on behaviors of 48 undergraduate nursing students during high-fidelity
simulation. Further, this study examined whether roles (e.g., team leader,
medication nurse), type of scenarios (i.e., pulmonary and cardiac scenarios), and
student simulation team membership (i.e., VAOD and ODA groups) influenced these
behaviors. Behaviors observed in this study related to patient safety,
communication among team members, basic- and problem-focused assessment,
prioritization of care, appropriate interventions, and delegation to healthcare
team members. Both human patient simulator practice and guidance using video
assisted oral debriefing and oral debriefing alone appeared to be comparable
regarding behaviors, regardless of roles, type of scenarios, and student
simulation team membership. These findings suggest that nurse educators may use
either video-assisted oral debriefing or oral debriefing alone to debrief
undergraduate nursing students during high-fidelity simulation.
PMID- 24929549
TI - Simultaneous determination of four volatile compounds in rat plasma after oral
administration of Shexiang Baoxin Pill (SBP) by HS-SPDE-GC-MS/MS and its
application to pharmacokinetic studies.
AB - In this study, a headspace, solid-phase dynamic extraction method coupled to gas
chromatography-tandem mass spectrometry (HS-SPDE-GC-MS/MS) method was developed
for the simultaneous determination of four volatile compounds, namely,
isoborneol, borneol, muscone and cinnamaldehyde, in rat plasma after oral
administration of Shexiang Baoxin Pill (SBP) using naphthalene as an internal
standard (IS). The target compounds were extracted using an SPDE needle device
coated with a poly (dimethylsiloxane) (PDMS) phase. The detection was achieved by
GC-MS/MS in multiple reaction monitoring (MRM) mode. The optimised mass
transition ion pairs (m/z) for quantitation were 95.1/67.1 for isoborneol and
borneol, 85.0/67.0 for muscone, 131.0/77.0 for cinnamaldehyde and 128.1/102.1 for
the IS. The parameters that affect the extraction ratio, such as the pre
incubation time, extraction temperature, number of extraction cycles, desorption
volume and pH, were also optimised. The method was thoroughly validated with
respect to specificity, linearity, precision, accuracy, recovery and stability. A
sufficiently sensitive HS-SPDE-GC-MS/MS method was first developed in this study
to determine the pharmacokinetics of volatile compounds found in rat plasma
following oral administration of SBP. The method developed uses a simple
procedure for plasma sample preparation and could be a promising tool for the
analysis of complex volatile samples, such as traditional Chinese medicine (TCM).
PMID- 24929550
TI - Simultaneous quantitative determination of microcystin-LR and its glutathione
metabolites in rat liver by liquid chromatography-tandem mass spectrometry.
AB - The roles of glutathione (GSH) and cysteine (Cys) in the detoxification of
Microcystin-LR (MC-LR) have recently become a popular area of research. However,
lacking analysis methods for MC-LR-GSH and MC-LR-Cys (two main GSH pathway
metabolites) in mammals, elucidation of the detoxification mechanism and
metabolic pathway of MC-LR in mammals is difficult. In this study, a novel method
for the simultaneous quantitative analysis of MC-LR, MC-LR-GSH and MC-LR-Cys in
rat liver was developed and validated. The analytes were simultaneously extracted
from rat liver using 3M sodium chloride solution containing 0.01M EDTA-Na2-5%
acetic acid, followed by solid-phase extraction (SPE) on Oasis HLB and silica
cartridges and determination by liquid chromatography-electrospray ionization
mass spectrometry (LC-ESI-MS/MS). Under the optimized pretreatment conditions and
instrument parameters, good recoveries of MC-LR, MC-LR-GSH and MC-LR-Cys were
obtained at three concentrations (0.2, 1.0 and 2.5 MUg g(-1) dry weight (DW))
with values ranging from 97.7 +/- 4.2 to 98.7 +/- 5.1%, 70.1 +/- 4.8 to 71.1 +/-
4.1% and 79.8 +/- 3.5 to 81.4 +/- 4.0%, respectively. The relative standard
deviations (RSDs) of these compounds at 0.2, 1.0 and 2.5 MUg g(-1) DW were
between 4.3% and 6.9%. The limits of detection (LODs) were 0.005, 0.007 and 0.006
MUg g(-1) DW and the limits of quantification (LOQs) were 0.017, 0.023 and 0.020
MUg g(-1) DW for MC-LR, MC-LR-GSH and MC-LR-Cys, respectively. Furthermore, this
method was successfully applied to both time- and dosage-effect studies of MC-LR,
MC-LR-GSH and MC-LR-Cys in vivo.
PMID- 24929551
TI - Development and validation of high liquid performance chromatography-tandem mass
spectrometry method for simultaneous determination of geniposidic acid and
aucubin in rat plasma for pharmacokinetic study after oral administration of Du
zhong tea extract.
AB - A specific and sensitive high performance liquid chromatography coupled with
tandem mass spectrometric (HPLC-MS/MS) method was developed and validated for the
simultaneous determination of geniposidic acid and aucubin in rat plasma after
oral administration of Du-zhong tea extract. The plasma samples were pretreated
by protein precipitation with methanol and the chromatographic separation was
performed on a Hypersil C18 column (4.6 mm*250 mm, 5 MUm), using a gradient
mobile phase system of water-methanol (0.05% formic acid). The detection was
accomplished by multiple-reaction monitoring (MRM) scanning via electrospray
ionization source operating in the negative ionization mode. The linear range was
1-1,000 ng/mL for geniposidic acid and 0.2-200 ng/mL for aucubin, respectively.
The accuracy (relative error, R.E.%) were between -5.40 and 5.00%, while the
intra-day and inter-day precisions were less than 7.95 and 7.87% for the two
analytes, respectively. The method was fully validated for the sensitivity,
selectivity, recovery, matrix effect and stability. Then this method was
successfully applied to the pharmacokinetic study of geniposidic acid and aucubin
after oral administration of Du-zhong tea extract to rats and the results
indicated that this HPLC-MS/MS assay is a valuable method for the pharmacokinetic
study of geniposidic acid and aucubin in rat plasma.
PMID- 24929552
TI - Annual input fluxes and source identification of trace elements in atmospheric
deposition in Shanxi Basin: the largest coal base in China.
AB - Industrialization and urbanization have led to a great deterioration of air
quality and provoked some serious environmental concerns. One hundred and five
samples of atmospheric deposition were analyzed for their concentrations of 13
trace elements (As, Cd, Cu, Fe, Al, Co, Cr, Hg, Mn, Mo, Pb, Se, and Zn) in Shanxi
Basin, which includes six isolate basins. The input fluxes of the trace elements
in atmospheric deposition were observed and evaluated. Geostatistical analysis
(EF, PCA, and CA ) were conducted to determine the spatial distribution, possible
sources, and enrichment degrees of trace elements in atmospheric deposition.
Fe/Al and K/Al also contribute to identify the sources of atmospheric deposition.
The distribution of trace elements in atmospheric deposition was proved to be
geographically restricted. The results show that As, Cd, Pb, Zn, and Se mainly
come from coal combustion. Fe, Cu, Mn, Hg, and Co originate mainly from
interactions between local polluted soils and blowing dust from other places,
while the main source of Al, Cr, and Mo are the soil parent materials without
pollution. This work provides baseline information to develop policies to control
and reduce trace elements, especially toxic elements, from atmospheric
deposition. Some exploratory analytical methods applied in this work are also
worth considering in similar researches.
PMID- 24929553
TI - Disorder-specific functional abnormalities during temporal discounting in youth
with Attention Deficit Hyperactivity Disorder (ADHD), Autism and comorbid ADHD
and Autism.
AB - Attention Deficit Hyperactivity Disorder (ADHD) and Autism Spectrum Disorder
(ASD) are often comorbid and share cognitive abnormalities in temporal foresight.
A key question is whether shared cognitive phenotypes are based on common or
different underlying pathophysiologies and whether comorbid patients have
additive neurofunctional deficits, resemble one of the disorders or have a
different pathophysiology. We compared age- and IQ-matched boys with non-comorbid
ADHD (18), non-comorbid ASD (15), comorbid ADHD and ASD (13) and healthy controls
(18) using functional magnetic resonance imaging (fMRI) during a temporal
discounting task. Only the ASD and the comorbid groups discounted delayed rewards
more steeply. The fMRI data showed both shared and disorder-specific
abnormalities in the three groups relative to controls in their brain-behaviour
associations. The comorbid group showed both unique and more severe brain
discounting associations than controls and the non-comorbid patient groups in
temporal discounting areas of ventromedial and lateral prefrontal cortex, ventral
striatum and anterior cingulate, suggesting that comorbidity is neither an
endophenocopy of the two pure disorders nor an additive pathology.
PMID- 24929554
TI - Mini-FLOTAC, Kato-Katz and McMaster: three methods, one goal; highlights from
north Argentina.
AB - BACKGROUND: Copro-parasitological diagnosis is still a challenge in management of
helminth infections at individual and community levels in resource-limited
settings.The aim of our study was to compare the performance of three
quantitative techniques: Kato-Katz, McMaster and Mini-FLOTAC methids. The study
was carried out in Oran, Northern Argentina. METHODS: 200 schoolchildren were
enrolled to provide a single stool sample, which was tested for helminth
infections with Kato-Katz, McMaster and Mini-FLOTAC methods. The Mini-FLOTAC was
performed with two flotation solutions (FS2 saturated saline and FS7 zinc
sulphate). Preparation and reading time for each of the three methods was
calculated both when processing single and multiple samples. RESULTS: Out of 193
schoolchildren examined, 40% were positive for any helminth infection by any
method; the most prevalent was Hymenolepis nana (23%) followed by Ascaris
lumbricoides (17%) and a third group of less prevalent helminths: Enterobius
vermicularis, Trichuris trichiura and hookworms (11% all together). Mini-FLOTAC
FS2 was more sensitive than FS7 for H. nana (93% vs 78%) and for other helminths
(85% vs 80%), whereas FS7 was more sensitive for A. lumbricoides (87% vs 61%).
Kato-Katz method was more sensitive than McMaster method for A. lumbricoides (84%
vs 48%) and for other helminths (48% vs 43%) except for H. nana (49% vs 61%). As
for egg counts, Mini-FLOTAC FS2 reported 904 eggs per gram of faeces (EPG) for H.
nana (vs 457 with McMaster and 111 with Kato-Katz) and 1177 EPG for A.
lumbricoides (vs 1315 with Kato-Katz and 995 with McMaster); FS2 detected the
highest EPG for both H.nana and A.lumbricoides (904 vs 568 and 1177 vs 643
respectively), the differences were not statistically significant. The technique
feasibility was calculated: Kato-Katz mean time was 48 minutes/sample, Mini
FLOTAC 13 minutes/sample and McMaster 7 minutes/sample. However, especially for
Kato-Katz and Mini-FLOTAC, the mean time (min/sample) decreased significantly
when processing multiple samples. CONCLUSIONS: Mini-FLOTAC is a promising
technique for helminth diagnosis, it is more sensitive than Kato-Katz and
McMaster for H. nana and as sensitive as Kato-Katz and more sensitive than
McMaster for A. lumbricoides identification. Egg counts differences although
relevant, did not reach statistical significance.
PMID- 24929555
TI - Macrophages regulate renal fibrosis through modulating TGFbeta superfamily
signaling.
AB - Renal fibrosis is the fundamental pathway leading to end-stage renal disease,
while its exact molecular basis remains incompletely elucidated. Previous studies
have demonstrated that transforming growth factor beta 1 (TGFbeta1) is an inducer
of the epithelial-to-mesenchymal transition (EMT) of renal tubular epithelial
cells, while bone morphogenic protein 7 (BMP7) counteracts TGFbeta1-induced EMT
and reverses chronic renal injury. Although macrophage recruitment is believed to
play an important role during the whole pathogenesis, the mechanism underlying
their activate involvement in the formation of renal fibrosis besides
phagocytosizing extracellular matrix and apoptotic cells is largely unknown.
Here, in a mouse unilateral ureteral obstruction (UUO) model, we show that the
recruited macrophages are mainly M1 macrophages at early stage. However, these
F4/80-positive and CD301-negative M1 macrophages were shortly polarized into
F4/80-positive and CD301-positive M2 macrophages, respectively, which released
high levels TGFbeta1, to contradict the local expression of BMP7 to facilitate
EMT-induced renal fibrosis. M2 macrophages depletion specifically inhibited EMT,
and subsequently the renal fibrosis. Adoptive transplantation of M2 macrophages
increased the features of renal fibrosis. Our study thus identified double-edged
effects of macrophages in the formation of renal fibrosis, which suggest that
modulation of macrophage polarization may substantially improve the treatment of
renal fibrosis.
PMID- 24929556
TI - Malnutrition in pregnancy following bariatric surgery: three clinical cases of
fetal neural defects.
AB - OBJECTIVE: Bariatric surgery results in decreased food intake and a variable
degree of malabsorption. Without adequate supplementation, the most common
complications of this surgery are nutritional disorders. Pregnancy following
surgery for obesity is a particular condition requiring strict monitoring of
nutrient intake necessary for fetal development and a favourable neonatal
prognosis. PATIENTS: Malnutrition in pregnancy and congenital neural
malformations are reported in three women who had previously undergone bariatric
surgery (1, 5 and 18 years before pregnancy, respectively). Two patients
underwent the Roux en Y bypass and one bilio-pancreatic diversion with
gastroplasty. None of the three received pre-conceptional nutritional
counselling. Patients 1 and 2 did not undergo postoperative nutritional
surveillance; nutrient supplementation was started at 22 and 20 weeks gestation,
respectively. In patient 3, supplementation was stopped at six weeks gestation.
RESULTS: Newborns 1 and 2 presented with dorsal myelomeningocele and ventricular
dilation. Both underwent surgery and a ventriculo-peritoneal shunt was inserted
in the first month of life. Newborn 3 had microcephaly, bilateral microphthalmia
and sensorineural deafness. CONCLUSIONS: Diet and nutritional status, before and
during pregnancy, play an important role in the early processes of fetal
development and neonatal outcome. Women of childbearing age who have had
bariatric surgery, should be encouraged to follow a well-balanced diet as part of
a weight management strategy. They should be advised to take recommended maternal
supplements.
PMID- 24929557
TI - Self-sacrificial behavior and its explanation in terms of Max Scheler's concept
of spirit.
AB - One of the key concepts of the German philosopher Max Scheler (1874-1928) is his
concept of spirit. He understands spirit as one of several naturally functioning
human mental agencies, such as consciousness, will, memory, etc. That is, he
treats the mental agency of spirit in a scientific way and avoids any esoteric or
religious connotations that this peculiar term may involve. The nature of human
spirit, according to Scheler, is the ability to withstand and deliberately
redirect biological imperatives and instinctive drives, up to the point of
purposefully throwing away one's own life. The presence of spirit constitutes the
essence of the human being that differentiates him qualitatively from all
animals. In this article, I argue that it is human spirit that plays the
determinative role in causing heroic and self-sacrificial behavior. I also argue
that the individual human spirit experiences its inherent development, thus
having several rather dissimilar stages and manifestations. I discuss the meaning
that the term 'spirit' has in the English and the American philosophical and
psychological traditions and the meaning of the corresponding term 'der Geist' in
the German traditions. The specific English-language understanding of the term
'spirit', compared to its German counterpart 'der Geist', namely, less scientific
and more religious and esoteric and metaphorical for the former, makes it alien
and almost unusable in the English and American traditions. The linguistic
difference leads to the misunderstanding of some very important ideas brought by
the concept of spirit as introduced by Scheler. My purpose is to overcome this
discrepancy and omission and to introduce the notion and the concept of spirit,
in their scientific understanding, into the arsenal of modern English-language
cognitive science, psychology, and philosophy in order to provide for the full
explanatory force of the hitherto neglected concept of spirit.
PMID- 24929558
TI - Localization of Epileptogenic Zone on Pre-surgical Intracranial EEG Recordings:
Toward a Validation of Quantitative Signal Analysis Approaches.
AB - In patients diagnosed with pharmaco-resistant epilepsy, cerebral areas
responsible for seizure generation can be defined by performing implantation of
intracranial electrodes. The identification of the epileptogenic zone (EZ) is
based on visual inspection of the intracranial electroencephalogram (IEEG)
performed by highly qualified neurophysiologists. New computer-based quantitative
EEG analyses have been developed in collaboration with the signal analysis
community to expedite EZ detection. The aim of the present report is to compare
different signal analysis approaches developed in four different European
laboratories working in close collaboration with four European Epilepsy Centers.
Computer-based signal analysis methods were retrospectively applied to IEEG
recordings performed in four patients undergoing pre-surgical exploration of
pharmaco-resistant epilepsy. The four methods elaborated by the different teams
to identify the EZ are based either on frequency analysis, on nonlinear signal
analysis, on connectivity measures or on statistical parametric mapping of
epileptogenicity indices. All methods converge on the identification of EZ in
patients that present with fast activity at seizure onset. When traditional
visual inspection was not successful in detecting EZ on IEEG, the different
signal analysis methods produced highly discordant results. Quantitative analysis
of IEEG recordings complement clinical evaluation by contributing to the study of
epileptogenic networks during seizures. We demonstrate that the degree of
sensitivity of different computer-based methods to detect the EZ in respect to
visual EEG inspection depends on the specific seizure pattern.
PMID- 24929559
TI - New perspectives on the mismatch negativity (MMN) component: an evolving tool in
cognitive neuroscience.
PMID- 24929560
TI - A novel Ca2+-activated, thermostabilized polyesterase capable of hydrolyzing
polyethylene terephthalate from Saccharomonospora viridis AHK190.
AB - Only two polyethylene glycol terephthalate (PET)-degrading enzymes have been
reported, and their mechanism for the biochemical degradation of PET remains
unclear. To identify a novel PET-degrading enzyme, a putative cutinase gene
(cut190) was cloned from the thermophile Saccharomonospora viridis AHK190 and
expressed in Escherichia coli Rosetta-gami B (DE3). Mutational analysis indicated
that substitution of Ser226 with Pro and Arg228 with Ser yielded the highest
activity and thermostability. The Ca(2+) ion enhanced the enzyme activity and
thermostability of the wild-type and mutant Cut190. Circular dichroism suggested
that the Ca(2+) changes the tertiary structure of the Cut190 (S226P/R228S), which
has optimal activity at 65-75 degrees C and pH 6.5-8.0 in the presence of 20 %
glycerol. The enzyme was stable over a pH range of 5-9 and at temperatures up to
65 degrees C for 24 h with 40 % activity remaining after incubation for 1 h at
70 degrees C. The Cut190 (S226P/R228S) efficiently hydrolyzed various aliphatic
and aliphatic-co-aromatic polyester films. Furthermore, the enzyme degraded the
PET film above 60 degrees C. Therefore, Cut190 is the novel-reported PET
degrading enzyme with the potential for industrial applications in polyester
degradation, monomer recycling, and PET surface modification. Thus, the Cut190
will be a useful tool to elucidate the molecular mechanisms of the PET
degradation, Ca(2+) activation, and stabilization.
PMID- 24929561
TI - Study of seed hair growth in Populus tomentosa, an important character of female
floral bud development.
AB - BACKGROUND: Poplar seed hair is an environmental annoyance in northern China due
to its abundance and widespread airborne distribution after maturation. The
morphogenesis and molecular mechanisms of its development are not well
understood, and little attention has been focused on the dynamics of its
development. To better understand the mechanism of poplar seed hair development,
paraffin sections were used to examine the initiation and elongation of poplar
seed hairs. RNA-seq technology was also employed to provide a comprehensive
overview of transcriptional changes that occur during seed hair development.
RESULTS: The placenta at the base of ovary, was identified as the origin of seed
hair development, which is in sharp contrast to cotton fibers that originate from
epidermal cells of the seed coat. An enlarged cell nucleus in seed hair cells was
also observed, which was supported by our gene ontology enrichment analysis. The
significant enriched GO term of "endoreduplication" indicated that cycles of
endoreduplication, bypassing normal mitosis, is the underlying mechanisms for the
maintenance of the uni-cellular structure of seed hairs. By analyzing global
changes in the transcriptome, many genes regulating cell cycle, cell elongation,
cell well modification were identified. Additionally, in an analysis of
differential expression, cellulose synthesis and cell wall biosynthesis-related
biological processes were enriched, indicating that this component of fiber
structure in poplar seed hairs is consistent with what is found in cotton fibers.
Differentially expressed transcription factors exhibited a stage-specific up
regulation. A dramatic down-regulation was also revealed during the mid-to-late
stage of poplar seed hair development, which may point to novel mechanisms
regulating cell fate determination and cell elongation. CONCLUSIONS: This study
revealed the initiation site of poplar seed hairs and also provided a
comprehensive overview of transcriptome dynamics during the process of seed hair
development. The high level of resolution on dynamic changes in the transcriptome
provided in this study may serve as a valuable resource for developing a more
complete understanding of this important biological process.
PMID- 24929562
TI - Causes of death in patients with extranodal cancer of unknown primary: searching
for the primary site.
AB - BACKGROUND: Cancer of unknown primary (CUP) is a fatal cancer, accounting for 3
5% of all diagnosed cancers. Finding the primary site is important for
therapeutic choices and we believe that the organ which is designated as the
cause of death may give clues about the primary site. METHODS: A total of 20,570
patients with CUP were identified from the Swedish Family-Cancer Database. Causes
of death--as reported in the death certificate--were investigated, analyzing
reported metastatic sites and histological subtypes separately. Survival was
compared with metastatic cancer with a known primary tumor. RESULTS: An organ
specific cancer could be identified as a cause of death in approximately 60% of
all CUP patients with adenocarcinoma or undifferentiated histology. In
adenocarcinoma, lung cancer was the most frequent cause of death (20%), followed
by pancreatic cancer (14%), and ovarian cancer (11%). Lung cancer was the most
common cause of death in patients with CUP metastases diagnosed in the nervous
system (69%), respiratory system (53%), and bone (47%), whereas ovarian cancer
was the most common cause of death when CUP was diagnosed in the pelvis (47%) or
the peritoneum (32%). In CUP diagnosed in the liver, liver and pancreatic cancers
accounted for 26% and 22% of deaths, respectively. Also in squamous cell CUP,
lung cancer was the most common cause of death (45%). CONCLUSIONS: According to
the causes of death, the primary site appeared frequently to be either the organ
where CUP metastases were diagnosed or an organ which may be traced through the
known metastatic patterns of different cancer types.
PMID- 24929563
TI - Survey and online discussion groups to develop a patient-rated outcome measure on
acceptability of treatment response in vitiligo.
AB - BACKGROUND: Vitiligo is a chronic depigmenting skin disorder which affects around
0.5-1% of the world's population. The outcome measures used most commonly in
trials to judge treatment success focus on repigmentation. Patient-reported
outcome measures of treatment success are rarely used, although recommendations
have been made for their inclusion in vitiligo trials. This study aimed to
evaluate the face validity of a new patient-reported outcome measure of treatment
response, for use in future trials and clinical practice. METHOD: An online
survey to gather initial views on what constitutes treatment success for people
with vitiligo or their parents/carers, followed by online discussion groups with
patients to reach consensus on what constitutes treatment success for individuals
with vitiligo, and how this can be assessed in the context of trials.
Participants were recruited from an existing database of vitiligo patients and
through posts on the social network sites Facebook and Twitter. RESULTS: A total
of 202 survey responses were received, of which 37 were excluded and 165
analysed. Three main themes emerged as important in assessing treatment response:
a) the match between vitiligo and normal skin (how well it blends in); b) how
noticeable the vitiligo is and c) a reduction in the size of the white patches.
The majority of respondents said they would consider 80% or more repigmentation
to be a worthwhile treatment response after 9 months of treatment. Three online
discussion groups involving 12 participants led to consensus that treatment
success is best measured by asking patients how noticeable their vitiligo is
after treatment. This was judged to be best answered using a 5-point Likert
scale, on which a score of 4 or 5 represents treatment success. CONCLUSIONS: This
study represents the first step in developing a patient reported measure of
treatment success in vitiligo trials. Further work is now needed to assess its
construct validity and responsiveness to change.
PMID- 24929564
TI - Rayleigh wave scattering from a vertical edge of isotropic substrates.
AB - The paper numerically studies the harmonic Rayleigh wave scattering at the 90
degree corner of isotropic substrate. The finite element method is used. The main
attention is paid to two cases. The first one is the apex of the substrate corner
is rounded off. The second one consists in that a layer of foreign material is
deposited on the face which scatters the Rayleigh wave. The dependence of the
reflection and the transmission coefficients on the Poisson ratio, the angle of
incidence, the fillet radius, and the layer thickness are obtained. It is found
that if the Rayleigh wave is incident perpendicularly to the substrate border,
then the fillet of small radius as compared to the wavelength increases the
reflection coefficient and decreases the transmission coefficient by factors 1.3
1.8. At normal incidence, the Poisson ratio does not change qualitatively the
dependence of the reflection and transmission coefficients on the fillet radius.
But the Poisson ratio can substantially affect the angle dependence of these
coefficients if the wave is incident obliquely on the corner rounded off. It is
also found out that a layer can modify the conditions of scattering such that the
incident wave is totally reflected without transmission and conversion into bulk
waves in a wide interval of angle of incidence, although, in principle, the bulk
wave generation is allowed within a part of this angle interval.
PMID- 24929565
TI - Leprosy in the South Pacific.
PMID- 24929566
TI - Allowing violence in New Zealand hospitals is bad business.
PMID- 24929567
TI - Patient aggression experienced by staff in a New Zealand public hospital setting.
AB - AIMS: Working in a healthcare environment is a known risk factor for violence.
Patient aggression towards staff is often present in a hospital setting but the
extent, type and variation among various occupations and roles are not known.
METHOD: This research examines the type and frequency of aggression experienced
by healthcare staff, using a previously used measure the POPAS-NZ, which is a
short pen and paper survey. Responses were gathered from 227 people working in a
single district health board. RESULTS: Responses showed verbal anger was
experienced by 93% of healthcare workers in the previous year and physical
aggression was experienced by 65% of respondents. Also, 38% of staff reported
experiencing a physical assault in the previous year. When analysed by role it
was found that nurses and support staff experienced the greatest number of
aggressive incidents compared to doctors and allied health staff. No effects of
gender of the healthcare worker were found. Psychiatric units showed greater
levels of destructive behaviour and attempted assaults but were similar to other
areas of the hospital on all other measures. CONCLUSION: These results
demonstrate many hospital staff, of all roles and workplaces experience
aggression on a frequent basis. Implications for staff training are discussed.
PMID- 24929568
TI - Why do patients self-present to Middlemore Hospital Emergency Department?
AB - AIM: To determine the drivers for acute (Australasian Triage Scale Category 3-5)
demand in patients who self-present to New Zealand's Middlemore Hospital
Emergency Department (MMH ED), we sought to establish a demographic profile of a
sample of self-presenting patients and explore their reasons for presenting to ED
rather than attending a primary care centre. METHOD: A prospective, observational
study was undertaken of patients in Australasian Triage Scale Categories 3-5 (ATS
3-5) who self-presented to MMH ED over a 7 day period from 14 April 2011 to 21
April 2011. We studied two time periods, 0900-1200 and 1800-2200, to compare
drivers for attendance to MMH ED during primary care service open hours and
closed hours. A structured questionnaire was used to collect demographic data and
outcomes. The cumulative 2011 demographic data for self-presentations to MMH was
compared to the study data. RESULTS: 500 patients were approached to participate
and 421 met the inclusion criteria. The mean age of presenters was 37.6 years (SD
of 24.6) with 48.2% (95%CI 44-53%) being male and 23% (95%CI 19-27%) employed. Of
those who indicated they had a general practitioner (GP), 23% (95%CI 21-30%) had
contacted their GP prior to presentation to MMH ED, with 73% (n=73) advised to
attend ED. Of the 73 patients told by their GP to attend ED, 30 (41.1%; 95%CI 31
53%) were admitted, with two patients being transferred to another district
health board (DHB), and the remainder discharged home. Thirty-two percent of the
self-presenting patients came to ED because they felt sick enough to require
emergency care. Comparison of the data for the two time periods indicated only
one significant difference: 14% of patients presented to ED in the morning
because their GP was closed, whereas 28.7% of those who presented after hours did
so for this reason. CONCLUSION: Almost 25% of self-presenting patients had
contacted their GP or a health professional prior to their ED presentation and
were advised to attend ED. The most common reason for patients to self-present at
MMH ED is the belief that a hospital emergency department is the appropriate
service to treat acute sickness. Neither cost nor knowledge of the Shorter Stays
in Emergency Departments Health Target featured as a reason for attendance.
PMID- 24929569
TI - Premature mortality in adults using New Zealand psychiatric services.
AB - AIMS: People with experience of mental illness, in particular those accessing
mental health services, have increased mortality compared to the general
population, but no studies have examined the situation in New Zealand. This study
uses a complete national dataset to estimate mortality rates from natural and
external causes for adults using psychiatric services compared to the general New
Zealand population. METHODS: Routinely collected data on adults aged 18-64 using
secondary mental health services between January 2002 and December 2010 were
linked to death registrations over the same period. Indirect standardisation was
used to estimate the mortality ratio (SMR) for those with any contact with mental
health services over this period compared to the New Zealand population. RESULTS:
Both men and women using mental health services in New Zealand have more than
twice the mortality rate of the total population [combined SMR 2.14 (95% CI 2.09
2.19)], with an increased risk of death from cancer and cardiovascular disease
[SMRs=1.31(1.24-1.37), and 1.69 (1.60-1.79) respectively], and external causes
(suicide and accidents) [SMR 3.11 (3.00-3.23)]. People with a diagnosis of a
psychotic disorder had three times the overall death rate of the population.
CONCLUSIONS: This study confirms that those using mental health services in New
Zealand are dying prematurely from both natural and external causes, and provides
evidence which supports calls for coordinated action on this issue.
PMID- 24929570
TI - Risk factors for general medicine readmissions and association with mortality.
AB - AIMS: To investigate general medicine readmissions for risk factors and
association with mortality. METHOD: A case control study was performed comparing
the characteristics of 30-day general medicine patients readmitted between 1
January to 30 June 2012 to a general medicine service at Capital and Coast
District Health Board (Wellington region, New Zealand) with an equal number of
randomly selected patients not readmitted to the service during the same time
period. RESULTS: 197 patients discharged from general medicine were readmitted
during the 6-month study period. There were no differences in the sex, ethnicity,
residential care at admission, history of dementia, length of admission or
weekend discharge of readmitted patients compared to non-readmitted patients. The
mean age, number of medications and comorbidities score were higher in the
readmission group. Readmission (even after controlling for age, polypharmacy, and
comorbidities) was a strong predictor of 1-year all-cause mortality, with an odds
ratio of 2.2. Twenty-one percent of readmission patients had more than one
general medicine readmission, up to 30 days between each, with even higher
mortality rate compared to one readmission (49% vs. 28%). CONCLUSION: Readmission
to general medicine is strongly associated with older age, polypharmacy, and
multiple comorbidities. Readmission is an independent strong risk factor for 1
year mortality, with this risk increasing after multiple readmissions.
Readmissions can be a marker of deteriorating patient's condition, and a
discussion in relation to prognosis, ceiling of treatment, resuscitation status
documentation and advance directive may be warranted.
PMID- 24929571
TI - Does seasonal level of serum 25-OH vitamin D correlate with the activity of
Crohn's disease?
AB - BACKGROUND AND AIM: Vitamin D has immune modulating effects and normal to high
levels might be correlated with less severe Crohn's disease (CD). We aimed to
review seasonal vitamin D levels in CD patients in correlation with disease
activity. METHODS: CD patients were identified from an inflammatory bowel disease
(IBD) database and given two questionnaires enquiring about vitamin D
supplementation, sun exposure, sunblock application and symptoms to complete the
CDAI. Participants were examined and serum 25-OH vitamin D [25(OH)D] levels and
haematocrit were determined in winter (06/2011-09/2011) and summer (12/2011
03/2012). Patients taking vitamin D supplements or with extensive small bowel
resection were excluded. RESULTS: 32 patients (19 women, mean age 39 plus or
minus 16 years, range 18-73 years), from Dunedin, New Zealand (45 degrees 52' S,
170 degrees 30' E) consented to participate in the study. Of these, three took
vitamin D supplements and were excluded. In winter 76% of the participants had
serum 25(OH)D levels classified as deficient (<50 nmol/L) and all of them had
insufficient 25(OH)D levels (<75 nmol/L). In summer, serum 25(OH)D levels were
deficient only in 10% but insufficient in 55% of the participants. Mean serum
25(OH)D level was 35.9 nmol/L (norm 50-150nmol/L) in winter (range 5-67, SD 17.5)
and 69.6 nmol/L in summer (range 13-119, SD 19.0) (p<0.0005). There was no
significant difference in the seasonal levels of serum 25(OH)D between male and
female participants (p=0.601). Mean CDAI score was 103.9 in winter (range -10
262, SD 76.9) and 90.2 in summer (range -13-331, SD 84.0) (p=0.365). A mixed
effects regression analysis showed no statistically significant correlation
between seasonal levels of serum 25(OH)D and CDAI (p=0.612) among our study
participants. CONCLUSION: Suboptimal levels of serum 25(OH)D were found in the
majority of our study participants particularly in winter and they would benefit
from supplementation. Our study showed no statistically significant correlation
between seasonal serum 25(OH)D levels and CD activity. Given the limitations of
the study, the role of 25(OH)D as a predictor of disease activity could not be
clearly concluded.
PMID- 24929572
TI - From ICU to hospital-wide: extending central line associated bacteraemia (CLAB)
prevention.
AB - AIMS: To decrease hospital-wide central line associated bacteraemia (CLAB) by
spreading the prevention programme beyond the intensive care unit (ICU) in a
secondary care hospital in Auckland, New Zealand. METHOD: Over 15 months, four
general surgical wards, five inpatient units, and surgical theatres adopted the
quality improvement initiative, and were followed for a further 15 months. The
initiative included central line insertion and maintenance checklists, a central
line insertion pack, training in central line care, and a dedicated database. In
addition, a checklist to assess the readiness of each new area was developed;
data collection and analysis processes embedded, with rapid feedback to staff and
in-depth review of all CLAB events. RESULTS: Compliance measures improved
significantly (compliance with insertion increased from a mean of 84% to 92%
p=0.001; maintenance from 64% to 85%, p=0.002). The absolute numbers of CLAB fell
hospital-wide from a mean of 2.3/month to 0.56/month. The rate of CLAB hospital
wide decreased from 7.04/1,000 line days to 1.37/1,000. CONCLUSION: We have
demonstrated that the CLAB prevention work proven effective in the ICU can be
successfully adapted and expanded to the rest of the hospital. As central lines
are increasingly inserted in units outside the ICU, and maintained in general
wards, this work provides some useful insights into tackling this larger problem.
PMID- 24929573
TI - Rising antimicrobial resistance: a strong reason to reduce excessive
antimicrobial consumption in New Zealand.
AB - Steadily rising rates of antimicrobial resistance, in a range of common bacterial
pathogens, are a major threat to human health in New Zealand in the near future.
The single largest contributor to this threat is the high level of antimicrobial
consumption in New Zealand. Antimicrobial consumption in New Zealand needs to be
reduced if we are to slow the spread of antimicrobial-resistant bacteria.
Reporting the per capita antimicrobial consumption within each District Health
Board (DHB), in relation to targets for reductions from present levels of
consumption, could provide an impetus for DHBs to address this threat to the
health of their populations.
PMID- 24929574
TI - Endocrine encephalopathy.
AB - The diagnosis of Hashimoto's encephalopathy is made when no other cause is found
for an acute encephalopathic illness, in the presence of positive thyroid
autoantibodies, and is supported by a response to steroid therapy. A 59-year
woman developed an encephalopathic illness with mixed aphasia, global weakness
and generalised seizures requiring intubation and ICU admission. Extensive
imaging and laboratory investigations looking for an underlying cause for the
encephalopathy were unremarkable. Thyroid autoantibodies were strongly positive,
raising the possibility of Hashimoto's encephalopathy. Thyroid function testing
showed profound primary hypothyroidism. The patient was commenced on high-dose
methyprednisolone, with prompt cessation of seizure activity. Thyroxine
replacement was commenced, with the methyprednisolone switched to oral prednisone
and slowly weaned. The patient had no further seizures and ultimately made a full
recovery.
PMID- 24929575
TI - Medical image. A benign glossal lesion. Geographic tongue.
PMID- 24929576
TI - The leprosy problem in the South Pacific.
PMID- 24929577
TI - Chewing the saturated fat: should we or shouldn't we?
PMID- 24929578
TI - Performance of funded point-of-care capillary blood glucose meters at altitude.
PMID- 24929579
TI - Improving functional annotation for industrial microbes: a case study with Pichia
pastoris.
AB - The research communities studying microbial model organisms, such as Escherichia
coli or Saccharomyces cerevisiae, are well served by model organism databases
that have extensive functional annotation. However, this is not true of many
industrial microbes that are used widely in biotechnology. In this Opinion piece,
we use Pichia (Komagataella) pastoris to illustrate the limitations of the
available annotation. We consider the resources that can be implemented in the
short term both to improve Gene Ontology (GO) annotation coverage based on
annotation transfer, and to establish curation pipelines for the literature
corpus of this organism.
PMID- 24929580
TI - Microparticle, nanoparticle, and stem cell-based oxygen carriers as advanced
blood substitutes.
AB - Here, we discuss recent advances in the development of artificial red blood cell
(RBC) substitutes, illustrating lessons learned from initial attempts using
perfluorocarbon (PFC) emulsions and acellular hemoglobin-based oxygen carriers
(HBOCs). We also highlight novel oxygen-containing microparticles, nanoparticles,
and stem cell-derived RBC products, with emphasis on improvements in
biocompatibility and oxygen delivery. In addition, we envision future
developments for the rational design of advanced blood substitutes that aim to
address unmet clinical needs.
PMID- 24929581
TI - Expression pattern of porcine antimicrobial peptide PR-39 and its induction by
enterotoxigenic Escherichia coli (ETEC) F4ac.
AB - PR-39 is a gene-encoded, proline-arginine-rich porcine antimicrobial peptide with
multiple biological functions. In the current study, the tissue-specific mRNA
expression of PR-39 was investigated in Chinese Jinhua pigs, and the effect of
enterotoxigenic Escherichia coli (ETEC) expressing F4ac (K88ac) fimbriae
challenge on the mRNA expression of PR-39 in various tissues was compared between
Jinhua and Landrace pigs. The three most stable expressed housekeeping genes were
validated before evaluating PR-39 expression. PR-39 mRNA was predominantly
expressed in the bone marrow compared with the spleen, thymus, MLN, liver and
ileum. The ETEC F4ac challenge could up-regulate PR-39 mRNA expression in both
Jinhua and Landrace pigs, but the changes were different between the two breeds.
Jinhua pigs responded more strongly to ETEC F4ac challenge than did Landrace
pigs, because the interaction between the breed and challenge significantly
impact PR-39 mRNA in the thymus, liver and ileum. The PR-39 mRNA expression
levels of challenged Jinhua pigs were significantly higher in the spleen, thymus,
liver, ileum and MLN compared with challenged Landrace pigs. These differences in
the mRNA expression of PR-39 could be a result of genetic differences in the
resistance to ETEC F4ac infection between the two breeds, but this speculation
requires further investigation.
PMID- 24929582
TI - A launching pad.
PMID- 24929583
TI - The moment of opportunity.
PMID- 24929584
TI - Common risk factors in the management of periodontal and associated systemic
diseases: the dental setting and interprofessional collaboration.
AB - There is a role for dentistry in the interprofessional management of chronic
diseases by addressing common risk factors BACKGROUND: A critical scientific
foundation has developed for management of risk factors common to major diseases
including periodontal disease, caries, diabetes, heart disease, and cancer.
PURPOSE: The purpose of this paper is to critically review this scientific
literature. This will provide the basis for the current and future role of the
dental setting in common risk factor identification and modification; with an
emphasis on the role of the dental hygienist. METHODS: A systematic review of the
literature and analysis of the relevant papers was undertaken to support the
recommendations. CONCLUSIONS: We propose that the appropriate risk factor
management procedures be adopted in the dental setting for smoking cessation,
reduction of sugar consumption, and weight control in those patients at risk for
one or a combination of the following diseases: periodontal disease, caries,
diabetes, heart disease and certain cancers.
PMID- 24929586
TI - Saliva and biofilm-based diagnostics: a critical review of the literature
concerning sialochemistry.
AB - There is some evidence to support the use of salivary diagnostics in dentistry.
BACKGROUND AND PURPOSE: The use of saliva as a diagnostic fluid has the potential
to shape the role of oral health care professionals in the health care system.
While more than a handful of chairside diagnostic tests are available for use by
private practitioners, the evidence supporting their use continues to emerge.
METHODS: An electronic search of the literature indexed on the PubMed electronic
database was conducted to identify human clinical trials utilizing commercially
available salivary diagnostics. Papers meeting the inclusion criteria, and any
applicable references were critically appraised following SORT guidelines.
CONCLUSIONS: While the literature concerning salivary analysis is continuously
growing, the limited literature that is available doesn't focus on patient
oriented health outcomes. This 'infant' literature is focused on validating
metrics and identifying biomarkers with diagnostic potential. As such, the
evidence level of the literature is graded as level 3. Despite the lower grade,
the research in this area shows consistent results, coherent conclusions, and
research identifying new biomarkers will provide additional dimensions to
salivary diagnostics.
PMID- 24929585
TI - Obesity and cumulative inflammatory burden: a valuable risk assessment parameter
in caring for dental patients.
AB - A new model of risk assessment that recognizes the importance of reducing
patients' cumulative inflammatory burden by targeting overweight and obesity, in
individuals with periodontal disease, may be a valuable risk assessment parameter
in caring for dental patients. BACKGROUND: The growing body of evidence that
suggests obesity, Metabolic Syndrome and periodontal disease are interrelated
offers an unprecedented opportunity to adopt a new model of risk assessment that
has the potential to beneficially influence not only the periodontal health of
obese and overweight patients, but simultaneously may also reduce a person's
overall risk for developing heart disease and type 2 diabetes, and perhaps other
inflammatory driven disease states. METHODS: This paper presents an overview of
research that builds the case for a new model of risk assessment that focuses on
the cumulative inflammatory burden that may be elevated by the presence of
periodontal disease in obese patients. In addition, the biological plausibility
of the concepts of inflammatory priming and inflammatory loading is discussed,
and several simple ideas are suggested for identifying at-risk patients.
CONCLUSIONS: Given the significant rise in obesity and the impact that obesity
has on periodontal health and other inflammatory driven, systemic disease states,
adoption of a new model of risk assessment is suggested-one that considers an
individual's cumulative inflammatory burden which may be amplified as a result of
coexisting obesity and other components of Metabolic Syndrome and periodontal
disease. Knowledge gathered thus far combined with further clinical research must
be translated into better ways to treat and maintain obese periodontal patients.
These measures may pave the way for prevention of metabolic diseases and obesity
with a relevant impact on patients' periodontal status.
PMID- 24929587
TI - Periodontal debridement: still the treatment of choice.
AB - Periodontal debridement (PD) remains a gold standard for the treatment of
inflammatory periodontitis. BACKGROUND/PURPOSE: The evidence base regarding the
causal relationship between oral biofilm and the host inflammatory response to
the etiology of periodontal disease has substantially increased over the years.
What has not changed significantly during that time is the conservative manner in
which the disease can be treated with periodontal debridement (PD). Since dental
hygienists, in particular, specialize in providing these procedures it is
important to evaluate the evidence that supports periodontal debridement as a
primary and fundamental treatment modality. METHOD: An extensive narrative
literature review that included systematic reviews, examined traditional PD, the
use of adjuncts to enhance PD and newer PD procedures to determine what are the
best practices for achieving optimal clinical outcomes. CONCLUSION: Compared to
surgical therapy, PD results in maintenance of attachment levels over time, but
is not as effective in the initial reduction of probing depths in deep pockets.
Sustained release local drug delivery agents have some modest adjunctive effects
when used with PD, as do systemic antibiotics in aggressive periodontitis cases.
Reported analyses of the long term effects of chemotherapeutic agents usually do
not extend beyond a few months to a year. While laser therapy is still under
investigation it remains as a potential PD therapy. New instruments being refined
to better visualize the root surface either non-surgically or with mini papilla
reflection flaps, hold promise for the future when they become more affordable
and accessible. Despite the development of new technology, it still appears that
periodontal debridement (PD) remains the gold standard for the treatment of
inflammatory periodontitis.
PMID- 24929588
TI - Periodontal regeneration: management of periodontal osseous defects by the
periodontist-dental hygienist team.
AB - Periodontal health can be restored through assessment, diagnosis, treatment and
management of periodontal osseous defects by the periodontist-dental hygienist
team. BACKGROUND AND PURPOSE: Treatment of periodontitis has evolved over time,
with regenerative periodontal therapy at the forefront in cutting-edge
periodontal care. While the techniques and materials available today are allowing
therapists to push the limits of periodontal regeneration and achieve success in
increasingly more difficult cases, the principles of successful regeneration
remain the same. Case selection, identification and resolution of etiologic and
contributing factors, proper surgical technique, follow-up and patient education
are keys to obtaining a successful outcome. The impact of the dental hygienist in
assessment and maintenance is highlighted. METHODS: Literature review of the key
research studies evaluating the etiology and contributing factors in the
development osseous defects, osseous defect and tooth-related characteristics,
and principles of successful regenerative therapy. The authors draw upon their
experience with patient care and clinical research to synthesize the evidence
relevant to today's dental hygienist. CONCLUSIONS: Periodontal regeneration is a
well-supported and predictable therapy that can be utilized to restore
periodontal support and health. The dental hygienist is key in assessing and
caring for the periodontal health of patients over time. Identifying who may
benefit from regenerative periodontal therapy is an essential skill for today's
practicing dental hygienist.
PMID- 24929589
TI - Management of peri-implant disease: a current appraisal.
AB - While the clinical science of managing peri-implant diseases is progressing,
careful monitoring and preventive care of peri-implant tissue health during
maintenance is paramount. BACKGROUND: Implants have become a routine treatment
option for missing dentition. The biological complications of restored dental
implants and associated supra-structures share similarities with the biofilm
infections of natural dentition. Our existing paradigms of periodontal disease
treatment can partially be applied to management of peri-implant disease.
APPROACH: A critical analysis of the peri-implant disease literature was
conducted, anchored by a search on the MEDLINE database (2005 to present) by way
of Ovid Medline((r)). Search terms peri-implantitis, peri-implant mucositis and
peri-implant diseases were utilized. Select references within bibliographies of
review articles were sought. CONCLUSION: The dental team must play a critical
role in educating patients to control plaque-biofilm associated with peri-implant
tissues and associated restorations. Routine assessments at maintenance
appointments allow early treatment intervention to prevent escalation of peri
implant disease. Given the infancy of clinical science surrounding peri
implantitis treatment, further, high-quality evidence based studies are expected.
PMID- 24929590
TI - Mechanical self-performed oral hygiene of implant supported restorations: a
systematic review.
AB - A systematic review of self-performed oral hygiene practices for optimal
maintenance of dental implant-supported restorations reveals a lack of evidence
to support best practices. BACKGROUND: The standard of the patients' home care is
a key factor for long term stability of dental implants and the prevention of
biological complications. The objective of this systematic review is to evaluate
and summarize the literature with respect to various mechanical oral hygiene
modalities around implant-supported restorations. METHODS: MEDLINE, Cochrane
CENTRAL and EMBASE databases were searched up to October 2013 to identify
eligible studies. Five studies that met the inclusion criteria were included. Two
cohort studies about powered toothbrushes and three (randomized) controlled
trials comparing powered to manual toothbrushes were reviewed. All studies showed
an improvement in the clinical parameters over time. Powered toothbrushes were
found to perform better than manual toothbrushes but no firm conclusions can be
made between treatment modalities. CONCLUSIONS: There is a lack of evidence with
respect to effective and optimal self-performed oral hygiene around dental
implants. At present, home care recommendations are based on the knowledge that
is available with respect to cleaning of natural teeth. It becomes evident that
there is an urgent need for academic institutions and industry to initiate and
support high quality randomized controlled clinical trials on this topic in the
near future.
PMID- 24929591
TI - Tooth whitening: what we now know.
AB - Current research about tooth whitening shows that it is safe and effective when
manufacturer's protocol is followed, yet there are risks of which the profession
and users should be aware. This update provides a summary of current research and
assessment of the safety and efficacy of tooth whitening regimens. BACKGROUND:
Tooth whitening has become one of the most frequently requested dental procedures
by the public. The public has come to demand whiter, more perfect smiles and in
response many choices for tooth whitening have been made available. These include
home-based products such as toothpastes, gels, and films, as well as in-office
based systems where products containing highly concentrated bleaching agents are
applied under professional supervision. The profession and public have been aware
of certain risks related to tooth whitening such as increased tooth sensitivity
and gingival irritation. New research has shown that there are other risks such
as tooth surface roughening and softening, increased potential for
demineralization, degradation of dental restorations, and unacceptable color
change of dental restorations. The new research is also focused on optimizing
whitening procedures to reduce tooth sensitivity and to increase the persistence
of the whitening. METHODS: Current reports in the literature are reviewed that
are related to the use of peroxide based whitening methods. These reports include
in vitro studies for method optimization and mechanism as well as clinical
studies on effects of various whitening regimens. CONCLUSIONS: When
manufacturer's instructions are followed, hydrogen peroxide and carbamide
peroxide based tooth whitening is safe and effective. Patients should be informed
of the risks associated with tooth whitening and instructed on identification of
adverse occurrences so that they may seek professional help as needed.
PMID- 24929592
TI - A best practices approach to caries management.
AB - Caries management by risk assessment represents best practices and is an evidence
based model that focuses on treating and preventing disease at the patient level
rather than a surgical/restorative approach at the tooth level. BACKGROUND:
Dental caries is a multifactorial, biofilm and pH mediated disease that affects
people of all ages and disproportionally affects certain populations at epidemic
proportions. Simply restoring cavitated teeth does nothing to resolve the
disease. At the heart of the CAMBRA philosophy is identifying the patient's
unique risk level for future caries disease. This can be done by completing a
caries risk assessment (CRA). Several easy to use CRA questionnaires are
available. Once the patient's unique risk level has been determined, preventive
and therapeutic interventions, based on the specific risk level, can then be
implemented. METHODS: Landmark publications, original research, and systematic
reviews are analyzed and reviewed to form the basis for this shift in patient
care related to caries disease. CONCLUSIONS: Caries management by risk assessment
has emerged as the new paradigm in patient care and represents an evidence-based,
best practices approach with the potential for significant advantages over
traditional methods.
PMID- 24929593
TI - Risk management strategies for reducing oral adverse drug events.
AB - Oral adverse drug effects negatively impact oral health, comfort and function.
BACKGROUND: Patients treated in the oral health care environment take multiple
medications, many of which cause oral complications. Dental professionals are
challenged with making recommendations to prevent or minimize drug-induced oral
disease risks, while reducing symptoms to improve oral health quality of life.
METHODS: This paper presents a critical analysis of current evidence regarding
common oral adverse drug events, and reviews existing clinical practice
guidelines based upon findings from published systematic reviews. RESULTS: There
is a lack of sufficient, high quality evidence to support most recommendations
for interventions to relieve signs and symptoms of drug-induced oral adverse
events. Existing recommendations are largely based on data obtained from
observational studies and case reports, and from randomized controlled clinical
trials with significant design flaws and potential reporting bias. Outcome
measures, especially those related to symptom relief and long-range benefits, are
either insufficient or lacking. CONCLUSIONS: Oral adverse drug effects are a
common problem, and additional data is needed to support best practices for
product recommendations to improve oral health in medicated patients.
PMID- 24929595
TI - Dental hygiene intervention to prevent nosocomial pneumonias.
AB - Nosocomial and ventilator associated pneumonias that plague critically ill,
elderly and long-term care residents could be reduced with effective oral hygiene
practices facilitated collaboratively between nurses and dental hygienists.
BACKGROUND: Nosocomial pneumonias, specifically aspiration pneumonias and
ventilator-associated pneumonias in the elderly and infirm have become a major
health care issue, The provision of oral care in hospital and hospital-like
facilities presents challenges that can prevent patients from receiving optimal
oral care One sequela can be aspiration pneumonia which ranks first in mortality
and second in morbidity among all nosocomial infections. Since aspiration
pneumonia is linked to the colonization of oral bacteria in dental plaque and
biofilm, it is time to look for creative solutions to integrating the expertise
of dental hygienists into health care teams in these institutional settings.
METHODS: A comprehensive review of the literature was conducted regarding the
etiology and prevalence of health care related pneumonias. Evidence describing
the challenges and barriers that the nurses, nursing staff, and dental hygienists
face in the provision of oral care in hospitals and long-term care facilities is
provided. Intercollaborative solutions to providing optimal oral care in
hospitals and long-term care facilities are suggested. CONCLUSION: Dental
hygienists have the expertise and practice experience to provide oral care in
hospitals, long-term care and residential facilities. They can contribute to
solving oral care challenges through intercollaboration with other health care
team members. Yet, there are long-standing systemic barriers that must be
addressed in order to provide this optimal care. Dental hygienists becoming
better assimilated within the total health care team in hospital and residential
facilities can positively impact the suffering, morbidity and mortality
associated with aspiration pneumonias.
PMID- 24929594
TI - Focus on fluorides: update on the use of fluoride for the prevention of dental
caries.
AB - Improving the efficacy of fluoride therapies reduces dental caries and lowers
fluoride exposure. BACKGROUND: Fluoride is delivered to the teeth systemically or
topically to aid in the prevention of dental caries. Systemic fluoride from
ingested sources is in blood serum and can be deposited only in teeth that are
forming in children. Topical fluoride is from sources such as community water,
processed foods, beverages, toothpastes, mouthrinses, gels, foams, and varnishes.
The United States Centers for Disease Control and Prevention (CDC) and the
American Dental Association (ADA) have proposed changes in their long standing
recommendations for the amount of fluoride in community drinking water in
response to concerns about an increasing incidence of dental fluorosis in
children. Current research is focused on the development of strategies to improve
fluoride efficacy. The purpose of this update is to inform the reader about new
research and policies related to the use of fluoride for the prevention of dental
caries. METHODS: Reviews of the current research and recent evidence based
systematic reviews on the topics of fluoride are presented. Topics discussed
include: updates on community water fluoridation research and policies; available
fluoride in dentifrices; fluoride varnish compositions, use, and recommendations;
and other fluoride containing dental products. This update provides insights into
current research and discusses proposed policy changes for the use of fluoride
for the prevention of dental caries. CONCLUSIONS: The dental profession is
adjusting their recommendations for fluoride use based on current observations of
the halo effect and subsequent outcomes. The research community is focused on
improving the efficacy of fluoride therapies thus reducing dental caries and
lowering the amount of fluoride required for efficacy.
PMID- 24929596
TI - Autism spectrum disorders: an update on oral health management.
AB - Dental professionals caring for patients with a diagnosis of autism spectrum
disorder (ASD) will need to provide oral health care based on a family-centered
approach that involves a comprehensive understanding of parental concerns and
preferences, as well as the unique medical management, behaviors, and needs of
the individual patient. BACKGROUND: With the rising prevalence of autism spectrum
disorders (ASD), oral health providers will find themselves increasingly likely
to care for these patients in their daily practice. The purpose of this article
is to provide a comprehensive update on the medical and oral health management of
patients with autism spectrum disorders. METHODS: The authors conducted a
literature review by searching for relevant articles written in English in the
PubMed database pertaining to the medical and oral health management of autism,
including caries status, preventive, behavioral, trauma, and restorative
considerations. CONCLUSIONS: A detailed family centered approach based on
parental preferences and concerns, the patient's challenging behaviors, and
related comorbidities can serve to improve the treatment planning and oral health
management of dental patients with ASD.
PMID- 24929597
TI - Under the influence: informing oral health care providers about substance abuse.
AB - Treating the drug addicted dental patient is different than treating the non
addicted patient due to differences related to the
emotional/behavioral/personality issues of the addict, the addict's often poor
general health and poor nutrition, ongoing problems of oral hygiene and the
effects of drugs on the oral mucosa, gingiva and dentition BACKGROUND: Oral
health care providers need to be aware of the emerging trends in substance abuse,
able to recognize patient's addicted to drugs and to be knowledgeable about the
effects of substance abuse to provide the most efficacious treatment to avoid the
consequences of contraindicated dental procedures and therapy. This article
defines the scope of the problem of drug abuse and provides an overview of
commonly abused substances and their effects on health and oral health. METHODS:
A review of the literature combined with the authors' extensive experience in the
substance abuse field explains parameters of oral health care treatment of the
drug addicted individual for patient and provider safety. CONCLUSIONS: The drug
culture has evolved and the drug user is different. Oral health care providers
need to realize that any patient may be an addict in order to identify them,
provide appropriate oral care and direct them, if they desire, toward appropriate
treatment.
PMID- 24929598
TI - Confronting human papilloma virus/oropharyngeal cancer: a model for
interprofessional collaboration.
AB - A collaborative practice model related to Human Papilloma Virus (HPV) associated
oropharyngeal cancer highlights the role of the dental hygienist in addressing
this condition. BACKGROUND: The incidence of HPV associated head and neck cancer
is rising. Multiple professionals including the dental hygienist can work
collaboratively to confront this growing public health concern. METHODS: A
critical review applies the growth and utilization of interprofessional education
(IPE) and interprofessional collaboration (IPC) to multi-disciplinary models
addressing the human papilloma virus and oropharyngeal cancers. CONCLUSIONS: A
model related to HPV associated oropharyngeal cancer addresses an oral systemic
condition that supports the inclusion of a dental hygienist on collaborative
teams addressing prevention, detection, treatment and cure of OPC.
PMID- 24929599
TI - Proliferative verrucous leukoplakia: an elusive disorder.
AB - Proliferative Verrucous Leukoplakia (PVL) is a multifocal form of progressive
leukoplakia with a high rate of malignant transformation that requires early
recognition by oral health care providers for proper management. BACKGROUND AND
PURPOSE: PVL will frequently appear as an innocuous white lesion or lesions that
can easily be overlooked or considered clinically insignificant, yet it has a
high rate of malignant transformation. There is limited in-depth knowledge about
the pathobiology of PVL. Oral health care providers lack familiarity with this
lesion; consequently the intent of this article is to increase awareness of the
clinical aspects of PVL. METHODS: Case reports, case series and review articles
provide a profile of PVL. CONCLUSION: It is essential that health care providers
performing intraoral examinations are aware that PVL is a distinct and rare form
of multifocal oral leukoplakia. PVL commonly affects females above the age of 62.
Currently, little is known about its etiopathogenesis. Additionally, no specific
treatment modality has proven to be effective in aborting its progression.
Because of its high recurrence potential and relentless progression to squamous
cell carcinoma, all recurrent and multifocal white lesions of the oral cavity
should be viewed with suspicion.
PMID- 24929600
TI - Laser technology to manage periodontal disease: a valid concept?
AB - Present day dental lasers can create oral environments conducive for periodontal
repair. BACKGROUND AND PURPOSE: With the bacterial etiology of periodontitis and
the resulting host inflammatory reaction, clinicians continue to search for
therapeutic modalities to assist in the non-surgical management of periodontal
disease. Traditional chairside therapies consist of mechanical debridement with
manual and/or ultrasonic instrumentation with the objective of removing calculus,
biofilm, and endotoxin from tooth root surfaces. Decreasing the microbial stimuli
and associated end products decreases the inflammatory reaction and allows the
host an opportunity to regenerate tissue through wound healing. The purpose of
this article is to examine whether dental lasers, which have been in use for the
past 3 decades, may augment traditional non-surgical periodontal therapy.
METHODS: Review of research publications related to lasers and non-surgical
periodontics with attention focused on systematic studies. CONCLUSIONS: Studies
utilizing laser technology may demonstrate positive effects on 1) selectively
decreasing the biofilm environment, 2) removing calculus deposits and
neutralizing endotoxin, 3) removing sulcular epithelium to assist in reattachment
and decreased pocket depth, and 4) biostimulation for enhanced wound healing.
Comparisons of studies to determine the difference between lasers and their
respective effects on the periodontium are difficult to assess due to a wide
variation of laser protocols.
PMID- 24929601
TI - Health disparities and the multicultural imperative.
AB - Providing culturally and linguistically appropriate care is a crucial step toward
the elimination of oral health disparities in the United States. BACKGROUND:
Health disparities, coupled with rapidly changing demographic trends, continue to
plague healthcare, the health care workforce and population health. Consequently,
there is still more work indicated to ensure individuals, regardless of race or
ethnicity, receive quality health care at an affordable price. The purpose of
this paper is to increase the awareness of oral health care practitioners about
the causes and consequences of oral health disparities and to highlight promising
strategies aimed at improving effective communication between health care
providers and the patients they serve. METHODS: A narrative utilizing key
publications will explain the concept of the multicultural imperative, and its
direct relationship to the elimination of health disparities including oral
health disparities. CONCLUSIONS: It is essential that oral health professionals
strive to become culturally and linguistically proficient in communicating with
and caring for all our patients. Members of professional organizations and
academic institutions can also work to ensure that both students and current
practitioners have access to a curriculum and continuing education with the
intended outcome of increased cultural proficiency.
PMID- 24929602
TI - Expanding oral care opportunities: direct access care provided by dental
hygienists in the United States.
AB - Dental hygienists expand access to oral care in the United States. BACKGROUND:
Many Americans have access to oral health care in traditional dental offices
however millions of Americans have unmet dental needs. For decades dental
hygienists have provided opportunities for un-served and under-served Americans
to receive preventive services in a variety of alternate delivery sites, and
referral to licensed dentists for dental care needs. METHODS: Publications, state
practice acts, state public health departments, the American Dental Hygienists'
Association, and personal interviews of dental hygiene practitioners were
accessed for information and statistical data. RESULTS: Dental hygienists in 36
states can legally provide direct access care. Dental hygienists are providing
preventive services in a variety of settings to previously un-served and under
served Americans, with referral to dentists for dental needs. CONCLUSION: Dental
hygienists have provided direct access to care in the United States for decades.
The exact number of direct access providers in the United States is unknown.
Limited research and anecdotal information demonstrate that direct access care
has facilitated alternate entry points into the oral health systems for thousands
of previously un-served and underserved Americans. Older adults, persons with
special needs, children in schools, pregnant women, minority populations, rural
populations, and others have benefited from the availability of many services
provided by direct access dental hygienists. Legislatures and private groups are
becoming increasingly aware of the impact that direct access has made on the
delivery of oral health care. Many factors continue to drive the growth of direct
access care. Additional research is needed to accumulate qualitative and
quantitative outcome data related to direct access care provided by dental
hygienists and other mid level providers of oral health services.
PMID- 24929603
TI - Are dental hygienists prepared to work in the changing public health environment?
AB - Health care reform, the changing public health environment, and a lack of clarity
about what defines a 'public health professional' create challenges as well as
opportunities for dental hygienists who wish to pursue positions or careers in
public health. BACKGROUND: Although many studies have been conducted about dental
hygienists in clinical practice, there are few describing dental hygienists
working in public health positions, particularly in non-clinical roles, or how
well their education and other resources prepared them for these roles.
Competency statements and the 10 Essential Public Health Services to Promote Oral
Health in the U.S. provide a public health framework to assess what skills will
be required for future opportunities that may emerge for dental hygienists.
METHODS: Published literature, recent unpublished survey data, selected
professional health care reform documents, competency statements, accreditation
standards, and the 10 Essential Public Health Services to Promote Oral Health in
the U.S. were analyzed. Competencies in public health/dental public health
provide an overview of skills needed by dental hygienists who will be seeking
public health positions. Health reform statements describe the need for more
leadership and workforce models in public health, while the 10 Essential Services
can serve as a framework for career preparation/transition. CONCLUSIONS: The
literature does not provide a comprehensive historical review or current profile
of dental hygienists who work in various public health positions or their various
roles, especially non-clinical roles. More research is needed regarding current
positions, degree and experience requirements, and role responsibilities.
Additionally, the credentials and public health background of the faculty
teaching community/public health courses in dental hygiene programs requires
exploration. Follow-up studies of dental hygiene program graduates could help
determine how well courses prepare students for public health activities or
careers and what resources aid in transitioning from clinical to public health
positions. Dental hygienists need more information about education, continuing
education and employment opportunities related to pursuing a career in public
health.
PMID- 24929604
TI - Elder's oral health crisis.
AB - Dentistry must prepare to meet the challenges of providing oral health services
to the increasing numbers of medically compromised and cognitively impaired older
adults whose care is often complicated by functional, behavioral, and situational
factors. BACKGROUND: With the unprecedented aging of the population, oral health
care providers will be treating a greater number of older adults than in the
past. There will also be a larger frail and vulnerable cohort with physical
and/or cognitive conditions, disabilities and limited financial resources. The
elderly suffer disproportionately from oral disease and limited access to oral
health care. Many older adults are either unwilling or unable to receive routine
care, putting them at greater risk for general and oral complications. Some
present with extensive oral disease, the cumulative effects of disease throughout
their lifetime, an even more complicated situation when frail elders are
homebound or in long-term care institutions. To care optimally for this aging
cohort, oral health professionals need to be knowledgeable about the many
conditions, disabilities and age-related changes associated with aging. METHODS:
Literature review and discussion of the key research studies describing
demographic and societal changes leading to the current multifactorial oral
health care crisis impacting older adults. The authors draw upon the evidence and
their experience in geriatric patient care to provide information relevant to
today's oral health care practitioners treating older adults. CONCLUSION: Oral
health services are an essential component of primary geriatric health care. The
growing population of older and impaired adults requires practitioners who are
sensitive to the myriad of functional, behavioral and situational factors that
impact this aged cohort. Adequate access to quality oral health care for the aged
is a salient public health issue that will require political and psychobiomedical
interprofessional collaboration to adequately address.
PMID- 24929605
TI - Teledentistry: a key component in access to care.
AB - Teledentistry has the potential to address the oral care needs of those who have
limited access to care. More research is needed to establish the evidence base to
support teledentistry practice. BACKGROUND AND PURPOSE: Enormous potential exists
to improve oral health services throughout the world by using information and
communication technologies, such as teledentistry to expand access to primary,
secondary and tertiary care. Comparison of teledentistry procedures with standard
clinical procedures can demonstrate the relative effectiveness and cost of each
approach. However, due to insufficient evidence, it is unclear how these
strategies compare for improving and maintaining oral health, quality of life,
and reducing health care costs. This review discusses the merits of teledentistry
for the delivery of oral care. METHODS: This article summarizes the available
literature related to the efficacy and effectiveness of teledentistry and
presents possible barriers to its broader adoption. CONCLUSIONS: Teledentistry
seems to be a promising path for providing oral health services where there is a
shortage of oral health care providers.
PMID- 24929606
TI - Advancing education in dental hygiene.
AB - The changing health care environment and societal imperatives indicate the need
for transformative change within the dental hygiene profession to serve the
emerging needs of the public. The American Dental Hygienists' Association is
leading the way toward meaningful change. BACKGROUND AND PURPOSE: The American
Dental Hygienists' Association (ADHA) has as its vision the integration of dental
hygienists into the health care delivery system as essential primary care
providers to expand access to oral health care. This article provides data on
current dental hygiene education programs and those in development. Also included
is a discussion regarding how the dental hygiene profession can better serve the
health and wellness needs of society by transforming the way graduates are
prepared for the future. METHOD: ADHA's dental hygiene survey center data,
policies and a futuristic analysis plus a review of the professional literature
describe the current state of dental hygiene education and the profession. A
discussion of societal, health care and educational trends that creates the
imperative for transformation of the dental hygiene profession is provided.
CONCLUSIONS: Ultimately, the purpose of advancing education in dental hygiene is
to achieve better oral and overall health for more people. The profession's
responsibility to the public includes evaluating its own ability to provide care
and taking the steps necessary to ensure its maximum effectiveness. ADHA is
leading this process for dental hygienists in diverse ways. It is imperative that
the dental hygiene profession understands and embraces the changing health care
environment. Through open dialog and the sharing of evidence the professional
path will be determined along with forward movement for the benefit of society
and the dental hygiene profession.
PMID- 24929607
TI - Empowerment through mentorship and leadership.
AB - Leadership is vital to future growth and change in the dental hygiene profession.
BACKGROUND AND PURPOSE: As health care reform emerges, state practice acts expand
and new models of dental hygiene practice are created and implemented, dental
hygienists will assume leadership positions that may be quite different from the
more traditional leadership roles they assume today. These dental hygienist
leaders will envision, creatively design and implement oral health care programs
to improve the oral health of the public. Mentoring, a vital component of
leadership development, is critical for dental hygienists to acquire knowledge,
guidance, and growth. METHODS: This paper provides a literature-supported
overview of leadership and mentoring principles applicable to dental hygienists
in their personal and professional lives. Opportunities for dental hygienists to
assume leadership roles are also described. CONCLUSIONS: Dental hygienists are
poised to become leaders and vital members of the professional team promoting and
integrating oral health care as a part of general health. Consequently, the
dental hygienist's leadership roles are likely to expand and can be strengthened
through mentoring relationships and mentoring teams. Ultimately, this can
increase professional growth and career satisfaction for the dental hygienist as
well as improve oral health care for the public.
PMID- 24929608
TI - Dental hygiene and research: irrevocably connected.
AB - Although remarkable growth has occurred in the dental hygiene research arena, an
increased emphasis on research development will facilitate the designation of
dental hygiene as a true discipline. BACKGROUND: Dental hygienists have
participated in research for nearly 100 years. Support for research development
has increased due to contributions of the American Dental Hygienists' Association
and other grant monies that have funded seminal educational endeavors to elevate
the research skills of dental hygienists. Dental hygiene initiated research
endeavors, the advent of doctoral degree programs in dental hygiene, expansion of
the dental hygiene body of knowledge, and efforts toward interprofessional
collaboration continue to elevate the profession of dental hygiene while
addressing the oral health needs of the public. METHODS: The research focus in
dental hygiene is reviewed. Landmark events that have supported research
endeavors are described and examples of historically important global
contributions made by dental hygienists are chronicled. CONCLUSIONS: Further
development of a body of dental hygiene research will help position the
profession alongside other academically recognized health care disciplines. A
small, dedicated group of dental hygienists have worked toward advancing the
profession in this way, but additional growth is essential if dental hygiene is
to be considered a true discipline. One such initiative on the near horizon is
the doctoral degree in dental hygiene.
PMID- 24929609
TI - Critical thinking in patient centered care.
AB - Health care providers can enhance their critical thinking skills, essential to
providing patient centered care, by use of motivational interviewing and evidence
based decision making techniques. BACKGROUND AND PURPOSE: The need for critical
thinking skills to foster optimal patient centered care is being emphasized in
educational curricula for health care professions. The theme of this paper is
that evidence-based decision making (EBDM) and motivational interviewing (MI) are
tools that when taught in health professions educational programs can aid in the
development of critical thinking skills. This paper reviews the MI and EBDM
literature for evidence regarding these patient-centered care techniques as they
relate to improved oral health outcomes. METHODS: Comparisons between critical
thinking and EBDM skills are presented and the EBDM model and the MI technique
are briefly described followed by a discussion of the research to date.
CONCLUSIONS: The evidence suggests that EBDM and MI are valuable tools; however,
further studies are needed regarding the effectiveness of EBDM and MI and the
ways that health care providers can best develop critical thinking skills to
facilitate improved patient care outcomes.
PMID- 24929610
TI - Technology is a critical game changer to the practice of dental hygiene.
AB - Dental hygienists will need to embrace 21st century technology to adapt to
workplace settings. BACKGROUND AND PURPOSE: To stay relevant in the workforce,
dental hygienists need mastery of new skills and technologies. The purpose of
this paper is to elucidate the vast array of technological advances impacting
dental practice and the consequent implications for oral health care providers.
CRITICAL ANALYSIS: New technologies have provided unparalleled opportunities for
degree and career advancement for dental hygienists. Advances in science and
technology are providing patients with better quality and more convenient oral
health care. Dental hygienists need technological skills that enable them to
fully utilize technology as a strategy for consultation with dentists and other
health care professionals and for other purposes. Continuing education and life
long learning factor into preparing dental hygienists for 21st century
technologies. CONCLUSION: With technological advances, less adaptive
professionals could potentially see a decrease in demand for their services.
Possessing a high level of knowledge of dentistry and dental hygiene does not
ensure a position in the workforce. Knowledge of technologies and associated
skills are required for quality patient care and career and personal growth.
PMID- 24929611
TI - Pediatric burns in military hospitals of China from 2001 to 2007: a retrospective
study.
AB - BACKGROUND: Childhood burns are a global health problem. To date, no
epidemiological study with a large sample size of hospitalized pediatric burn
patients from the Chinese mainland has been conducted. This study retrospectively
analyzed pediatric burn cases to identify the characteristics of pediatric burns
and their risk factors in China. METHODS: Data for pediatric burn inpatients
younger than 14 years were retrieved from the Chinese Trauma Databank (CTDB). The
epidemiological characteristics of pediatric burns and risk factors for mortality
were analyzed. RESULTS: A total of 61,068 cases were included in the study.
Children under 3 years old were at the highest risk of injury. Scalds were the
commonest burns (87.59%). Flame burns occurred more in winter, and electrical
burns occurred mainly in July and August. Age, etiology, depth of injury, total
body surface area (TBSA), site of injury, and outcome were correlated with length
of hospital stay. Risk factors for pediatric burn mortality included being male,
having third degree burns, >=30% TBSA, and having multi-site burns. CONCLUSION:
The results showed the epidemiological characteristics of pediatric burns in
China, which differ from those reported for other countries and regions. These
characteristics can be used to develop measures to prevent pediatric burns.
PMID- 24929612
TI - Effects of different unstable sole construction on kinematics and muscle activity
of lower limb.
AB - Unstable sole construction can change biomechanics of lower extremity as
highlighted by some previous studies, which could potentially help developing
special training or rehabilitation schemes. In this study, unstable elements are
fixed in heel and forefoot zone to exert unstable perturbations, and the position
changes (medial, neutral and lateral) of unstable elements in forefoot coronal
plane are adjusted to analyze changes of lower extremity kinematics and muscle
activities. Twenty-two healthy male subjects participated in the test, walking
with control shoes and experimental shoes randomly under self-selected speed.
Kinematics and surface electromyography measurements were carried out
simultaneously. It is found that experimental shoes can lead to the reduction of
knee abduction and internal rotation and hip internal rotation, with p<.05. Ankle
inversion and internal rotation amplitude were also reduced, which are associated
with significantly increased activation levels of muscles (TA-tibialis anterior,
PL-peroneus longus, LG-lateral gastrocnemius) in order to compensate
perturbations. It is suggested that a training equipment incorporating unstable
elements would enhance postural control by adjusting lower extremity kinematics
and reorganizing muscle activity. More research can be conducted to testify the
feasibility of unstable shoes construction on human postural control and gait,
even guide training regime design, injury prevention and rehabilitation.
PMID- 24929613
TI - Frequency and pattern of voluntary pedalling is influenced after one week of
heavy strength training.
AB - Changes in voluntary rhythmic leg movement characteristics of freely chosen
cadence (reflecting movement frequency) and tangential pedal force profile
(reflecting movement pattern) were investigated during 4weeks of (i) heavy hip
extension strength training (HET, n=9), (ii) heavy hip flexion strength training
(HFT, n=9), and (iii) no intervention (CON, n=9). Training consisted of three 5RM
10RM sets per session, with two sessions/week. Submaximal ergometer cycling was
performed before the training period (pretest) and after every week of training
(test A1, A2, A3, and posttest). Strength increased by on average 25% in HET and
33% in HFT. Freely chosen cadence was only changed in HET, occurring already
after 1week of training. Thus, percentage reductions of cadence in HET at test
A1, A2, A3, and posttest, with respect to the pretest value, amounted for
maximally on average 17%, or 14rpm, and were larger than the corresponding
changes in CON (p=.037). Percentage increases in minimum tangential pedal force
in HET at test A1, A2, A3, and posttest, with respect to the pretest value, were
larger than the corresponding changes in CON (p=.024). Heavy hip flexion strength
training did not cause such alterations.
PMID- 24929614
TI - Family context assessment in a public health study.
AB - OBJECTIVES: To analyze the factorial structure of a new instrument to assess the
quality of the family context (Etxadi-Gangoiti Scale) in a sample from the
Gipuzkoa cohort of the Environment and Childhood (Infancia y Medio Ambiente
[INMA]) study. METHODS: Families in a sample of 433 two-year-old children were
assessed in a home visit with subsequent analysis of the factorial structure and
psychometric properties of the data. RESULTS: An exploratory factorial analysis
(principal axis factoring and varimax rotation) and a confirmatory factorial
analysis were carried out; partial confirmation of the original factorial
structure of the instrument was obtained, which revealed the following factorial
structures. Subscale (1): promotion of cognitive and linguistic development,
social skills, psychomotor skills, and pretend play and imitation; subscale (2):
promotion of independence and self-esteem, provision of optimal frustration,
social and emotional quality of the relationship, and absence of physical
punishment; subscale (3): paternal involvement, low exposure to family conflict,
low frequency of family conflict, relationship with the extended family, social
support, diversity of experiences, low frequency of stressful events, and low
parental perception of stress. DISCUSSION: The structure of the original
instrument structure was partially confirmed, which was attributed to the
characteristics of the sample. We stress the importance of the variability
obtained in the evaluation of the families, as well as of adequate indicators of
reliability in such evaluation. The new instrument could be used in public health
to identify deficient family contexts and to design preventive interventions
focused on parenting skills.
PMID- 24929616
TI - Scavenger receptor-recognized and enzyme-responsive nanoprobe for fluorescent
labeling of lysosomes in live cells.
AB - Lysosomal imaging represents a potent tool for investigating the organization of
related cellular events and their modulation via diagnostic and therapeutic
approaches. However, specific labeling of the lysosome in live cells is a
significant challenge. Taking advantage of the inherent lysosomal entry of
nanoparticles and unique digestive inclusions in the lysosome, we developed a
nanoparticle-based, enzyme-switchable fluorescence OFF-ON strategy for specific
labeling of the lysosome and further imaging of extracellular acidification
induced lysosome trafficking in living cells. The nanoprobe comprised a 16 nm
spherical gold nanoparticle as the core and an enzyme-responsive oligomer of
fluorescein-conjugated oligo(4-vinyl-phenyl phosphate) as the shell. Due to
quenching of the core gold nanoparticle, the nanoprobe was non-fluorescent. After
incubation with cancer cells, the nanoprobe was rapidly internalized via
scavenger receptor-mediated endocytosis and significantly shuffled into the
lysosome. The nanoprobe specifically lighted up the lysosome owing to lysosome
induced fluorescence enhancement. Specifically, digestive inclusions in the
lysosome hydrolyzed and released gold-quenched fluorescein molecules, leading to
significant augmentation of fluorescence. On account of specific lysosomal
labeling, the nanoprobe effectively facilitated imaging of a 4-6 MUm anterograde
trafficking event of the lysosome from the perinuclear region to the cell surface
when an acidic extracellular environment developed. Our findings collectively
highlight the use of nanoprobes for lysosomal imaging.
PMID- 24929615
TI - Electropolymerization of dopamine for surface modification of complex-shaped
cardiovascular stents.
AB - Inspired by the adhesion strategy of marine mussels, self-polymerization of
dopamine under alkaline condition has been proven to be a simple and effective
method for surface modification of biomaterials. However, this method still has
many drawbacks, such as the use of alkaline aqueous medium, low poly(dopamine)
deposition rate, and inefficient utilization of dopamine, which greatly hinder
its practical application. In the present study, we demonstrate that
electropolymerization of dopamine is a facile and versatile approach to surface
tailoring of metallic cardiovascular stents, such as small and complex-shaped
coronary stent. Electropolymerization of dopamine leads to the formation of a
continuous and smooth electropolymerized poly(dopamine) (ePDA) coating on the
substrate surface. This electrochemical method exhibits a higher deposition rate
and is more efficient in dopamine utilization compared with the typical self
polymerization method. The ePDA coating facilitates the immobilization of
biomolecules onto substrates to engineer biomimetic microenvironments. In vitro
and in vivo experiments demonstrate that ePDA coating functionalized with
vascular endothelial growth factor can greatly enhance the desired cellular
responses of endothelial cells and prevent the neointima formation after stent
implantation. The proposed methodology may find applications in the area of
metallic surface engineering, especially for the cardiovascular stents and
potentially all biomedical devices with electroconductive surface as well.
PMID- 24929619
TI - Matrix metalloproteinase 2-responsive micelle for siRNA delivery.
AB - Systemic delivery of small interfering RNA (siRNA) into cancer cells remains the
major obstacle to siRNA drug development. An ideal siRNA delivery vehicle for
systemic administration should have long circulation time in blood, accumulate at
tumor site, and sufficiently internalize into cancer cells for high-efficiency of
gene silence. Herein, we report a core-shell Micelleplex delivery system that
made from block copolymer bearing poly(ethylene glycol) (PEG), matrix
metalloproteinase 2 (MMP-2)-degradable peptide PLG*LAG, cationic cell penetrating
peptide polyarginine r9 and poly(epsilon-caprolactone) (PCL) for siRNA delivery.
We show clear evidences in vitro and in vivo to prove that the micelle carrying
siRNA can circulate enough time in blood, enrich accumulation at tumor sites,
shed the PEG layer when triggered by tumor overexpressing MMP-2, and then the
exposing cell penetrating peptide r9 enhanced cellular uptake of siRNA.
Accordingly, this design strategy enhances the inhibition of breast tumor growth
following systemic injection of this system carrying siRNA against Polo-like
kinase 1, which demonstrating this Micelleplex can be a potential delivery system
for systemic siRNA delivery in cancer therapy.
PMID- 24929618
TI - Mesoporous NaYbF4@NaGdF4 core-shell up-conversion nanoparticles for targeted drug
delivery and multimodal imaging.
AB - We developed a facile strategy to obtain a new kind of mesoporous core-shell
structured up-conversion nanoparticles (mUCNPs), composed of a NaYbF4:2%Er core
and a mesoporous NaGdF4 shell. This mesoporous shell not only enhanced the up
conversion luminescence but also endowed many other functionalities of the
nanoparticles such as drug delivery and bio-imaging capabilities. Moreover, after
being conjugated with polyethylenimine (PEI) and folic acid (FA), core-shell
mUCNPs exhibited good water dispersibility, enhanced drug delivery efficiency,
and remarkable targeting ability to cancer cells. To certify the folate receptors
(FR)-mediated targeted drug delivery, cell viability assay, cell up-conversion
luminescence imaging and flow cytometry analysis were carried out. Furthermore,
apart from the application for targeted drug delivery, the as-prepared core-shell
mUCNPs could also be employed as the contrast agents for X-ray computed
tomography (CT) and magnetic resonance (MR) imaging, because of the strong X-ray
attenuation ability of Yb and high longitudinal molar relaxivity (r1) of Gd in
the nanoparticles, providing the potential for simultaneously bio-imaging and
cancer-targeting therapy.
PMID- 24929617
TI - The influence of extracellular matrix composition on the differentiation of
neuronal subtypes in tissue engineered innervated intestinal smooth muscle
sheets.
AB - Differentiation of enteric neural stem cells into several appropriate neural
phenotypes is crucial while considering transplantation as a cellular therapy to
treat enteric neuropathies. We describe the formation of tissue engineered
innervated sheets, where intestinal smooth muscle and enteric neuronal progenitor
cells are brought into close association in extracellular matrix (ECM) based
microenvironments. Uniaxial alignment of constituent smooth muscle cells was
achieved by substrate microtopography. The smooth muscle component of the tissue
engineered sheets maintained a contractile phenotype irrespective of the ECM
composition, and generated equivalent contractions in response to potassium
chloride stimulation, similar to native intestinal tissue. We provided enteric
neuronal progenitor cells with permissive ECM-based compositional and
viscoelastic cues to generate excitatory and inhibitory neuronal subtypes. In the
presence of the smooth muscle cells, the enteric neuronal progenitor cells
differentiated to functionally innervate the smooth muscle. The differentiation
of specific neuronal subtypes was influenced by the ECM microenvironment, namely
combinations of collagen I, collagen IV, laminin and/or heparan sulfate. The
physiology of differentiated neurons within tissue engineered sheets was
evaluated. Sheets with composite collagen and laminin had the most similar
patterns of Acetylcholine-induced contraction to native intestinal tissue,
corresponding to an increased protein expression of choline acetyltransferase. An
enriched nitrergic neuronal population, evidenced by an increased expression of
neuronal nitric oxide synthase, was obtained in tissue engineered sheets that
included collagen IV. These sheets had a significantly increased magnitude of
electrical field stimulated relaxation, sensitive maximally to nitric oxide
synthase inhibition. Tissue engineered sheets containing laminin and/or heparan
sulfate had a balanced expression of contractile and relaxant motor neurons. Our
studies demonstrated that neuronal subtype was modulated by varying ECM
composition. This observation could be utilized to derive enriched populations of
specific enteric neurons in vitro prior to transplantation.
PMID- 24929620
TI - Adenoviral vectors coated with cationic PEG derivatives for intravaginal
vaccination against HIV-1.
AB - Mucus layer coating the vaginal epithelium represents a barrier for
intravaginally delivered recombined adenoviral (rAd) vectors, but it could be
overcome by proper polyethylene glycol (PEG) modification. Here we synthesized
two cationic PEG derivatives, amino-(EO)n/(AGE)m-Cyss (APCs). The polymers
contained neutral linear PEG (2-5 kDa) to provide a hydrophilic surface and amine
pendants to provide positive charge for coating negatively charged rAd by
physical adsorption. Given proper molecular composition, the polymer (5k-APC)
could coat rAd without causing aggregation, facilitating its mucus penetrating
ability and enhancing gene expression both in vitro and in vivo. With HIVgag as
the model antigen, the polymer-rAd complexes were administered intravaginally to
elicit both systemic and mucosal immune responses. 5k-APC-rAd immunization
elicited robust HIVgag-specific cellular responses and also induced higher
antigen-specific serum IgG. More importantly, mice immunized with 5k-APC-rAd
showed higher level of IgA in vaginal lavage fluid. These findings suggest that
5k-APC-rAd is a promising system for intravaginal immunization against infectious
diseases such as HIV within the vaginal tract.
PMID- 24929621
TI - Author's reply: To PMID 24268420.
PMID- 24929622
TI - Theme issue 5th World Conference on Drug Absorption, Transport and Delivery.
PMID- 24929623
TI - Comparison of racial differences in plaque composition and stenosis between HIV
positive and HIV-negative men from the Multicenter AIDS Cohort Study.
AB - Previous studies demonstrated that blacks have less coronary artery calcification
(CAC) than whites. We evaluated racial differences in plaque composition and
stenosis in the Multicenter AIDS Cohort Study. HIV-positive and HIV-negative men
underwent noncontrast cardiac computed tomography (CT) if they were aged 40 to 70
years, weighed <136 kg, and had no history of cardiac surgery or
revascularization and, if eligible, coronary CT angiography (CTA). There were
1,001 men who underwent CT scans and 759 men CTA. We measured CAC on noncontrast
CT and identified total plaque, noncalcified plaque, calcified plaque, mixed
plaque, and coronary stenosis >50% on CTA. The association of presence and extent
of plaque with race was determined after adjustment for HIV serostatus,
cardiovascular risk factors, and measures of socioeconomic status. The
prevalences of any plaque on CTA and noncalcified plaque were not different
between black and white men; however, black men had lower prevalences of CAC
(prevalence ratio [PR] 0.79, p = 0.01), calcified plaque (PR 0.69, p = 0.002),
and stenosis >50% (PR 0.59, p = 0.009). There were no associations between black
race and extent of plaque in fully adjusted models. Using log-linear regression,
black race was associated with a lower extent of any plaque on CTA in HIV
positive men (estimate = -0.24, p = 0.051) but not in HIV-negative men (0.12, p =
0.50, HIV interaction p = 0.005). In conclusion, a lower prevalence of CAC in
black compared with white men appears to reflect less calcification of plaque and
stenosis rather than a lower overall prevalence of plaque.
PMID- 24929624
TI - Impact of sitagliptin on carotid intima-media thickness in patients with coronary
artery disease and impaired glucose tolerance or mild diabetes mellitus.
AB - Sitagliptin has been widely used for the treatment of diabetes and shown recently
to have beneficial pleiotropic outcomes on cardiovascular systems in experimental
studies. However, little is known about the influence of sitagliptin on
atherosclerosis-related cardiovascular diseases in a clinical setting. This study
examined the effect of sitagliptin on carotid intima-media thickness (IMT). A
total of 76 patients with clinically stable and documented coronary artery
disease, who were newly diagnosed with impaired glucose tolerance or mild type 2
diabetes mellitus, were allocated, randomly, to receive either sitagliptin 100
mg/day or the placebo control. Common carotid IMT, glucose profiles, glycosylated
hemoglobin (HbA1c), and lipid profiles were measured at baseline and repeated at
12 months. Sitagliptin-treated patients showed less IMT progression than the
control group (p = 0.02). In addition, the sitagliptin group showed greater
reductions in body weight (2.2%), 2-hour glucose levels on the 75-g oral glucose
tolerance test (17.3%), HbA1c (4.7%), and low-density lipoprotein cholesterol
levels (7.9%) from that at baseline. In conclusion, treatment with sitagliptin
for 12 months was associated with a beneficial effect in the prevention of
carotid IMT progression, compared with the diet control.
PMID- 24929626
TI - Decreased bone marrow stromal cells activity involves in unilateral anterior
crossbite-induced early subchondral bone loss of temporomandibular joints.
AB - OBJECTIVE: Subchondral bone loss in mandibular condyles was reported to be
induced by experimentally created unilateral anterior crossbite (UAC) which
altered the occlusal load distribution and hereafter the temporomandibular joint
(TMJ) remodelling process. However, the initial cellular responses are poorly
understood. In the present study, changes in osteoblast and osteoclast activities
in TMJ subchondral bone were investigated using the rats treated with UAC.
DESIGN: Forty rats were randomly divided into UAC and control groups, and sampled
at 2 weeks after the operation. Subchondral bone loss was evaluated by micro-CT.
Osteoclast and osteoblast activities were analyzed by real-time PCR. The
osteoblast differentiation of the locally isolated BMSCs from TMJ subchondral
bone was assessed by Alizarin red staining. The migration of BMSCs was detected
by transwell assays. RESULTS: Compared with the age-matched controls, TMJ
subchondral bone loss was observed in the UAC-treated rats (p<0.05). The
osteoblast activity evaluated by real-time PCR and osteoblast number revealed by
immunohistochemical staining were reduced in the TMJ subchondral bone of UAC rats
(p<0.05), and the capability of proliferation, migration and osteoblast
differentiation were all decreased in the locally isolated BMSCs from the UAC
group (p<0.05). CONCLUSIONS: The present data demonstrated an involvement of
reduced BMSCs activity in the initiation of the mandibular subchondral bone loss
at the early stage of installation of the aberrant prostheses.
PMID- 24929625
TI - Usefulness of electrocardiographic QRS/T angles with versus without bundle branch
blocks to predict heart failure (from the Atherosclerosis Risk in Communities
Study).
AB - Repolarization abnormalities in the setting of bundle branch blocks (BBB) are
generally ignored. We used Cox regression models to determine hazard ratios (HRs)
with 95% confidence intervals (CIs) for incident heart failure (HF) associated
with wide spatial and frontal QRS/T angle (upper twenty-fifth percentile of each)
in men and women with and without BBB. This analysis included 14,478 participants
(54.6% women, 26.4% blacks, 377 [2.6%] with BBB) from the Atherosclerosis Risk in
Communities Study who were free of HF at baseline. Using No-BBB with normal
spatial QRS/T angle as the reference group, the risk for HF in multivariable
adjusted models was increased 51% for No-BBB with wide spatial QRS/T angle (HR
1.51, 95% CI 1.37 to 1.66), 48% for BBB with normal spatial QRS/T angle (HR 1.48,
95% CI 1.17 to 1.88), and the risk for incident HF was increased more than
threefold for BBB with wide spatial QRS/T angle (HR 3.37, 95% CI 2.47 to 4.60).
The results were consistent across subgroups by gender. Similar results were
observed for the frontal plane QRS/T angle. In the pooled BBB group excluding
right BBB, a positive T wave in lead aVR and heart rate 70 bpm and higher were
also potent predictors of incident HF similar to the QRS/T angles. In conclusion,
both BBB and wide QRS/T angles are predictive of HF, and concomitant presence of
both carries a much higher risk than for either predictor alone. These findings
suggest that repolarization abnormalities in the setting of BBB should not be
considered benign or an expected consequence of BBB.
PMID- 24929627
TI - [Not Available].
PMID- 24929629
TI - Applying the min-projection strategy to improve the transient performance of the
three-phase grid-connected inverter.
AB - Applying the min-projection strategy (MPS) to a three-phase grid-connected
inverter to improve its transient performance is the main objective of this
paper. For this purpose, the inverter is first modeled as a switched linear
system. Then, the feasibility of the MPS technique is investigated and the
stability criterion is derived. Hereafter, the fundamental equations of the MPS
for the control of the inverter are obtained. The proposed scheme is simulated in
PSCAD/EMTDC environment. The validity of the MPS approach is confirmed by
comparing the obtained results with those of VOC method. The results demonstrate
that the proposed method despite its simplicity provides an excellent transient
performance, fully decoupled control of active and reactive powers, acceptable
THD level and a reasonable switching frequency.
PMID- 24929630
TI - Hinfinity mode-dependent fault detection filter design for stochastic Markovian
jump systems with time-varying delays and parameter uncertainties.
AB - This paper deals with the problem of robust Hinfinity fault detection for a class
of stochastic Markovian jump systems (SMJSs) The aim is to design a linear mode
dependent fault detection filter such that the fault detection system is not only
stochastically asymptotically stable in the large, but also satisfies a
prescribed Hinfinity-norm level for all admissible uncertainties. By using
Lyapunov stability theory and generalized Ito formula, some novel mode-dependent
and delay-dependent sufficient conditions in terms of linear matrix inequality
(LMI) are proposed to insure the existence of the desired fault detection filter.
A simulation example and an industrial nonisothermal continuous stirred tank
reactor (CSTR) system are employed to show the effectiveness of the proposed
method.
PMID- 24929631
TI - Risks and prevention of severe RS virus infection among children with
immunodeficiency and Down's syndrome.
AB - By the age of two years, almost all infants are infected with the Respiratory
syncytial virus (RSV). One of the main causes of hospitalizations for
bronchiolitis and pneumonia at this age is RSV infection. In addition to well
known risks for severe RSV disease, such as prematurity, bronchopulmonary
dysplasia and congenital heart disease, immunodeficiencies, chromosomal
abnormalities such as Down's syndrome or neuromuscular diseases have also been
identified as risks. While the medical needs for RSV prevention in these risk
groups are high, clinical evidence to support this is limited. Palivizumab was
recently approved in Japan for prophylaxis in children with immunodeficiency or
Down's syndrome. A clinical guidance protocol for the prevention of RSV infection
using Palivizumab in these risk groups is provided here on the basis of a review
of the available literature and on expert opinion. Thus, the present article
reviews the published literature related to RSV infections in infants and
children with immunodeficiencies or Down's syndrome in order to outline the
risks, pathology and physiology of severe RSV disease in these patient groups.
The purpose of this article is to facilitate understanding of the medical
scientific bases for the clinical guidance.
PMID- 24929628
TI - Eri1: a conserved enzyme at the crossroads of multiple RNA-processing pathways.
AB - Eri1 is an evolutionarily conserved 3'-5' exoribonuclease that participates in
5.8S rRNA 3' end processing and turnover of replication-dependent histone mRNAs.
Over the course of evolution, Eri1 has also been recruited into a variety of
conserved and species-specific regulatory small RNA pathways that include
endogenous small interfering (si)RNAs and miRNAs. Recent advances in Eri1 biology
illustrate the importance of RNA metabolism in epigenetic gene regulation and
illuminate common principles and players in RNA biogenesis and turnover. In this
review, we highlight Eri1 as a member of a growing class of ribosome- and histone
mRNA-associated proteins that have been recruited into divergent RNA metabolic
pathways. We summarize recent advances in the understanding of Eri1 function in
these pathways and discuss how Eri1 impacts gene expression and physiology in a
variety of eukaryotic species. This emerging view highlights the possibility for
crosstalk and coregulation of diverse cellular processes regulated by RNA.
PMID- 24929632
TI - A comparison of two methods of foot health education: the Fremantle Diabetes
Study Phase II.
AB - AIMS: To compare the effectiveness of two different methods of education on foot
health, behaviours and attitudes in patients with type 2 diabetes. METHODS:
Community-based patients were consecutively allocated to written education (Group
A) or an interactive educator-led session (Group B). A quantitative Foot Score
(maximum 90 points score based on severity of treatable pathology), the
Nottingham Assessment of Functional Foot Care (NAFFC) survey score (maximum 30
points reflecting frequency of foot care behaviours) and a 6-question survey of
attitudes to foot complications were administered at baseline and 3 months.
RESULTS: 154 patients (mean+/-SD age 68+/-10 years, 59.7% males, median
[interquartile range] diabetes duration 11.5 [5.6-18.9] years) were recruited.
There was a greater change (Delta) in Foot Score from baseline to 3 months in
Group A (8.3+/-3.6, Delta-1.8 (95% CI: -2.4 to -1.2) vs Group B (6.8+/-2.6, Delta
0.1 (-0.7 to 0.4); P<0.001), but no change in NAFFC survey score in either group
(P=0.13). In the attitudes survey, Group B felt they better understood how to
prevent foot complications than Group A after education (P=0.031). CONCLUSIONS:
Written information was more effective at improving foot health while interactive
education improved confidence in undertaking preventive measures, suggesting that
the most effective foot care education should include both components.
PMID- 24929633
TI - Cost-effectiveness of an electronic medication ordering system (CPOE/CDSS) in
hospitalized patients.
AB - INTRODUCTION: Prescribing medication is an important aspect of almost all in
hospital treatment regimes. Besides their obviously beneficial effects, medicines
can also cause adverse drug events (ADE), which increase morbidity, mortality and
health care costs. Partially, these ADEs arise from medication errors, e.g. at
the prescribing stage. ADEs caused by medication errors are preventable ADEs.
Until now, medication ordering was primarily a paper-based process and
consequently, it was error prone. Computerized Physician Order Entry, combined
with basic Clinical Decision Support System (CPOE/CDSS) is considered to enhance
patient safety. Limited information is available on the balance between the
health gains and the costs that need to be invested in order to achieve these
positive effects. Aim of this study was to study the balance between the effects
and costs of CPOE/CDSS compared to the traditional paper-based medication
ordering. METHODS: The economic evaluation was performed alongside a clinical
study (interrupted time series design) on the effectiveness of CPOE/CDSS,
including a cost minimization and a cost-effectiveness analysis. Data collection
took place between 2005 and 2008. Analyses were performed from a hospital
perspective. The study was performed in a general teaching hospital and a
University Medical Centre on general internal medicine, gastroenterology and
geriatric wards. Computerized Physician Order Entry, combined with basic Clinical
Decision Support System (CPOE/CDSS) was compared to a traditional paper based
system. All costs of both medication ordering systems are based on resources used
and time invested. Prices were expressed in Euros (price level 2009).
Effectiveness outcomes were medication errors and preventable adverse drug
events. RESULTS: During the paper-based prescribing period 592 patients were
included, and during the CPOE/CDSS period 603. Total costs of the paper-based
system and CPOE/CDSS amounted to ?12.37 and ?14.91 per patient/day respectively.
The Incremental Cost-Effectiveness Ratio (ICER) for medication errors was 3.54
and for preventable adverse drug events 322.70, indicating the extra amount (?)
that has to be invested in order to prevent one medication error or one pADE.
CONCLUSIONS: CPOE with basic CDSS contributes to a decreased risk of preventable
harm. Overall, the extra costs of CPOE/CDSS needed to prevent one ME or one pADE
seem to be acceptable.
PMID- 24929634
TI - Prevalence of ultrasound-detected residual synovitis and risk of relapse and
structural progression in rheumatoid arthritis patients in clinical remission: a
systematic review and meta-analysis.
AB - OBJECTIVES: The aims of this study were to assess the prevalence of US-detected
residual synovitis in patients with RA in clinical remission (CR) and evaluate
its predictive value for relapse and structural progression. METHODS: We
performed a systematic literature search of Medline, Embase and rheumatology
meeting databases from 1 January 2001 to 28 May 2012. The prevalence of US grey
scale (USGS) signals (synovial hypertrophy or joint effusion) and power Doppler
(PD) signals were collected, taking into account CR definitions [44-joint DAS
(DAS44), 28-joint DAS (DAS28), SDAI, ACR 1981 or ACR/European League Against
Rheumatism 2011], stage of RA (early or long-standing) and US examination (from 5
to 44 joints assessed). A meta-analysis assessing the risk of relapse or
structural progression in patients with synovitis involved the Mantel-Haenszel
method. RESULTS: We included 19 studies of 1618 patients, 1369 in remission. The
prevalence of USGS positive (USGS+), USGS+/PD negative (PD-), USGS+/PD positive
(PD+) and USGS negative (USGS-/PD- was 84%, 41%, 44% and 15%, respectively. The
prevalence of USGS+ or USGS+/PD+ was comparable among CR definitions and US
methods. The prevalence of USGS+ and USGS+/PD+ was greater for long-standing than
early RA (P < 0.001). Meta-analyses of five studies (271 patients), three studies
(173 patients) and two studies (798 joints) revealed an association of USGS+/PD+
and risk of relapse [odds ratio (OR) 3.2 (95% CI 1.8, 5.9), P = 0.0001, I(2) =
0%] and structural progression in individual patients [OR 9.13 (95% CI 1.1,
74.3), P = 0.04, I(2) = 43%] and joints [OR 6.95 (95% CI 3.4, 13.9), P < 0.0001,
I(2) = 6%] over 1-2 years. CONCLUSION: US-detected residual synovitis is frequent
and predicts the risk of relapse and structural progression in RA patients with
CR.
PMID- 24929635
TI - Neural correlates of fatigue in granulomatosis with polyangiitis: a functional
magnetic resonance imaging study.
AB - OBJECTIVE: The aim of this study was to investigate the neurophysiological
effects of fatigue among patients with granulomatosis with polyangiitis (GPA).
METHODS: A case-control functional MRI (fMRI) study was conducted. Stable GPA
subjects were recruited according to fatigue status, with those reporting fatigue
defined as cases and those not defined as controls. In addition, a control group
of general population subjects with idiopathic fatigue were studied. During fMRI,
all participants performed a fatigue-inducing cognitive task. Functional data
were acquired with a 3 T MRI scanner during periods of task activity and rest.
Analyses of the differences in blood oxygen level dependent (BOLD) signal were
then performed using SPM8 software and comparisons were made between case and
control groups. RESULTS: GPA cases (n = 12) were demographically matched to GPA
controls (n = 14) and were clinically similar apart from the higher reporting of
fatigue, by design, and depressive symptoms (P = 0.0007). After adjusting for
depressive symptoms, comparison of BOLD signals revealed significantly greater
activation in the right thalamus, left paracentral lobule, left medial frontal
gyrus and right medial globus pallidus among GPA cases. When compared with the
similarly fatigued population control group (n = 13), GPA cases shared many
overlapping areas of activation. However, in addition, the population control
group revealed significantly greater activation elsewhere, principally the left
precentral gyrus, right superior frontal gyrus and right cingulate gyrus.
CONCLUSION: fMRI has identified specific differences in the neurophysiology of
fatigued GPA subjects. Future application of this promising biomarker may inform
the precise mechanisms of this clinically important symptom.
PMID- 24929636
TI - Changes in the adsorption of bisphenol A, 17 alpha-ethinyl estradiol, and
phenanthrene on marine sediment in Hong Kong in relation to the simulated
sediment organic matter decomposition.
AB - Marine sediment with an input of particulate organic matter was incubated to
simulate the early aging process. On the sediment after various incubation
periods, adsorption and desorption tests were conducted for three selected
organic micropollutants: bisphenol A (BPA), 17alpha-ethinyl estradiol (EE2), and
phenanthrene (Phe). The results showed significant sediment organic matter (SOM)
decomposition during the incubation, and the SOM decay and transformation had a
profound impact on the adsorption of organic compounds by the sediment. An
increasing-delay-increasing pattern of change was observed for the SOM normalized
partition coefficients of EE2 and Phe. This change was accordant to the
transformation of SOM from labile organics into active biomass and its microbial
products, and finally into more condensed and humic-like substances. Comparison
between the 3 model micropollutants indicates that the chemical adsorption
behaviors were mostly affected by their hydrophobic properties.
PMID- 24929637
TI - Genome-wide methylomic analysis of monozygotic twins discordant for adolescent
depression.
AB - BACKGROUND: Adolescent depression is a common neuropsychiatric disorder that
often continues into adulthood and is associated with a wide range of poor
outcomes including suicide. Although numerous studies have looked at genetic
markers associated with depression, the role of epigenetic variation remains
relatively unexplored. METHODS: Monozygotic (MZ) twins were selected from an
adolescent twin study designed to investigate the interplay of genetic and
environmental factors in the development of emotional and behavioral
difficulties. There were 18 pairs of MZ twins identified in which one member
scored consistently higher (group mean within the clinically significant range)
on self-rated depression than the other. We assessed genome-wide patterns of DNA
methylation in twin buccal cell DNA using the Infinium HumanMethylation450
BeadChip from Illumina. Quality control and data preprocessing was undertaken
using the wateRmelon package. Differentially methylated probes (DMPs) were
identified using an analysis strategy taking into account both the significance
and the magnitude of DNA methylation differences. The top differentially
methylated DMP was successfully validated by bisulfite-pyrosequencing, and
identified DMPs were tested in postmortem brain samples obtained from patients
with major depressive disorder (n = 14) and matched control subjects (n = 15).
RESULTS: Two reproducible depression-associated DMPs were identified, including
the top-ranked DMP that was located within STK32C, which encodes a
serine/threonine kinase, of unknown function. CONCLUSIONS: Our data indicate that
DNA methylation differences are apparent in MZ twins discordant for adolescent
depression and that some of the disease-associated variation observed in buccal
cell DNA is mirrored in adult brain tissue obtained from individuals with
clinical depression.
PMID- 24929638
TI - Clinical characteristics, treatment, and prognosis of non-B, non-C hepatocellular
carcinoma: a large retrospective multicenter cohort study.
AB - BACKGROUND: The number of hepatocellular carcinoma (HCC) patients with non-viral
etiologies is increasing in Japan. We conducted a nation-wide survey to examine
the characteristics of those patients. METHODS: After we assessed the trend of
patients who were first diagnosed with HCC at 53 tertiary care centers in Japan
from 1991 to 2010, we collected detailed data of 5326 patients with non-viral
etiology. The etiologies were categorized as autoimmune hepatitis, primary
biliary cirrhosis, alcoholic liver disease (ALD), non-alcoholic fatty liver
disease (NAFLD), unclassified, and other. Baseline characteristics at initial
diagnosis, the modality of the initial treatment, and survival status were
collected via a website. Survival of the patients was assessed by the Kaplan
Meier method and Cox proportional hazard regression. RESULTS: The proportion of
patients with non-viral etiologies increased from 10.0% in 1991 to 24.1% in 2010.
Of the patients, 92% were categorized as ALD, NAFLD, or unclassified. Body mass
index (BMI) was >= 25 kg/m(2) in 39%. Diabetes was most prevalent in NAFLD (63%),
followed by unclassified etiology (46%) and ALD (45%). Approximately 80% of
patients underwent radical therapy, including resection, ablation, or
transarterial chemoembolization. Survival rates at 3, 5, 10, 15, and 20 years
were 58.2, 42.6, 21.5, 15.2, and 15.2%, respectively. Multivariate analysis
revealed that patients with BMI > 22 and <= 25 kg/m(2) showed the best prognosis
versus other BMI categories, after adjusting by age, gender, tumor-related
factors, and Child-Pugh score. CONCLUSIONS: Most cases of non-B, non-C HCC are
related to lifestyle factors, including obesity and diabetes. Slightly overweight
patients showed the best prognosis.
PMID- 24929639
TI - An evaluation of sampling methods used to produce insect growth models for
postmortem interval estimation.
AB - Many authors produced carrion insect development data for predicting the age of
an insect from a corpse. Under some circumstances, this age value is a minimum
postmortem interval. There are no standard protocols for such experiments, and
the literature includes a variety of sampling methods. To our knowledge, there
has been no investigation of how the choice of sampling method can be expected to
influence the performance of the resulting predictive model. We calculated 95 %
inverse prediction confidence limits for growth curves of the forensically
important carrion flies Chrysomya megacephala and Sarconesia chlorogaster
(Calliphoridae) at a constant temperature. Confidence limits constructed on data
for entire age cohorts were considered to be the most realistic and were used to
judge the effect of various subsampling schemes from the literature. Random
subsamples yielded predictive models very similar to those of the complete data.
Because taking genuinely random subsamples would require a great deal of effort,
we imagine that it would be worthwhile only if the larval measurement technique
were especially slow and/or expensive. However, although some authors claimed to
use random samples, their published methods suggest otherwise. Subsampling the
largest larvae produced a predictive model that performed poorly, with confidence
intervals about an estimate of age being unjustifiably narrow and unlikely to
contain the true age. We believe these results indicate that most forensic insect
development studies should involve the measurement of entire age cohorts rather
than subsamples of one or more cohorts.
PMID- 24929640
TI - Analysis of linkage and linkage disequilibrium for syntenic STRs on 12
chromosomes.
AB - The purpose of this study is to evaluate allelic association and linkage of 18
adjacent syntenic short tandem repeat (STR) pairs form out of 30 markers located
on 12 different autosomes. Linkage disequilibrium was tested by using the unknown
gametic phase genotypes and phased haplotypes from 290 unrelated individuals from
Chinese Han population. Genetic linkage analysis between syntenic STRs was
performed based on 145 two-generation families which involved 628 meioses. The
results showed no significant linkage disequilibrium at any STR pairs and
independent inheritance between syntenic STR pairs was indicated. Significant
linkage (maximum logarithm of odd (LOD) scores >3.0) was found in 6 out of the 18
adjacent syntenic STR pairs (D1S1627-D1S1677, CSF1PO-D5S818, D6S1017-D6S1043,
D6S1043-D6S474, D12S391-vWA, and D19S253-D19S433). These significant linkage
marker pairs had a genetic distance ranged from 11.94 to 41.33 cM deduced from
HapMap. When recombination fractions determined in families were compared to
those derived from Kosambi mapping function based on HapMap data, the latter may
have an overestimation. In summary, our results demonstrated that product rule
included syntenic STRs can be used for unrelated individual profile probability
and the recombination fraction based on family data was superior to the
estimation from HapMap for kinship analysis.
PMID- 24929641
TI - Electromagnetic tracking for catheter reconstruction in ultrasound-guided high
dose-rate brachytherapy of the prostate.
AB - PURPOSE: The accurate delivery of high-dose-rate brachytherapy is dependent on
the correct identification of the position and shape of the treatment catheters.
In many brachytherapy clinics, transrectal ultrasound (TRUS) imaging is used to
identify the catheters. However, manual catheter identification on TRUS images
can be time consuming, subjective, and operator dependent because of
calcifications and distal shadowing artifacts. We report the use of
electromagnetic (EM) tracking technology to map the position and shape of
catheters inserted in a tissue-mimicking phantom. METHODS AND MATERIALS: The
accuracy of the EM system was comprehensively quantified using a three-axis
robotic system. In addition, EM tracks acquired from catheters in a phantom were
compared with catheter positions determined from TRUS and CT images to compare EM
system performance to standard clinical imaging modalities. The tracking
experiments were performed in a controlled laboratory environment and also in a
typical brachytherapy operating room to test for potential EM distortions.
RESULTS: The robotic validation of the EM system yielded a mean accuracy of <0.5
mm for a clinically acceptable field of view in a nondistorting environment. The
EM-tracked catheter representations were found to have an accuracy of <1 mm when
compared with TRUS- and CT-identified positions, both in the laboratory
environment and in the brachytherapy operating room. The achievable accuracy
depends to a large extent on the calibration of the TRUS probe, geometry of the
tracked devices relative to the EM field generator, and locations of surrounding
clinical equipment. To address the issue of variable accuracy, a robust
calibration algorithm has been developed and integrated into the workflow. The
proposed mapping technique was also found to improve the workflow efficiency of
catheter identification. CONCLUSIONS: The high baseline accuracy of the EM
system, the consistent agreement between EM-tracked, TRUS- and CT-identified
catheters, and the improved workflow efficiency illustrate the potential value of
using EM tracking for catheter mapping in high-dose-rate brachytherapy.
PMID- 24929642
TI - Volume of high-dose regions and likelihood of locoregional control after
perioperative high-dose-rate brachytherapy: do hotter implants work better?
AB - PURPOSE: To determine whether perioperative high-dose-rate brachytherapy (PHDRB)
implants with larger high-dose regions produce increased locoregional control.
METHODS AND MATERIALS: Patients (n=166) enrolled in several PHDRB prospective
studies conducted at the University of Navarre were analyzed. The PHDRB was given
to total doses of 16Gy/4 b.i.d. or 24Gy/6 b.i.d. treatments for negative or
close/positive margins along with 45Gy/25 Rx of external beam radiation therapy.
The histogram-based generalized equivalent uniform dose (EUD) formulism was used
to quantify and standardize the dose-volume histogram into 2-Gy equivalents. The
region of interest analyzed included: tissue volume encompassed by the
prescription isodose of 4Gy (TV100). Routine dose reporting parameters such as
physical dose and single-point 2-Gy equivalent dose were used for reference.
RESULTS: After a median followup of 7.4 years (range, 3-12+), 50 patients have
failed, and 116 remain controlled at last followup. Overall, EUD was not
different in the patients who failed compared with controls (89.1Gy vs. 86.5Gy;
p=not significant). When patients were stratified by risk using the University of
Navarre Predictive Model, very high-risk patients (i.e., tumors >=3cm resected
with close <1mm/positive margins) had an improved locoregional control with
higher EUD values (p=0.028). This effect was not observed in low-, intermediate-,
and high-risk University of Navarre Predictive Model categories. CONCLUSIONS: In
very high-risk patients, enlarged high-dose regions can produce a dose-response
effect. Routine dose reporting methods such as physical dose and single-point 2
Gy equivalent dose may not show this effect, but it can be revealed by histogram
based EUD assessment.
PMID- 24929643
TI - Common trend: move to enucleation-Is there a case for GreenLight enucleation?
Development and description of the technique.
AB - BACKGROUND: Transurethral laser prostatectomy has evolved as a viable alternative
for the management of benign prostate enlargement. Since the renaissance of laser
prostatectomy with the advent of the holmium:yttrium-aluminum-garnet laser in the
1990s, various lasers and subsequent procedures have been introduced. These
techniques can be categorized as vaporizing, resecting, and enucleating
approaches. Photoselective vaporization of the prostate (PVP) is dominated by
high-power lithium triborate (LBO) crystal lasers (GreenLight XPS). The mainstay
of this technique is for the treatment of small to medium prostate volumes
whereas enucleating techniques, such as holmium laser enucleation of the prostate
and thulium enucleation of the prostate, focus on large-volume glands. In order
to perspectively "delimit" LBO into the field of large-volume prostates, we
developed LBO en bloc enucleation to render it as a competing transurethral
enucleating approach. MATERIALS AND METHODS: We present a detailed stepwise
progressive technique developed in Madrid, Spain, for the complete removal of the
transitional zone by vapoenucleation. The steps include exposition of the
prostatic capsule by PVP toward the peripheral zone, thereby identifying the
anatomical limits of enucleation. Subsequently, the transitional zone is excised
in a single bloc and morcellated after its placement into the bladder.
CONCLUSION: This new GreenLight en bloc enucleation technique allows to treat
larger prostates than those previously treated with the PVP technique.
PMID- 24929644
TI - Methylation-capture and Next-Generation Sequencing of free circulating DNA from
human plasma.
AB - BACKGROUND: Free circulating DNA (fcDNA) has many potential clinical
applications, due to the non-invasive way in which it is collected. However,
because of the low concentration of fcDNA in blood, genome-wide analysis carries
many technical challenges that must be overcome before fcDNA studies can reach
their full potential. There are currently no definitive standards for fcDNA
collection, processing and whole-genome sequencing. We report novel detailed
methodology for the capture of high-quality methylated fcDNA, library preparation
and downstream genome-wide Next-Generation Sequencing. We also describe the
effects of sample storage, processing and scaling on fcDNA recovery and quality.
RESULTS: Use of serum versus plasma, and storage of blood prior to separation
resulted in genomic DNA contamination, likely due to leukocyte lysis. Methylated
fcDNA fragments were isolated from 5 donors using a methyl-binding protein-based
protocol and appear as a discrete band of ~180 bases. This discrete band allows
minimal sample loss at the size restriction step in library preparation for Next
Generation Sequencing, allowing for high-quality sequencing from minimal amounts
of fcDNA. Following sequencing, we obtained 37 * 10(6)-86 * 10(6) unique mappable
reads, representing more than 50% of total mappable reads. The methylation status
of 9 genomic regions as determined by DNA capture and sequencing was
independently validated by clonal bisulphite sequencing. CONCLUSIONS: Our
optimized methods provide high-quality methylated fcDNA suitable for whole-genome
sequencing, and allow good library complexity and accurate sequencing, despite
using less than half of the recommended minimum input DNA.
PMID- 24929645
TI - Adolescent age and heart transplantation outcomes in myocarditis or congenital
heart disease.
AB - BACKGROUND: Adolescents often fare poorly after heart transplantation. However,
whether the effect of age varies according to the etiology of heart failure is
unknown. We tested the hypothesis that age-related heart transplantation outcomes
are different in patients with myocarditis and congenital heart disease (CHD).
METHODS: A retrospective analysis of the United Network of Organ Sharing database
was performed for patients with myocarditis (n = 709) and CHD (n = 1,631)
undergoing heart transplantation from 1987 to 2011. The effect of age on graft
survival was assessed. Age was categorized as children (6-12 years), adolescents
(13-18 years), younger adults (19-30 years), and older adults (31-50 years).
RESULTS: For myocarditis, the median graft survival for adolescents was 6.9 years
(95% confidence interval [CI], 5.6-9.6), which was significantly lower than other
age groups (children: 14.1 [95% CI, 9.8-10.9] years, p = 0.004; younger adults:
11.8 [95% CI, 8.3-15.2] years, p = 0.172; older adults: 12.0 years [95% CI, 10.0
14.3 years], p = 0.033). For CHD, the median graft survival for adolescents was
7.4 years (95% CI, 6.8-8.6), which was not significantly different from other age
groups (children: 9.0 [95% CI, 7.9-11.0] years, p = 0.737; younger adults: 11.2
[95% CI, 8.6-13.3] years, p = 0.744; older adults: 11.6 [95% CI, 9.2-15.3] years,
p = 0.608). Multivariable analysis showed adolescent age was independently
associated with worse graft survival for patients with myocarditis but not for
CHD. CONCLUSIONS: Adolescents with myocarditis have significantly worse graft
survival after heart transplantation, but adolescents with CHD have similar
outcomes to other patients with CHD. Further study is needed to improve outcomes
in this vulnerable population.
PMID- 24929646
TI - Advanced heart failure in patients infected with human immunodeficiency virus: is
there equal access to care?
AB - BACKGROUND: Human immunodeficiency virus (HIV) infection has evolved from a
highly stigmatized disease with certain progression to acquired immunodeficiency
syndrome (AIDS) to a chronic disease affecting over 1 million Americans. With the
success of current anti-retroviral therapies, cardiovascular disease, including
advanced heart failure (HF), will be a major cause of morbidity and mortality in
this population. METHODS: A survey concerning heart transplantation (HT) and left
ventricular assist device (LVAD) implantation attitudes and outcomes in HIV
infected patients was distributed to 103 American and 9 Canadian HT centers via
fax, e-mail or telephone. RESULTS: Eighty-nine centers (79%) responded. Eighteen
HTs were performed in HIV(+) patients with 1-, 2- and 5-year survival of 100%,
100% and 63%, respectively. Eighty-two centers (92%) have never performed HT in
HIV(+) patients and 51 centers (57%) marked HIV(+) status as a contraindication.
Rationales for contraindication included: (1) high-risk patients should be
avoided given the scarcity of organ supply (59%); (2) immunosuppression required
for HT may induce progression to AIDS (51%); and (3) drug interactions may worsen
patients' clinical outcomes (49%). Thirty-five left ventricular assist device
(LVAD) implantations in HIV(+) patients were reported. Sixty-eight centers (76%)
have never implanted an LVAD in an HIV(+) patient and 21 centers (20%) marked
HIV(+) status as a contraindication, of which 61% indicated concern for device
related infection. CONCLUSIONS: Most centers either explicitly consider HIV(+)
status as a contraindication for or have never treated HIV(+) patients with
advanced HF therapy. Our findings suggest unequal access to care and underscore
the need to educate cardiovascular health-care providers on progress made with
HIV therapies.
PMID- 24929647
TI - Do reviews of healthcare interventions teach us how to improve healthcare
systems?
AB - Planners, managers and policy makers in modern health services are not without
ingenuity - they will always try, try and try again. They face deep-seated or
'wicked' problems, which have complex roots in the labyrinthine structures though
which healthcare is delivered. Accordingly, the interventions devised to deal
with such stubborn problems usually come in the plural. Many different reforms
are devised to deal with a particular stumbling block, which may be implemented
sequentially, simultaneously or whenever policy fashion or funding dictates. This
paper examines this predicament from the perspective of evidence based policy.
How might researchers go about reviewing the evidence when they are faced with
multiple or indeed competing interventions addressing the same problem? In the
face of this plight a rather unheralded form of research synthesis has emerged,
namely the 'typological review'. We critically review the fortunes of this
strategy. Separating the putative reforms into series of subtypes and producing a
scorecard of their outcomes has the unintended effect of divorcing them all from
an understanding of how organisations change. A more fruitful approach may lie in
a 'theory-driven review' underpinned by an understanding of dynamics of social
change in complex organisations. We test this thesis by examining the primary and
secondary research on the many interventions designed to tackle a particularly
wicked problem, namely the inexorable rise in demand for healthcare.
PMID- 24929648
TI - The effect of image guidance on dose distributions in breast boost radiotherapy.
AB - AIMS: To determine the effect of image-guided radiotherapy on the dose
distributions in breast boost treatments. MATERIALS AND METHODS: Computed
tomography images from a cohort of 60 patients treated within the IMPORT HIGH
trial (CRUK/06/003) were used to create sequential and concomitant boost
treatment plans (30 cases each). Two treatment plans were created for each case
using tumour bed planning target volume (PTV) margins of 5 mm (achieved with
image-guided radiotherapy) and 8 mm (required for bony anatomy verification).
Dose data were collected for breast, lung and heart; differences with margin size
were tested for statistical significance. RESULTS: A median decrease of 29 cm(3)
(range 11-193 cm(3)) of breast tissue receiving 95% of the prescribed dose was
observed where image-guided radiotherapy margins were used. Decreases in doses to
lungs, contralateral breast and heart were modest, but statistically significant
(P < 0.01). Plan quality was compromised with the 8 mm PTV margin in one in eight
sequential boost plans and one third of concomitant boost plans. Tumour bed PTV
coverage was <95% (>91%) of the prescribed dose in 12 cases; in addition, the
required partial breast median dose was exceeded in nine concomitant boost cases
by 0.5-3.7 Gy. CONCLUSIONS: The use of image guidance and, hence, a reduced
tumour bed PTV margin, in breast boost radiotherapy resulted in a modest
reduction in radiation dose to breast, lung and heart tissues. Reduced margins
enabled by image guidance were necessary to discriminate between dose levels to
multiple PTVs in the concomitant breast boost plans investigated.
PMID- 24929649
TI - R-CHOP versus R-COMP: are they really equally effective?
AB - The first-line standard treatment for diffuse large B-cell lymphoma (DLBCL) is
the R-CHOP regimen (rituximab, cyclophosphamide, doxorubicin, vincristine,
prednisone). It is associated with cardiotoxicity, which is why new treatment
strategies are needed. Liposomial doxorubicin has been proven to reduce these
side-effects, but until now a direct comparison regarding efficacy has not yet
been published. We retrospectively assessed 364 consecutive DLBCL patients who
underwent either R-CHOP (218; 60%) or R-COMP (doxorubicin replaced by non
pegylated liposomal doxorubicin; 146; 40%) in first line and compared outcome and
survival. We provide evidence that both regimens induce a high and comparable
number of complete remissions and that both are able to cure patients with DLBCL.
Confirmatory data are needed.
PMID- 24929650
TI - Epilepsy and its main psychiatric comorbidities in adults and children.
AB - Psychiatric disorders seem to be more frequent in patients with epilepsy (PWE)
than the general population. Although researchers have documented a strong
association between epilepsy and psychiatric comorbidities, the nature of this
relationship is poorly understood. According to this, psychiatric diseases are
often underdiagnosed and undertreated in PWE with further decrease of the quality
of life of patients. The aim of the review was to examine the most frequent
psychiatric comorbidities in adults with epilepsy (AWE) and the main psychiatric
comorbidities in children with epilepsy (CWE) in order to better understand the
relationship between epilepsy and the development of psychiatric disorders.
PMID- 24929651
TI - Clinical and serological study of myasthenia gravis using both
radioimmunoprecipitation and cell-based assays in a South Asian population.
AB - BACKGROUND: Identification of autoantibodies has defined distinct clinico-immuno
pathological subtypes of myasthenia gravis (MG) such as AChR-antibody-positive-MG
and MuSK-antibody-positive-MG. The use of more sensitive assays such as the cell
based assay (CBA) is expected to reduce the proportion of seronegative-MG. We
studied the seroprevalence of AChR and MuSK antibodies using both
radioimmunoprecipitation (RIA) and CBA amongst MG patients in Sri Lanka and
related their antibody status to their clinical subtypes and severity. METHODS:
113 patients with MG attending Neurology units in the district of Colombo were
studied. Clinical data were obtained using an interviewer-administered
questionnaire and medical records. The severity of MG was assessed according to
MGFA clinical grading. RIA and CBA were used to detect serum AChR and MuSK
antibodies. Patients with other neurological diseases were recruited as controls.
RESULTS: We detected either AChRAb (85%) or MuSKAb (6.2%) in 91.2% of MG
patients. Complementing the RIA with the CBA improved the diagnostic power of
detecting AChRAbs by 21% and MuSKAbs by 77%. The clinical characteristics and the
occurrence of thymic pathology were similar to other populations except for a
male preponderance (1.5:1). The AChRAb titer appeared to parallel the clinical
severity. Seven of 11 (63.6%) patients with AChRAb-negative generalized MG had
MuSK-MG. CONCLUSIONS: Clinical characteristics of MG in Sri Lanka are similar to
other populations. Complementing the RIA with CBA increases the diagnostic power
of detecting pathogenic autoantibodies.
PMID- 24929652
TI - N-acetylcysteine improves established monocrotaline-induced pulmonary
hypertension in rats.
AB - BACKGROUND: The outcome of patients suffering from pulmonary arterial
hypertension (PAH) are predominantly determined by the response of the right
ventricle to the increase afterload secondary to high vascular pulmonary
resistance. However, little is known about the effects of the current available
or experimental PAH treatments on the heart. Recently, inflammation has been
implicated in the pathophysiology of PAH. N-acetylcysteine (NAC), a well-known
safe anti-oxidant drug, has immuno-modulatory and cardioprotective properties. We
therefore hypothesized that NAC could reduce the severity of pulmonary
hypertension (PH) in rats exposed to monocrotaline (MCT), lowering inflammation
and preserving pulmonary vascular system and right heart function. METHODS:
Saline-treated control, MCT-exposed, MCT-exposed and NAC treated rats (day 14-28)
were evaluated at day 28 following MCT for hemodynamic parameters (right
ventricular systolic pressure, mean pulmonary arterial pressure and cardiac
output), right ventricular hypertrophy, pulmonary vascular morphometry, lung
inflammatory cells immunohistochemistry (monocyte/macrophages and dendritic
cells), IL-6 expression, cardiomyocyte hypertrophy and cardiac fibrosis. RESULTS:
The treatment with NAC significantly decreased pulmonary vascular remodeling,
lung inflammation, and improved total pulmonary resistance (from 0.71 +/- 0.05
for MCT group to 0.50 +/- 0.06 for MCT + NAC group, p < 0.05). Right ventricular
function was also improved with NAC treatment associated with a significant
decrease in cardiomyocyte hypertrophy (625 +/- 69 vs. 439 +/- 21 MUm2 for MCT and
MCT + NAC group respectively, p < 0.001) and heart fibrosis (14.1 +/- 0.8 vs. 8.8
+/- 0.1% for MCT and MCT + NAC group respectively, p < 0.001). CONCLUSIONS:
Through its immuno-modulatory and cardioprotective properties, NAC has beneficial
effect on pulmonary vascular and right heart function in experimental PH.
PMID- 24929653
TI - Modelling human protein interaction networks as metric spaces has potential in
disease research and drug target discovery.
AB - BACKGROUND: We have recently shown by formally modelling human protein
interaction networks (PINs) as metric spaces and classified proteins into zones
based on their distance from the topological centre that hub proteins are
primarily centrally located. We also showed that zones closest to the network
centre are enriched for critically important proteins and are also functionally
very specialised for specific 'house keeping' functions. We proposed that
proteins closest to the network centre may present good therapeutic targets.
Here, we present multiple pieces of novel functional evidence that provides
strong support for this hypothesis. RESULTS: We found that the human PINs has a
highly connected signalling core, with the majority of proteins involved in
signalling located in the two zones closest to the topological centre. The
majority of essential, disease related, tumour suppressor, oncogenic and approved
drug target proteins were found to be centrally located. Similarly, the majority
of proteins consistently expressed in 13 types of cancer are also predominantly
located in zones closest to the centre. Proteins from zones 1 and 2 were also
found to comprise the majority of proteins in key KEGG pathways such as MAPK
signalling, the cell cycle, apoptosis and also pathways in cancer, with very
similar patterns seen in pathways that lead to cancers such as melanoma and
glioma, and non-neoplastic diseases such as measles, inflammatory bowel disease
and Alzheimer's disease. CONCLUSIONS: Based on the diversity of evidence
uncovered, we propose that when considered holistically, proteins located
centrally in the human PINs that also have similar functions to existing drug
targets are good candidate targets for novel therapeutics. Similarly, since
disease pathways are dominated by centrally located proteins, candidates
shortlisted in genome scale disease studies can be further prioritized and
contextualised based on whether they occupy central positions in the human PINs.
PMID- 24929654
TI - HIF-1alpha genetic variants and protein expression confer the susceptibility and
prognosis of gliomas.
AB - To investigate the role of HIF-1alpha genetic polymorphism of c.1772C>T and
c.1790G>A in the incidence and prognosis of gliomas in a Chinese cohort, a total
of 387 gliomas patients and 437 age- and sex-matched healthy controls were
recruited. The genetic polymorphism of c.1772C>T and c.1790G>A was determined. We
found that the genotype distribution at c.1772C>T showed significant difference
between patients and controls. Multivariable analyses showed a significantly
higher risk for gliomas in 1772TT genotype carriers (odds ratio 2.68, with CC as
reference). In addition, we also found a significantly higher risk for grade III
+ IV gliomas was observed in 1772TT genotype carriers (odds ratio 2.21, with CC
as reference). The overall survival rates in patients with 1772TT or 1772CT
genotype were markedly lower compared with patients with CC (both P < 0.01). Our
in vitro studies revealed that HIF-1alpha regulates the proliferation, migration
and invasion of human glioma U251 cells. This study suggests that the c.1772C>T
polymorphisms may be used as a molecular marker for gliomas occurrence, grades
and clinical outcome in gliomas patients.
PMID- 24929657
TI - Deltoid muscle injury scratched by an osteophyte on the greater tuberosity of the
humeral head: an unusual cause of shoulder pain.
AB - Few reports to date have discussed acute deltoid muscle injury, including partial
or complete deltoid muscle detachment from its origin. The present report
describes a different pathomechanism and clinical manifestations of deltoid
muscle injury. A 52-year-old man experienced acute severe pain in the right
shoulder as a result of abrupt elevation of the arm. The deltoid muscle had
apparently been scratched by an osteophyte on the greater tuberosity. After
arthroscopic excision of the osteophyte, the symptoms resolved completely.
PMID- 24929656
TI - A cadaveric study of the anterolateral ligament: re-introducing the lateral
capsular ligament.
AB - PURPOSE: The purpose of this study was to verify and characterize the anatomical
properties of the anterolateral capsule, with the aim of establishing a more
accurate anatomical description of the anterolateral ligament (ALL). Furthermore,
microscopic analysis of the tissue was performed to determine whether the ALL can
morphologically be classified as ligamentous tissue, as well as reveal any
potential functional characteristics. METHODS: Three different modalities were
used to validate the existence of the ALL: magnetic resonance imagining (MRI),
anatomical dissection, and histological analysis. Ten fresh-frozen cadaveric knee
specimens underwent MRI, followed by anatomical dissection which allowed
comparison of MRI to gross anatomy. Nine additional fresh-frozen cadaveric knees
(19 total) were dissected for a further anatomical description. Four specimens
underwent H&E staining to look at morphological characteristics, and one specimen
was analysed using immunohistochemistry to locate peripheral nervous innervation.
RESULTS: The ALL was found in all ten knees undergoing MRI and all nineteen knees
undergoing anatomical dissection, with MRI being able to predict its
corresponding anatomical dissection. The ALL was found to have bone-to-bone
attachment points from the lateral femoral epicondyle to the lateral tibia, in
addition to a prominent meniscal attachment. Histological sectioning showed ALL
morphology to be characteristic of ligamentous tissue, having dense, regularly
organized collagenous bundles. Immunohistochemistry revealed a large network of
peripheral nervous innervation, indicating a potential proprioceptive role.
CONCLUSION: From this study, the ALL is an independent structure in the
anterolateral compartment of the knee and may serve a proprioceptive role in knee
mechanics.
PMID- 24929659
TI - No differences in clinical outcomes between fixed- and mobile-bearing computer
assisted total knee arthroplasties and no correlations between navigation data
and clinical scores.
AB - PURPOSE: The theoretical advantages of mobile-bearing (MB) designs over the
conventional fixed bearings (FBs) for total knee arthroplasty (TKA) have not been
proved yet through clinical studies. The aim of the study was to test whether the
MB design has advantages in terms of better clinical outcomes when compared to
FB. Furthermore, the relationships between intra-operative obtained implant
positioning data and the clinical scores were analysed. METHODS: A total of 99
patients were randomized into the FB or the MB group. All patients received the
same posterior cruciate retaining implants and were operated with the use of a
computer-assisted navigation system. The clinical outcomes of both groups were
compared pre-operatively, at 1 year, and at a mean follow-up time of 4 years
after surgery. RESULTS: The MB implants showed no advantages over the FB when
comparing the Knee Society Scores, the Oxford Score, the range of movement (ROM)
and pain intensity of the patients in both groups at 1 and 4 years after surgery.
There were no relationships between the computer navigation data and the clinical
scores. CONCLUSIONS: In view of the 4-year results, there is no evidence to
support the recommendation of one design over the other in terms of better
clinical outcome scores, higher ROM or lower pain rates. Long-term follow-up
results may be necessary, including survival rates. Further research comparing
different TKA designs should also include standardized performance-based tests.
LEVEL OF EVIDENCE: Prospective study (Randomized controlled trial with adequate
statistical power to detect differences), Level I.
PMID- 24929658
TI - Gross anatomical and dimensional characteristics of the proximal hamstring
origin.
AB - PURPOSE: The current study was undertaken to better define the gross anatomical
and dimensional characteristics of the proximal hamstring origin. METHODS: Twelve
paired whole-lower extremities from six embalmed cadavers were dissected. The
gross anatomy of the proximal hamstrings was studied. With the tendons attached
to the ischial tuberosity, the width and thickness of each tendon was measured 1
cm distally to their origin, and the distance from the most proximal border of
the common origin of the semitendinosus (ST) and long head of the biceps (LB) to
their distal junction was assessed. After removal of the hamstring group, the
shape, orientation, and dimension of the tendon footprints were determined.
RESULTS: One cadaver demonstrated unique anatomy, which was considered as an
anatomic variant and was therefore excluded from the study group. The ST and LB
had a common origin on the posterolateral aspect of the ischial tuberosity
(ST/LB), whereas the semimembranosus (SM) had a separated origin at the
anterolateral aspect. The mean distance from the most proximal border of the
ST/LB origin to the distal junction was 10.0 +/- 1.3 cm. The shape of both
footprints was longitudinal-oval, with the longitudinal axes of the SM and ST/LB
footprints parallel aligned. Mean tendon width was 3.4 +/- 0.5 cm for the common
ST/LB complex and 4.2 +/- 0.9 cm for the SM (p = 0.009). The corresponding values
for tendon thickness were 1.0 +/- 0.3 cm (ST/LB) and 0.8 +/- 0.2 cm (SM),
respectively (n.s.). Mean footprint length was 3.9 +/- 0.4 cm for ST/LB and 4.5
+/- 0.5 cm for SM (p = 0.002). The corresponding values for footprint height were
1.4 +/- 0.5 cm (ST/LB) and 1.2 +/- 0.3 cm (SM), respectively (n.s.). CONCLUSION:
The ST and LB had a common origin, whereas the SM originated separately. The site
of origin of both tendons was the lateral aspect of the ischial tuberosity, with
the SM footprint lying directly anterior to the footprint of the ST/LB complex.
The footprint of the SM was significantly wider than the footprint of the ST/LB.
The reported gross anatomic findings and dimensions may aid surgeons in anchor
placement at the anatomical attachment site, thereby facilitating anatomic
hamstring repair. In addition, the provided data may improve diagnosis and
conservative treatment of proximal hamstring tendinopathy, since detailed
knowledge about the normal anatomy is crucial for recognizing tendon
abnormalities and for several conservative treatment modalities such as shockwave
application or ultrasound-guided injections.
PMID- 24929655
TI - Functions of the CB1 and CB 2 receptors in neuroprotection at the level of the
blood-brain barrier.
AB - The cannabinoid (CB) receptors are the main targets of the cannabinoids, which
include plant cannabinoids, endocannabinoids and synthetic cannabinoids. Over the
last few years, accumulated evidence has suggested a role of the CB receptors in
neuroprotection. The blood-brain barrier (BBB) is an important brain structure
that is essential for neuroprotection. A link between the CB receptors and the
BBB is thus likely, but this possible connection has only recently gained
attention. Cannabinoids and the BBB share the same mechanisms of neuroprotection
and both protect against excitotoxicity (CB1), cell death (CB1), inflammation
(CB2) and oxidative stress (possibly CB independent)-all processes that also
damage the BBB. Several examples of CB-mediated protection of the BBB have been
found, such as inhibition of leukocyte influx and induction of amyloid beta
efflux across the BBB. Moreover, the CB receptors were shown to improve BBB
integrity, particularly by restoring the tightness of the tight junctions. This
review demonstrated that both CB receptors are able to restore the BBB and
neuroprotection, but much uncertainty about the underlying signaling cascades
still exists and further investigation is needed.
PMID- 24929660
TI - Maintenance of postmitotic neuronal cell identity.
AB - The identity of specific cell types in the nervous system is defined by the
expression of neuron type-specific gene batteries. How the expression of such
batteries is initiated during nervous system development has been under intensive
study over the past few decades. However, comparatively little is known about how
gene batteries that define the terminally differentiated state of a neuron type
are maintained throughout the life of an animal. Here we provide an overview of
studies in invertebrate and vertebrate model systems that have carved out the
general and not commonly appreciated principle that neuronal identity is
maintained in postmitotic neurons by the sustained, and often autoregulated,
expression of the same transcription factors that initiate terminal
differentiation in a developing organism. Disruption of postmitotic maintenance
mechanisms may result in neuropsychiatric and neurodegenerative conditions.
PMID- 24929662
TI - Can a deprivation index be used legitimately over both urban and rural areas?
AB - BACKGROUND: Although widely used, area-based deprivation indices remain sensitive
to urban-rural differences as such indices are usually standardised around
typical urban values. There is, therefore, a need to determine to what extent
available deprivation indices can be used legitimately over both urban and rural
areas. METHODS: This study was carried out in Brittany, France, a relatively
affluent region that contains deep rural areas. Among the 1,736 residential
census block groups (IRIS) composing the Brittany region, 1,005 (57.9%) are
rural. Four deprivation indices were calculated: two scores (Carstairs and
Townsend) developed in the UK and two more recent French measures (Havard and
Rey). Two standardisation levels were considered: all of the IRIS and only the
urban IRIS of the region. Internal validity (Kappa coefficients and entropy
values) and external validity (relationship with colorectal cancer screening
[CCS] attendance) were investigated. RESULTS: Regardless of the deprivation
measure used, wealthy areas are mostly clustered in the West and at the outskirts
of major towns. Carstairs and Rey scores stand out by all evaluation criteria,
capturing both urban and rural deprivation. High levels of agreements were found
across standardisation levels (kappa = 0.96). The distributions of deprivation
scores were balanced across urban and rural areas, and high Shannon entropy
values were observed in the capital city (>=0.93). Similar and significant
negative trends were observed between CCS attendance and both deprivation
indices, independent of the degree of urbanisation. CONCLUSIONS: These results
provide support, despite potential sociological objections, for the use of a
compromise index that would facilitate comparisons and interpretations across
urban and rural locations in public health research.
PMID- 24929661
TI - Lesions of prefrontal cortex reduce attentional modulation of neuronal responses
and synchrony in V4.
AB - It is widely held that the frontal eye field (FEF) in prefrontal cortex (PFC)
modulates processing in visual cortex with attention, although the evidence that
it is necessary is equivocal. To help identify critical sources of attentional
feedback to area V4, we surgically removed the entire lateral PFC, including the
FEF, in one hemisphere and transected the corpus callosum and anterior commissure
in two macaques. This deprived V4 of PFC input in one hemisphere while keeping
the other hemisphere intact. In the absence of PFC, attentional effects on
neuronal responses and synchrony in V4 were substantially reduced and the
remaining effects of attention were delayed in time, indicating a critical role
for PFC. Conversely, distracters captured attention and influenced V4 responses.
However, because the effects of attention in V4 were not eliminated by PFC
lesions, other sources of top-down attentional control signals to visual cortex
must exist outside of PFC.
PMID- 24929665
TI - Lessons from the cigarette wars in the battle against obesity.
PMID- 24929664
TI - Autophagy and the immune function in aging.
AB - Just when you thought that you had heard it all about autophagy-the conserved
cellular process that mediates turnover of cellular constituents in the lysosomes
- studies keep coming out highlighting new types of autophagy, new functions for
autophagy or even new autophagy-independent roles for the proteins associated
with this process. The field of immunology has been riding the autophagic wave
since the beginning of its revival; first due to its role in the host defense
against pathogens, and more recently through the better understanding of the
unique characteristics and functions of different autophagic pathways in immune
cells. Here, we describe some of these new functions that are tightening the
connection between autophagy and acquired or innate immunity and their
malfunctioning with age.
PMID- 24929663
TI - Ammonia, like K(+), stimulates the Na(+), K(+), 2 Cl(-) cotransporter NKCC1 and
the Na(+),K(+)-ATPase and interacts with endogenous ouabain in astrocytes.
AB - Brain edema during hepatic encephalopathy or acute liver failure as well as
following brain ischemia has a multifactorial etiology, but it is a dangerous and
occasionally life-threatening complication because the brain is enclosed in the
rigid skull. During ischemia the extracellular K(+) concentration increases to
very high levels, which when energy becomes available during reperfusion
stimulate NKCC1, a cotransporter driven by the transmembrane ion gradients
established by the Na(+),K(+)-ATPase and accumulating Na(+), K(+) and 2 Cl(-)
together with water. This induces pronounced astrocytic swelling under pathologic
conditions, but NKCC1 is probably also activated, although to a lesser extent,
during normal brain function. Redistribution of ions and water between extra- and
intracellular phases does not create brain edema, which in addition requires
uptake across the blood-brain barrier. During hepatic encephalopathy and acute
liver failure a crucial factor is the close resemblance between K(+) and NH4(+)
in their effects not only on NKCC1 and Na(+),K(+)-ATPase but also on Na(+),K(+)
ATPase-induced signaling by endogenous ouabains. These in turn activate
production of ROS and nitrosactive agents which slowly sensitize NKCC1,
explaining why cell swelling and brain edema generally are delayed under
hyperammonemic conditions, although very high ammonia concentrations can cause
immediate NKCC1 activation.
PMID- 24929666
TI - Glutamate-gated chloride channel subunit cDNA sequencing of Cochliomyia
hominivorax (Diptera: Calliphoridae): cDNA variants and polymorphisms.
AB - The New World screwworm (NWS) Cochliomyia hominivorax (Coquerel) is one of the
major myiasis-causing flies that injures livestock and leads to losses of ~US$
2.7 billions/year in the Neotropics. Ivermectin (IVM), a macrocyclic lactone
(ML), is the most used preventive insecticide for this parasite and targets the
glutamate-gated chloride (GLUCLalpha) channels. Several authors have associated
altered GluClalpha homologues to MLs resistance in invertebrates, although
studies about resistance in NWS are limited to other genes. Here, we aimed to
characterise the NWS GluClalpha (ChGluClalpha) cDNA and to search for alterations
associated with IVM resistance in NWS larvae from a bioassay. The open reading
frame of the ChGluClalpha comprised 1,359 bp and encoded a sequence of 452 amino
acids. The ChGluClalpha cDNAs of the bioassay larvae showed different sequences
that could be splice variants, which agree with the occurrence of alternative
splicing in GluClalpha homologues. In addition, we found cDNAs with premature
stop codons and the K242R SNP, which occurred more frequently in the surviving
larvae and was located close to mutation (L256F) involved in ML resistance.
Although these alterations were in low frequency, the ChGluClalpha sequencing
will allow further studies to find alterations in the gene of resistant natural
populations.
PMID- 24929667
TI - Contrast-enhanced ultrasonography for evaluation of cystic renal mass: in
comparison to contrast-enhanced CT and conventional ultrasound.
AB - PURPOSE: To assess the value of contrast-enhanced ultrasonography (CEUS) in
evaluating cystic renal lesions compared with conventional ultrasound (US) and
contrast-enhanced computed tomography (CECT). METHODS: One hundred and three
patients with complex cystic renal masses underwent preoperative US and CEUS,
among which 70 conducted CECT at our institution. The images were analyzed with
the number of septa, septa and wall thickness and the presence of solid
component, and final diagnosis was made. RESULTS: In malignancies, CEUS
demonstrated more septa, thicker wall or septa, and more solid components than US
and CECT. CEUS permitted categorization of 51.7% (30/58) and 28.6% (10/35) of
malignant tumors in higher grade than by US and CECT, respectively. In benign
lesions, CEUS detected more septa than CECT and correctly diagnosed benign cysts
which appeared as solid lesions in US. CEUS permitted downgrading of 71.1%
(32/45) and 17.1% (6/35) of benign lesions compared to US and CECT. The
diagnostic performance of CEUS was better than US for benign cystic lesions. The
phenomenon that solid-like component by US did not enhance by CEUS was a strong
predictor of benign disease, with a positive predictive value (PPV) of 100%.
Enhancement of solid, soft tissue by CEUS was highly predictive of malignancy,
with a PPV of 100%. CONCLUSIONS: CEUS was superior to US and CECT in visualizing
the number of septa septa and wall thickness, and the presence of solid component
of cystic renal lesions. CEUS may play a similar role to CECT in the diagnosis of
renal cystic lesions, and better than US.
PMID- 24929668
TI - Mass-forming cholangiocarcinoma and adenocarcinoma of unknown primary: can they
be distinguished on liver MRI?
AB - PURPOSE: To determine MR features suggestive of mass-forming cholangiocarcinoma
(CCA) or liver metastases of adenocarcinoma of unknown primary (AUP), and to
compare the ability of two experienced radiologists to establish the correct
diagnosis. MATERIALS AND METHODS: 61 patients with CCA or AUP, with MRIs were
placed into two groups: population 1, 28 patients with certain diagnosis of
either CCA or AUP; and population 2, 33 patients with uncertain diagnosis. Using
population 1 with known diagnosis, two investigators formulated imaging criteria
for CCA or AUP, which represented phase 1 of the study. In phase 2, two
independent radiologists categorized the patients in populations 1 and 2 as CCA
or AUP using the formulated criteria. This categorization was compared with the
patient medical records and pathologist review. Findings were tested for
statistical significance. RESULTS: In phase 1, solitary lesion, multifocal
lesions with dominant lesion, capsule retraction, and porta hepatis
lymphadenopathy were features of CCA; multifocal lesions with similar size, and
ring enhancement were features of AUP. The number of lesions, capsule retraction,
and early tumor enhancement pattern were observed to be significant features (P <
0.05). In phase 2, agreement between the two radiologists was good (k = 0.663).
For population 1, the agreement was good (k = 0.659), and was fair for population
2 (k = 0.293). Concordance between the two radiologists, medical record, and the
pathologist was found in 41/61 (67%) patients. CONCLUSION: Distinctive features
of CCA and AUP are identifiable on MRI images, which may aid the radiologist to
establish the correct diagnosis.
PMID- 24929670
TI - Improved elongation factor-1 alpha-based vectors for stable high-level expression
of heterologous proteins in Chinese hamster ovary cells.
AB - BACKGROUND: Establishing highly productive clonal cell lines with constant
productivity over 2-3 months of continuous culture remains a tedious task
requiring the screening of tens of thousands of clonal colonies. In addition,
long-term cultivation of many candidate lines derived in the absence of drug
selection pressure is necessary. Expression vectors based on the elongation
factor-1 alpha (EEF1A) gene and the dihydrofolate reductase (DHFR) selection
marker (with separate promoters) can be used to obtain highly productive
populations of stably transfected cells in the selection medium, but they have
not been tested for their ability to support target gene amplification under
gradually increasing methotrexate pressure. RESULTS: We have modified EEF1A-based
vectors by linking the DHFR selection marker to the target gene in the
bicistronic RNA, shortening the overall plasmid size, and adding an Epstein-Barr
virus terminal repeat fragment (EBVTR) element. Presence of the EBVTR element
increased the rate of stable transfection by the plasmid by 24 times that of the
EBVTR-minus control and improved the rate of methotrexate-driven gene
amplification. The mean expression level of the enhanced green fluorescent
protein (eGFP) used herein as a model protein, increased up to eight-fold using a
single round of amplification in the case of adherent colonies formation and up
to 4.5-fold in the case of suspension polyclonal cultures. Several eGFP
expressing cell populations produced using vectors with antibiotic resistance
markers instead of the DHFR marker were compared with each other. Stable
transfection of Chinese hamster ovary (CHO) DG44 cells by the p1.2-Hygro-eGFP
plasmid (containing a hygromycin resistance marker) generated highest eGFP
expression levels of up to 8.9% of the total cytoplasmic protein, with less than
5% of the cell population being eGFP-negative. CONCLUSIONS: The p1.1 vector was
very effective for stable transfection of CHO cells and capable of rapid MTX
driven target gene amplification, while p1.2-Hygro achieved similar eGFP
expression levels as p1.1. The set of vectors we have developed should speed-up
the process of generating highly productive clonal cell lines while substantially
decreasing the associated experimental effort.
PMID- 24929669
TI - microRNA-128a dysregulation in transgenic Huntington's disease monkeys.
AB - BACKGROUND: Huntington's Disease (HD) is a progressive neurodegenerative disorder
with a single causal mutation in the Huntingtin (HTT) gene. MicroRNAs (miRNAs)
have recently been implicated as epigenetic regulators of neurological disorders,
however, their role in HD pathogenesis is not well defined. Here we study
transgenic HD monkeys (HD monkeys) to examine miRNA dysregulation in a primate
model of the disease. RESULTS: In this report, 11 miRNAs were found to be
significantly associated (P value < 0.05) with HD in the frontal cortex of the HD
monkeys. We further focused on one of those candidates, miR-128a, due to the
corresponding disruption in humans and mice with HD as well as its intriguing
lists of gene targets. miR-128a was downregulated in our HD monkey model by the
time of birth. We then confirmed that miR-128a was also downregulated in the
brains of pre-symptomatic and post-symptomatic HD patients. Additionally, our
studies confirmed a panel of canonical HD signaling genes regulated by miR-128a,
including HTT and Huntingtin Interaction Protein 1 (HIP1). CONCLUSION: Our
studies found that miR-128a may play a critical role in HD and could be a viable
candidate as a therapeutic or biomarker of the disease.
PMID- 24929671
TI - Fusarium keratitis at a tertiary eye care centre in India.
AB - The aim of the study was to report the clinical and microbiological profiles of
Fusarium keratitis. In this single-centre, retrospective, non-comparative case
series, 47 laboratory-confirmed cases of keratitis caused by Fusarium species
treated at the L V Prasad Eye Institute, Bhubaneswar, India, between November
2006 and October 2009, were reviewed. The analysis included predisposing factors,
clinical characteristics, microbiological findings, treatment and outcome. Forty
seven samples of 47 patients were included in the study. The mean age of the 47
patients was 46 +/- 17 years. Twelve eyes had a history of injury. Corneal
scraping could not be done in one of the cases due to large perforation. Fungal
filaments were detected in corneal scraping in 41 cases, and in three cases
microconidia were observed in microscopy. Fusarium solani was the most common
species (44.7 %). All three cases where microconidia were present in smear were
identified as F. solani in culture. The mean time to positive culture was 2.4 +/-
1.5 days. Twenty-three patients underwent adjunctive surgical procedure. Visual
acuity of <20/200 at presentation and final follow-up was noted in 80.9 and 51.4
% patients, respectively. One-half (23/47) of the patients had improvement in
visual acuity. Fusarium keratitis may present after trauma without any satellite
lesion, and the response to medical therapy is generally poor. Rapid diagnosis
can be made by smear examination of corneal scrapings in a majority of the cases
and confirmed by culture within 2-3 days. Presence of microconidia in smear
examination may be suggestive of F. solani.
PMID- 24929672
TI - Gender differences in affective sharing and self-other distinction during
empathic neural responses to others' sadness.
AB - Self-other distinction, the separation between self and other, is a prerequisite
for empathy through which individuals share another individual's feelings. Prior
research suggests that females are better at recognizing and sharing others'
emotions, whereas males perform better at self-other distinction. It is unclear,
however, whether this superiority in the self-other distinction occurs in males
throughout the experience of empathy or only at some stages of the empathic
process. The present study utilized event-related potentials (ERPs) to
investigate this issue. In two separate experimental tasks, subjects were
instructed to either judge the emotions shown on a face (other-task) or evaluate
their own affective responses to the emotions shown on a face (self-task). The
results of the other-task revealed that unlike males, females displayed increased
P2 (190-240 ms) amplitudes to sad expressions compared with neutral expressions.
This finding might be associated with an improved ability to recognize and share
the emotions of others in females. In contrast, only males exhibited larger P2
amplitudes to sad expressions compared with neutral expressions during the self
task. This awareness of one's own emotions in response to another individual
might reflect a distinction between the self and the other at an early stage in
males. At the late cognitive controlled stage, gender differences became weak.
However, the emotion effects in each task for both genders were positively
correlated with self-reported cognitive empathy, which was indexed by the
perspective taking (PT) and fantasy (FS) subscale, but not with affective
empathy.
PMID- 24929673
TI - Current role of rufinamide in the treatment of childhood epilepsy: literature
review and treatment guidelines.
AB - PURPOSE: The literature on the efficacy and safety of rufinamide in childhood
onset epilepsy syndromes currently includes approximately 600 paediatric
patients. This paper summarizes the views of a panel of experienced European
epileptologists with regard to the current role of rufinamide in the treatment of
childhood epilepsies. RESULTS: Rufinamide is effective in decreasing the seizure
frequency in the Lennox-Gastaut syndrome (LGS), especially tonic and atonic
seizures. It might consequently be preferred to other drugs as a second-line
treatment for LGS when drop-attacks are frequent. The mean responder rate in the
published studies is 38% with seizure freedom achieved in 2.4% of patients.
Rufinamide has shown some efficacy in epileptic encephalopathies other than LGS.
It can be also effective as adjunctive therapy in children and adolescents with
drug-resistant partial seizures. The available data suggest that rufinamide has
an acceptable risk/benefit ratio with quite a low risk of aggravating seizures.
Common adverse effects (somnolence, nausea and vomiting) are usually mild and
self-limiting; they are more frequently observed during titration than in the
maintenance phase, suggesting that low escalation rates might be associated with
fewer adverse effects. Rufinamide appears to have a favourable cognitive profile
compared with other antiepileptic drugs. CONCLUSION: Rufinamide is only approved
for adjunctive treatment of seizures associated with LGS in children 4 years of
age and older. There are very few data on rufinamide treatment at the onset of
LGS or early in the course of the disorder; whether early treatment will improve
outcome has yet to be determined.
PMID- 24929674
TI - Chromosome analysis in embryos from young patients with previous parity.
AB - This study included 173 young couples of proven fertility who had previously
undergone preimplantation genetic screening for chromosomes X and Y for family
balancing. Several months later, when the outcome of the pregnancies was already
known, the blastomeres from the corresponding embryos transferred were reanalysed
by fluorescence in-situ hybridization (FISH) for chromosomes 13, 16, 18, 21, 22
with the aim of investigating correlation with embryo viability and the level of
FISH sensitivity (embryos confirmed to be euploid). According to the results,
informative in 152 couples, the proportion of euploid embryos was significantly
lower in 53 nonpregnant women when compared with 99 women with term pregnancy
(49% versus 75% respectively, P < 0.001). In addition, in 21 nonpregnant
patients, all embryos transferred were found to be chromosomally abnormal. The
level of FISH sensitivity was calculated in the group of term pregnancies where
the number of euploid embryos was expected to exceed or match with the number of
babies born. The resulting false-negative rate was 4.0% per patient and 1.9% per
embryo. These findings confirmed the limited prediction power of embryo
morphology on implantation but also the relevance of chromosomal abnormalities in
causing embryo demise.
PMID- 24929676
TI - Pneumonitis and pulmonary fibrosis associated with breast cancer treatments.
AB - To review the available published data regarding the incidence, mechanisms of
pathogenesis, clinical presentations and management of pneumonitis caused by anti
cancer treatments (radiotherapy (RT) and systemic agents) that are included in
the guidelines of the treatment of breast cancer (BC) and address the issues on
the current grading classification of pneumonitis. A literature search was
performed between July and October 2013 using PubMed for papers published from
January 1989 to October 2013. Any clinical trial, case report, case series, meta
analysis or systematic review that reported on pulmonary toxicity of any BC
therapeutic modality was included (only papers published in English). Most of
anticancer treatments currently used in the management of BC may induce some
degree of pneumonitis that is estimated to have an incidence of 1-3 %. There is
an obvious distinction between chemotherapy- and targeted treatment-related lung
toxicity. Moreover, the current classification of pneumonitis needs to be
modified as there is a clear diversity in grade 2. As pneumonitis is relatively
common and reported as side effect of new anticancer agents, physicians need to
be aware of the clinical and radiological manifestations of drug- and RT-induced
toxicities in patients with BC. A key recommendation is the subdivision of grade
2 cases to two subgroups. We provide an algorithm, along with real life cases as
managed in the breast Unit of Royal Marsden Hospital, with the aim to guide
physicians in managing all possible eventualities that may come across in
clinical practise.
PMID- 24929675
TI - Ado-trastuzumab emtansine-associated telangiectasias in metastatic breast cancer:
a case series.
AB - Treatment of HER2-positive metastatic breast cancer with ado-trastuzumab
emtansine (T-DM1), a novel antibody-drug conjugate, has resulted in both improved
progression-free and overall survival. Recognition and treatment of diverse
adverse events related to T-DM1 is critical for safety and tolerability. The most
frequent adverse events with T-DM1 include fatigue, diarrhea, anemia, elevated
transaminases, and mild-to-moderate hemorrhagic events, which are thought to be
related to induced thrombocytopenia. Here, we present five case series of
cutaneous and mucosal telangiectasias, definitely related to T-DM1. The
development of telangiectasias represents a newly recognized adverse effect of T
DM1. We provide description and timing of the telangiectasias and review the
mechanisms that may explain the formation of these vascular lesions in
association with T-DM1. Further, we describe associated bleeding events and
propose that induced telangiectasias could represent an additional cause of T-DM1
associated hemorrhage.
PMID- 24929678
TI - Conjoint occurrence of GABAB receptor antibodies in Lambert-Eaton myasthenic
syndrome with antibodies to the voltage gated calcium channel.
AB - Antibodies (abs) to the GABAB receptor have been recently found to be responsible
for immune-mediated encephalitis with dominant seizures. They are in
approximately 50% of cases associated with small-cell lung cancer (SCLC). GABAB
receptors are mainly located in the hippocampus, thalamus and cerebellum in the
presynaptic and postsynaptic regions of synapses. The main function of these
receptors is to reduce activity states of neurons. In some instances, GABAB
receptor abs in these patients were accompanied by other antibodies, among them
VGCC abs (Lancaster et al., 2010, Boronat et al., 2011). VGCC abs cause
paraneoplastic Lambert Eaton myasthenic syndrome (LEMS) by reduction of
presynaptic VGCCs (Titulaer et al., 2011). In the domain of CNS disease, VGCC abs
have been found in association with paraneoplastic cerebellar ataxia (Mason et
al., 1997) and rarely and at low titres also in other paraneoplastic
encephalopathies together with Hu abs (Lennon et al., 1995). It has been a long
standing debate if abs in paraneoplastic conditions associate rather with the
neurological syndrome or the tumour. Here, we describe the conjoint occurrence of
abs to the GABAB receptor and to the VGCC in a patient with SCLC presenting only
symptoms of the peripheral nervous system giving another example of the latter
hypothesis.
PMID- 24929677
TI - Recurrent read-through fusion transcripts in breast cancer.
AB - Read-through fusion transcripts that result from the splicing of two adjacent
genes in the same coding orientation are a recently discovered type of chimeric
RNA. We sought to determine if read-through fusion transcripts exist in breast
cancer. We performed paired-end RNA-seq of 168 breast samples, including 28
breast cancer cell lines, 42 triple negative breast cancer primary tumors, 42
estrogen receptor positive (ER+) breast cancer primary tumors, and 56 non
malignant breast tissue samples. We analyzed the sequencing data to identify
breast cancer associated read-through fusion transcripts. We discovered two
recurrent read-through fusion transcripts that were identified in breast cancer
cell lines, confirmed across breast cancer primary tumors, and were not detected
in normal tissues (SCNN1A-TNFRSF1A and CTSD-IFITM10). Both fusion transcripts use
canonical splice sites to join the last splice donor of the 5' gene to the first
splice acceptor of the 3' gene, creating an in-frame fusion transcript. Western
blots indicated that the fusion transcripts are translated into fusion proteins
in breast cancer cells. Custom small interfering RNAs targeting the CTSD-IFITM10
fusion junction reduced expression of the fusion transcript and reduced breast
cancer cell proliferation. Read-through fusion transcripts between adjacent genes
with different biochemical functions represent a new type of recurrent molecular
defect in breast cancer that warrant further investigation as potential
biomarkers and therapeutic targets. Both breast cancer associated fusion
transcripts identified in this study involve membrane proteins (SCNN1A-TNFRSF1A
and CTSD-IFITM10), which raises the possibility that they could be breast cancer
specific cell surface markers.
PMID- 24929679
TI - Intermittent clobazam prophylaxis in hot water epilepsy is safe and effective: a
prospective study.
AB - PURPOSE: To evaluate the role of intermittent prophylaxis with clobazam in the
management of HWE in a long-term prospective study. MATERIAL AND METHODS: Two
hundred and sixty patients [M:F - 194:66] with HWE were recruited. Patients were
divided into: (a) 'HWE alone' (n=198) - received intermittent clobazam
prophylaxis, 1-11/2h prior to hot water head bath (group A); (b) 62 patients
(20.4%) with 'HWE with spontaneous seizures were treated with continuous AEDs
along with intermittent clobazam therapy (group B). RESULTS: Patients (n=198) in
group A was followed for mean of 17.6 +/- 10.6 months (range: 3-57). One hundred
and forty seven patients (74.2%) had excellent response with complete seizure
freedom with clobazam therapy while 12 (6.1%) had >75% reduction in seizure
frequency. Remaining 39 (19.7%) required additional standard AED along with
clobazam and 18 patients among them developed spontaneous/unprovoked seizure at
follow up of 6.7 +/- 4.1 months. Forty five patients in group B were seizure free
while on continuous AEDs. CONCLUSIONS: Intermittent clobazam prophylaxis prior to
head water bath might be a preferred mode of treatment of pure HWE. Additional
AEDs are required if they have associated non-reflex unprovoked seizure.
PMID- 24929680
TI - Manufacture and characterization of a yogurt-like beverage made with oat flakes
fermented by selected lactic acid bacteria.
AB - This study aimed at investigating the suitability of oat flakes for making
functional beverages. Different technological options were assayed, including the
amount of flakes, the inoculum of the starter and the addition of enzyme
preparations. The beverage containing 25% (wt/wt) of oat flakes and fermented
with L. plantarum LP09 was considered optimal on the basis of sensory and
technological properties. The enzyme addition favored the growth of the starter,
shortened the time needed to reach pH4.2 to ca. 8h, and favored a decrease of the
quotient of fermentation. Fermentation increased the polyphenols availability and
the antioxidant activity (25 and 70% higher, respectively) and decreased the
hydrolysis index in vitro. Sensory analyses showed that fermented oat flakes
beverage had the typical features of a yogurt-like beverage, enhancing the
overall intensity of odor and flavor compared to the non-fermented control.
Selection of proper processing and fermentation condition allowed the obtainment
of a beverage with better nutritional and sensory properties.
PMID- 24929681
TI - Survey of Canadian retail pork chops and pork livers for detection of hepatitis E
virus, norovirus, and rotavirus using real time RT-PCR.
AB - Over the past 15 years, hepatitis E virus (HEV), norovirus (NoV), and rotavirus
(RV) have been hypothesized to be potentially zoonotic; swine and pork have been
suggested as possible human infection sources for all 3 viruses. Our objective
was to estimate HEV, NoV, and RV prevalence and load on Canadian retail pork
chops and livers. Using the Canadian Integrated Program for Antimicrobial
Resistance Surveillance (CIPARS) sampling platform, pork livers (n=283) and chops
(n=599) were collected, processed, and assayed for the 3 viruses by four
collaborating federal laboratories using validated real time reverse
transcriptase polymerase chain reactions (qRT-PCR). Follow-up qRT-PCR estimating
viral load in genomic copies/g was followed by nested classical RT-PCR and
isolate sequencing of a partial segment of the ORF2 gene. Local alignments were
performed using MUSCLE (Multiple Sequence Comparison by Log-Expectation); a
phylogenetic tree was created. Twenty-five livers and 6 chops were classified
'positive' (thresholds for viral RNA detected in both replicates of the assay) or
'suspect' (thresholds detected in one of two replicates) for HEV. Follow-up qRT
PCR detected HEV on 16 livers, 0 chops, and nested classical RT-PCR, on 14 livers
and 0 chops. Initial qRT-PCR classified 12 chops 'suspect' for NoV. Follow-up qRT
PCR detected viral RNA on only one sample with thresholds greater than 40 in both
replicates. No amplicon was yielded, and therefore no isolate was sequenced from
this sample. Partial ORF2 genes from 14 HEV isolates were sequenced, and compared
via sequence identity and phylogenetic analysis with selected human case isolates
listed in NCBI-GenBank. Overall, HEV prevalence on retail pork was comparable
with other published reports.
PMID- 24929683
TI - Combined effect of chitosan and water activity on growth and fumonisin production
by Fusarium verticillioides and Fusarium proliferatum on maize-based media.
AB - The objectives of the present study were to determine the in vitro efficacy of
chitosan (0.5, 1.0, 2.0 and 3.0mg/mL) under different water availabilities
(0.995, 0.99, 0.98, 0.96 and 0.93) at 25 degrees C on lag phase, growth rate and
fumonisin production by isolates of Fusarium verticillioides and Fusarium
proliferatum. The presence of chitosan affected growth and fumonisin production,
and this effect was dependent on the dose and aW treatment used. The presence of
chitosan increased the lag phase, and reduced the growth rate of both Fusarium
species significantly at all concentrations used, especially at 0.93 aW. Also,
significant reduction of fumonisin production was observed in both Fusarium
species at all conditions assayed. The present study has shown the combined
effects of chitosan and aW on growth and fumonisin production by the two most
important Fusarium species present on maize. Low molecular weight (Mw) chitosan
with more than 70% of degree of deacetylation (DD) at 0.5mg/mL was able to
significantly reduce growth rate and fumonisin production on maize-based media,
with maximum levels of reduction in both parameters obtained at the highest doses
used. As fumonisins are unavoidable contaminants in food and feed chains, their
presence needs to be reduced to minimize their effects on human and animal health
and to diminish the annual market loss through rejected maize. In this scenario
post-harvest use of chitosan could be an important alternative treatment.
PMID- 24929682
TI - Identification of beer-spoilage bacteria using matrix-assisted laser
desorption/ionization time-of-flight mass spectrometry.
AB - Applicability of matrix-assisted laser desorption/ionization time-of-flight mass
spectrometry (MALDI-TOF MS) for identification of beer-spoilage bacteria was
examined. To achieve this, an extensive identification database was constructed
comprising more than 4200 mass spectra, including biological and technical
replicates derived from 273 acetic acid bacteria (AAB) and lactic acid bacteria
(LAB), covering a total of 52 species, grown on at least three growth media.
Sequence analysis of protein coding genes was used to verify aberrant MALDI-TOF
MS identification results and confirmed the earlier misidentification of 34 AAB
and LAB strains. In total, 348 isolates were collected from culture media
inoculated with 14 spoiled beer and brewery samples. Peak-based numerical
analysis of MALDI-TOF MS spectra allowed a straightforward species identification
of 327 (94.0%) isolates. The remaining isolates clustered separately and were
assigned through sequence analysis of protein coding genes either to species not
known as beer-spoilage bacteria, and thus not present in the database, or to
novel AAB species. An alternative, classifier-based approach for the
identification of spoilage bacteria was evaluated by combining the identification
results obtained through peak-based cluster analysis and sequence analysis of
protein coding genes as a standard. In total, 263 out of 348 isolates (75.6%)
were correctly identified at species level and 24 isolates (6.9%) were
misidentified. In addition, the identification results of 50 isolates (14.4%)
were considered unreliable, and 11 isolates (3.2%) could not be identified. The
present study demonstrated that MALDI-TOF MS is well-suited for the rapid, high
throughput and accurate identification of bacteria isolated from spoiled beer and
brewery samples, which makes the technique appropriate for routine microbial
quality control in the brewing industry.
PMID- 24929684
TI - Influence of acetylation degree and molecular weight of homogeneous chitosans on
antibacterial and antifungal activities.
AB - The results given in the literature are conflicting when considering the
relationship between antimicrobial activity and chitosan characteristics. To be
able to clarify, we prepared fifteen homogeneous chitosans with different
acetylation degrees (DA) and molecular weights (MW) by reacetylation of a fully
deacetylated chitin under homogeneous conditions. They were tested at different
pH values for their antimicrobial activities against four Gram-negative bacteria
(Escherichia coli, Pseudomonas aeruginosa, Klebsiella pneumoniae and Salmonella
typhi), four Gram-positive bacteria (Staphylococcus aureus, Bacillus cereus,
Enterococcus faecalis and Micrococcus luteus) and three fungi (Aspergillus niger,
Fusarium oxysporum and Alternaria solani). Chitosans markedly inhibited growth of
most bacteria and fungi tested, although the inhibitory effect depends on the
type of microorganism and on the chitosan characteristics (DA and MW) with
minimum inhibitory concentrations in the range of 0.001 to 0.1 w%. Considering
chitosan efficiency on bacteria, our series of data clearly show that the lower
DA and the lower pH give the larger efficiency. Antibacterial activity was
further enhanced for Gram-negative bacteria with decreasing MW, whereas, opposite
effect was observed with the Gram-positive. Concerning the antifungal activity,
the influence of chitosan characteristics was dependent on the particular type of
fungus. Fungal growth decreased with increasing MW for F. oxysporum and
decreasing DA for A. solani, but no MW or DA dependences were observed with A.
niger.
PMID- 24929685
TI - Inactivation of Alicyclobacillus acidoterrestris spores in aqueous suspension and
on apples by neutral electrolyzed water.
AB - Alicyclobacillus acidoterrestris can be difficult to control in fruit juices as
their spores survive juice pasteurization temperatures and may subsequently
germinate and grow. Contaminated fruits can be regarded as a major source of
spoilage caused by A. acidoterrestris in fruit juices. The objective of this
study was to evaluate the efficacy of neutral electrolyzed water (NEW) in
reducing the number of A. acidoterrestris spores in aqueous suspension and on
surface-inoculated apples. Its effectiveness was compared with that of sodium
hypochlorite (SH) solutions at free chlorine concentrations of 50 and 200mg/L.
Viable spore counts in test suspensions were significantly (P<0.05) reduced after
exposure to NEW (200mg/L free chlorine) for 1 min. However, NEW (50mg/L free
chlorine) and SH solutions were unable to significantly (P>0.05) reduce the
number of viable spore during the same exposure period. More than 5 log reduction
in spore counts was achieved by NEW solution containing 200mg/L free chlorine
after 5 min of exposure. Exposure to NEW solutions for 3 min yielded more than 4
log reductions in the number of viable spores on apple surfaces. At the same
concentrations of free chlorine, NEW was three to more than ten-fold effective
than SH in reducing viability of A. acidoterrestris spores in aqueous suspension
and on apple surfaces. This finding suggests that NEW can be considered as an
effective disinfectant for the control of A. acidoterrestris on fruits.
PMID- 24929686
TI - A portable system for foot biomechanical analysis during gait.
AB - Modeling the foot is challenging due to its complex structure compared to most
other body segments. To analyze the biomechanics of the foot, portable devices
have been designed to allow measurement of temporal, spatial, and pedobarographic
parameters. The goal of this study was to design and evaluate a portable system
for kinematic and dynamic analysis of the foot during gait. This device consisted
of a force plate synchronized with four cameras and integrated into a walkway.
The complete system can be packaged for transportation. First, the measurement
system was assessed using reference objects to evaluate accuracy and precision.
Second, nine healthy participants were assessed during gait trials using both the
portable and Vicon systems (coupled with a force plate). The ankle and
metatarsophalangeal (MP) joint angles and moments were computed, as well as the
ground reaction force (GRF). The intra- and inter-subject variability was
analyzed for both systems, as well as the inter-system variation. The accuracy
and precision were, respectively 0.4 mm and 0.4 mm for linear values and 0.5
degrees and 0.6 degrees for angular values. The variability of the portable and
Vicon systems were similar (i.e., the inter-system variability never exceeded 2.1
degrees , 0.081 Nmkg(-1) and 0.267 Nkg(-1) for the angles, moments and GRF,
respectively). The inter-system differences were less than the inter-subject
variability and similar to the intra-subject variability. Consequently, the
portable system was considered satisfactory for biomechanical analysis of the
foot, outside of a motion analysis laboratory.
PMID- 24929687
TI - Cancer services to 2025 in New Zealand--investing in research-driven quality
care.
PMID- 24929688
TI - Surgery for cancer: less and less for more and more patients.
PMID- 24929689
TI - Twelve years' experience of sentinel lymph node biopsy for melanoma at a rural
New Zealand hospital.
AB - AIM: To document the false-negative sentinel lymph node biopsy (SLNB) rate for
melanoma patients at a rural NZ hospital and the likelihood of further nodal
involvement on completion lymph node dissection (CLND). METHODS: All patients
undergoing SLNB for melanoma at this centre were identified from the study
period. Basic demographics along with histological data of both the primary
lesion and SLNB were collated. Local and regional recurrences were recorded as
was mortality. RESULTS: Between January 2000 and July 2012, 95 patients underwent
SLNB for melanoma. Ten patients (11%) underwent CLND after positive SLNB. A
further two patients had a median of two additional nodes involved (range 1-3).
After a median follow-up period of 65 months (range 47-112), 6 patients suffered
nodal recurrence where previously a negative SLNB had been harvested, giving a
false-negative rate of 38%. Recurrence occurred a median of 16 months after WLE
and SLNB. CONCLUSION: A high false negative-negative rate was observed in this
study. For those with a positive SLNB, a further 20% have further nodal
involvement on CLND.
PMID- 24929690
TI - Screening for colorectal cancer and prostate cancer: challenges for New Zealand.
AB - AIM: Prostate cancer and colorectal cancer are the most commonly registered
cancers in New Zealanders and among the five most commonly registered cancers
worldwide, but the balance of benefits and harms, and therefore appropriate
screening policies, for these cancers differ. We aimed to compare the potential
benefits and harms of screening for prostate cancer and colorectal cancer to aid
prioritisation in New Zealand. METHOD: Relevant reports from randomised
controlled trials and systematic reviews of prostate cancer and colorectal cancer
screening were reviewed to obtain estimates of the potential benefits and harms
of screening for prostate cancer and colorectal cancer. RESULTS: The balance of
potential benefits and harms of screening is better for colorectal cancer
screening than for prostate cancer screening. For colorectal cancer, the balance
of benefits and harms is better for flexible sigmoidoscopy screening than for
faecal occult blood screening. CONCLUSION: In New Zealand, colorectal cancer
screening should be a priority. Challenges include colonoscopy capacity, and
decisions about the most appropriate screening modality.
PMID- 24929691
TI - Influence of age and site of disease on lymph node yield in colorectal cancer.
AB - AIM: Colorectal cancer (CRC) is the second-leading cause of cancer death in New
Zealand. Lymph node yield (LNY) is an independent prognostic factor in CRC and 12
or more lymph nodes in the resected specimen is the current recommended standard,
regardless of age or site of disease. The aim of this study was to examine the
effect of age and site of the disease on LNY. METHOD: Patients undergoing
elective surgery for CRC at Dunedin Hospital between 1995 and 2012, who enrolled
in studies involving prospective data and tissue collection, were included in the
current study. The relationship between LNY and demographic and pathological
variables including age, sex, tumour location and stage were investigated.
RESULTS: 824 patients were included (mean age 70.5 years, 51% female). The mean
(SD) LNY was 17.9 (10.1).There was a weak but significant negative correlation
between age and LNY (r=-0.08; p=0.024) that was more evident in patients with
right-sided tumours (r=0.18; p=0.001). Right-sided tumours also had a higher LNY
(mean 20.5) than left colon (mean 16.8) and rectal cancer (mean 14.6) (p<0.001).
Overall the mean LNY reduced by 1 for every 7 years advancement in age.
CONCLUSION: LNY is higher in right-sided colon cancer and reduces with age.
Further research should consider whether the recommended standard for LNY in the
specimen should take account of age and tumour location.
PMID- 24929692
TI - Paediatric ovarian lesions--the experience at Starship Children's Hospital, New
Zealand.
AB - AIM: To review the experience of paediatric ovarian masses at Starship Children's
Hospital (Auckland, New Zealand). Primarily to assess the range of pathology, the
presenting features, and the surgical management of these lesions. METHODS: A
search of the hospital surgical pathology database was carried out to identify
patients less than 16 years in whom ovarian tissue was submitted for pathological
analysis during the 12 year period from January 2000 to December 2011. A
retrospective review of the medical records was carried out. RESULTS: 244 ovarian
masses in 219 patients were identified. 99 of these were neoplastic with 19
(7.8%) being malignant and an additional four (1.6%) borderline malignant lesions
(borderline epithelial tumours). Mature cystic teratoma was the commonest
neoplastic lesion (55.6%). Patients who presented with acute abdominal pain were
more commonly found to have non-neoplastic lesions than neoplastic lesions (71.5%
vs 46.9%, p<0.0001), and those that presented with a palpable mass were more
commonly found to have a neoplastic lesion (24.0% vs 3.3%, p<0.0001).
Laparoscopic surgery was performed in 41.6% of all patients. Ovary conserving
surgery was performed in 56.6% of all patients, though only 32.3% of patients
with neoplastic lesions. CONCLUSION: This study provides important insight into
the range of ovarian pathology encountered in a New Zealand paediatric
population. Most of the ovarian lesions in paediatric age groups are benign.
Ovarian sparing surgery is recommended. In cases of ovarian torsion, malignancy
in this series and in the literature is less than 2%. This review highlights that
paediatric surgical units have vast experience to deal with ovarian pathology in
paediatric age groups.
PMID- 24929693
TI - Thyroid cancer in Pacific women in New Zealand.
AB - AIM: To describe trends in incidence rates of thyroid cancer in New Zealand
between 1981-2004 with a particular focus on Pacific women. METHOD: Linked census
cancer registration data was used to calculate age standardised cancer incidence
rates for thyroid cancer. Both trends over time amongst Pacific women, and
differences in rates between Pacific and European/Other women in New Zealand,
were assessed. RESULTS: Rates of thyroid cancer in New Zealand were higher for
women than men. The highest rates of thyroid cancer in were observed amongst
Pacific women with a pooled age-standardised incidence rate of 18.5/100,000
(95%CI 14.6-22.4/100,000) compared to 5.2/100,000 (95% 4.8-5.5/100,000) for
European/Other; SRR 3.58 (95%CI 2.87-4.47). Sparse data mean it is difficult to
clearly identify a trend over time for Pacific women but European women
experienced a 73% increase from 4.0/100,000 (95%CI 3.3-4.6/100,000) in 1981=1986
to 6.9/100,000 (95%CI 5.9-7.8/100,000) in 2001-2004 (Ptrend=0.05). CONCLUSIONS:
Pacific women in New Zealand have the highest rates of thyroid cancer among
resident ethnic groups. Risk was highest for Pacific women over 45 years of age.
More research needs to be done looking at which specific ethnicities are driving
rates of thyroid cancer in New Zealand and whether the risk is influenced by
birthplace and age at migration to New Zealand.
PMID- 24929694
TI - Rectal cancer: future directions and priorities for treatment, research and
policy in New Zealand.
AB - New Zealand has one of the highest incidences of rectal cancer in the world, and
its optimal management requires a multidisciplinary approach. A National Rectal
Cancer Summit was convened in August 2013 to discuss management of rectal cancer
in the New Zealand context, to highlight controversies and discuss domestic
priorities for the future. This paper summarises the priorities for treatment,
research and policy for rectal cancer services in New Zealand identified as part
of the Summit in August. The following priorities were identified: - Access to
high-quality information for service planning, review of outcomes, identification
of inequities and gaps in provision, and quality improvement; - Engagement with
the entire sector, including private providers; - Focus on equity; - Emerging
technologies; - Harmonisation of best practice; - Importance of multidisciplinary
team meetings. In conclusion, improvements in outcomes for patients with rectal
cancer in New Zealand will require significant engagement between policy makers,
providers, researchers, and patients in order to ensure equitable access to high
quality treatment, and strategic incorporation of emerging technologies into
clinical practice. A robust clinical information framework is required in order
to facilitate monitoring of quality improvements and to ensure that equitable
care is delivered.
PMID- 24929695
TI - Anorectal melanoma: not a haemorrhoid.
AB - AIM: Melanoma of the anorectum is a rare malignancy which is particularly
aggressive compared to cutaneous melanoma. Due to its presenting symptoms,
location and rarity there is often a delay in diagnosis. The purpose of this
paper is to raise awareness of anorectal melanoma in New Zealand by presenting
our institution's experience of four cases. METHODS: The presentation, management
and outcomes of four cases are described. A review of the literature surrounding
anorectal melanoma was also carried out. RESULTS: The four cases (3 male, 1
female, aged 30-87 years) all presented with haemorrhoidal symptoms of anal
discomfort and/or outlet rectal bleeding. Three patients had metastatic disease
at presentation, and the remaining patient was found to have a concurrent
lymphoma which was treated with chemotherapy before he underwent excision of the
melanoma. Surgical excision is the mainstay of treatment and recent literature
suggests transanal excision of the primary tumour to have equivalent overall
survival to abdominoperineal resection. CONCLUSION: Anorectal melanoma is rare
tumour with a poor prognosis. Patients are commonly misdiagnosed as having
haemorrhoids; therefore a high index of suspicion is needed to enable early
diagnosis. Metastatic disease is common at presentation, and the key prognostic
indicator. Local control can be obtained with transanal excision, avoiding the
morbidity of abdominoperineal resection. Adjuvant therapies available at present
provide little survival advantage.
PMID- 24929696
TI - Pseudotumours and IgG4-related disease: a case report.
AB - We report a case of a patient presenting with abdominal pain, weight loss and CT
imaging showing mass lesions in the chest and abdomen associated with
lymphadenopathy. He was diagnosed as having IgG4-related disease and responded
well to steroid treatment.
PMID- 24929697
TI - Missed melanomas.
PMID- 24929698
TI - Update from the NZ Familial GI Cancer Service.
PMID- 24929699
TI - Measurement of cigarette butt litter accumulation within city bus shelters.
PMID- 24929700
TI - Does one size fit all? National elective operation hospital discharge rates may
not be a good fit for all New Zealand district health boards.
PMID- 24929701
TI - Predictors of primary Achilles tendon ruptures.
AB - BACKGROUND: The Achilles tendon is the strongest tendon in the human body. The
incidence of Achilles tendon ruptures appears to be increasing. OBJECTIVES: The
aim of this review was to systematically summarize predictors influencing
Achilles tendon rupture (ATR) risk. METHODS: A systematic literature search was
performed of reported determinants influencing the ATR risk. Studies were
eligible if there was: (i) description of determinants predicting ATR; (ii) an
outcome defined as ATR; (iii) any study design with at least ten adults included
with ATR; (iv) use of statistical tests regarding differences between patients
with an ATR and healthy controls; (v) a full text article available; (vi) an
article written in English, German or Dutch. Quality assessment was done using a
standardized criteria set. Best-evidence synthesis was performed. RESULTS: We
included 31 studies, of which two (6.5%) were considered high-quality studies.
Moderate evidence was found for increased ATR risk and decreased fibril size of
Achilles tendon. CONCLUSION: Based on the results of this systematic review there
is moderate evidence that decreased tendon fibril size increases the ATR risk.
There is limited evidence for many other factors, some of which are modifiable,
such as increased body weight, oral corticosteroid use and quinolone use and
living in an urban area, and therefore may be of interest in future studies.
Furthermore, these results showed that more high-quality studies are needed for
evaluating the determinants influencing the ATR risk.
PMID- 24929702
TI - A decision model to estimate the cost-effectiveness of intensity modulated
radiation therapy (IMRT) compared to three dimensional conformal radiation
therapy (3DCRT) in patients receiving radiotherapy to the prostate bed.
AB - BACKGROUND: Intensity modulated radiation therapy (IMRT) is a radiation therapy
technology that facilitates the delivery of an improved dose distribution with
less dose to surrounding critical structures. This study estimates the longer
term effectiveness and cost-effectiveness of IMRT in patients post radical
prostatectomy. METHODS: A Markov decision model was developed to calculate the
incremental quality adjusted life years (QALYs) and costs of IMRT compared with
three dimensional conformal radiation therapy (3DCRT). Costs were estimated from
the perspective of the Australian health care system. RESULTS: IMRT was both more
effective and less costly than 3DCRT over 20 years, with an additional 20 QALYs
gained and over $1.1 million saved per 1000 patients treated. This result was
robust to plausible levels of uncertainty. CONCLUSIONS: IMRT was estimated to
have a modest long term advantage over 3DCRT in terms of both improved
effectiveness and reduced cost. This result was reliant on clinical judgement and
interpretation of the existing literature, but provides quantitative guidance on
the cost effectiveness of IMRT whilst long term trial evidence is awaited.
PMID- 24929707
TI - MiR-205 inhibits cell apoptosis by targeting phosphatase and tensin homolog
deleted on chromosome ten in endometrial cancer Ishikawa cells.
AB - BACKGROUND: MicroRNAs (miRNAs) are frequently dysregulated in human cancers and
can act as either potent oncogenes or tumor suppressor genes. In the present
study, we intend to prove that the gene PTEN (phosphatase and tensin homolog
deleted on chromosome ten) is a target gene of miR-205 and to investigate the
suppressive effects on PTEN transcriptional activity by enhancing miR-205
expression in endometrial cancer Ishikawa cells. METHODS: Using Ishikawa cells as
model systems, we up-regulated miR-205 expression by transient transfection with
miR-205 mimics. A luciferase reporter assay, qRT-PCR and western blotting assays
were used to verify whether PTEN is a direct target of miR-205. Meanwhile, the
modulatory role of miR-205 in the AKT (protein kinase B) pathway was evaluated by
determining the AKT phosphorylation. As a biological counterpart, we investigated
cell apoptosis using flow cytometry. RESULTS: Our data indicate that miR-205 down
regulates the expression of PTEN through direct interaction with the putative
binding site in the 3'-untranslated region (3'-UTR) of PTEN. Moreover, we
documented the functional interactions of miR-205 and PTEN, which have a
downstream effect on the regulation of the AKT pathway, explaining, at least in
part, the inhibitory effects on Ishikawa cell apoptosis of enhancing miR-205
expression. CONCLUSIONS: For the first time, we demonstrate that the expression
of PTEN is directly regulated by miR-205 in endometrial cancer cells and leads
the inhibition of cellular apoptosis. This relationship could be targeted for new
therapeutic strategies for endometrial cancer.
PMID- 24929703
TI - Allograft rejection and tubulointerstitial fibrosis in human kidney allografts:
interrogation by urinary cell mRNA profiling.
AB - Because the kidney allograft has the potential to function as an in-vivo flow
cytometer and facilitate the access of immune cells and kidney parenchymal cells
in to the urinary space, we hypothesized that mRNA profiling of urinary cells
offers a noninvasive means of assessing the kidney allograft status. We overcame
the inherent challenges of urinary cell mRNA profiling by developing pre
amplification protocols to compensate for low RNA yield from urinary cells and by
developing robust protocols for absolute quantification mRNAs using RT-PCR
assays. Armed with these tools, we undertook first single-center studies urinary
cell mRNA profiling and then embarked on the multicenter Clinical Trials in Organ
Transplantation-04 study of kidney transplant recipients. We report here our
discovery and validation of diagnostic and prognostic biomarkers of acute
cellular rejection and of interstitial fibrosis and tubular atrophy (IF/TA). Our
urinary cell mRNA profiling studies, in addition to demonstrating the feasibility
of accurate diagnosis of acute cellular rejection and IF/TA in the kidney
allograft, advance mechanistic and potentially targetable biomarkers.
Interventional trials, guided by urinary cell mRNA profiles, may lead to
personalized immunosuppression in recipients of kidney allografts.
PMID- 24929708
TI - The differential effects of surgical harm in elderly populations. Does the adage:
"they tolerate the operation, but not the complications" hold true?
AB - BACKGROUND: Elderly patients are thought to tolerate surgical complications
poorly because of low physiologic reserve. The purpose of the study was to
evaluate the differential effects of surgical harm in patients over 80 years old.
METHODS: Three years of data from a harm-reduction campaign were used to identify
inpatient surgeries performed on patients older than 50. The rates of harm,
death, cost, and length of stay (LOS) were analyzed using SPSS 21 (IBM, New York,
NY). RESULTS: A total of 22,710 patients were identified. Rates of harm and
mortality increased with increasing age. Harmed patients over age 80 had
increased mortality (9.5% vs 7%), but lower cost, intensive care unit days, and
LOS versus those aged 50 to 80. Linear regression showed increased cost with harm
($24,000) and decreased cost with age above 80 (-$7,000). CONCLUSIONS: In the
elderly surgical population, there is more harm and harm events are associated
with higher mortality rates, but less additional cost and LOS. Differing goals or
aggressiveness of care may explain cost avoidance in the elderly.
PMID- 24929710
TI - Evaluation of indirect impedance for measuring microbial growth in complex food
matrices.
AB - The suitability of indirect impedance to accurately measure microbial growth in
real food matrices was investigated. A variety of semi-solid and liquid food
products were inoculated with Bacillus cereus, Listeria monocytogenes,
Staphylococcus aureus, Lactobacillus plantarum, Pseudomonas aeruginosa,
Escherichia coli, Salmonella enteriditis, Candida tropicalis or Zygosaccharomyces
rouxii and CO2 production was monitored using a conductimetric (Don Whitely
R.A.B.I.T.) system. The majority (80%) of food and microbe combinations produced
a detectable growth signal. The linearity of conductance responses in selected
food products was investigated and a good correlation (R(2) >= 0.84) was observed
between inoculum levels and times to detection. Specific growth rate estimations
from the data were sufficiently accurate for predictive modeling in some cases.
This initial evaluation of the suitability of indirect impedance to generate
microbial growth data in complex food matrices indicates significant potential
for the technology as an alternative to plating methods.
PMID- 24929709
TI - Antifungal effect of antimicrobial peptides (AMPs LR14) derived from
Lactobacillus plantarum strain LR/14 and their applications in prevention of
grain spoilage.
AB - The concern for food safety has led to an increased interest in the development
of novel antimicrobials. Keeping this aim in mind, we have investigated the
antifungal effect of antimicrobial peptides (AMPs LR14) produced by Lactobacillus
plantarum strain LR/14 against four spoilage fungi, namely, Aspergillus niger,
Rhizopus stolonifer, Mucor racemosus and Penicillium chrysogenum. Interestingly,
all the four fungi were inhibited, suggesting that AMPs LR14 exhibited anti
fungal property. The peptides inhibited both, the spore germination and hyphal
growth, however, the former stage was found to be more susceptible. The hyphal
extensions were also inhibited in a dose-dependent manner. Viability test of
treated spores confirmed the fungicidal activity of AMPs LR14. AMPs LR14 were
also studied for the prevention of wheat grain spoilage under storage. Unhygienic
conditions in damp godowns and store-houses, lead to loss of food grains and make
them unfit for human consumption due to microbial deterioration. The treatment of
wheat seeds with AMPs LR14 prevented fungal growth even after a prolonged storage
under laboratory conditions for ~2.5 years. The carbohydrate and protein content
of the AMPs LR14-treated seeds denoted no significant loss, but the seed
viability was affected as germination was retarded. Such studies have not been
reported for any bacteriocin/AMP to the best of our knowledge.
PMID- 24929711
TI - Characterization of extended-spectrum beta-lactamases-producing Salmonella
strains isolated from retail foods in Shaanxi and Henan Province, China.
AB - Extended-spectrum beta-lactamases (ESBL)-producing Salmonella enterica have been
reported worldwide. However, research on foodborne ESBL-producing Salmonella has
been rarely conducted. One hundred and thirty eight ceftriaxone or/and
cefoperazone-resistant Salmonella strains recovered from retail foods in Shaanxi
and Henan Province, China, were screened for ESBL. The ESBL-producing strains
were further characterized for antimicrobial resistance, pulse field gel
electrophoresis (PFGE) profiles, and the presence of blaTEM, blaSHV, blaOXA,
blaCTX-M, and blaPSE. The transferability of ESBL encoding genes to a susceptible
Escherichia coli strain was also investigated. Thirty (21.7%) isolates were
identified as ESBL positive and belonged to S. enterica serovars Indiana, Shubra,
Typhimurium, and Enteritidis. S. Indiana and S. Shubra isolates were firstly
identified in ESBL-producing strains. Great genetic diversity was seen among
these ESBL-producing strains. Nucleotide sequence analysis revealed that blaTEM
1B was the only ESBL-encoding gene among the genes tested and was detected in 26
of 30 strains and was carried in the conjugative plasmids. The blaTEM-1B gene was
transferable through conjugation at rates ranging from 4.71 * 10(-7) to 7.55 *
10(-6) transconjugant per recipient cell. This study provides the evidence of
foodborne ESBL-producing Salmonella, and the transferability of plasmid harboring
ESBL-encoding genes could possibly contribute to the dissemination of ESBL.
PMID- 24929712
TI - Development of a rapid detection system for opportunistic pathogenic Cronobacter
spp. in powdered milk products.
AB - Certain species of the genus Cronobacter are considered opportunistic pathogens,
but their detection in milk products according to ISO/TS 22964 may take up to six
days. The aim of this study was to develop a fast and sensitive PCR-based
detection system for these species including enrichment, DNA-isolation and
detection by real-time PCR, using the outer membrane protein gene ompA as a
target. The assay was successfully validated using type strains of the genus
Cronobacter, as well as 18 strains of closely related genera as controls. A total
of 40 Cronobacter spp. food isolates yielded positive results, while the food
matrix itself did not influence the PCR reaction. An equal detection limit as
achieved with the ISO/TS 22964 method was established in this study, when 0.01
CFU Cronobacter sakazakii DSM 4485(T) per gram powdered infant formula were
successfully detected after 28 days of storage at ambient temperature. In
comparison to the ISO/TS 22964 method, the method described here has an equal
detection limit, but offers a specific detection at the genus level in an
analysis time of 24 h.
PMID- 24929713
TI - Contribution of the NO-detoxifying enzymes HmpA, NorV and NrfA to nitrosative
stress protection of Salmonella Typhimurium in raw sausages.
AB - The antimicrobial action of the curing agent sodium nitrite (NaNO2) in raw
sausage fermentation is thought to mainly depend on the release of cytotoxic
nitric oxide (NO) at acidic pH. Salmonella Typhimurium is capable of detoxifying
NO via the flavohemoglobin HmpA, the flavorubredoxin NorV and the periplasmic
cytochrome C nitrite reductase NrfA. In this study, the contribution of these
systems to nitrosative stress tolerance in raw sausages was investigated. In
vitro growth assays of the S. Typhimurium 14028 deletion mutants DeltahmpA,
DeltanorV and DeltanrfA revealed a growth defect of DeltahmpA in the presence of
acidified NaNO2. Transcriptional analysis of the genes hmpA, norV and nrfA in the
wild-type showed a 41-fold increase in hmpA transcript levels in the presence of
150 mg/l acidified NaNO2, whereas transcription of norV and nrfA was not
enhanced. However, challenge assays performed with short-ripened spreadable
sausages produced with 0 or 150 mg/kg NaNO2 failed to reveal a phenotype for any
of the mutants compared to the wild-type. Hence, none of the NO detoxification
systems HmpA, NorV and NrfA is solely responsible for nitrosative stress
tolerance of S. Typhimurium in raw sausages. Whether these systems act
cooperatively, or if there are other yet undescribed mechanisms involved is
currently unknown.
PMID- 24929714
TI - Characterization of Aspergillus section Flavi isolated from organic Brazil nuts
using a polyphasic approach.
AB - Brazil nut (Bertholletia excelsa), an important non-timber forest product from
Amazonia, is commercialized in worldwide markets. The main importers of this nut
are North America and European countries, where the demand for organic products
has grown to meet consumers concerned about food safety. Thus, the precise
identification of toxigenic fungi is important because the Brazil nut is
susceptible to colonization by these microorganisms. The present study aimed to
characterize by polyphasic approach strains of Aspergillus section Flavi from
organic Brazil nuts. The results showed Aspergillus flavus as the main species
found (74.4%), followed by Aspergillus nomius (12.7%). The potential
mycotoxigenic revealed that 80.0% of A. flavus were toxin producers, 14.3% of
which produced only aflatoxin B (AFB), 22.85% of which produced only
cyclopiazonic acid (CPA), and 42.85% produced both them. All strains of A. nomius
were AFB and AFG producers and did not produce CPA. There is no consensus about
what Aspergillus species predominates on Brazil nuts. Apparently, the origin,
processing, transport and storage conditions of this commodity influence the
species that are found. The understanding about population of fungi is essential
for the development of viable strategies to control aflatoxins in organic Brazil
nuts.
PMID- 24929716
TI - Optimization of water curing for the preservation of chestnuts (Castanea sativa
Mill.) and evaluation of microbial dynamics during process.
AB - Chestnuts are very perishable fruits, whose quality may be compromised during
postharvest handling. Damage can be caused both by insects and fungi. Water
curing, a commonly used postharvest method, is based on soaking fruits in water
typically for about one week. Factors that affect effectiveness of water curing
have only been explained partially. A decrease in pH, likely imputable to a light
fermentation caused by lactic acid bacteria, may inhibit the growth of moulds. In
this study a Lactobacillus pentosus strain was selected for its ability to
inhibit fungi, and used as a starter culture during water curing. As second goal,
a reduction of the environmental impact of the process was evaluated by using
water that had been re-cycled from a previous curing treatment. Experiments were
performed on pilot as well as on farm scale. In all trials, microbial dynamics
were evaluated by means of a polyphasic approach including conventional and
molecular-based analyses. According to results, the employment of an adjunct
culture appears as a very promising opportunity. Even if no reduction in the
duration of the process was achieved, waters exhibited a minor microbial
complexity and fruits did not lose the natural lustre after the process.
PMID- 24929715
TI - A Response Surface Methodology study on the role of factors affecting growth and
volatile phenol production by Brettanomyces bruxellensis ISA 2211 in wine.
AB - The present study was aimed at determining the effect of glucose, ethanol and
sulphur dioxide on the growth and volatile phenol production by Brettanomyces
bruxellensis in red wines using a response surface methodology approach. Sulphur
dioxide proved to have a significant (p < 0.05) negative linear and quadratic
effect on growth and 4-ethylphenol production. Concentrations of sulphur dioxide
higher than 20 mg L(-1), at pH 3.50, induced immediate loss of cell culturability
under growth permissive levels of ethanol. Under high ethanol concentrations (14%
v/v), the lag phase increased from 3 to 10 days, growth being fully arrested at
15% (v/v). Glucose up to 10 g L(-1) was found to be a significant factor
(quadratic level) in biomass increase under low ethanol (<12.5% v/v) and low
sulphite concentrations. However, when cells were inactivated by sulphur dioxide
and ethanol, glucose (up to 10 g L(-1)) did not prevent cell death. Production of
more than 50 MUg L(-1) day(-1) of 4-ethylphenol was only observed in the presence
of high numbers (10(6) CFU mL(-1)) of culturable cells, being stimulated by
increasing glucose concentrations.
PMID- 24929717
TI - Characterization of methicillin-resistant coagulase-negative staphylococci
(MRCoNS) in retail meat.
AB - This study was to understand the extent of methicillin-resistant coagulase
negative staphylococci (MRCoNS) serving as a mecA reservoir in retail meat.
MRCoNS were isolated from retail meat (beef, chicken, and turkey) in Detroit and
characterized by sodA gene sequencing for species identification, staphylococcal
cassette chromosome mec (SCCmec) typing, and pulsed-field gel electrophoresis
(PFGE). Unique MRCoNS isolates recovered from 25 meat samples were comprised of
Staphylococcus sciuri (n = 13), Staphylococcus fleuretti (n = 4), Staphylococcus
lentus (n = 3), Staphylococcus epidermidis (n = 2), Staphylococcus vitulinus (n =
1), Staphylococcus saprophyticus (n = 1) and Staphylococcus pasteuri (n = 1).
Heterogeneous and composite SCCmec types, including I, III, IV, V, I + V and III
+ V were identified in 16 isolates. Same SCCmec types were recovered in different
staphylococcal species and meat sources. Indistinguishable PFGE patterns were
also observed in S. sciuri isolated from beef, chicken, and turkey, and with
different SCCmec types. In conclusion, multiple CoNS species can serve as
reservoirs for mecA. In addition to the clonal transmission of MRCoNS in meat,
horizontal occurrence of SCCmec is observed in staphylococcal species.
PMID- 24929718
TI - Antimicrobial resistance of Listeria monocytogenes and Listeria innocua from meat
products and meat-processing environment.
AB - A total of 336 Listeria isolates from ready-to-eat (RTE) meat products and meat
processing environments, consisting of 206 Listeria monocytogenes, and 130
Listeria innocua isolates, were characterized by disc diffusion assay and minimum
inhibitory concentration (MIC) values for antimicrobial susceptibility against
twenty antimicrobials. Resistance to one or two antimicrobials was observed in 71
L. monocytogenes isolates (34.5%), and 56 L. innocua isolates (43.1%). Multidrug
resistance was identified in 24 Listeria isolates, 18 belonging to L. innocua
(13.9%) and 6 to L. monocytogenes (2.9%). Oxacillin resistance was the most
common resistance phenotype and was identified in 100% Listeria isolates. A
medium prevalence of resistance to clindamycin (39.3% isolates) and low incidence
of resistance to tetracycline (3.9% isolates) were also detected. Listeria
isolates from RTE meat products displayed higher overall antimicrobial resistance
(31.3%) than those from the environment (13.4%). All the strains assayed were
sensitive to the preferred antibiotics used to treat listeriosis. Results showed
that although antimicrobial resistance in L. monocytogenes still occurs at a low
prevalence, L. innocua can form a reservoir of resistance genes which may
transfer between bacterial species, including transference to organisms capable
of causing disease in humans.
PMID- 24929719
TI - Listeria ivanovii ATCC 19119 strain behaviour is modulated by iron and acid
stress.
AB - It has been suggested that the rarity of human listeriosis due to Listeria
ivanovii reflects not only host tropism factors but also the rare occurrence of
this species in the environment, compared with Listeria monocytogenes. In the
present study we evaluate the effects on the reference strain L. ivanovii ATCC
19119 behaviour of two combined stresses, low iron availability and acid
environment, that bacteria can encounter in the passage from saprophytic life to
the host. In these conditions, L. ivanovii evidenced a different behaviour
compared to L. monocytogenes exposed to similar conditions. L. ivanovii was not
able to mount an acid tolerance response (ATR) even if, upon entry into the
stationary phase in iron-loaded medium, growth phase-dependent acid resistance
(AR) was evidenced. Moreover, bacteria grown in iron excess and acidic pH showed
the higher invasion value in Caco-2 cells, even though it was not able to
efficiently multiply. On the contrary, low iron and acidic conditions improved
invasion ability in amniotic WISH cells.
PMID- 24929720
TI - Candida milleri species reveals intraspecific genetic and metabolic
polymorphisms.
AB - Candida milleri, together with Candida humilis, is the most representative yeast
species found in type I sourdough ecosystems. In this work, comparison of the ITS
region and the D1/D2 domain of 26S rDNA gene partial sequences, karyotyping,
mtDNA-RFLP analysis, Intron Splice Site dispersion (ISS-PCR) and (GTG)5
microsatellite analyses, assimilation test of different carbohydrates, and
metabolome assessment by FT-IR analysis, were investigated in seventeen strains
isolated from four different companies as well as in type strains CBS6897(T) and
CBS5658(T). Most isolates were ascribed to C. milleri, even if a strong
relatedness was confirmed with C. humilis as well, particularly for three
strains. Genetic characterization showed a high degree of intraspecific
polymorphism since 12 different genotypes were discriminated. The number of
chromosomes varied from 9 to 13 and their size ranged from less than 0.3 to over
2 Mbp. Phenotypic traits let to recognize 9 different profiles of carbon sources
assimilation. FT-IR spectra from yeast cells cultivated in different media and
collected at different growth phases revealed a diversity of behaviour among
strains in accordance with the results of PCR-based fingerprinting. A clear
evidence of the polymorphic status of C. milleri species is provided thus
representing an important feature for the development of technological
applications in bakery industries.
PMID- 24929721
TI - Prevention of late blowing defect by reuterin produced in cheese by a
Lactobacillus reuteri adjunct.
AB - In this study, reuterin-producing Lactobacillus reuteri INIA P572 was added to
cheese as an adjunct culture together with 50 or 100 mM glycerol (required for
reuterin production), with the aim of controlling Clostridium tyrobutyricum CECT
4011 growth and preventing the late blowing defect (LBD) of cheese caused by this
strain. L. reuteri survived cheese manufacture and produced reuterin in situ,
detected at 6 and 24 h. However, the produced reuterin was enough to inhibit the
growth of Clostridium, showing undetectable spore counts from day 30 onward and,
therefore, to prevent cheese LBD during ripening (60 d, 14 degrees C). The
acidification of these cheeses was not affected, although from day 14 they showed
significantly lower lactococci counts than cheese made only with the starter
(control cheese). Cheeses with LBD showed lower levels of lactic acid than
control cheese and the formation of propionic and butyric acids, but cheeses with
reuterin showed the same organic acids profile than control cheese. The cheese
made with L. reuteri and 100 mM glycerol showed a light pink colour, not observed
in the cheese made with L. reuteri and 50 mM glycerol. These results demonstrated
a potent anti-clostridial activity of reuterin produced in an actual food product
like cheese, and proved to be a novel approach to prevent LBD of cheese.
PMID- 24929722
TI - Bacillus cereus and Bacillus thuringiensis spores in Korean rice: prevalence and
toxin production as affected by production area and degree of milling.
AB - We determined the prevalence of and toxin production by Bacillus cereus and
Bacillus thuringiensis in Korean rice as affected by production area and degree
of milling. Rough rice was collected from 64 farms in 22 agricultural areas and
polished to produce brown and white rice. In total, rice samples were broadly
contaminated with B. cereus spores, with no effect of production area. The
prevalence and counts of B. cereus spores declined as milling progressed.
Frequencies of hemolysin BL (HBL) production by isolates were significantly (P <=
0.01) reduced as milling progressed. This pattern corresponded with the presence
of genes encoding the diarrheal enterotoxins. The frequency of B. cereus isolates
positive for hblC, hblD, or nheB genes decreased as milling progressed. Because
most B. cereus isolates from rice samples contained six enterotoxin genes, we
concluded that B. cereus in rice produced in Korea is predominantly of the
diarrheagenic type. The prevalence of B. thuringiensis in rice was significantly
lower than that of B. cereus and not correlated with production area. All B.
thuringiensis isolates were of the diarrheagenic type. This study provides
information useful for predicting safety risks associated with B. cereus and B.
thuringiensis in rough and processed Korean rice.
PMID- 24929723
TI - Are Enterococcus populations present during malolactic fermentation of red wine
safe?
AB - The aim of this study was the genetic characterisation and safety evaluation of
129 Enterococcus isolates obtained from wine undergoing malolactic fermentation.
Genetic characterisation by randomly amplified polymorphic DNA-PCR displayed 23
genotypes. 25 isolates representative of all genotypes were identified as
Enterococcus faecium by species-specific PCR and assayed for antibiotic
resistance, presence of virulence genes and aminobiogenic capacity, both in
decarboxylase medium and wine. The aminobiogenic capacity in wine was analysed in
presence (assay 1) and absence (assay 2) of Oenococcus oeni CECT 7621. Resistance
to tetracycline, cotrimoxazol, vancomycin and teicoplanin was exhibited by 96% of
the strains, but none of them harboured the assayed virulence genes. All of the
strains harboured the tyrosine decarboxylase (tdc) gene, while 44% were positive
for tyramine in decarboxylase medium. Only five out of 25 strains survived in
wine after seven days of incubation, and when concentrations of biogenic amines
in wines were determined by HPLC, only those wines in which the five surviving
strains occurred contained biogenic amines. Histamine, putrescine and cadaverine
were detected in wines from both assays, although concentrations were higher in
assay 2. Tyramine and phenylethylamine were detected only in absence of O. oeni.
This research contributes for the knowledge of safety aspects of enterococci
related to winemaking.
PMID- 24929725
TI - Atmospheric cold plasma inactivation of Escherichia coli, Salmonella enterica
serovar Typhimurium and Listeria monocytogenes inoculated on fresh produce.
AB - Atmospheric cold plasma (ACP) represents a potential alternative to traditional
methods for non-thermal decontamination of foods. In this study, the
antimicrobial efficacy of a novel dielectric barrier discharge ACP device against
Escherichia coli, Salmonella enterica Typhimurium and Listeria monocytogenes
inoculated on cherry tomatoes and strawberries, was examined. Bacteria were spot
inoculated on the produce surface, air dried and sealed inside a rigid
polypropylene container. Samples were indirectly exposed (i.e. placed outside
plasma discharge) to a high voltage (70 kVRMS) air ACP and subsequently stored at
room temperature for 24 h. ACP treatment for 10, 60 and 120 s resulted in
reduction of Salmonella, E. coli and L. monocytogenes populations on tomato to
undetectable levels from initial populations of 3.1, 6.3, and 6.7 log10
CFU/sample, respectively. However, an extended ACP treatment time was necessary
to reduce bacterial populations attached on the more complex surface of
strawberries. Treatment time for 300 s resulted in reduction of E. coli,
Salmonella and L. monocytogenes populations by 3.5, 3.8 and 4.2 log10 CFU/sample,
respectively, and also effectively reduced the background microflora of tomatoes.
PMID- 24929724
TI - Use of acetic and citric acids to control Salmonella Typhimurium in tahini
(sesame paste).
AB - Since tahini and its products have been linked to Salmonella illness outbreaks
and product recalls in recent years, this study assessed the ability of
Salmonella Typhimurium to survive or grow in commercial tahini and when hydrated
(10% w/v in water), treated with 0.1%-0.5% acetic or citric acids, and stored at
37, 21 and 10 degrees C for 28 d. S. Typhimurium survived in commercial tahini
up to 28 d but was reduced in numbers from 1.7 to 3.3 log10 CFU/ml. However, in
the moist or hydrated tahini, significant growth of S. Typhimurium occurred at
the tested temperatures. Acetic and citric acids at <=0.5% reduced S. Typhimurium
by 2.7-4.8 log10 CFU/ml and 2.5-3.8 log10 CFU/ml, respectively, in commercial
tahini at 28 d. In hydrated tahini the organic acids were more effective. S.
Typhimurium cells were not detected in the presence of 0.5% acetic acid after 7 d
or with 0.5% citric acid after 21 d at the tested temperatures. The ability of S.
Typhimurium to grow or survive in commercial tahini and products containing
hydrated tahini may contribute to salmonellosis outbreaks; however, use of acetic
and citric acids in ready-to-eat foods prepared from tahini can significantly
minimize the risk associated with this pathogen.
PMID- 24929726
TI - Impact of microbial cultures on proteolysis and release of bioactive peptides in
fermented milk.
AB - This study aimed at evaluating co-cultures of selected microorganisms for their
proteolytic activity and capability to produce fermented milk enriched with ACE
inhibitory (ACEI) peptides. Selected yeasts (Torulaspora delbruekii KL66A,
Galactomyces geotrichum KL20B, Pichia kudriavzevii KL84A and Kluyveromyces
marxianus KL26A) and lactic acid bacteria strains (Lactobacillus plantarum LAT03,
Lb. plantarum KLAT01 and the not virulent Enterococcus faecalis KE06) were
screened as single cultures for their capacity of releasing ACEI peptides without
producing bitter taste. Three strains cultures (yeast, Lb. plantarum and E.
faecalis) were performed to evaluate the combined impact on microbial growth,
lactic acid production, citric acid consumption, proteolysis, ACEI activity, and
bitter taste after 36 h of fermentation at 28 degrees C. While G. geotrichum
KL20B showed a strong stimulating effect on Lb. plantarum strains and the
production of peptides with ACEI activity, the presence of T. delbruekii KL26A in
the cultures was deleterious both to ACEI activity and product taste. The most
effective combination was P. kudriavzevii KL84A, Lb. plantarum LAT3, E. faecalis
KL06, which showed the highest ACEI activity (IC50 = 30.63 +/- 1.11 MUg ml(-1))
and gave no bitter taste for 7 days at 6 degrees C. Our results highlight the
importance of choosing the strains combination carefully, to obtain a high yield
of ACEI activity without bitter taste.
PMID- 24929727
TI - Absence of oxygen affects the capacity to sporulate and the spore properties of
Bacillus cereus.
AB - This study was performed to evaluate the effect of anaerobiosis on the formation
of Bacillus cereus spores and their resulting properties. For this purpose, an
appropriate sporulation medium was developed (MODs). Sporulation of 18 strains
from different phylogenetic groups of B. cereus was studied in MODs medium in
aerobiosis and anaerobiosis. In anaerobiosis, sporulation ability was weaker and
more heterogeneous than in aerobiosis. Among tested strains, B. cereus AH187
produced the highest level of spores in anaerobiosis. This strain was therefore
chosen to study spore properties. Spores produced in anaerobiosis were more
resistant to wet heat at 90 degrees C, 92.5 degrees C, 95 degrees C, 97.5
degrees C and 100 degrees C. For example, D90 were 21,09 +/- 1.70 and 81.87 +/-
2.00 for aerobiosis and anaerobiosis conditions, respectively. Spores produced in
anaerobiosis have a z-value of 7.70 degrees C compared with 10.52 degrees C for
spores produced in aerobiosis. Spores produced in anaerobiosis were also more
resistant to 1 M NaOH, 1 M nitrous acid and pulsed light at fluences of 0.34 J
cm(-2) and 0.49 J cm(-2). No difference in resistance to UV-C, 5% hydrogen
peroxide or 0.25 mM formaldehyde was observed between these two conditions. In
the presence of L-alanine, spores produced in anaerobiosis germinated more
efficiently than spore produced in aerobiosis. No difference in germination was
observed with inosine as inducer. No difference in the size of spores produced in
the different conditions was observed by transmission electron microscopy.
However, spores obtained under anaerobic conditions had a damaged exosporium, or
in some cases a completely detached exosporium, unlike spores produced under
aerobic conditions. This study shows that few spores are formed under anaerobic
condition; nevertheless, this condition has an impact on the spore properties of
B. cereus AH 187 strain. Spores obtained under anaerobic condition were more
resistant to heat and to some chemical compounds. This is an important feature,
considering the risk associated with the presence of this pathogen in thermally
processed and packaged food in absence of oxygen.
PMID- 24929728
TI - Enhanced tetrazolium violet reduction of Salmonella spp. by magnesium addition to
the culture media.
AB - Tetrazolium salts (TTZ), such as tetrazolium violet (TV), have been widely used
for microbiological studies. The formation of the colored formazan product due to
bacterial reduction of the uncolored reagent is extensively exploited to stain
cells or colonies in agar or on filters. But an important toxic effect of
tetrazolium salts on bacteria exists that limits their use at high
concentrations, impairing the efficient staining of the colonies. This is
especially the case for Salmonella spp. where we observed, using a classic
photometric approach and mathematical modeling of the growth, an important impact
of tetrazolium violet on the apparent growth rate below the inhibitory
concentration. In this study, we demonstrate that adding magnesium to the medium
in the presence of TV leads to a significant increase in the apparent growth
rate. Moreover, when higher TV concentrations are used which lead to total
inhibition of Salmonella strains, magnesium addition to the culture media allows
growth and TV reduction. This effect of magnesium may allow the use of higher TTZ
concentrations in liquid growth media and enhance bacteria detection
capabilities.
PMID- 24929729
TI - Role of the BaeSR two-component regulatory system in resistance of Escherichia
coli O157:H7 to allyl isothiocyanate.
AB - Allyl isothiocyanate (AITC) is an essential oil with antimicrobial activity
against Escherichia coli O157:H7. The ability of E. coli O157:H7 to withstand
inhibitory AITC concentrations and the role of the two-component BaeSR system as
a defense mechanism against AITC was studied. Optimal conditions for AITC
stability in an aqueous medium were 25 degrees C and pH 5. The minimum
inhibitory (MIC) and minimum bactericidal (MBC) concentrations of AITC against
wild-type E. coli O157:H7 were 51 and 412 ppm, respectively. After growing E.
coli O157:H7 in stepwise increased concentrations of AITC, the strain withstood
concentrations beyond its MIC (206 ppm), but resistance was reversed when AITC
exposure was interrupted. Deletion of either the sensor or regulator genes, baeS
or baeR, yielded cells only as resistant as the wild-type, but the complete
deletion of the BaeSR system decreased AITC resistance of E. coli O157:H7 to half
that of wild-type cells. This is the first demonstration that the ability of E.
coli O157:H7 to withstand AITC challenge is compromised by the deletion of the
BaeSR system. It also indicates that temporary adaptive bacterial resistance to
repeated incremental AITC exposure may occur, but it is unlikely to restrict the
importance of AITC as an antimicrobial against E. coli O157:H7.
PMID- 24929730
TI - Bio-molecular characterisation of indigenous Oenococcus oeni strains from
Negroamaro wine.
AB - The variation in the coding capacity within Oenococcus oeni can have a
significant impact on wine quality. The detection of several genes involved in
important metabolic pathways (i.e. citrate, sulphur and arginine metabolisms) was
performed on 10 indigenous O. oeni strains from Negroamaro wine, a red table wine
(Apulia, Italy). These strains were selected from 95 isolates, collected during
spontaneous malolactic fermentation, according to the results of an Amplified
Fragment Length Polymorphism (AFLP) analysis. A total of 16 genes were screened,
most (11) of which had never previously been assayed on O. oeni. All strains
possessed 10 genes encoding enzymes such as malolactic enzyme (mleA), esterase
(estA), citrate lyase (citD, citE and citF), citrate transporter (maeP), alpha
acetolactate decarboxylase (alsD), alpha- acetolactate synthase (alsS), S
adenosylmethionine synthase (metK) and cystathionine beta-lyase (metC) and
resulted negative in the detection of genes encoding cystathionine gamma-lyase
(metB), ornithine transcarbamylase (arcB) and carbamate kinase (arcC). The
sequence of PCR fragments of 11 genes of a representative strain (ITEM 15929) was
compared to those of three reference O. oeni strains. The indigenous strain was
phylogenetically more similar to PSU-1 and ATCC BAA1163 than AWRI B429. This
study describes new genetic markers useful for detecting the genetic potential of
O. oeni strains to contribute to aroma production and for investigating the
population structure of the species.
PMID- 24929731
TI - Lag time for germination of Penicillium chrysogenum conidia is induced by
temperature shifts.
AB - In the environment, fungal conidia are subject to transient conditions. In
particular, temperature is varying according to day/night periods. All predictive
models for germination assume that fungal spores can adapt instantaneously to
changes of temperature. The only study that supports this assumption (Gougouli
and Koutsoumanis, 2012, Modelling germination of fungal spores at constant and
fluctuating temperature conditions. International Journal of Food Microbiology,
152: 153-161) was carried out on Penicillium expansum and Aspergillus niger
conidia that, in most cases, already produced germ tubes. In contrast, the
present study focuses on temperature shifts applied during the first stages of
germination (i.e., before the apparition of the germ tubes). Firstly, germination
times were determined in steady state conditions at 10, 15, 20 and 25 degrees C.
Secondly, temperature shifts (e.g., up-shifts and down-shifts) were applied at
1/4, 1/2, and 3/4 of germination times, with 5, 10 and 15 degrees C magnitudes.
Experiments were carried out in triplicate on Penicillium chrysogenum conidia on
Potato Dextrose Agar medium according to a full factorial design. Statistical
analysis of the results clearly demonstrated that the assumption of instantaneous
adaptation of the conidia should be rejected. Temperature shifts during
germination led to an induced lag time or an extended germination time as
compared to the experiments conducted ay steady state. The induced lag time was
maximized when the amplitude of the shift was equal to 10 degrees C. Interaction
between the instant and the direction of the shift was highlighted. A negative
lag time was observed for a 15 degrees C down-shift applied at 1/4 of the
germination time. This result suggested that at optimal temperature the rate of
germination decreased with time, and that the variation of this rate with time
depended on temperature.
PMID- 24929732
TI - Microbial diversity and dynamics of Spanish-style green table-olive fermentations
in large manufacturing companies through culture-dependent techniques.
AB - We have studied the microbiota associated to Spanish-style green olive
fermentations, attending to its dynamics along the time. Twenty 10-tonne
fermenters were selected from two large table-olive manufacturing companies in
southern Spain. While culture-dependent methodology was used to isolate the
microorganisms, molecular methods were used to identify the isolates. A total of
1070 isolates were obtained, resulting in 929 bacterial and 141 yeast isolates.
Thirty seven different bacterial species were isolated, belonging to 18 different
genera, while 12 yeast species were isolated, belonging to 7 distinct genera.
This fermentation was dominated by the species Lactobacillus pentosus, while its
accessory microbiota was variable and depended on the fermentation stage and the
actual fermentation yard ("patio"). It was noticeable the abundance of lactic
acid bacteria isolates, belonging to 16 different species. Twenty bacterial
species were isolated for the first time from Spanish-style green olive
fermentations, while 17 had not been described before in any table olive
preparation. The genera Brachybacterium, Paenibacillus, Sporolactobacillus,
Paracoccus and Yersinia had not been cited before from any table olive
preparation. Saccharomyces cerevisiae and Candida thaimueangensis appeared to
dominate the yeast microbiota. Candida butyri/asseri and Rhodotorula mucilaginosa
had not been described before from Spanish-style preparations, while Saturnispora
mendoncae was isolated for the first time from any table olive preparation.
Biodiversity was analysed through different alpha and beta indexes which showed
the evolution of the microbiota over time.
PMID- 24929733
TI - Multilocus sequence typing analysis and antibiotic resistance of Clostridium
difficile strains isolated from retail meat and humans in Belgium.
AB - Clostridium difficile has been isolated from food animals and meat, specially
ground pork and ground beef. The recovered isolates were closely related to C.
difficile human strains, indicating that animals and food are possible
transmission routes of human C. difficile infection. The main objective of this
study was to characterize C. difficile isolates from retail meat and to compare
with human isolates recovered from hospital patients in Belgium. Raw meat (beef
and pork) was obtained from the retail trade. C. difficile was recovered from
2.3% of the beef samples and from 4.7% of the pork samples. A total of 4
different PCR-ribotypes were identified with a large percentage of types 078 and
014. Resistance to moxifloxacin and erythromycin was detected. The multi-locus
sequence typing (MLST) analysis showed that meat and human isolates cluster in
the same lineage. This study reveals the presence of toxigenic C. difficile in
retail meat in Belgium with predominance PCR-ribotypes 078 and 014, which are
among the four most prevalent ribotypes of C. difficile isolated from humans in
Europe.
PMID- 24929735
TI - Comparison of a PCR serotyping assay, Check&Trace assay for Salmonella, and
Luminex Salmonella serotyping assay for the characterization of Salmonella
enterica identified from fresh and naturally contaminated cilantro.
AB - Salmonella enterica isolated from fresh cilantro samples collected through the
USDA/AMS Microbiological Data Program (MDP) were used to compare a PCR serotyping
assay against the Check&Trace assay and the Luminex (BioPlex) Salmonella
serotyping assay. The study was conducted to evaluate the effectiveness of the
three methods for serotyping Salmonella from both enrichment broth cultures and
pure Salmonella cultures. In this investigation, Salmonella spp. serotyping was
conducted using 24 h enrichment broth cultures and pure Salmonella cultures from
cilantro samples, with the PCR serotyping assay. Conversely, the Check&Trace and
Luminex for Salmonella assays required pure cultures for Salmonella serotyping.
The cilantro samples contained S. enterica serovar Montevideo, Newport,
Saintpaul, and Tennessee, identified by the PCR serotyping assay and Check&Trace
for Salmonella, but the Luminex assay only identified two of the four serotypes
of the cilantro samples. The anticipated impact from this study is that the PCR
serotyping assay provides a time- and cost-effective means for screening,
identifying and serotyping Salmonella using DNA extracted from 24 h enrichment
cilantro samples.
PMID- 24929734
TI - Glutamine, glutamate, and arginine-based acid resistance in Lactobacillus
reuteri.
AB - This study aimed to determine whether glutamine deamidation improves acid
resistance of Lactobacillus reuteri, and to assess whether arginine, glutamine,
and glutamate-mediated acid resistance are redundant or complementary mechanisms
of acid resistance. Three putative glutaminase genes, gls1, gls2, and gls3, were
identified in L. reuteri 100-23. All three genes were expressed during growth in
mMRS and wheat sourdough. L. reuteri consistently over-expressed gls3 and the
glutamate decarboxylase gadB. L. reuteri 100-23DeltagadB over-expressed gls3 and
the arginine deiminase gene adi. Analysis of the survival of L. reuteri in acidic
conditions revealed that arginine conversion is effective at pH of 3.5 while
glutamine or glutamate conversion were effective at pH of 2.5. Arginine
conversion increased the pHin but not DeltaPsi; glutamate decarboxylation had
only a minor effect on the pHin but increased the DeltaPsi. This study
demonstrates that glutamine deamidation increases the acid resistance of L.
reuteri independent of glutamate decarboxylase activity. Arginine and
glutamine/glutamate conversions confer resistance to lactate at pH of 3.5 and
phosphate at pH of 2.5, respectively. Knowledge of L. reuteri's acid resistance
improves the understanding of the adaptation of L. reuteri to intestinal
ecosystems, and facilitates the selection of probiotic and starter cultures.
PMID- 24929736
TI - Development of microsatellite markers for the rapid and reliable genotyping of
Brettanomyces bruxellensis at strain level.
AB - Although many yeasts are useful for food production and beverage, some species
may cause spoilage with important economic loss. This is the case of
Dekkera/Brettanomyces bruxellensis, a contaminant species that is mainly
associated with fermented beverages (wine, beer, cider and traditional drinks).
To better control Brettanomyces spoilage, rapid and reliable genotyping methods
are necessary to determine the origins of the spoilage, to assess the
effectiveness of preventive treatments and to develop new control strategies.
Despite several previously published typing methods, ranging from classical
molecular methods (RAPD, AFLP, REA-PFGE, mtDNA restriction analysis) to more
engineered technologies (infrared spectroscopy), there is still a lack of a
rapid, reliable and universal genotyping approach. In this work, we developed
eight polymorphic microsatellites markers for the Brettanomyces/Dekkera
bruxellensis species. Microsatellite typing was applied to the genetic analysis
of wine and beer isolates from Europe, Australia and South Africa. Our results
suggest that B. bruxellensis is a highly disseminated species, with some strains
isolated from different continents being closely related at the genetic level. We
also focused on strains isolated from two Bordeaux wineries on different
substrates (grapes, red wines) and for different vintages (over half a century).
We showed that all B. bruxellensis strains within a cellar are strongly related
at the genetic level, suggesting that one clonal population may cause spoilage
over decades. The microsatellite tool now paves the way for future population
genetics research of the B. bruxellensis species.
PMID- 24929737
TI - Use of propidium monoazide for the enumeration of viable Brettanomyces
bruxellensis in wine and beer by quantitative PCR.
AB - Brettanomyces bruxellensis is a current problem in winemaking all over the world,
and the question if B. bruxellensis has a positive or negative impact on wine is
one of the most controversial discussions in the world. The presence of live B.
bruxellensis cells represents the risk of growth and an increase in cell numbers,
which is related to the potential production of volatile phenols. In this work,
the optimisation of a PMA-quantitative PCR (qPCR) method to enumerate only viable
cells was carried out using the standard strain B. bruxellensis DSMZ 70726. The
obtained detection limits were 0.83 log CFU/mL in red wine, 0.63 log CFU/mL in
white wine and 0.23 log CFU/mL in beer. Moreover, the quantification was also
performed by Reverse Transcription quantitative PCR (RT-qPCR), and the results
showed a higher detection limit for all of the trials.
PMID- 24929738
TI - Application of ISSR-PCR for rapid strain typing of Debaryomyces hansenii isolated
from dry-cured Iberian ham.
AB - Yeast populations of dry-cured Iberian ham isolated from seven industries in the
province of Badajoz were characterized by ISSR-PCR using the (CAG)4 primer and
PCR-RFLP of the ITS1-5.8S rRNA-ITS2 fragment, and identified by DNA sequencing. A
total of 242 isolates were analyzed, indicating the primary species present was
Debaryomyces hansenii at 80.9% of the isolates followed by Candida zeylanoides at
10.3% of the isolates. The remainders of isolates were identified as Yamadazyma
triangularis, Sporobolomyces roseus, Meyerozyma guilliermondii, Rhodotorula
slooffiae, and Cryptococcus victoriae. The ISSR-PCR method was a fast and
reliable method which was able to discriminate species at a level comparable to
restriction analyses of the ITS1-5.8S rRNA-ITS2 region. This method allowed for
strain typing of D. hansenii, yielding 29 different PCR patterns within 196
isolates. Moreover, ISSR-PCR using the (CAG)4 primer indicated that this
technique could be a promising tool for rapid discrimination of yeast starter
cultures and spoilage species in dry-cured Iberian ham.
PMID- 24929739
TI - A comparison of the thermal inactivation kinetics of human norovirus surrogates
and hepatitis A virus in buffered cell culture medium.
AB - Human noroviruses and hepatitis A virus (HAV) are considered as epidemiologically
significant causes of foodborne disease. Therefore, studies are needed to bridge
existing data gaps and determine appropriate parameters for thermal inactivation
of human noroviruses and HAV. The objectives of this research were to compare the
thermal inactivation kinetics of human norovirus surrogates (murine norovirus
(MNV-1), and feline calicivirus (FCV-F9)) and HAV in buffered medium (2-ml
vials), compare first-order and Weibull models to describe the data, calculate
Arrhenius activation energy for each model, and evaluate model efficiency using
selected statistical criteria. The D-values calculated from the first-order model
(50-72 degrees C) ranged from 0.21-19.75 min for FCV-F9, 0.25-36.28 min for MNV
1, and 0.88-56.22 min for HAV. Using the Weibull model, the tD = 1 (time to
destroy 1 log) for FCV-F9, MNV-1 and HAV at the same temperatures ranged from
0.10-13.27, 0.09-26.78, and 1.03-39.91 min, respectively. The z-values for FCV
F9, MNV-1, and HAV were 9.66 degrees C, 9.16 degrees C, and 14.50 degrees C,
respectively, using the Weibull model. For the first order model, z-values were
9.36 degrees C, 9.32 degrees C, and 12.49 degrees C for FCV-F9, MNV-1, and
HAV, respectively. For the Weibull model, estimated activation energies for FCV
F9, MNV-1, and HAV were 225, 278, and 182 kJ/mol, respectively, while the
calculated activation energies for the first order model were 195, 202, and 171
kJ/mol, respectively. Knowledge of the thermal inactivation kinetics of norovirus
surrogates and HAV will allow the development of processes that produce safer
food products and improve consumer safety.
PMID- 24929740
TI - Selection of appropriate Schizosaccharomyces strains for winemaking.
AB - This paper describes the selection of Schizosaccharomyces yeasts with adequate
oenological suitability and high capacity for the degradation of malic acid.
Despite the almost non-existent number of commercial strains, the use of this
yeast genus has recently been recommended by the International Organisation of
Vine and Wine (OIV, in French). Thus, in the present study, a large number of
Schizosaccharomyces strains were isolated using a selective differential medium.
Initially, classic parameters of oenological interest for the use of fermentative
strains of Saccharomyces cerevisiae (the most frequently used type of yeast) were
assessed. Only five strains of moderate acetic acid production lower than 0.4 g/L
were obtained at the end of fermentation. Other, more specific features of this
yeast genus' physiology were also studied, including urease activity and the
production of pyruvic acid and glycerol. Finally, oenological suitability was
determined by comparing selected strains with other Schizosaccharomyces reference
and S cerevisiae control strains. Schizosaccharomyces strains produced 80% less
urea content, four times higher pyruvic acid levels and 1 g higher glycerol
contents than the Saccharomyces reference strains. The results confirmed that it
is possible to perform selective processes on microorganisms from the genus
Schizosaccharomyces using methodology developed in this work to obtain strains of
industrial interest.
PMID- 24929741
TI - Numbers of coliforms, Escherichia coli, F-RNA phage, rotavirus, bovine enteric
calicivirus and presence of non-O157 STEC on commercial vacuum packaged beef.
AB - The numbers of coliforms, Escherichia coli, F-RNA coliphages, bovine enteric
calicivirus (BEC) and rotavirus (RV) and presence of non-O157 shiga toxigenic E.
coli (STEC) were determined on commercial vacuum packaged beef subprimals at the
retail level from swabs obtained from the entire surfaces of 150 cuts that
originated from federally and provincially registered plants. The prevalence and
log mean numbers of E. coli were higher in provincially registered plants than in
federally registered plants; 64% vs 20%, respectively, and -0.3 vs -1.22 log
cfu/100 cm(2), respectively. In contrast, the prevalence and mean log numbers of
F-RNA coliphages were lower for the provincially registered plants than for the
federally registered plants; 31% vs 68% and -0.86 vs -0.13 log cfu/100 cm(2),
respectively. One E. coli sample tested positive for stx2 and eae. F-RNA
coliphages associated with human origin (GII/GIII) were detected in 12% and 30%
of samples that originated from provincially and federally registered plants,
respectively. RV RNA was detected in 4% of samples while BEC RNA was not
detected. Although the infectivity of RV is unknown, the presence of viable F-RNA
coliphages suggests that consumers could potentially be at risk when consuming
undercooked meat that is contaminated with RV.
PMID- 24929742
TI - Horizontal gene transfer among microorganisms in food: current knowledge and
future perspectives.
AB - The possibility of horizontal gene transfer (HGT) among microorganisms in food
matrices has been specifically targeted in a few investigations, though most
current knowledge has been obtained indirectly or derived from genome sequence
analyses. In this review, we have assembled reported examples of the HGT events
that probably occurred in food matrices since the bacterial partners involved are
commonly found in association in a food matrix or are specifically adapted to it.
Exchanged genes include those encoding for substrate utilization, bacteriocin,
exopolysaccharide and biogenic amine (BA) production, immunity to bacteriophages
and antibiotic resistance (AR). While the acquisition of new traits involved in
substrate utilization led to the natural genetic improvement of the microbial
cultures for food production, the acquisition of hazardous traits, e.g., AR,
virulence or BA production genes, can give rise to health concerns in otherwise
innocuous species. Available evidence suggests that it would be opportune to
determine what conditions favour HGT among bacteria in food ecosystems in order
to naturally obtain improved starter or adjunct cultures, and also to prevent the
propagation of hazardous traits.
PMID- 24929743
TI - Norcocaine in human hair as a biomarker of heavy cocaine use in a high risk
population.
AB - In hair analysis, cocaine (COC) and its metabolites have been studied relatively
extensively with a consistent focus of distinguishing active drug use and
excluding external contamination. Although quantitative cut-offs using major
metabolite, benzolecgonine (BE), in hair have been proposed to distinguish likely
active use from passive exposure, exogenously formed BE may result in false
positive tests. Hence, the presence of less commonly detected COC metabolite,
norcocaine (NCOC), may be useful in increasing certainty of illicit COC use and
evaluating likelihood of environmental contamination. The objective of the
present study was to observe the pattern of NCOC detection in a clinical
population of suspected users and evaluate the possible role of NCOC in
distinguishing systemic exposure from external contamination to COC and assessing
intensity of cocaine use. Hair samples collected between January 2011 and May
2013 from the Motherisk Laboratory were analyzed by GC-MS for the presence of
COC, BE, and NCOC. NCOC positivity rates (%) for various COC concentration ranges
as well as sensitivity, specificity, positive predictive value, and negative
predictive values of NCOC as a biomarker of different COC use profiles was
calculated. The rate of NCOC positivity (%) within COC concentration ranges
(ng/mg) 0.13-0.4 (above LOD, below LOQ), 0.4-3, 3-6, 6-10, 10-14, >14 were 0.26,
4.15, 29.63, 55.85, 80.37, and 94.02, respectively; p<0.0001 for all positivity
comparisons between ranges. These results were used to determine a COC cut-off
concentration for differing levels of COC use. The presence of NCOC above the LOD
of 0.13 ng/mg predicted COC concentrations exceeding 14.00 ng/mg, with
sensitivity, specificity, positive predictive value (PPV), and negative
predictive value (NPV) of 94.0%, 87.9%, 41.5%, and 99.4%, respectively. The
presence NCOC above the LOD of 0.13 ng/mg predicted COC concentrations exceeding
the 75th percentile, with sensitivity, specificity, PPV, and NPV of 76.6%, 94.7%,
74.7%, and 95.2%, respectively. Despite an inability to definitively rule out
external contamination, the presence of NCOC in hair is strongly associated with
elevated COC levels and performs as a highly specific surrogate marker for
frequent/intensive cocaine use and highly sensitive marker for intensive/daily
use of cocaine.
PMID- 24929744
TI - A positive tangent sign predicts the repairability of rotator cuff tears.
AB - HYPOTHESIS: We hypothesize that patients with a positive tangent sign will have
rotator cuff tears that are not able to be repaired primarily. METHODS: We
performed a retrospective review of the charts of patients who had undergone
surgery for repair of a rotator cuff tear. The operative note was reviewed to
determine whether the cuff tear was primarily repaired. The magnetic resonance
imaging study of each patient was reviewed to assess for a positive or negative
tangent sign. The reviewer was blinded to the result of each measurement.
RESULTS: Eighty-one patients met the inclusion criteria. Of the 79 included in
our analyses, 17 had a positive tangent sign and 62 had a negative tangent sign.
There was only 1 patient with a negative tangent sign who had an irreparable
rotator cuff tear. There were 3 patients with a positive tangent sign who had a
repairable rotator cuff. With a pretest prevalence of irreparable tears of 18.9%,
a positive finding suggested a post-test probability of 82.3% with a positive
tangent sign and a post-test probability of only 1.6% when the tangent sign was
negative. CONCLUSION: Our results showed decision-making value in both a negative
tangent sign and a positive tangent sign. The tangent sign is an easily performed
and reproducible tool with good intraobserver and interobserver reliability that
is a powerful predictor of whether a rotator cuff tear will be repairable.
PMID- 24929745
TI - Patterns of proximal humeral bone resorption after total shoulder arthroplasty
with an uncemented rectangular stem.
AB - BACKGROUND: The aim of this study was to assess the timing and location of
cortical bone resorption after total shoulder arthroplasty with an uncemented
rectangular stem and investigate its effect on shoulder function up to 5 years
after implantation. METHODS: Between June 2003 and September 2006, 183
consecutive total shoulder arthroplasties were performed, 133 of which received a
cementless rectangular stem as indicated by primary or post-traumatic
osteoarthritis (OA). The 5-year postoperative follow-up rate was 80%.
Standardized radiographic controls and clinical assessments were performed at 6
weeks, 6 months, and 1, 2, and 5 years. RESULTS: Twenty-two patients (17%) showed
full-thickness cortical bone resorption, 21 of whom were diagnosed with Sperling
zone 2 resorption. The maximum craniocaudal distance of full resorption averaged
19.1 mm (range, 5.6-46.7 mm). The median distance progressed significantly from
9.6 mm to 13.8 mm between 6 and 12 months (P = .005). The risk of bone resorption
was 3.1 times higher for post-traumatic OA patients than for those with primary
OA. The occurrence of bone resorption increased significantly with increasing
stem diameters relative to the humeral diameter. There was no significant effect
of bone resorption on functional outcome. CONCLUSION: Full-thickness cortical
bone resorption in the proximal posterolateral humerus after receipt of a
cementless rectangular stem has a prevalence of 17%, mostly occurring within the
first year after surgery. Risk factors include age, post-traumatic conditions,
and larger stem sizes relative to the humerus. This is a radiographic phenomenon
without significant impairment of function or need for revision within 5 years
after surgery.
PMID- 24929747
TI - Rapid tracheal deviation and airway compromise due to fluid extravasation during
shoulder arthroscopy.
PMID- 24929746
TI - Reverse shoulder arthroplasty as salvage for failed prior arthroplasty in
patients 65 years of age or younger.
AB - BACKGROUND: This study examined outcomes and complications in young patients
undergoing revision reverse total shoulder arthroplasty (RTSA) for failed prior
total shoulder arthroplasty or hemiarthroplasty and compared them with those of
an age-matched cohort undergoing primary RTSA. METHODS: RTSA as a revision for
failed shoulder arthroplasty was performed on 36 patients younger than 65 years.
Follow-up was available for 32 patients at an average of 55.3 months. Results
were compared with those of an age-matched cohort of 37 patients (33 available
for follow-up; average, 54.7 months) undergoing primary RTSA. Average age for
both groups was 59.3 years. Outcomes were compared before and after revision
surgery and between cohorts. RESULTS: Preoperative visual analog scale (VAS) for
pain and subjective shoulder value (SSV) scores were similar in both groups, 7.3
of 10 and 24%, respectively, before revision, and 7.0 of 10 and 19% before
primary RTSA (P = .3). Postrevision VAS and SSV scores improved to 1.4 of 10 and
60% (P < .0001). Average American Shoulder and Elbow Surgeons and Simple Shoulder
Test scores after revision were 69.7 and 58.8, with 9 complications (28.1%; 6
major and 3 minor). VAS and SSV scores improved to 2.1 of 10 and 76% after
primary RTSA (P < .0001). American Shoulder and Elbow Surgeons and Simple
Shoulder Test scores after primary RTSA were 74 and 67.3, with 6 complications
(18.2%; 5 major and 1 minor). Only the postoperative SSV score was statistically
different in comparing primary and revision RTSA (P < .05). CONCLUSION: RTSA is
effective in reducing pain and improving function after failed arthroplasty in
young patients, but complication rates are high and expectations should be
managed appropriately. Subjective outcome scores are worse than those for age
matched patients undergoing primary RTSA, but pain, functional scores, and
complication rates are similar.
PMID- 24929748
TI - Glenohumeral instability and coracoid fracture nonunion corrected without
coracoid transfer or nonunion takedown.
PMID- 24929749
TI - Clavicle stress fracture after reverse shoulder arthroplasty.
PMID- 24929750
TI - Seroepidemiology and phylogenetic characterisation of measles virus in Ireland,
2004-2013.
AB - BACKGROUND: Ireland is classified as an area of high measles incidence. A World
Health Organisation-European Region strategic plan exists for measles elimination
by 2015. OBJECTIVES: To retrospectively investigate measles outbreaks using all
patient samples (sera and oral fluid) received for measles laboratory diagnosis
and characterise the genetic diversity of circulating measles genotypes in
Ireland. STUDY DESIGN: 704 cases of acute measles infection as determined by the
presence of measles specific IgM in sera and oral fluids were confirmed at the
National Virus Reference Laboratory. Measles positive samples (n=116) were
examined by genotyping, sequence analysis and phylogenetic characterisation.
RESULTS: Three measles outbreaks occurred over the study period: 2004, 2009/2010
and 2011. Measles IgM positivity ranged from 22-29% in outbreak years to 5-10% in
the intervening years. Age profile analysis revealed that whereas individuals >10
years accounted for only 8% of cases in the 2004 outbreak, this increased to 33%
and 29% in the 2009/2010 and 2011 outbreaks, respectively. The <1 year cohort
accounted for 18-20% of cases in all outbreaks. Phylogenetic analysis
demonstrated both indigenous transmission and also importation events. Clade D
viruses were exclusively found circulating in Ireland, with autochthonous
transmission of diverse genotype D4 strains associated with large outbreaks
across Europe. More recently, genotype D8 was identified and these were
associated with importation events. CONCLUSIONS: This study provides a
comprehensive genetic analysis of circulating measles genotypes in Ireland and
discriminated between indigenous and imported viral strains. Notably, an increase
in laboratory-confirmed measles cases in the greater than 10 years of age group
was seen over the study period. This information is valuable to inform
vaccination strategies with a focus on those populations who remain susceptible
to measles infection.
PMID- 24929751
TI - Telaprevir pharmacokinetics in a hepatitis C virus infected patient on
haemodialysis.
PMID- 24929753
TI - Progressive multifocal leukoencephalopathy complicating untreated chronic
lymphatic leukemia: case report and review of the literature.
AB - A 58-year old female with a four-year history of previously untreated CLL at
Binet stage A complained about word finding problems, impaired vision, and gait
unsteadiness. Concerning her CLL she was asymptomatic and had never required any
specific treatment. Her neurological examination disclosed cognitive alterations,
homonyme hemianopia to the right, aphasia, and mild right-sided hemiparesis.
Cerebral MRI showed a hyperintense lesion on T2 weighted images without contrast
enhancement. CSF examination revealed normal findings, including CSF protein,
cell count, cytology and PCR-analysis was negative for the presence of JC virus
DNA. On follow-up MRI, performed 2 weeks later, the T2 lesion was further
enlarging. Subsequent stereotactic brain biopsy was diagnostic for PML revealing
abnormal oligodendrocytes staining positive against antibodies specific for
simian vacuolating virus 40. In addition, repeated CSF analyses for JC-Virus DNA
in the course of the disease became positive. After confirmation of diagnosis
treatment with mirtazapine (30 mg/d) and mefloquine (250 mg/d) was initiated.
Rapid clinical progression correlated to further worsening on MRI. Therefore this
treatment was terminated after 16 days and the regime was changed to a five-day
courses of cytarabine (2 mg/kg/d) combined with intrathecal administration of
liposomal cytarabine (50 mg). Due to further clinical progression with global
aphasia, blindness and severe right-sided hemiparesia, medication was stopped.
The Patient died three and a half months after onset of symptoms.
PMID- 24929752
TI - Comparison of the Gen-Probe Aptima HIV-1 and Abbott HIV-1 qualitative assays with
the Roche Amplicor HIV-1 DNA assay for early infant diagnosis using dried blood
spots.
AB - BACKGROUND: The current gold standard for infant diagnosis of HIV-1 is the Roche
Amplicor Qualitative DNA assay, but it is being phased out. OBJECTIVE: Compare
the Abbott qualitative assay and the Gen-Probe Aptima assay to the gold standard
Roche DNA assay using dried blood spots (DBS). STUDY DESIGN: The Gen-Probe Aptima
and Abbott qualitative HIV-1 assays were compared to the Roche DNA assay for
early infant diagnosis. Specificity and sensitivity were determined for the three
assays using DBS from 50 HIV-exposed uninfected infants and 269 HIV-1 infected
adults from North Carolina, respectively. All of the negative and 151 of the
positive DBS had valid results on the 3 different assays, and an additional 118
positive DBS had valid results on the Roche DNA and Aptima assays. RESULTS: All
three assays were very specific. The Roche DNA assay was the most sensitive
(96.7%) over a wide range of HIV PVL, including samples with PVL<400 copies/ml.
Restricted to samples with PVL>400 copies/ml, the Gen-Probe Aptima assay had
sensitivity (96.5%) comparable to the Roche DNA assay (98.8%). The Abbott
Qualitative assay was the least sensitive and only had sensitivity above 95%
among samples with PVL over 1000 copies/ml. CONCLUSIONS: The Abbott HIV-1
Qualitative assay was not as sensitive as the comparator assays, so it would not
be a useful replacement assay, especially for infants taking antiretroviral
prophylaxis. The Gen-Probe Aptima assay is an adequate replacement option for
infant diagnosis using DBS.
PMID- 24929754
TI - A qualitative PCR minipool strategy to screen for virologic failure and
antiretroviral drug resistance in South African patients on first-line
antiretroviral therapy.
AB - BACKGROUND: The high cost of commercial HIV-1 viral load tests for monitoring of
patients on antiretroviral treatment limits their use in resource-constrained
settings. Commercial genotypic antiretroviral resistance testing is even more
costly, yet it provides important benefits. OBJECTIVES: We sought to determine
the sensitivity and negative predictive value of a qualitative PCR targeting
partial reverse transcriptase for detection of virologic failure when 5 patient
specimens are pooled. STUDY DESIGN: A total of 300 South African routine patient
samples were included and tested in 60 pools of 5 samples each. A qualitative
nested PCR was optimised for testing pools and individual samples from positive
pools. All positive samples were sequenced to detect drug resistance-associated
mutations. Results were compared to those of conventional viral load monitoring.
RESULTS: Twenty-two of 60 pools tested positive. Individual testing yielded 29
positive individual samples. Twenty-six patients had viral loads of above 1000
copies/ml. The pooling algorithm detected 24 of those 26 patients, resulting in a
negative predictive value of 99.3%, and a positive predictive value of 89.7%. The
sensitivity for detecting patients failing therapy was 92%, with a specificity of
98.9%. Of the patients failing first-line ART, 83.3% had NRTI and 91.7% NNRTI
resistance mutations. CONCLUSIONS: The pooled testing algorithm presented here
required 43% fewer assays than conventional viral load testing. In addition to
offering a potential cost saving over individual viral load testing, it also
provided drug resistance information which is not available routinely in
resourced-limited settings.
PMID- 24929755
TI - Hepatitis E prevalence among HIV infected patients with elevated liver enzymes in
the Netherlands.
AB - BACKGROUND: In recent years chronic hepatitis E virus (HEV) infections have been
reported in immunosuppressed patients, including HIV-positive patients with low
CD4 cell counts. Because of delayed anti-HEV seroconversion in patients with CD4
cell count<200 cells/ml it is difficult to draw firm conclusions on HEV
seroprevalence in a population of HIV positive patients. OBJECTIVES: To determine
the HEV seroprevalence in a population of HIV infected patients. STUDY DESIGN: We
retrospectively analysed the HEV prevalence in a population of 256 HIV infected
patients with liver enzyme elevations (LEEs), using HEV specific antibody testing
and HEV-RNA detection. RESULTS: Within this cohort we observed a HEV
seroprevalence of 11.7%, without any anti-HEV IgM positive or HEV-RNA positive
cases. HEV seropositivity was equally prevalent among different CD4(+) cell count
groups. CONCLUSION: Although HIV infected patients in the Netherlands are at risk
of acquiring HEV, the number of acute infections is low and no chronic cases were
found.
PMID- 24929757
TI - Big ideas: A grief observed.
PMID- 24929756
TI - Microwave assisted synthesis, characterization and biocidal activities of some
new chelates of carbazole derived Schiff bases of cadmium and tin metals.
AB - This study is planned to report the advancement of green microwave approach in
the fabrication of a new series of biologically potent (N^X, where X=O/S) donor
Schiff bases and their cadmium(II) and tin(II) complexes. The ligands and their
metal complexes have been characterized in terms of elemental analysis, molar
ionic conductance, magnetic moment and spectral (IR, UV-Vis, NMR ((1)H, (119)Sn),
FAB-mass, thermal and XRD) data. The data revealed that the ligands coordinated
to the metal center via nitrogen and oxygen/sulfur atoms and form an octahedral
arrangement of the ligands around central metal atom. All compounds were
evaluated for their in vitro antimicrobial activities against two pathogenic
bacteria Bacillus subtilis and Escherichia coli and two fungi Aspergillus niger
and Aspergillus flavus by standard disc diffusion method. The discs were stored
in an incubator at 37 degrees C. The compounds were dissolved in DMF at 500 and
1000 ppm concentrations for screening biocidal activity. The compounds were
dissolved in DMF to get the 100 and 200 ppm concentration of test solutions for
screening fungicidal activity. The inhibition zone around each disc was measured
(in mm) after 24 h and 96 h for biocidal and fungicidal activities respectively.
PMID- 24929758
TI - Vagus-preserving technique during minimally invasive esophagectomy: the effects
on cardiac parameters in a swine model.
AB - BACKGROUND: Cardiac complications are an important cause of morbidity and
mortality observed after esophageal resections. We examined whether an high
intrathoracic vagotomy during abdominothoracic esophagectomy would have an effect
on intraoperative and early postoperative cardiac function in the setting of a
minimally invasive resection. Two hypotheses were generated for this study: (1)
Vagotomy would cause cardiac changes, and (2) vagus-preserving esophagectomy
would prevent cardiac problems during resection and in the early postoperative
phase. METHODS AND RESULTS: Thirty male pigs were operated on while cardiac
parameters (heart rate [HR], cardiac index [CI], preload recruitable stroke work
[PRSW], contractility speed [dp/dtmax], relaxation speed [dp/dtmin], and
relaxation time [tau]) were monitored using a conductance catheter and the
thermodilution method. Animals were randomized into 4 groups (each n = 7): (1)
control, thoracoscopy only, (2) thoracoscopy with vagotomy, (3) esophageal
resection with vagotomy, and (4) esophageal resection with vagus nerve
preservation. To evaluate the first hypothesis, we compared groups 1 and 2; to
evaluate the second hypothesis, we compared groups 3 and 4. HR, CI, PRSW,
dp/dtmax, and tau were different in the 2 groups without resection (area under
the curve; each P < .05). Vagotomy with esophagectomy resulted in nonsignificant
differences between groups 3 and 4. The requirement for metoprolol administration
to avoid severe tachycardia was greater in the groups that underwent vagotomy (P
< .05; Fisher's exact test). CONCLUSION: An high intrathoracic vagotomy results
in loss of vagal tone and a greater rate of tachycardia during thoracoscopy and
esophagectomy. There were no differences, however, in cardiac dynamics between
the esophagectomy groups. Thus, vagal injury is not the sole reason for cardiac
dysfunction after esophagectomy.
PMID- 24929759
TI - Comparison of the staging of regional lymph nodes using the sixth and seventh
editions of the tumor-node-metastasis (TNM) classification system for the
evaluation of overall survival in gastric cancer patients: findings of a case
control analysis involving a single institution in China.
AB - BACKGROUND: It has recently been reported that the sixth edition of the tumor
node-metastasis (TNM) classification system for gastric cancer involving the
staging of regional lymph nodes (N) has inappropriate cut-offs with regard to
counts of metastatic lymph nodes. It remains controversial, however, as to
whether the seventh edition of this classification system is completely accurate
in staging N for the prediction of the prognosis of gastric cancer. Our aim was
to determine which of these two editions of the TNM classification system was
superior with regard to the prediction of the prognosis of Chinese patients with
gastric cancer. METHODS: We analyzed relevant clinicopathological data
statistically from 1,563 patients with gastric cancer who had undergone curative
resection to evaluate the sixth and seventh editions of the TNM classification
system for N staging with regard to the prediction of overall survival (OS).
RESULTS: Our survival analyses demonstrated that N staging via use of both the
sixth and seventh editions of the TNM classification system was correlated with
OS. Furthermore, case-control analysis indicated that the seventh edition was
significantly superior to the sixth edition in predicting the OS of patients,
regardless of the extent of lymphadenectomy (D1 or D2) and the number of
dissected lymph nodes (<16 or >=16). By taking into consideration both the extent
of lymphadenectomy and the number of dissected lymph nodes simultaneously, we
determined that the seventh edition of the TNM classification system was superior
to the sixth edition regarding the evaluation of the OS in the various subgroups
of gastric cancer patients. CONCLUSION: The seventh edition proved more reliable
and accurate than the sixth edition of the TNM classification system in
categorizing the number of metastatic lymph nodes for the purpose of predicting
the OS of patients with gastric cancer after curative resection.
PMID- 24929760
TI - Leakage of the gastroenteric anastomosis after pancreatoduodenectomy.
AB - BACKGROUND: Common anastomotic complications after pancreatoduodenectomy (PD) are
leakage from the pancreaticojejunostomy or hepaticojejunostomy. Leakage from the
gastroenteric anastomosis has rarely been described. We evaluated the incidence
of gastroenteric leakage after PD and described its presentation, treatment, and
outcome. METHODS: Between 1992 and 2012, a consecutive series of 1,036 patients
underwent PD in the Academic Medical Center. By use of a prospective database and
medical records, we identified patients with gastroenteric leakage.
Clinicopathologic data were compared with patients without gastroenteric leakage,
and presentation, radiologic findings, treatment, and outcome of gastroenteric
leaks were analyzed. RESULTS: Twelve patients (1.2%) had gastroenteric leakage.
Patients with gastroenteric leaks had undergone longer operative procedures, had
more pancreatic fistulas and other complications, and had a significantly longer
hospital stay. Median postoperative day of diagnosis was 8 (range, 2-23).
Clinical signs included tender abdomen and high drain output suspicious of
gastric content. Common radiologic findings were pneumoperitoneum and intra
abdominal fluid. Seven patients (58%) were treated operatively, 4 (33%) by
percutaneous drainage, and 1 (8%) underwent no specific treatment duo to his poor
clinical condition. This patient died in hospital, resulting in a hospital
mortality of 8%. CONCLUSION: Gastroenteric leakage after PD is rare. Clinical
presentation is not specific, unlike leakage from other sites. Drain output
suspicious of gastric content may help to differentiate from pancreatic or
hepatic anastomotic leakage. It may be associated with a longer duration of
operation and concomitant pancreatic fistula. A good outcome depends on prompt
diagnosis and is mostly achieved by operative intervention.
PMID- 24929762
TI - Hepatic steatosis is associated with surgical-site infection after hepatic and
colorectal surgery.
AB - BACKGROUND: Obesity and increased visceral fat deposits are important risk
factors for surgical-site infection (SSI). Interestingly, a potential role of
hepatic steatosis on complications after extrahepatic surgery remains unknown.
The aim of the present study was to investigate the impact of hepatic steatosis
on SSI in patients that underwent open abdominal surgery. METHODS: A total of 231
patients that underwent either liver (n = 116) or colorectal (n = 115) resection
and received preoperative contrast-enhanced computed tomography scans were
retrospectively investigated. Signal attenuation of the liver parenchyma was
measured on computed tomography scans to assess hepatic steatosis. RESULTS: More
SSIs (including types 1, 2, and 3) were found in the group with hepatic steatosis
(56/118 [47.5%]) compared with the control group (30/113 [26.6%]; P = .001).
Patients with hepatic steatosis showed greater median body mass index than
patients without hepatic steatosis (26.6 kg/m(2) [range 16.8-47.0 kg/m(2)] vs
23.2 kg/m(2) [15.9-32.7 kg/m(2)]; P < .001). Patients with hepatic steatosis
experienced longer median operation times (297 minutes [52-708 minutes] vs 240
minutes [80-600 minutes]; P = .003). In a multivariate analysis, hepatic
steatosis was identified as an independent risk factor for SSI in patients
undergoing hepatic (odds ratio 10.33 [95% confidence interval 1.19-89.76]; P =
.03) or colorectal (odds ratio 6.67 [95% confidence interval 1.12-39.33]; P =
.04) operation. CONCLUSION: Hepatic steatosis is associated with SSI after
hepatic and colorectal operation.
PMID- 24929761
TI - Prognostic significance of Zinc finger E-box binding homeobox 1 (ZEB1) expression
in cancer cells and cancer-associated fibroblasts in pancreatic head cancer.
AB - BACKGROUND: Pancreatic ductal adenocarcinoma (PDAC) is characterized by an
aggressive biology and poor prognosis. Experimental evidence has suggested a role
for the transcriptional repressor Zinc finger E-box binding homeobox 1 (ZEB1) in
epithelial-mesenchymal transition, invasion, and metastasis in PDAC. ZEB1
expression has been observed in cancer cells as well as stromal fibroblasts. Our
study aimed to evaluate the prognostic value of ZEB1 expression in PDAC tissue.
METHODS: Patient baseline and follow-up data were extracted from a prospectively
maintained database. After clinicopathologic re-review, serial sliced tissue
slides were immunostained for ZEB1, E-cadherin, vimentin, and pan-cytokeratin.
ZEB1 expression in cancer cells and adjacent stromal fibroblasts was graded
separately and correlated to routine histopathologic parameters and survival
after resection. RESULTS: A total of 117 cases of PDAC were included in the
study. High ZEB1 expression in cancer cells and in stromal cancer-associated
fibroblasts was associated with poor prognosis. There was also a trend for poor
prognosis with a lymph node ratio of greater than 0.10. In line with its role as
an inducer of epithelial-mesenchymal transition, ZEB1 expression in cancer cells
was positively correlated with Vimentin expression and negatively with E-Cadherin
expression. In multivariate analysis, stromal ZEB1 expression grade was the only
independent factor of survival after resection. CONCLUSION: Our data suggest that
ZEB1 expression in cancer cells as well as in stromal fibroblasts are strong
prognostic factors in PDAC. Stromal ZEB1 expression is identified for the first
time as an independent predictor of survival after resection of PDAC. This
observation suggests that therapies targeting ZEB1 and its downstream pathways
could hit both cancer cells and supporting cancer-associated fibroblasts.
PMID- 24929763
TI - Predicting hypocalcemia after thyroidectomy in children.
AB - BACKGROUND AND AIMS: Hypocalcemia after thyroidectomy is caused by parathyroid
trauma. There are no studies regarding the usefulness of intact parathyroid
hormone (PTH) as a monitor of postoperative hypoparathyroidism tool in
pediatrics. We evaluated the diagnostic accuracy of intra- and postoperative PTH
to predict the risk of developing post thyroidectomy hypocalcemia in children.
METHODS: A prospective longitudinal cohort study was conducted in 32 pediatric
patients (3.2-17.6 years old) undergoing total thyroidectomy. Intact PTH measured
by the assays (Immulite Immunoassay System [ICMA] or electrochemioluminescence
assay [ECLIA]) at 5 (PTH-5) and 60 (PTH-60) minutes after thyroid removal were
considered as predicting variables. The postoperative outcome was hypocalcemia
(endpoint variable). Patients were clinically and biochemically monitored
regularly for 48 hours after surgery. RESULTS: Of the patients, 47% developed
hypocalcemia (15% symptomatic). An ICMA PTH-5 of <=14 pg/mL or an ECLIA PTH-5 of
<=16 pg/mL predicted hypocalcemia with a sensitivity of 80%, specificity of 100%,
positive predictive value (PPV) of 100%, and diagnostic efficiency (DE) of 91%.
Using the same cutoff values, PTH-60 presented a sensitivity of 93%, specificity
of 82%, PPV of 81%, and DE of 87%. Adjusting for variation in the assays and
combining intra- and postoperative PTH determinations, we developed an algorithm
that improved sensitivity, specificity, and DE. CONCLUSION: PTH is useful for
predicting hypocalcemia after total thyroidectomy in children. The use of our
proposed strategy should be considered to (a) initiate preventive treatment in
patients identified at high risk for hypocalcemia, (b) shorten the duration of
hospitalization, and (c) reduce the clinical and biochemical controls in those
who remained normocalcemic.
PMID- 24929764
TI - Radio-guided selective compartment neck dissection improves staging in papillary
thyroid carcinoma: a prospective study on 345 patients with a 3-year follow-up.
AB - BACKGROUND: Prospective uncontrolled study to investigate in papillary thyroid
carcinoma (PTC) patients: (1) Distribution of lymph node metastases within the
neck compartments, (2) factors predicting lymph nodes metastases, and (3) disease
recurrence after thyroidectomy associated with radio-guided selective compartment
neck dissection (RSCND). METHODS: We studied 345 consecutive PTC patients
operated on between February 2004 and October 2011 at the S. Anna University
Hospital, Ferrara (Italy). Patients with cervical lymph node metastases on
preoperative ultrasonography and fine needle aspiration cytology were excluded.
All patients underwent total thyroidectomy associated with SLN identification
followed by RSCND in the SLN compartment, without SLN frozen section. RESULTS: In
patients with lymph node metastases, metastatic nodes were not in the central
neck compartment in 22.6% of the cases. The presence of infiltrating or
multifocal PTC was a predicting factor for lymph nodes metastases. The median
follow-up was 35.5 months. RSCND was associated with a false-negative rate of
1.1%, a persistent disease rate of 0.6%, and a recurrent disease rate of 0.9%.
The permanent dysphonia rate was 1.3%. CONCLUSION: RSCND associated with total
thyroidectomy may improve: (1) the locoregional lymph node staging, and (2) the
identification of the site of lymphatic drainage within the neck compartments.
Thus, considering the high false-negative rate of sentinel lymph node biopsy
(SLNB), a radio-guided technique in PTC patients may guide the lymphadenectomy
(ie, RSCND) to increase the metastatic yield and improve staging of the disease
rather than avoid prophylactic lymphadenectomy (ie, SLNB).
PMID- 24929765
TI - Proficiency gain curve and predictors of outcome for laparoscopic ventral mesh
rectopexy.
AB - BACKGROUND: Laparoscopic ventral mesh rectopexy (LVMR) is a well-recognized
treatment for rectal prolapse and high-grade rectal intussusception. However, it
is technically complex with the possibility of clinically relevant morbidity. The
objectives were to define (i) the efficacy and safety of LVMR, (ii) risk factors
for poor clinical outcome, and (iii) the autodidactic proficiency gain curve.
METHODS: All primary LVMR cases performed by the senior author between January
1997 and February 2013 were included in the study. In addition to the clinical
outcomes, quality-of-life outcomes, including the Cleveland Clinic Incontinence
Score and obstructive defecation syndrome score, were evaluated. Risk factors for
operative complications, recurrence, and mesh-related complications were
identified by the use of logistic regression models. Proficiency gain curves for
functional and clinical outcomes were assessed using cumulative sum curves.
RESULTS: A total of 636 LVMRs were performed during the study period. The mean
percentage improvement in the Cleveland Clinic Incontinence Score and obstructive
defecation syndrome score were 89.7% (SD 21.8%) and 56.7% (SD 20.6%). The
operative complication, recurrent symptoms, and mesh-related complication rates
were 9.9%, 9.4%, and 3.1%, respectively. Predictors of operative complication
were male sex and previous abdominal operation; the only predictor of mesh
related complications and recurrence was the use of polyester mesh. The learning
curve for operative time was 54 cases, but for other clinical and quality-of-life
outcomes was between 82 and 105 cases. CONCLUSION: LVMR treats rectal prolapse
effectively, providing good symptomatic relief with minimal morbidity. However,
the self-taught learning curve for this complex laparoscopic procedure is
protracted.
PMID- 24929766
TI - Impact of prothrombin time-International Normalized Ratio on outcome of patients
with septic shock receiving polymyxin B cartridge hemoperfusion.
AB - BACKGROUND: Although most patients with septic shock have a poor outcome, some
may survive after blood purification treatment such as polymyxin B cartridge
hemoperfusion (PMX). OBJECTIVE: To explore the most significant characteristic
associated with 28-day mortality in patients with septic shock receiving PMX.
METHODS: Between April 2006 and March 2008, 116 patients with septic shock who
had received PMX in a prospectively collected multicenter collaborative study
were enrolled. Uni- and multivariate analyses using the Cox proportional hazard
model were performed to assess the most significant clinical characteristic that
was associated with 28-day mortality. RESULTS: Among 33 clinicolaboratory
characteristics, receiver operating characteristic (ROC) curve analyses selected
12 characteristics with recommended cutoff values such as HCO(3)(-)
(<=19.8/>19.8; mEq/L), base excess (<=-5.35/>-5.35; mEq/L), diastolic blood
pressure (<=48/>48 mmHg), mean arterial pressure (<=73/>73 mmHg), pH
(<=7.29/>7.29), interleukin-6 (<=19,150/>19,150 pg/dL), prothrombin time
International Normalized Ratio (PT-INR; <=2.05/>2.05), predictive value of Acute
Physiology and Chronic Health Evaluation II (APACHE II; <=0.4/>0.4), pyruvate
(<=1.82/>1.82 mg/dL), APACHE II score (<=21/>21), acetate/pyruvate ratio
(<=19/>19), and acetate (<=44.8/>44.8 mg/dL) on the basis of large area under the
ROC curves for 28-day mortality. The results of uni- and multivariate analyses
using these selected characteristics revealed that only PT-INR (<=2.05/>2.05;
hazard ratio, 2.823; 95% CI, 1.243-6.412; P = .013) was associated with 28-day
mortality. Survival curve analysis demonstrated a significant difference in 28
day mortality between patients with lower (<=2.05) and higher (>2.05) PT-INR (P <
.001). CONCLUSION: Prolonged PT-INR is an independent risk factor for 28-day
mortality in patients receiving PMX for septic shock.
PMID- 24929767
TI - Functional abdominal wall reconstruction improves core physiology and quality-of
life.
AB - INTRODUCTION: One of the goals of modern ventral hernia repair (VHR) is restoring
the linea alba by returning the rectus muscles to the midline. Although this
practice presumably restores native abdominal wall function, improvement of
abdominal wall function has never been measured in a scientific fashion. We
hypothesized that a dynamometer could be used to demonstrate an improvement in
rectus muscle function after open VHR with restoration of the midline, and that
this improvement would be associated with a better quality-of-life. METHODS:
Thirteen patients agreed to dynamometric analysis before and 6 months after an
open posterior component separation (Rives-Stoppa technique complimented with a
transversus abdominis muscle release) and mesh sublay. Analysis done using a
dynamometer (Biodex 3, Corp, Shirley, NY) included measurement of peak torque
(PT; N*m) and PT per bodyweight (BW; %) generated during abdominal flexion in 5
settings: Isokinetic analysis at 45 degrees /s and 60 degrees /s as well as
isometric analysis at 0 degrees , -15 degrees , and +15 degrees . Power (W) was
calculated during isokinetic settings. Quality-of-life was measured using our
validated HerQles survey at the time of each dynamometric analysis. RESULTS:
Thirteen patients (mean age, 54 +/- 9 years; mean body mass index, 31 +/- 7
kg/m(2)) underwent repair with restoration of the midline using the
aforementioned technique. Mean hernia width was 12.5 cm (range, 5-19).
Improvements in PT and PT/BW were significant in all 5 settings (P < .05).
Improvement in power during isokinetic analyses at 45 degrees /s and 60 degrees
/s was also significant (P < .05). All patients reported an improvement in
quality-of-life, which was associated positively with each dynamometric
parameter. CONCLUSION: Restoration of the linea alba during VHR is associated
with improved abdominal wall functionality. Analysis of rectus muscle function
using a dynamometer showed statistical improvement by isokinetic and isometric
measurements, all of which were associated with an improvement in quality-of
life.
PMID- 24929768
TI - Impact of analysis of frozen-section margin on reoperation rates in women
undergoing lumpectomy for breast cancer: evaluation of the National Surgical
Quality Improvement Program data.
AB - BACKGROUND: Reoperation for positive margins after lumpectomy for breast cancer
is common. Intraoperative analysis of frozen-section (FS) margins permits
immediate re-excision, avoiding reoperation. The aim of this study was to compare
reoperation rates between an institution using routine FS analysis of all margins
and the National Surgical Quality Improvement Program (NSQIP) data. METHODS: We
designed a retrospective cohort analysis comparing the NSQIP data from a FS
single institution with the national NSQIP data from 2006 to 2010. Women
undergoing lumpectomy for cancer were identified (N = 24,217), and reoperation
rates were compared by the use of chi(2) analyses and multivariable logistic
regression. During this time period, NSQIP did not differentiate between
reoperations for complications or oncologic reasons. Reoperation rates for
mastectomy patients (N = 21,734) and lumpectomy patients without cancer (N =
2,777) over the same time period were analyzed as controls, because reoperations
after these procedures likely would be for reasons other than positive margins.
RESULTS: The 30-day reoperation rate after lumpectomy for cancer was greater
nationally than at the FS institution (13.2% vs 3.6%, P < .001). Multivariable
analysis showed that patients in the national NSQIP data set were over four times
as likely to undergo reoperation as those at the FS institution's (odds ratio
4.19). The reoperation rates were similar between the two, both for patients
undergoing mastectomy (4.7% vs 4.5%, P = .84) and those undergoing lumpectomy for
benign diagnosis (2.9% vs 5.9%, P = .39). CONCLUSION: Intraoperative FS margin
analysis decreases the number of reoperations for patients undergoing breast
conservation for breast cancer. This technique has important implications for
patient satisfaction and cost of care.
PMID- 24929769
TI - Heart rate variability parameters and ventricular arrhythmia correlate with
pulmonary arterial pressure in adult patients with idiopathic pulmonary arterial
hypertension.
AB - OBJECTIVE: This aim of this study was to correlate heart rate variability (HRV)
parameters to pulmonary arterial pressure (PAP) in patients with purely
idiopathic pulmonary arterial hypertension (IPAH). BACKGROUND: HRV is decreased
in patients with PAH. Whether HRV indices can be used to assess PAP in IPAH
patients remains unclear. METHODS: HRV parameters obtained by 24-h ECG were
evaluated in 26 IPAH patients and 51 controls. RESULTS: Time-domain HRV
parameters (SDNN, p < 0.0001; SDANN, p < 0.0001; RMSSD, p = 0.006) were lower in
IPAH patients. Frequency-domain indices (high-frequency power, HFP, p = 0.001;
low-frequency power, LFP, p = 0.003; total power, TP, p = 0.001) were also
decreased in IPAH patients. In IPAH patients, RMSSD (p = 0.001), HFP (p = 0.015),
and LFP (p = 0.027) were significantly correlated with PAP. IPAH patients had
longer QTc intervals (p < 0.0001) and more premature ventricular contractions (p
< 0.0001) than controls. CONCLUSIONS: IPAH is associated with autonomic
dysfunction. RMSSD, HFP, and LFP may be used as a supplemental tool to assess PAP
in IPAH patients. IPAH patients with autonomic dysfunction are at high risk for
ventricular arrhythmia.
PMID- 24929771
TI - Characteristics of elderly fall patients with baseline mental status: high-risk
features for intracranial injury.
AB - BACKGROUND: Falls are a major cause of morbidity in the elderly. OBJECTIVES: We
describe the low-acuity elderly fall population and study which historical and
clinical features predict traumatic intracranial injuries (ICIs). METHODS: This
is a prospective observational study of patients at least 65 years old presenting
with fall to a tertiary care facility. Patients were eligible if they were at
baseline mental status and were not triaged to the trauma bay. At presentation, a
data form was completed by treating physicians regarding mechanism and position
of fall, history of head strike, headache, loss of consciousness (LOC), and signs
of head trauma. Radiographic imaging was obtained at the discretion of treating
physicians. Medical records were subsequently reviewed to determine imaging
results. All patients were called in follow-up at 30 days to determine outcome in
those not imaged. The study was institutional review board approved. RESULTS: A
total of 799 patients were enrolled; 79.5% of patients underwent imaging. Twenty
seven had ICIs (3.4%). Fourteen had subdural hematoma, 7 had subarachnoid
hemorrhage, 3 had cerebral contusion, and 3 had a combination of injuries.
Logistic regression demonstrated 2 study variables that were associated with
ICIs: LOC (odds ratio, 2.8; confidence interval, 1.2-6.3) and signs of head
trauma (odds ratio, 13.2; confidence interval, 2.7-64.1). History of head strike,
mechanism and position, headache, and anticoagulant and antiplatelet use were not
associated with ICIs. CONCLUSION: Elderly fall patients who are at their baseline
mental status have a low incidence of ICIs. The best predictors of ICIs are
physical findings of trauma to the head and history of LOC.
PMID- 24929772
TI - Acute psychosis presenting as a sole manifestation of left atrial myxoma: a new
paradigm.
AB - Atrial myxomas are the most common benign cardiac tumors. Neurological
manifestations associated with left atrial myxoma are seen infrequently in the
form of embolic stroke of intracranial hemorrhage. Psychiatric manifestations are
however exceedingly rare. We hereby present a case who presented with acute
psychosis as a sole manifestation of an underlying left atrial myxoma.
PMID- 24929773
TI - TTP presenting as refractory hypoglycemia in a patient with thromboangiitis
obliterans.
AB - Thrombotic thrombocytopenic purpura (TTP) is a challenging diagnosis to make in
the emergency department. We present a case of TTP initially presenting with
refractory hypoglycemia in a woman with thromboangiitis obliterans (Buerger's
disease). To our knowledge, this is the first description of the association of
hypoglycemia and thromboangiitis obliterans with TTP. We briefly review key
aspects of the acute diagnosis and management of hypoglycemia and TTP pertinent
to the emergency physician.
PMID- 24929774
TI - Effects of uninterrupted chest compressions on the rescuer's physical condition.
AB - STUDY OBJECTIVE: Recent guidelines have emphasized the need for uninterrupted
chest compressions. The purpose of this study was to evaluate the rescuer's
tolerability of uninterrupted chest compressions. METHODS: Twenty-five healthy
subjects performed uninterrupted chest compressions for 7 minutes at a rate of
100 compressions per minute using a training manikin. The quality of chest
compressions was assessed in terms of the total number and percentage of chest
compressions, compression depth, recoil distance, and duty cycle. Correct chest
compression was defined as a depth of 38 to 51 mm. Physiological and laboratory
parameters were measured before and after the procedure. Fatigue was measured
using a numerical rating scale. Data were compared before and after the
procedure. RESULTS: The participants were 10 emergency physicians and 15 medical
students. The compression rate was nearly 100 compressions per minute. The number
and percentage of correct compressions decreased gradually after 3 minutes. The
compression depth decreased significantly after 2 minutes. The recoil distance
and duty cycle were unchanged over 7 minutes. Systolic blood pressure, pulse
rate, respiratory rate, numerical rating scale, serum lactate, adrenalin, and
noradrenalin increased significantly after the procedure. Noradrenalin levels
measured before the procedure were significantly and negatively correlated with
the total number and percentage of correct compressions (r = -0.587, P = .004; r
= -0.549, P = .008, respectively). CONCLUSIONS: Performing uninterrupted chest
compressions for 7 minutes is an arduous procedure. Higher noradrenalin levels
before the procedure might be associated with incorrect chest compressions.
PMID- 24929775
TI - Emergency department recidivism in adults older than 65 years treated for
fractures.
AB - OBJECTIVES: Fractures in older adults are a commonly diagnosed injury in the
emergency department (ED). We performed a retrospective medical record review to
determine the rate of return to the same ED within 72 hours (returns) and the
risk factors associated with returning. METHODS: A retrospective medical record
review of patients at least 65 years old discharged from a large, academic ED
with a new diagnosis of upper extremity, lower extremity, or rib fractures was
performed. Risk factors analyzed included demographic data, type of fracture,
analgesic prescriptions, assistive devices provided, other concurrent injuries,
and comorbidities (Charlson Comorbidity Index). Our primary outcome was return to
the ED within 72 hours. RESULTS: Three hundred fifteen patients qualified. Most
fractures were in the upper extremity (64% [95% confidence interval {CI}, 58%
69%]). Twenty patients (6.3% [95% CI, 3.9%-9.6%]) returned within 72 hours. Most
returns (15/20, 75%) were for reasons associated with the fracture itself, such
as cast problems and inadequate pain control. Only 3 (<1% of all patients)
patients returned for cardiac etiologies. Patients with distal forearm fractures
had higher return rates (10.7% vs 4.5%, P = .03), and most commonly returned for
cast or splint problems. Age, sex, other injuries, assistive devices, and
Charlson Comorbidity Index score (median, 1 [interquartile range, 1-2] for both
groups) did not predict 72-hour returns. CONCLUSION: Older adults with distal
forearm fractures may have more unscheduled health care usage in the first 3 days
after fracture diagnosis than older adults with other fracture types. Overall,
revisits for cardiac reasons or repeat falls were rare (<1%).
PMID- 24929776
TI - The trauma nurse coordinator in England: a survey of demographics, roles and
resources.
AB - INTRODUCTION: Following the introduction of the regional trauma system in 2012
the role of the trauma nurse coordinator (TNC) has been rolled out. This study
aims to determine the demographic and practice profile of nurses performing the
TNC role in England. METHODS: An electronic survey of TNCs across the 18 trauma
networks in England was conducted. RESULTS: Fifty-three TNCs responded (62%) to
the survey. Seventeen different role titles identified. The majority of TNCs had
an emergency or trauma/orthopaedics clinical background. The largest proportion
of time spent was clinical (38%). Least amount of time was spent in the education
(7%), and research (3%). Nearly a quarter of respondents (23%) had some form of
formal research training, nearly half (47%) were assisting others in research.
Over half (55%) of respondents felt that they did not have adequate human
resources to conduct their role. DISCUSSION: This research has provided baseline
information about nurses in the role of TNC, their role titles and domains of the
role 18 months after the formal introduction of trauma networks in England. There
are some marked similarities and differences in the time spent in the different
domains of the role between these findings and those published internationally.
PMID- 24929777
TI - Overexpression of tomato chloroplast-targeted DnaJ protein enhances tolerance to
drought stress and resistance to Pseudomonas solanacearum in transgenic tobacco.
AB - DnaJ proteins as co-chaperones have critical functions in biotic and abiotic
stress responses, but their biological functions remain largely uninvestigated.
This study investigates the function of a tomato (Lycopersicon esculentum)
chloroplast-targeted DnaJ protein (LeCDJ2) using transgenic tobacco. Quantitative
real-time polymerase chain reaction analysis showed that LeCDJ2 expression was
triggered by salicylic acid (SA), drought and pathogen attack. Ectopic expression
of LeCDJ2 in transgenic tobacco reduced the accumulation of superoxide anion
radical (O2(-)) and hydrogen peroxide (H2O2) under drought stress. Compared with
Vec plants, the maximum photochemical efficiency of photosystem II (PSII)
(Fv/Fm), net photosynthetic rate (Pn), and content of D1 protein were relatively
higher in transgenic plants. The transgenic plants showes better growth, higher
chlorophyll content, lower malondialdehyde (MDA) accumulation and relative
electrolyte leakage (REL) under drought stress. In addition, overexpression of
LeCDJ2 improved the resistance to the pathogen Pseudomonas solanacearum in
transgenic tobacco. These results indicate that overexpression of a tomato
chloroplast-targeted DnaJ gene enhances tolerance to drought stress and
resistance to P. solanacearum in transgenic tobacco.
PMID- 24929778
TI - Survey of patient and physician influences and decision-making regarding CT
utilization for minor head injury.
AB - OBJECTIVE: Assess factors that influence both the patient and the physician in
the setting of minor head injury in adults and the decision-making process around
CT utilization. METHODS: This is a convenience sample survey study of adult minor
head injury patients (GCS 15) and their physicians regarding factors influencing
the decision to use CT to evaluate for intra-cranial haemorrhage. Once a head CT
was ordered and before the results were known, both the patient and physician
were given a one-page survey asking questions about their concern for injury and
rationale for CT use. CT results and surveys were then recorded in a centralized
database and analyzed. RESULTS: 584 subjects were enrolled over the 27-month
study period. The rate of any intra-cranial haemorrhage was 3.3%. Both the
physicians (6% pre-test estimate) and the patients (22% pre-test estimate) over
estimated risk for haemorrhage. Clinical decision rules were not met in 46% of
cases where CT was used. Physicians listed an average of 5 factors from a list of
9 that influenced their decision to order CT. Patients listed an average of 1.7
factors influencing their decision to present to the Emergency Department for
evaluation. Many patients felt cost (45%) and low risk stratification (34%)
should weigh heavily in the decision to use CT. If asked to limit CT utilization,
physicians were able to identify a group with less than 2% risk of injury.
CONCLUSIONS: Patients with low risk of intra-cranial injury continue to be
evaluated by CT. Physician decision-making around the use of CT to evaluate minor
head injury is multi-factorial. Shared decision-making between the patient and
the physician in a low risk minor head injury encounter shows promise as a method
to reduce CT utilization in this low risk cohort.
PMID- 24929779
TI - Predictors of severe pain in the immediate postoperative period in elderly
patients following hip fracture surgery.
AB - INTRODUCTION: The aim of this study was to identify risk factors for severe
postoperative pain immediately after hip-fracture surgery. PATIENTS AND METHODS:
Three hundred forty-four elderly patients with an acute hip fracture were
admitted to the hospital during a 12-months period. All patients who entered the
study answered a structured questionnaire to assess demographic characteristics,
previous diseases, drug use, previous surgery, and level of education. Physical
status was assessed through the American Society of Anesthesiologists'
preoperative risk classification, cognitive status using the Short Portable
Mental Status Questionnaire, and depression using the Geriatric Depression Scale.
The presence of preoperative delirium using the Confusion Assessment Method was
assessed during day and night shifts until surgery. Pain was measured using a
numeric rating scale (NRS). An NRS >= 7 one hour after surgery indicated severe
pain. RESULTS: Patients with elementary-level education (8 yr in school)
presented a higher risk for immediate severe postoperative pain than university
educated patients (> 12 yr in school) (P < 0.05). Higher cognitive function was
associated with higher postoperative pain (P < 0.01). Patients with symptoms of
depression and patients with preoperative delirium presented a higher risk for
severe pain (P < 0.05, P < 0.01, respectively). Multivariate analysis showed that
depression and a low level of education were independent predictors of severe
pain immediately after surgery. CONCLUSION: Depression and lower levels of
education were independent predictors of immediate severe pain following hip
fracture surgery. These predictors could be clinically used to stratify analgesic
risk in elderly patients for more aggressive pain treatment immediately after
surgery.
PMID- 24929781
TI - Voluntary and involuntary emotional memory following an analogue traumatic
stressor: the differential effects of communality in men and women.
AB - BACKGROUND: Men and women show differences in performance on emotional processing
tasks. Sex also interacts with personality traits to affect information
processing. Here we examine effects of sex, and two personality traits that are
differentially expressed in men and women - instrumentality and communality - on
voluntary and involuntary memory for distressing video-footage. METHODS: On
session one, participants (n = 39 men; 40 women) completed the Bem Sex-Role
Inventory, which assesses communal and instrumental traits. After viewing film
footage of death/serious injury, participants recorded daily involuntary memories
(intrusions) relating to the footage on an online diary for seven days, returning
on day eight for a second session to perform a voluntary memory task relating to
the film. RESULTS: Communality interacted with sex such that men with higher
levels of communality reported more frequent involuntary memories. Alternatively,
a communality * sex interaction reflected a tendency for women with high levels
of communality to perform more poorly on the voluntary recognition memory task.
LIMITATIONS: The study involved healthy volunteers with no history of significant
psychological disorder. Future research with clinical populations will help to
determine the generalizability of the current findings. CONCLUSION: Communality
has separate effects on voluntary and involuntary emotional memory. We suggest
that high levels of communality in men and women may confer vulnerability to the
negative effects of stressful events either through the over-encoding of
sensory/perceptual-information in men or the reduced encoding of contextualised,
verbally-based, voluntarily accessible representations in women.
PMID- 24929780
TI - Afatinib in the treatment of EGFR mutation-positive NSCLC--a network meta
analysis.
AB - OBJECTIVES: Epidermal growth factor receptor (EGFR) mutation-positive non-small
cell lung cancer (NSCLC) is a specific lung cancer subtype characterized by
sensitivity to treatment with EGFR tyrosine kinase inhibitors (TKIs). Two
reversible EGFR TKIs (gefitinib, erlotinib) and the irreversible ErbB family
blocker afatinib are currently approved for treatment of EGFR mutation-positive
NSCLC, but no head-to-head trials have been reported to date. We aimed to assess
the relative efficacy of the three drugs by conducting a network meta-analysis
(NMA). MATERIALS AND METHODS: A systematic literature review was conducted to
identify all the available evidence. Outcomes of interest were progression-free
survival (PFS) and overall survival. For PFS, results by investigator review were
considered as not all trials assessed PFS independently. Results were analyzed
using Bayesian methods. RESULTS: The literature search identified 246 articles
that were assessed for eligibility, of which 21 studies were included in the NMA,
including eight trials performed in an EGFR mutation-positive population. The
estimated PFS HR (95% credible interval, CrI) for afatinib compared with
gefitinib was 0.70 (0.40-1.16) and compared with erlotinib was 0.86 (0.50-1.50)
in the total population. The estimated probability of being best for afatinib
over all other treatments for PFS was 70% versus 27% for erlotinib and 3% for
gefitinib; the estimated probability of chemotherapy being the best treatment was
0%. Estimated HR (95% CrI) in patients with common mutations was 0.73 (0.42-1.24)
for afatinib compared with erlotinib and 0.60 (0.34-0.99) for afatinib compared
with gefitinib. OS findings were not significantly different between treatments.
CONCLUSIONS: In the absence of direct head-to-head trial data comparing efficacy
between the three EGFR TKIs, our analysis suggests that afatinib is a viable
treatment alternative to erlotinib or gefitinib in terms of PFS. A direct trial
based comparison of the efficacy of these agents is warranted to clarify their
relative benefits.
PMID- 24929782
TI - Further evidence for biased semantic networks in obsessive-compulsive disorder
(OCD): when knives are no longer associated with buttering bread but only with
stabbing people.
AB - BACKGROUND AND OBJECTIVES: Semantic network models suggest that individuals with
obsessive-compulsive disorder (OCD) process words with multiple meanings (e.g.,
"knife") more likely in an OC-related (i.e., "weapon") than in a neutral way
(i.e., "cutlery"). Initial evidence was found in an online study. The aim of the
current study was to investigate semantic networks in a clinical OCD sample and
particularly to identify whether changes in semantic networks following the add
on intervention association splitting (AS) exceeded changes expected through
cognitive behavioral therapy (CBT) alone. METHODS: An association task was
presented to 36 healthy controls and 70 OCD patients over a period of eight weeks
with OCD patients receiving CBT and an add-on intervention (randomized allocation
to either AS or a computerized cognitive training). Participants were asked to
generate up to five associations to standardized (OC-relevant, negative, neutral)
and individual cue words. Associations were rated with regard to OC-relevance and
valence. RESULTS: Analyses revealed that OCD participants produced a)
significantly more OC-relevant associations and b) more negative associations
than controls for cue words. In the OCD sample, the OC-relevance and valence of
associations changed after therapy for personal cue words. This effect was
associated with AS at statistical trend level. LIMITATIONS: No clinical control
group was recruited; no inter-rater reliability was assessed for the association
task. CONCLUSIONS: Further evidence for biased associative networks in OCD was
found. Associations of individually chosen cue words proved to be modifiable by
therapy.
PMID- 24929783
TI - Exploring the cognitive load of negative thinking: a novel dual-task experiment.
AB - BACKGROUND AND OBJECTIVES: Females are more likely to engage in the preoccupation
of past negative experiences than males, which might contribute to their greater
tendency toward depression. However, there is limited understanding regarding the
cognitive basis for the negative autobiographical information processing of
females. In the present study, we assessed the cognitive resources required for
negative thinking, by using a novel dual-task paradigm that combined think-aloud
and time-estimation tasks. METHODS: Fifty-three Japanese undergraduate students
were asked to think aloud about personal past or future emotional episodes for a
particular duration. In addition, they were asked to estimate the duration of
their speech. Their estimates were compared to the actual time taken, and the
errors were used as indices of cognitive burden during the speech task. RESULTS:
As compared to males, females exhibited greater judgment errors, particularly
when thinking about their past negative experiences. This suggests that females
allocate more attentional resources toward thinking about the past. LIMITATIONS:
Participants could rehearse the task during the time reproduction phase, and the
quality of the rehearsal and their memory capacity might have influenced the
accuracy of their duration judgment. CONCLUSIONS: Females tend to allocate more
attentional resources than males to thinking about past negative episodes, which
in turn might be associated with reduced availability of resources for central
cognitive control processes such as inhibition of and switching away from
processing of negative autobiographical information.
PMID- 24929784
TI - Stabilizing conditions of metal mercury in mercury sulfurization using a
planetary ball mill.
AB - This study used a non-heating process to stabilize mercury by mercury
sulfurization. Elemental mercury and sulfur were mixed using planetary ball
milling under various experimental conditions and the optimal conditions were
determined. The labile intermediate, mercury sulfide, was observed in the early
stages of milling, and the intermediates were then pulverized into mercury
sulfide powder. These phenomena were significantly influenced by the diameter of
the planetary ball. A larger diameter enhanced mercury stabilization. For a ball
with a 19.04-mm diameter, the toxicity characteristic leaching procedure (TCLP)
and the Japanese leaching test (JLT) values were 0.263MUg/L and 0.0586MUg/L,
respectively, suggesting that mercury leachability was strictly controlled. When
the molar ratio of sulfur to mercury was 1.05, the mercury concentration in the
headspace was <1MUg/Nm(3) at 20 degrees C, which was equivalent to the level in
pure reagent. The stability of the planetary ball milling product at optimum
conditions was either comparable or superior to that in other stabilization
techniques. The running cost of this technology was estimated to be 15,360Yen
(154USD)/ton-Hg. This stabilization technology is cost-effective and
environmentally sound for the long-term and safe management of mercury.
PMID- 24929785
TI - Probability analysis of multiple-tank-car release incidents in railway hazardous
materials transportation.
AB - Railroads play a key role in the transportation of hazardous materials in North
America. Rail transport differs from highway transport in several aspects, an
important one being that rail transport involves trains in which many railcars
carrying hazardous materials travel together. By contrast to truck accidents, it
is possible that a train accident may involve multiple hazardous materials cars
derailing and releasing contents with consequently greater potential impact on
human health, property and the environment. In this paper, a probabilistic model
is developed to estimate the probability distribution of the number of tank cars
releasing contents in a train derailment. Principal operational characteristics
considered include train length, derailment speed, accident cause, position of
the first car derailed, number and placement of tank cars in a train and tank car
safety design. The effect of train speed, tank car safety design and tank car
positions in a train were evaluated regarding the number of cars that release
their contents in a derailment. This research provides insights regarding the
circumstances affecting multiple-tank-car release incidents and potential
strategies to reduce their occurrences. The model can be incorporated into a
larger risk management framework to enable better local, regional and national
safety management of hazardous materials transportation by rail.
PMID- 24929786
TI - The zeta potential of mineral fibres.
AB - For the first time, the zeta (xi) potential of pathogenic mineral fibres
(chrysotiles, amphiboles and erionite) was systematically investigated to shed
light on the relationship between surface reactivity and fibre pathogenicity. A
general model explaining the zeta potential of chrysotile, amphiboles and
erionite has been postulated. In double distilled water, chrysotiles showed
positive values while crocidolite and erionite showed negative values. In contact
with organic solutions, all fibres exhibited negative values of zeta potential.
The decrease of the surface potential is deemed to be a defensive chemical
response of the macrophage cells to minimize hemolytic damage. Negatively charged
surfaces favour the binding of collagen and redox activated Fe-rich proteins, to
form the so-called asbestos bodies and prompt the formation of HO via the
reaction with peroxide (H2O2+e(-)->HO+HO(-)). An additional mechanism accounting
for higher carcinogenicity is possibly related to the Ca(2+) sequestration by the
fibres with surface negative potential, impairing the mitochondrial apoptotic
pathway. It was also found that with a negative zeta potential, the attractive
forces prevailed over repulsions and favoured processes such as agglomeration
responsible of a tumorigenic chronic inflammation.
PMID- 24929787
TI - Evaluation of a novel chitosan-based flocculant with high flocculation
performance, low toxicity and good floc properties.
AB - In this work, a novel chitosan-based flocculant, carboxymethyl chitosan-graft
poly[(2-methacryloyloxyethyl) trimethyl ammonium chloride] (CMC-g-PDMC), was
designed and prepared successfully. Flocculation performance of CMC-g-PDMC was
systematically evaluated using kaolin suspension, humic acid (HA) solution and
kaolin-HA mixed suspension as synthetic wastewater under acidic, neutral and
alkaline conditions, respectively. The experimental results demonstrated that CMC
g-PDMC exhibited lower optimal dosage, higher contaminant removal efficiency,
wider applicable pH range, lower effluent toxicity and better floc properties for
handling and disposal, in comparison with polyaluminum chloride. The high
flocculation performance of CMC-g-PDMC was ascribed to two structural advantages
of improved both positive charges and molecular weight. In addition, flocs
characteristics including flocs formation, breakage, regrowth and fractal
structure, were studied by an in-situ light scattering system during the
flocculation process. Detailed analysis clearly illuminated the differences and
relationship among floc size, fractal dimension and floc strength. Based on
analysis of floc properties in combination with zeta potential measurements,
flocculation mechanisms in different synthetic wastewater at various pHs were
deeply discussed: charge neutralization or patching played the key role under
different conditions, and the relationship between flocculation mechanisms and
floc properties has been built. The effective and environment-friendly flocculant
bear significant application potentials in water treatment fields.
PMID- 24929788
TI - Biosurfactant produced by novel Pseudomonas sp. WJ6 with biodegradation of n
alkanes and polycyclic aromatic hydrocarbons.
AB - Alkanes and polycyclic aromatic hydrocarbons (PAHs) have threatened the
environment due to toxicity and poor bioavailability. Interest in degradation of
these hazardous materials by biosurfactant-producing bacteria has been steadily
increasing in recent years. In this work, a novel biosurfactant-producing
Pseudomonas sp. WJ6 was isolated to degrade a wide range of n-alkanes and
polycyclic aromatic hydrocarbons. Production of lipopeptide biosurfactant was
observed in all biodegradable studies. These lipopeptides were purified and
identified by C18 RP-HPLC system and electrospray ionization-mass spectrometry.
Results of structural analysis showed that these lipopeptides generated from
different hydrocarbons were classified to be surfactin, fengycin and lichenysin.
Heavy-oil sludge washing experiments demonstrated that lipopeptides produced by
Pseudomonas sp. WJ6 have 92.46% of heavy-oil washing efficiency. The obtained
results indicate that this novel bacterial strain and its lipopeptides have great
potentials in the environmental remediation and petroleum recovery.
PMID- 24929789
TI - Characterization of pharmaceuticals and personal care products as N
nitrosodimethylamine precursors during disinfection processes using free chlorine
and chlorine dioxide.
AB - The worldwide detection of pharmaceuticals and personal care products (PPCPs) in
aquatic environment and drinking water has caused wide concern in recent years.
The possibility for concurrent formation of N-nitrosodimethylamine (NDMA) during
disinfection has become another significant concern for water quality. This study
demonstrates that a group of PPCPs containing amine groups can serve as NDMA
precursors during free chlorine or chlorine dioxide (ClO2) chlorination
processes. Selected PPCPs after screening by NDMA yield were further investigated
for NDMA formation conditions. High disinfectant dose and initial PPCP
concentration resulted in relatively high NDMA formation potential. Linear
kinetic models were developed for NDMA formation during chlorination of selected
PPCPs. Although the PPCP precursors were removed significantly during
chlorination, they were not completely mineralized based on the total organic
carbon (TOC) loss. The existence of another possible pathway for direct formation
of NDMA from tertiary amine during chlorination was indicated, in which
dimethylamine (DMA) was not involved. It is recommended to control the initial
PPCP concentrations prior to disinfection and to shorten the contact time to
reduce the NDMA formation. ClO2 is suggested to be a proper disinfectant in order
to reduce the NDMA formation.
PMID- 24929790
TI - Effect of acute beer ingestion on the liver: studies in female mice.
AB - PURPOSE: The aim of the present study was to assess whether the effects of acute
consumption of stout or pilsner beer on the liver differ from those of plain
ethanol in a mouse model. METHODS: Seven-week-old female C57BL/6J mice received
either ethanol, stout or pilsner beer (ethanol content: 6 g/kg body weight) or
isocaloric maltodextrin solution. Plasma alanine transaminase, markers of
steatosis, lipogenesis, activation of the toll-like receptor-4 signaling cascade
as well as lipid peroxidation and fibrogenesis in the liver were measured 12 h
after acute ethanol or beer intake. RESULTS: Acute alcohol ingestion caused a
marked ~11-fold increase in hepatic triglyceride accumulation in comparison to
controls, whereas in mice exposed to stout and pilsner beer, hepatic triglyceride
levels were increased only by ~6.5- and ~4-fold, respectively. mRNA expression of
sterol regulatory element-binding protein 1c and fatty acid synthase in the liver
did not differ between alcohol and beer groups. In contrast, expression of
myeloid differentiation primary response gene 88, inducible nitric oxide
synthases, but also the concentrations of 4-hydroxynonenal protein adducts,
nuclear factor kappaB and plasminogen activator inhibitor-1 were induced in
livers of ethanol treated mice but not in those exposed to the two beers.
CONCLUSION: Taken together, our results suggest that acute ingestion of beer and
herein especially of pilsner beer is less harmful to the liver than the ingestion
of plain ethanol.
PMID- 24929791
TI - Ultrasound assisted direct oxidative esterification of aldehydes and alcohols
using graphite oxide and Oxone.
AB - A sonochemical procedure for direct oxidative esterification of aldehydes and
alcohols using graphite oxide and Oxone in an alcoholic solvent is described.
Mild reaction conditions, short reaction times, cost-effectiveness, and facile
isolation of the products make the present system as a practical method.
PMID- 24929793
TI - ADHD and personality: a meta-analytic review.
AB - We report a meta-analysis of up to 40 data sets that examined the personality
dimensions in the Five-Factor Model (FFM) and the integrated Five-Factor Model
(IFFM) in relation to ADHD symptom domains of inattention (IA) and
hyperactivity/impulsivity (HI). The IFFM incorporated the dimensions of other
personality models (in particular, those of Eysenck, Tellegen, and Cloninger, as
well as the FFM). Major findings were: (1) IA and HI were both associated with
low conscientious inhibition/conscientiousness, and low agreeable
inhibition/agreeableness, and with high negative emotionality/neuroticism; (2)
conscientious inhibition and conscientiousness were more strongly related to IA
than HI; (3) agreeable inhibition and agreeableness were more strongly related to
HI than IA; and (4) the association of conscientious inhibition and
conscientiousness with HI was moderated by age group and source from where
participants were recruited (associations were stronger in children than adults,
and clinical samples than community samples). These findings are discussed in
relation to single and multiple pathway theories, underlying factors and
processes for the personality-ADHD link, and clinical implications.
PMID- 24929792
TI - Variation block-based genomics method for crop plants.
AB - BACKGROUND: In contrast with wild species, cultivated crop genomes consist of
reshuffled recombination blocks, which occurred by crossing and selection
processes. Accordingly, recombination block-based genomics analysis can be an
effective approach for the screening of target loci for agricultural traits.
RESULTS: We propose the variation block method, which is a three-step process for
recombination block detection and comparison. The first step is to detect
variations by comparing the short-read DNA sequences of the cultivar to the
reference genome of the target crop. Next, sequence blocks with variation
patterns are examined and defined. The boundaries between the variation
containing sequence blocks are regarded as recombination sites. All the assumed
recombination sites in the cultivar set are used to split the genomes, and the
resulting sequence regions are termed variation blocks. Finally, the genomes are
compared using the variation blocks. The variation block method identified
recurring recombination blocks accurately and successfully represented block
level diversities in the publicly available genomes of 31 soybean and 23 rice
accessions. The practicality of this approach was demonstrated by the
identification of a putative locus determining soybean hilum color. CONCLUSIONS:
We suggest that the variation block method is an efficient genomics method for
the recombination block-level comparison of crop genomes. We expect that this
method will facilitate the development of crop genomics by bringing genomics
technologies to the field of crop breeding.
PMID- 24929794
TI - Clinically assessed consequences of workplace physical violence.
AB - OBJECTIVES: To assess consequences of physical violence at work and identify
their predictors. METHODS: Among the patients in a medicolegal consultation from
2007 to 2010, the subsample of workplace violence victims (n = 185) was
identified and contacted again in average 30 months after the assault. Eighty-six
victims (47 %) participated. Ordinal logistic regression analyses assessed the
effect of 9 potential risk factors on physical, psychological and work
consequences summarized in a severity score (0-9). RESULTS: Severity score
distribution was as follows: 4+: 14 %; 1-3: 42 %; and 0: 44 %. Initial
psychological distress resulting from the violence was a strong predictor (p <
0.001) of the severity score both on work and long-term psychological
consequences. Gender and age did not reach significant levels in multivariable
analyses even though female victims had overall more severe consequences.
Unexpectedly, only among workers whose jobs implied high awareness of the risk of
violence, first-time violence was associated with long-term psychological and
physical consequences (p = 0.004). Among the factors assessed at follow-up,
perceived lack of employers' support or absence of employer was associated with
higher values on the severity score. The seven other assessed factors (initial
physical injuries; previous experience of violence; preexisting health problems;
working alone; internal violence; lack of support from colleagues; and lack of
support from family or friends) were not significantly associated with the
severity score. CONCLUSIONS: Being a victim of workplace violence can result in
long-term consequences on health and employment, their severity increases with
the seriousness of initial psychological distress. Support from the employer can
help prevent negative outcomes.
PMID- 24929795
TI - Effect of short-term synbiotic treatment on plasma p-cresol levels in patients
with chronic renal failure: a randomized clinical trial.
AB - BACKGROUND AND AIMS: In patients with chronic kidney disease (CKD), alterations
in gut microbiome are posited to be responsible for gastrointestinal symptoms and
generation of p-cresol, a uremic toxin that has been associated with CKD
progression and cardiovascular mortality. This pilot study investigated whether
Probinul-neutro(r), a synbiotic that normalizes intestinal microflora, may lower
plasma p-cresol concentrations and reduce gastrointestinal symptoms in non
dialyzed CKD patients. METHODS AND RESULTS: This was a double-blind, randomized
placebo-controlled trial. Thirty patients on 3-4 CKD stages were randomized to
receive either Probinul neutro(r) or placebo for 4 weeks. Total plasma p-cresol
concentration was assessed at baseline, and 15 and 30 days after treatment start.
At the same study times, ease and frequency of defecation, upper and lower
abdominal pain, stool shape, borborygmi, and flatus were quantified by subjective
assessment questionnaires. Compared to baseline total plasma p-cresol median
concentrations on 15th and 30th day were significantly lower in patients
receiving Probinul-neutro(r) (2.31 and 0.78 vs. 3.05 MUg/ml, p < 0.05; n = 18);
no changes of plasma p-cresol concentrations were recorded in placebo-treated
patients. No significant changes in gastrointestinal symptoms were observed
during the study both in Probinul-neutro(r)-treated and placebo-treated patients.
CONCLUSION: Probinul-neutro(r) lowered total plasma p-cresol concentrations but
did not ameliorate gastrointestinal symptoms in non-dialyzed CKD patients.
Because high plasma concentrations of p-cresol in early phases of CKD are
predictive of progression to end-stage renal disease, the results of our study
suggest that synbiotics deserve attention as possible tools to delay CKD
progression towards end-stage renal disease (ESRD). CLINICALTRIALSGOV IDENTIFIER:
NCT02008331.
PMID- 24929796
TI - Effects of continuous prostacyclin infusion on regional blood flow and cerebral
vasospasm following subarachnoid haemorrhage: statistical analysis plan for a
randomized controlled trial.
AB - BACKGROUND: One of the main causes of mortality and morbidity following
subarachnoid hemorrhage (SAH) is the development of cerebral vasospasm, a
frequent complication arising in the weeks after the initial bleeding. Despite
extensive research, no effective treatment of vasospasm exists to date.
Prostacyclin is a potent vasodilator and inhibitor of platelet aggregation. In
vitro models have shown a relaxing effect of prostacyclin after induced
contraction in cerebral arteries, and a recent pilot trial showed a positive
effect on cerebral vasospasm in a clinical setting. No randomized clinical trials
have investigated the possible pharmacodynamic effects of prostacyclin on the
human brain following SAH. METHODS/DESIGN: This trial is a single centre,
randomized, placebo-controlled, parallel group, double blinded, clinical pilot
trial. A total of 90 patients with SAH will be randomized to one of three
intervention arms: epoprostenol at 1 ng/kg/min, epoprostenol at 2 ng/kg/min, or
placebo in addition to the standard treatment. Trial medication will start on Day
5 after SAH and continue to Day 10. The primary outcome measure is changes in
cerebral blood flow measured by a computed tomography (CT) perfusion scan. The
secondary outcomes are vasospasm measured by a CT angiography, regional blood
flow, clinical symptoms of cerebral ischemia, and outcome at three months
(Glasgow Outcome Scale). DISCUSSION: The primary outcome has been altered
slightly since the publication of our study protocol. Global cerebral blood flow
is now primary outcome, whereas regional blood flow is a secondary outcome. TRIAL
REGISTRATION: Clinicaltrials.gov NCT01447095. Registration date: 11 October 2011.
PMID- 24929797
TI - Disease burden of urinary tract infections among type 2 diabetes mellitus
patients in the U.S.
AB - AIMS: Type 2 diabetes is a reported risk factor for more frequent and severe
urinary tract infections (UTI). We sought to quantify the annual healthcare cost
burden of UTI in type 2 diabetic patients. METHODS: Adult patients diagnosed with
type 2 diabetes were identified in MarketScan administrative claims data. UTI
occurrence and costs were assessed during a 1-year period. We examined UTI
related visit and antibiotic costs among patients diagnosed with UTI, comparing
those with versus without a history of UTI in the previous year (prevalent vs.
incident UTI cases). We estimated the total incremental cost of UTI by comparing
all-cause healthcare costs in patients with versus without UTI, using propensity
score-matched samples. RESULTS: Within the year, 8.2% (6,014/73,151) of subjects
had >=1 UTI, of whom 33.8% had a history of UTI. UTI-related costs among
prevalent versus incident cases were, respectively, $603 versus $447 (p=0.033)
for outpatient services, $1,607 versus $1,819 (p=NS) for hospitalizations, and
$61 versus $35 (p<0.0001) for antibiotics. UTI was associated with a total all
cause incremental cost of $7,045 (95% CI: 4,130, 13,051) per patient with UTI per
year. CONCLUSIONS: UTI is common and may impose a substantial direct medical cost
burden among patients with type 2 diabetes.
PMID- 24929798
TI - Exercise improves gait, reaction time and postural stability in older adults with
type 2 diabetes and neuropathy.
AB - AIMS: For older adults with type 2 diabetes (T2DM), declines in balance and
walking ability are risk factors for falls, and peripheral neuropathy magnifies
this risk. Exercise training may improve balance, gait and reduce the risk of
falling. This study investigated the effects of 12weeks of aerobic exercise
training on walking, balance, reaction time and falls risk metrics in older T2DM
individuals with/without peripheral neuropathy. METHODS: Adults with T2DM, 21
without (DM; age 58.7+/-1.7years) and 16 with neuropathy (DM-PN; age 58.9+/
1.9years), engaged in either moderate or intense supervised exercise training
thrice-weekly for 12weeks. Pre/post-training assessments included falls risk
(using the physiological profile assessment), standing balance, walking ability
and hand/foot simple reaction time. RESULTS: Pre-training, the DM-PN group had
higher falls risk, slower (hand) reaction times (232 vs. 219ms), walked at a
slower speed (108 vs. 113cm/s) with shorter strides compared to the DM group.
Following training, improvements in hand/foot reaction times and faster walking
speed were seen for both groups. CONCLUSIONS: While falls risk was not
significantly reduced, the observed changes in gait, reaction time and balance
metrics suggest that aerobic exercise of varying intensities is beneficial for
improving dynamic postural control in older T2DM adults with/without neuropathy.
PMID- 24929799
TI - Improving inhaler adherence in patients with chronic obstructive pulmonary
disease: a cost-effectiveness analysis.
AB - BACKGROUND: The PHARMACOP-intervention significantly improved medication
adherence and inhalation technique for patients with COPD compared with usual
care. This study aimed to evaluate its cost-effectiveness. METHODS: An economic
analysis was performed from the Belgian healthcare payer's perspective. A Markov
model was constructed in which a representative group of patients with COPD (mean
age of 70 years, 66% male, 43% current smokers and mean Forced Expiratory Volume
in 1 second of % predicted of 50), was followed for either receiving the 3-month
PHARMACOP-intervention or usual care. Three types of costs were calculated:
intervention costs, medication costs and exacerbation costs. Outcome measures
included the number of hospital-treated exacerbations, cost per prevented
hospital-treated exacerbation and cost per Quality Adjusted Life-Year. Follow-up
was 1 year in the basecase analysis. Sensitivity and scenario analyses (including
long-term follow-up) were performed to assess uncertainty. RESULTS: In the
basecase analysis, the average overall costs per patient for the PHARMACOP
intervention and usual care were ?2,221 and ?2,448, respectively within the 1
year time horizon. This reflects cost savings of ?227 for the PHARMACOP
intervention. The PHARMACOP-intervention resulted in the prevention of 0.07
hospital-treated exacerbations per patient (0.177 for PHARMACOP versus 0.244 for
usual care). Results showed robust cost-savings in various sensitivity analyses.
CONCLUSIONS: Optimization of current pharmacotherapy (e.g. close monitoring of
inhalation technique and medication adherence) has been shown to be cost-saving
and should be considered before adding new therapies.
PMID- 24929800
TI - Radiolucent esophageal foreign body presenting as a middle mediastinal mass and
tracheoesophageal fistula.
PMID- 24929801
TI - Effect of early and intensive continuous venovenous hemofiltration on patients
with cardiogenic shock and acute kidney injury after cardiac surgery.
AB - OBJECTIVE: Continuous renal replacement therapy (CRRT) is currently the mainstay
renal support for critically ill patients. However, the optimal intensity of CRRT
remains debated owing to the heterogeneity of the study populations and CRRT
techniques across centers. The present study investigated the beneficial effects
of early and intensive continuous venovenous hemofiltration (CVVH) on patients
with shock after cardiotomy. METHODS: Patients who had received CRRT for
cardiogenic shock and acute kidney injury after cardiac surgery from January 2003
to December 2007 were retrospectively recruited. They were divided into 2 groups
according to the delivered dosage of hemofiltration. RESULTS: The mean duration
between intensive care unit admission and initiation of CVVH was 1.4+/-0.8 days.
The all-cause mortality by day 30 was 73.3% and 45.4% in the low- and high-dose
groups, respectively (P=.002). The corresponding in-hospital mortality rate was
82.2% and 61.8% (P=.02). No significant difference was seen in the renal recovery
of the survivors between the 2 groups. CONCLUSIONS: In patients developing
postoperative cardiogenic shock and acute kidney injury after cardiac surgery, an
early higher CVVH dose was associated with better in-hospital and long-term
survival. Moreover, the beneficial effect of intensive treatment might be more
critical in the early perioperative period.
PMID- 24929802
TI - A novel hybrid technique for transcatheter pulmonary valve implantation within a
dilated native right ventricular outflow tract.
PMID- 24929803
TI - Should integrated residency programs supplant traditional programs in
cardiothoracic surgery?
PMID- 24929804
TI - Preoperative transcatheter occlusion of bronchopulmonary collateral artery
reduces reperfusion pulmonary edema and improves early hemodynamic function after
pulmonary thromboendarterectomy.
AB - OBJECTIVE: The present study assessed the effectiveness of preoperative
transcatheter occlusion of the bronchopulmonary collateral artery (PTOBPCA) in
reducing reperfusion pulmonary edema after pulmonary thromboendarterectomy (PEA).
METHODS: The data from 155 patients with chronic thromboembolic pulmonary
hypertension at Anzhen Hospital, treated from January 2007 to August 2013, with
PEA were retrospectively reviewed. The patients were classified into a control
(group A, n = 87) and treated (group B, underwent PTOBPCA, n = 68) group. The
reperfusion pulmonary edema incidence, mechanical ventilation and intensive care
unit hospitalization duration, and hemodynamic function were compared between the
2 groups. RESULTS: Of the 87 patients in group A, 5 died in-hospital (5.7%
mortality); no patient in group B died (0% mortality; P = .035). In group A, 9
patients (10.3%) required extracorporeal membrane oxygenation (ECMO) after PEA; 1
patient (1.5%) in group B required ECMO (chi-square test, P = .026, chi(2) =
4.980). Group B had shorter intubation and intensive care unit hospitalization
times, lower mean pulmonary artery pressures and pulmonary vascular resistance,
higher partial pressures of oxygen in arterial blood and oxygen saturation, and
decreased medical expenditure compared with group A. During a mean 37.1 +/- 21.4
months of follow-up, 3 patients in group A and 2 in group B died; however, the
difference in the actuarial survival at 3 years postoperatively between the 2
groups was not statistically significant. CONCLUSIONS: PTOBPCA can reduce the
incidence of reperfusion pulmonary edema, shorten intensive care unit
hospitalization and intubation duration, improve early hemodynamic function, and
reduce ECMO usage after PEA.
PMID- 24929805
TI - Alterations of the daily rhythms of HPT axis induced by chronic unpredicted mild
stress in rats.
AB - The relationship between thyroid function and depression has long been
recognized. Patients with thyroid disorders are more prone to develop depressive
symptoms and conversely depression may be accompanied by various subtle thyroid
abnormalities. However, the daily rhythm alteration of the functions of the
hypothalamus pituitary thyroid axis (HPT) is uncertain. In the present study, we
investigated the effects of chronic unpredictable mild stress (CUMS) on the daily
rhythm alterations of triiodothyronine (T3), thyroxine (T4), and Thyroid
Stimulating Hormone (TSH) in the plasma. We found that CUMS led to depressive
like behavior and the daily rhythm of T3, T4, and TSH in the plasma being
disturbed, as well the plasma levels of T3 and T4 decreased compared to control
group. Our findings indicate that CUMS not only induce hypofunction of HPT axis
but also the disturbance of daily rhythm of PHT axis in rats.
PMID- 24929806
TI - Comparative analysis of vaspin in pregnant women with and without gestational
diabetes mellitus and healthy non-pregnant women.
AB - To explore serum vaspin, leptin, and adiponectin levels and their correlation
with insulin resistance (IR) in pregnant women with and without gestational
diabetes mellitus (GDM) and healthy non-pregnant women. A total of 262
individuals, including pregnant women with GDM (n = 86), those without GDM (n =
92), and age-matched healthy non-pregnant women (n = 84) were enrolled in this
case-control study. Vaspin, leptin, adiponectin, glucose, insulin, hemoglobin A1C
(HbA1c), and lipid parameters were measured. The homeostasis model assessment
insulin resistance (HOMA-IR), quantitative insulin sensitivity check index, and
body mass index were calculated. Data inferred higher concentrations of vaspin
(2.72 +/- 2.20 vs. 1.84 +/- 1.57 vs. 0.81 +/- 1.02) in GDM than during normal
pregnancy and in non-pregnant women, higher leptin (23.42 +/- 12.18 vs. 22.19 +/-
10.55 vs. 12.10 +/- 11.26), and lower adiponectin (4,164.83 +/- 2,650.39 vs.
4,871.66 +/- 2,803.51 vs. 7,202.85 +/- 4,893.13) in GDM and normal pregnancy as
compared to non-pregnant women (p < 0.05). Vaspin was positively correlated to
leptin (r = 0.273, p = 0.012), HOMA-IR (r = 0.387, p = 0.000), and triglycerides
(TG, r = 0.218, p = 0.046) in GDM. In addition, leptin was negatively correlated
to adiponectin in GDM (r = -0.336, p = 0.002) and normal pregnancy (r = -0.256, p
= 0.014). Furthermore, vaspin was significantly correlated to GDM and HOMA-IR,
and the weight gain might play a vital role in the occurrence of GDM. During
pregnancy, high vaspin concentration is significantly associated with IR in GDM.
PMID- 24929807
TI - Network-dosage compensation topologies as recurrent network motifs in natural
gene networks.
AB - BACKGROUND: Global noise in gene expression and chromosome duplication during
cell-cycle progression cause inevitable fluctuations in the effective number of
copies of gene networks in cells. These indirect and direct alterations of
network copy numbers have the potential to change the output or activity of a
gene network. For networks whose specific activity levels are crucial for
optimally maintaining cellular functions, cells need to implement mechanisms to
robustly compensate the effects of network dosage fluctuations. RESULTS: Here, we
determine the necessary conditions for generalized N-component gene networks to
be network-dosage compensated and show that the compensation mechanism can
robustly operate over large ranges of gene expression levels. Furthermore, we
show that the conditions that are necessary for network-dosage compensation are
also sufficient. Finally, using genome-wide protein-DNA and protein-protein
interaction data, we search the yeast genome for the abundance of specific dosage
compensation motifs and show that a substantial percentage of the natural
networks identified contain at least one dosage-compensation motif. CONCLUSIONS:
Our results strengthen the hypothesis that the special network topologies that
are necessary for network-dosage compensation may be recurrent network motifs in
eukaryotic genomes and therefore may be an important design principle in gene
network assembly in cells.
PMID- 24929808
TI - Prognostic significance of immunohistochemical expression of VEGFR2 and iNOS in
spinal chordoma.
AB - PURPOSE: To clarify whether vascular endothelial growth factor receptor 2
(VEGFR2) and inducible nitric oxide synthase (iNOS) are involved in the
angiogenesis and recurrence of spinal chordoma tissues and influence the overall
survival. METHODS: All patients affected by a spinal chordoma surgically treated
between 1986 and 2007 were reviewed. We examined the expression of VEGFR2 and
iNOS with immunohistochemistry using a tissue microarray containing 120 chordoma
samples. Local recurrence and overall survival (OS) were analyzed. RESULTS: A
series of 40 chordoma patients who underwent surgery for a total of 120 lesions
(including 80 recurrent lesions) were identified (sacrum 77.5 %, lumbar spine
17.5 %, cervical/thoracic spine 5 %). Surgical margins were wide in 30 (75 %),
marginal in 8 (20 %) and intralesional in 2 (5 %) patients. Median follow-up was
120 months. The 5- and 10-year OS of the entire series of patients was 78.6 and
30 %, respectively. There were five primary chordomas (12.5 %) with moderate and
35 (87.5 %) with strong expression of VEGFR-2. All recurrent spinal chordomas
displayed strong expression of VEGFR-2. The expression of iNOS was predominately
moderate to high in primary chordomas: There were 15 tumors (37.5 %) with
moderate and 25 tumors (62.5 %) with strong expression. All recurrent chordomas
displayed strong expression of iNOS. CONCLUSION: The high expression of VEGFR-2
and iNOS affected the OS. The OS at 10 years was only 30 %.
PMID- 24929809
TI - Effects of sludge retention times on reactivity of effluent dissolved organic
matter for trihalomethane formation in hybrid powdered activated carbon membrane
bioreactors.
AB - In this study, real municipal wastewater intended for reuse was treated by two
identical hybrid PAC/MBRs (membrane bioreactors with powdered activated carbon
addition), which were operated at sludge retention times (SRTs) of 30 and 180
days, respectively. In order to investigate the effects of SRT on trihalomethane
(THM) formation in chlorinated PAC/MBR effluents, characteristics and THM
formation reactivity of effluent dissolved organic matter (EfOM) at different
SRTs were examined. PAC/MBR-180 had higher level of EfOM, which contained less
simple aromatic proteins and exhibited lower specific UV absorbance. EfOM with
molecular weight <5 kDa from PAC/MBR-30 (23%) was lower than PAC/MBR-180 (26%).
About 50% of EfOM from PAC/MBR-30 was hydrophobic acids, which was higher than
that from PAC/MBR-180 (about 36%). EfOM at SRT 180 days exhibited higher
hydrophilicity. Prolonging SRT greatly reduced THM formation reactivity of EfOM,
but increased the formation of bromine-containing species during chlorination of
PAC/MBR effluents.
PMID- 24929810
TI - Comparison of membrane foulants occurred under different sub-critical flux
conditions in a membrane bioreactor (MBR).
AB - Membrane fouling precludes the widespread application of membrane filtration
system from treating wastewater and drinking water, and occurs even under sub
critical flux operations. Hence the characteristics and behavior of membrane
foulants should be thoroughly investigated, so as to find ways to reduce membrane
fouling in membrane bioreactors. The purpose of this study is to compare the
membrane fouling potential at different sub-critical flux operations and for
different hydrophobic/hydrophilic membranes, and to investigate the vertical
distribution of membrane foulants in a cake layer. Results showed that higher
fouling propensity which occurred under 80% of critical flux of hydrophilic
membrane was associated with the soluble fraction of proteins and
polysaccharides, compared with 60% of critical flux. The cell-bound components
were dominant under hydrophobic membrane operation. The highest concentration of
proteins and polysaccharides was found between 40% and 80% of the depth of the
cake layer.
PMID- 24929811
TI - Effect of dilute acid pretreatment severity on the bioconversion efficiency of
Phalaris aquatica L. lignocellulosic biomass into fermentable sugars.
AB - The effect of dilute acid pretreatment severity on the bioconversion efficiency
of Phalaris aquatica lignocellulosic biomass into fermentable sugar monomers was
studied. The pretreatment conditions were expressed in a combined severity factor
(CSF), ranged from 0.13 to 1.16. The concentration of xylose and total monomeric
sugars released from hemicellulose increased with pretreatment as the CSF
increased. Dilute acid pretreatment resulted in about 1.7-fold increase in
glucose release relative to the untreated biomass, while CSF was positively
correlated with glucose recovery. A maximum glucose yield of 85.05% was observed
at high severity values (i.e. CSF 1.16) after 72 h. The total amount of sugars
released (i.e. xylose and glucose) was increased with pretreatment severity and a
maximum conversion efficiency of 76.1% of structural carbohydrates was obtained
at a CSF=1. Our data indicated that Phalaris aquatica L. is an alternative
bioethanol feedstock and that hemicellulose removal promotes glucose yield.
PMID- 24929812
TI - Steam explosion pretreatment for enhancing biogas production of late harvested
hay.
AB - Grasslands are often abandoned due to lack of profitability. Extensively
cultivating grassland for utilization in a biogas-based biorefinery concept could
mend this problem. Efficient bioconversion of this lignocellulosic biomass
requires a pretreatment step. In this study the effect of different steam
explosion conditions on hay digestibility have been investigated. Increasing
severity in the pretreatment induced degradation of the hemicellulose, which at
the same time led to the production of inhibitors and formation of pseudo-lignin.
Enzymatic hydrolysis showed that the maximum glucose yields were obtained under
pretreatment at 220 degrees C for 15 min, while higher xylose yields were
obtained at 175 degrees C for 10 min. Pretreatment of hay by steam explosion
enhanced 15.9% the methane yield in comparison to the untreated hay. Results
indicate that hay can be effectively converted to methane after steam explosion
pretreatment.
PMID- 24929813
TI - Combining the effects of pulping severity and alkali concentration to optimize
the lignocellulose-based AlkaPolP biorefinery concept.
AB - Within the framework of the development of a novel lignocellulose biorefinery
concept alkaline polyol pulping (AlkaPolP) of Pinus sylvestris was performed at
different alkali concentrations. The obtained experimental data were used to
develop simple mathematical models that allow the prediction of product yields
and properties in dependence on a single parameter combining the effects of time,
temperature and catalyst concentration. For this purpose the usual approach
expressing the pulping severity R0 had to be complemented by the alkali
concentration resulting in a modified severity factor R0('). The found regression
models in the form of functions f(R0(')) can be used as a tool for the
identification of those pulping conditions giving the desired product
characteristics. Because the yields of the biorefinery products reach their
maxima at different pulping conditions the optimization of the whole process
turned out to be a multi-objective optimization problem.
PMID- 24929814
TI - Molecular dynamics study on conformational differences between dGMP and 8-oxo
dGMP: Effects of metal ions.
AB - The modified nucleotide base 7,8-dihydro-8-oxo-guanine (8-oxo-G) is one of the
major sources of spontaneous mutagenesis. Nucleotide-sanitizing enzymes, such as
the MutT homolog-1 (MTH1) and nudix-type motif 5 (NUDT5), selectively remove 8
oxo-G from the cellular pool of nucleotides. Previous studies showed that,
although the syn conformation generally predominates in purine nucleotides with a
bulky substituent at the 8-position, 8-oxo-dGMP binds to both MTH1 and NUDT5 in
the anti conformation. This study was initiated to investigate the possibility
that 8-oxo-dGMP itself may adopt the anti conformation. Molecular dynamics
simulations of mononucleotides (dGMP, 8-oxo-dGMP) in aqueous solution were
performed. 8-oxo-dGMP adopted the anti conformation as well as the syn
conformation, and the proportion of adopting the anti conformation increased in
the presence of metal ions. When 8-oxo-dGMP was in the anti conformation, a metal
ion was located between the oxygen atom of phosphate and the oxygen atom at the 8
position of 8-oxo-G. The types of stable anti conformations of 8-oxo-dGMP
differed, depending on the ionic radii and charges of coexisting ions. These data
suggested a role for metal ions, other than as cofactors for the hydrolysis of
the di- and tri-phosphate forms of mononucleotides; that the metal ions help
retain the anti conformation of the N-glycosidic torsion angle of 8-oxo-dGMP to
promote the binding between the 8-oxo-G deoxynucleotide and the nucleotide
sanitizing enzymes.
PMID- 24929815
TI - Computational determination of binding structures and free energies of glucose 6
phosphate dehydrogenase with novel steroid inhibitors.
AB - Glucose 6-phosphate dehydrogenase (G6PD), the first and the rate-limiting enzyme
in the pentose phosphate pathway (PPP), catalyzes the oxidation of G6P to 6
phosphogluconolactone and the reduction of NADP(+) to NADPH. Its key role in
cancer promotes the development of a potent and selective inhibitor that might
increase cancer cell death when combined with radiotherapy. In the present study,
we investigated the detailed binding modes and binding free energies for G6PD
interacting with a promising series of recently developed inhibitors, i.e., the
steroid derivatives, by performing molecular docking, molecular dynamics (MD)
simulations, and binding free energy calculations. The docking indicates that the
inhibitors occupy the binding sites of both G6P and NADP(+). The calculated
binding free energies on the basis of the MD-simulated enzyme-inhibitor complexes
are in good agreement with the experimental activity data for all of the examined
inhibitors. The valuable insights into the detailed enzyme-inhibitor binding
including the important intermolecular interactions, e.g., the hydrogen bond
interaction and the hydrophobic interaction, have been provided. The
computational results provide new insights into future rational design of more
potent inhibitors of G6PD as a treatment for cancer.
PMID- 24929816
TI - Stereoelectronic explanations for the mechanistic details of transimination and
HF elimination reactions.
AB - The beta-fluoroamines are commonly used as substrate analogs to determine the
mechanistic details of enzymatic reactions. Presence of fluorine atom gives rise
to the alterations in the electronic profile and the pKa of molecules which
results in mechanistic deviations. The fluorine-substituted mechanism-based
substrate analogs are widely used in the inactivation of pyridoxal 5'-phosphate
(PLP)-dependent enzymes. The presence of fluorine atom also alters the sequence
of reactions taking place in PLP-dependent enzymes where the HF elimination
reaction appears in between the transimination and inactivation reactions.
Despite the amount of the works on beta-fluoroamines, the effect of
stereoelectronic differences on the transimination and HF elimination reactions
taking place in PLP-dependent enzymes has not been investigated yet. A density
functional theory study is conducted to elucidate mechanistic details of the
reactions occurring in PLP-dependent enzymes. In order to understand the
mechanistic insights of different isomers and the effect of the fluorine atom, 4
amino-3-fluorobutanoic acid (3-F-GABA) enantiomers are chosen to be investigated
besides 4-aminobutanoic acid (GABA), which is the natural substrate for gamma
aminobutyric acid aminotransferase (GABA-AT). The investigated beta-fluoroamines
are the experimentally proposed potential inhibitors of PLP-dependent enzyme GABA
AT.
PMID- 24929817
TI - Survival, prophage induction, and invasive properties of lysogenic Salmonella
Typhimurium exposed to simulated gastrointestinal conditions.
AB - This study was designed to evaluate the viability, prophage induction, invasive
ability, and relative gene expression in lysogenic Salmonella Typhimurium exposed
to the simulated gastric juice (SGJ) at pH 2 (SGJ-2), 3 (SGJ-3), 4 (SGJ-4), and 5
(SGJ-5) for 30 min followed by 0.5 % bile salts for 2 h. The susceptibility of
lysogenic S. Typhimurium increased with decreasing pH value and increasing bile
salt concentration. The lysogenic S. Typhimurium cells were least susceptible to
SGJ-4 and SGJ-5, showing <1 log reduction. The highest prophage induction was
observed by 3.34 log PFU/ml in lysogenic S. Typhimurium at SGJ-3 in the presence
of 0.5 % bile salts. The numbers of invading lysogenic S. Typhimurium treated at
SGJ-3, SGJ-4, and SGJ-5 were 3.57, 3.73, and 4.15 log CFU/cm(2), respectively.
Most genes (hilA, hilC, hilD, invA, invE, invF, and sirA) were down-regulated in
lysogenic S. Typhimurium treated at SGJ-3, SGJ-4, and SGJ-5. This study provides
useful information for understanding physiological changes of lysogenic S.
Typhimurium in the simulated gastrointestinal conditions.
PMID- 24929818
TI - Identification of a gene signature of a pre-transformation process by senescence
evasion in normal human epidermal keratinocytes.
AB - BACKGROUND: Epidemiological data show that the incidence of carcinomas in humans
is highly dependent on age. However, the initial steps of the age-related
molecular oncogenic processes by which the switch towards the neoplastic state
occurs remain poorly understood, mostly due to the absence of powerful models. In
a previous study, we showed that normal human epidermal keratinocytes (NHEKs)
spontaneously and systematically escape from senescence to give rise to pre
neoplastic emerging cells. METHODS: Here, this model was used to analyze the gene
expression profile associated with the early steps of age-related cell
transformation. We compared the gene expression profiles of growing or senescent
NHEKs to post-senescent emerging cells. Data analyses were performed by using the
linear modeling features of the limma package, resulting in a two-sided t test or
F-test based on moderated statistics. The p-values were adjusted for multiple
testing by controlling the false discovery rate according to Benjamini Hochberg
method.The common gene set resulting of differential gene expression profiles
from these two comparisons revealed a post-senescence neoplastic emergence (PSNE)
gene signature of 286 genes. RESULTS: About half of these genes were already
reported as involved in cancer or premalignant skin diseases. However,
bioinformatics analyses did not highlight inside this signature canonical cancer
pathways but metabolic pathways, including in first line the metabolism of
xenobiotics by cytochrome P450. In order to validate the relevance of this
signature as a signature of pretransformation by senescence evasion, we
invalidated two components of the metabolism of xenobiotics by cytochrome P450,
AKR1C2 and AKR1C3. When performed at the beginning of the senescence plateau,
this invalidation did not alter the senescent state itself but significantly
decreased the frequency of PSNE. Conversely, overexpression of AKR1C2 but not
AKR1C3 increased the frequency of PSNE. CONCLUSIONS: To our knowledge, this study
is the first to identify reprogrammation of metabolic pathways in normal
keratinocytes as a potential determinant of the switch from senescence to pre
transformation.
PMID- 24929819
TI - Association between HMW adiponectin, HMW-total adiponectin ratio and early-onset
coronary artery disease in Chinese population.
AB - OBJECTIVE: Adiponectin is an adipose-secreting protein that shows
atheroprotective property and has inverse relation with coronary artery disease
(CAD). High-molecular weight (HMW) adiponectin is reported as the active form of
adiponectin. In the present study, we aimed to investigate the association
between total adiponectin, HMW adiponectin, HMW-total adiponectin ratio and the
severity of coronary atherosclerosis, and to compare their evaluative power for
the risk of CAD. METHODS: Serum levels of total and HMW adiponectin were measured
in 382 early-onset CAD (EOCAD) patients and 305 matched controls undergoing
coronary angiography by enzyme-linked immunosorbent assay (ELISA). Gensini score
was used to evaluate the severity of coronary atherosclerosis. RESULTS: CAD onset
age was positively correlated with HMW adiponectin (r = 0.383, P < 0.001) and HMW
total adiponectin ratio (r = 0.429, P < 0.001) in EOCAD patients. Total and HMW
adiponectin and HMW-total adiponectin ratio were all inversely correlated with
Gensini score (r = -0.417, r = -0.637, r = -0.578, respectively; all P < 0.001).
Multivariate binary logistic regression analysis demonstrated that HMW
adiponectin and HMW-total adiponectin ratio were both inversely correlated with
the risk of CAD (P < 0.05). ROC analysis indicated that areas under the ROC
curves of HMW adiponectin and HMW-total adiponectin ratio were larger than that
of total adiponectin (P < 0.05). CONCLUSIONS: Adiponectin is cardioprotective
against coronary atherosclerosis onset in EOCAD patients. HMW adiponectin and HMW
total adiponectin ratio show stronger negative associations with the severity of
coronary atherosclerosis than total adiponectin does. HMW adiponectin and HMW
total adiponectin ratio are effective biomarkers for the risk of CAD in Chinese
population.
PMID- 24929821
TI - Long-term chronic diseases and crash responsibility: a record linkage study.
AB - OBJECTIVE: To assess the population impact of chronic conditions on the risk of
road traffic crashes. METHODS: Data from three French national databases were
extracted and matched: the national health care insurance database, police
reports and the national police database of injurious crashes. Exposure to
chronic conditions were compared between responsible and nonresponsible drivers.
Analysis was performed using the Lasso (least absolute shrinkage and selection
operator) method. RESULTS: 69,630 drivers involved in an injurious crash in
France between 2005 and 2008, were included. 6210 (8.9%) were suffering from at
least one long-term disease. When adjusted for prescription of medicines, blood
alcohol, demographic driver characteristics and crash characteristics, increased
risk of being responsible for a crash was found in drivers registered in the
French healthcare database with the following long-term diseases: epilepsy (odds
ratio [OR]=2.53 [1.53-4.20]), type 1 diabetes (OR=1.47) [1.12-1.92], alcoholic
liver disease (OR=3.37 [1.40-8.13]), asthma (OR=1.72 [1.13-2.60]) and specific
personality disorders (OR=1.35 [1.05-1.74]). No association was found for
cardiovascular diseases or Alzheimer's disease. CONCLUSION: The results update
the list of medical conditions that may impair driving skills. However, results
should be considered cautiously with regards to potential regulatory driving
judgments that could have a negative impact on patients' social life.
PMID- 24929820
TI - Potential pathological roles for oxidized low-density lipoprotein and scavenger
receptors SR-AI, CD36, and LOX-1 in aortic valve stenosis.
AB - OBJECTIVE: To clarify the potential mechanisms by which oxidized low-density
lipoprotein (oxLDL) could contribute to the progression of aortic valve stenosis
(AVS). METHODS: We investigated a total of 46 stenotic and 20 control human
aortic valves. The mRNA expression levels of scavenger receptor class A type 1
(SR-A1), CD36, Lectin-like oxidized LDL receptor-1 (LOX-1), and scavenger
receptor class B type 1 (SR-B1) were studied using qPCR. Their cellular
distribution in the valves was assessed by immunohistochemistry, and the
potential effects of oxLDL were studied in cultured myofibroblasts isolated from
the aortic valves. RESULTS: In AVS, the proinflammatory SR-A1 and the angiogenic
LOX-1 were upregulated (p = 0.003 and p = 0.002), whereas the antiangiogenic CD36
was downregulated (p = 0.02). The expression of the atheroprotective SR-B1
remained unchanged. Immunohistochemistry revealed that SR-A1 was expressed by
macrophages, whereas the expression of CD36 and LOX-1 was confined to
myofibroblasts and endothelial cells in the diseased valves. In cultured valvular
myofibroblasts, mast cell-derived components and TNF-alpha induced LOX-1
expression (p = 0.05 and p < 0.001), whereas oxLDL promoted the expression of
proinflammatory cytokines. Furthermore, the expression of osteoprotegerin, an
inhibitor of valvular calcification, decreased in response to oxLDL. Finally,
myofibroblasts derived from stenotic valves accumulated more DiI-labeled oxLDL
than myofibroblasts derived from macroscopically healthy valves (p = 0.035), so
revealing enhanced foam cell-forming potential of myofibroblasts in the diseased
valves. CONCLUSION: This study unveils the presence of SR-A1, CD36, and LOX-1 in
aortic valves and suggests potential mechanisms by which they may contribute to
the pathological angiogenesis, inflammation, calcification, and lipid
accumulation in AVS.
PMID- 24929822
TI - Microsimulation modelling of driver behaviour towards alternative warning devices
at railway level crossings.
AB - Level crossings are amongst the most complex of road safety issues, due to the
addition of rail infrastructure, trains and train operations. The differences in
the operational characteristics of different warning devices together with
varying crossing, traffic or/and train characteristics, cause different driver
behaviour at crossings. This paper compares driver behaviour towards two novel
warning devices (rumble strips and in-vehicle audio warning) with two
conventional warning devices (flashing light and stop sign) at railway level
crossings using microsimulation modelling. Two safety performance indicators
directly related to collision risks, violation and time-to-collision, were
adopted. Results indicated the active systems were more effective at reducing
likely collisions compared to passive devices. With the combined application of
driving simulation and traffic microsimulation modelling, traffic safety
performance indicators for a level crossing can be estimated. From these,
relative safety comparisons for the different traffic devices are derived, or
even for absolute safety evaluation with proper calibration from field
investigations.
PMID- 24929823
TI - Managing children's risk of injury in the home: does parental teaching about home
safety reduce young children's hazard interactions?
AB - Past research confirms that parents extend much effort to teach their young
children about safety, but little is known about this process. The present study
examined mothers' use of teaching as a strategy to manage young children's risk
of home injury and how this impacts children's hazard interactions. Mothers of
three-year-olds completed an in-home room-by-room interview in which they
identified injury hazards that concern them, reported on use of teaching to
manage risk of injury from these hazards, rated children's understanding of these
safety issues and compliance with behavioral guidelines regarding these safety
issues, and reported on children's recent interactions with these hazards. They
also completed questionnaire measures of how difficult the child is to manage and
the child's typical level of risk taking. Results revealed that children's
understanding of safety impacted both their compliance and hazard interactions,
moderating the impact of risk taking on compliance and also the impact of
children's difficult-to-manage score on hazard interactions. These findings
demonstrate that teaching strategies need to effectively enhance children's
understanding of the safety issue in order to reduce children's risk of hazard
interactions.
PMID- 24929824
TI - Enhancements in peer review of manuscripts by the Journal.
PMID- 24929825
TI - The role of intraocular video endoscopic fundal examination before
keratoprosthesis surgery.
PMID- 24929826
TI - Reply: To PMID 24075422.
PMID- 24929827
TI - Macular ganglion cell/inner plexiform layer measurements by spectral domain
optical coherence tomography for detection of early glaucoma and comparison to
retinal nerve fiber layer measurements.
PMID- 24929829
TI - Genome and transcriptome of the porcine whipworm Trichuris suis.
AB - Trichuris (whipworm) infects 1 billion people worldwide and causes a disease
(trichuriasis) that results in major socioeconomic losses in both humans and
pigs. Trichuriasis relates to an inflammation of the large intestine manifested
in bloody diarrhea, and chronic disease can cause malnourishment and stunting in
children. Paradoxically, Trichuris of pigs has shown substantial promise as a
treatment for human autoimmune disorders, including inflammatory bowel disease
(IBD) and multiple sclerosis. Here we report whole-genome sequencing at ~140-fold
coverage of adult male and female T. suis and ~80-Mb draft assemblies. We explore
stage-, sex- and tissue-specific transcription of mRNAs and small noncoding RNAs.
PMID- 24929831
TI - Developmental patterning by gradients of mobile small RNAs.
AB - Development of multicellular organisms depends on intercellular communication via
mobile signals that provide positional information to coordinate cell fate
decisions. In addition to peptide ligands, transcription factors, and hormones,
plants use small RNAs as positional instructive signals. The unique patterning
properties of small RNA gradients resulting from regulated mobility suggest
conceptual similarities to the function of animal morphogens, and provide
robustness and precision to the formation of cell fate boundaries. While common
principles may underlie the formation, stability, and interpretation of both
plant small RNA and animal morphogen gradients, the unique nature of small RNAs
with respect to their biogenesis and target regulation imply important
differences as well. In this review, we discuss the patterning properties of
mobile small RNAs and highlight recent studies that have advanced our
understanding of how small RNAs move, and how the graded accumulation that
underlies their patterning activity could be created, maintained, and
interpreted.
PMID- 24929830
TI - Whipworm genome and dual-species transcriptome analyses provide molecular
insights into an intimate host-parasite interaction.
AB - Whipworms are common soil-transmitted helminths that cause debilitating chronic
infections in man. These nematodes are only distantly related to Caenorhabditis
elegans and have evolved to occupy an unusual niche, tunneling through epithelial
cells of the large intestine. We report here the whole-genome sequences of the
human-infective Trichuris trichiura and the mouse laboratory model Trichuris
muris. On the basis of whole-transcriptome analyses, we identify many genes that
are expressed in a sex- or life stage-specific manner and characterize the
transcriptional landscape of a morphological region with unique biological
adaptations, namely, bacillary band and stichosome, found only in whipworms and
related parasites. Using RNA sequencing data from whipworm-infected mice, we
describe the regulated T helper 1 (TH1)-like immune response of the chronically
infected cecum in unprecedented detail. In silico screening identified numerous
new potential drug targets against trichuriasis. Together, these genomes and
associated functional data elucidate key aspects of the molecular host-parasite
interactions that define chronic whipworm infection.
PMID- 24929832
TI - Quality of life, alcohol detoxification and relapse: is quality of life a
predictor of relapse or only a secondary outcome measure?
AB - PURPOSE: To estimate variations in Overall Quality Of Life (OQOL) within 12
months following alcohol detoxification and to evaluate the predictive value of
OQOL for relapse and alcohol use severity. METHODS: Alcohol use disorders and
four OQOL domains (physical health, psychological health, social relationships
and environment) were assessed in 199 patients entering in-patient alcohol
detoxification. Follow-up assessments were performed at 6 and 12 months after
discharge. Cross-sectional and longitudinal analyses explored the relationship
between OQOL and alcohol use severity, examining differences between abstinent
and relapsed patients. The predictive value of OQOL was analyzed by logistic and
linear regression. RESULTS: Correlation between OQOL and Alcohol Use Disorders
Identification Test scores was confirmed at all stages of observation. Abstinent
patients showed a significant improvement in all OQOL domains at 6 months after
discharge, whereas OQOL domains did not undergo any significant change in
relapsed patients. Baseline OQOL did not prove to be predictive of either relapse
or alcohol use severity. CONCLUSIONS: Overall quality of life changed in parallel
with alcohol use severity throughout the duration of the study, confirming it to
be a useful and sensitive measure of secondary outcome for alcohol
detoxification. Conversely, none of the OQOL baseline scores functioned as
predictors of relapse within 12 months following discharge or alcohol use
severity in relapsed patients.
PMID- 24929828
TI - Genome-wide association analysis identifies six new loci associated with forced
vital capacity.
AB - Forced vital capacity (FVC), a spirometric measure of pulmonary function,
reflects lung volume and is used to diagnose and monitor lung diseases. We
performed genome-wide association study meta-analysis of FVC in 52,253
individuals from 26 studies and followed up the top associations in 32,917
additional individuals of European ancestry. We found six new regions associated
at genome-wide significance (P < 5 * 10(-8)) with FVC in or near EFEMP1, BMP6,
MIR129-2-HSD17B12, PRDM11, WWOX and KCNJ2. Two loci previously associated with
spirometric measures (GSTCD and PTCH1) were related to FVC. Newly implicated
regions were followed up in samples from African-American, Korean, Chinese and
Hispanic individuals. We detected transcripts for all six newly implicated genes
in human lung tissue. The new loci may inform mechanisms involved in lung
development and the pathogenesis of restrictive lung disease.
PMID- 24929833
TI - Rates and predictors of adherence to psychotropic medications in children with
autism spectrum disorders.
AB - Medication adherence in children is poor, particularly among those with chronic
or mental health disorders. However, adherence has not been fully assessed in
autism spectrum disorders (ASDs). The validated proportion of days covered method
was used to quantify adherence to psychotropic medication in Medicaid-eligible
children who met diagnostic criteria for ASD between 2000 and 2008 (N = 628).
Among children prescribed attention deficit hyperactivity disorder (ADHD)
medications, antidepressants, or antipsychotics, 44, 40 and 52 % were adherent
respectively. Aggressive behaviors and abnormalities in eating, drinking, and/or
sleeping, co-occurring ADHD, and the Medication Regimen Complexity Index were the
most significant predictors of adherence rather than demographics or core
deficits of ASD. Identifying barriers to adherence in ASD may ultimately lead to
improved treatment outcomes.
PMID- 24929834
TI - Factors shaping initial decision-making to self-test amongst cohabiting couples
in urban Blantyre, Malawi.
AB - In sub-Saharan Africa, most new HIV infections occur in stable relationships,
making couples testing an important intervention for HIV prevention. We explored
factors shaping the decision-making of cohabiting couples who opted to self-test
in Blantyre, Malawi. Thirty-four self-tested participants (17 couples) were
interviewed. Motivators for HIV self-testing (HIVST) emerged at three main
levels. Individual motivations included perceived benefits of access to
treatment, and self-checking of serostatus in the hope of having been cured by
prolonged treatment or faith-healing. HIVST was considered convenient,
confidential, reassuring and an enabling new way to test with one's partner.
Partnership motivations included both positive (mutual encouragement) and
negative (suspected infidelity) aspects. For women, long-term health and
togetherness were important goals that reinforced motivations for couples
testing, whereas men often needed persuasion despite finding HIVST more flexible
and less onerous than facility-based testing. Internal conflict prompted some
partners to use HIVST as a way of disclosing their previously concealed HIV
positive serostatus. Thus, the implementation of community-based HIVST should
acknowledge and appropriately respond to decision-making processes within
couples, which are shaped by gender roles and relationship dynamics.
PMID- 24929835
TI - Parameters of infection in replacement and voluntary donors in the western part
of Turkey.
AB - BACKGROUND: According to our center's experiences familial/replacement donors
(FRDs) frequently donate blood for the first time in their lives. Therefore,
results of infection parameters are expected to be different voluntary donors
(VDs), at similar rates to the population. The present study aimed to investigate
if there were any difference in VDs and FRDs in terms of infection parameters.
OBJECTIVE: The blood donation records over 6 years (2004-2010) were reviewed,
retrospectively. HBsAg, anti-HCV, anti-HIV screening tests were performed by
ELISA and syphilis screening was performed by the RPR method. MATERIAL AND
METHODS: Out of 71.217, 16.727 donors donated whole blood as FRD. Among the whole
blood donated by FRD, the positives for HBsAg, anti-HCV and RPR were 1.23%,
0.37%, and 0.07%, respectively. Confirmed anti-HIV screening test was not
observed in this group. Positivities for HBsAg, anti-HCV, anti-HIV and RPR in VD
were 1.36%, 0.42%, 0.004%, and 0.04%, respectively. RESULTS: When FRD and VD were
analyzed statistically, HBsAg rates were significantly higher among FRD in the
years 2004, 2007 and 2008, whereas they were significantly high among VD in year
2005. HBsAg rates stated in the years 2006-2009 were insignificant. Significantly
high results were observed in HCV rates in the year 2005 among VD, whereas
insignificant levels were observed in other years. HIV rates were insignificant
among VD in the years 2004 and 2005, confirmed positivity was established in only
one patient. Values in all years in RPR rates were statistically insignificant.
Grouping donors as replacement and voluntary has no importance in infection
parameters. CONCLUSION: Grouping donors as replacement and voluntary has no
importance in infection parameters. Appropriate donor inquiries and screening of
infection parameters by reliable proven tests preserve their significances.
PMID- 24929836
TI - Frequencies of Duffy blood group alleles in Northern Pakistani donors.
AB - OBJECTIVE: Find the allele frequencies of Duffy blood group antigens in donor
population from northern Pakistan. DESIGN: Cross sectional study. PLACE AND
DURATION OF STUDY: Armed Forces Institute of Transfusion (AFIT), Rawalpindi in
year 2012. PATIENTS AND METHODS: A total of 1000 healthy, adult blood donors were
included in the study. Blood samples were collected in ethylenediamine tetra
aceticacid (EDTA) tube and then tested with anti sera Fy(a) and Fy(b) by the tube
method. RESULTS: The allele frequencies of Duffy blood group antigens were
calculated. The most common phenotype was Fy(a+b+) which was present in 552
(55.2%) donors followed by the Fy(a+b-) phenotype in 228 (22.8%) donors, while
178 (17.8%) were Fy(a-b+) and the least prevalent phenotype was Fy(a-b-) which
was present in 42 (4.2%) of donors. CONCLUSION: The majority of our population is
heterozygous for Duffy antigens a and b.
PMID- 24929837
TI - A comprehensive study of ovine haemostasis to assess suitability to model human
coagulation.
AB - INTRODUCTION: Similarities in size, anatomy and physiology have supported the use
of sheep to model a wide range of human diseases, including coagulopathy.
However, coagulation studies involving sheep are limited by the absence of high
quality data defining normal ovine coagulation and fibrinolysis. MATERIALS AND
METHODS: Full blood examination, routine and specialised coagulation tests,
rotational thromboelastometry and whole blood platelet aggregometry was performed
on 50 healthy Samm & Border Leicester Cross ewes and compared to corresponding
human ranges. Intraspecies breed and gender variability was investigated by
comparison to a smaller population of 13 healthy Merino wethers. RESULTS: Ovine
coagulation was similar to human according to routine coagulation methods (PT,
aPTT, TCT, Fib(C)) and some specialised coagulation tests (vWF, AT, Plasmin Inh).
Despite these similarities, ovine secondary haemostasis demonstrated substantial
differences to that of human. Rapid initiation of the contact activation pathway,
high levels of FVIII, low Protein C, greater overall clot firmness and a reduced
capacity for clot lysis was documented in sheep. In addition, ADP and collagen
agonists precipitated a reduced primary haemostatic response in sheep relative to
human. Intraspecies differences in whole blood platelet aggregometry between the
cohorts of sheep indicate the need for breed-specific normal ranges. CONCLUSIONS:
The application of a board spectrum of coagulation assays has enabled elucidation
of the similarities as well as differences between ovine and human coagulation.
The new knowledge generated from this study will guide the design of future
translational coagulation studies in ovine models.
PMID- 24929838
TI - Predictors of attempted inferior vena cava filters retrieval in a tertiary care
centre.
AB - BACKGROUND: Retrieval rates of optional recovery inferior vena cava (IVC) filters
in US hospitals range from 11 - 70%. We conducted a retrospective study in a
Canadian tertiary care centre to determine retrieval rates and predictors of
filter removal. METHODS: Consecutive patients who had a retrievable IVC filter
inserted or removed between January 2007 and December 2010 were identified. Data
collected included baseline demographics, indications for filter insertion and
removal, documentation of an IVC filter management plan, reasons for non
retrieval, complications, and death. RESULTS: 275 patients with a median age of
60years were followed in hospital for a median of 17 patient-days (range 1-876).
Indications for filter placement were acute or prior VTE with contraindication to
anticoagulation (72.4%), high risk of PE (11.3%) and primary prophylaxis (13.8%).
Retrieval was attempted in 165 patients (60%) and was successful in 146 patients
(53.1%). The most common reason for failed retrieval was filter thrombus.
Predictors of attempted retrieval included documentation of filter plan (odds
ratio [OR] 16.7; p<0.001), surgical indication for IVC filter insertion (OR 4.8;
p=0.002), age <=70years (OR 3.8; p=0.001), Hematology service involvement (OR
3.0; p=0.006), and presence of metastatic cancer (OR 0.2; p=0.001). Thrombotic
complications occurred in 48 patients, including 3 patients who died of fatal PE.
CONCLUSION: Our filter retrieval rate is suboptimal. Improvements in follow-up
documentation or a dedicated clinical service may help increase retrieval rates.
PMID- 24929839
TI - Effect of resection of localized pancreaticobiliary adenocarcinoma on angiogenic
markers and tissue factor related pro-thrombotic and pro-angiogenic activity.
AB - In this study, 52 patients were studied to elucidate the relative impact of
resection of localized pancreaticobiliary adenocarcinoma (PBC) on circulating
factors of tumour-associated angiogenesis e.g. tissue factor bearing
microparticles (TFMP) and vascular endothelial growth factor (VEGF) and their
clinicopathological significance to angiogenesis markers in cancer tissue from
PBC patients. Angiogenesis array analysis on serum samples revealed that surgical
resection of tumour lesion in PBC patients affects the levels of a panel of
angiogenesis-related molecules, including VEGF that was verified by ELISA to
significantly reduce (median & IQR: 1003(369-2000) vs. 457(159-834) pg/ml;
p<0.05). Correspondingly, a significant decrease in the angiogenic activity
(decreased capillary tube formation; p<0.05) of serum samples after the surgery
was also found. Despite a decrease in number of circulating TFMP after surgery,
this did not reach statistical significance; there was a significant reduction in
pro-coagulant activity (prolonged prothrombin time, p<0.001) post-operatively. In
addition, the activity of total microparticles (MP activity assay, p<0.05) was
decreased significantly. Immunohistochemical staining of tumour tissue revealed a
strong correlation between the microvessel density (MVD) and VEGF expression.
Also, higher levels of circulating TFMP or TF related activity (prothrombin time)
correlated significantly with TF expression and MVD on tumour tissues from PBC
patients. These findings suggest that in pancreaticobiliary adenocarcinoma TF
related angiogenesis drivers are equally significant to VEGF ones, raising the
clinical question of whether the effectiveness of angiogenesis targeting studies
could be improved through the 'dual' targeting of these pathways in PBC.
PMID- 24929840
TI - The predictive ability of the CHADS2 and CHA2DS2-VASc scores for bleeding risk in
atrial fibrillation: the MAQI(2) experience.
AB - INTRODUCTION: Guidelines recommend the assessment of stroke and bleeding risk
before initiating warfarin anticoagulation in patients with atrial fibrillation.
Many of the elements used to predict stroke also overlap with bleeding risk in
atrial fibrillation patients and it is tempting to use stroke risk scores to
efficiently estimate bleeding risk. Comparison of stroke risk scores to bleeding
risk scores to predict bleeding has not been thoroughly assessed. METHODS: 2600
patients followed at seven anticoagulation clinics were followed from October
2009-May 2013. Five risk models (CHADS2, CHA2DS2-VASc, HEMORR2HAGES, HAS-BLED and
ATRIA) were retrospectively applied to each patient. The primary outcome was the
first major bleeding event. Area under the ROC curves were compared with C
statistic and net reclassification improvement (NRI) analysis was performed.
RESULTS: 110 patients experienced a major bleeding event in 2581.6 patient-years
(4.5%/year). Mean follow up was 1.0+/-0.8years. All of the formal bleeding risk
scores had a modest predictive value for first major bleeding events (C statistic
0.66-0.69), performing better than CHADS2 and CHA2DS2-VASc scores (C statistic
difference 0.10 - 0.16). NRI analysis demonstrated a 52-69% and 47-64%
improvement of the formal bleeding risk scores over the CHADS2 score and CHA2DS2
VASc score, respectively. CONCLUSIONS: The CHADS2 and CHA2DS2-VASc scores did not
perform as well as formal bleeding risk scores for prediction of major bleeding
in non-valvular atrial fibrillation patients treated with warfarin. All three
bleeding risk scores (HAS-BLED, ATRIA and HEMORR2HAGES) performed moderately
well.
PMID- 24929841
TI - Sebaceous epithelial-myoepithelial carcinoma of the parotid gland: a case report
of a new histologic variant.
AB - Epithelial-myoepithelial carcinoma (EMCa) is a double-cell layered low-grade
malignant tumor, representing approximately 1% of all salivary gland tumors
(Barnest et al. 2005 [1], Brocheriou et al. 1991 [2], Fonte et al. 2001 [3]). Its
histologic characteristic is that of an inner layer of cuboidal epithelial cells
with dense granular cytoplasm and central or basal rounded nucleus, and an outer
layer of clear, polygonal myoepithelial cells, together forming ductal structures
in a lobulated papillary or cystic pattern. Although solid components of clear
cells are not uncommon, and squamous differentiation, spindle cells, and
oncocytic appearance are well-documented histologic features of EMCa, sebaceous
differentiation as a precise histologic variant has, to our knowledge, only been
suggested by Shinozaki et al [4] in 2008. In this report, we present a case of a
carcinoma of the parotid gland in a 59-year old female patient with an
immunophenotype supporting the proposed entity of sebaceous EMCa.
PMID- 24929843
TI - Tufted titmouse (Baeolophus bicolor) calling and risk-sensitive foraging in the
face of threat.
AB - Individuals often produce alarm or mobbing calls when they detect a threat such
as a predator. Little is known about whether such calling is affected by the
facial orientation of a potential threat, however. We tested for an effect of
facial orientation of a potential threat on tufted titmice, Baeolophus bicolor, a
songbird that uses chick-a-dee calls in a variety of social contexts. In two
studies, a human observer wore an animal mask that either faced or faced away
from the focal bird(s). In Study 1, focal birds were individual titmice captured
in a walk-in trap, and the observer stood near the trapped bird. In Study 2,
focal birds were titmouse flocks utilizing a feeding station and the observer
stood near the station. In both studies, calling behavior was affected by mask
orientation. In Study 2, foraging and agonistic behavior were also affected.
Titmice can therefore perceive the facial orientation of a potential threat, and
this perception affects different behavioral systems, including calling. Our
results indicate sensitivity of titmice to the facial orientation of a potential
predator in two quite different motivational contexts. This work suggests the
possibility of strategic signaling by prey species depending upon the perceptual
space of a detected predator.
PMID- 24929842
TI - Chronic inflammation and the role for cofactors (hepatitis C, drug abuse,
antiretroviral drug toxicity, aging) in HAND persistence.
AB - HIV-associated neurocognitive disorders (HAND) is a group of syndromes of varying
degrees of cognitive impairment affecting up to 50 % of HIV-infected individuals.
The neuropathogenesis of HAND is thought to be driven by HIV invasion and
productive replication within brain perivascular macrophages and endogenous
microglia, and to some degree by restricted infection of astrocytes. The
persistence of HAND in individuals experiencing suppression of systemic HIV viral
load with antiretroviral therapy (ART) is incompletely explained, and suggested
factors include chronic inflammation, persistent HIV replication in brain
macrophages, effects of aging on brain vulnerability, and co-morbid conditions
including hepatitis C (HCV) co-infection, substance abuse, and CNS toxicity of
ART, among other factors. This review discusses several of these conditions:
chronic inflammation, co-infection with HCV, drugs of abuse, aging, and
antiretroviral drug effects. Effectively managing these co-morbid conditions in
individuals with and without HAND is critical for improving neurocognitive
outcomes and decreasing HIV-associated morbidity.
PMID- 24929844
TI - An emerging population: the chronically critically ill.
PMID- 24929845
TI - A little-known cause of chest pain in a 14-year-old athlete.
PMID- 24929846
TI - Implementing an early childhood developmental screening and surveillance program
in primary care settings: lessons learned from a project in Illinois.
AB - Enhancing Developmentally Oriented Primary Care (EDOPC) is a project with a goal
to increase the financing and delivery of preventive developmental services for
children birth to age 3 years in the state of Illinois. Primary care providers
have more opportunities to screen and observe infants and toddlers than any other
professional, because they see them up to 13 times in the first 3 years of life
for well-child visits. The project focused on using a 1-hour, on-site training
for primary care providers and their entire office staff as the method of
increasing knowledge, focusing on intent to change practice and implementation of
routine early childhood developmental screening. Although many primary care
providers routinely use only developmental surveillance in their practices,
clinical practice guidelines recommend routine use of standardized developmental
screening, using validated developmental screening tools. This article includes
lessons learned and recommendations based on clinical practice guidelines and
experiences of the team members during implementation of the EDOPC project.
Primary care providers are critical to this process because children with
developmental disorders have the best long-term outcomes and opportunities for
improved family functioning with early detection, diagnosis, and treatment.
PMID- 24929849
TI - Postmenopausal physiological changes.
AB - The hallmark of menopause is the marked reduction of estradiol levels due to
ovarian failure. This, among other factors result in hot flashes, the most common
menopausal symptom. Hot flashes (HFs) can be measured objectively, both inside
and outside the laboratory, using sternal skin conductance, an electrical measure
of sweating. We have found that HFs are triggered by small elevations in core
body temperature (T C ), acting within a greatly reduced thermoneutral zone. This
reduction is caused by elevated central sympathetic activation, among other
factors. There is a circadian rhythm of HFs peaking at 1825 h. Imaging studies
have shown that hot flash activation begins in the brainstem, followed by the
insula and by the prefrontal cortex. HFs in the first, but not the second half of
the night can produce awakenings and arousals. This is because rapid eye movement
(REM) sleep suppresses thermoregulatory effector responses, which include hot
flashes.
PMID- 24929847
TI - The role of microRNAs in lymphopoiesis.
AB - The immune system is composed of a diverse range of cell types, each with a
distinct function. It can be broadly divided into the lymphoid (T, B, NK, etc.)
and myeloid (monocyte, granulocyte, etc.) arms. Lymphopoiesis, the development
and differentiation of lymphoid lineages, has been studied extensively for
decades. For example, the influence of extracellular signals, signaling pathways
and transcription factors has already been well documented. However, the
importance of microRNAs has been highlighted by a surge of studies in recent
years. In this review, we will discuss what is currently known about the role of
microRNAs in lymphopoiesis, from the hematopoietic stem cell through to the
differentiation of mature lymphocytes including thymic development, helper and
regulatory T cells, fate determination of B cells and dendritic cells.
PMID- 24929848
TI - Homing of cytokine-induced killer cells during the treatment of acute
promyelocytic leukemia.
AB - Cytokine-induced killer (CIK) cells have been shown to be an effective
immunotherapy for malignancies. However, their clinical application has been
limited due to lack of knowledge on their in vivo kinesis. In this study, we
explored their biodistribution by labeling CIK cells with (18)F-FDG and tracking
their in vivo migration by PET/CT imaging. In the nine refractory APL patients
enrolled in this study, pre-treatment PET/CT scans revealed leukemia burdens in
vertebrae, and the bones of the pelvis and limbs. Post-treatment serial PET/CT
tracked the localization of CIK cells over time: at 1 h, the majority of these
cells accumulated diffusely in the lungs, while the first minor cell activities
were observed in brain, liver and spleen; at 4 and 8 h, they not only migrated to
the heart, spleen, and liver, but also showed tendencies to accumulate in bone
marrow and brain. This specific cell migration route suggested that CIK cells
show in vivo functional kinesis and potency as a targeted immunotherapy. The
clinical outcome of this small cohort of nine patients supported the efficacy of
this regimen: two patients achieved rapid complete remission after three-cycle
treatment, and six patients remained stable, subsequently became sensitive to
conventional therapy, and also achieved complete remission.
PMID- 24929850
TI - The complete type of pachydermoperiostosis: a novel nonsense mutation p.E141* of
the SLCO2A1 gene.
PMID- 24929851
TI - Insights into reference point indentation involving human cortical bone:
sensitivity to tissue anisotropy and mechanical behavior.
AB - Reference point indentation (RPI) is a microindentation technique involving 20
cycles of loading in "force-control" that can directly assess a patient's bone
tissue properties. Even though preliminary clinical studies indicate a capability
for fracture discrimination, little is known about what mechanical behavior the
various RPI properties characterize and how these properties relate to
traditional mechanical properties of bone. To address this, the present study
investigated the sensitivity of RPI properties to anatomical location and tissue
organization as well as examined to what extent RPI measurements explain the
intrinsic mechanical properties of human cortical bone. Multiple indents with a
target force of 10N were done in 2 orthogonal directions (longitudinal and
transverse) per quadrant (anterior, medial, posterior, and lateral) of the
femoral mid-shaft acquired from 26 donors (25-101 years old). Additional RPI
measurements were acquired for 3 orthogonal directions (medial only). Independent
of age, most RPI properties did not vary among these locations, but they did
exhibit transverse isotropy such that resistance to indentation is greater in the
longitudinal (axial) direction than in the transverse direction (radial or
circumferential). Next, beam specimens (~2mm*5mm*40mm) were extracted from the
medial cortex of femoral mid-shafts, acquired from 34 donors (21-99 years old).
After monotonically loading the specimens in three-point bending to failure, RPI
properties were acquired from an adjacent region outside the span. Indent
direction was orthogonal to the bending axis. A significant inverse relationship
was found between resistance to indentation and the apparent-level mechanical
properties. Indentation distance increase (IDI) and a linear combination of IDI
and the loading slope, averaged over cycles 3 through 20, provided the best
explanation of the variance in ultimate stress (r(2)=0.25, p=0.003) and toughness
(r(2)=0.35, p=0.004), respectively. With a transverse isotropic behavior akin to
tissue hardness and modulus as determined by micro- and nano-indentation and a
significant association with toughness, RPI properties are likely influenced by
both elastic and plastic behavior of bone tissue.
PMID- 24929852
TI - Diversity of mitochondria-dependent dilator mechanisms in vascular smooth muscle
of cerebral arteries from normal and insulin-resistant rats.
AB - Mitochondrial depolarization following ATP-sensitive potassium (mitoKATP) channel
activation has been shown to induce cerebral vasodilation by generation of
mitochondrial reactive oxygen species (ROS), which sequentially promotes
frequency of calcium sparks and activation of large conductance calcium-activated
potassium channels (BKCa) in vascular smooth muscle (VSM). We previously
demonstrated that cerebrovascular insulin resistance accompanies aging and
obesity. It is unclear whether mitochondrial depolarization without the ROS
generation enhances calcium sparks and vasodilation in phenotypically normal
[Sprague Dawley (SD); Zucker lean (ZL)] and insulin-resistant [Zucker obese (ZO)]
rats. We compared the mechanisms underlying the vasodilation to ROS-dependent
(diazoxide) and ROS-independent [BMS-191095 (BMS)] mitoKATP channel activators in
normal and ZO rats. Arterial diameter studies from SD, ZL, and ZO rats showed
that BMS as well as diazoxide induced vasodilation in endothelium-denuded
cerebral arteries. In normal rats, BMS-induced vasodilation was mediated by
mitochondrial depolarization and calcium sparks generation in VSM and was reduced
by inhibition of BKCa channels. However, unlike diazoxide-induced vasodilation,
scavenging of ROS had no effect on BMS-induced vasodilation. Electron spin
resonance spectroscopy confirmed that diazoxide but not BMS promoted vascular ROS
generation. BMS- as well as diazoxide-induced vasodilation, mitochondrial
depolarization, and calcium spark generation were diminished in cerebral arteries
from ZO rats. Thus pharmacological depolarization of VSM mitochondria by BMS
promotes ROS-independent vasodilation via generation of calcium sparks and
activation of BKCa channels. Diminished generation of calcium sparks and reduced
vasodilation in ZO arteries in response to BMS and diazoxide provide new insights
into mechanisms of cerebrovascular dysfunction in insulin resistance.
PMID- 24929853
TI - Neural programming of mesenteric and renal arteries.
AB - There is evidence for developmental origins of vascular dysfunction yet little
understanding of maturation of vascular smooth muscle (VSM) of regional
circulations. We measured maturational changes in expression of myosin
phosphatase (MP) and the broader VSM gene program in relation to mesenteric small
resistance artery (SRA) function. We then tested the role of the sympathetic
nervous system (SNS) in programming of SRAs and used genetically engineered mice
to define the role of MP isoforms in the functional maturation of the mesenteric
circulation. Maturation of rat mesenteric SRAs as measured by qPCR and
immunoblotting begins after the second postnatal week and is not complete until
maturity. It is characterized by induction of markers of VSM differentiation
(smMHC, gamma-, alpha-actin), CPI-17, an inhibitory subunit of MP and a key
target of alpha-adrenergic vasoconstriction, alpha1-adrenergic, purinergic X1,
and neuropeptide Y1 receptors of sympathetic signaling. Functional correlates
include maturational increases in alpha-adrenergic-mediated force and calcium
sensitization of force production (MP inhibition) measured in first-order
mesenteric arteries ex vivo. The MP regulatory subunit Mypt1 E24+/LZ- isoform is
specifically upregulated in SRAs during maturation. Conditional deletion of mouse
Mypt1 E24 demonstrates that splicing of E24 causes the maturational reduction in
sensitivity to cGMP-mediated vasorelaxation (MP activation). Neonatal chemical
sympathectomy (6-hydroxydopamine) suppresses maturation of SRAs with minimal
effect on a conduit artery. Mechanical denervation of the mature rat renal artery
causes a reversion to the immature gene program. We conclude that the SNS
captures control of the mesenteric circulation by programming maturation of the
SRA smooth muscle.
PMID- 24929854
TI - Role of estrogens and age in flow-mediated outward remodeling of rat mesenteric
resistance arteries.
AB - In resistance arteries, a chronic increase in blood flow induces hypertrophic
outward remodeling. This flow-mediated remodeling (FMR) is absent in male rats
aged 10 mo and more. As FMR depends on estrogens in 3-mo-old female rats, we
hypothesized that it might be preserved in 12-mo-old female rats. Blood flow was
increased in vivo in mesenteric resistance arteries after ligation of the side
arteries in 3- and 12-mo-old male and female rats. After 2 wk, high-flow (HF) and
normal-flow (NF) arteries were isolated for in vitro analysis. Arterial diameter
and cross-sectional area increased in HF arteries compared with NF arteries in 3
mo-old male and female rats. In 12-mo-old rats, diameter increased only in female
rats. Endothelial nitric oxide synthase expression and endothelium-mediated
relaxation were higher in HF arteries than in NF arteries in all groups. ERK1/2
phosphorylation, NADPH oxidase subunit expression levels, and arterial
contractility to KCl and to phenylephrine were greater in HF vessels than in NF
vessels in 12-mo-old male rats only. Ovariectomy in 12-mo-old female rats induced
a similar pattern with an increased contractility without diameter increase in HF
arteries. Treatment of 12-mo-old male rats and ovariectomized female rats with
hydralazine, the antioxidant tempol, or the angiotensin II type 1 receptor
blocker candesartan restored HF remodeling and normalized arterial contractility
in HF vessels. Thus, we found that FMR of resistance arteries remains efficient
in 12-mo-old female rats compared with age-matched male rats. A balance between
estrogens and vascular contractility might preserve FMR in mature female rats.
PMID- 24929855
TI - Ambient and household air pollution: complex triggers of disease.
AB - Concentrations of outdoor air pollution are on the rise, particularly due to
rapid urbanization worldwide. Alternatively, poor ventilation, cigarette smoke,
and other toxic chemicals contribute to rising concentrations of indoor air
pollution. The World Health Organization recently reported that deaths
attributable to indoor and outdoor air pollutant exposure are more than double
what was originally documented. Epidemiological, clinical, and animal data have
demonstrated a clear connection between rising concentrations of air pollution
(both indoor and outdoor) and a host of adverse health effects. During the past
five years, animal, clinical, and epidemiological studies have explored the
adverse health effects associated with exposure to both indoor and outdoor air
pollutants throughout the various stages of life. This review provides a summary
of the detrimental effects of air pollution through examination of current
animal, clinical, and epidemiological studies and exposure during three different
periods: maternal (in utero), early life, and adulthood. Additionally, we
recommend future lines of research while suggesting conceivable strategies to
curb exposure to indoor and outdoor air pollutants.
PMID- 24929857
TI - High-fat, low-carbohydrate diet promotes arrhythmic death and increases
myocardial ischemia-reperfusion injury in rats.
AB - High-fat, low-carbohydrate diets (HFLCD) are often eaten by humans for a variety
of reasons, but the effects of such diets on the heart are incompletely
understood. We evaluated the impact of HFLCD on myocardial ischemia/reperfusion
(I/R) using an in vivo model of left anterior descending coronary artery
ligation. Sprague-Dawley rats (300 g) were fed HFLCD (60% calories fat, 30%
protein, 10% carbohydrate) or control (CONT; 16% fat, 19% protein, 65%
carbohydrate) diet for 2 wk and then underwent open chest I/R. At baseline
(preischemia), diet did not affect left ventricular (LV) systolic and diastolic
function. Oil red O staining revealed presence of lipid in the heart with HFLCD
but not in CONT. Following I/R, recovery of LV function was decreased in HFLCD.
HFLCD hearts exhibited decreased ATP synthase and increased uncoupling protein-3
gene and protein expression. HFLCD downregulated mitochondrial fusion proteins
and upregulated fission proteins and store-operated Ca(2+) channel proteins.
HFLCD led to increased death during I/R; 6 of 22 CONT rats and 16 of 26 HFLCD
rats died due to ventricular arrhythmias and hemodynamic shock. In surviving
rats, HFLCD led to larger infarct size. We concluded that in vivo HFLCD does not
affect nonischemic LV function but leads to greater myocardial injury during I/R,
with increased risk of death by pump failure and ventricular arrhythmias, which
might be associated with altered cardiac energetics, mitochondrial fission/fusion
dynamics, and store-operated Ca(2+) channel expression.
PMID- 24929859
TI - Docosapentaenoic acid monoacylglyceride reduces inflammation and vascular
remodeling in experimental pulmonary hypertension.
AB - n-3 Polyunsaturated fatty acids (n-3 PUFA) have been shown to reduce inflammation
and proliferation of pulmonary artery smooth muscle cells under
pathophysiological conditions. However, the anti-inflammatory effect of the newly
synthesized docosapentaenoic acid monoacylglyceride (MAG-DPA) on key signaling
pathways in pulmonary hypertension (PH) pathogenesis has yet to be assessed. The
aim of the present study was to determine the effects of MAG-DPA on pulmonary
inflammation and remodeling occurring in a rat model of PH, induced by a single
injection of monocrotaline (MCT: 60 mg/kg). Our results demonstrate that MAG-DPA
treatment for 3 wk following MCT injection resulted in a significant improvement
of right ventricular hypertrophy (RVH) and a reduction in Fulton's Index (FI).
Morphometric analyses revealed that the wall thickness of pulmonary arterioles
was significantly lower in MCT + MAG-DPA-treated rats compared with controls.
This result was further correlated with a decrease in Ki-67 immunostaining.
Following MAG-DPA treatments, lipid analysis showed a consistent increase in DPA
together with lower levels of arachidonic acid (AA), as measured in blood and
tissue samples. Furthermore, in MCT-treated rats, oral administration of MAG-DPA
decreased NF-kappaB and p38 MAPK activation, leading to a reduction in MMP-2, MMP
9, and VEGF expression levels in lung tissue homogenates. Altogether, these data
provide new evidence regarding the mode of action of MAG-DPA in the prevention of
pulmonary hypertension induced by MCT.
PMID- 24929861
TI - A growth model of saccular aneurysms based on hemodynamic and morphologic
discriminant parameters for risk of rupture.
AB - The aim of this study was to derive a model describing the evolution of
bifurcation type cerebral aneurysms based on morphological and hemodynamic
parameters. Idealized bifurcation models were constructed based on the two
morphological parameters of aspect ratio (AR) and size ratio (SR). Aneurysm
development was investigated according to the following four patterns: R1,
increasing SR with constant AR; R2, increasing AR with constant SR; R3,
increasing SR and increasing AR; R4, increasing AR with constant parent artery
diameter. Relationships were obtained between energy loss (EL) and morphological
parameters (EL-SR and EL-AR curves). The curves were validated by mapping the
growth of a ruptured patient-specific bifurcation aneurysm at three stages of
follow-up. EL increased in parallel with growth patterns R1 and R3, whereas
growth pattern R2 showed a decrease in EL. No significant changes were observed
in EL when the growth of the aneurysm was associated only with changes in
aneurysm size and independent of changes in parent artery diameter and main flow
(R4). Changes in parent artery diameter of bifurcation aneurysms resulted in
significant variation in EL. Mapping the growth of a follow-up aneurysm onto the
EL-AR curve demonstrated that aneurysms with increasing EL during the observation
period are at higher risk of rupture than aneurysms with decreasing EL. Based on
the proposed growth model, assessment of morphological (AR and SR) and
hemodynamic (EL) parameters may provide quantifiable information on the risk of
bifurcation aneurysm rupture during clinical patient follow-up.
PMID- 24929858
TI - Artery buckling stimulates cell proliferation and NF-kappaB signaling.
AB - Tortuous carotid arteries are often seen in aged populations and are associated
with atherosclerosis, but the underlying mechanisms to explain this preference
are unclear. Artery buckling has been suggested as one potential mechanism for
the development of tortuous arteries. The objective of this study, accordingly,
was to determine the effect of buckling on cell proliferation and associated NF
kappaB activation in arteries. We developed a technique to generate buckling in
porcine carotid arteries using long artery segments in organ culture without
changing the pressure, flow rate, and axial stretch ratio. Using this technique,
we examined the effect of buckling on arterial wall remodeling in 4-day organ
culture under normal and hypertensive pressures. Cell proliferation, NF-kappaB
p65, IkappaB-alpha, ERK1/2, and caspase-3 were detected using
immunohistochemistry staining and immunoblot analysis. Our results showed that
cell proliferation was elevated 5.8-fold in the buckling group under hypertensive
pressure (n = 7, P < 0.01) with higher levels of NF-kappaB nuclear translocation
and IkappaB-alpha degradation (P < 0.05 for both). Greater numbers of
proliferating cells were observed on the inner curve side of the buckled arteries
compared with the outer curve side (P < 0.01). NF-kappaB colocalized with
proliferative nuclei. Computational simulations using a fluid-structure
interaction model showed reduced wall stress on the inner side of buckled
arteries and elevated wall stress on the outer side. We conclude that arterial
buckling promotes site-specific wall remodeling with increased cell proliferation
and NF-kappaB activation. These findings shed light on the biomechanical and
molecular mechanisms of the pathogenesis of atherosclerosis in tortuous arteries.
PMID- 24929860
TI - beta(2)-Adrenoreceptor blockade improves early posttrauma hyperglycemia and
pulmonary injury in obese rats.
AB - Early hyperglycemia after trauma increases morbidity and mortality. Insulin is
widely used to control posttrauma glucose, but this treatment increases the risk
of hypoglycemia. We tested a novel method for early posttrauma hyperglycemia
control by suppressing hepatic glycogenolysis via beta2-adrenoreceptor blockade
[ICI-118551 (ICI)]. We have shown that, after severe trauma, obese Zucker (OZ)
rats, similar to obese patients, exhibit increased acute lung injury compared
with lean Zucker (LZ) rats. We hypothesized that OZ rats exhibit a greater
increase in early posttrauma glucose compared with LZ rats, with the increased
posttrauma hyperglycemia suppressed by ICI treatment. Orthopedic trauma was
applied to both hindlimbs in LZ and OZ rats. Fasting plasma glucose was then
monitored for 6 h with or without ICI (0.2 mg.kg(-1).h(-1) iv.) treatment. One
day after trauma, plasma IL-6 levels, lung neutrophil numbers, myeloperoxidase
(MPO) activity, and wet-to-dry weight ratios were measured. Trauma induced rapid
hepatic glycogenolysis, as evidenced by decreased liver glycogen levels, and this
was inhibited by ICI treatment. Compared with LZ rats, OZ rats exhibited higher
posttrauma glucose, IL-6, lung neutrophil infiltration, and MPO activity. Lung
wet-to-dry weight ratios were increased in OZ rats but not in LZ rats. ICI
treatment reduced the early hyperglycemia, lung neutrophil retention, MPO
activity, and wet-to-dry weight ratio in OZ rats to levels comparable with those
seen in LZ rats, with no effect on blood pressure or heart rate. These results
demonstrate that beta2-adrenoreceptor blockade effectively reduces the early
posttrauma hyperglycemia, which is associated with decreased lung injury in OZ
rats.
PMID- 24929856
TI - Pleiotropic effects of the dipeptidylpeptidase-4 inhibitors on the cardiovascular
system.
AB - Dipeptidylpeptidase-4 (DPP-4) is a ubiquitously expressed transmembrane protein
that removes NH2-terminal dipeptides from various substrate hormones, chemokines,
neuropeptides, and growth factors. Two known substrates of DPP-4 include the
incretin hormones glucagon-like peptide-1 (GLP-1) and gastric inhibitory peptide,
which are secreted by enteroendocrine cells in response to postprandial
hyperglycemia and account for 60-70% of postprandial insulin secretion. DPP-4
inhibitors (DPP-4i) block degradation of GLP-1 and gastric inhibitory peptide,
extend their insulinotropic effect, and improve glycemia. Since 2006, several DPP
4i have become available for treatment of type 2 diabetes mellitus. Clinical
trials confirm that DPP-4i raises GLP-1 levels in plasma and improves glycemia
with very low risk for hypoglycemia and other side effects. Recent studies also
suggest that DPP-4i confers cardiovascular and kidney protection, beyond glycemic
control, which may reduce the risk for further development of the multiple
comorbidities associated with obesity/type 2 diabetes mellitus, including
hypertension and cardiovascular disease (CVD) and kidney disease. The notion that
DPP-4i may improve CVD outcomes by mechanisms beyond glycemic control is due to
both GLP-1-dependent and GLP-1-independent effects. The CVD protective effects by
DPP-4i result from multiple factors including insulin resistance, oxidative
stress, dyslipidemia, adipose tissue dysfunction, dysfunctional immunity, and
antiapoptotic properties of these agents in the heart and vasculature. This
review focuses on cellular and molecular mechanisms mediating the CVD protective
effects of DPP-4i beyond favorable effects on glycemic control.
PMID- 24929862
TI - Neuropsychiatric disturbance after aneurysmal subarachnoid hemorrhage.
AB - Although aneurysmal subarachnoid hemorrhage (aSAH) accounts for only 3-5% of all
strokes, a high degree of morbidity has been reported in this relatively young
subset of patients. Neuropsychiatric disturbance has often been neglected in
these reports. We aimed to investigate the pattern and pathological factors of
chronic neuropsychiatric disturbance in aSAH patients. This cross-sectional
observational four-center study was carried out in Hong Kong. Neuropsychiatric
outcome (Neuropsychiatric Inventory Chinese Version [CNPI]) assessments were
conducted cross-sectionally 1-4 years after ictus. Pathological factors
considered were early brain injury as assessed by admission World Federation of
Neurosurgical Societies grade, aneurysm treatment (clipping versus coiling),
delayed cerebral infarction, and chronic hydrocephalus. One hundred and three
aSAH patients' spouses or caregivers completed the CNPI. Forty-two (41%) patients
were reported to have one or more domain(s) of neuropsychiatric disturbance.
Common neuropsychiatric disturbance domains included agitation/aggression,
depression, apathy/indifference, irritability/lability, and appetite/eating
disturbance. Chronic neuropsychiatric disturbance was associated with presence of
chronic hydrocephalus. A subscore consisting of the five commonly affected
domains seems to be a suitable tool for aSAH patients and should be further
validated and replicated in future studies.
PMID- 24929863
TI - KPNA2 predicts long term survival in patients with anaplastic oligoastrocytomas.
AB - The family of karyopherins comprises importins and exportins which are both
involved in nucleocytoplasmic shuttling. Increased levels of karyopherin
a2/importin 1 (KPNA2) and chromosome region maintenance protein 1/exportin 1
(CRM1) have been associated with poorer prognosis in patients with infiltrative
astrocytomas. Isocitrate dehydrogenase 1 gene (IDH1) R132H mutation status was
also recently identified as a prognostic factor for malignant gliomas. We
evaluated KPNA2 and CRM1, as well as the IDH1 mutation status, as possible novel
biomarkers for World Health Organization grade III anaplastic oligoastrocytomas
(AOA). We analyzed nuclear expression of KPNA2 by immunohistochemistry in 72
primary anaplastic gliomas (29 AOA, 24 anaplastic astrocytomas, 19 anaplastic
oligodendrogliomas). The IDH1 mutation status was also determined in patients
with anaplastic astrocytomas and AOA, and AOA patients were additionally
evaluated for CRM1 nuclear expression. Long term survivors (LTS; >8 years) with
AOA showed lower KPNA2 expression levels compared to non-LTS (p=0.005). KPNA2
expression (? 5% versus <5%, 1-<5%, median) was found to correlate inversely with
overall survival (OS) and progression-free survival (PFS) in our overall series
as well as in the AOA group (anaplastic gliomas: OS p=0.017; PFS p=0.033; AOA: OS
p=0.017, PFS p=0.040). Mutant IDH1-R132H was detected in 69% of the AOA cohort; a
combination of KPNA2 low expression and mutant IDH1-R132H was only seen in LTS
(p=0.050). No differences between the histological subtypes were observed in
terms of KPNA2 expression and IDH1-R132H mutation status. To our knowledge this
is the first time it has been shown that KPNA2 expression may have potential as a
prognostic biomarker for AOA as well.
PMID- 24929866
TI - IL-18 promoter polymorphisms under scrutiny.
PMID- 24929865
TI - FOLFOX as second-line chemotherapy in patients with pretreated metastatic
pancreatic cancer from the FIRGEM study.
AB - BACKGROUND: FOLFOX second-line treatment seems to be a validated option for
patients with pancreatic cancer (PC) progressing after gemcitabine chemotherapy.
However, other therapeutics strategy has developed in first-line therapy, as the
FIRGEM phase II study that evaluated gemcitabine alone versus FOLFIRI.3
alternating with gemcitabine every two months. The present study assessed the
efficacy and safety of FOLFOX after failure of the first-line therapy used in the
FIRGEM study. METHODS: In this prospective observational cohort study, we
analysed all consecutive patients who received second-line chemotherapy with
FOLFOX among 98 patients with metastatic PC included in the FIRGEM study.
Progression-free survival (PFS) and overall survival (OS) were estimated from the
start of second-line chemotherapy using the Kaplan-Meier method. RESULTS: Among
46 patients who received second-line chemotherapy, 27 patients (male, 55%; median
age, 61 years; performance status (PS) 0-1, 44%) were treated with FOLFOX after
progression to first-line gemcitabine alone (n = 20) or FOLFIRI.3 alternating
with gemcitabine (n = 7). Grade 3 toxicity was observed in 33% of patients (no
grade 4 toxicity). At the end of follow-up, all patients had progressed and 25
had died. No objective response was observed, and disease control rate was 36%.
Median PFS and OS were 1.7 and 4.3 months, respectively. In multivariate
analysis, PS was the only independent prognostic factor. For patients PS 0-1
versus 2-3, median PFS was 3.0 versus 1.2 months (log rank, p = 0.002), and
median OS was 5.9 versus 2.6 months (log rank, p = 0.001). CONCLUSIONS: This
study suggests that FOLFOX second-line therapy offered interesting efficacy
results with an acceptable toxicity profile in metastatic PC patients with a good
PS.
PMID- 24929864
TI - Prefrontal cortical regulation of fear learning.
AB - The prefrontal cortex regulates the expression of fear based on previously
learned information. Recently, this brain area has emerged as being crucial in
the initial formation of fear memories, providing new avenues to study the
neurobiology underlying aberrant learning in anxiety disorders. Here we review
the circumstances under which the prefrontal cortex is recruited in the formation
of memory, highlighting relevant work in laboratory animals and human subjects.
We propose that the prefrontal cortex facilitates fear memory through the
integration of sensory and emotional signals and through the coordination of
memory storage in an amygdala-based network.
PMID- 24929867
TI - Improving the resolution in proton-detected through-space heteronuclear multiple
quantum correlation NMR spectroscopy.
AB - Connectivities and proximities between protons and low-gamma nuclei can be probed
in solid-state NMR spectroscopy using two-dimensional (2D) proton-detected
heteronuclear correlation, through Heteronuclear Multiple Quantum Correlation
(HMQC) pulse sequence. The indirect detection via protons dramatically enhances
the sensitivity. However, the spectra are often broadened along the indirect F1
dimension by the decay of heteronuclear multiple-quantum coherences under the
strong (1)H-(1)H dipolar couplings. This work presents a systematic comparison of
the performances of various decoupling schemes during the indirect t1 evolution
period of dipolar-mediated HMQC (D-HMQC) experiment. We demonstrate that (1)H
(1)H dipolar decoupling sequences during t1, such as symmetry-based schemes,
phase-modulated Lee-Goldburg (PMLG) and Decoupling Using Mind-Boggling
Optimization (DUMBO), provide better resolution than continuous wave (1)H
irradiation. We also report that high resolution requires the preservation of
(1)H isotropic chemical shifts during the decoupling sequences. When observing
indirectly broad spectra presenting numerous spinning sidebands, the D-HMQC
sequence must be fully rotor-synchronized owing to the rotor-synchronized
indirect sampling and dipolar recoupling sequence employed. In this case, we
propose a solution to reduce artefact sidebands caused by the modulation of
window delays before and after the decoupling application during the t1 period.
Moreover, we show that (1)H-(1)H dipolar decoupling sequence using Smooth
Amplitude Modulation (SAM) minimizes the t1-noise. The performances of the
various decoupling schemes are assessed via numerical simulations and compared to
2D (1)H-{(13)C} D-HMQC experiments on [U-(13)C]-L-histidine?HCl?H2O at various
magnetic fields and Magic Angle spinning (MAS) frequencies. Great resolution and
sensitivity enhancements resulting from decoupling during t1 period enable the
detection of heteronuclear correlation between aliphatic protons and ammonium
(14)N sites in L-histidine?HCl?H2O.
PMID- 24929868
TI - Behavior of TiO2 nanoparticles during incineration of solid paint waste: a lab
scale test.
AB - In order to assess the potential impacts posed by products containing engineered
nanoparticles, it is essential to generate more data about the release of these
particles from products' life cycle. Although first studies were performed to
investigate the release of nanoparticles from use phase, very few data are
available on the potential release from recycling or disposal of nano-enhanced
products. In this work, we investigated the behavior of TiO2 nanoparticles from
incineration of solid paint waste containing these particles. Solid paint debris
with and without TiO2 nanoparticles were treated in a lab scale incineration
plant at 950 degrees C (combustion temperature) and in oxidizing atmosphere. The
obtained ashes were also vitrified with additives and the release of Ti was
finally evaluated by leaching test. From our incineration lab-scale experiment,
we did not observe a release of TiO2 nanoparticles into the atmosphere, and Ti
was attached to the surface of obtained solid residues (i.e. ashes). The
characterization of ashes showed that TiO2 nanoparticles reacted during the
incineration to give calcium titanate. Finally, a very low release of Ti was
measured, less 1 mg/kg, during the leaching test of ashes vitrified with glass
cullet and feldspathic inert. Our work suggests that TiO2 nanoparticles added in
paints may undergo to physicochemical transformation during the incineration, and
that Ti found in ashes may be strongly immobilized in glass matrix. Since this
conclusion is based on lab-scale experiment, further research is required to
identify which nanoparticles will be emitted to the environment from a real-word
incineration system of household hazardous waste.
PMID- 24929869
TI - Predicting final product properties of melt extruded solid dispersions from
process parameters using Raman spectrometry.
AB - Raman spectrometry was utilized to estimate degraded drug percentage, residual
drug crystallinity and glass-transition temperature in the case of melt-extruded
pharmaceutical products. Tight correlation was shown between the results obtained
by confocal Raman mapping and transmission Raman spectrometry, a PAT-compatible
potential in-line analytical tool. Immediate-release spironolactone-Eudragit E
solid dispersions were the model system, owing to the achievable amorphization
and the heat-sensitivity of the drug compound. The deep investigation of the
relationship between process parameters, residual drug crystallinity and
degradation was performed using statistical tools and a factorial experimental
design defining 54 different circumstances for the preparation of solid
dispersions. From the examined factors, drug content (10, 20 and 30%),
temperature (110, 130 and 150 degrees C) and residence time (2.75, 11.00 and
24.75min) were found to have significant and considerable effect. By forming
physically stable homogeneous dispersions, the originally very slow dissolution
of the lipophilic and poorly water-soluble spironolactone was reasonably
improved, making 3minute release possible in acidic medium.
PMID- 24929870
TI - Quantitative risk assessment in classification of drugs with identical API
content.
AB - When combating counterfeits it is equally important to recognize fakes and to
avoid misclassification of genuine samples. This study presents a general
approach to the problem using a newly-developed method called Data Driven Soft
Independent Modeling of Class Analogy. The possibility to collect representative
data for both training and validation is of great importance in classification
modeling. When fakes are not available, we propose to compose the test set using
the legitimate drug's analogs, manufactured by various producers. These analogs
should have the identical API and a similar composition of excipients. The
approach shows satisfactory results both in revealing counterfeits and in
accounting for the future variability of the target class drugs. The presented
case studies demonstrate that theoretically predicted misclassification errors
can be successfully employed for the science-based risk assessment in drug
identification.
PMID- 24929872
TI - The Acute Cardiovascular Care Association Clinical Decision-Making Toolkit.
PMID- 24929871
TI - A surrogate analyte-based LC-MS/MS method for the determination of gamma
hydroxybutyrate (GHB) in human urine and variation of endogenous urinary
concentrations of GHB.
AB - gamma-Hydroxybutyrate (GHB) is a drug of abuse with a strong anesthetic effect;
however, proving its ingestion through the quantification of GHB in biological
specimens is not straightforward due to the endogenous presence of GHB in human
blood, urine, saliva, etc. In the present study, a surrogate analyte approach was
applied to accurate quantitative determination of GHB in human urine using liquid
chromatography-tandem mass spectrometry (LC-MS/MS) in order to overcome this
issue. For this, (2)H6-GHB and (13)C2-dl-3-hydroxybutyrate were used as a
surrogate standard and as an internal standard, respectively, and parallelism
between the surrogate analyte approach and standard addition was investigated at
the initial step. The validation results proved the method to be selective,
accurate, and precise, with acceptable linearity within calibration ranges (0.1
1MUg/ml). The limit of detection and the limit of quantification of (2)H6-GHB
were 0.05 and 0.1MUg/ml, respectively. No significant variations were observed
among urine matrices from different sources. The stability of (2)H6-GHB was
satisfactory under sample storage and in-process conditions. However, in vitro
production of endogenous GHB was observed when the urine sample was kept under
the in-process condition for 4h and under the storage conditions of 4 and -20
degrees C. In order to facilitate the practical interpretation of urinary GHB,
endogenous GHB was accurately measured in urine samples from 79 healthy
volunteers using the surrogate analyte-based LC-MS/MS method developed in the
present study. The unadjusted and creatinine-adjusted GHB concentrations in 74
urine samples with quantitative results ranged from 0.09 to 1.8MUg/ml and from
4.5 to 530MUg/mmol creatinine, respectively. No significant correlation was
observed between the unadjusted and creatinine-adjusted GHB concentrations. The
urinary endogenous GHB concentrations were affected by gender and age while they
were not significantly influenced by habitual smoking, alcohol drinking, or
caffeine-containing beverage drinking.
PMID- 24929873
TI - Recurrent mid-ventricular takotsubo cardiomyopathy.
PMID- 24929874
TI - Association between dietary fiber intake and risk of coronary heart disease: A
meta-analysis.
AB - BACKGROUND & AIMS: The association between coronary heart disease (CHD) and
dietary fiber intake is not consistent, especially for the subtypes of dietary
fiber. The aim of our study was to conduct a meta-analysis of existing cohort
published studies assessing the association between dietary fiber intake and risk
of CHD, and quantitatively estimating their dose-response relationships. METHODS:
We searched PubMed and EMBASE before May 2013. Random-effect model was used to
calculate the pool relative risk (RRs) for the incidence and mortality of CHD.
Dose-response, subgroup analyses based on fiber subtypes, heterogeneity and
publication bias were also carried out. RESULTS: Eighteen studies involving
672,408 individuals were finally included in the present study. The pooled
adjusted RRs of coronary heart disease for the highest versus lowest category of
fiber intake were 0.93 (95% confidence interval (CI), 0.91-0.96, P < 0.001) for
incidence of all coronary events and 0.83 (95% CI, 0.76-0.91, P < 0.001) for
mortality. Further subgroup analyses based on fiber subtypes (cereal, fruit, and
vegetable fiber), indicated that RRs were 0.92 (95% CI, 0.85-0.99, P = 0.032),
0.92 (95% CI, 0.86-0.98, P = 0.01), 0.95 (95% CI, 0.89-1.01, P = 0.098)
respectively for all coronary event and 0.81 (95% CI, 0.72-0.92, P = 0.001), 0.68
(95% CI, 0.43-1.07, P = 0.094), 0.91 (95% CI, 0.74-1.12, P = 0.383) for
mortality. In addition, a significant dose-response relationship was observed
between fiber intake and the incidence and mortality of CHD (P < 0.001).
CONCLUSIONS: Our results indicate that consumption of dietary fiber is inversely
associated with risk of coronary heart disease, especially for fiber from cereals
and fruits. Besides, soluble and insoluble fibers have the similar effect. A
significant dose-response relationship is also observed between fiber intake and
CHD risk.
PMID- 24929875
TI - Increasing prevalence of hydrogen sulfide negative Salmonella in retail meats.
AB - Hydrogen sulfide (H2S) production is considered a typical characteristic of
Salmonella and an important marker for Salmonella isolation. In this study, a
total of 82 (26%) Salmonella strains were isolated from 113 chicken and 204 pork
samples, within which 49 Salmonella strains were H2S positive and 33 were H2S
negative. Salmonella enterica serovar Derby was most prevalent in both pork and
chicken followed by S. Typhimurium in pork and S. Heidelberg in chicken.
Salmonella isolated from pork exhibited a much higher H2S positive rate than
those from chicken (68% versus 31%). The most prevalent H2S negative serotypes
were S. Derby (40%) and S. Heidelberg (30%) in chicken, and S. Typhimurium (23%)
and S. Enteritidis (23%) in pork. spvC, a plasmid-encoded virulence marker, was
detected in 51% and 42% of the H2S positive and negative Salmonella respectively.
The presence of the two most important serotypes, S. Enteritidis and S.
Typhimurium, as well as a virulence plasmid in H2S negative Salmonella suggested
that H2S negative Salmonella is also a significant public health concern. Such
finding warrants the development of an improved method for effective coverage of
H2S negative Salmonella.
PMID- 24929876
TI - Use of non-Saccharomyces wine yeasts as novel sources of mannoproteins in wine.
AB - Eight non-Saccharomyces wine strains, previously selected for their ability to
modulate the final concentrations of various volatile compounds and to persist
with Saccharomyces cerevisiae in mixed inocula fermentations of grape juice, have
been analyzed in the present work to test their ability to release mannoproteins.
The eight strains were members of different genera originally isolated from
grape: Hansensiaspora osmophila, Lachancea thermotolerans, Metschnikowia
pulcherrima, Pichia fermentans, Saccharomycodes ludwigii, Starmerella bacillaris,
Torulaspora delbrueckii and Zygosaccharomyces florentinus. A synthetic
polysaccharide-free grape juice, was used to characterize the mannoproteins
released during the alcoholic fermentation. Mannoproteins profiles were
characterized by gel electrophoresis and carbohydrate composition was analyzed
both by HPLC and by mass spectrometry. The eight non-Saccharomyces yeasts
demonstrated a higher capacity to release polysaccharides compared to S.
cerevisiae. The proteins released by the eight yeast strains showed a wide
variety of protein sizes, ranging from 25 kDa to greater than 250 kDa. The mass
spectrometric profile of the N-glycans ranged from 1600 to 4000 Da and was
characteristic for each strain. Detailed investigation of the degree of
polymerization of released N-glycans revealed variable composition from 8 to 15
units of monosaccharides.
PMID- 24929877
TI - Assessment of the risk of salmonellosis from internally contaminated shell eggs
following initial storage at 18 degrees C (65 degrees F), compared with 7
degrees C (45 degrees F).
AB - In the U.S., chicken-breeder farms that supply hatcheries typically store and
transport eggs intended for broiler production at a temperature of 18.3 degrees
C (65 degrees F). However, in case of surplus, some of these eggs may be
diverted to human consumption. According to the U.S. Food and Drug
Administration's 'Egg Safety Final Rule,' shell eggs intended for human
consumption are required to be held or transported at or below 7.2 degrees C (45
degrees F) ambient temperature beginning 36 h after time of lay. We adapted a
risk assessment model developed by the U.S. Department of Agriculture's Food
Safety Inspection Service, to quantify human exposure to Salmonella Enteritidis
and the risk of human salmonellosis if eggs are held and transported at 18.3
degrees C for up to 5.5 days after time of lay, as has been observed when
hatchery eggs are diverted to human consumption, rather than held and transported
at 7.2 degrees C within 36 h after time of lay. Storage at 18.3 degrees C leads
to considerable bacterial growth in internally contaminated eggs. The model
predicted that more than 10% of internally contaminated eggs would remain
contaminated after in-shell pasteurization resulting in a 5-log10 reduction, and
that some bacteria would survive after home-cooking. The model predicted that,
alternatively, eggs stored at 7.2 degrees C after lay would have limited
bacterial growth prior to pasteurization, and Salmonella would be very unlikely
to be present after pasteurization. The predicted risk of salmonellosis from the
consumption of eggs held and transported at 18.3 degrees C and subsequently
diverted to human consumption is 25 times higher than the risk when eggs are held
and transported at 7.2 degrees C.
PMID- 24929879
TI - Rapid detection and differentiation of important Campylobacter spp. in poultry
samples by dot blot and PCR.
AB - The detection of Campylobacter, the most commonly reported cause of foodborne
gastroenteritis in the European Union, is very important for human health. The
most commonly recognised risk factor for infection is the handling and/or
consumption of undercooked poultry meat. The methods typically applied to
evaluate the presence/absence of Campylobacter in food samples are direct plating
and/or enrichment culture based on the Horizontal Method for Detection and
Enumeration of Campylobacter spp. (ISO 10272-1B: 2006) and PCR. Molecular methods
also allow for the detection of cells that are viable but cannot be cultivated on
agar media and that decrease the time required for species identification. The
current study proposes the use of two molecular methods for species
identification: dot blot and PCR. The dot blot method had a sensitivity of 25 ng
for detection of DNA extracted from a pure culture using a digoxigenin-labelled
probe for hybridisation; the target DNA was extracted from the enrichment broth
at 24 h. PCR was performed using a pair of sensitive and specific primers for the
detection of Campylobacter jejuni and Campylobacter coli after 24 h of enrichment
in Preston broth. The initial samples were contaminated by 5 * 10 C. jejuni
cells/g and 1.5 * 10(2)C. coli cells/g, thus the number of cells present in the
enrichment broth at 0 h was 1 or 3 cell/g, respectively.
PMID- 24929878
TI - Effects of nitrogen and potassium fertilization on the susceptibility of tomatoes
to post-harvest proliferation of Salmonella enterica.
AB - Fresh fruits and vegetables are increasingly recognized as vehicles of
salmonellosis. Pre- and post-harvest environmental conditions, and physiological,
and genetic factors are thought to contribute to the ability of human pathogens
to persist in the production environment, attach to, colonize and proliferate in
and on raw produce. How field production conditions affect the post-harvest food
safety outcomes is not entirely understood. This study tested how varying
nitrogen and potassium fertilization levels affected the "susceptibility" of
tomatoes to Salmonella infections following the harvest of fruits. Two tomato
varieties grown over three seasons under high, medium, and low levels of nitrogen
and potassium fertilization in two locations were inoculated with seven strains
of Salmonella. Even though the main effects of nitrogen and potassium
fertilization on the susceptibility of tomatoes to infections with Salmonella
enterica were not statistically significant overall, differences in nitrogen
concentrations in plant tissues correlated with the susceptibility of partially
ripe tomatoes (cv. Solar Fire) to Salmonella. Tomato maturity and the season in
which tomatoes were produced had the strongest effect on the ability of
Salmonella to multiply in tomatoes. Tomato phenolics, accumulation of which is
known to correlate with rates of the N fertilization, did not inhibit growth of
Salmonella in vitro.
PMID- 24929880
TI - Development of 23 individual TaqMan(r) real-time PCR assays for identifying
common foodborne pathogens using a single set of amplification conditions.
AB - Most of the acute intestinal diseases are caused by foodborne pathogens with
infants and elderly people being at major risk. The aim of this study was to
develop a procedure to simultaneously detect 20 foodborne pathogens in complex
alimentary matrices such as milk, cheese and meat. The list of targets include,
among the others, Listeria spp., Salmonella spp., Shigella spp., Escherichia coli
spp., Campylobacter spp., Clostridium spp. and Staphylococcus aureus. The
accuracy of detection was determined by using ATCC strains as positive and
negative controls. The achieved sensitivity of each of assays was 1 pg of genomic
DNA, which was equivalent to ~1 cfu. The working ranges of the TaqMan((r)) Real
time PCR assays, when used quantitatively on cheese and meat samples inoculated
with serial dilution of Listeria spp., Listeria monocytogenes, S. aureus,
Salmonella enterica, Shigella boydii, E. coli O157:H7, Bacillus cereus,
Campylobacter coli, Yersinia enterocolitica, Enterobacter sakazakii and
Pseudomonas aeruginosa was 10(8) cfu/g to 10(4) cfu/g. No matrix interferences
were observed.
PMID- 24929881
TI - Development of a Multiplex-PCR assay for the rapid identification of Geobacillus
stearothermophilus and Anoxybacillus flavithermus.
AB - The presence of thermophilic bacilli in dairy products is indicator of poor
hygiene. Their rapid detection and identification is fundamental to improve the
industrial reactivity in the implementation of corrective and preventive actions.
In this study a rapid and reliable identification of Geobacillus
stearothermophilus and Anoxybacillus flavithermus was achieved by species
specific PCR assays. Two primer sets, targeting the ITS 16S-23S rRNA region and
the rpoB gene sequence of the target species respectively, were employed. Species
specificity of both primer sets was evaluated by using 53 reference strains of
DSMZ collection; among them, 13 species of the genus Geobacillus and 15 of the
genus Anoxybacillus were represented. Moreover, 99 wild strains and 23 bulk cells
collected from 24 infant formula powders gathered from several countries
worldwide were included in the analyses. Both primer sets were highly specific
and the expected PCR fragments were obtained only when DNA from G.
stearothermophilus or A. flavithermus was used. After testing their specificity,
they were combined in a Multiplex-PCR assay for the simultaneous identification
of the two target species. The specificity of the Multiplex-PCR was evaluated by
using both wild strains and bulk cells. Every analysis confirmed the reliable
identification results provided by the single species-specific PCR methodology.
The easiness, the rapidity (about 4 h from DNA isolation to results) and the
reliability of the PCR procedures developed in this study highlight the advantage
of their application for the specific detection and identification of the
thermophilic species G. stearothermophilus and A. flavithermus.
PMID- 24929882
TI - High prevalence of pathogenic Yersinia enterocolitica in pig cheeks.
AB - Samples from pork cuts for minced meat and cheeks from processing plants and a
slaughterhouse, and modified atmosphere (MA) packaged pork from retail were
studied to estimate the prevalence of pathogenic, i.e. virulence plasmid bearing,
Yersinia enterocolitica and Yersinia pseudotuberculosis in pork, as well as to
quantify pathogenic Y. enterocolitica in pork cuts. Pathogenic (virF-positive) Y.
enterocolitica was isolated from 17 pig cheeks (23%) but not from any of the MA
packaged 54 retail pork samples and only from one of the 155 pork cut (0.6%).
Most (16/17) of the cheek samples were contaminated with pathogenic Y.
enterocolitica 4/O:3 and one with bioserotype 2/O:9. No Y. pseudotuberculosis was
isolated. The prevalence of pathogenic Y. enterocolitica was clearly higher (39%)
in 155 pork cuts when studied with nested PCR targeting yadA on the virulence
plasmid pYV although the contamination level was low varying between 0.1 and 1.6
MPN/g. Raw pork cuts and especially pig cheeks may serve as possible sources for
yersiniosis caused by pathogenic Y. enterocolitica.
PMID- 24929883
TI - An investigator-initiated, double-blind, vehicle-controlled pilot study:
assessment for tachyphylaxis to topically occluded halobetasol 0.05% ointment in
the treatment of psoriasis.
AB - BACKGROUND: Topical corticosteroids are the most common first-line treatment for
psoriasis. Tachyphylaxis, a decreased response to treatment with repetitive
application of the drug, is a controversial phenomenon associated with topical
corticosteroid treatment. OBJECTIVE: We sought to prove or disprove tachyphylaxis
to occluded halobetasol 0.05% versus vehicle. METHODS: Patients with plaque
psoriasis were recruited to this study. The study involved 3 phases (1, 2A, and
2B) with each phase being separated by a treatment vacation period. In phases 1
and 2A, 2 plaques were randomized to either halobetasol 0.05% or vehicle ointment
application. In phase 2B, halobetasol 0.05% was applied to both. Target Lesion
Severity Scale was used for clinical assessment. RESULTS: Twenty patients were
enrolled. No difference in time to clearance (P=.88) or time to recurrence
(P=.92) of the treated plaques was found between phases 1 and 2A. Percentage of
improvement was higher in phase 2A compared with phase 1 (89.4%, P<.05 vs 71%,
P<.05), as a result of reduction of vehicle effect. In phase 2B, a greater
improvement was found for previously corticosteroid-treated plaques. LIMITATIONS:
Limitations are small sample size and 1 corticosteroid tested. CONCLUSION: No
evidence of tachyphylaxis to the topical corticosteroid halobetasol 0.05%
ointment treatment in patients with plaque psoriasis was found.
PMID- 24929884
TI - An investigator-initiated open-label clinical trial of vismodegib as a
neoadjuvant to surgery for high-risk basal cell carcinoma.
AB - BACKGROUND: Vismodegib is an oral hedgehog-pathway inhibitor approved for
advanced basal cell carcinoma (BCC). Although most BCCs are amenable to surgery,
excision of large tumors in aesthetically sensitive sites may compromise function
or cosmesis. OBJECTIVE: We sought to evaluate the reduction in BCC surgical
defect area after 3 to 6 months of neoadjuvant vismodegib. METHODS: This was an
open-label, single-arm intervention trial with a primary outcome of change in
target-tumor surgical defect area pre- and post-vismodegib (150 mg/d). Secondary
outcomes were change in tumor area and tolerability. RESULTS: Eleven of 15
enrolled patients, aged 39 to 100 years, completed the trial. Thirteen target
tumors were excised after a mean of 4+/-2 months of vismodegib. In all, 29% (4 of
14 patients) could not complete more than 3 months because of vismodegib-related
side effects. The mean baseline target-tumor diameter was 3.2 cm, and 10 of 13
tumors occurred on the face. Overall, vismodegib reduced the surgical defect area
by 27% (95% confidence interval -45.7% to -7.9%; P=.006) from baseline.
Vismodegib was not effective in patients who received less than 3 months. Over a
mean follow-up of 11.5 (range 4-21) months for all tumors, only 1 tumor recurred
at 17 months post-Mohs micrographic surgery. LIMITATIONS: Short follow-up time
and no placebo control are limitations. CONCLUSION: Neoadjuvant vismodegib
appears to reduce surgical defect area when taken for 3 months or longer for
nonrecurrent BCCs in functionally sensitive locations. Further studies with
larger sample sizes and long-term follow-up are warranted.
PMID- 24929885
TI - The "biker-glove" pattern of segmental infantile hemangiomas on the hands and
feet.
AB - BACKGROUND: Infantile hemangiomas (IH) on the extremities have not been
systematically studied. OBJECTIVE: We sought to describe the clinical
characteristics and distribution patterns of IH affecting acral surfaces and to
explore the relationship among these patterns, limb development, and IH
pathogenesis. METHODS: This was a retrospective multicenter cohort study.
Photographic archives from 4 tertiary pediatric dermatology referral centers were
searched for patients with IH larger than 1 cm and involving 1 or more digit.
Hemangioma location, distribution, and morphologic subtype were recorded. Medical
records were reviewed for demographic and clinical data. RESULTS: In all, 73
patients were identified. The most common IH pattern resembled that of a "biker
glove" (73%), followed by localized IH on the distal digits (14%), segmental IH
extending over the distal digits (8%), and intermediate patterns (5%). Overall,
63% of acral IH were segmental, 26% indeterminate, and 11% localized. Five
patients had associated structural anomalies. Complications were noted in 33% of
cases. LIMITATIONS: Limitations were retrospective study design; selection bias
based on recall and photography; documentation and follow-up were not
standardized across institutions; and treatment information may not reflect
current approaches. CONCLUSION: Acral IH display specific patterns and are
associated with a relatively high risk of ulceration.
PMID- 24929886
TI - Dermatoscopic features of central centrifugal cicatricial alopecia.
AB - BACKGROUND: No data exist on the dermatoscopic findings in central centrifugal
cicatricial alopecia (CCCA). OBJECTIVE: We sought to establish the spectrum of
dermatoscopic features and their frequency in CCCA. METHODS: We retrospectively
evaluated 153 nonpolarized dermatoscopic images obtained from 51 women with
histologically proven CCCA and established a list of 12 dermatoscopic features
that were independently scored for each image. Controls included 30 dermatoscopic
images from histologically proven cases of scarring traction alopecia and discoid
lupus erythematosus. The receiver operating characteristic curve analysis
assessed the specificity and sensitivity; Cohen kappa statistics assessed the
agreement. Dermatoscopic pathologic correlations were performed on the horizontal
sections of 41 of the 51 specimens, which were obtained with dermatoscopy-guided
biopsy procedures. RESULTS: Peripilar white gray halo around the emergence of
hairs was observed in 94% of patients and was highly specific and sensitive for
CCCA in all clinical stages. It corresponds on pathology to the lamellar fibrosis
surrounding the outer root sheath. LIMITATIONS: This was a retrospective study.
CONCLUSION: The presence of a peripilar white halo is a dermatoscopic feature
that suggests the diagnosis of CCCA in African American patients with mild
central thinning, and it is an optimal site from which to obtain a biopsy
specimen.
PMID- 24929887
TI - MITA/STING: a central and multifaceted mediator in innate immune response.
AB - The recognition of nucleic acids is a general strategy used by the host to detect
invading pathogens. Many studies have established that MITA/STING is a central
component in the innate immune response to cytosolic DNA and RNA derived from
pathogens. MITA can act both as a direct sensor of cyclic dinucleotides (CDNs)
and as an adaptor for the recruitment of downstream signaling components. In both
roles, MITA is part of signaling cascades that orchestrate innate immune defenses
against various pathogens, including viruses, bacteria and parasites. Here, we
highlight recent studies that have uncovered the molecular mechanisms of MITA
mediated signal transduction and regulation, and discuss some notable issues that
remain elusive.
PMID- 24929888
TI - A randomized trial of misoprostol versus laminaria before dilation and evacuation
in South Africa.
AB - OBJECTIVE: To compare complication rates, efficacy and acceptability of buccal
misoprostol to laminaria for cervical preparation before dilation and evacuation
(D&E) in South Africa. STUDY DESIGN: We performed a randomized, single-blind
trial comparing buccal misoprostol 400 mcg (1-2 doses, administered at least 3 h
before D&E) to laminaria inserted the day before D&E among women at 13-19 weeks
gestation. The primary outcome was expulsion of the fetus prior to surgery;
secondary outcomes included other complications, need for mechanical dilation,
procedure duration, side effects and satisfaction. Required sample size was 176
to detect a difference in expulsion of 20% to 5%, with a two-sided alpha of 0.05
and 80% power. RESULTS: Due to slow enrollment and low incidence of primary
outcome, the study was stopped early. One hundred fifty-nine women were
randomized, and 156 received treatment (78 in each group). Mean gestational age
was 14.8 weeks (range, 13.0-18.6 weeks). Complications were rare and did not
differ by group [three in each group; odds ratio (OR), 1; 95% confidence interval
(CI), 0.20-5.11]; this included two expulsions in the misoprostol group (2.6%).
Misoprostol participants were more likely to require mechanical dilation compared
to those receiving laminaria (35% vs. 8%; OR, 6.4; 95% CI, 2.4-16.5). The
proportion of women reporting each side effect was similar except for diarrhea
(21.3% in misoprostol group vs. 5.2% in laminaria group, p=0.004). Procedure time
and satisfaction did not differ between groups. CONCLUSIONS: Both misoprostol and
laminaria are associated with a low complication rate in this setting, although
misoprostol requires more mechanical dilation and causes more diarrhea.
IMPLICATIONS: Cervical preparation using either laminaria or misoprostol can be
safely used before D&E up to at least 19 weeks. Physicians using misoprostol must
be skilled at mechanical dilation, since this is commonly required.
PMID- 24929889
TI - Pharmacy-based interventions for initiating effective contraception following the
use of emergency contraception: a pilot study.
AB - OBJECTIVES: In Scotland most women get emergency contraception (EC) from
pharmacies. Pharmacists currently cannot provide effective ongoing contraception
after EC. In this pilot study, we aimed to determine the feasibility of a larger
study designed to ascertain if pharmacy-based interventions can increase the
uptake of effective contraception after EC. STUDY DESIGN: This is a pilot study
of women presenting for levonorgestrel EC to community pharmacies in Edinburgh,
UK, in 2012. Pharmacies were cluster randomized to provide either standard care
or one of two interventions: (a) one packet of progestogen-only pills (POPs),
giving women 1 month to arrange ongoing contraception; (b) invitation to present
the empty EC packet to a family planning clinic (FPC) for contraceptive advice
(rapid access). RESULTS: One hundred sixty-eight women were recruited from 11
pharmacies to POP (n=56), rapid access (n=58) and standard care (N=54) groups,
respectively. Telephone follow-up was conducted successfully in 102 women (61%) 6
8 weeks later to determine current contraceptive use. In the POP arm, 35/39 (90%)
women used the pills provided, and 9/28 women (32%) in the rapid access arm
attended the FPC. The proportion of women using effective contraception at follow
up was significantly greater in both POP [56% (22/39), p=<0.001] and rapid access
[52% (13/25), p=0.006] groups compared to standard care [16% (5/31)]. The
relative probability of a woman using an effective method of contraception versus
barrier/no method, after use of EC, was 3.13 [95% confidence interval (CI), 1.90
5.13] in the POP group and 2.57 (95% CI, 1.55-4.27) in the rapid access group.
CONCLUSIONS: This promising pilot study suggests that simple pharmacy-based
interventions may increase the uptake of effective contraception after EC. A
larger study is required to provide further validation of these findings.
IMPLICATIONS STATEMENT: For women obtaining EC from a pharmacy, simple
interventions such as supplying 1 month of a POP, or offering rapid access to a
FPC, hold promise as strategies to increase the uptake of effective contraception
after EC.
PMID- 24929890
TI - Phenotypic drug screening and target validation for improved personalized therapy
reveal the complexity of phenotype-genotype correlations in clear cell renal cell
carcinoma.
AB - OBJECTIVES: Novel personalized therapeutic approaches are urgently needed for
patients with metastatic clear cell renal cell carcinoma (ccRCC). METHODS AND
MATERIALS: We combined the development of a primary patient-derived ccRCC cell
line with a phenotypic drug screen consisting of 101 approved anticancer
compounds. RESULTS: We identified the MNNG HOS transforming gene (MET)-anaplastic
lymphoma receptor tyrosine kinase (ALK) inhibitor crizotinib as the top hit of
our drug screen, whereas compounds targeting the vascular endothelial growth
factor (VEGF) or mammalian target of rapamycin (mTOR) pathway showed no or only
minor in vitro activity. Among the known major crizotinib targets MET, ALK, and
ROS-1, only MET was expressed in our ccRCC cell line. Subsequent sequence
analysis revealed a heterozygous R988C mutation of the MET gene and a VHL
deletion in both the primary tumor and the tumor-derived ccRCC cell line.
However, we were unable to show an activation of MET and, further, MET knockdown
did not result in increased apoptosis or cytotoxicity. Therefore, our results
suggest that MET R988C does not function as a major oncogenic driver mutation but
rather represents a sequence variant. However, we provide evidence that the
cytotoxic effect of crizotinib in our cell line model correlates with its ability
to inhibit P-glycoprotein (ABCB1)-associated transport functions. CONCLUSIONS:
Our study shows that a phenotypic screen of a patient-derived tumor cell line can
identify compounds with antitumor activity but with an unexpected mode of action.
Our results underscore that target validation and phenotype-genotype correlations
remain a major experimental challenge. The implications of our findings for a
personalized management of patients with cancer are discussed.
PMID- 24929892
TI - Clustering of metabolic and cardiovascular risk factors in the polycystic ovary
syndrome: a principal component analysis.
AB - CONTEXT: Polycystic ovary syndrome (PCOS) is a prevalent condition with
heterogeneity of clinical features and cardiovascular risk factors that implies
multiple aetiological factors and possible outcomes. OBJECTIVE: To reduce a set
of correlated variables to a smaller number of uncorrelated and interpretable
factors that may delineate subgroups within PCOS or suggest pathogenetic
mechanisms. MATERIALS AND METHODS: We used principal component analysis (PCA) to
examine the endocrine and cardiometabolic variables associated with PCOS defined
by the National Institutes of Health (NIH) criteria. Data were retrieved from the
database of a single clinical endocrinologist. We included women with PCOS (N =
378) who were not taking the oral contraceptive pill or other sex hormones, lipid
lowering medication, metformin or other medication that could influence the
variables of interest. PCA was performed retaining those factors with eigenvalues
of at least 1.0. Varimax rotation was used to produce interpretable factors.
RESULTS: We identified three principal components. In component 1, the dominant
variables were homeostatic model assessment (HOMA) index, body mass index (BMI),
high density lipoprotein (HDL) cholesterol and sex hormone binding globulin
(SHBG); in component 2, systolic blood pressure, low density lipoprotein (LDL)
cholesterol and triglycerides; in component 3, total testosterone and LH/FSH
ratio. These components explained 37%, 13% and 11% of the variance in the PCOS
cohort respectively. CONCLUSIONS: Multiple correlated variables from patients
with PCOS can be reduced to three uncorrelated components characterised by
insulin resistance, dyslipidaemia/hypertension or hyperandrogenaemia. Clustering
of risk factors is consistent with different pathogenetic pathways within PCOS
and/or differing cardiometabolic outcomes.
PMID- 24929891
TI - Elevated alkaline phosphatase velocity strongly predicts overall survival and the
risk of bone metastases in castrate-resistant prostate cancer.
AB - OBJECTIVES: In patients with a rising prostate-specific antigen (PSA) level
during treatment with androgen deprivation therapy, identification of men who
progress to bone metastasis and death remains problematic. Accurate risk
stratification models are needed to better predict risk for bone metastasis and
death among patients with castration-resistant prostate cancer (CRPC). This study
evaluates whether alkaline phosphatase (AP) kinetics predicts bone metastasis and
death in patients with CRPC. METHODS AND MATERIALS: A retrospective cohort study
of 9,547 patients who underwent treatment for prostate cancer was conducted using
the Center for Prostate Disease Research Multi-center National Database. From the
entire cohort, 347 were found to have CRPC and, of those, 165 had 2 or more AP
measurements during follow-up. To determine the AP velocity (APV), the slope of
the linear regression line of all AP values was plotted over time. Rapid APV was
defined as the uppermost quartile of APV values, which was found to be >=6.3
IU/l/y. CRPC was defined as 2 consecutive rising PSA values after achieving a PSA
nadir<4 ng/ml and documented testosterone values less than 50 ng/dl. The primary
study outcomes included bone metastasis-free survival (BMFS) and overall survival
(OS). RESULTS: Rapid APV and PSA doubling time (PSADT) less than 10 months were
strong predictors of both BMFS and OS in a multivariable analysis. Faster PSADT
was a stronger predictor for BMFS (odds ratio [OR] = 12.1, P<0.0001 vs. OR = 2.7,
P = 0.011), whereas rapid APV was a stronger predictor of poorer OS (OR = 5.11, P
= 0.0001 vs. OR = 3.98, P = 0.0034). In those with both a rapid APV and a faster
PSADT, the odds of developing bone metastasis and death exceeded 50%. CONCLUSION:
APV is an independent predictor of OS and BMFS in patients with CRPC. APV, in
conjunction with PSA-based clinical parameters, may be used to better identify
patients with CRPC who are at the highest risk of metastasis and death. These
findings need validation in prospective studies.
PMID- 24929893
TI - Zinc-alpha2-glycoprotein expression in adipose tissue of obese postmenopausal
women before and after weight loss and exercise + weight loss.
AB - OBJECTIVE: Zinc-Alpha 2-Glycoprotein (ZAG) has recently been implicated in the
regulation of adipose tissue metabolism due to its negative association with
obesity and insulin resistance. The purpose of this study is to investigate the
relationships between adipose tissue ZAG expression and central obesity, and the
effects of six-months of weight loss (WL) or aerobic exercise + weight loss (AEX
+ WL) on ZAG expression. DESIGN AND METHODS: A six-month, longitudinal study of
33 healthy, overweight or obese postmenopausal women (BMI: 25-46 kg/m(2)) was
conducted. Abdominal and gluteal adipose tissue samples were obtained before and
after AEX + WL (n = 17) and WL (n = 16). ZAG expression was determined by RT-PCR.
RESULTS: Prior to interventions, abdominal ZAG expression was negatively
correlated with visceral fat (r = -0.50, P < 0.005), sagittal diameter (r =
0.42, P < 0.05), and positively related to VO(2)max (r = 0.37, P < 0.05). Gluteal
ZAG expression was negatively correlated with weight, fat-free mass, visceral
fat, resting metabolic rate, and fasting insulin (r = -0.39 to -0.50, all P <
0.05). Abdominal ZAG mRNA levels increased, though not significantly, 5% after
AEX + WL and 11% after WL. Gluteal ZAG mRNA levels also did not change
significantly with AEX + WL and WL. CONCLUSIONS: Abdominal ZAG expression may be
important in central fat accumulation and fitness but only modestly increase
(nonsignificantly) with weight reduction alone or with aerobic training in obese
postmenopausal women.
PMID- 24929894
TI - Significance of plasma adiponectin for diagnosis, neurological severity and
functional outcome in ischemic stroke - Research for Biomarkers in Ischemic
Stroke (REBIOS).
AB - OBJECTIVE: Although adiponectin is a major adipocytokine that affects the
pathogenesis of various cardiovascular diseases, its clinical significance in
stroke remains controversial. We investigated the clinical significance of plasma
adiponectin for the diagnosis, neurological severity and functional outcomes of
patients with ischemic stroke. METHODS: We prospectively enrolled 171 patients
with ischemic stroke and 171 age- and sex-matched healthy controls. Blood samples
and clinical information were obtained at day 0, 3, 7, 14 and 90 after stroke
onset. RESULTS: Average adiponectin values at day 0 did not significantly differ
between the controls and the patients, but were significantly lower and higher in
patients with atherothrombotic brain (ATBI) (p=0.047) and cardioembolic (CE)
(p=0.008) infarction, respectively, than in the controls. Multivariate logistic
regression analyses showed that the adiponectin value at day 0 could predict ATBI
(odds ratio, 0.75; 95% confidence interval, 0.58 to 0.91, p=0.009, per 1-MUg/mL
increase). Adiponectin values at day 0 were positively associated with
neurological severity as evaluated by the National Institute of Health Stroke
Scale upon admission (r=0.420, p=0.003) and were higher in the groups with poor
outcomes (modified Rankin Scale (mRS) >= 3 on day 90) than in those with good
ones (mRS <= 2) in all stroke subtypes, with statistical significance in ATBI
(p=0.015). CONCLUSIONS: Plasma adiponectin values may help to classify stroke
subtypes and predict neurological severity and functional outcome in ischemic
stroke patients.
PMID- 24929895
TI - Management of clinical stage IIIA primary lung cancers in the National Cancer
Database.
AB - BACKGROUND: Clinical stage IIIA (cStage IIIA) non-small cell lung cancer (NSCLC)
resulting from mediastinal lymph node disease (stage IIIA-cN2) represents one of
the more challenging lung cancer scenarios. Not only is the prognosis
disappointing but the accuracy of mediastinal staging is uncertain, the optimal
treatment approach is unclear, and the outcomes are variable. In attempt to
assess the current state of clinical stage IIIA-cN2 NSCLC in the United States,
we examined this controversial cohort in the largest clinical database resource
available, the National Cancer Database (NCDB). METHODS: The NCDB was queried for
patients diagnosed between 1999 and 2011 with NSCLC, clinically staged to have
mediastinal lymph node metastases but not systemic metastases (T1-3, N2, M0,
cStage IIIA). RESULTS: A total of 83,913 cSstage IIIA NSCLC patients with
presumed or confirmed mediastinal lymph node metastases were identified. Clinical
staging of the mediastinum was variable, as lymph nodes were only biopsied in 23%
of patients who were treated without surgery, and in surgical patients clinical
N2 status was pathologically confirmed in only 56% of treatment naive patients.
The most common treatment approach was nonsurgical, involving chemotherapy,
radiation, or both (69% of patients) followed by surgery (14%). The 5-year
survival varied by treatment and was highest for patients treated with surgery in
combination with chemotherapy, radiation, or both (38%), followed by surgery
alone (30%), nonsurgical treatment (11%), and worst for untreated patients (5%).
CONCLUSIONS: There is cause for concern over the accuracy of the clinical staging
of the mediastinum among cStage IIIA-cN2 patients in the United States. The use
of surgery is relatively low but is associated with an encouraging 5-year
survival. Further study is needed to clarify the accuracy of mediastinal staging
in the United States for cStage IIIA-cN2 NSCLC.
PMID- 24929896
TI - Testicular biochemicals, sperm reserves and daily sperm production of West
African dwarf bucks fed varied levels of dietary aflatoxin.
AB - An experiment was conducted with twenty West African dwarf (WAD) bucks (5-6
months old) to assess reproductive potentials of growing WAD bucks to varied
dietary aflatoxin of 0 MUg/kg, 50 MUg/kg, 100 MUg/kg and 150 MUg/kg containing in
diets 1 (control) 2, 3 and 4 respectively, for a period of 12 weeks. At the end
of the 12th week, the reproductive tracts of bucks were excised and homogenised
in physiological saline for assessment of glucose, total protein and testosterone
concentration, gonadal and extra gonadal sperm reserves. Results showed that
gonadal and extra-gonadal sperm reserves of goats fed control diet (2.71*10(9)
and 3.07*10(9) spermatozoa respectively) were superior (p<0.05) to those fed 50
MUg/kg, 100 MUg/kg and 15 0MUg/kg [(1.59*10(9) and 2.33*10(9)), (1.09*10(9) and
2.45*10(9)) and (1.00*10(9) and 1.41*10(9)) spermatozoa respectively]. Daily
sperm production of bucks fed the control diet was significantly (p<0.05) higher
(7.60*10(8) spermatozoa/testis) than those fed 50 MUg/kg (4.47*10(8)), 100 MUg/kg
(3.07*10(8)) and 150 MUg/kg (2.80*10(8) spermatozoa/testis). Sperm production
efficiency also follows the same trend as daily sperm production. Glucose and
total protein concentration in the testes declined significantly as the aflatoxin
level increases in the diets. Testosterone level was significantly lower in goats
fed 100 MUg/kg than others. The study suggest that exposure of male goats to
dietary aflatoxin up to 50 MUg/kg diet will reduced testicular biochemical and
testosterone with resultant depression in sperm storage capability and daily
sperm production in the animals.
PMID- 24929897
TI - A protocol for species delineation of public DNA databases, applied to the
Insecta.
AB - Public DNA databases are composed of data from many different taxa, although the
taxonomic annotation on sequences is not always complete, which impedes the
utilization of mined data for species-level applications. There is much ongoing
work on species identification and delineation based on the molecular data
itself, although applying species clustering to whole databases requires
consolidation of results from numerous undefined gene regions, and introduces
significant obstacles in data organization and computational load. In the current
paper, we demonstrate an approach for species delineation of a sequence database.
All DNA sequences for the insects were obtained and processed. After filtration
of duplicated data, delineation of the database into species or molecular
operational taxonomic units (MOTUs) followed a three-step process in which (i)
the genetic loci L are partitioned, (ii) the species S are delineated within each
locus, then (iii) species units are matched across loci to form the matrix L * S,
a set of global (multilocus) species units. Partitioning the database into a set
of homologous gene fragments was achieved by Markov clustering using edge weights
calculated from the amount of overlap between pairs of sequences, then
delineation of species units and assignment of species names were performed for
the set of genes necessary to capture most of the species diversity. The
complexity of computing pairwise similarities for species clustering was
substantial at the cytochrome oxidase subunit I locus in particular, but made
feasible through the development of software that performs pairwise alignments
within the taxonomic framework, while accounting for the different ranks at which
sequences are labeled with taxonomic information. Over 24 different homologs, the
unidentified sequences numbered approximately 194,000, containing 41,525 species
IDs (98.7% of all found in the insect database), and were grouped into 59,173
single-locus MOTUs by hierarchical clustering under parameters optimized
independently for each locus. Species units from different loci were matched
using a multipartite matching algorithm to form multilocus species units with
minimal incongruence between loci. After matching, the insect database as
represented by these 24 loci was found to be composed of 78,091 species units in
total. 38,574 of these units contained only species labeled data, 34,891
contained only unlabeled data, leaving 4,626 units composed both of labeled and
unlabeled sequences. In addition to giving estimates of species diversity of
sequence repositories, the protocol developed here will facilitate species-level
applications of modern-day sequence data sets. In particular, the L * S matrix
represents a post-taxonomic framework that can be used for species-level
organization of metagenomic data, and incorporation of these methods into
phylogenetic pipelines will yield matrices more representative of species
diversity.
PMID- 24929898
TI - Modelling competition and dispersal in a statistical phylogeographic framework.
AB - Competition between organisms influences the processes governing the colonization
of new habitats. As a consequence, species or populations arriving first at a
suitable location may prevent secondary colonization. Although adaptation to
environmental variables (e.g., temperature, altitude, etc.) is essential, the
presence or absence of certain species at a particular location often depends on
whether or not competing species co-occur. For example, competition is thought to
play an important role in structuring mammalian communities assembly. It can also
explain spatial patterns of low genetic diversity following rapid colonization
events or the "progression rule" displayed by phylogenies of species found on
archipelagos. Despite the potential of competition to maintain populations in
isolation, past quantitative analyses have largely ignored it because of the
difficulty in designing adequate methods for assessing its impact. We present
here a new model that integrates competition and dispersal into a Bayesian
phylogeographic framework. Extensive simulations and analysis of real data show
that our approach clearly outperforms the traditional Mantel test for detecting
correlation between genetic and geographic distances. But most importantly, we
demonstrate that competition can be detected with high sensitivity and
specificity from the phylogenetic analysis of genetic variation in space.
PMID- 24929899
TI - Reprint of: fRMSDchiral: a novel algorithm to represent differences between
positions of stereoisomers in complex with dissymmetric binding site.
AB - The ability of molecules to distinguish between optical isomers is crucial for
living systems. The change of position of one enantiomer in respect to the
position of the second enantiomer within an asymmetric binding site may be
analyzed on different levels. Root Mean Square Deviation (RMSD) may be used for
such analyses with low precision. Additional fragment level variants of RMSD
allow for more precise definition of differences in location of the main
molecular features responsible for recognition of stereoisomers by a selector.
Three fRMSDchiral parameters appear to be very useful to precisely quantify the
change in orientations of stereoisomers. Proposed calculation emerges as
interesting assistance in interpretation of consequences of formation
differential interaction(s) responsible for a chiral recognition process.
PMID- 24929900
TI - Optimizing electrical impedance myography measurements by using a multifrequency
ratio: a study in Duchenne muscular dystrophy.
AB - OBJECTIVE: Electrical impedance myography (EIM) is an electrophysiological
technique for neuromuscular evaluation that is impacted by subcutaneous fat (SF).
Exploiting the differing frequency dependences of muscle and fat, we assessed a 2
frequency EIM phase ratio in Duchenne muscular dystrophy (DMD) boys. METHODS:
Twenty-eight DMD boys aged 2-13years underwent EIM and the 6-minute walk test
(6MWT). For each subject, 50kHz phase data was input into the numerator while 20
500kHz phase values were input into the denominator. We then performed
correlation analyses seeking to identify the denominator frequency that
simultaneously optimized SF and 6MWT correlations. This optimized ratio was then
tested in 24 healthy boys. RESULTS: 50kHz phase correlated to 6MWT in DMD boys
with R=0.52, p=0.0066, and to SF thickness with R=-0.67, p<0.001. An optimized
ratio of 50/200kHz phase reduced the correlation of SF thickness to R=-0.075,
p=0.45 while improving the relationship to the 6MWT (R=0.60, p=0.001). In normal
subjects, the optimization decreased SF correlation from R=0.61 from R=0.16 with
6MWT correlation remaining unchanged. CONCLUSIONS: The 50/200kHz EIM phase ratio
removes the impact of SF while maintaining EIM's association with function.
SIGNIFICANCE: The use of a phase ratio may enhance EIM's application for
evaluation of neuromuscular disease.
PMID- 24929901
TI - Cortical EEG alpha rhythms reflect task-specific somatosensory and motor
interactions in humans.
AB - Anticipating sensorimotor events allows adaptive reactions to environment with
crucial implications for self-protection and survival. Here we review several
studies of our group that aimed to test the hypothesis that the cortical
processes preparing the elaboration of sensorimotor interaction is reflected by
the reduction of anticipatory electroencephalographic alpha power (about 8-12Hz;
event-related desynchronization, ERD), as an index that regulate task-specific
sensorimotor processes, accounted by high-alpha sub-band (10-12Hz), rather than a
general tonic alertness, accounted by low-alpha sub-band (8-10Hz). In this line,
we propose a model for human cortical processes anticipating warned sensorimotor
interactions. Overall, we reported a stronger high-alpha ERD before painful than
non-painful somatosensory stimuli that is also predictive of the subjective
evaluation of pain intensity. Furthermore, we showed that anticipatory high-alpha
ERD increased before sensorimotor interactions between non-painful or painful
stimuli and motor demands involving opposite hands. In contrast, sensorimotor
interactions between painful somatosensory and sensorimotor demands involving the
same hand decreased anticipatory high-alpha ERD, due to a sort of sensorimotor
"gating" effect. In conclusion, we suggest that anticipatory cortical high-alpha
rhythms reflect the central interference and/or integration of ascending
(sensory) and descending (motor) signals relative to one or two hands before non
painful and painful sensorimotor interactions.
PMID- 24929902
TI - Rhythmic entrainment of slow brain activity preceding leg movements.
AB - OBJECTIVE: The time course of the contingent negative variation (CNV) as well as
beta-power are known to entrain to regular task rhythms, revealing implicit
anticipatory timing. Thus far, these effects have been established for manual
responses only. Here we investigate entrainment preceding leg movements. METHODS:
High-density EEG was recorded while participants were standing and responded to
series of rhythmically presented arrow stimuli by making brisk leg movements. The
standard interval between reaction stimuli differed between series and was either
1500 or 2000 ms. Each series' final interval was 1750 ms, representing a timing
perturbation. RESULTS: Entrainment was manifested in the CNV time course, where
the maximum amplitude was reached just before the next stimulus was presented.
The pattern of beta-(de)synchronization similarly entrained to the task rhythm.
CNV scalp topographies suggested effector dependency of the entrainment-induced
CNV. CONCLUSION: We demonstrate that lower limb motor control, like upper limb
control, readily entrains to a regular task rhythm. SIGNIFICANCE: These findings
are relevant to Parkinson's disease (PD), where problems are found in rhythm
processing and temporal preparation. Investigation of the neural correlates of
leg movement entrainment is important in view of presumed relations between
entrainment and cueing of gait in PD.
PMID- 24929903
TI - Reframing seizure prediction.
PMID- 24929904
TI - P2Y1 and P2Y12 receptors in hypoxia- and adenosine diphosphate-induced pulmonary
vasoconstriction in vivo in the pig.
AB - PURPOSE: To investigate the role of P2Y1 and P2Y12 receptors in hypoxia- and
adenosine diphosphate (ADP)-induced pulmonary vasoconstriction. METHODS: 19
anaesthetized, mechanically ventilated pigs (31.3 +/- 0.7 kg) were evaluated in
normoxia and hypoxia, without (n = 6) or with P2Y1 receptor antagonist MRS2500 (n
= 7) or P2Y12 receptor antagonist cangrelor (n = 6) treatment. 12 pigs (29.3 +/-
0.4 kg) were evaluated before and during ADP infusion, without and with MRS2500
(n = 6) or cangrelor (n = 6) pre-treatment. RESULTS: Hypoxia increased (p < 0.05)
mean pulmonary artery pressure (MPAP) by 14.2 +/- 1.1 mmHg and pulmonary vascular
resistance (PVR) by 2.7 +/- 0.4 WU. Without treatment MPAP and PVR remained
unaltered (p = ns) for 90 min hypoxia. During hypoxia MRS2500 decreased (p <
0.013) MPAP by 4.3 +/- 1.2 mmHg within 15 min. Cangrelor decreased (p < 0.036)
MPAP to be 3.3 +/- 0.4 and 3.6 +/- 0.6 mmHg lower than hypoxia baseline after 10
and 30 min. PVR was, however, unaltered (p = ns) by MRS2500 or cangrelor during
hypoxia. ADP increased (p < 0.001) MPAP and PVR to stabilize 11.1 +/- 1.3 mmHg
and 2.7 +/- 0.3 WU higher than baseline. MRS2500 or cangrelor pre-treatment
totally abolished the sustained MPAP- and PVR-increases to ADP. CONCLUSIONS: ADP
elicits pulmonary vasoconstriction through P2Y1 and P2Y12 receptor activation.
ADP is not a mandatory modulator, but may still contribute to pulmonary vascular
tone during acute hypoxia. Further investigations into the mechanisms behind ADP
induced pulmonary vasoconstriction and the role of ADP as a modulator of
pulmonary vascular tone during hypoxia are warranted.
PMID- 24929905
TI - Management of neonatal proptosis: a systematic review.
AB - Gross proptosis presenting at birth is an uncommon manifestation of a variety of
lesions that can compromise vision and result in disfigurement or even loss of
life. Notably, many disease entities have different presentations and prognoses
in neonates compared to older children. A structured mental framework is
essential to an efficient and coordinated response. We present three challenging
cases of neonatal proptosis and discuss the clinical presentation and biological
behavior of the lesions that are most often implicated.
PMID- 24929906
TI - Epidemiology of pediatric ocular trauma admissions.
PMID- 24929907
TI - Authors' response.
PMID- 24929908
TI - Synthetic oligonucleotide separations by mixed-mode reversed-phase/weak anion
exchange liquid chromatography.
AB - Synthetic oligonucleotides gain increasing importance in new therapeutic concepts
and as probes in biological sciences. If pharmaceutical-grade purities are
required, chromatographic purification using ion-pair reversed-phase
chromatography is commonly carried out. However, separation selectivity for
structurally closely related impurities is often insufficient, especially at high
sample loads. In this study, a "mixed-mode" reversed-phase/weak anion exchanger
stationary phase has been investigated as an alternative tool for chromatographic
separation of synthetic oligonucleotides with minor sequence variations. The
employed mixed-mode phase shows great flexibility in method development. It has
been run in various gradient elution modes, viz. one, two or three parameter
(mixed) gradients (altering buffer pH, buffer concentration, and organic
modifier) to find optimal elution conditions and gain further insight into
retention mechanisms. Compared to ion-pair reversed-phase and mere anion-exchange
separation, enhanced selectivities were observed with the mixed-mode phase for 20
23 nucleotide (nt) long oligonucleotides with similar sequences. Oligonucleotides
differing by 1, 2 or 3 nucleotides in length could be readily resolved and
separation factors for single nucleotide replacements declined in the order
Cytosine (C)/Guanine (G)>Adenine (A)/Guanine~Guanine/Thymine
(T)>Adenine/Cytosine~Cytosine/Thymine>Adenine/Thymine. Selectivities were larger
when the modification was at the 3' terminal-end, declined when it was in the
middle of the sequence and was smallest when it was located at the 5' terminus.
Due to the lower surface area of the 200A pore size mixed-mode stationary phase
compared to the corresponding 100A material, lower retention times with equal
selectivities under milder elution conditions were achievable. Considering high
sample loading capacities of the mixed-mode anion-exchanger phase, it should have
great potential for chromatographic oligonucleotide separation and purification.
PMID- 24929909
TI - Assessment of a new method for the analysis of decomposition gases of polymers by
a combining thermogravimetric solid-phase extraction and thermal desorption gas
chromatography mass spectrometry.
AB - For analysis of the gaseous thermal decomposition products of polymers, the
common techniques are thermogravimetry, combined with Fourier transformed
infrared spectroscopy (TGA-FTIR) and mass spectrometry (TGA-MS). These methods
offer a simple approach to the decomposition mechanism, especially for small
decomposition molecules. Complex spectra of gaseous mixtures are very often hard
to identify because of overlapping signals. In this paper a new method is
described to adsorb the decomposition products during controlled conditions in
TGA on solid-phase extraction (SPE) material: twisters. Subsequently the twisters
were analysed with thermal desorption gas chromatography mass spectrometry (TDS
GC-MS), which allows the decomposition products to be separated and identified
using an MS library. The thermoplastics polyamide 66 (PA 66) and polybutylene
terephthalate (PBT) were used as example polymers. The influence of the sample
mass and of the purge gas flow during the decomposition process was investigated
in TGA. The advantages and limitations of the method were presented in comparison
to the common analysis techniques, TGA-FTIR and TGA-MS.
PMID- 24929910
TI - Single "click" synthesis of a mixed-mode silica sorbent and application in matrix
solid-phase dispersion extraction of beta-agonists from porcine liver.
AB - A single "click" strategy is proposed for the preparation of a reversed
phase/weak cation-exchange mixed-mode silica-based sorbent (silica-WCX). Upon
this strategy, both 1-dodecyne and 5-hexynoic acid were simultaneously
immobilized onto azide-silica in varied ratio via Cu (I)-catalyzed azide-alkyne
cycloaddition click reaction. The chemical compositions of silica-WCXs were
characterized by elemental analysis, acid-base titration and Fourier transform
infrared spectroscopy. The results indicated that the actual mole ratio of n
dodecyl to carboxylic group on the sorbent is almost the same as the reactant
ratio of 1-dodecyne to 5-hexynoic acid, and the repeatability of synthesis method
is good. After that, two beta-agonists, clenbuterol and ractopamine, were
selected as model drug residues to evaluate the applicability of silica-WCX in
matrix solid-phase dispersion extraction for the determination of basic drug
residues in porcine liver by HPLC/UV. In comparison with some commercial
sorbents, silica-WCX exhibited higher recoveries and better purification
capability. Under the optimized conditions, linearity ranges were between 0.04
and 8.0MUg/g for both analytes with coefficients of determination (R(2)) higher
than 0.9997. The average recoveries at three spiked levels ranged from 92.5% to
105.0% with RSDs less than 6.6%.
PMID- 24929911
TI - Role of urea on recombinant Apo A-I stability and its utilization in anion
exchange chromatography.
AB - Apolipoprotein A-I (Apo A-I) is an important lipid-binding protein involved in
the transport and metabolism of cholesterol. High protein purity, in particular
with respect to endotoxins is required for therapeutic applications. The use of
urea during the purification process of recombinant Apo A-I produced in
Escherichia coli has been suggested so as to provide high endotoxin clearance. In
this work, we show that urea can be used as a sole modifier during the ion
exchange chromatographic purification of Apo A-I and we investigate the molecular
mechanism of elution by correlating the effect of urea on self-association,
conformation and adsorption equilibrium properties of a modified model Apo A-I.
In the absence of urea the protein was found to be present as a population of
oligomers represented mainly by trimers, hexamers and nonamers. The addition of
urea induced oligomer dissociation and protein structure unfolding. We correlated
the changes in protein association and conformation with variations of the
adsorption equilibrium of the protein on a strong anion exchanger. It was
confirmed that the adsorption isotherms, described by a Langmuir model, were
dependent on both protein and urea concentrations. Monomers, observed at low urea
concentration (0.5M), were characterized by larger binding affinity and
adsorption capacity compared to both protein oligomers (0M) and unfolded monomers
(2-8M). The reduction of both the binding strength and maximum adsorption
capacity at urea concentrations larger than 0.5M explains the ability of urea of
inducing elution of the protein from the ion exchange resin. The dissociation of
the protein complexes occurring during the elution could likely be the origin of
the effective clearance of endotoxins originally trapped inside the oligomers.
PMID- 24929912
TI - Subventricular spread of diffuse intrinsic pontine glioma.
PMID- 24929913
TI - Cross-cultural adaptation of a patient-based tool for evaluating the
implementation of patient education in acute care settings.
AB - OBJECTIVE: The purpose was cross-cultural adaptation, validation, and
psychometric analysis of an originally Norwegian patient-based tool measuring the
patient education practices of the nurses. METHODS: Systematic translation and
validation of the Patient Information and Nurse Interaction (PINI) scale was
performed. Exploratory factor analysis of the modified Persian version was
conducted using a sample consisting of 163 Persian-speaking patients discharging
from a neurosurgery unit. RESULTS: The scale included three factors, cumulatively
accounting for 61% of the variance. The first factor with seven items reflected
"providing information on treatment and care plans". The second and the third
factors each contained four items and reflected "nurses' interactions with the
clients" and "providing advice on care after discharge", respectively. Alpha
coefficients were 0.90 for the overall scale, 0.87 for the first, 0.77 for the
second, and 0.88 for the third subscale. CONCLUSION: The study supported that the
modified scale had good psychometric properties measuring three major components
including providing information, interaction with the client, and providing
advice about post-discharge care. PRACTICE IMPLICATIONS: It seems that the
modified version of the PINI scale can provide sound assessment of the
implementation of patient education in a different acute care setting with a new
language and culture.
PMID- 24929914
TI - Protein quantitation by complexation of fluorescent tetraphenylthiophene cation
to anion-terminated poly(N-isopropylacrylamide): aggregation-enhanced emission
and electrostatic interaction.
AB - A fluorescent biological sensor utilizing aggregation-enhanced emission (AEE)
property was developed in our laboratory. First, the AEE-active fluorescent
tetraphenylthiophene (TP) unit was synthetically connected to poly(N
isopropylacrylamide) by covalent and ionic bonds, resulting in the respective c-
and i-TP-PNIPAM for the detection and quantification of the bovine serum albumin
(BSA) model protein. When bind to BSA, the ionic i-TP-PNIPAM shows much better
fluorescence (FL) sensitivity compared to c-PNIPAM. The fluorescence (FL)
intensity of i-TP-PNIAPM displays a good linear dependence on concentration of
BSA (0-1 mg/mL), indicating quantitative fluorimetric protein detection can be
achieved. Further addition of anionic surfactant of sodium dodecylsulfate (SDS)
considerably raised the FL intensity of the complex solution. All the FL response
was discussed in term of conformational freedom of the TP unit under different
environmental constraints.
PMID- 24929915
TI - Understanding the formation and influence of attitudes in patients' treatment
choices for lower back pain: testing the benefits of a hybrid choice model
approach.
AB - A growing number of studies across different fields are making use of a new class
of choice models, labelled variably as hybrid model structures or integrated
choice and latent variable models, and incorporating the role of attitudes in
decision making. To date, this technique has not been used in health economics.
The present paper looks at the formation of such attitudes and their role in
patients' treatment choices in the context of low back pain. We use stated choice
data collected from a sample of 561 patients with 348 respondents referred to a
regional spine centre in Middelfart, Denmark in spring/summer 2012. We show how
the hybrid model structure is able to make a link between attitudinal questions
and treatment choices, and also explains variation of these attitudes across key
socio-demographic groups. However, we also show how, in this case, only a small
share of the overall heterogeneity is linked to the latent attitude construct.
Despite their growing popularity, the key findings of the advanced model, despite
a greater insight into the drivers of attitudes and small gains in efficiency,
are no different from standard approaches which remain easier to apply.
PMID- 24929916
TI - A changing epidemiology of suicide? The influence of birth cohorts on suicide
rates in the United States.
AB - The increases in suicide among middle-aged baby boomers (born between 1946 and
1964) in the United States since 1999 suggest a changing epidemiology of suicide.
Using data from 1935 to 2010, this paper conducts age-period-cohort analyses to
determine the impact of cohorts in shaping temporal patterns of suicide in the
United States. The analysis demonstrates that age, period and cohort effects are
all important in determining suicide trends. Net of age and period effects, the
cohort pattern of suicide rates is U-shaped, with cohorts born between 1915 and
1945 possessing among the very lowest suicide rates. Suicide rates begin to rise
with boomers and subsequent cohorts exhibit increasingly higher rates of suicide.
The general pattern exists for both men and women but is especially pronounced
among males. The average suicide rate over the entire period for males is about
28 per 100,000, 95% CI [27.4, 28.7]. For males born in 1930-34, the suicide rate
is estimated to be 17.4 per 100,000, 95% CI [15.9, 18.8]; for males born between
1955 and 1959, the rate is essentially the same as the average for the period
while for males born between 1985 and 1989, the suicide rate is estimated to be
37.8 per 100,000, 95% CI [33.1, 43.4]. The results dispute popular claims that
boomers exhibit an elevated suicide rate relative to other generations, but
boomers do appear to have ushered in new cohort patterns of suicide rates over
the life course. These patterns are interpreted within a Durkheimian framework
that suggests weakened forms of social integration and regulation among postwar
cohorts may be producing increased suicide rates.
PMID- 24929917
TI - Why do some countries spend more for health? An assessment of sociopolitical
determinants and international aid for government health expenditures.
AB - A consensus exists that rising income levels and technological development are
among key drivers of total health spending. Determinants of public sector health
expenditure, by contrast, are less well understood. This study examines a complex
relationship across government health expenditure (GHE), sociopolitical risks,
and international aid, while taking into account the impacts of national income,
debt and tax financing and aging populations on health spending. We apply a fixed
effects two-stage least squares regression method to a panel dataset comprising
120 countries for the years 1995 through 2010. Our results show that democratic
accountability has a diminishing positive correlation with GHE, and that levels
of GHE are higher when government is more stable. Corruption is associated with
less GHE in developing countries, but with higher GHE in developed countries. We
also find that development assistance for health (DAH) is fungible with
domestically financed government health expenditure (DGHE). For an average
country, a 1% increase in DAH to government is associated with a 0.03-0.04%
decrease in DGHE. Furthermore, the degree of fungibility of DAH to government is
higher in countries where corruption or ethnic tensions are widespread. However,
DAH to non-governmental organizations is not fungible with DGHE.
PMID- 24929919
TI - Translating an ACGME-required Practice Quality Improvement project into
preparation for the Maintenance of Certification Part IV.
PMID- 24929918
TI - The influence of women's empowerment on maternal health care utilization:
evidence from Albania.
AB - Women in Albania receive antenatal care and postnatal care at lower levels than
in other countries in Europe. Moreover, there are large socio-economic and
regional disparities in maternal health care use. Previous research in low- and
middle-income countries has found that women's status within the household can be
a powerful force for improving the health, longevity, and mental and physical
capacity of mothers and the well-being of children, but there is very little
research on this issue in the Balkans. The aim of this paper is to investigate
the influence of women's empowerment within the household on antenatal and
postnatal care utilization in Albania. The research questions are explored
through the use of bivariate and multivariate analyses based on nationally
representative data from the 2008-09 Albania Demographic and Health Survey. The
linkages between women's empowerment and maternal health care utilization are
analyzed using two types of indicators of women's empowerment: decision making
power and attitudes toward domestic violence. The outcome variables are
indicators of the utilization of antenatal care and postnatal care. The findings
suggest that use of maternal health care services is influenced by women's roles
in decision-making and the attitudes of women towards domestic violence, after
controlling for a number of socio-economic and demographic factors which are
organized at individual, household, and community level. The study results
suggest that policy actions that increase women's empowerment at home could be
effective in helping assure good maternal health.
PMID- 24929920
TI - An integrative method to normalize RNA-Seq data.
AB - BACKGROUND: Transcriptome sequencing is a powerful tool for measuring gene
expression, but as well as some other technologies, various artifacts and biases
affect the quantification. In order to correct some of them, several
normalization approaches have emerged, differing both in the statistical strategy
employed and in the type of corrected biases. However, there is no clear standard
normalization method. RESULTS: We present a novel methodology to normalize RNA
Seq data, taking into account transcript size, GC content, and sequencing depth,
which are the major quantification-related biases. In this study, we found that
transcripts shorter than 600 bp have an underestimated expression level, while
longer transcripts are even more overestimated that they are long. Second, it was
well known that the higher the GC content (>50%), the more the transcripts are
underestimated. Third, we demonstrated that the sequencing depth impacts the size
bias and proposed a correction allowing the comparison of expression levels among
many samples. The efficiency of our approach was then tested by comparing the
correlation between normalized RNA-Seq data and qRT-PCR expression measurements.
All the steps are automated in a program written in Perl and available on
request. CONCLUSIONS: The methodology presented in this article identifies and
corrects different biases that influence RNA-Seq quantification, and provides
more accurate estimations of gene expression levels. This method can be applied
to compare expression quantifications from many samples, but preferentially from
the same tissue. In order to compare samples from different tissue, a calibration
using several reference genes will be required.
PMID- 24929921
TI - Fatal acute pulmonary oedema and acute renal failure following multiple
wasp/hornet (Vespa affinis) stings in Sri Lanka: two case reports.
AB - INTRODUCTION: Vespa affinis is a hornet widely distributed in Sri Lanka and it is
responsible for the highest number of deaths related to Hymenoptera stings. Apart
from the early reactions, victims often die in hospital many hours later due to
complications such as myocardial infarction and multiple organ failure. Increased
microvascular permeability and acute pulmonary oedema as the primary pathology is
less known in hornet envenoming. CASE PRESENTATION: Here, we report clinical and
postmortem findings of two Sinhalese patients, a 48-year-old husband and his 46
year-old wife, who both died following a massive attack by hornets 32 hours and 9
hours after the incidence respectively. At postmortem examination, both patients
had pleural effusions, acute pulmonary oedema and red cell casts in their urine.
Their coronary arteries and histology of myocardium were normal. CONCLUSION:
Early recognition of acute pulmonary oedema in hornet stings is needed with
implementation of crucial treatments to avert deaths.
PMID- 24929922
TI - Intramucosal adenocarcinoma arising from ectopic gastric mucosa in the upper
esophagus treated successfully with endoscopic mucosal resection.
PMID- 24929923
TI - Novel field emission SEM column with beam deceleration technology.
AB - A novel field-emission SEM column has been developed that features Beam
Deceleration Mode, high-probe current and ultra-fast scanning. New detection
system in the column is introduced to detect true secondary electron signal. The
resolution power at low energy was doubled for conventional SEM optics and
moderately improved for immersion optics. Application examples at low landing
energies include change of contrast, imaging of non-conductive samples and thin
layers.
PMID- 24929924
TI - Analysis of electron beam damage of exfoliated MoS2 sheets and quantitative HAADF
STEM imaging.
AB - In this work we examined MoS2 sheets by aberration-corrected scanning
transmission electron microscopy (STEM) at three different energies: 80, 120 and
200 kV. Structural damage of the MoS2 sheets has been controlled at 80 kV
according a theoretical calculation based on the inelastic scattering of the
electrons involved in the interaction electron-matter. The threshold energy for
the MoS2 material has been found and experimentally verified in the microscope.
At energies higher than the energy threshold we show surface and edge defects
produced by the electron beam irradiation. Quantitative analysis at atomic level
in the images obtained at 80 kV has been performed using the experimental images
and via STEM simulations using SICSTEM software to determine the exact number of
MoS22 layers.
PMID- 24929925
TI - Dengue outlook for the World Cup in Brazil.
PMID- 24929926
TI - Short-term cognitive-behavioral therapy for binge eating disorder: long-term
efficacy and predictors of long-term treatment success.
AB - The present study evaluates the long-term efficacy (four years after treatment)
of a short-term Cognitive-Behavioral Treatment (CBT) of Binge Eating Disorder
(BED). We examined patient characteristics, mostly measured at the end of
treatment, for their predictive value of long-term success. Forty-one BED
patients between 18 and 70 years took part in a randomized controlled trial (RCT)
for a short-term treatment and were evaluated until 4 years after treatment.
Assessments comprised structured interviews on comorbid mental disorder/eating
disorder pathology and questionnaires on eating disorder pathology/general
psychopathology. BED core symptoms and associated psychopathology improved
substantially during treatment phase and further improved or at least remained
stable during the follow-up period. End of treatment predictors for long term
success were elevated weight and eating concern and higher frequency of objective
binges. Tailoring additional interventions to patients' individual needs could
further improve treatment efficacy.
PMID- 24929927
TI - Self-compassion as an emotion regulation strategy in major depressive disorder.
AB - Cognitive reappraisal and acceptance are two presumably adaptive emotion
regulation strategies in depression. More recently, self-compassion has been
discussed as another potentially effective strategy for coping with depression.
In the present study, we compared the effectiveness of self-compassion with a
waiting condition, reappraisal, and acceptance in a clinically depressed sample,
and tested the hypothesis that the intensity of depressed mood would moderate the
differential efficacy of these strategies. In an experimental design, we induced
depressed mood at four points in time in 48 participants meeting criteria for
major depressive disorder. After each mood induction, participants were
instructed to wait, reappraise the situation, accept their negative emotions, or
employ self-compassion to regulate their depressed mood. Self-ratings of
depressed mood were assessed before and after each mood induction and regulation
phase. Results showed that the reduction of depressed mood was significantly
greater in the self-compassion condition than in the waiting condition. No
significant differences were observed between the self-compassion and the
reappraisal condition, and between the self-compassion and the acceptance
condition in patients' mood ratings. However, the intensity of self-rated
depressed mood at baseline was found to moderate the comparative effectiveness of
self-compassion and reappraisal with a trend of self-compassion being more
effective than reappraisal in high depressed mood at baseline. These findings
support the use of self-compassion as another adaptive emotion regulation
strategy for patients with major depressive disorder, especially for those
suffering from high levels of depressed mood.
PMID- 24929928
TI - The 1-2-3 Magic parenting program and its effect on child problem behaviors and
dysfunctional parenting: a randomized controlled trial.
AB - This study investigated the effectiveness of the 1-2-3 Magic parenting program, a
brief cognitive-behavioral program, when delivered to large groups of caregivers.
The effectiveness of two versions of the programs in reducing child problem
behaviors and dysfunctional parenting, and the effect on emotion-related
parenting style, were examined. Ninety-two participants with 2-12-year-old
children were randomly assigned to one of three groups: DVD (n = 31); Emotion
coaching (EC) (n = 31); or Waitlist-control (n = 30). Both intervention groups
reported significantly decreased child problem behaviors, dysfunctional
parenting, parental depression and parental stress at post-intervention as
compared to the control group. Additionally, the DVD group reported decreased
parental anxiety, and the EC group reported a decrease in emotion-dismissing
parenting style. Emotion-coaching parenting style remained unchanged for all
groups at post-intervention. The results were maintained after three months.
After two years, all intervention effects were maintained for the DVD group. For
the EC group, effects were maintained on the main outcome variables. The results
suggest that both 1-2-3 Magic programs are effective at reducing child problem
behavior and dysfunctional parenting when delivered to large groups of
caregivers, and that both programs are suitable for a broad delivery approach.
PMID- 24929929
TI - Technical- and environmental-efficiency analysis of irrigated cotton-cropping
systems in Punjab, Pakistan using data envelopment analysis.
AB - Cotton cropping in Pakistan uses substantial quantities of resources and
adversely affects the environment with pollutants from the inputs, particularly
pesticides. A question remains regarding to what extent the reduction of such
environmental impact is possible without compromising the farmers' income. This
paper investigates the environmental, technical, and economic performances of
selected irrigated cotton-cropping systems in Punjab to quantify the
sustainability of cotton farming and reveal options for improvement. Using mostly
primary data, our study quantifies the technical, cost, and environmental
efficiencies of different farm sizes. A set of indicators has been computed to
reflect these three domains of efficiency using the data envelopment analysis
technique. The results indicate that farmers are broadly environmentally
inefficient; which primarily results from poor technical inefficiency. Based on
an improved input mix, the average potential environmental impact reduction for
small, medium, and large farms is 9, 13, and 11 %, respectively, without
compromising the economic return. Moreover, the differences in technical, cost,
and environmental efficiencies between small and medium and small and large farm
sizes were statistically significant. The second-stage regression analysis
identifies that the entire farm size significantly affects the efficiencies,
whereas exposure to extension and training has positive effects, and the sowing
methods significantly affect the technical and environmental efficiencies.
Paradoxically, the formal education level is determined to affect the
efficiencies negatively. This paper discusses policy interventions that can
improve the technical efficiency to ultimately increase the environmental
efficiency and reduce the farmers' operating costs.
PMID- 24929930
TI - The laryngeal motor cortex: its organization and connectivity.
AB - Our ability to learn and control the motor aspects of complex laryngeal
behaviors, such as speech and song, is modulated by the laryngeal motor cortex
(LMC), which is situated in the area 4 of the primary motor cortex and
establishes both direct and indirect connections with laryngeal motoneurons. In
contrast, the LMC in monkeys is located in the area 6 of the premotor cortex,
projects only indirectly to laryngeal motoneurons and its destruction has
essentially no effect on production of species-specific calls. These differences
in cytoarchitectonic location and connectivity may be a result of hominid
evolution that led to the LMC shift from the phylogenetically 'old' to 'new'
motor cortex in order to fulfill its paramount function, that is, voluntary motor
control of human speech and song production.
PMID- 24929932
TI - Actibacterium atlanticum sp. nov., isolated from surface seawater of the Atlantic
Ocean.
AB - A taxonomic study was carried out on strain 22II-S11-z10(T), which was isolated
from the surface seawater of the Atlantic Ocean. The bacterium was found to be
Gram-stain negative, oxidase and catalase positive, oval- to rod-shaped and non
motile. Growth was observed at salinities of 0.5-9 % and at temperatures of 10-41
degrees C. The isolate can reduce nitrate to nitrite, degrade gelatin and
aesculin, but can not degrade Tween 80. Phylogenetic analysis based on 16S rRNA
gene sequences indicated that strain 22II-S11-z10(T) belongs to the genus
Actibacterium, with the highest sequence similarity to the type strain
Actibacterium mucosum CECT 7668(T) (97.3 %). The DNA-DNA hybridization estimate
value between strain 22II-S11-z10(T) and A. mucosum CECT 7668(T) was 19.30 +/-
2.29 %. The principal fatty acids were identified as Summed Feature 8 (C18:1
omega7c/omega6c as defined by the MIDI system, 75.2 %) and Summed Feature 3
(C16:1 omega7c/omega6c, 6.9 %). The G+C content of the chromosomal DNA was
determined to be 59.0 mol%. The respiratory quinone was determined to be Q-10
(100 %). Phosphatidylglycerol, phosphatidylcholine, two phospholipids, two
aminolipids and two lipids were identified in the polar lipids. The combined
genotypic and phenotypic data show that strain 22II-S11-z10(T) represents a novel
species within the genus Actibacterium, for which the name Actibacterium
atlanticum sp. nov. is proposed, with the type strain 22II-S11-z10(T) (=MCCC
1A09298(T) = LMG 27158(T)).
PMID- 24929931
TI - Hirano bodies differentially modulate cell death induced by tau and the amyloid
precursor protein intracellular domain.
AB - BACKGROUND: Hirano bodies are actin-rich paracrystalline inclusions found in
brains of patients with Alzheimer's disease (AD), frontotemporal dementia (FTD),
and in normal aged individuals. Although studies of post-mortem brain tissue
provide clues of etiology, the physiological function of Hirano bodies remains
unknown. A cell culture model was utilized to study the interactions of mutant
tau proteins, model Hirano bodies, and GSK3beta in human astrocytoma cells.
RESULTS: Most tau variants showed co-localization with model Hirano bodies.
Cosedimentation assays revealed this interaction may be direct, as recombinant
purified forms of tau are all capable of binding F-actin. Model Hirano bodies had
no effect or enhanced cell death induced by tau in the absence of amyloid
precursor protein intracellular domain (AICD). In the presence of AICD and tau,
synergistic cell death was observed in most cases, and model Hirano bodies
decreased this synergistic cell death, except for forms of tau that caused
significant cell death in the presence of Hirano bodies only. A role for the
kinase GSK3beta is suggested by the finding that a dominant negative form of
GSK3beta reduces this synergistic cell death. A subset of Hirano bodies in brain
tissue of both Alzheimer's disease and normal aged individuals was found to
contain tau, with some Hirano bodies in Alzheimer's disease brains containing
hyperphosphorylated tau. CONCLUSION: The results demonstrate a complex
interaction between tau and AICD involving activation of GSK3beta in promoting
cell death, and the ability of Hirano bodies to modulate this process.
PMID- 24929933
TI - Flavimarina pacifica gen. nov., sp. nov., a new marine bacterium of the family
Flavobacteriaceae, and emended descriptions of the genus Leeuwenhoekiella,
Leeuwenhoekiella aequorea and Leeuwenhoekiella marinoflava.
AB - A facultatively anaerobic, Gram-stain negative, rod-shaped and yellow pigmented
bacterium, designated strain IDSW-73(T), was isolated from a seawater sample and
subjected to a polyphasic taxonomic study. Phylogenetic analysis based on 16S
rRNA gene sequences revealed that the novel strain formed a distinct phyletic
line in the family Flavobacteriaceae and is most closely related to the members
of the genus Leeuwenhoekiella, with 16S rRNA gene sequence similarity of 91.4
92.6 %. Strain IDSW-73(T) was found to be able to grow with 0-12 % NaCl and at 4
33 degrees C; and was able to hydrolyse gelatin, starch and Tweens 20, 40 and
80. The DNA G+C content was determined to be 42.2 mol%. The predominant cellular
fatty acids were identified as branched-chain saturated and unsaturated and
straight-chain unsaturated fatty acids such as iso-C15:0, iso-C15:1, iso-C17:1
omega9c, C15:1 omega6c, iso-C15:0 3-OH, iso-C17:0 3-OH and summed feature 3 (as
defined by MIDI), comprising iso-C15:0 2-OH and/or C16:1 omega7c. The polar
lipids found were phosphatidylethanolamine, two unknown aminolipids and one
unknown lipid. The major respiratory quinone was identified as MK-6. The
significant molecular distinctiveness between the novel isolate and its nearest
neighbours were strongly supported by notable differences in physiological and
biochemical tests. Therefore, strain IDSW-73(T) is considered to represent a
novel genus and species within the family Flavobacteriaceae, for which the name
Flavimarina pacifica gen. nov., sp. nov. is proposed. The type strain is IDSW
73(T) (=KCTC 32466(T) = KMM 6759(T)). Emended descriptions of the recognized
species of the genus Leeuwenhoekiella are also proposed.
PMID- 24929934
TI - The effect of experience on perceptual spaces when judging synthesized voice
quality: a multidimensional scaling study.
AB - OBJECTIVES/HYPOTHESIS: The purpose of this study was to determine the effect of
experience on the perceptual space of listeners when judging voice quality. STUDY
DESIGN: This was a within-subjects group design. METHOD: Speech-language
pathologists, singing voice teachers, speech-language pathology graduate students
with and without experience with a voice client, graduate students who have
completed a voice pedagogy course, and inexperienced served as listeners. Each
participant rated the similarity of pairs of synthesized stimuli with
systematically altered measurements of jitter, shimmer, and noise-to-harmonics
ratio on a visual analog scale ranging from no similarity to extremely similar.
RESULTS: Results showed that participants with different levels and types of
experience used different perceptual spaces (of additive noise and perturbation
measures) when judging the similarity of stimulus pairs. CONCLUSION: The
conclusion was that perceptual spaces differ among individuals with different
levels and types of experience when judging the similarity of pairs of stimuli
with systematically altered acoustical measurements.
PMID- 24929935
TI - Voice disorders in teachers. A review.
AB - INTRODUCTION: Voice disorders are very prevalent among teachers and consequences
are serious. Although the literature is extensive, there are differences in the
concepts and methodology related to voice problems; most studies are restricted
to analyzing the responses of teachers to questionnaires and only a few studies
include vocal assessments and videolaryngoscopic examinations to obtain a
definitive diagnosis. OBJECTIVE: To review demographic studies related to vocal
disorders in teachers to analyze the diverse methodologies, the prevalence rates
pointed out by the authors, the main risk factors, the most prevalent laryngeal
lesions, and the repercussions of dysphonias on professional activities.
MATERIALS AND METHODS: The available literature (from 1997 to 2013) was
narratively reviewed based on Medline, PubMed, Lilacs, SciELO, and Cochrane
library databases. Excluded were articles that specifically analyzed treatment
modalities and those that did not make their abstracts available in those
databases. The keywords included were teacher, dysphonia, voice disorders,
professional voice.
PMID- 24929937
TI - Overexpression of AtSTO1 leads to improved salt tolerance in Populus tremula * P.
alba.
AB - One of the major abiotic stress conditions limiting healthy growth of trees is
salinity stress. The use of gene manipulation for increased tolerance to abiotic
stress has been successful in many plant species. Overexpression of the
Arabidopsis SALT TOLERANT1 (STO1) gene leads to increased concentrations of 9-cis
epoxycarotenoid dioxygenase3, a vital enzyme in Arabidopsis abscisic acid
biosynthesis. In the present work, the Arabidopsis STO1 gene (AtSTO1) was
overexpressed in poplar to determine if the transgene would confer enhanced salt
tolerance to the generated transgenics. The results of multiple greenhouse trials
indicated that the transgenic poplar lines had greater levels of resistance to
NaCl than wild-type plants. Analysis using RT-PCR indicated a variation in the
relative abundance of the STO1 transcript in the transgenics that coincided with
tolerance to salt. Several physiological and morphological changes such as
greater overall biomass, greater root biomass, improved photosynthesis, and
greater pith size were observed in the transgenics when compared to controls
undergoing salt stress. These results indicated overexpression of AtSTO1 improved
salt tolerance in poplar.
PMID- 24929936
TI - Is more intensive better? Client and service provider outcomes for intensive
versus standard therapy schedules for functional voice disorders.
AB - BACKGROUND: Functional dysphonias are commonly associated with reduced treatment
attendance leading to variable treatment outcomes. Preliminary research has
proposed that intensive treatment may improve client adherence and outcomes;
however, further research into the application of intensive models in functional
dysphonia in comparison with standard intensity models is warranted. AIMS: The
present study evaluated the impact of intensive and standard treatments on
functional, well-being, and service outcome measures in clients with functional
dysphonia. METHODS: Participants with a functional dysphonia were randomly
allocated to one of two treatment groups: (1) intensive treatment (n = 7) or (2)
standard treatment (n = 9). Participants completed the voice handicap index (VHI)
and the Australian therapy outcome measures voice assessment (conducted by a
blinded assessor) before and after treatment and 4 weeks after treatment.
Satisfaction questionnaires were completed after treatment and data pertaining to
attendance and duration of intervention were collected throughout treatment. In
addition to a vocal hygiene education session, all participants received a total
of 8 hours of treatment; intensive treatment consisted of four 1-hour treatment
sessions per week over 2 weeks, whereas the standard group received one 1-hour
treatment session per week over 8 weeks. RESULTS: High satisfaction and
statistically significant improvements on the VHI ratings were found after
treatment in the intensive group. Significantly greater attendance rates were
found in the intensive group. Intensive treatment is a potentially viable service
delivery option for functional dysphonia and warrants further larger scale
investigation.
PMID- 24929938
TI - Is what goes in what comes out? Encoding and retrieval event-related potentials
together determine memory outcome.
AB - Understanding memory function amounts to identifying how events (cognitive and
neural) at study eventually influence events at test. Many of the proposed
cognitive correlates of memory-related event-related potentials (ERPs) at study
resemble proposed cognitive correlates of other memory-related ERPs, recorded at
test. We wondered whether a given known ERP feature at study might in fact
reflect an effective-encoding process that is, in turn, tapped by another
specific ERP feature, recorded at test. To this end, we asked which pairs of
known memory-related ERP features explain common variance across a large sample
of participants, while they perform a word-recognition task. Two early ERP
features, the Late Positive Component (study) and the FN400 (test), covaried
significantly. These features also correlated with memory success (d' and
response time). Two later ERP features, the Slow Wave (study) and the Late
Parietal Positivity (test), also covaried when lures were incorporated into the
analysis. Interestingly, these later features were uncorrelated with memory
outcome. This novel approach, exploiting naturally occurring subject variability
(in strategy and ERP amplitudes), informs our understanding of the memory
functions of ERP features in several ways. Specifically, they strengthen the
argument that the earlier ERP features may drive old/new recognition (but perhaps
not the later features). Our findings suggest the Late Positive Component at
study, in some degree, may cause the FN400 to increase at test, together
producing effective recognition memory. The Slow Wave at study appears to relate
the Left Parietal Positivity at test, but these may play roles in more complex
memory judgments and may be less critical for simple old/new recognition.
PMID- 24929939
TI - Left atrial volume as an independent predictor of exercise capacity in patients
with isolated diastolic dysfunction presented with exertional dyspnea.
AB - BACKGROUND: Left atrial volume (LAV) and exercise capacity are important
prognostic determinants of cardiovascular risk. Exercise intolerance and
increased LAV are expected in patients with diastolic dysfunction. While dyspnea
is the symptom reported by the patient and considered subjective, exercise
capacity obtained by exercise testing provides an objective measure of
cardiovascular fitness. The objective of this study is to determine the
relationship between LAV index and exercise capacity in patients with isolated
diastolic dysfunction who presented with exertional dyspnea. METHODS: We studied
consecutive patients with dyspnea who underwent treadmill exercise testing and
transthoracic echocardiography on the same day. LAV was assessed using the
biplane area-length method. Symptom-limited exercise testing was performed
immediately after echocardiography. Patients with coronary artery disease,
valvular or congenital heart disease, left ventricular systolic dysfunction,
pulmonary hypertension or positive exercise test were excluded. RESULTS: The
study consisted of 111 patients (58.1 +/- 9.2 years of age, 54.1% male, 64%
hypertension, 57.7% dyslipidemia and 20.7% diabetes). The exercise duration and
capacity were 6.8 +/- 2.1 minutes and 7.7 +/- 1.9 METs, respectively. Left
ventricular ejection fraction and LAV index was 71.0 +/- 5.8% and 31.4 +/- 10.5
ml/m2, respectively. In multivariate analysis, age [odds ratios (OR) 0.94; 95%
confidence interval (CI) 0.89-0.99], body mass index (OR 0.82, 95% CI 0.72-0.93),
and LAV index (OR 0.92, 95% CI 0.87-0.97) were associated with good exercise
capacity. CONCLUSION: In patients with isolated diastolic dysfunction and
exertional dyspnea, an increased LAV index, a marker of chronic diastolic
dysfunction, is associated with poor exercise capacity.
PMID- 24929940
TI - Data verification at health centers and district health offices in Xiengkhouang
and Houaphanh Provinces, Lao PDR.
AB - BACKGROUND: Routine health information is an essential health system building
block. In low and low-middle income countries however, concerns about the quality
of routine administrative data have often undermined their use. The purpose of
the present study was to verify the data availability, and consistency of six key
maternal and child health indicators (first antenatal care, fourth antenatal
care, skilled birth attendants, postnatal care, 'Bacillus Calmette Guerin and
diphtheria-pertussis-tetanus third dose). METHODS: The study collected data for
the identified indicators in 2011 from Xiengkhouang and Houaphanh provinces in
the Lao People's Democratic Republic (PDR). The data came from health centres (N
= 109), sub-districts (N = 26) and district health offices (N = 16). Core
indicators were calculated using numerators and denominators from the different
data sources at the district and health centre level and standardized statistical
tests performed. RESULTS: The study revealed that data for the six indicators
were either not available or not complete in the service logbooks or registers in
most of the health centres. Furthermore, few health centres kept the data for up
to five years, often destroying it once the report had been sent to the district
health office. In addition, there was limited numerator consistency between the
different data sources. CONCLUSION: Data on the six indicators collected and
reported in the public health system across the two provinces lacked
completeness, accuracy and consistency. To improve the quality of data, there is
a need to train health centre staff in data collection and recording as well as
ensuring there is adequate monitoring and supervision. A uniform national
standardized form is also necessary with findings shared with district health
offices and centres. Additionally, staff should be encouraged to own and value
local data.
PMID- 24929942
TI - Comparison of the metabolic profile of mixed calcium oxalate/uric acid stone
formers to that of pure calcium oxalate and pure uric acid stone formers.
AB - OBJECTIVE: To compare the metabolic profile of patients who form mixed calcium
oxalate (CaOx)/uric acid (UA) stones to those of pure CaOx and pure UA stone
formers. METHODS: We performed a retrospective review of 232 patients, with both
stone composition analysis and 24-hour urine collection, seen between March 2002
and April 2012. Analysis of 24-hour urine constituents across the 3 stone groups
(pure UA, pure CaOx, and mixed CaOx/UA) was performed using univariate analysis
of variance and multivariate linear regression models adjusting for clinical and
demographic factors and 24-hour urine collection elements. RESULTS: A total of 27
patients (11.6%) had mixed CaOx/UA, 122 (52.6%) had pure CaOx, and 83 (35.8%) had
pure UA calculi. Univariate analysis demonstrated significant differences between
mixed CaOx/UA patients and pure CaOx patients for urine pH (mixed, 5.63 +/- 0.49
vs pure, CaOx 5.93 +/- 0.51; P = .009) and supersaturation (SS) UA (mixed, 1.84
+/- 1.09 vs pure, CaOx 1.26 +/- 0.93; P = .01), and a significant difference
between mixed CaOx/UA patients and pure UA patients for SS CaOx (mixed, 7.18 +/-
4.23 vs pure, UA 4.90 +/- 2.96; P = .005). Multivariate analysis demonstrated
that mixed CaOx/UA patients had no significant difference in SS CaOx as compared
with pure CaOx patients (difference, -0.27; P = .66), whereas at the same time
had no significant difference in SS UA as compared with pure UA patients (-0.07;
P = .69). CONCLUSION: The metabolic profile of patients who form mixed CaOx/UA
stones demonstrates abnormalities that promote both CaOx and UA stone formation.
Dietary and medical management for this group of patients should address
treatment of both defects.
PMID- 24929941
TI - Foraging leaf-cutting ants learn to reject Vitis vinifera ssp. vinifera plants
that emit herbivore-induced volatiles.
AB - Leaf-cutting ants (LCAs) are dominant herbivores of the Neotropics, as well as
economically important pests. Their foraging ecology and patterns/mechanisms of
food selection have received considerable attention. Recently, it has been
documented that LCAs exhibit a delayed rejection of previously accepted food
plants following treatment with a fungicide that makes the plants unsuitable as
substrate for their symbiotic fungus. Here, we investigated whether LCAs
similarly reject plants with induced chemical defenses, by combining analysis of
volatile emissions with dual-choice bioassays that used LCA subcolonies (Atta
sexdens L.). On seven consecutive days, foraging ants were given the choice
between leaf disks from untreated control plants and test plants of Vitis
vinifera ssp. vinifera L. treated with the phytohormone jasmonic acid (JA) to
mimic herbivore attack. Chemical analysis revealed the emission of a
characteristic set of herbivore-induced volatile organic compounds (VOC) from JA
induced plants. Dual-choice experiments indicated that workers did not show any
preference initially, but that they avoided JA-treated plants from day five
onwards. Our finding that A. sexdens foragers learn to avoid VOC-emitting plants,
which are likely detrimental to their symbiotic fungus, represents the first
evidence for avoidance learning in attine ants toward plants with induced
defenses.
PMID- 24929943
TI - Developments in the technique of endoscopic combined intrarenal surgery in the
prone split-leg position.
AB - OBJECTIVE: To develop a new technique for performing endoscopic combined
intrarenal surgery in the prone split-leg position and to evaluate its efficacy
using computed tomography. MATERIALS AND METHODS: Between December 2010 and
January 2013, 60 patients with large calculi (39.2 +/- 2.6 mm) underwent this
surgery. A laser fiber was used with a flexible ureteroscope introduced through a
ureteral access sheath, and lithoclast lithotripsy was performed through a mini
percutaneous tract. Three-dimensional computed tomography was performed to
determine anatomic variations, including the ureteral location and ureteropelvic
junction angle in all patients in both the supine and prone positions. RESULTS:
All procedures were performed successfully with a single tract and the patient in
the prone split-leg position. The mean surgical time was 120.5 +/- 6.7 min. The
initial stone-free rate was 82%, and the final stone-free rate was 87% after
further treatment. One patient required blood transfusion, but none had severe
complications. Computed tomography showed that the ureter between the orifice and
ureteropelvic junction was straighter and the ureteropelvic junction angle was
significantly smaller for surgeries conducted in the prone position than the
supine position. CONCLUSION: Flexible ureteroscopy in the prone split-leg
position is a viable technique. It allows easy insertion of the ureteral sheath
and access of the ureteroscope to the renal pelvis. The findings suggest that
this hybrid surgery is an efficient, effective, and versatile procedure for the
management of renal calculi.
PMID- 24929944
TI - Multiple repeat prostate biopsies and the detection of clinically insignificant
cancer in men with large prostates.
AB - OBJECTIVE: To determine the impact of repeating prostate biopsies on the risk of
detecting clinically insignificant prostate cancer (PCa) in larger prostate
glands. METHODS: We performed a retrospective cohort study using patients
enrolled in our institutional PCa registry from 1991 to 2008 to assess the
association of prostate volume and clinically insignificant PCa in men undergoing
multiple prostate biopsies. Patients were stratified by prostate volume into 2
cohorts (<50 cm(3) or >=50 cm(3)). Additionally, patients were stratified by
prostate biopsy on which PCa was identified (1 biopsy or >=3 biopsies). RESULTS:
Within the subgroup of patients with prostate volume >=50 cm(3) requiring >=3
biopsies before cancer diagnosis, 72.6% (45/62) had pathologic Gleason scores <=6
and 81.6% (49/60) had an estimated tumor volume of <=10% at the time of radical
prostatectomy. This was significantly different from patients with prostate
volume <50 cm(3) diagnosed on their first biopsy, in which only 48.5% (656/1349)
were found to have Gleason scores <=6 and 54.2% (705/1300) had estimated tumor
volume <=10% (P <.01). There was no significant difference in the rate of Gleason
score upgrading at time of prostatectomy between any of the subgroups.
CONCLUSION: PCas detected in men with prostatic enlargement requiring multiple
biopsies are more likely to be low-grade, low-volume tumors at final pathology
than men without prostate enlargement. Men with larger prostates who have already
had prior negative biopsies should be counseled regarding the increased risk of
detecting clinically insignificant PCa with additional biopsies.
PMID- 24929945
TI - Patient opinions on prostate cancer screening are swayed by the United States
Preventative Services Task Force recommendations.
AB - OBJECTIVE: To survey patient opinions on prostate cancer (PCa) screening in light
of the United States Preventive Services Task Force recommendation against its
use. METHODS: We conducted a survey of all-comers to urology and primary care
clinics. Participants provided demographic information and responded to a 5-item
questionnaire regarding their opinions on screening before and after reading
opposing position statements. RESULTS: The overall response rate was 48%. After
excluding incomplete questionnaires, 54 surveys were available for analysis.
Patients were predominantly white, middle-aged and older, college-educated men
with middle-to-upper-middle-class incomes who were seen at urology clinics.
Patients rated their "pre" level of understanding of screening recommendations as
good or very good (52%), okay (30%), and poor (19%). After reading the
information sheets, good or very good understanding of screening recommendations
improved (65%; P = .05), and agreement with the importance of screening remained
high (80%). However, nearly 20% of patients expressed a more neutral or less
favorable attitude toward the risk-benefit ratio of screening (P = .09).
Agreement that men should undergo screening, that screening helps detect cancer,
and that screening saves lives remained high, regardless of the exposure.
CONCLUSION: Overall, patients favor PCa screening, but heightened awareness of
the current controversy raises concerns about its potential harms. PCa screening
is a complex issue, and insight into changing public opinion will be crucial to
our future discussions with patients who are wrestling with the decision whether
to undergo screening.
PMID- 24929946
TI - Mucosa-sparing, KTP laser coagulation of submucosal telangiectatic vessels in
patients with radiation-induced cystitis: a novel approach.
AB - INTRODUCTION: This study aimed evaluate the safety and feasibility of endoscopic
potassium titanyl phosphate (KTP) laser application in the management of patients
with radiation-induced hemorrhagic cystitis (RHC). TECHNICAL CONSIDERATIONS: We
retrospectively reviewed the records of 20 patients with RHC who underwent
endoscopic KTP laser ablation of telangiectatic bladder vessels between October
2005 and January 2013. After initial cystoscopy, KTP laser was used to ablate the
submucosal vasculature while preserving the overlying mucosa. The surgical
outcome was evaluated by duration of hematuria-free interval, number of episodes
of hematuria, and number of required medical and/or surgical interventions after
initial treatment. Overall, 20 patients underwent 26 sessions of KTP laser
ablation of bladder vessels. The procedure was able to stop bleeding 92% of the
time and the average hematuria-free interval after ablation was 11.8 months, with
a range of 1-37 months. In 13 patients (65%) hematuria resolved after 1 session
of KTP laser treatment, whereas 5 patients (25%) required multiple sessions. Two
patients (10%) with severe hematuria continued to have bleeding after laser
treatment, which necessitated proximal diversion of urine with percutaneous
nephrostomy tubes to control bleeding. CONCLUSION: This study suggests that KTP
laser, with its unique photoselectivity property, is a safe, effective, and
durable treatment with minimal side effects for ablation of submucosal bladder
vessels in patients with RHC.
PMID- 24929947
TI - Robot-assisted partial nephrectomy for >= 7 cm renal masses: a comparative
outcome analysis.
AB - OBJECTIVE: To present our robotic partial nephrectomy (RPN) experience for renal
masses >= 7 cm and compare the surgical outcomes in this cohort with those
obtained for small (<= 4 cm) renal masses. MATERIALS AND METHODS: We
retrospectively reviewed our institutional review board-approved RPN database and
identified patients undergoing RPN for tumors >= 7 cm. Surgical technique, renal
function, oncologic, and pathologic data were analyzed and compared with the RPN
for renal masses <= 4 cm. RESULTS: Overall, 441 patients were identified for the
purpose of this study, including 29 cases and 412 controls. Median operative time
(200 vs 180 min; P = .005), warm ischemia time (26.5 vs 19 min; P <.001), and
estimated blood loss (250 mL [353] vs 150 mL [150]; P <.001) were significantly
lower in the control group. Postoperative complications were significantly higher
in the case group (37.9% vs 15.8%; P = .005). However, the percentages of major
complications (Clavien grade >= III) were comparable (18.2% vs 17%; P = .57 for
cases and controls respectively). Postoperative blood transfusion was higher for
larger tumor group (24.1% vs 4.1%; P <.001). Positive margins were similar
between groups (5.9% vs 3.3%; P = .45 for cases and controls respectively). There
was no difference in estimated glomerular filtration rate decline between the two
groups (12.2% vs 15.8% decline; P = .98). CONCLUSION: RPN represents a feasible
and safe nephron-sparing surgery approach for highly selected (mostly exophytic
growth pattern, polar location, and likelihood of benign histology) renal masses
>= 7 cm in diameter.
PMID- 24929948
TI - Prostatic artery embolization for prostate volume greater than 80 cm3: results
from a single-center prospective study.
AB - OBJECTIVE: To investigate clinical benefits and safety of prostatic artery
embolization (PAE) in patients with prostate volume >=80 cm(3) and Charlson
comorbidity index (CCI) >=2 and affected by benign prostatic obstruction (BPO).
PATIENTS AND METHODS: From January 2009 to January 2012, PAE was performed in 88
consecutive patients affected by clinical BPO. Inclusion criteria were
symptomatic BPO refractory to medical treatment, International Prostate Symptom
Score (IPSS) >=12, total prostate volume (TPV) >=80 cm(3), Qmax <15 mL/s, and CCI
>=2. Primary end points were the reduction of 7 points of the IPSS and the
increase of Qmax. Secondary end points were the reduction of TPV, postvoid
residue (PVR), prostate-specific antigen (PSA), International Index of Erectile
Function 5 score, and IPSS-quality of life (QoL). Follow-up was addressed at 3
months, 6 months, and at 1 year. RESULTS: The mean IPSS (10.40 vs 23.98; P <.05)
and the mean Qmax (16.89 vs 7.28; P <.05) at 1 year were significantly different
with respect to baseline. When considering secondary end points, we observed
significant variation in terms of PVR (18.38 vs 75.25; P <.05), TPV (71.20 vs
129.31; P <.05), and PSA level (2.12 vs 3.67; P <.05) at 1 year compared with
baseline. Finally, the mean IPSS-QoL significantly changed from baseline to 1
year after PAE (5.10 vs 2.20; P <.05). No minor or major complications were
reported. CONCLUSION: We showed clinical benefits of PAE for the treatment of
lower urinary tract symptoms and/or BPO by reducing IPSS, TPV, PSA, PVR, and
improvement in urinary flow and QoL after 1 year in patients with prostate volume
>=80 cm(3) and CCI >=2.
PMID- 24929949
TI - Effect of fosamprenavir/ritonavir on the pharmacokinetics of single-dose
olanzapine in healthy volunteers.
AB - Psychosis and other mental illnesses are common in HIV-infected patients.
Olanzapine is one of the preferred antipsychotic agents for the treatment of
schizophrenia. Olanzapine is primarily metabolised by CYP1A2 and uridine
diphosphate glucuronosyltransferase (UGT). High-dose ritonavir has been shown to
increase olanzapine elimination through induction of CYP1A2 and/or UGT, but the
effect of low-dose ritonavir on olanzapine pharmacokinetics is unknown.
Fosamprenavir is an HIV protease inhibitor that is boosted by low-dose ritonavir.
To compensate for the induction of olanzapine metabolism by
fosamprenavir/ritonavir, we hypothesised that a dose increase of olanzapine to 15
mg with fosamprenavir/ritonavir would lead to a similar area under the
concentration-time curve (AUC) compared with olanzapine 10 mg alone. An open
label, randomised, two-period, cross-over, single-centre trial was conducted in
24 healthy volunteers. Subjects were randomised to one of the following
treatments: (A) fosamprenavir/ritonavir 700/100 mg twice daily (b.i.d.) for 16
days with a single dose of olanzapine 15 mg on Day 13, a wash-out period of 31
days and a single dose of olanzapine 10 mg on Day 48; or (B) the same medication
in reverse order. Twenty subjects completed the trial. The geometric mean ratios
(90% CI) of olanzapine AUClast, maximum drug concentration (C(max)) and apparent
elimination half-life (t(1/2)) when taken with fosamprenavir/ritonavir versus
olanzapine alone were 1.00 (0.93-1.08), 1.32 (1.18-1.47) and 0.68 (0.63-0.74),
respectively. Fosamprenavir/ritonavir 700/100 mg b.i.d. appeared to induce
olanzapine metabolism. We therefore propose a 50% dosage increase of olanzapine
when combining with a ritonavir-boosted protease inhibitor.
PMID- 24929950
TI - PAI-1 mediates the antiangiogenic and profibrinolytic effects of 16K prolactin.
AB - The N-terminal fragment of prolactin (16K PRL) inhibits tumor growth by impairing
angiogenesis, but the underlying mechanisms are unknown. Here, we found that 16K
PRL binds the fibrinolytic inhibitor plasminogen activator inhibitor-1 (PAI-1),
which is known to contextually promote tumor angiogenesis and growth. Loss of PAI
1 abrogated the antitumoral and antiangiogenic effects of 16K PRL. PAI-1 bound
the ternary complex PAI-1-urokinase-type plasminogen activator (uPA)-uPA receptor
(uPAR), thereby exerting antiangiogenic effects. By inhibiting the
antifibrinolytic activity of PAI-1, 16K PRL also protected mice against
thromboembolism and promoted arterial clot lysis. Thus, by signaling through the
PAI-1-uPA-uPAR complex, 16K PRL impairs tumor vascularization and growth and, by
inhibiting the antifibrinolytic activity of PAI-1, promotes thrombolysis.
PMID- 24929952
TI - Yes! Sex matters: sex, the brain and blood pressure.
AB - The role of the brain in hypertension between the sexes is known to be important
especially with regards to the effects of circulating sex hormones. A number of
different brain regions important for regulation of sympathetic outflow and blood
pressure express estrogen receptors (ERalpha and ERbeta). Estradiol, acting
predominantly via the ERalpha, inhibits angiotensin II activation of the area
postrema and subfornical organ neurons and inhibits reactive oxygen generation
that is required for the development of Angiotensin II-induced neurogenic
hypertension. Estradiol activation of ERbeta within the paraventricular nucleus
and the rostral ventral lateral medulla inhibits these neurons and inhibits
angiotensin II, or aldosterone induced increases in sympathetic outflow and
hypertension. Understanding the cellular and molecular mechanisms underlying
ERalpha and ERbeta actions within key brain regions regulating blood pressure
will be essential for the development of "next generation" selective estrogen
receptor modulators (SERMS) that can be used clinically for the treatment of
neurogenic hypertension.
PMID- 24929951
TI - Leptin reverses diabetes by suppression of the hypothalamic-pituitary-adrenal
axis.
AB - Leptin treatment reverses hyperglycemia in animal models of poorly controlled
type 1 diabetes (T1D), spurring great interest in the possibility of treating
patients with this hormone. The antidiabetic effect of leptin has been postulated
to occur through suppression of glucagon production, suppression of glucagon
responsiveness or both; however, there does not appear to be a direct effect of
leptin on the pancreatic alpha cell. Thus, the mechanisms responsible for the
antidiabetic effect of leptin remain poorly understood. We quantified liver
specific rates of hepatic gluconeogenesis and substrate oxidation in conjunction
with rates of whole-body acetate, glycerol and fatty acid turnover in three rat
models of poorly controlled diabetes, including a model of diabetic ketoacidosis.
We show that the higher rates of hepatic gluconeogenesis in all these models
could be attributed to hypoleptinemia-induced activity of the hypothalamic
pituitary-adrenal (HPA) axis, resulting in higher rates of adipocyte lipolysis,
hepatic conversion of glycerol to glucose through a substrate push mechanism and
conversion of pyruvate to glucose through greater hepatic acetyl-CoA allosteric
activation of pyruvate carboxylase flux. Notably, these effects could be
dissociated from changes in plasma insulin and glucagon concentrations and
hepatic gluconeogenic protein expression. All the altered systemic and hepatic
metabolic fluxes could be mimicked by infusing rats with Intralipid or
corticosterone and were corrected by leptin replacement. These data demonstrate a
critical role for lipolysis and substrate delivery to the liver, secondary to
hypoleptinemia and HPA axis activity, in promoting higher hepatic gluconeogenesis
and hyperglycemia in poorly controlled diabetes.
PMID- 24929954
TI - Investigation of paternity with alleged father deceased or missing: analysis of
success at the end of the report.
AB - In this work we present a retrospective study of 858 cases of paternity
investigation performed in Rio Grande do Sul, Southern Brazil, from 2007 to 2012,
where the alleged father was deceased or missing. These cases represent 3.3%
(858/26187) of paternity tests performed in that period. Considering the analysis
of 17 DNA short tandem repeat loci, we present here the proportion of cases with
conclusive results according to the number of relatives of the unavailable
alleged father investigated and their kinship. The results show 81.0% (695/858)
of cases with conclusive results and their characteristics.
PMID- 24929953
TI - Vitamin D deficiency in the pathogenesis of hypertension: still an unsettled
question.
AB - Vitamin D deficiency is inversely associated with blood pressure and is felt to
contribute to the genesis and maintenance of hypertension. Although well
demonstrated in animal studies, in many clinical studies the association between
vitamin D status and blood pressure has not been consistently observed or else
has been quite small. These discrepancies may relate in part to methodological
differences including: patient selection, study size and duration, and, in the
case of vitamin D repletion studies, differences in the vitamin D supplement
used, its dose, and dosing intervals. Polymorphisms in genes regulating vitamin D
activation and function may explain some of the observed inconsistencies as
suggested by recent studies. The present review examines experimental and
clinical studies bearing on the inverse association between blood pressure and
vitamin D status and concludes that a new definition of vitamin D deficiency
using additional biomarkers may better select patients with hypertension who will
respond to vitamin D supplementation.
PMID- 24929956
TI - Sown species richness and realized diversity can influence functioning of plant
communities differently.
AB - Biodiversity-ecosystem functioning experiments (BEF) typically manipulate sown
species richness and composition of experimental communities to study ecosystem
functioning as a response to changes in diversity. If sown species richness is
taken as a measure of diversity and aboveground biomass production as a measure
of community functioning, then this relationship is usually found to be positive.
The sown species richness can be considered the equivalent of a local species
pool in natural communities. However, in addition to species richness, realized
diversity is also an important community diversity component. Realized diversity
is affected by environmental filtering and biotic interactions operating within a
community. As both sown species richness and the realized diversity in BEF
studies (as well as local species pool vs observed realized richness in natural
communities) can differ markedly, so can their effects on the community
functioning. We tested this assumption using two data sets: data from a short
term pot experiment and data from the long-term Jena biodiversity plot
experiment. We considered three possible predictors of community functioning
(aboveground biomass production): sown species richness, realized diversity
(defined as inverse of Simpson dominance index), and survivor species richness.
Sown species richness affected biomass production positively in all cases.
Realized diversity as well as survivor species richness had positive effects on
biomass in approximately half of cases. When realized diversity or survivor
species richness was tested together with sown species richness, their partial
effects were none or negative. Our results suggest that we can expect positive
diversity-productivity relationship when the local species pool size is the
decisive factor determining realized observed diversity; in other cases, the
shape of the diversity-functioning relationship may be quite opposite.
PMID- 24929955
TI - Serum uric acid predicts vascular complications in adults with type 1 diabetes:
the coronary artery calcification in type 1 diabetes study.
AB - Epidemiologic evidence supports a link between serum uric acid (SUA) and vascular
complications in diabetes, but it remains unclear whether SUA improves the
ability of conventional risk factor to predict complications. We hypothesized
that SUA at baseline would independently predict the development of vascular
complications over 6 years and that the addition of SUA to American Diabetes
Association's ABC risk factors (HbA1c, BP, LDL-C) would improve vascular
complication prediction over 6 years in adults with type 1 diabetes. Study
participants (N = 652) were 19-56 year old at baseline and re-examined 6 years
later. Diabetic nephropathy was defined as incident albuminuria or rapid GFR
decline (>3.3 %/year) estimated by the CKD-EPI cystatin C. Diabetic retinopathy
(DR) was based on self-reported history, and proliferative diabetic retinopathy
(PDR) was defined as laser eye therapy; coronary artery calcium (CAC) was
measured using electron-beam computed tomography. Progression of CAC (CACp) was
defined as a change in the square-root-transformed CAC volume >=2.5. Predictors
of each complication were examined in stepwise logistic regression with subjects
with complications at baseline excluded from analyses. C-statistics, integrated
discrimination indices and net-reclassification improvement were utilized for
prediction performance analyses. SUA independently predicted development of
incident albuminuria (OR 1.8, 95 % CI 1.2-2.7), rapid GFR decline (1.9, 1.1-3.3),
DR (1.4, 1.1-1.9), PDR (2.1, 1.4-3.0) and CACp (1.5, 1.1-1.9). SUA improved the
discrimination and net-classification risk of vascular complications over 6
years. SUA independently predicted the development of vascular complications in
type 1 diabetes and also improved the reclassification of vascular complications.
PMID- 24929957
TI - Case report: bipolar disorder as the first manifestation of CADASIL.
AB - BACKGROUND: Cerebral autosomal dominant arteriopathy with subcortical infarcts
and leukoencephalopathy (CADASIL) is an inherited cerebrovascular disease,
clinically characterized by variable manifestations of migraine, recurrent
transient ischemic attack or lacunar strokes, cognitive decline, and mood
disturbances. However, manic episodes have rarely been documented as an initial
symptom of CADASIL and bipolar disorder presenting as the first manifestation in
CADASIL has not been reported previously from evaluations by psychiatrists or
psychological testing by psychologists. CASE PRESENTATION: A 53 year old woman
developed symptoms of mania in her 50s leading to a personality change involving
a continuously labile mood and irritability over a number of years.
Neuropsychological testing revealed an intact memory, but impairment in attention
and executive function. In the Rorschach test, she showed a high level of
cognitive rigidity. Magnetic resonance imaging findings were very consistent with
a diagnosis of CADASIL, which was confirmed by genetic testing for NOTCH3
mutations. Atypical antipsychotics proved to be helpful in treating her manic
symptoms and for behavior control. CONCLUSION: We present a novel case of CADASIL
that first presented as bipolar disorder. We contend that when patients show a
late onset personality change or chronically irritable mood that deteriorates
over many years, an organic cause such as CADASIL must be considered. Further
studies are needed to better understand the exact impacts of cerebral tissue
lesions and psychiatric symptoms in CADASIL patients.
PMID- 24929958
TI - Dynamic study of the hippocampal volume by structural MRI in a rat model of
depression.
AB - The reduction of hippocampal volume remains controversial in depression because
of the variability among individuals in clinical studies. Here, a reliable
experimental rat model of depression, established by chronic unpredictable mild
stress (CUMS), was used. Thirty rats were randomly divided into two groups (CUMS
group and control group). Hippocampal volume was dynamically measured every 2
weeks in a 56-day chronic stress procedure using structural magnetic resonance
imaging, and the correlation between the hippocampal volume and the learning and
memory changes was investigated. Our results demonstrated that CUMS rats showed
significantly smaller volumes of the bilateral hippocampus compared to that of
the controls, changing dramatically with the development of CUMS procedure. The
left hippocampal volume was reduced earlier and more markedly than the right one
from the 2nd week to the 8th week of the CUMS procedure (on the 8th week: left:
approximately 15.3 %; right: approximately 8.4 % reduction). Additionally, the
hippocampal volume of CUMS rats was significantly negatively correlated with the
learning and memory changes. Of note, it showed that the more obviously the
hippocampal volume reduced, the more severely the learning and memory damaged. In
conclusion, the hippocampal volume decreased gradually and dynamically and was
correlated with the impairment of the learning and memory in depression.
PMID- 24929959
TI - Continuous renal replacement therapy (CRRT) for rhabdomyolysis.
AB - BACKGROUND: Rhabdomyolysis is a condition that is characterised by the breakdown
of skeletal muscle tissue and leakage of intracellular myocyte contents into
circulating blood. Rhabdomyolysis can lead to acute kidney injury (AKI) and is a
potentially life-threatening condition. Studies have indicated that continuous
renal replacement therapy (CRRT) may provide benefits for people with
rhabdomyolysis by removing potentially damaging myoglobin and stabilising
haemodynamic and metabolic status. OBJECTIVES: We aimed to: i) assess the
efficacy of CRRT in removing myoglobin; ii) investigate the influence of CRRT on
mortality and kidney-related outcomes; and iii) evaluate the safety of CRRT for
the treatment of people with rhabdomyolysis. SEARCH METHODS: We searched the
Cochrane Renal Group's Specialised Register to 6 January 2014 through contact
with the Trials' Search Co-ordinator using search terms relevant to this review.
We also searched China National Knowledge Infrastructure (from 1 January 1979 to
16 April 2013) and the Chinese Clinical Trials Register (to 16 April 2013).
SELECTION CRITERIA: All randomised controlled trials (RCTs) and quasi-RCTs that
investigated clinical outcomes of CRRT for people with rhabdomyolysis were
included. DATA COLLECTION AND ANALYSIS: Two authors independently assessed
studies for inclusion and extracted data. We derived risk ratios (RR) for
dichotomous data and mean differences (MD) for continuous data with 95%
confidence intervals (CI). Methodological risk of bias was assessed using the
Cochrane risk of bias tool. MAIN RESULTS: Of the three included studies (101
participants), one evaluated continuous arteriovenous haemodialysis and two
investigated continuous venovenous haemofiltration; all included conventional
therapy as control.We found significant decreases in myoglobin in patients among
whom CRRT therapy was initiated on days four, eight, and 10 (day 4: MD -11.00
(MUg/L), 95% CI -20.65 to -1.35; Day 8: MD -23.00 (MUg/L), 95% CI -30.92 to
15.08; day 10: MD -341.87 (MUg/L), 95% CI -626.15 to -57.59) compared with those
who underwent conventional therapy.Although CRRT was associated with improved
serum creatinine, blood urea nitrogen, and potassium levels; reduced duration of
the oliguria phase; and was associated with reduced time in hospital, no
significant differences were found in mortality rates compared with conventional
therapy (RR 0.17, 95% CI 0.02 to 1.37). The included studies did not report on
long-term outcomes or prevention of AKI.Overall, we found that study quality was
suboptimal: blinding and randomisation allocation were not reported by any of the
included studies, leading to the possibility of selection, performance and
detection bias. AUTHORS' CONCLUSIONS: Although CRRT may provide some benefits for
people with rhabdomyolysis, the poor methodological quality of the included
studies and lack of data relating to clinically important outcomes limited our
findings about the effectiveness of CRRT for people with rhabdomyolysis.There was
insufficient evidence to discern any likely benefits of CRRT over conventional
therapy for people with rhabdomyolysis and prevention of rhabdomyolysis-induced
AKI.
PMID- 24929960
TI - Determination of cephalomannine in rat plasma by gradient elution UPLC-MS/MS
method.
AB - A rapid, sensitive and selective ultra-performance liquid chromatography tandem
mass spectrometry (UPLC-MS/MS) was developed and validated for the determination
and pharmacokinetic investigation of cephalomannine in rat plasma. Sample
preparation was accomplished through a simple one-step deproteinization procedure
with 0.2 mL of perchloric acid-methanol (1:9, v/v) to a 0.1 mL plasma sample.
Plasma samples were separated by UPLC on an Acquity UPLC BEH C18 column using a
mobile phase consisting of acetonitrile-0.1% formic acid in water with gradient
elution. The total run time was 2.0 min and the elution of cephalomannine was at
1.60 min. The detection was performed on a triple quadrupole tandem mass
spectrometer in the multiple reaction-monitoring (MRM) mode using the respective
transitions m/z 832.8->264.1 for cephalomannine and m/z 812.6->286.0 for 10-DAT
(internal standard), respectively. The calibration curve was linear over the
range of 10-2,000 ng/mL with a lower limit of quantitation (LLOQ) of 10 ng/mL.
Mean recovery of cephalomannine in plasma was in the range of 80.9-85.3%. Intra
day and inter-day precision were both <11.2%. This method was successfully
applied in pharmacokinetic study after intravenous administration of 5.0mg/kg
cephalomannine in rats.
PMID- 24929961
TI - Synthesis and preclinical evaluation of carbon-11 labelled N-((5-(4-fluoro-2
[(11)C]methoxyphenyl)pyridin-3-yl)methyl)cyclopentanamine as a PET tracer for
NR2B subunit-containing NMDA receptors.
AB - INTRODUCTION: The N-methyl-D-Aspartate (NMDA) receptor plays an important role in
learning and memory. Overactivation is thought to play an important role in
neurodegenerative disorders such as Alzheimer's disease. Currently, it is not
possible to assess N-methyl-D-aspartate receptor (NMDAr) bio-availability in
vivo. The purpose of this study was to develop a positron emission tomography
(PET) ligand for the NR2B binding site of the NMDA receptor. METHODS: N-((5-(4
fluoro-2-methoxyphenyl)pyridin-3-yl)methyl)cyclopentanamine was radiolabelled
with carbon-11 in the phenyl moiety. Biodistribution and blocking studies were
carried out in anaesthetized mice and in non-anaesthetized rats. RESULTS: N-((5
(4-fluoro-2-[(11)C]methoxyphenyl)pyridin-3-yl)methyl)cyclopentanamine was
prepared in 49+/-3% (decay-corrected) yield, affording 4.1+/-0.3 GBq of
formulated product at the end of synthesis with a radiochemical purity of >99%
and with a specific activity of 78+/-10 GBq/MUmol. CONCLUSION: A new NR2B PET
ligand was developed in high yield. [(11)C]4 readily enters the brain and binds
to the NR2B subunit-containing NMDAr in the rodent brain. High sigma-1 receptor
binding may, however, limit its future application as a PET probe for imaging the
NR2B subunit-containing NMDAr. Anaesthesia has an effect on NMDAr function and
therefore can complicate interpretation of preclinical in vivo results. In
addition, effects of endogenous compounds cannot be excluded. Despite these
potential limitations, further studies are warranted to investigate the values of
[(11)C]4 as an NR2B PET ligand.
PMID- 24929962
TI - Photo-production of (99)Mo/(99m)Tc with electron linear accelerator beam.
AB - We report on the development of a relatively new method for the production of
(99)Mo/(99m)Tc. The method involves the irradiation of natural molybdenum using
high-intensity bremsstrahlung photons from the electron beam of the LUE50 linear
electron accelerator located at the Yerevan Physics Institute (YerPhi). The
production method has been developed and shown to be successful. The linear
electron accelerator at YerPhi was upgraded to allow for significant increases of
the beam intensity and spatial density. The LUE50 was also instrumented by a
remote control system for ease of operation. We have developed and tested the
(99m)Tc extraction from the irradiation of natural MoO3. This paper reports on
the optimal conditions of our method of (99)Mo production. We show the success of
this method with the production and separation of the first usable amounts of
(99m)Tc.
PMID- 24929963
TI - Prognostic value of 3'-deoxy-3'-18F-fluorothymidine ([(18)F] FLT PET) in patients
with recurrent malignant gliomas.
AB - INTRODUCTION: 3'-deoxy-3'-18F-fluorothymidine ([(18)F] FLT) PET has been proven
to be of value in diagnosis and assessment of glioma grading, in differentiating
tumor recurrence from necrosis, in response assessment and in predicting overall
survival (OS) in the primary high grade glioma. In this study, we evaluated the
value of [(18)F] FLT PET-CT in predicting the OS of patients with recurrent
malignant glioma. METHODS: Fifty-six patients with recurrent malignant glioma
were enrolled in this prospective study. The PET-CT and contrast-enhanced MRI
scans were performed in all patients. Tumor volume was determined from both PET
image (proliferative volume, PV) and MRI image (Vol-MRI). Patients were followed
up clinically until death. The likelihood of using PET-derived parameters of
SUVmax, tumor-to-normal (T/N) ratio, and PV to predict the OS of patients were
assessed in comparison with Vol-MRI and other clinical parameters. RESULT: The
follow up periods for all patients ranged from 1.5 to 35.6 months with median of
9.8 months. Univariate analysis showed that the following parameters were
significantly correlated with OS: grade of primary tumor (p=0.042), Karnofsky
performance score (KPS) (p=0.041), T/N ratio (p<0.01), Vol-MRI (p=0.041), and PV
(p<0.001). However, multivariate Cox regression showed that only the PV (p<0.001)
and T/N ratio (p=0.001) were independent predictors. The thresholds to predict OS
were 16.88 cm(3) for PV and 10.94 for T/N ratio. Kaplan-Meier analyses using
these thresholds showed a significant discrimination between short and long OS
groups (p<0.001). CONCLUSION: The PV and T/N ratio of tumor on [(18)F] FLT PET-CT
are independent predictors of survival in patients with recurrent malignant
glioma. The PV on [(18)F] FLT PET seems to be more predictive than tumor volume
on T1-weighted MRI for OS.
PMID- 24929964
TI - The transcription factor Pou3f1 promotes neural fate commitment via activation of
neural lineage genes and inhibition of external signaling pathways.
AB - The neural fate commitment of pluripotent stem cells requires the repression of
extrinsic inhibitory signals and the activation of intrinsic positive
transcription factors. However, how these two events are integrated to ensure
appropriate neural conversion remains unclear. In this study, we showed that
Pou3f1 is essential for the neural differentiation of mouse embryonic stem cells
(ESCs), specifically during the transition from epiblast stem cells (EpiSCs) to
neural progenitor cells (NPCs). Chimeric analysis showed that Pou3f1 knockdown
leads to a markedly decreased incorporation of ESCs in the neuroectoderm. By
contrast, Pou3f1-overexpressing ESC derivatives preferentially contribute to the
neuroectoderm. Genome-wide ChIP-seq and RNA-seq analyses indicated that Pou3f1 is
an upstream activator of neural lineage genes, and also is a repressor of BMP and
Wnt signaling. Our results established that Pou3f1 promotes the neural fate
commitment of pluripotent stem cells through a dual role, activating internal
neural induction programs and antagonizing extrinsic neural inhibitory signals.
PMID- 24929965
TI - Optimal multisensory decision-making in a reaction-time task.
AB - Humans and animals can integrate sensory evidence from various sources to make
decisions in a statistically near-optimal manner, provided that the stimulus
presentation time is fixed across trials. Little is known about whether
optimality is preserved when subjects can choose when to make a decision
(reaction-time task), nor when sensory inputs have time-varying reliability.
Using a reaction-time version of a visual/vestibular heading discrimination task,
we show that behavior is clearly sub-optimal when quantified with traditional
optimality metrics that ignore reaction times. We created a computational model
that accumulates evidence optimally across both cues and time, and trades off
accuracy with decision speed. This model quantitatively explains subjects's
choices and reaction times, supporting the hypothesis that subjects do, in fact,
accumulate evidence optimally over time and across sensory modalities, even when
the reaction time is under the subject's control.
PMID- 24929966
TI - Plant phytochemicals: potential anticancer agents against gastric cancer.
AB - Isothiocyanates (ITCs) are plant phytochemicals derived from vegetables consumed
by human. ITCs comprise potent anti-carcinogenic agents of which the consumption
has been linked to a reduced risk of cancer at several locations in the body.
However, the studies on coping with gastric cancer remain unsatisfied. In the
present review, ITCs are discussed in this context as ITCs may target gastric
tumorigenesis at multiple levels. ITCs are taken up in the stomach, exposing
mucosal and muscle layer cells as well as affecting Helicobacter pylori residing
in the stomach. The natural and potent anti-cancer ITCs from vegetables have a
great potential against gastric cancer, a disease in need of new treatment or
preventive modalities.
PMID- 24929967
TI - NSAID-gastroenteropathy: new aspects of pathogenesis and prevention.
AB - Nonsteroidal anti-inflammatory drugs (NSAIDs) remain among the most commonly used
medications because of their effectiveness in reducing pain and inflammation.
Inhibitors of gastric acid secretion can substantially reduce the damaging
effects of NSAIDs in the stomach and duodenum. However, there are no proven
effective preventative or curative treatments for NSAID-induced enteropathy. In
recent years, substantial progress has been made in better understanding the
pathogenesis of NSAID-enteropathy, and in particular the interplay of enteric
bacteria, bile and the enterohepatic recirculation of the NSAIDs. Moreover, it is
becoming clear that suppression of gastric acid secretion significantly worsens
NSAID-enteropathy.
PMID- 24929968
TI - Iron and multiple sclerosis.
AB - Iron is essential for normal cellular functioning of the central nervous system.
Abnormalities in iron metabolism may lead to neuronal death and abnormal iron
deposition in the brain. Several studies have suggested a link between brain iron
deposition in normal aging and chronic neurologic diseases, including multiple
sclerosis (MS). In MS, it is still not clear whether iron deposition is an
epiphenomenon or a mediator of disease processes. In this review, the role of
iron in the pathophysiology of MS will be summarized. In addition, the importance
of conventional and advanced magnetic resonance imaging techniques in the
characterization of brain iron deposition in MS will be reviewed. Although there
is currently not enough evidence to support clinical use of iron chelation in MS,
an overview of studies of iron chelation or antioxidant therapies will be also
provided.
PMID- 24929970
TI - Death by color: differential cone loss in the aging mouse retina.
AB - Differential cell death is a common feature of aging and age-related disease. In
the retina, 30% of rod photoreceptors are lost over life in humans and rodents.
However, studies have failed to show age-related cell death in mouse cone
photoreceptors, which is surprising because cone physiological function declines
with age. Moreover in human, differential loss of short wavelength cone function
is an aspect of age-related retinal disease. Here, cones are examined in young (3
month-old) and aged (12-month-old) C57 mice and also in complement factor H knock
out mice (CFH-/-) that have been proposed as a murine model of age-related
macular degeneration. In vivo imaging showed significant age-related reductions
in outer retinal thickness in both groups over this period. Immunostaining for
opsins revealed a specific significant decline of >20% for the medium/long (M/L)
wavelength cones but only in the periphery. S cones numbers were not
significantly affected by age. This differential cell loss was backed up with
quantitative real-time polymerase chain reaction for the 2 opsins, again showing
S opsin was unaffected, but that M/L opsin was reduced particularly in CFH-/-
mice. These results demonstrate aged cone loss, but surprisingly, in both
genotypes, it is only significant in the peripheral ventral retina and focused on
the M/L population and not S cones. We speculate that there may be fundamental
differences in differential cone loss between human and mouse that may question
the validity of mouse models of human outer retinal aging and pathology.
PMID- 24929971
TI - Effects of cedar pollen extract on the immune system in vitro.
PMID- 24929969
TI - APOE-epsilon4 and aging of medial temporal lobe gray matter in healthy adults
older than 50 years.
AB - Atrophy of the hippocampus and surrounding temporal regions occurs in Alzheimer's
disease (AD). APOE epsilon4, the major genetic risk factor for late-onset AD, has
been associated with smaller volume in these regions before amyloidosis can be
detected by AD biomarkers. To examine APOE epsilon4 effects in relation to aging,
we performed a longitudinal magnetic resonance imaging study involving
cognitively normal adults (25 APOE epsilon4 carriers and 31 epsilon3
homozygotes), initially aged 51-75 years. We used growth curve analyses, which
can provide information about APOE epsilon4-related differences initially and
later in life. Hippocampal volume was the primary outcome; nearby medial temporal
regions were secondary outcomes. Brain-derived neurotrophic factor, val66met was
a secondary covariate. APOE epsilon4 carriers had significantly smaller initial
hippocampal volumes than epsilon3 homozygotes. Rate of hippocampal atrophy was
not greater in the APOE epsilon4 group, although age-related atrophy was detected
in the overall sample. The findings add to the growing evidence that effects of
APOE epsilon4 on hippocampal size begin early in life, underscoring the
importance of early interventions to increase reserve.
PMID- 24929972
TI - X-linked hyper IgM syndrome: clinical, immunological and molecular features in
patients from India.
AB - BACKGROUND: X-linked hyper-IgM (XHIM) is a primary immunodeficiency disorder
characterized by recurrent infections, low serum IgG and IgA and normal or
elevated IgM. It results from mutations in the CD40 ligand (CD40L) gene.
Confirmation of diagnosis with identification of underlying molecular defect is
important for the initiation of appropriate therapeutic interventions, including
immunoglobulin replacement, antibiotics and bone marrow transplantation. METHODS:
To investigate the molecular basis of XHIM, we evaluated 7 patients with
suspected XHIM and abnormal CD40L expression on activated CD4(+) T lymphocytes.
The entire coding region and intronic splice sites of the CD40L gene were
sequenced from the genomic DNA of the patients. RESULTS: 7 mutations; 3 nonsense
(c.172delA, c.A229T, c.C478T), 1 missense (c.A506G) and 3 splice sites [c.346+2(T
>C), c.289-1(G->C), c.346+1(G->T)] were identified, out of which 5 were novel.
CONCLUSION: A wide heterogeneity in the nature of mutations has been observed in
Indian XHIM patients in the present study. Identification of mutations in this
rare disorder will help in genetic diagnosis in affected families which could be
further useful in prenatal diagnosis.
PMID- 24929973
TI - [Practices and interventions related to the work integration of people with a
severe mental illness: work outcomes and avenues of research].
AB - Sustained work integration for people with a severe mental illness or handicap
psychique in French (e.g., schizophrenia) is an important issue in our society
today. Indeed, work is not only an essential factor in people's social
integration but is also a stepping-stone toward recovery for this clientele. Well
defined programs and services related to work integration were developed and
studied over the last three decades. Although the work integration of people with
severe mental illness has been studied extensively in the Anglo-Saxon literature,
the impact of these studies on the traditional beliefs and services in France
remains uncertain. In terms of the scope of the studies so far, there has been an
initial interest lasting over many years to uncover individual characteristics of
people with severe mental illness which would best predict job tenure. Since,
studies have been increasingly investigating various supports in order to
facilitate the work integration process. These supports can be illustrated as
direct supports or accommodations offered in the workplace, as needed,
particularly when people with severe mental illness choose to disclose their
mental disorder in the workplace. This awareness of the impact of the workplace
environment on the work integration of people with a severe mental illness
increases the need to find solutions and develop environmentally sensitive
clinical strategies to overcome difficulties during the work integration. To
illustrate this thematic, in this special issue, we have gathered together
studies conducted in different countries but who share the focus on work
integration of people with a severe mental illness. To reflect the advancement in
this domain, this special issue is divided in three parts. The first part
consists of the presentation of different types of vocational programs: supported
employment programs, social firms, and hybrid models. Supported employment
programs are very well documented in the specialised literature and are
recognized as an evidence-based practice across the world to help people get
competitive employment. Social firms is an another alternative model for
facilitating the work integration of people with severe mental illness but has to
date scarcely been studied empirically. Other hybrid vocational programs
implemented in Quebec (Canada) and France and inspired by supported employment
programs and social firms' principles, are also described. The second part of
this special issue is related to the presentation of two adjunct clinical
interventions for helping people with a severe mental illness in their work
integration, and more particularly for increasing job tenure: cognitive
remediation and group cognitive behavioral therapy. Cognitive remediation was
developed to reduce the impact of cognitive deficits, such as memory or
attention, in people with a severe mental illness whereas group cognitive
behavioral therapy was developed to change the dysfunctional beliefs and
behaviours that might hinder job tenure in people receiving supported employment
services. Finally, the third part of this special issue presents two papers on
the influence of the workplace, of stakeholders from the organization (e.g.,
employers, supervisors) and of the work environment on the work integration of
people with severe mental illness. The first paper discusses disclosure of the
mental illness in the workplace and its positive and negative consequences such
as receiving work accommodations and experiencing stigma, respectively. In the
last paper, psychological processes during the hiring process are presented to
better understand the elements related to discrimination and stigma during the
work integration of people with severe mental illness.
PMID- 24929974
TI - Supported employment for persons with serious mental illness: current status and
future directions.
AB - The individual placement and supported (IPS) model of supported employment is the
most empirically validated model of vocational rehabilitation for persons with
schizophrenia or another serious mental illness. Over 18 randomized controlled
trials have been conducted throughout the world demonstrating the effectiveness
of supported employment at improving competitive work compared to other
vocational programs: IPS supported employment is defined by the following
principles: 1) inclusion of all clients who want to work; 2) integration of
vocational and clinical services; 3) focus on competitive employment; 4) rapid
job search and no required prevocational skills training; 5) job development by
the employment specialist; 6) attention to client preferences about desired work
and disclosure of mental illness to prospective employers; 7) benefits
counseling; and 8) follow-along supports after a job is obtained. Supported
employment has been successfully implemented in a wide range of cultural and
clinical populations, although challenges to implementation are also encountered.
Common challenges are related to problems such as the failure to access technical
assistance, system issues, negative beliefs and attitudes of providers, funding
restrictions, and poor leadership. These challenges can be overcome by tapping
expertise in IPS supported employment, including standardized and tested models
of training and consultation. Efforts are underway to increase the efficiency of
training methods for supported employment and the overall program, and to improve
its effectiveness for those clients who do not benefit. Progress in IPS supported
employment offers people with a serious mental illness realistic hope for
achieving their work goals, and taking greater control over their lives.
PMID- 24929975
TI - Tocotrienols in Vellozia gigantea leaves: occurrence and modulation by seasonal
and plant size effects.
AB - Vitamin E occurs in all photosynthetic organisms examined to date. Tocopherols
predominate in photosynthetic tissues (alpha-tocopherol being the major form),
while either tocopherols or tocotrienols (or both) are present in seeds.
Tocotrienols have not been described in photosynthetic tissues thus far. Here, we
report on the presence of tocotrienols in leaves of higher plants. Both
tocopherols and tocotrienols accumulated in leaves of Vellozia gigantea, an
endemic plant found in the rupestrian fields of Serra do Cipo, Brazil. Increased
plant size had a remarkable effect on the vitamin E composition of leaves, alpha
tocopherol and beta-tocotrienol levels being highest in the largest individuals,
but only during the dry season. Vitamin E levels positively correlated with lipid
hydroxyperoxide levels, which also increased in the largest individuals during
the dry season. However, the maximum efficiency of PSII photochemistry (F v/F m
ratio) kept above 0.75 throughout the experiment, thus indicating absence of
photoinhibitory damage to the photosynthetic apparatus. It is concluded that
higher plants, such as V. gigantea, can accumulate tocotrienols in leaves, aside
from tocopherols, and that the levels of both tocopherols and tocotrienols in the
leaves of this species are strongly modulated by seasonal and plant size effects.
PMID- 24929976
TI - Backbone modification of a polypeptide drug alters duration of action in vivo.
AB - Systematic modification of the backbone of bioactive polypeptides through beta
amino acid residue incorporation could provide a strategy for generating
molecules with improved drug properties, but such alterations can result in lower
receptor affinity and potency. Using an agonist of parathyroid hormone receptor-1
(PTHR1), a G protein-coupled receptor in the B-family, we present an approach for
alpha->beta residue replacement that enables both high activity and improved
pharmacokinetic properties in vivo.
PMID- 24929977
TI - Size distribution studies of 137Cs in river water in the Abukuma Riverine system
following the Fukushima Dai-ichi Nuclear Power Plant accident.
AB - The occurrence of (137)Cs in size fractionated samples in river water from the
Abukuma River system, (the Kuchibuto and Abukuma Rivers, five sampling events for
three sites) was studied from June 2011--approximately some three months after
the Fukushima Dai-ichi Nuclear Power Plant (FDNPP) accident until December 2012.
The total concentration of (137)Cs (mBq/L) in river water was generally high at
the upper stream site in the Yamakiya District within the evacuation/off-limits
zone. The (137)Cs concentration was about 1Bq/L for the first sampling campaign
(June 2011) at all sites, but then decreased substantially to about one-tenth of
that by the time of a second sampling campaign (November or December 2011). The
(137)Cs in the <0.45 MUm fraction was present exclusively as a dissolved species
rather than as a species adsorbed on suspended solids or complexed with organic
materials. The contribution of the dissolved fraction ranged from 1.2 to 48.9%
(averaged 20%) of the total concentration of (137)Cs throughout the observation
period. The maximum contribution of (137)Cs was found in the silt size fraction
(3-63 MUm), which can be explained by the relatively large Kd values and the
suspended solids (SS) concentration of this size fraction. Although the
concentration (Bq/g) of (137)Cs in each size fraction did not show any
significant trends and/or variations for any of the sampling campaign, Kd values
for each site increased with time. Furthermore, it was found that the Kd values
decreased with distance from the headstream in the off-limits zone. Thus, the
data acquired in this study give an overview of the radiological situation for
Fukushima including temporal and spatial variation of radiocaesium in a natural
riverine system, within a few years after the accident.
PMID- 24929978
TI - Improvement and validation of a medium-term gpt delta rat model for predicting
chemical carcinogenicity and underlying mode of action.
AB - We have developed a new medium-term animal model, "GPG", in which an in vivo
mutation assay in partially hepatectomized tissue and a tumor-promoting assay
were performed. The tumor-promoting assay measures glutathione S-transferase
placental form positive foci induced by diethylnitrosamine (DEN) in the residual
tissue. Given that a limitation of the original protocol is the potential
interaction between the test chemical and DEN, the present study establishes a
modified protocol that includes a test chemical washout period. Using CYP2E1
inhibitor and CYP1A or CYP2B inducers, a period of 2 weeks after cessation of
exposure to the chemicals was confirmed to be sufficient to return their
enzymatic activities to normal levels. Additionally, to avoid the effects of DEN
on the pharmacokinetics of the test chemical, re-exposure to the test chemical
started 1 week after DEN injection, in which tumor-promoting activities were
clearly detected. Consequently, a modified protocol has been established with 2-
and 1-week washout periods before and after DEN injection, respectively. The
applicability of the modified protocol was demonstrated using the genotoxic
hepatocarcinogen, estragole (ES), the genotoxic renal carcinogen, aristolochic
acid (AA), and the non-genotoxic hepatocarcinogens, beta-naphthoflavone and
barbital. Furthermore, the increase of cell cycle-related parameters in ES
treated livers, but not in AA-treated livers, may indicate that the liver is not
the carcinogenic target site of AA despite its genotoxic role. Thus, since
various parameters related to carcinogenesis can be evaluated concurrently, the
GPG model could be a rapid and reliable assay for the assessment of human cancer
hazards.
PMID- 24929991
TI - The role of each compartment in a two-compartment vertical flow reactor for
ferruginous mine water treatment.
AB - A vertical flow reactor (VFR) has been suggested for remediation of ferruginous
mine drainage that passes down through an accreting bed of ochre. However, a VFR
has a limited operation time until the system begins to overflow. In this study,
a mathematical model was developed as a part of the effort to explore the
operation of a VFR, showing dynamic changes in the head differences, ochre
depths, and Fe(II)/Fe(III) concentrations in the effluent flow. The analysis
showed that VFR operation time extended from 148.5 days to 163 days in an equally
divided and to 168.4 days in asymmetrically (0.72:0.28) divided two-compartment
VFR, suggesting that an optimum compartment ratio exists that maximizes the VFR
operation time. A constant head filtration in the first compartment maximized
filtration efficiency and thus prolonged VFR longevity in the two-compartment
VFR. Fe(II) oxidation and ochre formation should be balanced with the
permeability of the ochre bed to maximize the VFR operation time and minimize the
residual Fe(II) in the effluent. Accelerated Fe(II) oxidation affected the
optimum ratio of the compartment area and reduced the residual Fe(II) in the
effluent. The VFR operation time can be prolonged significantly from 764 days to
3620 days by increasing the rate of ochre formation, much more than by
accelerating the Fe(II) oxidation. During the prolonged VFR operation, ochre
formed largely in the first compartment, while overflowing mine water with
reduced iron content was effectively filtered in the second compartment. These
results not only provide a better understanding of VFR operation but also suggest
the direction of evolution of two-compartment VFR toward a compact and highly
efficient facility integrated with an aerated cascade and with automatic
coagulant feeding.
PMID- 24929992
TI - Joel J. Alpert, MD (1930-2013).
PMID- 24929993
TI - Editorial overview: development and regeneration: nervous system development and
regeneration.
PMID- 24929994
TI - Establishment and maintenance of a standardized glioma tissue bank: Huashan
experience.
AB - Cerebral glioma is the most common brain tumor as well as one of the top ten
malignant tumors in human beings. In spite of the great progress on chemotherapy
and radiotherapy as well as the surgery strategies during the past decades, the
mortality and morbidity are still high. One of the major challenges is to explore
the pathogenesis and invasion of glioma at various "omics" levels (such as
proteomics or genomics) and the clinical implications of biomarkers for
diagnosis, prognosis or treatment of glioma patients. Establishment of a
standardized tissue bank with high quality biospecimens annotated with clinical
information is pivotal to the solution of these questions as well as the drug
development process and translational research on glioma. Therefore, based on
previous experience of tissue banks, standardized protocols for sample collection
and storage were developed. We also developed two systems for glioma patient and
sample management, a local database for medical records and a local image
database for medical images. For future set-up of a regional biobank network in
Shanghai, we also founded a centralized database for medical records. Hence we
established a standardized glioma tissue bank with sufficient clinical data and
medical images in Huashan Hospital. By September, 2013, tissues samples from
1,326 cases were collected. Histological diagnosis revealed that 73 % were
astrocytic tumors, 17 % were oligodendroglial tumors, 2 % were oligoastrocytic
tumors, 4 % were ependymal tumors and 4 % were other central nervous system
neoplasms.
PMID- 24929997
TI - 'A very valuable fusion of classes': British professional and volunteer nurses of
the First World War.
AB - Public perceptions of the work of nurses and VAD-volunteers in the First World
War have been heavily influenced by a small number of VAD-writings. The work of
trained, professional nurses in supporting and supervised the work of VADs has
been largely overlooked. This paper examines several of the writings of both
volunteers and professionals, and emphasises the overlooked supervisory,
managerial and clinical work of trained nurses. In this centenary year of the
First World War's opening months, the paper also explores the ways in which the
British mass-media--notably the BBC--have chosen to cling to a romantic image of
the untrained nurse, whilst at the same time acknowledging the significance of
trained, professional nursing.
PMID- 24929995
TI - Purification of kavalactones from Alpinia zerumbet and their protective actions
against hydrogen peroxide-induced cytotoxicity in PC12 cells.
AB - This study found that fruit shells of shell ginger (Alpinia zerumbet) are a rich
source of the kavalactones dihydro-5,6-dehydrokavain (DDK) and 5,6-dehydrokavain
(DK). The fruit shell extraction with hexane resulted in good purity and higher
yields of DDK and DK than did chloroform, ethanol, 10% ethanol, methanol or
water. Additionally, this study examined the neuroprotective effects of DDK and
DK against H2O2-induced cytotoxicity in PC12 cells and the possible molecular
mechanisms involved. 16 h after stimulation with 400 MUM H2O2, the viability (MTT
reduction) of PC12 cells decreased while membrane damage (LDH release) was
noticeably increased. However, pretreatment for 6 h with DDK and DK (1 MUM, 5
MUM, 10 MUM and 50 MUM) rescued PC12 cells from H2O2-induced cytotoxicity, as
evidenced by decreased LDH release and increased cell viability. DDK and DK
inhibit the MAPK family member p38, activate AKT, and reduce caspase-3 activity.
DDK also reduced the oxidative status in H2O2-treated PC12 cells. Together, our
data indicate that the A. zerumbet constituents, DDK and DK, exert a protective
effect against oxidative stress-induced PC12 cell death and that the regulation
of p-Akt and the p38 MAPK, and of oxidative states may be involved.
PMID- 24929996
TI - Frailty and mortality or incident disability in institutionalized older adults:
the FINAL study.
AB - BACKGROUND: Little is known about frailty in institutionalized older adults, and
there are few longitudinal studies on this topic. OBJECTIVES: To determine the
association between frailty and mortality or incident disability in basic
activities of daily living (BADL) in institutionalized Spanish older adults.
DESIGN: Concurrent cohort study. SETTING: Two nursing homes, Vasco Nunez de
Balboa and Paseo de la Cuba, in Albacete, Spain. PARTICIPANTS: Of the 324
institutionalized adults older than 65 years enrolled at baseline, 21 (5.5%) were
lost during the one-year follow-up. Of the 303 remaining, 63 (20.8%) died, 91
(30.0%) developed incident disability, and 140 (49.2%) were free of both events.
16 participants were not suitable for analysis due to incomplete data.
MEASUREMENTS: Frailty was defined by the presence of three or more Fried
criteria: unintentional weight loss, low energy, exhaustion, slowness, and low
physical activity. Incident disability in BADL was considered when new onset
disability in bathing, grooming, toileting, dressing, eating or transferring was
detected with the Barthel index. Logistic regression models were constructed
adjusted for age, sex, body mass index (BMI), previous Barthel index and
Minimental State Examination (MMSE), and high comorbidity (Charlson index >=3).
RESULTS: 287 participants with valid data. Mean age 84.2 (SD 6.8), with 187
(65.2%) women. 199 (69.3%) were frail, and 72 (25.1%) had high comorbidity. Mean
BMI 27.6 (SD 5.2), Barthel index 53.4 (SD 37.1), and MMSE 14.2 (SD 9.7). At
follow-up, 43 (21.6%) frail participants and 15 (17.0%) non-frail ones died. 73
(46.8%) frail participants and 16 (21.9%) non-frail ones developed incident
disability in BADL (p<0.001). Frailty was associated with incident disability or
mortality (OR 3.3; 95% CI 1.7-6.6) adjusted for all study covariables.
CONCLUSION: In a cohort of institutionalized older adults, frailty was associated
with mortality or incident disability in BADL.
PMID- 24929998
TI - The Public Opinion Survey of Human Attributes-Stuttering (POSHA-S) and Bipolar
Adjective Scale (BAS): aspects of validity.
AB - PURPOSE: In order to estimate instrument validity, attitudes toward stuttering
measured by the newly developed Public Opinion Survey of Human Attributes
Stuttering (POSHA-S) and the Woods and Williams (1976) semantic differential
scale (referred to herein as the Bipolar Adjective Scale [BAS]) are compared in
college students on one occasion as well as before and after coursework on
fluency disorders. METHOD: Undergraduate and graduate students (n=321) from four
universities filled out online versions of the POSHA-S and BAS. Two-thirds were
speech-language pathology (SLP) majors; one-third were students in other majors.
A subset of the SLP students (n=35) filled out the two instruments again after 8
13 weeks of coursework on fluency disorders. RESULTS: Correlations between all
ratings of the POSHA-S and BAS were run for the 321 students. Only 26% of the
correlations were statistically significant (R >= +/- 0.129), and the large
majority of these reflected small relationships. POSHA-S ratings were correlated
with up to 77% of the items of the BAS while BAS items were correlated with up to
45% of the POSHA-S ratings. After coursework on stuttering, students' attitudes
improved on both instruments, but more on the POSHA-S than the BAS. CONCLUSIONS:
Greater evidence of discriminant validity than convergent validity characterized
the POSHA-S and BAS. Both measures showed improved attitudes after fluency
disorders coursework, but more so for the POSHA-S, confirming previous reports of
construct validity. The POSHA-S taps relevant constructs not included in the BAS,
which provide advantages for intracultural, international, and other comparisons
of public attitudes toward stuttering. LEARNING OUTCOMES: The reader will be able
to: (1) describe differentiating characteristics of the POSHA-S and BAS as
measures of public attitudes toward stuttering, (2) describe the overlap and lack
of overlap in the constructs measured by POSHA-S and BAS, (3) describe
discriminant versus convergent validity and (4) describe advantages of the POSHA
S and BAS in various types of comparative studies of stuttering attitudes.
PMID- 24929999
TI - Hepatoprotective effects of Ger-Gen-Chyn-Lian-Tang in thioacetamide-induced
fibrosis in mice.
AB - BACKGROUND: Many researchers have focused on developing traditional herbal
medicines as pharmacological medicines to treat hepatic fibrosis. In this study,
we evaluated the possible mechanism of Ger-Gen-Chyn-Lian-Tang (GGCLT) on
thioacetamide (TAA)-induced hepatic injury in mice. METHODS: Hepatic fibrosis
mice were established by intraperitoneal injection with TAA (100 mg/kg, 3
times/week), and treated with daily oral administration of 30 mg/kg, 100 mg/kg,
and 300 mg/kg of GGCLT for 6 weeks. There were 40 mice randomly assigned to
control, TAA and TAA+GGCLT groups. When the experiment was completed, Masson's
trichrome staining was used to measure the degree of liver fibrosis. Hepatic
fibrosis molecules were assessed by Western blot and real-time polymerase chain
reaction. Hepatic glutathione levels, matrix metalloproteinase (MMP-2 and MMP-9),
and hydroxyproline were also measured. RESULTS: Treatment with GGCLT
significantly reduced the toxicity of TAA and exhibited effective
hepatoprotective activity. The mechanism of the hepatoprotective effect of GGCLT
is proposed to be by normalizing oxidative stress. Additionally, the data of
fibrotic areas, expression of procollagen III, and MMP2 and 9 mRNA levels in the
TAA+GGCLT group were much lower than those in the TAA group (p < 0.05).
Furthermore, the upregulation of hepatic protein levels of nuclear factor-kappaB,
transforming growth factor (TGF)-beta receptor-1, and smooth muscle alpha-actin
induced by TAA was significantly inhibited after GGCLT treatment. CONCLUSION:
GGCLT can efficiently ameliorate hepatic fibrosis by its inhibitory effects on
the intrahepatic oxidative stress in TAA mice model. The antioxidant properties
afforded by GGCLT may be attributed to its modulation on TGF-beta/TGFbeta
receptor signaling through the downregulation of integrated signal pathways
involving smooth muscle alpha-actin and lipid peroxidation.
PMID- 24930000
TI - Surgery for parapneumonic pleural empyema--What influence does the rising
prevalence of multimorbidity and advanced age has on the current outcome?
AB - OBJECTIVE: Pleural empyema is a critical condition. In the western world the
share of sufferers with multiple comorbidities and advanced age is rapidly
increasing. METHODS: This retrospective study comprises all patients who
underwent surgery for parapneumonic pleural empyema at a major center for
thoracic surgery in Germany between January 2006 and April 2013. RESULTS: A total
of 335 patients (mean age 60.4 years) were included. The average ASA grade was
2.8. Empyema stage 1, 2 and 3 (classification of the American Thoracic Society)
was encountered in 30, 230 and 75 cases, respectively. The most common
comorbidities were cardiac disorders (124), diabetes mellitus (76), COPD (66) and
alcoholism (54). The mean Charlson index of comorbidity score was 2. Minimally
invasive surgery was feasible in 290 cases. A total of 88 patients sustained
pulmonary sepsis. The overall mortality was 29/335 (8.7%). The occurrence of
pulmonary sepsis (OR: 17.95; 95% CI: 6.38-62.69; p < 0.001), respiratory failure
(OR: 23.08; 95% CI: 8.52-73.35; p < 0.001) and acute renal failure (OR: 8.20; 95%
CI: 3.18-20.80; p < 0.001) and Charlson score >= 3 (OR: 6.65; 95% CI: 2.76-17.33;
p < 0.001) were associated with higher mortality. On the other hand, very elderly
sufferers (>=80 years) showed neither higher odds for pulmonary sepsis (OR: 0.78)
nor for fatal outcome (OR: 0.92; 95% CI: 0.22-2.86; p = 1). CONCLUSIONS:
Parapneumonic pleural empyema is still associated with considerable morbidity and
mortality. Pre-existing comorbidity, the occurrence of pulmonary sepsis and
sepsis related complications have a determining influence on the results whereas
advanced age itself shows no higher risk for adverse outcome. Further improvement
seems achievable by earlier surgical intervention before the onset of pulmonary
sepsis.
PMID- 24930001
TI - Anatomical conditions of the posterior pelvic ring regarding bisegmental
transverse sacroiliac screw fixation: a 3D morphometric study of 125 pelvic CT
datasets.
AB - INTRODUCTION: Sacroiliac (SI) screws are used for osteosynthesis in unstable
posterior pelvic ring injuries. In the cases of "sacral dysplasia", in which the
elevated upper sacrum does not allow a secure SI screw insertion into the S1
level, the S2 segment must be used to achieve stable fixation. The bone quality
of the S2 segment is thinner compared to that of the S1 vertebra and may cause
biomechanical weakness. An additional SI screw insertion into the S3 level may
improve stability. With respect to the anatomical conditions of the posterior
pelvic ring, there have been no anatomical investigations to date regarding SI
screw placement into the third sacral segment. MATERIALS AND METHODS: CT raw
datasets from 125 patients (o59 years, o172 cm, o76 kg) were post-processed using
Amira 5.2 software to generate 3D pelvic models. A program code implemented in
C++ computed a transverse bone corridor for the first, second and third sacral
segments for a typical SI screw diameter of 7.3 mm. Volume, sagittal cross
section, iliac entrance area and length of the determined screw corridors were
measured. A confidence interval of 95 % was assumed (p < 0.05). RESULTS: The
fully automatic computation revealed a possible transverse insertion for one 7.3
mm screw in the third sacral segment in 30 cases (24 %). The rate (60 %) of
feasible S3 screw placements in the cases of sacral dysplasia (n = 25) is
significantly higher compared to that (15 %) of "normal" sacra (n = 100). With
regard to the existence of transverse iliosacroiliac corridors as a function of
sacral position in between the adjacent iliac bone bilaterally, a new
classification of three different shape conditions can be made: caudad,
intermediate minor, intermediate major, and cephalad sacrum. Gender, age, body
height and body weight had no statistically significant influence on either
possible screw insertion or on the calculated data of the corridors (p > 0.05).
CONCLUSION: SI screw insertion into the third sacral level deserves discussion in
the cases of sacral dysplasia. Biomechanical and practical utility must be
verified.
PMID- 24930002
TI - Rare biscoumarin derivatives and flavonoids from Hypericum riparium.
AB - Hypericum riparium A. Chev. is a Cameroonian medicinal plant belonging to the
family Guttiferae. Chemical investigation of the methanol extract of the stem
bark of H. riparium led to the isolation of four natural products, 7,7'-dihydroxy
6,6'-biscoumarin (1), 7,7'-dihydroxy-8,8'-biscoumarin (2), 7-methoxy-6,7'
dicoumarinyl ether (3), 2'-hydroxy-5'-(7"-methoxycoumarin-6"-yl)-4'
methoxyphenylpropanoic acid (4), together with one known 7,7'-dimethoxy-6,6'
biscoumarin (5), two flavones, 2'-methoxyflavone (6) and 3'-methoxy flavone (7),
and two steroids, stigmast-4-en-3-one (8) and ergosta-4,6,8,22-tetraen-3-one (9).
In addition, tetradecanoic acid (10), n-pentadecanoic acid (11), hexadecanoic
acid (12), cis-10-heptadecenoic acid (13), octadecanoic acid (14) campesterol
(15), stigmasterol (16), beta-sitosterol (17), stigmastanol (18), beta-eudesmol
(19), 1-hexadecanol (20), and 1-octadecanol (21) were identified by GC-MS
analysis. Compound 4 consists of a phenylpropanoic acid derivative fused with a
coumarin unit, while compounds 2 and 3 are rare members of C8-C8' and C7-O-C6
linked biscoumarins. Their structures were elucidated by UV, IR, extensive 1D-
and 2D-NMR experiments and electrospray (ESI) high resolution mass spectrometry
(MS) including detailed MS/MS studies. This is the first report on the isolation
of biscoumarins from the genus Hypericum, although simple coumarin derivatives
have been reported from this genus in the literature. The cytotoxic activities of
compounds 2-5 were evaluated against the human prostate cancer cell line PC-3 and
the colon cancer cell line HT-29. They do not exhibit any significant cytotoxic
activity.
PMID- 24930003
TI - PTSD in the U.S. military, and the politics of prevalence.
AB - Despite the long-standing codification of posttraumatic stress disorder (PTSD) as
a mental disorder, the diagnosis is a controversial one whose legitimacy is at
times disputed, particularly in U.S. military contexts (e.g., McNally and Frueh
2013; McNally, 2003, 2007). These disputes often manifest in a struggle over
prevalence rates. Utilizing data from in-depth interviews and relying on
situational analysis methodology (Clarke, 2005), I highlight this struggle in the
wake of a decade of U.S.-led war in Afghanistan and Iraq. I focus on the objects
of contestation employed by public officials, veterans' advocates, and
researchers to make or refute claims about PTSD prevalence. These objects of
contestation include the diagnostic category and criteria; screening tools,
procedures, or systems; and the individuals who express symptoms of the disorder.
Based on these claims, I make two key interrelated assertions. First, PTSD is
viewed by some public officials as an overly generalized or invalid diagnostic
category that is often induced in or falsified by veterans or servicemembers. As
such, PTSD is perceived by these stakeholders to be over-diagnosed. Compounding
these perceptions are beliefs that PTSD is costly and negatively impacts military
duty performance, and thus overall manpower. Second, there exist perceptions,
largely on the part of veterans' advocates but also some public officials, that
many servicemembers and veterans are not seeking treatment (and thus, a
diagnosis) when they experience symptoms of PTSD. Thus, PTSD is perceived by
these stakeholders to be under-diagnosed. Paradoxically, some public officials
make both claims: that PTSD is over-diagnosed and under-diagnosed. I conclude by
exploring the implications of these findings.
PMID- 24930004
TI - The morphometric development and clinical importance of the hyoid bone during the
fetal period.
AB - It was aimed that the morphometric development of the hyoid bone throughout the
fetal period be anatomically researched and its clinical importance be evaluated.
A total of 90 human fetuses (44 male, 46 female) whose ages varied between 18 and
40 gestational weeks and without an external pathology or anomaly were involved
in the study. The fetuses were divided into groups according to gestational weeks
and trimesters. In the wake of making the general external measurements of
fetuses, the neck dissection was performed. Following the localization of the
hyoid bone, the morphometric parameters pertaining to the hyoid bone were
measured. The averages of the measured parameters according to the gestational
weeks, trimesters and months, and their standard deviations were determined.
There was a significant correlation between the measured parameters and the
gestational age (p < 0.001). Between the genders, there was no difference among
the other parameters, except for those regarding the distance between the hyoid
bone and columna vertebralis, the hyoid bone corpus length, the hyoid bone right
cornu majus initial width, the hyoid bone left cornu majus initial width, and the
upper distance between the hyoid bone cornu majus (es) (p > 0.001). We are of the
opinion that the data obtained during our study will be of use to forensic
physicians and the involved clinicians in the evaluation of the development of
the hyoid bone area during the fetal period, and in clinical studies and
practices.
PMID- 24930005
TI - The superior ophthalmic vein: delineation with high-resolution magnetic resonance
imaging.
AB - OBJECTIVE: To delineate the superior ophthalmic vein (SOV) with high-resolution
magnetic resonance (MR) imaging. METHODS: This retrospective study enrolled 302
consecutive outpatients, 101 patients, 51 males and 50 females, who underwent
coronal T2-weighted imaging and 201 patients, 99 males and 102 females, who
underwent three-dimensional (3D) phase-contrast (PC) MR angiography. RESULTS:
Coronal T2-weighted imaging clearly delineated the intraorbital course of SOV on
serial images in all 101 subjects. The SOV could be topographically divided into
three segments in relation to the superior rectus muscle. The SOV crossed over
the optic nerve at the level of the anterior ethmoidal foramina in 87% of right
orbits and 71% of left orbits. The mean outer diameter of the SOV at the crossing
point was 1.7 mm on both sides, but the SOVs were asymmetric in the same
individual in 75% of the subjects. 3D PC MR angiography showed that the bilateral
SOVs were symmetrical in 16% of subjects, larger in the right orbit in 18%, and
larger in the left orbit in 13%, and were unidentified in 52%. The SOV showed a
consistent lateral course to the ophthalmic artery. CONCLUSIONS: The SOV
consistently courses lateral to the ophthalmic artery, but tends to show
bilateral asymmetry in the outer diameter. The superior rectus muscle, anterior
ethmoidal foramen, and anterior ethmoidal artery are valuable landmarks to
identify the SOV during transcranial orbital surgery. Combination of high
resolution MR imaging and 3D PC MR angiography is useful for delineating the SOV.
PMID- 24930007
TI - URG11 predicts poor prognosis of pancreatic cancer by enhancing epithelial
mesenchymal transition-driven invasion.
AB - The poor prognosis and high recurrent rate of pancreatic cancer (PC) necessitates
the discovery of new predictive markers of PC invasion and prognosis. In this
study, we evaluated the expression pattern of up-regulated gene 11 (URG11) in a
tissue microarray with 18 pairs of PC and adjacent normal tissues. It was shown
that URG11 was significantly up-regulated in PC tissues. High expression levels
of URG11 were detected in all PC specimens, but were rarely detected in adjacent
non-tumorous tissues. In addition, high expression of URG11 was correlated to
poor prognosis. Furthermore, it was discovered that URG11 was correlated to
epithelial-mesenchymal transition (EMT) markers and clinical pathological
parameters indicative of high PC invasion, while knockdown of URG11 significantly
changed the expression pattern of EMT markers and decreased the invasion of PC
cells. These findings indicate that URG11 might enhance the invasion of PC by
inducing EMT and thus lead to poor PC prognosis. Thus, URG11 has the potential to
be a new predictive biomarker of PC invasion and prognosis, which may help in the
diagnosis and treatment of PC patients.
PMID- 24930006
TI - High expression of miR-21 in triple-negative breast cancers was correlated with a
poor prognosis and promoted tumor cell in vitro proliferation.
AB - The triple-negative breast cancer (TNBC), with a particularly poor prognosis, is
increasingly recognized as heterogeneous in molecular signatures. MicroRNA
expression profiles have been used for the classification and prognostication of
breast cancer, numerous significantly upregulated microRNAs, i.e. miR-21, have
been verified oncogenic in non-TNBCs. In present study, we determined the miR-21
levels in TNBC specimens, and TNBC cell levels in vitro, and then identified the
role of miR-21 on tumor cell proliferation, apoptosis, and then identified PTEN
as the possible target of the microRNA. It was shown that miR-21 expression is
upregulated generally, and heterogeneous in TNBC specimens, posing a correlation
with poor prognosis for TNBC patients. Further results demonstrated that the
upregulated miR-21 promoted the tumor proliferation and inhibited cell apoptosis
in vitro. And pro-apoptotic PTEN had been shown being targeted and downregulated.
Therefore, our finding emphasized the oncogenic role of miR-21 in TNBC.
PMID- 24930008
TI - Observed management practices in relation to the risk of infection with
paratuberculosis and to the spread of Mycobacterium avium subsp. paratuberculosis
in Swiss dairy and beef herds.
AB - BACKGROUND: Many studies have been conducted to define risk factors for the
transmission of bovine paratuberculosis, mostly in countries with large herds.
Little is known about the epidemiology in infected Swiss herds and risk factors
important for transmission in smaller herds. Therefore, the presence of known
factors which might favor the spread of paratuberculosis and could be related to
the prevalence at animal level of fecal shedding of Mycobacterium avium subsp.
paratuberculosis were assessed in 17 infected herds (10 dairy, 7 beef).
Additionally, the level of knowledge of herd managers about the disease was
assessed. In a case-control study with 4 matched negative control herds per
infected herd, the association of potential risk factors with the infection
status of the herd was investigated. RESULTS: Exposure of the young stock to
feces of older animals was frequently observed in infected and in control herds.
The farmers' knowledge about paratuberculosis was very limited, even in infected
herds. An overall prevalence at animal level of fecal shedding of Mycobacterium
avium subsp. paratuberculosis of 6.1% was found in infected herds, whereby
shedders younger than 2 years of age were found in 46.2% of the herds where the
young stock was available for testing. Several factors related to contamination
of the heifer area with cows' feces and the management of the calving area were
found to be significantly associated with the within-herd prevalence. Animal
purchase was associated with a positive herd infection status (OR = 7.25, p =
0.004). CONCLUSIONS: Numerous risk factors favoring the spread of Mycobacterium
avium subsp. paratuberculosis from adult animals to the young stock were observed
in infected Swiss dairy and beef herds, which may be amenable to improvement in
order to control the disease. Important factors were contamination of the heifer
and the calving area, which were associated with higher within-herd prevalence of
fecal shedding. The awareness of farmers of paratuberculosis was very low, even
in infected herds. Animal purchase in a herd was significantly associated with
the probability of a herd to be infected and is thus the most important factor
for the control of the spread of disease between farms.
PMID- 24930009
TI - Determination of sample size for a multi-class classifier based on single
nucleotide polymorphisms: a volume under the surface approach.
AB - BACKGROUND: Data on single-nucleotide polymorphisms (SNPs) have been found to be
useful in predicting phenotypes ranging from an individual's class membership to
his/her risk of developing a disease. In multi-class classification scenarios,
clinical samples are often limited due to cost constraints, making it necessary
to determine the sample size needed to build an accurate classifier based on
SNPs. The performance of such classifiers can be assessed using the Area Under
the Receiver Operating Characteristic (ROC) Curve (AUC) for two classes and the
Volume Under the ROC hyper-Surface (VUS) for three or more classes. Sample size
determination based on AUC or VUS would not only guarantee an overall correct
classification rate, but also make studies more cost-effective. RESULTS: For
coded SNP data from D(>=2) classes, we derive an optimal Bayes classifier and a
linear classifier, and obtain a normal approximation to the probability of
correct classification for each classifier. These approximations are then used to
evaluate the associated AUCs or VUSs, whose accuracies are validated using Monte
Carlo simulations. We give a sample size determination method, which ensures that
the difference between the two approximate AUCs (or VUSs) is below a pre
specified threshold. The performance of our sample size determination method is
then illustrated via simulations. For the HapMap data with three and four
populations, a linear classifier is built using 92 independent SNPs and the
required total sample sizes are determined for a continuum of threshold values.
In all, four different sample size determination studies are conducted with the
HapMap data, covering cases involving well-separated populations to poorly
separated ones. CONCLUSION: For multi-classes, we have developed a sample size
determination methodology and illustrated its usefulness in obtaining a required
sample size from the estimated learning curve. For classification scenarios, this
methodology will help scientists determine whether a sample at hand is adequate
or more samples are required to achieve a pre-specified accuracy. A PDF manual
for R package "SampleSizeSNP" is given in Additional file 1, and a ZIP file of
the R package "SampleSizeSNP" is given in Additional file 2.
PMID- 24930010
TI - Assessment of iron chelates efficiency for photo-Fenton at neutral pH.
AB - In this study, homogeneous photo-Fenton like at neutral pH was applied to remove
sulfamethoxazole from water. The process was performed using different chelating
agents in order to solubilize iron in a neutral water solution. The chelating
agents tested were: ethylenediaminetetraacetic acid (EDTA); nitrilotriacetic acid
(NTA); oxalic acid (OA) and tartaric acid (TA). The iron leaching was monitored
over reaction time to evaluate the chelates stability and their resistance to HO.
and UV-A radiation. Chelates of EDTA and NTA presented more stability than OA and
TA, which also confirmed their higher efficiency. Total Organic Carbon (TOC)
analyses were also performed to evaluate the contribution in terms of solution
contamination related to the use of chelating agents. The better properties of
biodegradability in respect of EDTA combined with better efficiency in terms of
microcontaminant removal and the smallest TOC contribution indicate that NTA
could represent a useful option to perform photo-Fenton processes at neutral pH.
PMID- 24930011
TI - A national investigation of the prevalence and diversity of thermophilic
Campylobacter species in agricultural watersheds in Canada.
AB - The occurrence and diversity of thermophilic Campylobacter species (C. jejuni,
coli, and lari) were studied in water samples from four river basins located
across Canada. These basins located in Quebec (Bras d'Henri), Alberta (Oldman),
Ontario (South Nation), and British Columbia (Sumas) represented some of the most
intensive farming areas in Canada for hog, beef cattle, dairy cattle, and
poultry, respectively. This study analyzed 769 water samples collected from 23
monitoring sites with agricultural influence, and four reference sites with
limited or no agricultural influence. Water samples were collected bi-weekly over
two years and analyzed for Campylobacter using a semi-quantitative minimum
probable number (MPN) enrichment protocol. Putative isolates were confirmed by
genus- and species-specific multiplex polymerase chain reaction (PCR) assays. A
total of 377 (49%) water samples were positive for campylobacters with 355
samples having a cell density ranging from 4 to 4000 MPN L(-1). Campylobacters
were more common at agricultural than reference sites in each river basin,
although this difference was not significant in the Oldman and South Nation (p >
0.05). Campylobacter was significantly more common in the Bras d'Henri and Sumas
(63%) compared to the South Nation (45%) and Oldman (33%) River basins (p <
0.05). C. jejuni, C. coli and C. lari were detected in each river basin, and
these species occurred in 45% (n = 168), 34% (n = 128) and 19% (n = 73), of all
Campylobacter positive samples, respectively. The remaining Campylobacter
positive water samples without these three species (n = 67; 18%) were identified
as other Campylobacter species. C. jejuni was the predominant species occurring
in the Sumas, Oldman and South Nation River basins. However, in the Bras d'Henri
River basin with intensive hog production, C. coli was the predominant species.
This study found campylobacters to be common in some agricultural systems with
intensive livestock farming activities, and different river basins could have
strikingly different profiles of either C. jejuni or C. coli as the predominant
waterborne thermophilic Campylobacter species.
PMID- 24930012
TI - Blockade of PKCbeta protects against remote organ injury induced by intestinal
ischemia and reperfusion via a p66shc-mediated mitochondrial apoptotic pathway.
AB - Intestinal ischemia-reperfusion (I/R) is a serious clinical dilemma with high
morbidity and mortality. Remote organ damage, especially acute lung injury and
liver injury are common complications that contribute to the high mortality rate.
We previously demonstrated that activation of PKCbetaII is specifically involved
in the primary injury of intestinal I/R. Considering the tissue-specific features
of PKC activation, we hypothesized that some kind of PKC isoform may play
important roles in the progression of secondary injury in the remote organ. Mice
were studied in in vivo model of intestinal I/R. The activation of PKC isoforms
were screened in the lung and liver. Interestingly, we found that PKCbetaII was
also activated exclusively in the lung and liver after intestinal I/R. PKCbetaII
suppression by a specific inhibitor, LY333531, significantly attenuated I/R
induced histologic damage, inflammatory cell infiltration, oxidative stress, and
apoptosis in these organs, and also alleviated systemic inflammation. In
addition, LY333531 markedly restrained p66shc activation, mitochondrial
translocation, and binding to cytochrome-c. These resulted in the decrease of
cytochrome-c release and caspase-3 cleavage, and an increase in glutathione and
glutathione peroxidase. These data indicated that activated PKC isoform in the
remote organ, specifically PKCbetaII, is the same as that in the intestine after
intestinal I/R. PKCbetaII suppression protects against remote organ injury, which
may be partially attributed to the p66shc-cytochrome-c axis. Combined with our
previous study, the development of a specific inhibitor for prophylaxis against
intestinal I/R is promising, to prevent multiple organ injury.
PMID- 24930013
TI - Optimised mounting conditions for poly (ether sulfone) in radiation detection.
AB - Poly (ether sulfone) (PES) is a candidate for use as a scintillation material in
radiation detection. Its characteristics, such as its emission spectrum and its
effective refractive index (based on the emission spectrum), directly affect the
propagation of light generated to external photodetectors. It is also important
to examine the presence of background radiation sources in manufactured PES.
Here, we optimise the optical coupling and surface treatment of the PES, and
characterise its background. Optical grease was used to enhance the optical
coupling between the PES and the photodetector; absorption by the grease of short
wavelength light emitted from PES was negligible. Diffuse reflection induced by
surface roughening increased the light yield for PES, despite the high effective
refractive index. Background radiation derived from the PES sample and its
impurities was negligible above the ambient, natural level. Overall, these
results serve to optimise the mounting conditions for PES in radiation detection.
PMID- 24930014
TI - Tomato SlMKK2 and SlMKK4 contribute to disease resistance against Botrytis
cinerea.
AB - BACKGROUND: Mitogen-activated protein kinase (MAPK) cascades are highly conserved
signaling modules that mediate the transduction of extracellular stimuli via
receptors/sensors into intracellular responses and play key roles in plant
immunity against pathogen attack. However, the function of tomato MAPK kinases,
SlMKKs, in resistance against Botrytis cinerea remains unclear yet. RESULTS: A
total of five SlMKK genes with one new member, SlMKK5, were identified in tomato.
qRT-PCR analyses revealed that expression of SlMKK2 and SlMKK4 was strongly
induced by B. cinerea and by jasmonic acid and ethylene precursor 1-amino
cyclopropane-1-carboxylic acid. Virus-induced gene silencing (VIGS)-based
knockdown of individual SlMKKs and disease assays identified that SlMKK2 and
SlMKK4 but not other three SlMKKs (SlMKK1, SlMKK3 and SlMKK5) are involved in
resistance against B. cinerea. Silencing of SlMKK2 or SlMKK4 resulted in reduced
resistance to B. cinerea, increased accumulation of reactive oxygen species and
attenuated expression of defense genes after infection of B. cinerea in tomato
plants. Furthermore, transient expression of constitutively active
phosphomimicking forms SlMKK2DD and SlMKK4DD in leaves of Nicotiana benthamiana
plants led to enhanced resistance to B. cinerea and elevated expression of
defense genes. CONCLUSIONS: VIGS-based knockdown of SlMKK2 and SlMKK4 expression
in tomato and gain-of-function transient expression of constitutively active
phosphomimicking forms SlMKK2DD and SlMKK2DD in N. benthamiana demonstrate that
both SlMKK2 and SlMKK4 function as positive regulators of defense response
against B. cinerea.
PMID- 24930017
TI - Excellence in vascular surgery: maintaining high standards.
PMID- 24930016
TI - Applicability of global positioning system for the assessment of walking ability
in patients with arterial claudication.
AB - OBJECTIVE: This study determined for the first time the clinical applicability of
a global positioning system (GPS)-monitored community-based walking ability
assessment in a large cohort of patients with peripheral artery disease (PAD).
METHODS: A multicenter study was conducted among PAD patients who complained of
intermittent claudication. Patients equipped with a GPS device performed a
community-based outdoor walk. We determined the number of technically
satisfactory GPS recordings (attempt No. 1). Patients with unsatisfactory GPS
recordings were asked to perform a second attempt (attempt No. 2). From the
satisfactory recordings obtained after attempts No. 1 and No. 2, we analyzed
several GPS parameters to provide clinical information on the patients' walking
ability. Results are reported as median (interquartile range). RESULTS: A total
of 218 patients performed an outdoor walk. GPS recordings were technically
satisfactory in 185 patients (85%) and in 203 (93%) after attempts No. 1 and No.
2, respectively. The highest measured distance between two stops during community
walking was 678 m (IQR, 381-1333 m), whereas self-reported maximal walking
distance was 250 m (IQR, 150-400 m; P < .001). Walking speed was 3.6 km/h (IQR,
3.1-3.9 km/h), with few variations during the walk. Among the patients who had to
stop during the walk, the stop durations were <10 minutes in all but one
individual. CONCLUSIONS: GPS is applicable for the nonsupervised multicenter
recording of walking ability in the community. In the future, it may facilitate
objective community-based assessment of walking ability, allow for the adequate
monitoring of home-based walking programs, and for the study of new dimensions of
walking in PAD patients with intermittent claudication.
PMID- 24930015
TI - Distinct patterns of diversity, population structure and evolution in the AMA1
genes of sympatric Plasmodium falciparum and Plasmodium vivax populations of
Papua New Guinea from an area of similarly high transmission.
AB - BACKGROUND: As Plasmodium falciparum and Plasmodium vivax co-exist in most
malaria-endemic regions outside sub-Saharan Africa, malaria control strategies in
these areas must target both species in order to succeed. Population genetic
analyses can predict the effectiveness of interventions including vaccines, by
providing insight into patterns of diversity and evolution. The aim of this study
was to investigate the population genetics of leading malaria vaccine candidate
AMA1 in sympatric P. falciparum and P. vivax populations of Papua New Guinea
(PNG), an area of similarly high prevalence (Pf = 22.3 to 38.8%, Pv = 15.3 to
31.8%). METHODS: A total of 72 Pfama1 and 102 Pvama1 sequences were collected
from two distinct areas, Madang and Wosera, on the highly endemic PNG north
coast. RESULTS: Despite a greater number of polymorphic sites in the AMA1 genes
of P. falciparum (Madang = 52; Wosera = 56) compared to P. vivax (Madang = 36,
Wosera = 34), the number of AMA1 haplotypes, haplotype diversity (Hd) and
recombination (R) was far lower for P. falciparum (Madang = 12, Wosera = 20; Hd
<=0.92, R <=45.8) than for P. vivax (Madang = 50, Wosera = 38; Hd = 0.99, R =
<=70.9). Balancing selection was detected only within domain I of AMA1 for P.
vivax, and in both domains I and III for P. falciparum. CONCLUSIONS: Higher
diversity in the genes encoding P. vivax AMA1 than in P. falciparum AMA1 in this
highly endemic area has important implications for development of AMA1-based
vaccines in PNG and beyond. These results also suggest a smaller effective
population size of P. falciparum compared to P. vivax, a finding that warrants
further investigation. Differing patterns of selection on the AMA1 genes indicate
that critical antigenic sites may differ between the species, highlighting the
need for independent investigations of these two leading vaccine candidates.
PMID- 24930019
TI - Neurologic status on presentation to a Heart Attack Centre: a predictor of
recovery or more aptly, a predictor of injury?
PMID- 24930018
TI - Vitamin D and kidney transplant outcomes: a protocol for a systematic review and
meta-analysis.
AB - BACKGROUND: Patients with end-stage renal disease who receive kidney transplants
have improved survival and quality of life compared to patients on dialysis.
Unfortunately, transplant patients often have a low vitamin D concentration,
which has well-known effects on calcium and bone metabolism. The effect of
vitamin D on other indicators of transplant function, such as glomerular
filtration rate and acute rejection, remains unknown. METHODS/DESIGN: We will
conduct a systematic review of vitamin D status and outcomes after kidney
transplantation. The primary objective is to assess the relationship between
vitamin D and graft function using measured glomerular filtration rate (GFR) or
estimated GFR from serum creatinine concentrations. Secondary outcomes will
include acute rejection, chronic allograft nephropathy, proteinuria and graft
loss. We will search MEDLINE, EMBASE, AMED and CINAHL for randomized and
observational studies on adult renal transplant patients who received vitamin D
supplementation or had serum vitamin D concentration measured. We will report
study quality using the Cochrane Risk Assessment Tool for randomized controlled
trials and the Newcastle-Ottawa Scale for observational studies. Quality across
studies will be assessed using the GRADE approach. If pooling is deemed
appropriate, we will perform meta-analyses using standard techniques for
continuous and discrete variables, depending on the outcome. The results of this
review may inform guideline development for vitamin D supplementation in renal
transplant patients and highlight areas for further research. SYSTEMATIC REVIEW
REGISTRATION PROSPERO: CRD42013006464.
PMID- 24930020
TI - Controlling entropy to tune the functions of intrinsically disordered regions.
AB - Intrinsically disordered regions (IDRs) are fundamental units of protein function
and regulation. Despite their inability to form a unique stable tertiary
structure in isolation, many IDRs adopt a defined conformation upon binding and
achieve their function through their interactions with other biomolecules.
However, this requirement for IDR functionality seems to be at odds with the high
entropic cost they must incur upon binding an interaction partner. How is this
seeming paradox resolved? While increasing the enthalpy of binding is one
approach to compensate for this entropic cost, growing evidence suggests that
inherent features of IDRs, for instance repeating linear motifs, minimise the
entropic cost of binding. Moreover, this control of entropic cost can be
carefully modulated by a range of regulatory mechanisms, such as alternative
splicing and post-translational modifications, which enable allosteric
communication and rheostat-like tuning of IDR function. In that sense, the high
entropic cost of IDR binding can be advantageous by providing tunability to
protein function. In addition to biological regulatory mechanisms, modulation of
entropy can also be controlled by environmental factors, such as changes in
temperature, redox-potential and pH. These principles are extensively exploited
by a number of organisms, including pathogens. They can also be utilised in
bioengineering, synthetic biology and in pharmaceutical applications such as
increasing bioavailability of protein therapeutics.
PMID- 24930021
TI - Segmented negative-strand RNA viruses and RIG-I: divide (your genome) and rule.
AB - The group of negative-stranded RNA viruses (NSVs) with a segmented genome
comprises pathogens like influenza virus (eight segments), Rift Valley fever
virus and Hantavirus (three segments), or Lassa virus (two segments).
Partitioning the genome allows rapid evolution of new strains by reassortment.
Each segment carries a short double-stranded (ds) 'panhandle' structure which
serves as promoter. Similar dsRNA structures, however, represent the optimal
ligand for RIG-I, a cytoplasmic pathogen sensor of the antiviral interferon
response. Thus, segmenting a virus genome can entail an increased RIG-I
sensitivity. Here, we outline the astonishingly diverse and efficient strategies
by which segmented NSVs are compensating for the elevated number of RIG-I ligands
in their genome.
PMID- 24930022
TI - Effects of management practices on yield and quality of milk from smallholder
dairy units in urban and peri-urban Morogoro, Tanzania.
AB - A longitudinal study design was used to assess the management, chemical
composition of cows' milk and quantify the microbial load of raw milk produced at
farm level. Data were collected between December 2010 and September 2011 in
Morogoro municipality. Milk samples were collected once every month and analysed
for butter fat (BF), crude protein (CP), total solids (TS) and solids non-fat
(SNF). Total bacterial count (TBC) and coliform counts (CC) were normalized by
log transformation. The average milk yield was 7.0 l/day and was not influenced
by feeding systems and breeds. Dairy cows owned by people who had no regular
income produced more milk than government employees and retired officers. Means
of BF, TS, SNF and CP were similar in different feeding systems. Wet season had
significantly higher TBC (5.9 log10 cfu/ml) and CC (2.4 log10 cfu/ml) but feeding
systems had no effect. Stocking density influenced TBC but not CC. It can be
concluded that dairy cows produced low milk yield and its quality was poor.
PMID- 24930023
TI - Modeling virtual organizations with Latent Dirichlet Allocation: a case for
natural language processing.
AB - This paper explores a variety of methods for applying the Latent Dirichlet
Allocation (LDA) automated topic modeling algorithm to the modeling of the
structure and behavior of virtual organizations found within modern social media
and social networking environments. As the field of Big Data reveals, an increase
in the scale of social data available presents new challenges which are not
tackled by merely scaling up hardware and software. Rather, they necessitate new
methods and, indeed, new areas of expertise. Natural language processing provides
one such method. This paper applies LDA to the study of scientific virtual
organizations whose members employ social technologies. Because of the vast data
footprint in these virtual platforms, we found that natural language processing
was needed to 'unlock' and render visible latent, previously unseen
conversational connections across large textual corpora (spanning profiles,
discussion threads, forums, and other social media incarnations). We introduce
variants of LDA and ultimately make the argument that natural language processing
is a critical interdisciplinary methodology to make better sense of social 'Big
Data' and we were able to successfully model nested discussion topics from forums
and blog posts using LDA. Importantly, we found that LDA can move us beyond the
state-of-the-art in conventional Social Network Analysis techniques.
PMID- 24930024
TI - The degree of resistance of erythrocyte membrane cytoskeletal proteins to supra
physiologic concentrations of calcium: an in vitro study.
AB - Calcium is a key regulator of cell dynamics. Dysregulation of its cytosolic
concentration is implicated in the pathophysiology of several diseases. This
study aimed to assess the effects of calcium on the network of membrane
cytoskeletal proteins. Erythrocyte membranes were obtained from eight healthy
donors and incubated with 250 uM and 1.25 mM calcium solutions. Membrane
cytoskeletal proteins were quantified using SDS-PAGE at baseline and after 3 and
5 days of incubation. Supra-physiologic concentrations of calcium (1.25 mM)
induced a significant proteolysis in membrane cytoskeletal proteins, compared
with magnesium (p < 0.001). Actin exhibited the highest sensitivity to calcium
induced proteolysis (6.8 +/- 0.3 vs. 5.3 +/- 0.6, p < 0.001), while spectrin
(39.9 +/- 1.0 vs. 40.3 +/- 2.0, p = 0.393) and band-6 (6.3 +/- 0.3 vs. 6.8 +/-
0.8, p = 0.191) were more resistant to proteolysis after incubation with calcium
in the range of endoplasmic reticulum concentrations (250 uM). Aggregation of
membrane cytoskeletal proteins was determined after centrifugation and was
significantly higher after incubation with calcium ions compared with control,
EDTA and magnesium solutions (p < 0.001). In a supra-physiologic range of 1.25-10
mM of calcium ions, there was a nearly perfect linear relationship between
calcium concentration and aggregation of erythrocyte membrane cytoskeletal
proteins (R(2) = 0.971, p < 0.001). Our observation suggests a strong interaction
between calcium ions and membrane cytoskeletal network. Cumulative effects of
disrupted calcium homeostasis on cytoskeletal proteins need to be further
investigated at extended periods of time in disease states.
PMID- 24930025
TI - Molecular dynamics simulations of a membrane protein/amphipol complex.
AB - Amphipathic polymers known as "amphipols" provide a highly stabilizing
environment for handling membrane proteins in aqueous solutions. A8-35, an
amphipol with a polyacrylate backbone and hydrophobic grafts, has been
extensively characterized and widely employed for structural and functional
studies of membrane proteins using biochemical and biophysical approaches. Given
the sensitivity of membrane proteins to their environment, it is important to
examine what effects amphipols may have on the structure and dynamics of the
proteins they complex. Here we present the first molecular dynamics study of an
amphipol-stabilized membrane protein, using Escherichia coli OmpX as a model. We
begin by describing the structure of the complexes formed by supplementing OmpX
with increasing amounts of A8-35, in order to determine how the amphipol
interacts with the transmembrane and extramembrane surfaces of the protein. We
then compare the dynamics of the protein in either A8-35, a detergent, or a lipid
bilayer. We find that protein dynamics on all accessible length scales is
restrained by A8-35, which provides a basis to understanding some of the
stabilizing and functional effects of amphipols that have been experimentally
observed.
PMID- 24930027
TI - "The Glasgow effect?"- the result of the geographical patterning of deprived
areas?
AB - The aim of this research was to examine whether the excess mortality found in
Glasgow, compared to other cities in the UK ("Glasgow effect"), could be
attributed to patterns of the distribution of deprived neighbourhoods within the
cities. Data on mortality and deprivation at a neighbourhood scale were used to
examine the impact of the patterning of neighbourhood deprivation on mortality in
Glasgow, Liverpool and Manchester. Analysis using a combination of GIS and
statistical approaches, including a Moran's I test and Conditional Auto
Regressive models to capture residual spatial autocorrelation, was carried out.
The pattern of deprivation was found to be more dispersed in Glasgow compared to
the other cities. The impact of surrounding deprivation at two different scales
shows strong impact on neighbourhood health outcomes in Glasgow and Liverpool but
not in Manchester, suggesting that patterning is not a major contribution to the
excess mortality in Glasgow.
PMID- 24930026
TI - The Dictyostelium discoideum RACK1 orthologue has roles in growth and
development.
AB - BACKGROUND: The receptor for activated C-kinase 1 (RACK1) is a conserved protein
belonging to the WD40 repeat family of proteins. It folds into a beta propeller
with seven blades which allow interactions with many proteins. Thus it can serve
as a scaffolding protein and have roles in several cellular processes. RESULTS:
We identified the product of the Dictyostelium discoideum gpbB gene as the
Dictyostelium RACK1 homolog. The protein is mainly cytosolic but can also
associate with cellular membranes. DdRACK1 binds to phosphoinositides (PIPs) in
protein-lipid overlay and liposome-binding assays. The basis of this activity
resides in a basic region located in the extended loop between blades 6 and 7 as
revealed by mutational analysis. Similar to RACK1 proteins from other organisms
DdRACK1 interacts with G protein subunits alpha, beta and gamma as shown by yeast
two-hybrid, pulldown, and immunoprecipitation assays. Unlike the Saccharomyces
cerevisiae and Cryptococcus neoformans RACK1 proteins it does not appear to take
over Gbeta function in D. discoideum as developmental and other defects were not
rescued in Gbeta null mutants overexpressing GFP-DdRACK1. Overexpression of GFP
tagged DdRACK1 and a mutant version (DdRACK1mut) which carried a charge-reversal
mutation in the basic region in wild type cells led to changes during growth and
development. CONCLUSION: DdRACK1 interacts with heterotrimeric G proteins and can
through these interactions impact on processes specifically regulated by these
proteins.
PMID- 24930028
TI - Genotypic and phenotypic spectrum in attenuated variants of Lesch-Nyhan disease.
AB - Lesch-Nyhan disease and its attenuated variants are caused by deficiency of the
purine salvage enzyme, hypoxanthine-guanine phosphoribosyltransferase (HGprt).
All patients exhibit excessive production of uric acid, which increases the risk
for nephrolithiasis, renal failure, gouty arthritis and tophi. The mildest
phenotype includes only problems related to overproduction of uric acid. The most
severe clinical phenotype includes prominent neurological abnormalities and the
universal feature is self-injurious behavior. In between the mildest and most
severe syndromes is a broad spectrum of phenotypes with varying degrees of
neurological, neurocognitive and behavioral abnormalities. The effect of HPRT1
gene mutations on residual HGprt enzyme activity is the most relevant factor
contributing to disease phenotype. Attenuated clinical phenotypes are associated
with residual enzyme function, whereas the most severe phenotype is usually
associated with null activity. In cases of gouty arthritis with urate
overproduction, a careful evaluation for motor impairments or neurocognitive
abnormalities may help to identify attenuated variants of Lesch-Nyhan disease for
better management.
PMID- 24930029
TI - A novel de novo mutation of the TITF1/NKX2-1 gene causing ataxia, benign
hereditary chorea, hypothyroidism and a pituitary mass in a UK family and review
of the literature.
AB - Benign hereditary chorea (BHC) is a rare autosomal dominant condition
characterized by early onset, non-progressive chorea, usually caused by mutations
in the thyroid transcription factor-1 gene (TITF1). We describe a novel mutation
arising de novo in a proband presenting in infancy with delayed walking and
ataxia. She later developed chorea, then hypothyroidism and a large cystic
pituitary mass. Her daughter presented in infancy with delayed walking and ataxia
and went on to develop non-progressive chorea and a hormonally inactive cystic
pituitary mass. Mutational analysis of the whole coding region of the TITF1 gene
was undertaken and compared with a population study of 160 control subjects. This
showed that both affected subjects have a heterozygous A > T substitution at
nucleotide 727 of the TITF1 gene changing lysine to a stop codon at residue 211.
Genetic analysis of parents and siblings of the proband confirmed that the
mutation arose de novo in the proband. The mutated lysine is an evolutionarily
highly conserved amino acid in the protein homoeodomain (HD) where most point
mutations associated with BHC are located. The range of mutations in BHC is
reviewed with particular emphasis on pituitary abnormalities. Cystic pituitary
masses and abnormalities of the sella turcica are reported in just 6.4 % of
published cases. This is a new nonsense mutation associated with ataxia, benign
chorea and pituitary abnormalities which further extends the phenotype of this
condition. Mutational screening of TITF1 is important in cases of sporadic or
dominant juvenile-onset ataxia, with mild chorea where no other cause is found,
particularly if pituitary abnormalities are seen on imaging.
PMID- 24930030
TI - Focused cerebellar laser light induced hyperthermia improves symptoms and
pathology of polyglutamine disease SCA1 in a mouse model.
AB - Spinocerebellar ataxia 1 (SCA1) results from pathologic glutamine expansion in
the ataxin-1 protein (ATXN1). This misfolded ATXN1 causes severe Purkinje cell
(PC) loss and cerebellar ataxia in both humans and mice with the SCA1 disease.
The molecular chaperone heat-shock proteins (HSPs) are known to modulate
polyglutamine protein aggregation and are neuroprotective. Since HSPs are induced
under stress, we explored the effects of focused laser light induced hyperthermia
(HT) on HSP-mediated protection against ATXN1 toxicity. We first tested the
effects of HT in a cell culture model and found that HT induced Hsp70 and
increased its localization to nuclear inclusions in HeLa cells expressing GFP
ATXN1[82Q]. HT treatment decreased ATXN1 aggregation by making GFP-ATXN1[82Q]
inclusions smaller and more numerous compared to non-treated cells. Further, we
tested our HT approach in vivo using a transgenic (Tg) mouse model of SCA1. We
found that our laser method increased cerebellar temperature from 38 to 40
degrees C without causing any neuronal damage or inflammatory response.
Interestingly, mild cerebellar HT stimulated the production of Hsp70 to a
significant level. Furthermore, multiple exposure of focused cerebellar laser
light induced HT to heterozygous SCA1 transgenic (Tg) mice significantly
suppressed the SCA1 phenotype as compared to sham-treated control animals.
Moreover, in treated SCA1 Tg mice, the levels of PC calcium signaling/buffering
protein calbindin-D28k markedly increased followed by a reduction in PC
neurodegenerative morphology. Taken together, our data suggest that laser light
induced HT is a novel non-invasive approach to treat SCA1 and maybe other
polyglutamine disorders.
PMID- 24930031
TI - Extracellular matrix stiffness and composition jointly regulate the induction of
malignant phenotypes in mammary epithelium.
AB - In vitro models of normal mammary epithelium have correlated increased
extracellular matrix (ECM) stiffness with malignant phenotypes. However, the role
of increased stiffness in this transformation remains unclear because of
difficulties in controlling ECM stiffness, composition and architecture
independently. Here we demonstrate that interpenetrating networks of
reconstituted basement membrane matrix and alginate can be used to modulate ECM
stiffness independently of composition and architecture. We find that, in normal
mammary epithelial cells, increasing ECM stiffness alone induces malignant
phenotypes but that the effect is completely abrogated when accompanied by an
increase in basement-membrane ligands. We also find that the combination of
stiffness and composition is sensed through beta4 integrin, Rac1, and the PI3K
pathway, and suggest a mechanism in which an increase in ECM stiffness, without
an increase in basement membrane ligands, prevents normal alpha6beta4 integrin
clustering into hemidesmosomes.
PMID- 24930032
TI - Gradated assembly of multiple proteins into supramolecular nanomaterials.
AB - Biomaterials exhibiting precise ratios of different bioactive protein components
are critical for applications ranging from vaccines to regenerative medicine, but
their design is often hindered by limited choices and cross-reactivity of protein
conjugation chemistries. Here, we describe a strategy for inducing multiple
different expressed proteins of choice to assemble into nanofibres and gels with
exceptional compositional control. The strategy employs 'betaTail' tags, which
allow for good protein expression in bacteriological cultures, yet can be induced
to co-assemble into nanomaterials when mixed with additional beta-sheet
fibrillizing peptides. Multiple different betaTail fusion proteins could be
inserted into peptide nanofibres alone or in combination at predictable, smoothly
gradated concentrations, providing a simple yet versatile route to install
precise combinations of proteins into nanomaterials. The technology is
illustrated by achieving precisely targeted hues using mixtures of fluorescent
proteins, by creating nanofibres bearing enzymatic activity, and by adjusting
antigenic dominance in vaccines.
PMID- 24930034
TI - Population pharmacokinetics and pharmacodynamics of ponesimod, a selective S1P1
receptor modulator.
AB - Ponesimod (ACT-128800), a reversible, orally active, selective S1P1 receptor
modulator, prevents the egress of lymphocytes from the lymph node into the
systemic circulation. It is currently in clinical development for the treatment
of relapsing multiple sclerosis. Modulation of circulating lymphocytes serves as
biomarker of efficacy and safety, such that the quantitative characterization of
the pharmacokinetic/pharmacodynamic (PK/PD) relationship guides the clinical
development of the compound. The availability of a variety of doses, dosing
regimens, and treatment durations permitted estimation of the pharmacokinetics
characterized by an absorption lag time followed by a sequential zero/first-order
absorption and two compartments with first-order elimination. The PD are modeled
as an indirect-effect model with rates of appearance and disappearance of
lymphocytes in blood with a circadian rhythm and a drug effect on the rate of
appearance. The model suggests a circadian variation of 9% and a maximum
inhibition of 86% of total lymphocyte count with high doses at steady state. It
was instrumental for the selection of doses for subsequent studies that confirmed
the effect plateau in total lymphocyte count at approximately 0.5 * 10(9)
counts/L.
PMID- 24930033
TI - Management of recurrent stress incontinence following a sling.
AB - Management of recurrent or persistent stress urinary incontinence (RSI) following
primary insertion of a synthetic midurethral sling (MUS) remains a challenge for
the urologist since no consensus is available to favor one treatment over
another. Complete workup should be carried out, including cystoscopy, urodynamics
and potentially a pelvic floor ultrasound as a diagnostic adjunct. Various
surgical options have been described for RSI, apart from another MUS, including
tightening of or shortening a previously placed MUS, a mini-sling, a salvage
spiral sling, a colposuspension, the ACT(r) system, an artificial urinary
sphincter or ultimately a urinary diversion. Treatment depends ultimately on the
experience and the expertise of the surgeon but it appears most reasonable to
offer a repeat MUS (retropubic or transobturator), a pubovaginal sling or bulking
agents to women with RSI. Appropriate counseling of patients to set realistic
outcomes is key as it may be more practical to aim more for symptomatic
improvement than cure.
PMID- 24930035
TI - Antizyme (AZ) regulates intestinal cell growth independent of polyamines.
AB - Since antizyme (AZ) is known to inhibit cell proliferation and to increase
apoptosis, the question arises as to whether these effects occur independently of
polyamines. Intestinal epithelial cells (IEC-6) were grown in control medium and
medium containing 5 mM difluoromethylornithine (DFMO) to inhibit ODC, DFMO + 5 uM
spermidine (SPD), DFMO + 5 uM spermine (SPM), or DFMO + 10 uM putrescine (PUT)
for 4 days and various parameters of growth were measured along with AZ levels.
Cell counts were significantly decreased and mean doubling times were
significantly increased by DFMO. Putrescine restored growth in the presence of
DFMO. However, both SPD and SPM when added with DFMO caused a much greater
inhibition of growth than did DFMO alone, and both of these polyamines caused a
dramatic increase in AZ. The addition of SPD or SPM to media containing DFMO +
PUT significantly inhibited growth and caused a significant increase in AZ. IEC-6
cells transfected with AZ-siRNA grew more than twice as rapidly as either control
cells or those incubated with DFMO, indicating that removal of AZ increases
growth in cells in which polyamine synthesis is inhibited as well as in control
cells. In a separate experiment, the addition of SPD increased AZ levels and
inhibited growth of cells incubated with DFMO by 50%. The addition of 10 mM
asparagine (ASN) prevented the increase in AZ and restored growth to control
levels. These results show that cell growth in the presence or absence of ODC
activity and in the presence or absence of polyamines depends only on the levels
of AZ. Therefore, the effects of AZ on cell growth are independent of polyamines.
PMID- 24930036
TI - Coverage, compliance and factors associated with utilization of iron
supplementation during pregnancy in eight rural districts of Ethiopia: a cross
sectional study.
AB - BACKGROUND: Despite the efforts to reduce iron deficiency during pregnancy,
information on the coverage and factors associated with utilization of iron
supplements is lacking. The study is intended to assess the coverage, compliance
and factors associated with the use of prenatal iron supplements in eight rural
districts of Ethiopia. METHODS: The study comprised two independent surveys
conducted among pregnant women (n = 414) and women who gave birth in the
preceding year of the survey (n = 1573). In both cases, respondents were selected
using multistage sampling technique and data were collected via structured
questionnaire. Predictors of iron supplement utilization (ranked categories of
number of prenatal supplements taken) were identified using ordinal logistic
regression. The outputs of the analysis are given using adjusted Odds Ratio (OR)
with 95% Confidence Interval (CI). RESULTS: Among women who gave birth in the
preceding year, 35.4% (95% CI: 31.3-39.5) were given/prescribed prenatal iron
supplement during the index pregnancy and only 3.5% were supplemented for the
recommended 91 or more days. Compared to women who had 4 or more ANC visits,
those with 0, 1, 2 and 3 visits had 0.04, 0.33, 0.50 and 0.60 times less odds of
iron supplement utilization, respectively. Women lacking comprehensive knowledge
of anemia (OR = 0. 75 (95% CI: 0.57-0.97)) and those who weren't informed about
the importance of iron supplementation during the pregnancy (OR = 0. 05 (95% CI:
0.04-0.07)) had significantly lower utilization. On the other hand, in pregnant
women the prevalence of anemia was 33.2%. Among pregnant women who were
given/prescribed supplements, the average level of compliance was 74.9% and about
25.1% had less than 70% adherence. The leading reported reasons for non-adherence
were side-effects (63.3%) and forgetfulness (16.7%). CONCLUSION: Promoting early
and frequent ANC, enhancing the quality of ANC counseling and promoting the
knowledge of women on anemia are essential strategies for improving the
utilization of iron supplements.
PMID- 24930038
TI - Using human umbilical cord cells for tissue engineering: a comparison with skin
cells.
AB - The epithelial cells and Wharton's jelly cells (WJC) from the human umbilical
cord have yet to be extensively studied in respect to their capacity to generate
tissue-engineered substitutes for clinical applications. Our reconstruction
strategy, based on the self-assembly approach of tissue engineering, allows the
production of various types of living human tissues such as skin and cornea from
a wide range of cell types originating from post-natal tissue sources. Here we
placed epithelial cells and WJC from the umbilical cord in the context of a
reconstructed skin substitute in combination with skin keratinocytes and
fibroblasts. We compared the ability of the epithelial cells from both sources to
generate a stratified, differentiated skin-like epithelium upon exposure to air
when cultured on the two stromal cell types. Conversely, the ability of the WJC
to behave as dermal fibroblasts, producing extracellular matrix and supporting
the formation of a differentiated epithelium for both types of epithelial cells,
was also investigated. Of the four types of constructs produced, the combination
of WJC and keratinocytes was the most similar to skin engineered from dermal
fibroblasts and keratinocytes. When cultured on dermal fibroblasts, the cord
epithelial cells were able to differentiate in vitro into a stratified
multilayered epithelium expressing molecules characteristic of keratinocyte
differentiation after exposure to air, and maintaining the expression of keratins
K18 and K19, typical of the umbilical cord epithelium. WJC were able to support
the growth and differentiation of keratinocytes, especially at the early stages
of air-liquid culture. In contrast, cord epithelial cells cultured on WJC did not
form a differentiated epidermis when exposed to air. These results support the
premise that the tissue from which cells originate can largely affect the
properties and homoeostasis of reconstructed substitutes featuring both
epithelial and stromal compartments.
PMID- 24930037
TI - Aberrant gut microbiota composition at the onset of type 1 diabetes in young
children.
AB - AIMS/HYPOTHESIS: Recent studies indicate that an aberrant gut microbiota is
associated with the development of type 1 diabetes, yet little is known about the
microbiota in children who have diabetes at an early age. To this end, the
microbiota of children aged 1-5 years with new-onset type 1 diabetes was compared
with the microbiota of age-matched healthy controls. METHODS: A deep global
analysis of the gut microbiota composition was established by phylogenetic
microarray analysis using a Human Intestinal Tract Chip (HITChip). RESULTS:
Principal component analyses highlighted the importance of age when comparing age
matched pairs. In pairs younger than 2.9 years, the combined abundance of the
class Bacilli (notably streptococci) and the phylum Bacteroidetes was higher in
diabetic children, whereas the combined abundance of members of Clostridium
clusters IV and XIVa was higher in the healthy controls. Controls older than 2.9
years were characterised by a higher fraction of butyrate-producing species
within Clostridium clusters IV and XIVa than was seen in the corresponding
diabetic children or in children from the younger age groups, while the diabetic
children older than 2.9 years could be differentiated by having an increased
microbial diversity. CONCLUSIONS/INTERPRETATION: The results from both age groups
suggest that non-diabetic children have a more balanced microbiota in which
butyrate-producing species appear to hold a pivotal position.
PMID- 24930039
TI - Service evaluation to establish the sensitivity, specificity and additional value
of broad-range 16S rDNA PCR for the diagnosis of infective endocarditis from
resected endocardial material in patients from eight UK and Ireland hospitals.
AB - Infective endocarditis (IE) can be diagnosed in the clinical microbiology
laboratory by culturing explanted heart valve material. We present a service
evaluation that examines the sensitivity and specificity of a broad-range 16S
rDNA polymerase chain reaction (PCR) assay for the detection of the causative
microbe in culture-proven and culture-negative cases of IE. A clinical case-note
review was performed for 151 patients, from eight UK and Ireland hospitals, whose
endocardial specimens were referred to the Microbiology Laboratory at Great
Ormond Street Hospital (GOSH) for broad-range 16S rDNA PCR over a 12-year period.
PCR detects the causative microbe in 35/47 cases of culture-proven IE and
provides an aetiological agent in 43/69 cases of culture-negative IE. The
sensitivity, specificity, positive predictive value (PPV) and negative predictive
value (NPV) of the 16S rDNA PCR assay were calculated for this series of selected
samples using the clinical diagnosis of IE as the reference standard. The values
obtained are as follows: sensitivity = 67 %, specificity = 91 %, PPV = 96 % and
NPV = 46 %. A wide range of organisms are detected by PCR, with Streptococcus
spp. detected most frequently and a relatively large number of cases of
Bartonella spp. and Tropheryma whipplei IE. PCR testing of explanted heart valves
is recommended in addition to culture techniques to increase diagnostic yield.
The data describing the aetiological agents in a large UK and Ireland series of
culture-negative IE will allow future development of the diagnostic algorithm to
include real-time PCR assays targeted at specific organisms.
PMID- 24930041
TI - Penicillin susceptibility breakpoints for Streptococcus pneumoniae and their
effect on susceptibility categorisation in Germany (1997-2013).
AB - Continuous nationwide surveillance of invasive pneumococcal disease (IPD) was
conducted in Germany. From July 1, 1997, to June 30, 2013, data on penicillin
susceptibility were available for 20,437 isolates. 2,790 of these isolates (13.7
%) originate from patients with meningitis and 17,647 isolates (86.3 %) are from
non-meningitis cases. A slight decline in isolates susceptible at 0.06 and 0.12
MUg/ml can be noticed over the years. Overall, 89.1 % of the isolates had minimum
inhibitory concentrations (MICs) of <=0.015 MUg/ml. In 2012/2013, the first three
isolates of Streptococcus pneumoniae with MICs of 8 MUg/ml were found. The
application of different guidelines with other MIC breakpoints for the
interpretation of penicillin resistance leads to differences in susceptibility
categorisation. According to the pre-2008 Clinical and Laboratory Standards
Institute (CLSI) interpretive criteria, 5.3 % of isolates overall were
intermediate and 1.4 % were resistant to penicillin. Application of the 2008-2014
CLSI interpretive criteria resulted in 7.6 % resistance among meningitis cases
and 0.5 % intermediate resistance in non-meningitis cases. Referring to the 2009
2014 European Committee on Antimicrobial Susceptibility Testing (EUCAST)
breakpoints, 7.6 % of the isolates in the meningitis group were resistant to
penicillin. In the non-meningitis group, 6.1 % of the isolates were intermediate
and 0.5 % were resistant. These differences should be kept in mind when
surveillance studies on pneumococcal penicillin resistance are compared.
PMID- 24930040
TI - Evidence of a clonal expansion of Streptococcus pneumoniae serotype 19A in adults
as in children assessed by the DiversiLab(r) system.
AB - Streptococcus pneumoniae serotype 19A was the main serotype responsible for
invasive pneumococcal disease (IPD) in the Paris area in 2007 and 2009 in both
adults and children. To verify if a particular clone is emerging, we determined
the populational structure of S. pneumoniae isolates. Eighty-four S. pneumoniae
strains responsible for invasive infections isolated from 52 adults and 32
children hospitalized in Parisian hospitals were analyzed. Capsular typing was
performed by polymerase chain reaction (PCR) using the semi-automated repetitive
sequence-based (rep-PCR) DiversiLab(r) System. Multilocus sequence typing (MLST)
was also performed on 26 strains (ten selected strains after cluster analysis and
16 control strains). In 2007 and 2009, S. pneumoniae serotype 19A represented,
respectively, 28.6 % and 25 % of the serotypes involved in IPDs in children and
13 % and 13.7 % in adults. The rep-PCR DiversiLab(r) analysis showed that the 84
S. pneumoniae serotype 19A isolates were distributed in five clusters and four
unique rep-PCR types. Overall, 77/84 (91.6 %) S. pneumoniae 19A serotypes grouped
into three main genetically related clusters (71/77 belonged to the cluster I).
The five other strains exhibited different profiles. Using MLST, we demonstrated
that most strains of the main cluster belonged to clonal complex (CC) 230,
sequence type (ST) 276. However, for the other strains, the DiversiLab(r) method
cannot be used to predict to which ST a strain belongs. The DiversiLab(r) method
allowed us to identify the clonal expansion of S. pneumoniae serotype 19A strains
isolated from both children and adults in 2007 and 2009.
PMID- 24930042
TI - Trends in the susceptibility of commonly encountered clinically significant
anaerobes and susceptibilities of blood isolates of anaerobes to 16 antimicrobial
agents, including fidaxomicin and rifaximin, 2008-2012, northern Taiwan.
AB - We investigated the antimicrobial resistance trends and profiles of clinical
anaerobic isolates in northern Taiwan. Trends in the susceptibility of five
commonly encountered clinical anaerobic isolates to seven agents from 2008 to
2012 were measured using the Cochran-Armitage trend test. The minimum inhibitory
concentrations (MICs) of 16 antimicrobial agents, including fidaxomicin and
rifaximin, against anaerobic blood isolates from two medical centers were
determined using the agar dilution method. During the study period,
susceptibility data on 11,105 isolates were evaluated. Metronidazole and
chloramphenicol retained excellent activities. Around 20-30 % of isolates of
Bacteroides and Prevotella species were resistant to ampicillin-sulbactam,
cefmetazole, flomoxef, and clindamycin. Of the 507 tested blood isolates, the
rates of resistance to commonly used agents were much higher, namely, 16.2 % for
amoxicillin-clavulanate, 15.6 % for ampicillin-sulbactam, 24.7 % for cefmetazole,
and 36.1 % for clindamycin. Notably, 13.5 % of B. fragilis isolates were
resistant to ertapenem. Also, 15.2 % of B. uniformis, 17.2 % of other Bacteroides
species, 14.3 % of Prevotella species, and 14 % of Clostridium other than C.
perfringens isolates were resistant to moxifloxacin. Cefoperazone-sulbactam was
active against most isolates, except for Clostridium species other than
perfringens (resistance rate, 18.6 %). Fidaxomicin exerted poor activities
against most anaerobes tested (MIC90 of >128 MUg/ml for B. fragilis and all
isolates), except for C. perfringens (MIC90 of 0.03 MUg/ml) and
Peptostreptococcus micros (MIC90 of 2 MUg/ml). However, rifaximin showed a wide
range of susceptibilities against the tested anaerobes (MIC90 of 0.5 MUg/ml for
B. fragilis). The emergence of resistance to ertapenem and moxifloxacin among
bacteremic anaerobes highlights the need for continuous monitoring.
PMID- 24930043
TI - Comparison between transthoracic and transesophageal echocardiography in
screening for infective endocarditis in patients with Staphylococcus aureus
bacteremia.
AB - Echocardiography is an important diagnostic tool in evaluating a patient with
Staphylococcus aureus bacteremia (SAB) for diagnosing infective endocarditis
(IE). We sought to compare the utility of transthoracic echocardiography (TTE)
with transesophageal echocardiography (TEE) in screening for IE in patients with
SAB. We performed a retrospective chart review of 285 adult patients from two
tertiary care hospitals with at least one positive blood culture for S. aureus
between 2010 and 2012. Patients who underwent echocardiography were divided into
two groups: TTE (screened with TTE only) and TEE (screened with both TTE and
TEE). The demographic factors and clinical outcomes were compared between the
groups. Of the 285 charts reviewed, 213 (74.7 %) patients were screened with
echocardiography: 183 (85.9 %) were screened with TTE alone and 30 (14.1 %) were
screened with both TTE and TEE. TEE disclosed more cases of definite IE than TTE
(8 [26.7 %] vs. 22 [12.0 %], p = 0.046). The TEE group had higher mortality than
the TTE group (15 [50.0 %] vs. 43 [23.5 %], p = 0.004). In patients with definite
IE, mortality was higher in the TEE group than in the TTE group (6 [75.0 %] vs. 6
[27.3 %], p = 0.034). TEE discovered additional findings that were missed by TTE
in 36.7 % of cases and refuted the findings of TTE in 13.3 % of cases. We do not
support the routine use of TEE in patients with uncomplicated SAB. High-risk
patients in which IE is a serious consideration should undergo investigation with
TEE.
PMID- 24930044
TI - Fractalkine levels are elevated early after PCI-treated ST-elevation myocardial
infarction; no influence of autologous bone marrow derived stem cell injection.
AB - BACKGROUND: Fractalkine (CX3CL1) is a chemokine associated with atherosclerosis
and inflammation. There is limited knowledge of fractalkine levels during acute
myocardial infarction (AMI) and stem cell treatment. We aimed to investigate the
time profile of circulating fractalkine and gene expression of its receptor
CX3CR1 during AMI, and the influence of intracoronary autologous bone marrow stem
cell (mBMC) transplantation (given 6 days after AMI) on fractalkine levels.
METHODS: We examined fractalkine levels at different time points by enzyme-linked
immunosorbent assay (ELISA) in 20 patients with AMI, and 10 patients with stable
angina pectoris (AP) undergoing percutaneous coronary intervention (PCI), and in
100 patients included in the randomized Autologous Stem-Cell Transplantation in
Acute Myocardial Infarction (ASTAMI) trial. RESULTS: Patients with AMI had
significantly elevated levels 3- and 12 h after PCI compared to patients with
stable AP. After 12 h levels were similar in the two groups. An inverse pattern
was observed in gene expression levels. No correlation between fractalkine levels
and myocardial injury or infarct size was seen. We could not demonstrate any
influence of autologous mBMC transplantation on fractalkine levels. CONCLUSION:
Fractalkine levels are elevated the first 12 h after PCI in patients with AMI,
however, not correlated to infarct size. The inverse pattern in gene expression
of fractalkine receptor (CX3CR1) might be a compensatory mechanism. No effect of
autologous mBMC transplantation given 6 days after AMI on fractalkine levels was
observed.
PMID- 24930046
TI - How is the Beads Task related to intolerance of uncertainty in anxiety disorders?
AB - Intolerance of uncertainty (IU) is a cognitive bias associated with anxiety
disorders that has only been reliably measured using self-report instruments. The
current study investigated relationships between a probabilistic inference task -
the Beads Task - and self-report IU. Individuals with anxiety disorders (ANX) and
non-anxious controls (NAC) completed self-report measures as well as the Beads
Task at three levels of difficulty. The Beads Task successfully induced task
related uncertainty as the decision became more difficult. While the two groups
did not differ on the observable performance related measures, the ANX group was
significantly more distressed during the task than were the NACs. Moreover, among
the ANX group, self-reported IU was correlated with draws to decision and
distress during the task. The Beads Task appears to provoke distress associated
with uncertainty for anxious individuals, rather than altering their behavioral
responses; thus, clinical implications and avenues for future research are
discussed.
PMID- 24930047
TI - The neural basis of attentional control in visual search.
AB - How do we localise and identify target objects among distractors in visual
scenes? The role of selective attention in visual search has been studied for
decades and the outlines of a general processing model are now beginning to
emerge. Attentional processes unfold in real time and this review describes four
temporally and functionally dissociable stages of attention in visual search
(preparation, guidance, selection, and identification). Insights from
neuroscientific studies of visual attention suggest that our ability to find
target objects in visual search is based on processes that operate at each of
these four stages, in close association with working memory and recurrent
feedback mechanisms.
PMID- 24930045
TI - Inhibition of glutathione peroxidase mediates the collateral sensitivity of
multidrug-resistant cells to tiopronin.
AB - Multidrug resistance (MDR) is a major obstacle to the successful chemotherapy of
cancer. MDR is often the result of overexpression of ATP-binding cassette
transporters following chemotherapy. A common ATP-binding cassette transporter
that is overexpressed in MDR cancer cells is P-glycoprotein, which actively
effluxes drugs against a concentration gradient, producing an MDR phenotype.
Collateral sensitivity (CS), a phenomenon of drug hypersensitivity, is defined as
the ability of certain compounds to selectively target MDR cells, but not the
drug-sensitive parent cells from which they were derived. The drug tiopronin has
been previously shown to elicit CS. However, unlike other CS agents, the
mechanism of action was not dependent on the expression of P-glycoprotein in MDR
cells. We have determined that the CS activity of tiopronin is mediated by the
generation of reactive oxygen species (ROS) and that CS can be reversed by a
variety of ROS-scavenging compounds. Specifically, selective toxicity of
tiopronin toward MDR cells is achieved by inhibition of glutathione peroxidase
(GPx), and the mode of inhibition of GPx1 by tiopronin is shown in this report.
Why MDR cells are particularly sensitive to ROS is discussed, as is the
difficulty in exploiting this hypersensitivity to tiopronin in the clinic.
PMID- 24930048
TI - Positive posttraumatic stress disorder screens among first-time medical cannabis
patients: prevalence and association with other substance use.
AB - Twenty-one states and the District of Columbia have passed legislation allowing
for the use of medical cannabis for those individuals with qualifying medical
conditions, which include posttraumatic stress disorder (PTSD) for a growing
number of states. Little information is available regarding PTSD among medical
cannabis patients. This study seeks to provide initial data on this topic by
examining the prevalence and correlates of positive PTSD screens among a sample
of patients seeking medical cannabis certification for the first time (n=186).
Twenty-three percent (42/186; 95% confidence interval [CI] =17%-29%) of the
patients in the study sample screened positive for PTSD. Moreover, the group that
screened positive for PTSD had higher percentages of lifetime prescription
opioid, cocaine, prescription sedative, and street opioid use, as well as a
higher percentage of recent prescription sedative use, than the group that
screened negative for PTSD. These findings highlight the relatively common use of
other substances among medical cannabis patients with significant PTSD symptoms,
even when compared with other patients seeking medical cannabis for the first
time. As a growing number of states include PTSD among the list of qualifying
medical conditions for medical cannabis, additional research is needed to better
characterize the longitudinal relationship between medical cannabis use and PTSD
symptoms.
PMID- 24930049
TI - A new method of cannabis ingestion: the dangers of dabs?
AB - A new method for administering cannabinoids, called butane hash oil ("dabs"), is
gaining popularity among marijuana users. Despite press reports that suggest that
"dabbing" is riskier than smoking flower cannabis, no data address whether dabs
users experience more problems from use than those who prefer flower cannabis.
OBJECTIVE: The present study aimed to gather preliminary information on dabs
users and test whether dabs use is associated with more problems than using
flower cannabis. METHOD: Participants (n=357) reported on their history of
cannabis use, their experience with hash oil and the process of "dabbing,"
reasons for choosing "dabs" over other methods, and any problems related to both
flower cannabis and butane hash oil. RESULTS: Analyses revealed that using "dabs"
created no more problems or accidents than using flower cannabis. Participants
did report that "dabs" led to higher tolerance and withdrawal (as defined by the
participants), suggesting that the practice might be more likely to lead to
symptoms of addiction or dependence. CONCLUSIONS: The use of butane hash oil has
spread outside of the medical marijuana community, and users view it as
significantly more dangerous than other forms of cannabis use.
PMID- 24930050
TI - Predictors of the initiation and persistence of internet addiction among
adolescents in Taiwan.
AB - PURPOSE: The present study examined the psychosocial factors associated with the
initiation and persistence of Internet addiction among adolescents in Taiwan.
METHODS: A total of 2315 students from 26 high schools were assessed in the 10th
grade, with follow-up performed in the 11th grade, in Taipei, Taiwan. Self
administered questionnaires were collected in each year to assess the pattern of
changes in Internet addiction and psychosocial factors. RESULTS: Of the 1602
students without an Internet addiction in the 10th grade, 253 (15.8%) had
initiated Internet addiction by grade 11. Multivariate analysis results indicated
that greater engagement in online activities (i.e., social network website use,
online gaming), depression, and lower school bonding in grade 10 coupled with an
increase in online activities, depression, and smoking from grades 10 to 11
predicted the initiation of Internet addiction. Of the 605 students with Internet
addiction in the 10th grade, the addiction had persisted for 383 students (63.3%)
in grade 11. An increase in the existence of depression and alcohol use from
grades 10 to 11 predicted the persistence of Internet addiction. CONCLUSIONS:
Online activities, depression, and substance use were important predictors of
youth initiation and of the persistence of Internet addiction.
PMID- 24930052
TI - The influence of protective and risk factors in individual, peer and school
domains on Thai adolescents' alcohol and illicit drug use: a survey.
AB - This study investigates risk and protective factors for substance abuse in a
sample of 1778 students attending technical colleges in Bangkok and Nakhon
Ratchasima provinces of Thailand using a self-report questionnaire modified from
the Communities That Care youth survey. Low school commitment was strongly
associated with illicit drug use, with adjusted odds ratios ranging from 2.84
(glue sniffing) to 10.06 (ecstasy). Having friends using drugs, and friends with
delinquent behaviors increased the risk of using alcohol and illegal drugs, with
adjusted odds ratios of 6.84 and 6.72 respectively for marijuana use. For
protective factors, approximately 40-60% of students with high levels of moral
belief, participation in religious activities, and social skills were less likely
to use alcohol. It is concluded that peer influence is a significant contributor
to Thai adolescents' participation in substance abuse and that engaging in
religiosity may assist adolescents to internalize negative aspects of harmful
drugs into positive perceptions and encourage them to avoid alcohol and illegal
drugs.
PMID- 24930051
TI - Beliefs and attitudes regarding drug treatment: application of the theory of
planned behavior in African-American cocaine users.
AB - BACKGROUND: The Theory of Planned Behavior (TPB) can provide insights into
perceived need for cocaine treatment among African American cocaine users.
METHODS: A cross-sectional community sample of 400 (50% rural) not-in-treatment
African-American cocaine users was identified through respondent-driven sampling
in one urban and two rural counties in Arkansas. Measures included self-reports
of attitudes and beliefs about cocaine treatment, perceived need and perceived
effectiveness of treatment, and positive and negative cocaine expectancies.
Normative beliefs were measured by perceived stigma and consequences of stigma
regarding drug use and drug treatment. Perceived control was measured by
readiness for treatment, prior drug treatment, and perceived ability to cut down
on cocaine use without treatment. FINDINGS: Multiple regression analysis found
that older age (standardized regression coefficient beta=0.15, P<0.001), rural
residence (beta=-0.09, P=0.025), effectiveness of treatment (beta=0.39, P<0.001),
negative cocaine expectancies (beta=0.138, P=0.003), experiences of rejection
(beta=0.18, P<0.001), need for secrecy (beta=0.12, P=0.002), and readiness for
treatment (beta=0.15, P<0.001) were independently associated with perceived need
for cocaine treatment. CONCLUSIONS: TPB is a relevant model for understanding
perceived need for treatment among African-American cocaine users. Research has
shown perceived need to be a major correlate of treatment participation. Study
results should be applicable for designing interventions to encourage treatment
participation.
PMID- 24930054
TI - Ecology, evolution and control of plant viruses and their vectors.
PMID- 24930055
TI - Penetrating facial injury with an "Airsoft" pellet: a case report.
AB - Airsoft is a recreational combat sport that originated in Japan in the 1970s and
is currently increasing in popularity in the UK. Participants use air or
electrically powered weapons to fire small plastic pellets at a controlled
pressure. UK law strictly regulates the maximum muzzle velocity and the type of
ammunition used in these weapons. A search of published papers found several
reports of penetrating ocular injuries caused by Airsoft pellets, but no reports
of penetrating injuries to other areas of the body. We report the case of a 25
year-old man who sustained a penetrating injury to the cheek after being shot
with an Airsoft weapon.
PMID- 24930053
TI - Differential use of other tobacco products among current and former cigarette
smokers by income level.
AB - With the declining sales of cigarettes, the tobacco industry has been promoting
other forms of combustible and smokeless tobacco to current and former cigarette
smokers. Exposure to the promotion of tobacco products has been shown to vary by
income level. We combined the 2006 through 2011 National Surveys on Drug Use and
Health to compare the prevalence and patterns of other tobacco use (cigar, snuff,
and chewing tobacco) between current and former cigarette smokers by income
level. Other tobacco use was minimal among females and among male non-smokers.
Approximately a third of both current and former male cigarette smokers reported
past-year other tobacco use. Overall, current smokers were more likely than
former smokers to have used cigars (adjusted odds ratio (AOR) 1.69, 95% CI 1.50
1.92) or snuff (AOR 1.14, 95% CI 1.01-1.28) in the past year. The association of
smoking status with other tobacco use differed by income level (interaction term
p-value<0.001). Among lower income groups, current smokers were more likely to
use cigars and snuff compared to former smokers. Among the highest income group,
former smokers were just as likely to use smokeless tobacco as current smokers.
The differing patterns of use of other tobacco between current and former smokers
by income level highlight a need for studies to understand the motivations for
the use of these products and their role in smoking cessation.
PMID- 24930056
TI - Unusual cause of iatrogenic anterior open bite after bilateral sagittal split
mandibular advancement osteotomy.
AB - We describe a case of anterior open bite after bilateral sagittal split
mandibular advancement osteotomy. The discrepancy in height between the proximal
and distal segments at the osteotomy sites damaged the soft tissue and caused
bony interferences. Removal of the maxillary third molars and bilateral removal
of bony interferences of the distal segments using a bur led to full resolution
and a good orthognathic outcome on follow-up.
PMID- 24930057
TI - Accuracy and reliability of linear measurements using 3-dimensional computed
tomographic imaging software for Le Fort I osteotomy: common mistakes.
PMID- 24930058
TI - Frontline treatment with gemcitabine, oxaliplatin and erlotinib for the treatment
of advanced or metastatic pancreatic cancer: a multicenter phase II study of the
Hellenic Oncology Research Group (HORG).
AB - PURPOSE: Intravenous gemcitabine is the standard of care for patients with
metastatic cancer of the pancreas. Gemcitabine-based chemotherapy combinations,
either doublets or triplets, have been tested in the past but have offered a
small advantage (Brodoefel et al. in Eur J Radiol 73:594-600, 2010). In the
present study, we present the results of the triplet gemcitabine-oxaliplatin
erlotinib combination as firstline treatment in this setting. PATIENTS AND
METHODS: Seventy-one eligible patients were included in this study. All patients
received chemotherapy with gemcitabine (1,100 mg/m(2) on days 1 and 8) plus
oxaliplatin (130 mg/m(2) on day 8) and erlotinib (100 mg p.o./day for 21 days).
The treatment cycle was 21 days. RESULTS: Partial response was achieved in 15
patients (21%; 95% CI 11.63-30.62) and stable disease in 15 patients (21%). Forty
one patients (57.8%) experienced disease progression. Median progression-free
survival was 5.2 months (range 0.6-34.7; 95% CI 3.71-6.76). The median overall
survival was 10.5 months (95% CI 7.39-13.61) and the 1-year survival estimate
47.3%. The main adverse events were grade 3/4 anemia occurring in three (4.2%)
patients and grade 3 and 4 thrombocytopenia occurring in eight (11.3%) and three
(4.2%) patients, respectively. Grade 4 neutropenia was rare (1.4%), and one
patient presented febrile neutropenia. CONCLUSION: This study demonstrated that
the combination of gemcitabine, oxaliplatin and erlotinib is active, well
tolerated and safe for patients with metastatic adenocarcinoma of the pancreas.
However, the results do not seem to be better than those reported with
chemotherapy alone.
PMID- 24930059
TI - Risk estimation of multiple recurrence and progression of non muscle invasive
bladder carcinoma using new mathematical models.
AB - OBJECTIVE: To apply new mathematical models according to Non Muscle Invasive
Bladder Carcinoma (NMIBC) biological characteristics and enabling an accurate
risk estimation of multiple recurrences and tumor progression. The classical Cox
model is not valid for the assessment of this kind of events becausethe time
betweenrecurrencesin the same patientmay be stronglycorrelated. These new models
for risk estimation of recurrence/progression lead to individualized monitoring
and treatment plan. MATERIALS AND METHODS: 960 patients with primary NMIBC were
enrolled. The median follow-up was 48.1 (3-160) months. Results obtained were
validated in 240 patients from other center. Transurethral resection of the
bladder (TURB) and random bladder biopsy were performed. Subsequently, adjuvant
localized chemotherapy was performed. The variables analyzed were: number and
tumor size, age, chemotherapy and histopathology. The endpoints were time to
recurrence and time to progression. Cox model and its extensions were used as
joint frailty model for multiple recurrence and progression. Model accuracy was
calculated using Harrell's concordance index (c-index). RESULTS: 468 (48.8%)
patients developed at least one tumor recurrence and tumor progression was
reported in 52 (5.4%) patients. Variables for multiple-recurrence risk are: age,
grade, number, size, treatment and the number of prior recurrences. All these
together with age, stage and grade are the variables for progression risk.
Concordance index was 0.64 and 0.85 for multiple recurrence and progression
respectively. CONCLUSION: the high concordance reported besides to the validation
process in external source, allow accurate multi-recurrence/progression risk
estimation. As consequence, it is possible to schedule a follow-up and treatment
individualized plan in new and recurrent NMCB cases.
PMID- 24930060
TI - Diabetes and cancer: 5 years into the recent controversy.
AB - Diabetes and cancer are common chronic disorders. The literature has long
recognised that type 2 diabetes (T2D) is associated with an increased incident
risk of several cancer types, independent of the mutual risk factor, obesity.
However, in June 2009, four papers were published simultaneously in Diabetologia,
the official journal of the European Association for the Study of Diabetes,
raising questions of a link between diabetes therapies, notably the long-acting
insulin analogue, glargine, and increased cancer risk. These papers awakened an
unprecedented debate in the diabetes community, drawing in cancer experts and
bringing together representatives from these two large, traditionally non
intersecting, biomedical communities. This Current Perspective summarises the
events that followed the 'breaking news' from summer 2009: the pitfalls
encountered; the increased mutual understanding between diabetes and cancer
researchers; and the direction of current research. Much of the debate on the
clinical impact of this controversy has been played out in the diabetes
literature: here, we update the oncology readership.
PMID- 24930061
TI - Synthesis of hyaluronic acid oligosaccharides and exploration of a fluorous
assisted approach.
AB - The synthesis of hyaluronic acid oligomers (tri- and tetrasaccharide) is
described. We have followed a pre-glycosylation oxidation strategy. Glucuronic
acid units were directly employed in coupling reactions with suitably protected
glucosamine derivatives. In order to simplify the purification of synthetic
intermediates, a fluorous-assisted strategy has been also explored. Using this
approach, a hyaluronic acid trisaccharide was prepared.
PMID- 24930070
TI - Exaggerated exercise blood pressure response and risk of stroke in patients
referred for stress testing.
AB - BACKGROUND/OBJECTIVES: There is some evidence to suggest that exercise systolic
blood pressure (SBP) may be associated with future risk of stroke in subjects
without a history of coronary artery disease (CAD). However, the value of an
exaggerated exercise SBP response (EESBPR) for predicting stroke in patients
referred for stress testing for clinical reasons has not been investigated.
METHODS: We evaluated a community-based sample of 10,047 patients with known or
suspected CAD who underwent treadmill exercise echocardiography. An EESBPR was
defined as a peak exercise SBP of >220mmHg. The ratio of the increase in SBP
during exercise to exercise workload (DeltaSBPeEW) was also estimated. The
endpoints were stroke of any type, ischemic stroke and hemorrhagic stroke. Median
follow-up was 3.5years. RESULTS: Annualized rates of stroke of any type, ischemic
stroke and hemorrhagic stroke were 0.6% (95% CI 0.53-0.67), 0.49% (95% CI 0.42
0.56) and 0.12% (95% CI 0.09-0.15) in patients without EESBPR vs. 0.69% (95% CI
0.37-1), 0.49% (95% CI 0.23-0.76) and 0.19% (95% CI 0.02-0.35) in those with
EESBPR (p=0.68, 0.90 and 0.39, respectively). Similarly, there was no significant
univariate association between DeltaSBPeEW and the occurrence of any endpoint. In
multivariate analysis, hypertension, male sex, age, diabetes mellitus and resting
SBP remained predictors of stroke of any type. EESBPR and DeltaSBPeEW were not
predictors of any of the endpoints evaluated. CONCLUSION: We did not observe any
significant association between exercise SBP and the future occurrence of stroke
in patients with known or suspected CAD referred for exercise echocardiography.
PMID- 24930071
TI - Unexpected inverse relationship between impaired glucose metabolism and
lipoprotein-associated phospholipase A2 activity in patients with stable vascular
disease.
AB - BACKGROUND: Elevated lipoprotein-associated phospholipase A2 activity (aLp-PLA2)
is associated with increased risk of cardiovascular events. In patients with
stable atherovascular disease, we aimed to investigate whether impaired glucose
metabolism might be associated with higher risk of elevated aLp-PLA2. METHODS: We
conducted a cross-sectional study in 825 stable patients after acute coronary
syndrome, coronary revascularization or after first ischemic stroke (Czech part
of EUROASPIRE III surveys). We measured aLp-PLA2 using diaDexus commercial kit.
RESULTS: In multiple step-wise regression analysis, the aLp-PLA2 was
significantly positively associated with male gender, current smoking, LDL
cholesterol and metabolic syndrome and negatively with statin treatment, body
mass index and LDL/apoB ratio. After adjustment for these confounders, we
observed an inverse relationship between aLp-PLA2 and fasting glycemia [beta
coefficient -2.18 (p<0.0001)] or glycated hemoglobin A1c (HbA1c) [beta
coefficient -5.89 (p<0.0001)]. Moreover, we found a positive association between
aLp-PLA2 and pancreatic beta cell function [beta coefficient +0.10 (p<0.0001)],
but not with an insulin sensitivity. CONCLUSION: In present study, we cannot
confirm any additive risk of impaired glucose metabolism in terms of increased
activity of Lp-PLA2. On the contrary, presence of inadequately controlled
diabetes mellitus was independently associated with lower risk of elevated aLp
PLA2 .
PMID- 24930072
TI - Synthesis and anti-tumor activity evaluation of Matijin-Su derivatives.
AB - A series of Matijin-Su (MTS, N-(N-benzoyl-l-phenylalanyl)-O-acetyl-l
phenylalanol) derivatives was synthesized and evaluated for their anti-tumor
activities in hepatocellular carcinoma cells. The IC50 of compounds 1, 3, 4, 11,
13 were less than 20MUM, and compound 1 and 3 showed an IC50 value of less than
9MUM. Expansion inhibition could be found significantly in compound 1 and 3
treated human hepatoma cell HepG2 and PLC/PRF/5, while both compounds exhibit
lower toxicity to human hepatocyte cell line L-02. Compound 1 and 3 could induce
cell cycle arrest at G1/S phase. This may be attributed to increase level of
intracellular reactive oxygen species (ROS). Up-regulation of p38 MAPK activity
in responding the ROS stabilize p53 and activate p21 transcription, the critical
regulatory in G1/S checkpoint. Observations in this study shed light on the
potential of MTS derivatives compound 1 and 3 as novel suppressors to human liver
cancer.
PMID- 24930073
TI - Incidence and predictors of exertional hyperthermia after a 15-km road race in
cool environmental conditions.
AB - OBJECTIVES: Current knowledge about the incidence and risk factors for exertional
hyperthermia (core body temperature >=40 degrees C) is predominantly based on
military populations or small-sized studies in athletes. We assessed the
incidence of exertional hyperthermia in 227 participants of a 15-km running race,
and identified predictors for exertional hyperthermia. DESIGN: Observational
study. METHODS: We measured intestinal core body temperature before and
immediately after the race. To identify predictive factors of maximum core body
temperature, we entered sex, age, BMI, post-finish dehydration, number of
training weeks, fluid intake before and during the race, finish time, and core
body temperature change during warming-up into a backward linear regression
analysis. Additionally, two subgroups of hyperthermic and non-hyperthermic
participants were compared. RESULTS: In a WBGT of 11 degrees C, core body
temperature increased from 37.6+/-0.4 degrees C at baseline to 37.8+/-0.4 degrees
C after warming-up, and 39.2+/-0.7 degrees C at the finish. A total of 15% of all
participants had exertional hyperthermia at the finish. Age, BMI, fluid intake
before the race and the core body temperature change during warming-up
significantly predicted maximal core body temperature (p<0.001). Participants
with hyperthermia at the finish line had a significantly greater core body
temperature rise (p<0.01) during the warming-up compared to non-hyperthermic
peers, but similar race times (p=0.46). CONCLUSIONS: 15% of the recreational
runners developed exertional hyperthermia, whilst core body temperature change
during the warming-up was identified as strongest predictor for core body
temperature at the finish. This study emphasizes that exertional hyperthermia is
a common phenomenon in recreational athletes, and can be partially predicted.
PMID- 24930075
TI - [Acute cardiac insufficiency. Do we finally have an opportunity to improve the
prognosis?].
PMID- 24930074
TI - The inter and intra rater reliability of the Netball Movement Screening Tool.
AB - OBJECTIVES: To establish the inter- and intra-rater reliability of the Netball
Movement Screening Tool, for screening adolescent female netball players. DESIGN:
Inter- and intra-rater reliability study. METHODS: Forty secondary school netball
players were recruited to take part in the study. Twenty subjects were screened
simultaneously and independently by two raters to ascertain inter-rater
agreement. Twenty subjects were scored by rater one on two occasions, separated
by a week, to ascertain intra-rater agreement. Inter and intra-rater agreement
was assessed utilising the two-way mixed inter class correlation coefficient and
weighted kappa statistics. RESULTS: No significant demographic differences were
found between the inter and intra-rater groups of subjects. Inter class
correlation coefficients' demonstrated excellent inter-rater (two-way mixed inter
class correlation coefficients 0.84, standard error of measurement 0.25) and
intra-rater (two-way mixed inter class correlation coefficients 0.96, standard
error of measurement 0.13) reliability for the overall Netball Movement Screening
Tool score and substantial-excellent (two-way mixed inter class correlation
coefficients 1.0-0.65) inter-rater and substantial-excellent intra-rater (two-way
mixed inter class correlation coefficients 0.96-0.79) reliability for the
component scores of the Netball Movement Screening Tool. Kappa statistic showed
substantial to poor inter-rater (k=0.75-0.32) and intra-rater (k=0.77-0.27)
agreement for individual tests of the NMST. CONCLUSIONS: The Netball Movement
Screening Tool may be a reliable screening tool for adolescent netball players;
however the individual test scores have low reliability. The screening tool can
be administered reliably by raters with similar levels of training in the tool
but variable clinical experience. On-going research needs to be undertaken to
ascertain whether the Netball Movement Screening Tool is a valid tool in
ascertaining increased injury risk for netball players.
PMID- 24930076
TI - [Epidemiology of acute heart failure].
AB - In Spain, as in all other developed countries, heart failure is a colossal
healthcare challenge. It is estimated that more than 1,300,000 people have heart
failure in Spain. Each year, there are more than 100,000 hospital admissions for
this process and the numbers are progressively increasing. Approximately 2% of
emergency visits are related to this condition. Nearly 50% of inpatients are
older than 75 years and have multiple comorbidities. Readmissions are common.
Mortality at 1 year is around 16% after discharge but is close to 60% at 10
years. The associated annual overall costs are around 2,500 million euros. Every
year more than 17,000 people die from heart failure, which is the fourth most
frequent cause of death in Spain. Mortality rates have been reduced but, because
of more advanced age at admission and the associated multiple comorbidities, in
hospital mortality has remained largely unchanged during the last 12 years and is
nearly 10%. De novo heart failure causes greater morbidity and mortality and
consequently there is a need for early identification and treatment. Strategies
to coordinate healthcare levels and develop effective preventive programs are
needed to tackle this formidable problem.
PMID- 24930077
TI - [Acute heart failure: precipitating factors and prevention].
AB - Acute heart failure episodes, whether onset or decompensation of a chronic form,
are most often precipitated by a concurrent process or disease, described as
precipitating factors of heart failure. In this article, we review these
precipitating factors, their proportions and clinical relevance in general and in
subgroups of patients, their relationship with prognosis, and their possible
prevention.
PMID- 24930078
TI - [Acute heart failure: acute cardiogenic pulmonary edema and cardiogenic shock].
AB - Acute cardiogenic pulmonary edema and cardiogenic shock are two of the main forms
of presentation of acute heart failure. Both entities are serious, with high
mortality, and require early diagnosis and prompt and aggressive management.
Acute pulmonary edema is due to the passage of fluid through the
alveolarcapillary membrane and is usually the result of an acute cardiac episode.
Correct evaluation and clinical identification of the process is essential in the
management of acute pulmonary edema. The initial aim of treatment is to ensure
hemodynamic stability and to correct hypoxemia. Other measures that can be used
are vasodilators such as nitroglycerin, loop diuretics and, in specific
instances, opioids. Cardiogenic shock is characterized by sustained
hypoperfusion, pulmonary wedge pressure > 18 mmHg and a cardiac index <
2.2l/min/m(2). The process typically presents with hypotension (systolic blood
pressure < 90 mmHg or a decrease in mean arterial pressure > 30 mmHg) and absent
or reduced diuresis (< 0.5 ml/kg/h). The most common cause is left ventricular
failure due to acute myocardial infarction. Treatment consists of general
measures to reverse acidosis and hypoxemia, as well as the use of vasopressors
and inotropic drugs. Early coronary revascularization has been demonstrated to
improve survival in shock associated with ischaemic heart disease.
PMID- 24930079
TI - [Diagnosis of acute heart failure and relevance of biomarkers in elderly
patients].
AB - Diagnosis of acute heart failure (HF) is difficult in elderly patients with
multiple comorbidities. Risk scales and classification criteria based exclusively
on clinical manifestations, such as the Framingham scales, lack sufficient
specificity. In addition to clinical manifestations, diagnosis should be based on
two key factors: natriuretic peptides and echocardiographic study. When there is
clinical suspicion of acute HF, a normal natriuretic peptide level will rule out
this process. When a consistent clinical suspicion is present, an
echocardiographic study should also be performed. Diagnosis of HF with preserved
ejection fraction (HF/pEF) requires detection of an enlarged left atrium or the
presence of parameters of diastolic dysfunction. Elevation of cardiac biomarkers
seems to be due to myocardial injury and the compensatory mechanisms of the body
against this injury (hormone and inflammatory response and repair mechanisms).
Elevation of markers of cardiac damage (troponins and natriuretic peptides) have
been shown to be useful both in the diagnosis of acute HF and in prediction of
outcome. MMP-2 could be useful in the diagnosis of HF/pEF. In addition to
biomarkers with diagnostic value, other biomarkers are helpful in prognosis in
the acute phase of HF, such as biomarkers of renal failure (eGFR, cystatin and
urea), inflammation (cytokines and CRP), and the cell regeneration marker,
galectin-3. A promising idea that is under investigation is the use of panels of
biomarkers, which could allow more accurate diagnosis and prognosis of acute HF.
PMID- 24930080
TI - [Organ damage and cardiorenal syndrome in acute heart failure].
AB - Heart failure is a complex syndrome that affects almost all organs and systems of
the body. Signs and symptoms of organ dysfunction, in particular kidney
dysfunction, may be accentuated or become evident for the first time during acute
decompensation of heart failure. Cardiorenal syndrome has been defined as the
simultaneous dysfunction of both the heart and the kidney, regardless of which of
the two organs may have suffered the initial damage and regardless also of their
previous functional status. Research into the mechanisms regulating the complex
relationship between the two organs is prompting the search for new biomarkers to
help physicians detect renal damage in subclinical stages. Hence, a preventive
approach to renal dysfunction may be adopted in the clinical setting in the near
future. This article provides a general overview of cardiorenal syndrome and an
update of the physiopathological mechanisms involved. Special emphasis is placed
on the role of visceral congestion as an emergent mechanism in this syndrome.
PMID- 24930081
TI - [Possibilities of clinical echocardiography in patients with heart failure: some
examples from clinical practice].
AB - Clinical echocardiography is a fast, non-invasive and safe diagnostic method
carried out at the patient's bedside by clinicians, not necessarily
cardiologists, and can provide useful information about cardiac anatomy, with
estimates of volumes, diameters, the presence or absence pericardial effusion,
and visualization of ventricular wall motion and valve function. The most
practical measure of ventricular function to distinguish between patients with
systolic dysfunction and those with preserved systolic function is ejection
fraction, which can be estimated approximately. The new small pocket
echocardiography devices that have become available in recent years offer major
advantages in terms of availability and their cost can be considered accessible
compared with that of other devices. An undisputed practical advantage is their
portability and ease of use. Clinical echocardiography is perfectly compatible
with the subsequent performance of echocardiography by a highly qualified expert.
PMID- 24930082
TI - [Diuretic therapy in acute heart failure].
AB - Diuretics are widely recommended in patients with acute heart failure (AHF).
Unfortunately, despite their widespread use, limited data are available from
randomized clinical trials to guide clinicians on the appropriate management of
diuretic therapy. Loop diuretics are considered the first-line diuretic therapy,
especially intravenous furosemide, but the best mode of administration (high-dose
versus low-dose and continuous infusion versus bolus) is unclear. When diuretic
resistance develops, different therapeutic strategies can be adopted, including
combined diuretic therapy with thiazide diuretics and/or aldosterone antagonists.
Low or "non-diuretic" doses (25-50mg QD) of aldosterone antagonists have been
demonstrated to confer a survival benefit in patients with heart failure and
reduced ejection fraction and consequently should be prescribed in all such
patients, unless contraindicated by potassium and/or renal function values. There
is less evidence on the use of aldosterone antagonists at higher or "diuretic"
doses (>= 100mg QD) but these drugs could be useful in relieving congestive
symptoms in combination with furosemide. Thiazide diuretics can also be helpful
as they have synergic effects with loop diuretics by inhibiting sodium
reabsorption in distal parts of the nephron. The effect of diuretic therapy in
AHF should be monitored with careful observation of clinical signs and symptoms
of congestion. Serum electrolytes and kidney function should also be monitored
during the use of intravenous diuretics.
PMID- 24930083
TI - [Alternatives to conventional diuretic therapy in heart failure].
AB - Although treatment of acute heart failure is based primarily on the
administration of intravenous loop diuretics, evidence supporting this practice
is still scarce and there is uncertainty about the optimal dose. The existence of
a considerable percentage of patients refractory to diuretic therapy and
worsening of renal failure associated with the use of these drugs, with possible
implications for medium-term mortality, have prompted the search for more
effective and safer alternatives. Extracorporeal purification techniques, such as
ultrafiltration, have demonstrated efficacy, although their superiority is
unclear, due to the possible adverse effects associated with the procedure. The
use of low-dose dopamine is not superior to conventional diuretic therapy after
the first few hours of treatment. Moreover, combination with furosemide and
hypertonic saline could be a valid alternative for patients with refractory
congestion and depressed ejection fraction and serum creatinine <= 2.5mg/dL, but
further studies are needed before its widespread use. The use of tolvaptan may be
an effective alternative in the short-term but its use may be limited by its
price. There is still controversy about whether treatment with loop diuretics is
associated with higher mortality in all groups of patients with HF exacerbations.
These controversies should be clarified by future clinical trials.
PMID- 24930084
TI - [Vasoactive and inotropic drugs in acute heart failure].
AB - Vasoactive and inotropic drugs provide effective symptomatic and hemodynamic
relief in the short term but can increase mortality in the long-term.
Consequently, their use should be restricted to the indications described in
clinical practice guidelines. The present article reviews the main drugs and the
available evidence on their use.
PMID- 24930085
TI - [Non-invasive mechanical ventilation in the treatment of acute heart failure].
AB - When acute heart failure progresses and there is acute cardiogenic pulmonary
edema, routine therapeutic measures should be accompanied by other measures that
help to correct oxygenation of the patient. The final and most drastic step is
mechanical ventilation. Non-invasive ventilation has been developed in the last
few years as a method that attempts to improve oxygenation without the need for
intubation, thus, in theory, reducing morbidity and mortality in these patients.
The present article describes the controversies surrounding the results of this
technique and discusses its indications. The article also discusses how to start
non-invasive ventilation in patients with acute pulmonary edema from a practical
point of view.
PMID- 24930086
TI - [Complementary treatment of acute heart failure in patients with diabetes,
chronic obstructive pulmonary disease or anemia].
AB - Diabetes, chronic obstructive pulmonary disease (COPD) and anemia are
comorbidities with a high prevalence and impact in heart failure (HF). The
presence of these comorbidities considerably worsens the prognosis of HF.
Diabetic patients have a higher likelihood of developing symptoms of HF and both
the treatment of diabetes and that of acute HF are altered by the coexistence of
both entities. The glycemic targets in patients with acute HF are not well
defined, but could show a U-shaped relationship. Stress hyperglycemia in non
diabetic patients with HF could also have a deleterious effect on the medium-term
prognosis. The inter-relationship between COPD and HF hampers diagnosis due to
the overlap between the symptoms and signs of both entities and complementary
investigations. The treatment of acute HF is also altered by the presence of
COPD. Anemia is highly prevalent and is often the direct cause of decompensated
HF, the most common cause being iron deficiency anemia. Iron replacement therapy,
specifically intravenous forms, has helped to improve the prognosis of acute HF.
PMID- 24930087
TI - [Organ-protection therapy. A new therapeutic approach for acute heart failure?].
AB - Unlike the prolonged benefit produced by the treatment of chronic heart failure,
newer drugs tested for the treatment of acute heart failure in the last decade
have failed to provide evidence of clinical benefit beyond some improvement in
symptom relief. In particular, no drug has shown the ability to reduce the higher
medium- and long-term risk of morbidity and mortality in these patients after an
episode of decompensation. Current understanding of the pathophysiology of acute
heart failure and its consequences has led to the hypothesis that, beyond symptom
control, effective therapies for this syndrome should target not only the
hemodynamic changes of the initial phase of the syndrome but should also
"protect" the organism from the activation of neurohumoral and inflammatory
pathways triggered by the decompensation episode, which persist in time and
confer a risk of deleterious effects in several organs and tissues. Serelaxin, a
new drug related to the peptidic endogenous hormones of the relaxin family, has
recently been shown to provide multiple beneficial effects in terms of "organ
protection" - not only in the cardiovascular and renal systems - from these acute
heart failure-related deleterious changes. This drug has already been tested in
acute heart failure patients with encouraging results in terms of medium-term
clinical benefit, rendering serelaxin as a serious candidate for first-line,
prognosis-modifying therapy in this syndrome.
PMID- 24930088
TI - PEGylated rhFGF-2 conveys long-term neuroprotection and improves neuronal
function in a rat model of Parkinson's disease.
AB - Fibroblast growth factor 2 (FGF-2) has a neurotrophic effect on dopaminergic
neurons in vitro and in vivo, and exhibits beneficial effects in animal models of
neurodegenerative disorders such as Parkinson's disease (PD). The poor stability
and short half-life of FGF-2, however, have hampered its clinical use for
neurological diseases. In the present study, we modified native recombinant human
FGF-2 (rhFGF-2) by covalently attaching polyethylene glycol (PEG) polymers, named
PEGylation, to enhance its neuroprotection efficacy in 6-hydroxydopamine (6-OHDA)
induced model of PD. In vitro, PEG-rhFGF-2 performed better biostability in 6
OHDA-induced PC-12 cells than native rhFGF-2. The in vivo data showed that,
compared with native rhFGF-2, PEGylated rhFGF-2 was more efficacious in
preventing 6-OHDA-induced lesion upon tyrosine hydroxylase-positive neurons in
the substantia nigra (SN), improving the apomorphine-induced rotational behavior
and the 6-OHDA-induced decline in tissue concentration of dopamine (DA) and its
metabolites. Importantly, our data showed that the superior pharmacological
activity of PEGylated rhFGF-2 is probably due to its greater permeability through
the blood-brain barrier and better in vivo stability compared to native rhFGF-2.
The enhanced stability and bioavailability of PEGylated rhFGF-2 make this
molecule a great therapeutic candidate for neurodegenerative diseases such as PD
and mood disorders.
PMID- 24930089
TI - Sexual disorders in women with MS: assessment and management.
AB - OBJECTIVES: Summarize the data on sexual disorders in women with multiple
sclerosis (MS). METHOD: Review of 99 Pubmed articles covering sexual dysfunction
in women with MS. RESULTS: Prevalence of dysfunction in women with MS varies from
34% to 85%. They include poor vaginal lubrication, poor clitoral erection, and
anorgasmia, which correlate with level of disability. Specific brain stem and
pyramidal lesions appear to correlate with anorgasmia. Age and duration of the
disease correlate with sexual disorders, but not age at onset. Secondary
consequences of MS, including bladder and bowel dysfunction, spasticity, pain,
fatigue, depression, anxiety, and side effects of medication contribute to sexual
dysfunction. Treatments can involve alpha-blockers or phosphodiesterase-5
inhibitors to increase smooth muscle relaxation, while lubricants and oestrogen
therapy can help vaginal dryness, burning and dyspareunia. Antidepressants can
delay (or abolish) orgasm, suggesting reducing dosage or combining them with PDE5
inhibitors. Counselling should emphasize planning sexual activities, reducing
fatigue, managing positions, preventing incontinence, promoting sexual aids,
extra-genital and other sexual options to achieve pleasurable and intimacy.
Psychosocial interventions should include couples' relationship and communication
skills to increase satisfaction. CONCLUSION: Sexual dysfunctions in women with MS
are amenable to treatments covering primary, secondary and tertiary consequences
of the disease.
PMID- 24930090
TI - Home care, need for increased physician involvement in the 21st century.
PMID- 24930091
TI - My moral compass and ethical decision making in public health.
PMID- 24930094
TI - Muscular tubes of urethra engineered from adipose-derived stem cells and
polyglycolic acid mesh in a bioreactor.
AB - We have explored the feasibility of using adipose-derived stem cells (ADSCs) and
polyglycolic acid (PGA) for constructing muscular tubes of urethra in a
bioreactor. With the induction of by 5-azacytidine, ADSCs were found to acquire a
myoblast phenotype. Here we seeded ADSCs in a PGA mesh to construct the cell-PGA
complex that was cultured statically for 1 week. Afterwards, the cell-PGA complex
was subjected to extension stimulation in a bioreactor for 5 weeks. A muscular
tube of urethra was formed after 6 weeks. Histological examination showed
differentiated ADSCs and collagenous fibers had orientated well. This study
demonstrates that tissue engineering of urethra tissues in vitro by using a
bioreactor leads to tissue maturation and the differentiation of ADSCs. This
novel technique could provide an effective approach for urethra tissue
engineering.
PMID- 24930093
TI - BMP2 cross-linked by transglutaminase 2 to collagen-plla scaffold promotes
osteogenic differentiation in mesenchymal stem cells.
AB - Transglutaminase 2 (TG2) was used to attach biologically-active BMP2 to collagen
type I-coated poly-L-lactic acid (PLLA) nanofibrous scaffolds. Irreversibly cross
linked BMP2 retained its activity and induced Smad-dependent gene expression in
cells seeded on PLLA-BMP2 scaffolds. These modified scaffolds promote osteogenic
differentiation of human bone marrow-derived mesenchymal stem cells (hBMSCs)
cultured in low-serum and growth factor free medium and support deposition of the
calcified matrix and induction of the molecular osteogenic markers Runx2,
osteopontin, osteonectin and bone sialoprotein. Importantly, the PLLA-BMP2
scaffolds did not support chondrogenic differentiation in hBMSCs as there was no
expression of chondrogenic markers aggrecan, Sox 9, and collagen type II, and no
deposition of cartilaginous glycosaminoglycan-rich matrix. Thus, TG2-mediated
cross-linking of BMP2 to a scaffold is a novel approach to induce osteoblast
specific programming of hBMSCs in a spatially controlled manner.
PMID- 24930092
TI - Genetic diversity and differentiation of the rhesus macaque (Macaca mulatta)
population in western Sichuan, China, based on the second exon of the major
histocompatibility complex class II DQB (MhcMamu-DQB1) alleles.
AB - BACKGROUND: Rhesus macaques living in western Sichuan, China, have been separated
into several isolated populations due to habitat fragmentation. Previous studies
based on the neutral or nearly neutral markers (mitochondrial DNA or
microsatellites) showed high levels of genetic diversity and moderate genetic
differentiation in the Sichuan rhesus macaques. Variation at the major
histocompatibility complex (MHC) loci is widely accepted as being maintained by
balancing selection, even with a low level of neutral variability in some
species. However, in small and isolated or bottlenecked populations, balancing
selection may be overwhelmed by genetic drift. To estimate microevolutionary
forces acting on the isolated rhesus macaque populations, we examined genetic
variation at Mhc-DQB1 loci in 119 wild rhesus macaques from five geographically
isolated populations in western Sichuan, China, and compared the levels of MHC
variation and differentiation among populations with that previously observed at
neutral microsatellite markers. RESULTS: 23 Mamu-DQB1 alleles were identified in
119 rhesus macaques in western Sichuan, China. These macaques exhibited
relatively high levels of genetic diversity at Mamu-DQB1. The Hanyuan population
presented the highest genetic variation, whereas the Heishui population was the
lowest. Analysis of molecular variance (AMOVA) and pairwise FST values showed
moderate genetic differentiation occurring among the five populations at the Mhc
DQB1 locus. Non-synonymous substitutions occurred at a higher frequency than
synonymous substitutions in the peptide binding region. Levels of MHC variation
within rhesus macaque populations are concordant with microsatellite variation.
On the phylogenetic tree for the rhesus and crab-eating macaques, extensive
allele or allelic lineage sharing is observed between the two species.
CONCLUSIONS: Phylogenetic analyses confirm the apparent trans-species model of
evolution of the Mhc-DQB1 genes in these macaques. Balancing selection plays an
important role in sharing allelic lineages between species, but genetic drift may
share balancing selection dominance to maintain MHC diversity. Great divergence
at neutral or adaptive markers showed that moderate genetic differentiation had
occurred in rhesus macaque populations in western Sichuan, China, due to the
habitat fragmentation caused by long-term geographic barriers and human activity.
The Heishui population should be paid more attention for its lowest level of
genetic diversity and relatively great divergence from others.
PMID- 24930096
TI - Remediation of the effect of adding cyanides on an algal/bacterial treatment of a
mixture of organic pollutants in a continuous photobioreactor.
AB - The effect of inorganic pollutants on the treatment of organic pollutants using
algal/bacterial microcosm was investigated in a continuous photobioreactor. The
microcosm was composed of Chlorella vulgaris MM1 and Pseudomonas MT1 and was able
to efficiently treat artificial waste-water contaminated with 6.4 salicylate and
2.2 mM phenol at a hydraulic retention time of 4 days. No negative effect was
recorded when the waste-water was supplemented with 1.6 mM thiocyanate; however,
the treatment efficiency severely deteriorated when the system was challenged
with 0.74 mM cyanide. Addition of 2 g NaHCO3 l(-1) did not improve the efficiency
of the treatment. Toxicity of the pollutants to the alga was cyanide >
thiocyanate > phenol > salicylate. The high toxicity of the waste-water was
eliminated either by a 25-fold dilution or by photocatalytic pre-treatment which
allowed the subsequent efficient biological treatment.
PMID- 24930095
TI - Ultrasensitive immuno-detection using viral nanoparticles with modular assembly
using genetically-directed biotinylation.
AB - We report a novel, modular approach to immuno-detection based on antibody
recognition and PCR read-out that employs antibody-conjugated bacteriophage and
easily-manipulated non-pathogenic viruses as affinity agents. Our platform
employs phage genetically tagged for in vivo biotinylation during phage
maturation that can easily be linked, through avidin, to any biotinylated
affinity agent, including full-length antibodies, peptides, lectins or aptamers.
The presence of analyte is reported with high sensitivity through real-time PCR.
This approach avoids the need to clone antibody-encoding DNA fragments, allows
the use of full-length, high affinity antibodies and, by having DNA reporters
naturally encapsulated inside the bacteriophage, greatly reduces nonspecific
binding of DNA. We validate the efficacy of this new approach through the
detection of Vascular Endothelial Growth Factor, a known angiogenic cancer
biomarker protein, at attomolar concentrations in bronchoalveolar lavage fluid.
PMID- 24930097
TI - The operating performance of a biotrickling filter with Lysinibacillus fusiformis
for the removal of high-loading gaseous chlorobenzene.
AB - Removal of gaseous chlorobenzene (CB) by a biotrickling filter (BTF) filled with
modified ceramics and multi-surface hollow balls during gas-liquid mass transfer
at the steady state was by microbial degradation rather than dissolution in the
spray liquid or emission into the atmosphere. The BTF was flexible and resistant
to the acid environment of the spray liquid, with the caveat that the spray
liquid should be replaced once every 6-7 days. The BTF, loaded with
Lysinibacillus fusiformis, performed well for purification of high-loading CB
gas. The maximum CB gas inlet loading rate, 103 g m(-3) h(-1), CB elimination
capacity, 97 g m(-3) h(-1), and CB removal efficiency, 97.7 %, were reached at a
spray liquid flow rate of 27.6 ml min(-1), an initial CB concentration of up to
1,300 mg m(-3), and an empty bed retention time of more than 45 s.
PMID- 24930098
TI - Characterization and expression of glucosamine-6-phosphate synthase from
Saccharomyces cerevisiae in Pichia pastoris.
AB - Glucosamine-6-phosphate (GlcN-6-P) synthase from Saccharomyces cerevisiae was
expressed in Pichia pastoris SMD1168 GIVING maximum activity of 96 U ml(-1) for
the enzyme in the culture medium. By SDS-PAGE, the enzyme, a glycosylated
protein, had an apparent molecular mass of 90 kDa. The enzyme was purified by gel
exclusion chromatography to near homogeneity, with a 90 % yield and its
properties were characterized. Optimal activities were at pH 5.5 and 55 degrees
C, respectively, at which the highest specific activity was 6.8 U mg protein (
1). The enzyme was stable from pH 4.5 to 5.5 and from 45 to 60 degrees C. The Km
and Vmax of the GlcN-6-P synthase towards D-fructose 6-phosphate were 2.8 mM and
6.9 MUmol min(-1) mg(-1), respectively.
PMID- 24930099
TI - Distance effect of matrix attachment regions on transgene expression in stably
transfected Chinese hamster ovary cells.
AB - The beta-globin matrix attachment regions (MARs) were inserted into the 5'-site
of the eukaryotic expression vector cassette and DNA fragments 350 and 750 bp in
length were inserted into the site to generate expression vectors with varying
distances between the expression cassette and MAR. The vectors containing MARs
increased chloramphenicol acetyltransferase (CAT) expression levels compared to
the negative control vector lacking the MAR; the highest expression increase was
3.8-fold. A greater MAR-transgene distance (750 bp) correlated with a greater
increase in transgene expression when compared to the control vector that lacked
separation between the MAR and transgene. CAT gene copy numbers were higher in
cells transformed with the vector possessing a smaller MAR-transgene distance
(350 bp) than in cells belonging to the other three groups. However, MAR-induced
transgene expression levels did not exhibit a direct relationship with gene copy
number.
PMID- 24930100
TI - High pH (and not free ammonia) is responsible for Anammox inhibition in mildly
alkaline solutions with excess of ammonium.
AB - Ammonium is a substrate of the anaerobic ammonium oxidation (Anammox) process but
it has been suggested as a substrate-inhibitor because of the action of its
unionized form, free ammonia. High pH of the medium is also an important limiting
factor of the Anammox bacteria. Both effects are difficult to discriminate. In
this work the inhibitory effects of high pH, total ammonia (TA) and NH3 on the
Anammox process were investigated simultaneously. Results confirmed that TA
caused no inhibition and high pH is a much more important inhibiting factor than
NH3 in mildly alkaline conditions, based on a multi-factorial analysis. Values of
pH higher than 7.6 caused Anammox inhibition >10 % and should be avoided during
the application of the Anammox process in practice.
PMID- 24930101
TI - Commercial materials as cathode for hydrogen production in microbial electrolysis
cell.
AB - The use of commercial electrodes as cathodes in a single-chamber microbial
electrolysis cell has been investigated. The cell was operated in sequencing
batch mode and the performance of the electrodes was compared with carbon cloth
containing 0.5 mg Pt cm(-2). Overall H2 recovery [Formula: see text] was 66.7 +/-
1.4, 58.7 +/- 1.1 and 55.5 +/- 1.5 % for Pt/CC, Ni and Ti mesh electrodes,
respectively. Columbic efficiencies of the three cathodes were in the same range
(74.8 +/- 1.5, 77.6 +/- 1.7 and 75.7 +/- 1.2 % for Pt/CC, Ni and Ti mesh
electrodes, respectively). A similar performance for the three cathodes under
near-neutral pH and ambient temperature was obtained. The commercial electrodes
are much cheaper than carbon cloth containing Pt. Low cost and good performance
of these electrodes suggest they are suitable cathode materials for large scale
application.
PMID- 24930102
TI - Degradation of polyphosphates by polyphosphate kinases from Ruegeria pomeroyi.
AB - Polyphosphate kinases 2 (PPK2) are key enzymes for polyphosphate utilisation in
bacteria. The genome of Ruegeria pomeroyi, a marine alpha-proteobacterium,
includes three Pseudomonas aeruginosa PPK2 homologs. We expressed these homologs
in Escherichia coli as soluble proteins, purified the protein products and
compared their metal, pH and nucleotide preferences. The optimal pH was 8.0 for
SPO1727 and 9.0 for SPO1256. The SPO0224 gene product had two pH optima at eight
and ten. The SPO0224 protein showed little dependence on metal presence, while
SPO1256 required Mg(2+). SPO1727 required Mg(2+) but accepted other ions as well.
PMID- 24930103
TI - Efficient extracellular production of type I secretion pathway-dependent
Pseudomonas fluorescens lipase in recombinant Escherichia coli by heterologous
ABC protein exporters.
AB - Heterologous ABC protein exporters, the apparatus of type I secretion pathway in
Gram-negative bacteria, were used for extracellular production of Pseudomonas
fluorescens lipase (TliA) in recombinant Escherichia coli. The effect of the
expression of different ABC protein exporter gene clusters (P. fluorescens
tliDEF, Pseudomonas aeruginosa aprDEF, Erwinia chrysanthemi prtDEF, and Serratia
marcescens lipBCD genes) was examined on the secretion of TliA at growth
temperatures of 20, 25, 30 and 35 degrees C. TliA secretion in recombinant E.
coli XL10-Gold varied depending upon type of ABC protein exporter and culture
temperature. E. coli expressing S. marcescens lipBCD genes showed the highest
secretion level of TliA (122.8 U ml(-1)) when cultured at 25 degrees C. Thus,
optimized culture conditions for efficient extracellular production of lipase in
recombinant E. coli can be designed by changing the type of ABC protein exporter
and the growth temperature.
PMID- 24930104
TI - An ice nucleation protein from Fusarium acuminatum: cloning, expression,
biochemical characterization and computational modeling.
AB - Ice nucleation proteins (INP) are a major cause of frost damage in plants and
crops. Here, an INP gene from Fusarium acuminatum was optimized, synthesized,
expressed in E.coli and subsequently purified and characterized. The protein
belongs to the second class of ice nucleation proteins with an optimum pH 5.5,
relative activity and stability between pH 5 and 9.5 and up to 45 degrees C. The
protein was fully active and stable in the presence of dimethyl sulfoxide (DMSO),
dioxane, acetone and ethyl acetate. Moreover, it retained over 50 % of its
original activity in the presence of polyvinyl alcohol. The 3D structure model of
the INP-F indicated the protein had three distinct domains as exist in other ice
nucleation proteins with some variations. Considering these promising results,
INP-F could be a novel candidate for industrial applications.
PMID- 24930105
TI - Direct patterning of poly(acrylic acid) on polymer surfaces by ion beam
lithography for the controlled adhesion of mammalian cells.
AB - Poly(acrylic acid) (PAA)-patterned polystyrene (PS) substrates were prepared by
ion beam lithography to control cell behaviors of mouse fibroblasts and human
embryonic kidney cells. Thin PAA films spin-coated on non-biological PS
substrates were selectively irradiated with energetic proton ions through a
pattern mask. The irradiated substrates were developed with deionized water to
generate negative-type PAA patterns. The surface characteristics of the resulting
PAA-patterned PS surface, such as surface morphology, chemical structure and
composition and wettability, were investigated. Well-defined 100 MUm PAA patterns
were effectively formed on relatively hydrophobic PS substrates by ion beam
lithography at higher fluences than 5 * 10(14) ions/cm(2). Moreover, based on the
in vitro cell culture test, cells were adhered and proliferated favorably onto
hydrophilic PAA regions separated by hydrophobic PS regions on the PAA-patterned
PS substrates, and thereby leading to the formation of well-defined cell
patterns.
PMID- 24930106
TI - A simple method for construction of artificial microRNA vector in plant.
AB - Artificial microRNA (amiRNA) is a powerful tool for silencing genes in many plant
species. Here we provide an easy method to construct amiRNA vectors that
reinvents the Golden Gate cloning approach and features a novel system called top
speed amiRNA construction (TAC). This speedy approach accomplishes one
restriction-ligation step in only 5 min, allowing easy and high-throughput vector
construction. Three primers were annealed to be a specific adaptor, then digested
and ligated on our novel vector pTAC. Importantly, this method allows the
recombined amiRNA constructs to maintain the precursor of osa-miR528 with
exception of the desired amiRNA/amiRNA* sequences. Using this method, our results
showed the expected decrease of targeted genes in Nicotiana benthamiana and Oryza
sativa.
PMID- 24930107
TI - Enhanced phytate dephosphorylation by using Candida melibiosica yeast-based
biofuel cell.
AB - We report for the first time that Candida melibiosica expresses enhanced phytase
activity when grown under biofuel cell polarization in a nutrient-poor medium,
containing only fructose as a carbohydrate source. Phytase activity during the
cultivation under polarization reached up to 25 U per g dry biomass, exceeding
with 20 +/- 3 % those of the control. A participation of the enzyme in the
adaptation processes to the stress conditions is proposed. In addition, steady
state electrical outputs were achieved during biofuel cell operation at
continuous polarization under constant load. The obtained results show that C.
melibiosica yeast-based biofuel cell could be used for simultaneous electricity
generation and phytate bioremediation.
PMID- 24930108
TI - Genomic imprinting analysis of Igf2/H19 in porcine cloned fetuses using
parthenogenetic somatic cells as nuclear donors.
AB - To gain insight into parthenogenesis in pigs, we report for the first time that
using parthenogenetic somatic cells as nuclear donors (PSCNT), the porcine
parthenogenetic fetus can develop to gestational day 39. Weight and morphological
analysis revealed that PSCNT fetuses were smaller and developmentally retarded
when compared to normally fertilized controls. Quantitative gene expression
analysis indicated that in PSCNT fetuses, H19 was over-expressed, whereas Igf2
was significantly reduced (p < 0.05) compared with their controls. In addition,
bisulfite-sequencing PCR results demonstrated that H19 differentially DNA
methylated regions (DMRs) were hypomethylated in PSCNT fetuses, while Igf2 DMRs
were hypermethylated in both PSCNT and control fetuses. Our results suggest that
extended development of the porcine parthenogenetic fetus can be accomplished
using PSCNT and that abnormal DNA methylation of H19 DMRs might contribute to the
critical barrier of parthenogenesis in pigs.
PMID- 24930109
TI - Method for the stabilization and immobilization of enzymatic extracts and its
application to the decolorization of textile dyes.
AB - Peroxidases from Pleurotus eryngii have been investigated for their ability to
degrade recalcitrant, phenolic pollutants. The use of crude enzymatic extracts
can reduce the high costs associated with enzyme purification, and enzyme
immobilization can enhance enzyme stability and recovery. The present study tests
the effectiveness of various conditions for crude enzyme stabilization in
polyethylene glycol and glycine solutions, and immobilization on monofunctional
and heterofunctional agarose solid supports. Glycine at 0.5 M at 4 degrees C and
pH 4 was most effective stabilization agent for the crude enzymatic extracts, and
enzyme immobilization efficiency was greatest for heterofunctional supports. MANA
glyoxyl heterofunctional supports were demonstrated to have the greatest
enhancement of decolorization (1.3-fold) and velocity of substrate consumption
(fivefold). Therefore, the application of crude enzymatic extracts to industrial
processes, such as dye decolorization, represents a cost-effective alternative to
purified enzymes.
PMID- 24930110
TI - Deletion of a KU80 homolog enhances homologous recombination in the
thermotolerant yeast Kluyveromyces marxianus.
AB - Targeted gene replacement in the thermotolerant yeast Kluyveromyces marxianus
KCTC 17555 has been hampered by its propensity to non-homologous end joining
(NHEJ). To enhance homologous recombination (HR) by blocking NHEJ, we identified
and disrupted the K. marxianus KU80 gene. The ku80 deletion mutant strain
(Kmku80?) of K. marxianus KCTC 17555 did not show apparent growth defects under
several conditions with the exception of exposure to tunicamycin. The targeted
disruption of the three model genes, KmLEU2, KmPDC1, and KmPDC5, was increased by
13-70 % in Kmku80?, although the efficiency was greatly affected by the length of
the homologous flanking fragments. In contrast, the double HR frequency was 0
13.7 % in the wild-type strain even with flanking fragments 1 kb long. Therefore,
Kmku80? promises to be a useful recipient strain for targeted gene manipulation.
PMID- 24930111
TI - Optimal subset selection of primary sequence features using the genetic algorithm
for thermophilic proteins identification.
AB - A genetic algorithm (GA) coupled with multiple linear regression (MLR) was used
to extract useful features from amino acids and g-gap dipeptides for
distinguishing between thermophilic and non-thermophilic proteins. The method was
trained by a benchmark dataset of 915 thermophilic and 793 non-thermophilic
proteins. The method reached an overall accuracy of 95.4 % in a Jackknife test
using nine amino acids, 38 0-gap dipeptides and 29 1-gap dipeptides. The accuracy
as a function of protein size ranged between 85.8 and 96.9 %. The overall
accuracies of three independent tests were 93, 93.4 and 91.8 %. The observed
results of detecting thermophilic proteins suggest that the GA-MLR approach
described herein should be a powerful method for selecting features that describe
thermostabile machines and be an aid in the design of more stable proteins.
PMID- 24930112
TI - Biosynthesis of pinene from glucose using metabolically-engineered
Corynebacterium glutamicum.
AB - Pinene is a monoterpenes (C10) that is produced in a genetically-engineered
microbial host for its industrial applications in fragrances, flavoring agents,
pharmaceuticals, and biofuels. Herein, we have metabolically-engineered
Corynebacterium glutamicum, to produce pinene and studied its toxicity in C.
glutamicum. Geranyl diphosphate synthases (GPPS) and pinene synthases (PS),
obtained from Pinus taeda and Abies grandis, were co-expressed with over
expressed native 1-deoxy-d-xylulose-5-phosphate synthase (Dxs) and isopentenyl
diphosphate isomerase (Idi) from C. glutamicum using CoryneBrick vector. Most
strains expressing PS-GPPSs produced detectable amounts of pinene, but co
expression of DXS and IDI with PS (P. taeda) and GPPS (A. grandis) resulted in 27
MUg +/- 7 alpha-pinene g(-1) cell dry weight, which is the first report in C.
glutamicum. Further engineering of PS and GPPS in the C. glutamicum strain may
increase pinene production.
PMID- 24930113
TI - Ectopic expression of reprogramming factors enhances the development of cloned
porcine embryos.
AB - Inefficient cloning by somatic cell nuclear transfer (SCNT) is largely attributed
to defects in epigenetic reprogramming. Reprogramming factors (RFs) (Oct4, Sox2,
Klf4, c-Myc, Lin28 and Nanog; OSKMLN) can achieve epigenetic reprogramming,
suggesting that these might facilitate reprogramming of oocytes. Here, porcine
mesenchymal stem cells (pMSCs) treated with exogenous OSKMLN or OSKM were
selected as nuclei donors for SCNT. The resulting embryos displayed significantly
better development than controls in terms of cleavage rates and blastomere
numbers. OSKM treatment improved pluripotency status and regulation of epigenetic
factors in modified pMSCs. These changed gene patterns promoted H3K9Ac both in
modified pMSCs and their SCNT-derived embryos. Thus, higher histone acetylation
levels in donor cells might favor subsequent clone development. Application of
exogenous RFs in SCNT offers a novel way for improving cloning efficiency.
PMID- 24930114
TI - Characterization of putative glycosylphosphatidylinositol-anchoring motifs for
surface display in the methylotrophic yeast Hansenula polymorpha.
AB - Bioinformatic analysis of the genome of the methylotrophic yeast Hansenula
polymorpha revealed 39 putative glycosylphosphatidylinositol-anchored proteins
(GPI-proteins). Notably, dibasic motifs in the proximal omega-site, that has been
reported as a plasma membrane retention signal in Saccharomyces cerevisiae GPI
proteins, were not found in any of the predicted GPI-proteins of H. polymorpha.
To evaluate the in silico prediction, C-terminal peptides of 40 amino acids
derived from ten H. polymorpha GPI-proteins were fused to the Aspergillus saitoi
alpha-1,2-mannosidase (msdS). Cell wall fraction analysis showed that nine of the
ten msdS-GPI fusion proteins were mostly localized at the cell wall. Surface
expression of functional msdS was further confirmed by in vitro enzyme activity
assay and by glycan structure analysis of cell wall mannoproteins. The
recombinant H. polymorpha strains expressing surface-displayed msdS have the
potential as useful hosts to produce glycoproteins with decreased mannosylation.
PMID- 24930115
TI - Primary umbilical endometriosis: a case report and review of literature.
PMID- 24930116
TI - Association of polymorphisms in the 5' untranslated region of RAD51 gene with
risk of endometrial cancer in the Polish population.
AB - PURPOSE: Many of the studies have analyzed cell repair capabilities, following
cancer development. The cellular reaction to DNA damaging agents can modulate the
susceptibility to various tumors. This reaction is mainly determined by DNA
repair efficacy which, in turn, may be influenced by the variability of DNA
repair genes, expressed by their polymorphisms. METHODS: This report describes
studies of the distribution of genotypes and the frequency of alleles of the
G135C (rs1801320) and G172T (rs1801321) RAD51 polymorphism in 630 paraffin
embedded samples of tumor tissue from patients with endometrial cancer. DNA from
630 normal endometrial tissues served as control. RAD51 polymorphisms were
determined by PCR-RFLP. RESULTS: In the present work, a relationship was
identified between RAD51 G135C polymorphism and the incidence of endometrial
cancer. Endometrial cancer patients had an overrepresentation of 135C allele. The
135C/C homozygous variant increased cancer risk. A tendency towards a decreased
risk of endometrial cancer was observed with the occurrence of combined G135C
G172G genotype of RAD51 polymorphism. An association was confirmed between RAD51
G135C and G172T polymorphisms and endometrial cancer progression, assessed by the
histological grades. CONCLUSIONS: The results support the hypothesis that RAD51
G135C and G172T polymorphisms may be associated with endometrial cancer
occurrence and/or progression.
PMID- 24930117
TI - Incidence of emergency peripartum hysterectomy in Ain-shams University Maternity
Hospital, Egypt: a retrospective study.
AB - PURPOSE: To estimate the incidence of emergency peripartum hysterectomy over 6
years in Ain-shams University Maternity Hospital. METHODS: Detailed chart review
of all cases of emergency peripartum hysterectomy, 2003-2008, including previous
obstetric history, details of the index pregnancy, indications for emergency
peripartum hysterectomy, outcome of the hysterectomy and infant morbidity.
RESULTS: The overall rate of emergency peripartum hysterectomy was 149 of 66,306
or 2.24 per 1,000 deliveries. The primary indications for hysterectomies were
placenta accreta/increta 59 (39.6 %), uterine atony 37 (24.8 %), uterine rupture
35 (23.5 %) and placenta previa without accreta 18 (12.1 %). After hysterectomy,
115 (77 %) women were admitted to the intensive care unit. Women were discharged
home after a mean 11.2 day length of stay. Using multifactorial logistic
regression analysis, we found that woman's age, atonic uterus, placenta
accreta/increta, previous cesarian section and ruptured uterus were independent
predictors for peripartum hysterectomy CONCLUSION: Abnormal placentation was the
main indication for peripartum hysterectomy. The risk factors for peripartum
hysterectomy were morbid adherence of placentae in scared uteri, uterine atony
and uterine rupture. The most important step in prevention of major postpartum
hemorrhage is recognizing and assessing women's risk. The risk of peripartum
hysterectomy seems to be significantly decreased by limiting the number of
cesarean section deliveries, thus reducing the occurrence of abnormal
placentation in the form of placenta accreta, increta or percreta.
PMID- 24930118
TI - Diffuse uterine leiomyomatosis in patient with successful pregnancy following new
surgical management.
AB - Diffuse uterine leiomyomatosis is associated with significant infertility and
miscarriage complications. Appropriate diagnosis and therapy is essential. A 33
year-old woman was referred to our hospital because of GnRH analogue treatment
resistant multiple myomas and infertility. Following new technical myomectomy,
she conceived spontaneously and delivered a 2,470 g healthy baby by cesarean
section. New technical myomectomy may become an important conservative treatment
option for patients with diffuse uterine leiomyomatosis.
PMID- 24930119
TI - Enabling membrane protein structure and dynamics with X-ray free electron lasers.
AB - Determining the three-dimensional structures and dynamics of membrane proteins
remains one of the great challenges of modern biology. The recent availability of
X-ray free electron laser (XFEL) light sources has opened the door to a new and
revolutionary approach to performing X-ray analysis of these important
biomolecules. Recent advances in sample delivery, data reduction, and phasing
have enabled the high-resolution structural probing of membrane proteins at room
temperature. While considerable challenges remain, the recent developments
described in this review may ultimately provide structural biologists with
powerful tools for obtaining unprecedented atomic-scale and dynamic visualization
of membrane proteins at near-physiological conditions.
PMID- 24930120
TI - Electrical stimulation of lumbar spinal nerve roots in dogs.
AB - The aim of this study was to test the applicability of electrical stimulation of
lumbar spinal nerve roots and obtain normative electrical root stimulation (ERS)
data for L7 nerve root and sciatic nerve in dogs. For that purpose ERS and
sciatic nerve stimulations were performed consecutively, in totally 40 healthy
dogs. ERS was applied in the L7/S1 intervertebral space via monopolar needle
electrodes. Muscle responses were recorded from the gastrocnemius muscles on the
left and right hind limbs. Sciatic nerve stimulation was performed at the greater
trochanter level on the left hind limb, with records obtained from the left
gastrocnemius muscle. Mean root latencies of the left and right side were 5.22 +/
0.49 ms and 5.29 +/- 0.53 ms, respectively. There was no significant difference
in root latency between the right and left sides. The mean terminal latency was
3.82 +/- 0.46 ms. The proximal motor nerve conduction velocity of the sciatic
nerve was 63.15 +/- 3.43 m/s. The results of this study show that ERS provides
objective data about the integrity of lumbar spinal nerve roots by evaluating the
entire population of motor fibres and total length of the motor axon in dogs. ERS
can be considered a useful diagnostic method for confirmation of diagnoses of
lumbosacral diseases.
PMID- 24930121
TI - An observational study of the occurrence of serious adverse reactions among
patients who receive optison in routine medical practice.
AB - BACKGROUND: Reports of ultrasound contrast agent safety have been derived mainly
from retrospective databases rather than from studies specifically designed to
assess safety. The purpose of this study was to prospectively determine the
safety of Optison (GE Healthcare, Princeton, NJ) in routine medical practice.
METHODS: Patients referred for routine rest or stress two-dimensional
echocardiography who had indications for contrast were enrolled. Vital signs were
obtained at baseline and at intervals up to 1 hour after dosing of Optison.
Patients were followed for the development of any serious adverse event (SAE),
defined as an event that causes death, is life threatening, requires or prolongs
hospitalization, or causes another important event, for 24 hours after Optison
administration. RESULTS: A total of 1,039 patients were enrolled, and 76% had 24
hour follow-up. The median age was 60 years (range, 20-97 years), and 62% were
men. The mean body mass index was 33 +/- 9 kg/m(2). Patient comorbidities
included hypertension (73%), hyperlipidemia (64%), smoking (52%), and diabetes
(37%). There were significant increases in systolic blood pressure, heart rate,
and respiratory rate between the baseline, 5- to 15-min, 30-min, and 60-min time
points after the administration of Optison in patients undergoing stress studies
but none in those undergoing rest studies. There was a total of six SAEs during
the study, which were felt to be related not to Optison but rather to the stress
test itself or to the patient's underlying pathology. Although two events were
classified as SAEs because of hospitalization, the hospitalizations were
appropriate for pathology that would have been missed without Optison use.
CONCLUSIONS: In this large, prospective safety study of Optison during routine
resting and stress echocardiography, no SAEs related to Optison developed.
Optison helped define abnormalities that required appropriate hospitalization for
further management.
PMID- 24930122
TI - The impact of procedural sedation on diagnostic errors in pediatric
echocardiography.
AB - BACKGROUND: Infants and young children frequently have difficulty remaining still
for an echocardiographic examination, potentially leading to poor study quality,
increasing the likelihood of diagnostic errors. Sedation is believed to improve
echocardiographic quality, but its effectiveness has not been demonstrated. The
aim of this study was to test the hypothesis that sedation would improve study
quality and reduce diagnostic errors. METHODS: Outpatient echocardiograms from
children aged <=36 months obtained from January 2008 to June 2009 were examined.
Variables related to image quality, report completeness, and sedation use were
collected. Diagnostic errors were identified and categorized. Multivariate
analysis identified the odds ratios (OR) and 95% confidence intervals (CI) for
risk factors for potentially preventable diagnostic errors and the impact of
sedation on these errors. RESULTS: Among 2,003 echocardiographic examinations,
sedation was used in 498 (25%). The overall diagnostic error rate was 6.5%. Most
errors (66%) were potentially preventable. Multivariate analysis identified the
following risk factors for potentially preventable errors: precardiac procedure
(OR, 2.19; 95% CI, 1.05-4.59; P = .04), moderate anatomic complexity (OR, 3.91;
95% CI, 2.25-6.81; P < .001), and high anatomic complexity (OR, 8.36; 95% CI,
3.57-19.6; P < .001). Sedation was independently associated with lower odds of
potentially preventable diagnostic errors (OR, 0.47; 95% CI, 0.27-0.80; P =
.006). Echocardiographic examinations with sedation had fewer image quality
concerns (22% vs 60%) and fewer incomplete reports (3% vs 20%) (P < .001).
CONCLUSIONS: Most echocardiographic diagnostic errors among infants and young
children are potentially preventable. Sedation is associated with a lower
likelihood of these diagnostic errors, fewer imaging quality concerns, and fewer
incomplete reports.
PMID- 24930123
TI - Initial experience with a novel real-time three-dimensional intracardiac
ultrasound system to guide percutaneous cardiac structural interventions: a phase
1 feasibility study of volume intracardiac echocardiography in the assessment of
patients with structural heart disease undergoing percutaneous transcatheter
therapy.
AB - BACKGROUND: Intracardiac echocardiographic (ICE) imaging is a modality
increasingly used to guide percutaneous cardiac structural interventions. Until
recently, ICE imaging has been limited by the presence of only two-dimensional
imaging planes and requires considerable catheter manipulation to visualize
certain targets. The aim of this study was to assess the feasibility of a new
three-dimensional (3D) volumetric ICE system to provide imaging guidance in 15
patients undergoing percutaneous cardiac structural interventions. METHODS: The
Siemens AcuNav 3D volumetric ICE catheter was used to guide interventions in 15
patients. Imaging was performed at 6 and 8 MHz without color Doppler flow mapping
and at 4 and 6 MHz with color Doppler flow mapping. The images were independently
reviewed, and the ability to visualize specific structures was assessed by two
independent and expert ICE imagers. RESULTS: The majority of patients (n = 11
[73%]) were undergoing percutaneous transcatheter closure of patent foramen
ovales (n = 3 [20%]) or atrial septal defects (n = 8 [53%]). Three patients (20%)
underwent balloon valvuloplasty for mitral stenosis. One patient (7%) underwent a
diagnostic study for congenital heart disease. There were no significant
differences in image scores between 3D and two-dimensional imaging without color
Doppler in clinically important targets. With color Doppler, there were decreased
image scores in the 3D images. Three-dimensional images provided improved imaging
of devices and catheters and of the relationship between atrial septal defect
devices and the aorta. CONCLUSION: Three-dimensional volumetric ICE imaging can
be successfully used to guide structural heart disease procedures. It has the
potential to provide greater anatomic information during interventions. Further
improvement in its imaging capabilities is required to improve color Doppler
mapping and volume size capabilities.
PMID- 24930124
TI - Activation of neuronal nitric oxide synthase (nNOS) signaling pathway in 2,3,7,8
tetrachlorodibenzo-p-dioxin (TCDD)-induced neurotoxicity.
AB - 2,3,7,8-Tetrachlorodibenzo-p-dioxin (TCDD) has been reported to cause alterations
in cognitive and motor behavior during both development and adulthood. In this
study, the neuronal nitric oxide synthase (nNOS) signaling pathway was
investigated in differentiated pheochromocytoma (PC12) cells to better understand
the mechanisms of TCDD-induced neurotoxicity. TCDD exposure induced a time- and
dose-dependent increase in nNOS expression. High levels of nitric oxide (NO)
production by nNOS activation induced mitochondrial cytochrome c (Cyt-c) release
and down-regulation of Bcl-2. Additionally, TCDD increased the expression of
active caspase-3 and significantly led to apoptosis in PC12 cells. However, these
effects above could be effectively inhibited by the addition of 7-nitroindazole
(7-NI), a highly selective nNOS inhibitor. Moreover, in the brain cortex of
Sprague-Dawley (SD) rats, nNOS was also found to have certain relationship with
TCDD-induced neuronal apoptosis. Together, our findings establish a role for nNOS
as an enhancer of TCDD-induced apoptosis in PC12 cells.
PMID- 24930125
TI - An acute exposure to glyphosate-based herbicide alters aromatase levels in testis
and sperm nuclear quality.
AB - Roundup is the major pesticide used in agriculture worldwide; it is a glyphosate
based herbicide. Its molecular effects are studied following an acute exposure
(0.5%) of fifteen 60-day-old male rats during an 8-day period. Endocrine
(aromatase, estrogen and androgen receptors, Gper1 in testicular and sperm mRNAs)
and testicular functions (organ weights, sperm parameters and expression of the
blood-testis barrier markers) were monitored at days 68, 87, and 122 after
treatment, spermiogenesis and spermatogenesis. The major disruption is an
increase of aromatase mRNA levels at least by 50% in treated rats at all times,
as well as the aromatase protein. We have also shown a similar increase of Gper1
expression at day 122 and a light modification of BTB markers. A rise of abnormal
sperm morphology and a decrease of the expression of protamine 1 and histone 1
testicular in epididymal sperm are observed despite a normal sperm concentration
and motility.
PMID- 24930126
TI - "Reference values" of trace elements in the hair of a sample group of Spanish
children (aged 6-9 years) - are urban topsoils a source of contamination?
AB - Human hair is used as a biomonitor to evaluate the environmental exposure to
contaminants in the individual. However, the use of human hair is controversial,
mainly because reference levels for pollutants in hair have not yet been set. In
the case of Spain, few biomonitoring studies have involved infants and children.
A biomonitoring study was conducted to investigate the possible normal values of
trace elements of toxicological concern in children aged 6-9 years from the city
of Alcala de Henares, Community of Madrid (Spain), following the methodology and
strict inclusion criteria previously developed by our group. Levels of Al, As,
Be, Cd, Cr, Cu, Hg, Mn, Ni, Pb, Sn, Ti, Tl, V and Zn were monitored in scalp-hair
from 117 healthy children (47 boys and 70 girls) between April and May of 2001.
The levels of trace elements here described could be considered as possible
"reference values" for children aged 6-9 years resident in the Community of
Madrid. These values might also be selected as a preliminary screening tool to
evaluate if a Spanish child has been exposed to any of the contaminants studied
here. This study also investigated whether local urban topsoils were a source of
metals for this population.
PMID- 24930127
TI - Woven Endobridge (WEB) Device for endovascular treatment of ruptured intracranial
wide-neck aneurysms: a single-center experience.
AB - INTRODUCTION: Endovascular treatment of ruptured wide-neck bifurcation aneurysms
presents a challenge. While still under evaluation, the Woven Endobridge (WEB)
aneurysm embolization system has so far shown promising results in the treatment
of complex bifurcation aneurysms. We aimed to evaluate the feasibility and short
term follow-up of endovascular treatment of ruptured wide-neck aneurysm with the
WEB device. METHODS: Six patients referred to our institution for acute
symptomatic subarachnoid hemorrhage (SAH) and treated with the WEB device were
enrolled in this study. Clinical presentations, technical details, intraoperative
and postoperative complications, and outcomes were recorded. Immediate and 3
month angiographic results were also evaluated. RESULTS: Three middle cerebral
artery (MCA) and three anterior communicating artery aneurysms were treated
between 1 and 14 days after rupturing. Average dome width was 5.8 mm (range 5-7),
average neck size was 4.5 mm (range 4-5), and average dome-to-neck ratio was 1.3
(range 1-1.7). The WEB system was the exclusive treatment and was successfully
deployed in all cases. Per procedural thromboembolic events occurred in two cases
and were treated with intra-arterial administration of antiplatelet agents
without any clinical consequences. The modified Rankin Scale (mRS) score at
discharge was 0 for all patients. The 3-month angiographic follow-up showed
adequate occlusion in four of our six patients (67 %). CONCLUSION: From this
preliminary study, the high feasibility rate and lack of need for systematic
antiplatelet agents favor the WEB device providing a solution for endovascular
treatment of ruptured wide-neck bifurcation aneurysms during the acute phase.
However, further studies are needed to evaluate the complication rate and long
term efficiency.
PMID- 24930128
TI - Hemorrhage rates and risk factors in the natural history course of brain
arteriovenous malformations.
AB - Brain arteriovenous malformations (AVMs) are abnormal connections of arteries and
veins, resulting in arteriovenous shunting of blood. Primary medical therapy is
lacking; treatment options include surgery, radiosurgery, and embolization, often
in combination. Judicious selection of AVM patients for treatment requires
balancing risk of treatment complications against the risk of hemorrhage in the
natural history course. This review focuses on the epidemiology, hemorrhage risk,
and factors influencing risk of hemorrhage in the untreated natural course
associated with sporadic brain AVM.
PMID- 24930129
TI - Protein delivery into live cells by incubation with an endosomolytic agent.
AB - We report that a tetramethylrhodamine-labeled dimer of the cell-penetrating
peptide TAT, dfTAT, penetrates live cells by escaping from endosomes with high
efficiency. By mediating endosomal leakage, dfTAT also delivers proteins into
cultured cells after a simple co-incubation procedure. We achieved cytosolic
delivery in several cell lines and primary cells and observed that only a
relatively small amount of material remained trapped inside endosomes. Delivery
did not require a binding interaction between dfTAT and a protein, multiple
molecules could be delivered simultaneously, and delivery could be repeated.
dfTAT-mediated delivery did not noticeably affect cell viability, cell
proliferation or gene expression. dfTAT-based intracellular delivery should be
useful for cell-based assays, cellular imaging applications and the ex vivo
manipulation of cells.
PMID- 24930130
TI - Chemically defined generation of human cardiomyocytes.
AB - Existing methods for human induced pluripotent stem cell (hiPSC) cardiac
differentiation are efficient but require complex, undefined medium constituents
that hinder further elucidation of the molecular mechanisms of cardiomyogenesis.
Using hiPSCs derived under chemically defined conditions on synthetic matrices,
we systematically developed an optimized cardiac differentiation strategy, using
a chemically defined medium consisting of just three components: the basal medium
RPMI 1640, L-ascorbic acid 2-phosphate and rice-derived recombinant human
albumin. Along with small molecule-based induction of differentiation, this
protocol produced contractile sheets of up to 95% TNNT2(+) cardiomyocytes at a
yield of up to 100 cardiomyocytes for every input pluripotent cell and was
effective in 11 hiPSC lines tested. This chemically defined platform for cardiac
specification of hiPSCs will allow the elucidation of cardiomyocyte
macromolecular and metabolic requirements and will provide a minimal system for
the study of maturation and subtype specification.
PMID- 24930131
TI - Neck strength: a protective factor reducing risk for concussion in high school
sports.
AB - As the number of high school students participating in athletics continues to
increase, so will the number of sports-related concussions unless effective
concussion prevention programs are developed. We sought to develop and validate a
cost-effective tool to measure neck strength in a high school setting, conduct a
feasibility study to determine if the developed tool could be reliably applied by
certified athletic trainers (ATs) in a high school setting, and conduct a pilot
study to determine if anthropometric measurements captured by ATs can predict
concussion risk. In the study's first phase, 16 adult subjects underwent repeated
neck strength testing by a group of five ATs to validate the developed hand-held
tension scale, a cost effective alternative to a hand-held dynamometer. In the
second phase, during the 2010 and 2011 academic years, ATs from 51 high schools
in 25 states captured pre-season anthropometric measurements for 6,704 high
school athletes in boys' and girls' soccer, basketball, and lacrosse, as well as
reported concussion incidence and athletic exposure data. We found high
correlations between neck strength measurements taken with the developed tool and
a hand-held dynamometer and the measurements taken by five ATs. Smaller mean neck
circumference, smaller mean neck to head circumference ratio, and weaker mean
overall neck strength were significantly associated with concussion. Overall neck
strength (p < 0.001), gender (p < 0.001), and sport (p = 0.007) were significant
predictors of concussions in unadjusted models. After adjusting for gender and
sport, overall neck strength remained a significant predictor of concussion (p =
0.004). For every one pound increase in neck strength, odds of concussion
decreased by 5 % (OR = 0.95, 95 % CI 0.92-0.98). We conclude that identifying
differences in overall neck strength may be useful in developing a screening tool
to determine which high school athletes are at higher risk of concussion. Once
identified, these athletes could be targeted for concussion prevention programs.
PMID- 24930132
TI - Propensity scores-potential outcomes framework to incorporate severity
probabilities in the highway safety manual crash prediction algorithm.
AB - Accurate estimation of the expected number of crashes at different severity
levels for entities with and without countermeasures plays a vital role in
selecting countermeasures in the framework of the safety management process. The
current practice is to use the American Association of State Highway and
Transportation Officials' Highway Safety Manual crash prediction algorithms,
which combine safety performance functions and crash modification factors, to
estimate the effects of safety countermeasures on different highway and street
facility types. Many of these crash prediction algorithms are based solely on
crash frequency, or assume that severity outcomes are unchanged when planning
for, or implementing, safety countermeasures. Failing to account for the
uncertainty associated with crash severity outcomes, and assuming crash severity
distributions remain unchanged in safety performance evaluations, limits the
utility of the Highway Safety Manual crash prediction algorithms in assessing the
effect of safety countermeasures on crash severity. This study demonstrates the
application of a propensity scores-potential outcomes framework to estimate the
probability distribution for the occurrence of different crash severity levels by
accounting for the uncertainties associated with them. The probability of fatal
and severe injury crash occurrence at lighted and unlighted intersections is
estimated in this paper using data from Minnesota. The results show that the
expected probability of occurrence of fatal and severe injury crashes at a
lighted intersection was 1 in 35 crashes and the estimated risk ratio indicates
that the respective probabilities at an unlighted intersection was 1.14 times
higher compared to lighted intersections. The results from the potential outcomes
propensity scores framework are compared to results obtained from traditional
binary logit models, without application of propensity scores matching.
Traditional binary logit analysis suggests that the probability of occurrence of
severe injury crashes is higher at lighted intersections compared to unlighted
intersections, which contradicts the findings obtained from the propensity scores
potential outcomes framework. This finding underscores the importance of having
comparable treated and untreated entities in traffic safety countermeasure
evaluations.
PMID- 24930133
TI - Tensile bond strength of resin composite repair in vitro using different surface
preparation conditionings to an aged CAD/CAM resin nanoceramic.
AB - OBJECTIVES: This study was conducted in order to assess the pretreatment method
(air abrasion, both wet and dry, and Al2O3 grinder), the conditioning method
(comprised of different adhesive systems), the repair resin composite (low and
high modulus of elasticity), the contamination of CoJet air-abraded surfaces with
water, and the effect phosphoric acid on the macrotensile bond strength (TBS) to
aged CAD/CAM resin nanoceramic (RNC). MATERIALS AND METHODS: Aged RNC substrates
(LAVA Ultimate, 3M ESPE; N = 900; 10,000 cycles, 5 degrees C/55 degrees C) were
air-abraded (CoJet 3M ESPE) with and without water contamination or treated with
an Al2O3 grinder (Cimara, Voco). Immediately after pretreatment, half of the
specimens were additionally cleaned with phosphoric acid, while the rest were
only rinsed with water. Four intermediate agents (Futurabond U/VOCO, Scotchbond
Universal/3M ESPE, One Coat Bond/Coltene Whaledent, visio.link/bredent) were
selected for conditioning the surface, while no conditioned specimens acted as
control groups. Specimens were thereafter repaired using two direct resin
composites (Arabesk Top and GrandioSo, VOCO), stored for 24 h at 37 degrees C in
H2O, and thermally aged for 10,000 cycles (5 degrees C/55 degrees C; n =
15/subgroup). TBS and failure types were determined and evaluated with four- and
one-way ANOVA and chi (2) test (p < 0.05). RESULTS: The highest influence on TBS
was exerted by the conditioning method (partial eta-squared (eta P (2)) = 0.273,
p < 0.05), followed by the resin composite repair (eta P (2) = 0.07, p < 0.05)
and the surface pretreatment method (eta P (2) = 0.032, p < 0.05), while an acid
contamination after surface pretreatment was insignificant (p = 0.154).
CONCLUSIONS: Air abrasion produced superior TBS compared to grinding of the
surface with Al2O3 prior to repair. The tested universal adhesives proved to be
effective intermediate agents for repairing aged CAD/CAM RNC, while visio.link
and Scotchbond Universal performed slightly better than Futurabond U. CLINICAL
RELEVANCE: Phosphoric acid or water contamination of the air-abraded surface does
not affect the repair bond strength.
PMID- 24930135
TI - Extended use of the GuideLiner in complex coronary interventions.
AB - AIMS: Challenging coronary anatomies including chronic total occlusions (CTO),
extreme vessel tortuosity, diseased bypass grafts, and anomalous coronary
arteries pose difficulties in coronary interventions. The GuideLiner is a
monorail catheter originally developed to facilitate delivery of stents to target
lesions in tortuous vessels. We conducted a study on the feasibility and safety
of utilising this catheter in a wider array of complex coronary interventions.
METHODS AND RESULTS: Consecutive patients undergoing coronary or peripheral
interventions where a GuideLiner was used were recruited into this study. Patient
demographics, lesion and vessel characteristics, procedural details and outcomes
were prospectively entered into our database and analysed. From September 2009 to
October 2011, 54 consecutive patients underwent coronary intervention in our
institution using a GuideLiner; 21 out of 54 coronary applications were motivated
by the need to increase support to cross CTOs, predominantly of the RCA.
Anomalous or angulated take-off of the treatment vessels (31%), previously
deployed proximal stents (15%), heavy proximal calcification (9%) and tortuosity
(7%) accounted for the remaining reasons. One patient had successful renal
denervation with the aid of a GuideLiner catheter. Procedural success was 98% in
our series with no device-related periprocedural complications such as ostial
dissection or myocardial necrosis. CONCLUSIONS: The use of a GuideLiner
facilitates the approach to complex coronary interventions including chronic
total occlusion and saphenous vein graft intervention by providing greater back
up support and easier engagement of coronary ostia.
PMID- 24930134
TI - Expression of DNA repair genes in burned skin exposed to low-level red laser.
AB - Although red laser lights lie in the region of non-ionizing radiations in the
electromagnetic spectrum, there are doubts whether absorption of these radiations
causes lesions in the DNA molecule. Our aim was to investigate the expression of
the genes involved with base excision and nucleotide excision repair pathways in
skin tissue submitted to burn injury and exposed to low-level red laser. Wistar
rats were divided as follows: control group-rats burned and not irradiated, laser
group-rats burned and irradiated 1 day after injury for five consecutive days,
and later laser group-rats injured and treated 4 days after injury for five
consecutive days. Irradiation was performed according to a clinical protocol (20
J/cm(2), 100 mW, continuous wave emission mode). The animals were sacrificed on
day 10, and scarred tissue samples were withdrawn for total RNA extraction,
complementary DNA (cDNA) synthesis, and evaluation of gene expression by
quantitative polymerase chain reaction. Low-level red laser exposure (1) reduces
the expression of APE1 messenger (mRNA), (2) increases the expression of OGG1
mRNA, (3) reduces the expression of XPC mRNA, and (4) increases the expression of
XPA mRNA both in laser and later laser groups. Red laser exposure at therapeutic
fluences alters the expression of genes related to base excision and nucleotide
excision pathways of DNA repair during wound healing of burned skin.
PMID- 24930136
TI - The efficacy of Pistacia Terebinthus soap in the treatment of cetuximab-induced
skin toxicity.
AB - This open-labeled phase II, efficacy-finding study evaluated the efficiency and
safety of Pistacia terebinthus soap in metastatic colorectal cancer patients who
developed cetuximab induced skin toxicity. Patients who received cetuximab plus
chemotherapy and developed Grade 2 or 3 skin toxicity were treated twice daily
with a soap made of oil extracted from Pistacia terebinthus. During treatment, no
topical or oral antibiotics, corticosteroids or other moisturizers were used.
Patients were examined 1 week later and their photographs were taken. Fifteen
mCRC patients who developed skin toxicity while receiving first-line CTX in
combination with chemotherapy were included into the study. Eight patients were
male and the median age was 58 (25-70). Sixty percent of the patients (n:9) had
Grade 3 skin toxicity. Complete response rates in patients with Grade 2 and Grade
3 skin toxicities were 100 and 33%, respectively. In the remaining patients with
Grade 3 toxicity the skin toxicity regressed to Grade 1. The objective response
rate was 100%, and no delay, dose reduction or discontinuation of CTX treatment
due to skin toxicity was necessary. Skin toxicity reoccurred in all patients when
patients stopped administering the soap and therefore they used it throughout the
cetuximab treatment. Pistacia terebinthus soap seemed to be used safely and
effectively in the treatment of skin toxicity induced by Cetuximab.
PMID- 24930137
TI - Evolutionary analysis identifies an MX2 haplotype associated with natural
resistance to HIV-1 infection.
AB - The protein product of the myxovirus resistance 2 (MX2) gene restricts HIV-1 and
simian retroviruses. We demonstrate that MX2 evolved adaptively in mammals with
distinct sites representing selection targets in distinct branches; selection
mainly involved residues in loop 4, previously shown to carry antiviral
determinants. Modeling data indicated that positively selected sites form a
continuous surface on loop 4, which folds into two antiparallel alpha-helices
protruding from the stalk domain. A population genetics-phylogenetics approach
indicated that the coding region of MX2 mainly evolved under negative selection
in the human lineage. Nonetheless, population genetic analyses demonstrated that
natural selection operated on MX2 during the recent history of human populations:
distinct selective events drove the frequency increase of two haplotypes in the
populations of Asian and European ancestry. The Asian haplotype carries a
susceptibility allele for melanoma; the European haplotype is tagged by
rs2074560, an intronic variant. Analyses performed on three independent European
cohorts of HIV-1-exposed seronegative individuals with different geographic
origin and distinct exposure route showed that the ancestral (G) allele of
rs2074560 protects from HIV-1 infection with a recessive effect (combined P =
1.55 * 10(-4)). The same allele is associated with lower in vitro HIV-1
replication and increases MX2 expression levels in response to IFN-alpha. Data
herein exploit evolutionary information to identify a novel host determinant of
HIV-1 infection susceptibility.
PMID- 24930138
TI - The Scramble conversion tool.
AB - MOTIVATION: The reference CRAM file format implementation is in Java. We present
'Scramble': a new C implementation of SAM, BAM and CRAM file I/O. RESULTS: The C
implementation of for CRAM is 1.5-1.7* slower than BAM at decoding but 1.8-2.6*
faster at encoding. We see file size savings of 34-55%. AVAILABILITY AND
IMPLEMENTATION: Source code is available at
http://sourceforge.net/projects/staden/files/io_lib/ under the BSD software
licence.
PMID- 24930139
TI - circlize Implements and enhances circular visualization in R.
AB - SUMMARY: Circular layout is an efficient way for the visualization of huge
amounts of genomic information. Here we present the circlize package, which
provides an implementation of circular layout generation in R as well as an
enhancement of available software. The flexibility of this package is based on
the usage of low-level graphics functions such that self-defined high-level
graphics can be easily implemented by users for specific purposes. Together with
the seamless connection between the powerful computational and visual environment
in R, circlize gives users more convenience and freedom to design figures for
better understanding genomic patterns behind multi-dimensional data. AVAILABILITY
AND IMPLEMENTATION: circlize is available at the Comprehensive R Archive Network
(CRAN): http://cran.r-project.org/web/packages/circlize/
PMID- 24930140
TI - miR-PREFeR: an accurate, fast and easy-to-use plant miRNA prediction tool using
small RNA-Seq data.
AB - SUMMARY: Plant microRNA prediction tools that use small RNA-sequencing data are
emerging quickly. These existing tools have at least one of the following
problems: (i) high false-positive rate; (ii) long running time; (iii) work only
for genomes in their databases; (iv) hard to install or use. We developed miR
PREFeR (miRNA PREdiction From small RNA-Seq data), which uses expression patterns
of miRNA and follows the criteria for plant microRNA annotation to accurately
predict plant miRNAs from one or more small RNA-Seq data samples of the same
species. We tested miR-PREFeR on several plant species. The results show that miR
PREFeR is sensitive, accurate, fast and has low-memory footprint. AVAILABILITY
AND IMPLEMENTATION: https://github.com/hangelwen/miR-PREFeR
PMID- 24930141
TI - Cloud4Psi: cloud computing for 3D protein structure similarity searching.
AB - SUMMARY: Popular methods for 3D protein structure similarity searching,
especially those that generate high-quality alignments such as Combinatorial
Extension (CE) and Flexible structure Alignment by Chaining Aligned fragment
pairs allowing Twists (FATCAT) are still time consuming. As a consequence,
performing similarity searching against large repositories of structural data
requires increased computational resources that are not always available. Cloud
computing provides huge amounts of computational power that can be provisioned on
a pay-as-you-go basis. We have developed the cloud-based system that allows
scaling of the similarity searching process vertically and horizontally.
Cloud4Psi (Cloud for Protein Similarity) was tested in the Microsoft Azure cloud
environment and provided good, almost linearly proportional acceleration when
scaled out onto many computational units. AVAILABILITY AND IMPLEMENTATION:
Cloud4Psi is available as Software as a Service for testing purposes at:
http://cloud4psi.cloudapp.net/. For source code and software availability, please
visit the Cloud4Psi project home page at
http://zti.polsl.pl/dmrozek/science/cloud4psi.htm.
PMID- 24930142
TI - Evaluation and validation of de novo and hybrid assembly techniques to derive
high-quality genome sequences.
AB - MOTIVATION: To assess the potential of different types of sequence data combined
with de novo and hybrid assembly approaches to improve existing draft genome
sequences. RESULTS: Illumina, 454 and PacBio sequencing technologies were used to
generate de novo and hybrid genome assemblies for four different bacteria, which
were assessed for quality using summary statistics (e.g. number of contigs, N50)
and in silico evaluation tools. Differences in predictions of multiple copies of
rDNA operons for each respective bacterium were evaluated by PCR and Sanger
sequencing, and then the validated results were applied as an additional
criterion to rank assemblies. In general, assemblies using longer PacBio reads
were better able to resolve repetitive regions. In this study, the combination of
Illumina and PacBio sequence data assembled through the ALLPATHS-LG algorithm
gave the best summary statistics and most accurate rDNA operon number
predictions. This study will aid others looking to improve existing draft genome
assemblies. AVAILABILITY AND IMPLEMENTATION: All assembly tools except CLC
Genomics Workbench are freely available under GNU General Public License.
CONTACT: brownsd@ornl.gov SUPPLEMENTARY INFORMATION: Supplementary data are
available at Bioinformatics online.
PMID- 24930143
TI - LICRE: unsupervised feature correlation reduction for lipidomics.
AB - MOTIVATION: Recent advances in high-throughput lipid profiling by liquid
chromatography electrospray ionization tandem mass spectrometry (LC-ESI-MS/MS)
have made it possible to quantify hundreds of individual molecular lipid species
(e.g. fatty acyls, glycerolipids, glycerophospholipids, sphingolipids) in a
single experimental run for hundreds of samples. This enables the lipidome of
large cohorts of subjects to be profiled to identify lipid biomarkers
significantly associated with disease risk, progression and treatment response.
Clinically, these lipid biomarkers can be used to construct classification models
for the purpose of disease screening or diagnosis. However, the inclusion of a
large number of highly correlated biomarkers within a model may reduce
classification performance, unnecessarily inflate associated costs of a diagnosis
or a screen and reduce the feasibility of clinical translation. An unsupervised
feature reduction approach can reduce feature redundancy in lipidomic biomarkers
by limiting the number of highly correlated lipids while retaining informative
features to achieve good classification performance for various clinical
outcomes. Good predictive models based on a reduced number of biomarkers are also
more cost effective and feasible from a clinical translation perspective.
RESULTS: The application of LICRE to various lipidomic datasets in diabetes and
cardiovascular disease demonstrated superior discrimination in terms of the area
under the receiver operator characteristic curve while using fewer lipid markers
when predicting various clinical outcomes. AVAILABILITY AND IMPLEMENTATION: The
MATLAB implementation of LICRE is available from
http://ww2.cs.mu.oz.au/~gwong/LICRE
PMID- 24930144
TI - Improving the accuracy of the structure prediction of the third hypervariable
loop of the heavy chains of antibodies.
AB - MOTIVATION: Antibodies are able to recognize a wide range of antigens through
their complementary determining regions formed by six hypervariable loops.
Predicting the 3D structure of these loops is essential for the analysis and
reengineering of novel antibodies with enhanced affinity and specificity. The
canonical structure model allows high accuracy prediction for five of the loops.
The third loop of the heavy chain, H3, is the hardest to predict because of its
diversity in structure, length and sequence composition. RESULTS: We describe a
method, based on the Random Forest automatic learning technique, to select
structural templates for H3 loops among a dataset of candidates. These can be
used to predict the structure of the loop with a higher accuracy than that
achieved by any of the presently available methods. The method also has the
advantage of being extremely fast and returning a reliable estimate of the model
quality. AVAILABILITY AND IMPLEMENTATION: The source code is freely available at
http://www.biocomputing.it/H3Loopred/ .
PMID- 24930146
TI - Retraction.
PMID- 24930147
TI - Reply: To PMID 24762160.
PMID- 24930145
TI - TIPdb-3D: the three-dimensional structure database of phytochemicals from Taiwan
indigenous plants.
AB - The rich indigenous and endemic plants in Taiwan serve as a resourceful bank for
biologically active phytochemicals. Based on our TIPdb database curating
bioactive phytochemicals from Taiwan indigenous plants, this study presents a
three-dimensional (3D) chemical structure database named TIPdb-3D to support the
discovery of novel pharmacologically active compounds. The Merck Molecular Force
Field (MMFF94) was used to generate 3D structures of phytochemicals in TIPdb. The
3D structures could facilitate the analysis of 3D quantitative structure-activity
relationship, the exploration of chemical space and the identification of
potential pharmacologically active compounds using protein-ligand docking.
Database URL: http://cwtung.kmu.edu.tw/tipdb.
PMID- 24930148
TI - [...is better than cure].
PMID- 24930149
TI - [Teaching practice recommendations: prevention and screening].
AB - The aim of this article is to provide guidance to family doctors on how to tutor
students about effective screening and primary prevention. Family doctors know
their patients and adapt national and international guidelines to their specific
context, risk profile, sex and age as well as to the prevalence of the disorders
under consideration. Three cases are presented to illustrate guideline use
according to the level of evidence (for a 19-year-old man, a 60-year-old woman,
and an 80-year-old man). A particular strength of family medicine is that doctors
see their patients over the years. Thus they can progressively go through the
various prevention strategies, screening, counselling and immunisation,
accompanying their patients with precious advice for their health throughout
their lifetime.
PMID- 24930150
TI - [Quaternary prevention: is acting always justified in family medicine?].
AB - Quaternary prevention aims to protect the patient or population against
overmedicalisation. Quaternary prevention influences all the activities of family
medicine by questioning the utility of primary prevention and early diagnosis,
identifying the risks of creating new pathological entities and practicing a
maximalist medicine. Family doctors can support quaternary prevention by focusing
on their patients' priorities and the local resources of an efficient health
system.
PMID- 24930151
TI - [Health counseling in primary care doctors' offices: a new wind! The Health
Coaching Program of the Swiss College of Primary Care Medicine].
AB - The Health Coaching Program facilitates health behavior counseling in all areas
of primary medical care: prevention, therapy and rehabilitation, i.e. wherever
the patient is the decisive agent of change. Health Coaching gives the patient
the main role. The physician becomes his coach. Health Coaching offers skills
training and simple algorithms with a colour-coded visual tool to assist patient
and physician through the 4 steps of developing awareness, building motivation,
preparing a personal health project and implementing it. Health Coaching was
tested successfully by 20 family doctors during 12 months: of 1045 patients
invited 91% enrolled; 37% completed all four steps; one half achieved a positive
behavior change. Acceptance and feasibility were high in physicians and patients.
Nationwide dissemination is now in preparation.
PMID- 24930152
TI - [Prevention of binge drinking in adolescents: do family doctors have a role to
play?].
AB - Binge drinking has nearly become the norm for young people and is thus worrying.
Although alcohol use in males attracts more media attention, females are also
frequently affected. A variety of preventive measures can be proposed: at the
individual level by parents, peers and family doctors; at the school and
community level, particularly to postpone age of first use and first episode of
drunkenness; at the structural level through a policy restricting access to
alcohol for young people and increasing its price. Family doctors can play an
important role in identifying at risk users and individualising preventive
messages to which these young people are exposed in other contexts.
PMID- 24930153
TI - [Preventing work-related health problems: the work of the ergonomist].
AB - Preventing work-related health problems is an important aspect when considering
clinical symptoms. Analysing a person's professional activity is a complex task.
The ergonomist intervenes to understand the details of the organisational,
environmental and cognitive structures that influence health. The case of a pre
school educator with back pain is presented here as an example of how such a
situation is studied and concrete solutions instated. Health preservation
strategies, facilitating factors and barriers were identified, which led to a
reduction in straining, improved organisation of tasks and achievement of
educational goals.
PMID- 24930154
TI - [Management of the esophageal candidiasis by the primary care physician].
AB - Esophageal candidiasis is one of the most common opportunistic infections in
patients infected by human immunodeficiency virus (HIV). This pathology is also
found in patients without overt immunodeficiency. Other risk factors are known to
be associated with this disease like inhaled or systemic corticosteroid treatment
or proton-pump inhibitors and H2 receptor antagonists. In the absence of
identified risk factors, a primary immune deficiency should be sought. Prevention
of esophageal candidiasis is based primarily on the identification of risk
factors, and a better control of them. This article presents a review of the
physiopathology, clinical presentation and management of esophageal candidiasis
by primary care physicians. We will also discuss ways of preventing esophageal
candidiasis when necessary.
PMID- 24930155
TI - [Pseudo-Kaposi revealing an algodystrophy].
PMID- 24930156
TI - [Medical confidentiality in prison: the third victim?].
PMID- 24930157
TI - [The truth spoken in what is said, says something different from what you mean].
PMID- 24930158
TI - [Statins: details].
PMID- 24930159
TI - [Response].
PMID- 24930160
TI - [The European Union faces an epidemic of viral diarrhea from American pigs].
PMID- 24930161
TI - [37 millions of lives almost at hand...].
PMID- 24930162
TI - [End of life: who really decides on the Old Continent?].
PMID- 24930163
TI - [Vitamin D and the prevention of falls: called into question?].
PMID- 24930164
TI - [Fecal microbiota transplantation: details of the first French tests].
PMID- 24930165
TI - [Declinations of nihilism].
PMID- 24930166
TI - Thoughts in flight: automation use and pilots' task-related and task-unrelated
thought.
AB - OBJECTIVE: The objective was to examine the relationship between cockpit
automation use and task-related and task-unrelated thought among airline pilots.
BACKGROUND: Studies find that cockpit automation can sometimes relieve pilots of
tedious control tasks and afford them more time to think ahead. Paradoxically,
automation has also been shown to lead to lesser awareness. These results prompt
the question of what pilots think about while using automation. METHOD: A total
of 18 airline pilots flew a Boeing 747-400 simulator while we recorded which of
two levels of automation they used. As they worked, pilots were verbally probed
about what they were thinking. Pilots were asked to categorize their thoughts as
pertaining to (a) a specific task at hand, (b) higher-level flight-related
thoughts (e.g.,planning ahead), or (c) thoughts unrelated to the flight. Pilots'
performance was also measured. RESULTS: Pilots reported a smaller percentage of
task-at-hand thoughts (27% vs. 50%) and a greater percentage of higher-level
flight-related thoughts (56% vs. 29%) when using the higher level of automation.
However, when all was going according to plan, using either level of automation,
pilots also reported a higher percentage of task-unrelated thoughts (21%) than
they did when in the midst of an unsuccessful performance (7%). Task-unrelated
thoughts peaked at 25% when pilots were not interacting with the automation.
CONCLUSION: Although cockpit automation may provide pilots with more time to
think, it may encourage pilots to reinvest only some of this mental free time in
thinking flight-related thoughts. APPLICATION: This research informs the design
of human-automation systems that more meaningfully engage the human operator.
PMID- 24930167
TI - Are gamers better crossers? An examination of action video game experience and
dual task effects in a simulated street crossing task.
AB - OBJECTIVE: A high-fidelity street crossing simulator was used to test the
hypothesis that experienced action video game players are less vulnerable than
non-gamers to dual task costs in complex tasks. BACKGROUND: Previous research has
shown that action video game players outperform nonplayers on many single task
measures of perception and attention. It is unclear, however, whether action
video game players outperform nonplayers in complex, divided attention tasks.
METHOD: Experienced action video game players and nongamers completed a street
crossing task in a high-fidelity simulator. Participants walked on a manual
treadmill to cross the street. During some crossings, a cognitively demanding
working memory task was added. RESULTS: Dividing attention resulted in more
collisions and increased decision making time. Of importance, these dual task
costs were equivalent for the action video game players and the nongamers.
CONCLUSION: These results suggest that action video game players are equally
susceptible to the costs of dividing attention in a complex task. APPLICATION:
Perceptual and attentional benefits associated with action video game experience
may not translate to performance benefits in complex, real-world tasks.
PMID- 24930168
TI - A strategically timed verbal task improves performance and neurophysiological
alertness during fatiguing drives.
AB - OBJECTIVE: The objective of this study was to investigate if a verbal task can
improve alertness and if performance changes are associated with changes in
alertness as measured by EEG. BACKGROUND: Previous research has shown that a
secondary task can improve performance on a short, monotonous drive. The current
work extends this by examining longer, fatiguing drives. The study also uses EEG
to confirm that improved driving performance is concurrent with improved driver
alertness. METHOD: A 90-min, monotonous simulator drive was used to place drivers
in a fatigued state. Four secondary tasks were used: no verbal task, continuous
verbal task, late verbal task, and a passive radio task. RESULTS: When engaged in
a secondary verbal task at the end of the drive, drivers showed improved lane
keeping performance and had improvements in neurophysiological measures of
alertness. CONCLUSION: A strategically timed concurrent task can improve
performance even for fatiguing drives. APPLICATION: Secondary-task
countermeasures may prove useful for enhancing driving performance across a range
of driving conditions.
PMID- 24930169
TI - Team performance in networked supervisory control of unmanned air vehicles:
effects of automation, working memory, and communication content.
AB - OBJECTIVE: Assess team performance within a net-worked supervisory control
setting while manipulating automated decision aids and monitoring team
communication and working memory ability. BACKGROUND: Networked systems such as
multi-unmanned air vehicle (UAV) supervision have complex properties that make
prediction of human-system performance difficult. Automated decision aid can
provide valuable information to operators, individual abilities can limit or
facilitate team performance, and team communication patterns can alter how
effectively individuals work together. We hypothesized that reliable automation,
higher working memory capacity, and increased communication rates of task
relevant information would offset performance decrements attributed to high task
load. METHOD: Two-person teams performed a simulated air defense task with two
levels of task load and three levels of automated aid reliability. Teams
communicated and received decision aid messages via chat window text messages.
RESULTS: Task Load x Automation effects were significant across all performance
measures. Reliable automation limited the decline in team performance with
increasing task load. Average team spatial working memory was a stronger
predictor than other measures of team working memory. Frequency of team rapport
and enemy location communications positively related to team performance, and
word count was negatively related to team performance. CONCLUSION: Reliable
decision aiding mitigated team performance decline during increased task load
during multi-UAV supervisory control. Team spatial working memory, communication
of spatial information, and team rapport predicted team success. APPLICATION: An
automated decision aid can improve team performance under high task load.
Assessment of spatial working memory and the communication of task-relevant
information can help in operator and team selection in supervisory control
systems.
PMID- 24930170
TI - Human performance consequences of stages and levels of automation: an integrated
meta-analysis.
AB - OBJECTIVE: We investigated how automation-induced human performance consequences
depended on the degree of automation (DOA). BACKGROUND: Function allocation
between human and automation can be represented in terms of the stages and levels
taxonomy proposed by Parasuraman, Sheridan, and Wickens. Higher DOAs are achieved
both by later stages and higher levels within stages. METHOD: A meta-analysis
based on data of 18 experiments examines the mediating effects of DOA on routine
system performance, performance when the automation fails, workload, and
situation awareness (SA). The effects of DOA on these measures are summarized by
level of statistical significance. RESULTS: We found (a) a clear automation
benefit for routine system performance with increasing DOA, (b) a similar but
weaker pattern for workload when automation functioned properly, and (c) a
negative impact of higher DOA on failure system performance and SA. Most
interesting was the finding that negative consequences of automation seem to be
most likely when DOA moved across a critical boundary, which was identified
between automation supporting information analysis and automation supporting
action selection. CONCLUSION: Results support the proposed cost-benefit trade-off
with regard to DOA. It seems that routine performance and workload on one hand,
and the potential loss of SA and manual skills on the other hand, directly trade
off and that appropriate function allocation can serve only one of the two
aspects. APPLICATION: Findings contribute to the body of research on adequate
function allocation by providing an overall picture through quantitatively
combining data from a variety of studies across varying domains.
PMID- 24930171
TI - The construct of state-level suspicion: a model and research agenda for automated
and information technology (IT) contexts.
AB - OBJECTIVE: The objective was to review and integrate available research about the
construct of state-level suspicion as it appears in social science literatures
and apply the resulting findings to information technology (IT) contexts.
BACKGROUND: Although the human factors literature is replete with articles about
trust (and distrust) in automation, there is little on the related, but distinct,
construct of "suspicion" (in either automated or IT contexts). The construct of
suspicion--its precise definition, theoretical correlates, and role in such
applications--deserves further study. METHOD: Literatures that consider suspicion
are reviewed and integrated. Literatures include communication, psychology, human
factors, management, marketing, information technology, and brain/neurology. We
first develop a generic model of state-level suspicion. Research propositions are
then derived within IT contexts. RESULTS: Fundamental components of suspicion
include (a) uncertainty, (b) increased cognitive processing (e.g., generation of
alternative explanations for perceived discrepancies), and (c) perceptions of
(mal)intent. State suspicion is defined as the simultaneous occurrence of these
three components. Our analysis also suggests that trust inhibits suspicion,
whereas distrust can be a catalyst of state-level suspicion. Based on a three
stage model of state-level suspicion, associated research propositions and
questions are developed. These propositions and questions are intended to help
guide future work on the measurement of suspicion (self-report and neurological),
as well as the role of the construct of suspicion in models of decision making
and detection of deception. CONCLUSION: The study of suspicion, including its
correlates, antecedents, and consequences, is important. We hope that the social
sciences will benefit from our integrated definition and model of state
suspicion. The research propositions regarding suspicion in IT contexts should
motivate substantial research in human factors and related fields.
PMID- 24930172
TI - Visualizing uncertainty: the impact on performance.
AB - OBJECTIVE: This work investigated the impact of uncertainty representation on
performance in a complex authentic visualization task, submarine localization.
BACKGROUND: Because passive sonar does not provide unique course, speed, and
range information on a contact, the submarine operates under significant
uncertainty. There are many algorithms designed to address this problem, but all
are subject to uncertainty. The extent of this solution uncertainty can be
expressed in several ways, including a table of locations (course, speed, range)
or a graphical area of uncertainty. METHOD: To test the hypothesis that the
representation of uncertainty that more closely matches the experts' preferred
representation of the problem would better support performance, even for the
nonexpert, performance data were collected using displays that were either
stripped of the spatial or the tabular representation. RESULTS: Performance was
more accurate when uncertainty was displayed spatially. This effect was only
significant for the nonexperts for whom the spatial displays supported almost
expert-like performance. This effect appears to be due to reduced mental effort.
CONCLUSION: These results suggest that when the representation of uncertainty for
this spatial task better matches the expert's preferred representation of the
problem even a nonexpert can show expert-like performance. APPLICATION: These
results could apply to any domain where performance requires working with highly
uncertain information.
PMID- 24930173
TI - Effects of line length, line spacing, and line number on proofreading performance
and scrolling of Chinese text.
AB - OBJECTIVE: The main purpose of this study was to investigate the effects and
interactions of line length, line number, and line spacing on Chinese screen
based proofreading performance and amount of scrolling. BACKGROUND: Proofreading
is an important process, and much of it is now done on screen. The Chinese
language is increasingly important, but very little work has been done on the
factors that affect proofreading performance for Chinese passages. METHOD: Three
display factors related to screen size, namely line length, line number, and line
spacing, were selected to be investigated in an experiment to determine their
effects on proofreading performance and amount of scrolling. Correlations between
proofreading performance in time and accuracy and scrolling amount were also
analyzed. RESULTS: The results showed that line number and line spacing had
significant main and interaction effects on both proofreading time and detection
rate. Line length and line number influenced scrolling amount significantly, but
there was no interaction effect for scrolling. Scrolling amount was negatively
correlated with proofreading time and typo detection rate such that more
scrolling movement was associated with faster proofreading, but lower detection
rate. There was a trade-off between time and accuracy. CONCLUSION: For balancing
time and detection rate and improving performance for on-screen Chinese
proofreading, the display setting of medium line length (36 characters per line)
with four lines and 1.5 line spacing should be used. APPLICATION: The findings
provide information and recommendations for display factors and the screen design
that should prove useful for improving proofreading time and accuracy.
PMID- 24930174
TI - Perceptual grouping effects on cursor movement expectations.
AB - OBJECTIVE: Two studies were conducted to develop an understanding of factors that
drive user expectations when navigating between discrete elements on a display
via a limited degree-of-freedom cursor control device. BACKGROUND: For the Orion
Crew Exploration Vehicle spacecraft, a free-floating cursor with a graphical user
interface (GUI) would require an unachievable level of accuracy due to expected
acceleration and vibration conditions during dynamic phases of flight. Therefore,
Orion program proposed using a "caged" cursor to "jump" from one controllable
element (node) on the GUI to another. However, nodes are not likely to be
arranged on a rectilinear grid, and so movements between nodes are not obvious.
METHOD: Proximity between nodes, direction of nodes relative to each other, and
context features may all contribute to user cursor movement expectations. In an
initial study, we examined user expectations based on the nodes themselves. In a
second study, we examined the effect of context features on user expectations.
RESULTS: The studies established that perceptual grouping effects influence
expectations to varying degrees. Based on these results, a simple rule set was
developed to support users in building a straightforward mental model that
closely matches their natural expectations for cursor movement. CONCLUSION: The
results will help designers of display formats take advantage of the natural
context-driven cursor movement expectations of users to reduce navigation errors,
increase usability, and decrease access time. APPLICATION: The rules set and
guidelines tie theory to practice and can be applied in environments where
vibration or acceleration are significant, including spacecraft, aircraft, and
automobiles.
PMID- 24930175
TI - The effects of human finger and Chinese character on Chinese handwriting
performance on mobile touch devices.
AB - OBJECTIVE: The aim of the present study is to investigate Chinese handwriting on
mobile touch devices, considering the effects of three characteristics of the
human finger (type, length, and width) and three characteristics of Chinese
characters (direction of the first stroke, number of strokes, and structure).
BACKGROUND: Due to the popularity of touch devices in recent years, finger input
for Chinese characters has attracted more attention from both industry and
academia. However, previous studies have no systematical consideration on the
effects of human finger and Chinese characters on Chinese handwriting
performance. METHOD: An experiment was reported in this article to illustrate the
effects of the human finger and Chinese characters on the Chinese handwriting
performance (i.e., input time, accuracy, number of protruding strokes, mental
workload, satisfaction, and physical fatigue). RESULTS: The experiment results
indicated that all six factors have significant effects on Chinese handwriting
performance, especially on the input time, accuracy, and number of protruding
strokes. CONCLUSION: Finger type, finger length, finger width, direction of the
first stroke, number of strokes, and character structures are significantly
influencing Chinese handwriting performance. These factors should be taken into
more consideration in future research and the practical design for Chinese
handwriting systems.
PMID- 24930176
TI - Evaluation of a configural vital signs display for intensive care unit nurses.
AB - OBJECTIVE: The objective was to evaluate a configural vital signs (CVS) display
designed to support rapid detection and identification of physiological
deterioration by graphically presenting patient vital signs data. BACKGROUND:
Current display technology in the intensive care unit (ICU) is not optimized for
fast recognition and identification of physiological changes in patients. To
support nurses more effectively, graphical or configural vital signs displays
need to be developed and evaluated. METHOD: A CVS display was developed based on
findings from studies of the cognitive work of ICU nurses during patient
monitoring. A total of 42 ICU nurses interpreted data presented either in a
traditional, numerical format (n = 21) or on the CVS display (n = 21). Response
time and accuracy in clinical data interpretation (i.e., identification of
patient status) were assessed across four scenarios. RESULTS: Data interpretation
speed and accuracy improved significantly in the CVS display condition; for
example, in one scenario nurses required only half of the time for data
interpretation and showed up to 1.9 times higher accuracy in identifying the
patient state compared to the numerical display condition. CONCLUSION: Providing
patient information in a configural display with readily visible trends and data
variability can improve the speed and accuracy of data interpretation by ICU
nurses. APPLICATION: Although many studies, including this one, support the use
of configural displays, the vast majority of ICU monitoring displays still
present clinical data in numerical format. The introduction of configural
displays in clinical monitoring has potential to improve patient safety.
PMID- 24930177
TI - Box shape influences the size-weight illusion during individual and team lifting.
AB - OBJECTIVE: The effects of box shape--specifically width and height--on the
perception of heaviness were evaluated during individual and team lifting.
BACKGROUND: Large objects are perceived to be as much as 50% lighter than smaller
objects with the same mass. This size-weight illusion presents an obvious risk
when lifting large and heavy boxes. Recent research has shown that shape
influences this illusion. Specifically, increases in length and width do not
produce identical decreases in perceived heaviness. However, this effect has been
documented only in individual lifting, mostly with small objects. METHOD:
Individuals and teams lifted large boxes and reported their perceptions of
heaviness. The mass, height, and width of the boxes were varied independently to
determine their unique effects on perceived heaviness. RESULTS: For both types of
lift, increasing width produced a greater mean illusory drop (expressed as a
percentage decrease with 95% confidence intervals) in perceived heaviness (24 +/-
7% during individual lifting and 41 +/- 8% during team lifting) than increasing
height (15 +/- 7% during individual lifting and 18 +/- 8% during team lifting).
CONCLUSION: Size and shape are important factors in perceiving the heaviness of
boxes during both individual and team lifting. APPLICATION: To avoid
misperceiving weight and risking injury, lifters should be careful when
approaching larger (especially wider) boxes.
PMID- 24930178
TI - The effects of visual and cognitive distractions on operational and tactical
driving behaviors.
AB - OBJECTIVE: This study tested the effects of two fundamental forms of distraction,
including visual-manual and cognitive-audio distraction, with comparison under
both operational and tactical driving. Strategic control remains for future
study. BACKGROUND: Driving is a complex control task involving operational,
tactical, and strategic control. Although operational control, such as lead-car
following, has been studied, the influence of in-vehicle distractions on higher
levels of control, including tactical and strategic, remains unclear. METHOD: Two
secondary tasks were designed to independently represent visual-manual and
cognitive-audio distractions, based on multiple resource theory. Drivers
performed operational vehicle control maneuvers (lead-car following) or tactical
control maneuvers (passing) along with the distraction tasks in a driving
simulator. Response measures included driving performance and visual behavior.
RESULTS: Results revealed drivers' ability to accommodate either visual or
cognitive distractions in following tasks but not in passing. The simultaneous
distraction condition led to the greatest decrement in performance. CONCLUSION:
Findings support the need to assess the impacts of in-vehicle distraction on
different levels of driving control. Future study should investigate driver
distraction under strategic control.
PMID- 24930179
TI - The effects of prism adaptation on egocentric metric distance estimation.
AB - OBJECTIVE: The present experiment evaluated whether training involving throwing
transferred to metric distance estimation (i.e., describing in feet and inches
the distance between oneself and targets). BACKGROUND: In prior work, we found
that metric estimation training negatively transferred to throwing. We explained
our results in terms of cognitive intrusion. The present study tested that
possibility by swapping our training and transfer tasks. METHOD: During
pretesting, participants verbally estimated the metric distances between
themselves and targets, or they threw a beanbag to targets. During training,
participants donned goggles that distorted their vision. While wearing the
goggles, they threw a beanbag to targets. Half received feedback. During
posttesting, participants removed the distorting goggles and completed the same
task that they performed during pretesting. RESULTS: The results indicated that
the distorting goggles degraded throwing at the beginning of training, visual
feedback improved throwing during training, the effects of training with feedback
persisted into the throwing posttest, and the effects of training with feedback
did not transfer to the verbal metric estimation posttest. CONCLUSION: Training
involving throwing was effective, but did not transfer to verbal metric distance
estimation. This supports our argument that the negative transfer observed in our
previous study stemmed from cognitive intrusion. APPLICATION: The present
experiment suggests that the creation of distance estimation training should
begin with a careful analysis of the transfer task, and that distance estimation
training programs should explicitly teach trainees that their training will not
generalize to all distance estimation tasks.
PMID- 24930180
TI - Equipment and skills shortage in Uzbekistan.
AB - In this article, supplied with the help of the International Federation of
Hospital Engineering (IFHE), five co-authors from the Deutsche Gesellschaft fur
Internationale Zusammenarbeit (GIZ)--a German organisation that seeks to
encourage and co-ordinate international cooperation in areas ranging from
sustainable development to fund management, and its partner organisation, IFHE
member, the Republican Research Center of Emergency Medicine (RRCEM) in
Uzbekistan, discuss the use of medical technology in the central Asian country.
They also explain how a GIZ project is helping to boost the number of skilled
staff, improve quality assurance and management in procurement, logistics, and
maintenance, and promote good training of medical and technical staff, across
Uzbekistan.
PMID- 24930181
TI - 'Self-funding project' for four-site campus.
AB - In an article which first appeared in Canadian Healthcare Facilities, the monthly
magazine of the Canadian Healthcare Engineering Society (CHES), Michelle
O'Brodovich and Etienne deMuelenaere, of independent, ISO-certified, energy
efficiency specialist, Ecosystem (which has offices across the U.S. and Canada),
describe a wide-ranging energy conservation programme, involving 29 different
measures at four hospital sites, currently being implemented for Lakeridge Health
in Ontario, Canada.
PMID- 24930182
TI - Preparing the MGPS operational policy.
AB - Three articles that featured in HEJ's April and November 2013, and April 2014
issues, focused, respectively, on the roles and responsibilities of those
operating and managing medical gas pipeline systems, the MGPS Permit to Work
System, and how to raise and complete the MGPS Permit to Work. In this fourth and
final part of this 'series' of medical-gas system-related guidance articles by
the same author, experienced medical gas systems trainer, Geoff Dillow, explains
how to prepare, implement, monitor, and review, the MGPS Operational Policy.
PMID- 24930183
TI - Poor commissioning discussed in depth.
AB - Last October's Healthcare Estates 2013 conference saw one of the first day's
'Engineering' sessions debate the topic, 'Why do so many buildings disappoint
their owners and occupants?' Much of the discussion centered on the problems
caused by 'inadequate management of the commissioning process'. A roundtable
debate jointly staged recently in London by IHEEM and the B&ES, the leading U.K.
trade association for building services engineering contractors, took the debate
forward. As HEJ editor, Jonathan Baillie reports, the discussions confirmed that
one of the key contributors to poor commissioning is a failure to involve
specialist building services contractors sufficiently early. It was also agreed
that finding a really effective 'client-side' project manager, with the panoply
of skills and experience the role requires, can be 'a tough ask'. In this issue
of HEJ we report on the debate's first 'half'; in June's edition, we will cover
'part two' of a lively, forthright, and positive debate.
PMID- 24930184
TI - Examining 'risks' of overspecifying TMVs.
AB - Dr. Tom Makin, a highly experienced microbiologist and former Directorate manager
at the Department of Medical Microbiology at the Royal Liverpool University
Hospital, and Ken Tench, compliance manager, Estates and Facilities Directorate,
at Central Manchester University Hospitals NHS Foundation Trust, who has worked
in operational estate functions since 1984, examine the widespread use of
thermostatic mixing valves, or TMVs, in healthcare premises. They argue that such
devices have, in recent years especially, been overspecified, particularly given
the potential for increased contamination of the outlets, and the resulting
heightened risk of spread of potentially life-threatening nosocomial waterborne
diseases.
PMID- 24930185
TI - Buildings' integral role in good health.
AB - As reported in last month's HEJ, the new Sustainable Development Strategy for the
Health, Public Health and Social Care System for 2014-20 rightly emphasises the
importance of the built environment to health and well-being. Chris Hall, the
BRE's health sector lead, says this message 'stretches far beyond hospitals and
healthcare buildings into the communities and homes that people live in'. Here he
highlights some of the key elements relating to the current carbon efficiency of
healthcare buildings, considers the impact of 'good' housing on health and
preventing illness, and looks forward to a series of joint IHEEM and BRE
'Building Sustainable Development' mini-conference events planned in the run-up
to October's Healthcare Estates 2014 event in response to the new Strategy,
designed to share ideas and good practice on sustainable estates issues. The
first takes place in London later this month (see panel below).
PMID- 24930186
TI - International theme for seaside event.
AB - The organisers of this month's HefmA 2014 annual conference and exhibition
promise delegates "the opportunity to hear international perspectives on the
current issues facing the worldwide 'family' of health estates and facilities
professionals". Conference speakers will include a US-based consultant architect
discussing 'a transformational change programme which has achieved up to
threefold greater throughput using the existing footprint in a US Emergency
Department'; the assistant director, Facilities Services, at Health Facilities
Scotland, focusing on HFS's work to ensure high training standards and succession
planning, former BBC war correspondent, Kate Adie, describing some of the
'extraordinary experiences' of an eventful career, and Will Whitehorn, a former
search-and-rescue helicopter crewman, who later became president of 'the world's
first commercial spaceline', Virgin Galactic, giving his view on technology's
impact in business.
PMID- 24930187
TI - 'Catastrophic impact' for 'the unprepared'.
AB - Hospitals and other healthcare facilities unprepared for the power cuts predicted
by energy observers in the light of what they say are insufficient U.K. power
reserves, and particularly electrical energy capacity, could face 'catastrophic'
consequences, warns Bill Wright, head of Energy Solutions at the Electrical
Contractors' Association (ECA). In this opinion piece for HEJ he 'shines a
spotlight on the consequences of the energy generation problem', and explains how
electrical contractors can 'deliver the solution'.
PMID- 24930188
TI - Collaborative project optimises LED lighting.
AB - Early 2013 saw Brandon Medical, which designs and manufactures equipment ranging
from operating theatre lighting to medical AV and control systems, celebrate '20
years of innovation and growth', with a move to a new pounds 2 million, 50,000
ft2 headquarters in Morley near Leeds, twice the size of its former premises. A
milestone year then for the entrepreneurial Yorkshire company, but, as HEJ
editor, Jonathan Baillie, discovered, when he met with joint MD, Graeme Hall,
2014 should prove an equally exciting one for the medical technology specialist,
with the launch of several new 'field-leading' medical lighting products designed
for use in operating theatres and minor examination settings.
PMID- 24930189
TI - Brunel building will have lasting impact.
AB - Bathed in natural light from its prominent windows and central glass atrium, the
new pounds 430 million Brunel Building at Southmead Hospital Bristol features
what main contractor, Carillion, describes as 'a host of unconventional
architectural and patient care features, as well as a progressive approach to
community engagement'. The new building, which is due to admit its first patients
this month, is also targeted with delivering the country's most sustainable
construction of its type. Keith Hutton, project director for Carillion, gives
Health Estate Journal his personal standpoint on this ambitious healthcare
project.
PMID- 24930190
TI - Single space transforaminal lumbar interbody fusion in spondylolisthesis: initial
experience of 30 cases.
AB - Spondylolisthesis in adults is characterized by the loss of disc height across
the affected segment with sagital translation. The goal of stabilizing the spine
is accomplished by fusion. Transforaminal approach for lumbar interbody fusion is
a very good approach and reduces the complications associated with traditional
posterior approach. It has been reported to be safe and effective in the
treatment of spondylolisthesis. It has done to assess the functional outcome of
Transforaminal Lumbar Interbody Fusion (TLIF) in spondylolisthesis. This
prospective interventional study was performed from July 2008 to June 2011
included 30 patients (male 07, female 23), within a age range of 30-59 years.
Nineteen cases were lytic, 08 cases were degenerative, 02 were post-traumatic and
01 dysplastic variety of spondylolisthesis. Follow up ranged from 12 to 24 months
and outcome assessed by VAS and ODI regarding pain and disability. Achievement of
fusion and complications were documented accordingly. Statistical analysis was
done by unpaired t-test and chi-squared test in appropriate instances. We
included twenty One (70.00%) patient had Grade-II Spondylolisthesis and L4 over
L5 had been the commonest level (53.33%) involved. Pain and disability improved
significantly and 22 (73.33%) patients returned to their previous level of
activity. One (03.33%) patient developed superficial wound infection and 01
(03.33%) had persistent low back pain. All patients had neurological improvement.
We concluded that Transforaminal Lumbar Interbody Fusion is an effective
alternative surgical procedure for the treatment of spondylolisthesis. Overall
outcome is satisfactory in 93.33% cases.
PMID- 24930191
TI - A comparative study of chemical and immunological method of fecal occult blood
test in the diagnosis of occult lower gastrointestinal bleeding.
AB - Fecal occult blood test is the most widely used screening test for diagnosis of
gastrointestinal bleeding disorders specially colorectal carcinoma. Among the
various methods of fecal occult blood tests, chemical method is being used
commonly, but the method has some drawbacks like low participation rate, high
false positive rate, low sensitivity etc. To overcome these short comings, newer
immunological method was introduced. This study evaluated the role of
immunological method of fecal blood test in the diagnosis of occult lower GIT
bleeding. Stool samples from two hundred patients were examined by both chemical
and immunological method. The patients who were positive by any or both methods
of occult blood test, were advised for colonoscopy. During colonoscopy tissues
were taken for histopathology which was the gold standard of this study. Among
110 OBT positive patients pathological lesions were detected in 65 patients by
colonoscopy and histopathology. The diseases detected by colonoscopy and
histopathology 18 colorectal polyp, 8 colorectal cancer, 24 ulcerative lesions
and 5 inflammatory bowel disease etc. Regarding comparative analysis of chemical
and immunological method, the higher sensitivity (95.4% vs. 49.2%), specificity
(44.4% vs. 37.8%), accuracy (74.5% vs. 44.5%), PPV (71.3% vs. 53.3%) and NPV (87%
vs. 34%) of immunological method than chemical method was observed. Thus
immunological method of fecal occult blood test was appeared to be a better
alternative to conventional chemical method of fecal occult blood test in the
diagnosis of occult lower GIT bleeding.
PMID- 24930192
TI - Disseminated intravascular coagulation in acute promyelocytic leukaemia and its
impact on the induction failure: a single centre study.
AB - Life-threatening coagulopathy associated with acute promyelocytic leukemia (APL)
has been the defining clinical characteristic and is an important risk factor for
fatal haemorrhage and early death. Pathogenesis of coagulopathy in APL is complex
and mainly includes disseminated intravascular coagulation (DIC). The study was
done to see the status of DIC and its impact on the outcome of APL in our
setting. Among the total 60 patients, induction mortality rate was 30% and
remission rate was 70%. The main cause of induction mortality was bleeding that
accounts for 66.7% of mortality. DIC was present among 32 out of 60 patients
(53.33%). Induction mortality has significant relationship to DIC as the
induction mortality rate is 47% in patients with DIC and 11% in patient without
DIC (P value 0.0009). Induction motality rate in low, intermediate and high risk
group is 6.70%, 24% and 58% respectively (p value < 0.0001). Finally, risk group
subclassification revealed presence of DIC in high risk group has the highest
early mortality rate.
PMID- 24930193
TI - Photo-anthropometric study on face among Garo adult females of Bangladesh.
AB - Facial anthropometry has well-known implications in health-related fields.
Measurement of human face is used in identification of person in Forensic
medicine, Plastic surgery, Orthodontics, Archeology, Hair-style design and
examination of the differences between races and ethnicities. Facial
anthropometry provides an indication of the variations in facial shape in a
specified population. Bangladesh harbours many cultures and people of different
races because of the colonial rules of the past regimes. Standards based on
ethnic or racial data are desirable because these standards reflect the
potentially different patterns of craniofacial growth resulting from racial,
ethnic and sexual differences. In the above context, the present study was
attempted to establish ethnic specific anthropometric data for the Christian Garo
adult females of Bangladesh. The study was an observational, cross-sectional and
primarily descriptive in nature with some analytical components and it was
carried out with a total number of 100 Christian Garo adult females aged between
25-45 years. Three vertical facial dimensions such as facial height from
'trichion' to 'gnathion', nasal length and total vermilion height were measured
by photographic method. Though these measurements were taken by photographic
method but they were converted into actual size using one of the physically
measured variables between two angles of the mouth (chilion to chilion). The data
were then statistically analyzed by computation to find out its normatic value.
The study also observed the possible 'correlation' between the facial height from
'trichion' to 'gnathion' with nasal length and total vermilion height.
Multiplication factors were estimated for estimating facial height from nasal
length and total vermilion height. Comparison were made between 'estimated'
values with the 'measured' values by using't' test. The mean (+/- SD) of nasal
length and total vermilion height were 4.53 +/- 0.36 cm and 1.63 +/- 0.23 cm
respectively and the mean (+/- SD) of facial height from 'trichion' to 'gnathion'
was 16.88 +/- 1.11 cm. Nasal length and total vermilion height showed also a
significant positive correlation with facial height from 'trichion' to
'gnathion'. No significant difference was found between the 'measured' and
'estimated' facial height from 'trichion' to 'gnathion' for nasal length and
total vermilion height.
PMID- 24930194
TI - Development and evaluation of an in-house ELISA to detect hepatitis B virus
surface antigen in resource-limited settings.
AB - Hepatitis B virus (HBV) infection is of global public health concern. Among
various serological tests used for the diagnosis and screening of HBV infection,
the enzyme-linked immunosorbent assay (ELISA) to detect hepatitis B surface
antigen (HbsAg) is most widely used. The present study was designed to develop
and standardize a cost effective in-house ELISA for the detection of HbsAg and
compare its performance with two established commercial kits. The concentrations
of coating antibody, conjugates and sera were fixed by checkerboard titration.
Using known HBsAg positive and negative sera, four different concentrations (1,
0.5, 0.25 and 0.125 microg/well) of coating anti-HBs were applied. Similarly,
serial dilutions of patients' sera (1 in 2, 1 in 3, 1 in 5 and 1 in 9) and
conjugates (1 in 2, 1 in 3, 1 in 5, 1 in 9 and 1 in 17) were evaluated by
checkerboard titration. The optimal concentration of coating antibody was
determined at 0.25 microg/well and 1 in 9 dilution for both conjugates and sera.
The performance comparison of our in-house ELISA showed excellent correlation
with two commercial kits (Pearson 0.957, P = 0.001 for monoclonal antibody coated
kit and Pearson 0.929, P = 0.000 for polyclonal antibody coated kit) when OD
values were compared. All commercial kit proven positive samples was positive
while all negative samples were negative with the in-house ELISA resulting in
100% sensitivity and specificity. The results of our study demonstrated that our
in-house ELISA for detection of HBsAg was equally as sensitive and specific as
two well-known commercial kits. Thus, this system may be a useful tool for
diagnostic and screening purposes, as well as outbreak investigations.
PMID- 24930195
TI - A comparative study between fine needle aspiration cytology findings and
histopathological report of major salivary gland neoplasm in a tertiary hospital
of Bangladesh.
AB - Salivary gland tumours are relatively uncommon and most of the tumours arise from
parotid gland. Fine needle aspiration cytology (FNAC) is advised preoperatively
as diagnostic tool but sometimes found to shown both false positive and false
negative results. This study was aimed to find out distribution of neoplasm of
major salivary glands and also to explore the sensitivity and specificity of
FNAC. The present cross sectional study was done in the Dept. of Otolaryngology
Head and Neck Surgery, BSMMU from January 2007 to December 2008. A total number
of 60 gender-matched patients with major salivary neoplasm, confirmed by FNAC,
were recruited in the study. Operated salivary gland specimens were sent for
histopathological examination, histopathological findings were compared. Overall
male to female ratio was 1:1. Out of 60 cases, 47 (78.3%) patients had parotid
and 13 (21.7%) patients submandibular gland neoplasm. Male to female ratio for
parotid tumour was 1:1.1 and for submandibular 1.6:1. Mean age of the patients
was 44.5 with range of 14-85 years. Of the total 60 cases 47 (78.3%) were benign
and 13 (21.7%) malignant. Out of 47 parotid tumour 85.1% were benign and 14.9%
malignant. Among the parotid tumour 97% were superficial lobe and 3.0% deep lobe.
In case of submandibular gland 53.84% were benign and 46.15% tumour malignant.
According to the sides of involvement, 25 (53.2%) cases of parotid neoplasm
tumour were in the left and 22 (46.8%) the right. In submandibular gland the
distribution was 7 (53.8%) and 6 (46.16%) respectively. Statistically incidence
of parotid tumour was significantly higher than submandibular tumour (p < 0.05).
Out of 60 cases in 56 (93.3%) preoperative FNAC and postoperative
histopathological findings were same. There was 1.7% false positive and 5% were
false negative results. Sensitivity, specificity of FNAC were 80% and 97.8%
respectively. Positive predictive value was 92.3% and negative predictive 93.6%
for FNAC.FNAC though cheap and safe but its diagnostic accuracy was
93.3%.Histopathologial examination remained to be of value for diagnostic
confirmation of major salivary gland neoplasm.
PMID- 24930196
TI - Assessing glomerular filtration rate in healthy adult potential kidney donors in
Bangladesh: a comparison of various prediction equations with measured glomerular
filtration rate by diethylentriamine pentaacetic acid renogram.
AB - To ensure that potential kidney donors in Bangladesh have no renal impairment, it
is extremely important to have accurate methods for evaluating the glomerular
filtration rate (GFR). We evaluated the performance of serum creatinine based GFR
in healthy adult potential kidney donors in Bangladesh to compare GFR determined
by DTPA with that determined by various prediction equations. In this study GFR
in 61 healthy adult potential kidney donors were measured with 99mTc
diethylenetriamine penta-acetic acid (DTPA) renogram. We also estimated GFR using
a four variable equation modification of diet in renal disease (MDRD), Cockcroft
Gault creatinine clearance (CGCrCl), Cockcroft-Gault glomerular filtration rate
(CG-GFR). The mean age of study population was 34.31 +/- 9.46 years and out of
them 65.6% was male. In this study mean mGFR was 85.4 +/- 14.8. Correlation of
estimated GFR calculated by CG-CrCl, CG-GFR and MDRD were done with measured GFR
DTPA using quartile. Kappa values were also estimated which was found to be 0.104
for (p = 0.151), 0.336 for (p = 0.001) and 0.125 for (p = 0.091) respectively.
This indicates there is no association between estimated GFR calculated by CG
CrCl, CG-GFR, MDRD with measured GFR DTPA. These results show poor performance of
these equations in evaluation of renal function among healthy population and also
raise question regarding validity of these equations for assessment of renal
function in chronic kidney disease in our population.
PMID- 24930197
TI - Role of transvaginal sonography in the detection of endometrial carcinoma.
AB - Transvagival sonography is superior to transabdominal sonography in most cases of
pelvic pathology. Objective of this study is to evaluate the clinical usefulness
of transvaginal ultrasonography (TVS) in pre, peri and post menopausal women
suspected to have endometrial carcinoma. This cross sectional study was done with
40 patients who are clinically suspected having thickened endometrium. The study
was carried out January 2007 to November 2008 for a period of two years. The
patients having endometrial carcinoma diagnosed by TVS was correlated with
histopathological diagnosis following collection of the report from the
respective cases. Of total 40 cases, 2 (5.0%) cases were endometrial carcinoma
and 38 (95.0%) were negative for endometrial carcinoma respectively in TVS
findings. On the other hand 3 (7.5%) cases were endometrial carcinoma and 37
(92.5%) cases were negative for endometrial carcinoma in histopathological
findings. The validity of TVS in diagnosis of endometrial carcinoma were studied
by calculating sensitivity, specificity, accuracy, positive predictive value and
negative predictive value, which were 67 percent, 100 percent, 98 percent, 100
percent and 97 percent respectively. As the TVS findings of the present study
correlated well with the histopathology findings and the validity test values
were higher than observed by others, it can be concluded that TVS is sensitive
and accurate modality in the evaluation of endometrial carcinoma.
PMID- 24930198
TI - Detection and estimation of human papillomavirus viral load in patients with
cervical lesions.
AB - Human papillomavirus (HPV) high risk genotype infection and HPV viral load
influences the development of invasive cervical cancer and cervical intra
epithelial neoplasia (CIN). HPV DNA testing for screening of cervical cancers may
play a potential role in its early detection and management. The present study
detected HPV DNA and estimated HPV viral load in different types of cervical
lesions among Bangladeshi women. Using the Hybrid Capture 2 (HC2) assay, HPV DNA
was tested among 68 women between 25-70 years of age. A total of 13 (19.1%) cases
were positive for HPV DNA. The highest viral load (501 x 10(3) copies/ml) was
detected in a patient with invasive carcinoma, while the lowest viral load (105 x
10(3) copies/ml) was detected from a case of chronic cervicitis. The mean viral
load in CIN I was 119.25 x 10(3) +/- 12.5 x 10(3) copies/ml (range: 110 x 10(3) -
137 x 10(3) ) and 208.50 x 10(3) +/- 0.59 x 10(3) copies/ml (range: 139 x 10(3) -
305 x 10(3)) in CIN II/III. Interestingly, HPV DNA was detected from a patient
with normal cytological findings. Our study observed a moderate presence of high
risk HPV genotypes among women with cervical lesions. The HPV viral load varied
with the age of the patients and stage of cervical lesions. The HC2 assay is a
promising tool for diagnosing high-risk HPV infection especially before cytology
tests show any abnormality.
PMID- 24930199
TI - Correlation of ultrasonographically determined renal cortical thickness and renal
length with estimated glomerular filtration rate in chronic kidney disease
patients.
PMID- 24930200
TI - Thromboembolism in tuberculosis: a neglected comorbidity.
PMID- 24930201
TI - Outcome of pulmonary rehabilitation in patients after acute exacerbation of
chronic obstructive pulmonary disease.
AB - BACKGROUND: Pulmonary rehabilitation (PR) is an evidence-based intervention in
patients with chronic obstructive pulmonary disease (COPD) which improves the
exercise capacity and quality of life (QoL). METHODS: We studied 60 patients
after an episode of acute exacerbation of COPD (AECOPD). They were randomised to
receive conventional treatment without pulmonary rehabilitation (CTWPR) (n=30)
or, standard treatment plus a 12-week post-exacerbation pulmonary rehabilitation
(PEPR) programme in addition. Assessment of exercise capacity by six minute walk
test (6MWT) and QoL measured by St George's Respiratory Questionnaire (SGRQ) were
carried out initially and at the end of three months. RESULTS: The baseline
characteristics of both the groups were found to be similar. There was a
statistically significant increase in the six minute walk distance (6MWD)
(increase by 37.9 meters, p< 0.001) and a significant decline in the total SGRQ
score (by 3.8 units p< 0.001) in the PEPR group compared to CTWPR group.
CONCLUSION: Early pulmonary rehabilitation in patients with an AECOPD has
significant benefits on the QoL and exercise capacity.
PMID- 24930202
TI - The effect of a short-term pulmonary rehabilitation on exercise capacity and
quality of life in patients hospitalised with acute exacerbation of chronic
obstructive pulmonary disease.
AB - BACKGROUND: Recent research shows that pulmonary rehabilitation (PR) programmes
in patients with acute exacerbation of chronic obstructive pulmonary disease
(AECOPD), reduced dyspnoea, improved exercise capacity, and prevented occurrence
of further exacerbations. OBJECTIVE: To evaluate the utility of a 3-week PR
programme in patients with AECOPD. METHODS: Patients admitted with AECOPD,
following clinical stabilisation in the respiratroy intensive care unit (RICU),
were alternately assigned to intervention (n=15); and control groups (n=15),
respectively. Baseline assessment included spirometry, six-minute walk test
(6MWT), symptom limited cardiopulmonary exercise test (CPET), health-related
quality of life (HRQoL) assessment by generic questionnaire medical outcomes
study short form (S-F 36) questionnaire and dyspnoea evaluation by Borg score.
The intervention group patients were treated with usual care plus PR exercises in
the form of 20 minutes each of walking, bicycle ergometry and resistance
exercises, thrice-weekly for three weeks. The control group patients were treated
with only the usual care. After discharge from hospital the treatment regimens
were continued on alternate days on outpatient basis, for a total of three weeks.
The assessment was repeated in both the groups after three weeks. RESULTS: Nine
sessions of PR exercises produced statistically significant improvement in
general well-being, forced expiratory volume in the first second (FEV1), 6MWT
parameters, exercise capacity, peak oxygen uptake and volume of oxygen
consumption (VO2)/Watts slope on CPET in patients with AECOPD. CONCLUSION: Short
duration PR programmes appear to be helpful in the management of AECOPD.
PMID- 24930204
TI - Applications of ultrasonography in respiratory intensive care.
AB - Emerging evidence suggests that ultrasonography of lung is a fast, inexpensive,
widely available bed-side diagnostic tool which is useful for quick and early
diagnosis of respiratory diseases. It is useful in the differential diagnosis of
pulmonary infiltrates and has good accuracy in identifying consolidation and
alveolar-interstitial syndrome. This technique can also be useful in the
immediate evaluation of patients with dyspnoea or acute respiratory failure in
the respiratory intensive care unit and helps in monitoring treatment response.
Ultrasonography of lung has also been found to be useful in the diagnosis of
pulmonary embolism, traumatic lung contusion and lung consolidation as well.
There is a need for developing specific guidelines for establishing the standards
of training and education regarding lung ultrasonography in India.
PMID- 24930203
TI - Clinical profile of pneumonia and its association with rain wetting in patients
admitted at a tertiary care institute during pandemic of influenza A (H1N1) pdm09
virus infection.
AB - BACKGROUND: Influenza pneumonia often occurs as epidemics in the Asian countries
and have significant impact on the health of world population. METHODS: We
studied the association of rain-wetting with occurrence of pneumonia during the
outbreak of the influenza A (H1N1) pdm09 virus infection. All patients admitted
with community-acquired pneumonia during the period 13th September to 10th
October 2010 were recruited in the present study. The diagnosis of influenza was
established by real-time polymerase chain reaction (RT-PCR). The demographic data
and clinical profile of the patients were recorded with a special consideration
to record of possible risk factors. RESULTS: Of the 123 patients studied, 39
(32%) patients had tested positive for influenza A (H1N1) pdm09; 12 (10%) tested
positive for influenza A and remaining 72 (58%) patients were negative for
influenza virus. Pattern of illness was almost identical in H1N1-positive and
negative groups. History of rain-wetting was present in 48 patients (39%)
preceding the onset of illness. Getting wet in the rain was significantly higher
in patients with pneumonia than control subjects [odds ratio 2.53, 95% confidence
intervals (CI) 1.301-4.91; p=0.009)]. The number of pneumonia patients was also
higher on rainy days and the numbers started declining a week later. CONCLUSION:
More pneumonia patients are admitted during the periods of greater rainfall and
rain-wetting may be an important risk factor for the occurrence of pneumonia.
PMID- 24930205
TI - Recurrent spontaneous pneumothorax in pregnancy.
PMID- 24930207
TI - Idiopathic central sleep apnoea: an Indian case with polysomnographic findings.
AB - Patients with idiopathic central sleep apnoea (ICSA) usually complain of poor
quality sleep; yet many of them do not receive appropriate treatment because of
poor recognition of ICSA by health professionals. We report the case of a patient
with ICSA who was misdiagnosed and received treatment for seizures, depression or
anxiety for a number of years and discuss the differential diagnosis and
treatment options for ICSA.
PMID- 24930206
TI - Thoracoscopic and endovascular management of retained haemothoarx associated with
an intercostal artery pseudoaneurysm.
AB - Intercostal artery pseudoaneurysm (IAP) is a rare entity and may complicate a
percutaneous intervention through an intercostal space or follow thoracic trauma.
Its rupture into the pleural space can give rise to haemothorax, which if
untreated may lead to a retained haemothorax (RH). Traditionally both the IAP and
the RH are managed by a thoracotomy. We report a patient who developed an IAP
with haemothorax following a trauma. The diagnosis was established by computed
tomography. The patient was treated by endovascular embolisation of the IAP
followed by thoracoscopic decortications of the RH.
PMID- 24930208
TI - Idiopathic pulmonary artery aneursym.
AB - Idopathic pulmonary artery aneurysm (PAA) is a rare lesion. Clinical experience
with this condition is limited and current knowledge is mainly derived from
autopsy findings. We report a patient who came to us with complaints of chest
pain, breathlessness on exertion and pedal oedema and was diagnosed to have PAA.
PMID- 24930210
TI - Hair: an unusual foreign body in airways presenting with haemoptysis in an adult
patient.
AB - Haemoptysis is defined as expectoration of blood originating from the lungs or
tracheo-bronchial tree. It is attributed to various causes like tuberculosis,
bronchiectasis, lung cancer, mycetoma, foreign bodies etc. Various types of
foreign bodies have been reported in the literature. We report the case of an
adult female patient who presented with an episode of haemoptysis (150 mL) in
whom flexible fibreoptic bronchoscopy revealed a single long hair at the carina
going to left main bronchus. Following successful removal of this hair there were
no further episodes of haemoptysis and the patient manifested clinical and
radiological improvement.
PMID- 24930209
TI - Isolated right pulmonary artery agenesis with agenesis of right upper lobe and
bronchiectasis of right lower lobe with anomalous arterial supply from celiac
axis with normal venous drainage.
AB - Isolated unilateral absence of pulmonary artery (UAPA) is a rare congenital
anomaly. When detected in infancy, the condition is commonly associated with
cardiovascular defects which are more frequently associated with left pulmonary
artery agenesis. Patients with isolated right pulmonary artery agenesis survive
into adulthood with minimal or no symptoms and are diagnosed incidentally on the
chest radiographs. We report a case of a 19-year-old female patient who presented
to us with recurrent haemoptysis. She was symptomatic since the age of four
years. We report the rare occurrence of UAPA on right side, agenesis of right
upper lobe and bronchiectasis of right lower lobe with anomalous arterial supply
of right lung from coeliac axis in this patient.
PMID- 24930211
TI - [Skin changes in the face. " I have such odd acne around the nose". Perioral
dermatitis].
PMID- 24930212
TI - [Entrance via KV branch practice. Family physician with love for home].
PMID- 24930213
TI - [Change in the career picture. "Female family practice" is still far away].
PMID- 24930214
TI - [Analysis by subsidiary insurance groups. Too many physician practices are not
architecturally accessible].
PMID- 24930215
TI - [The safety of drug therapy. Communication and team work are required].
PMID- 24930216
TI - [Chronic inflammation does not respond to antibiotics. Is it vasculitis?].
PMID- 24930217
TI - [How does the fit together? First pain in the joints - then the abdomen].
PMID- 24930218
TI - [Preventing vitamin D deficiency. Sardines, sun or supplements?].
PMID- 24930219
TI - [The secret world of the microbiom. Do bacteria make us fat?].
PMID- 24930220
TI - [Sometimes it is better when the physician knows less].
PMID- 24930221
TI - [Always measure blood pressure on both upper arms].
PMID- 24930222
TI - [Risk score for exacerbated COPD].
PMID- 24930223
TI - [Chilaiditi sign].
PMID- 24930224
TI - [How to proceed when the pathologist does not confirm suspected Barrett
esophagus?].
PMID- 24930225
TI - [When the dog bites, the leech helps out].
PMID- 24930226
TI - [A lot of gas in the irritable bowel].
PMID- 24930227
TI - [Mediterranean diet lowers diabetes risk].
PMID- 24930228
TI - [Recurrent neck pain. CT or MRI scan is not necessary in all cases!].
PMID- 24930229
TI - [Conservative therapy of cervical pain syndrome].
PMID- 24930230
TI - [Operative therapy of cervical stenosis].
PMID- 24930231
TI - [Hyperhidrosis].
PMID- 24930232
TI - [New aspects in travel vaccinations].
PMID- 24930233
TI - [Allergic asthma-- what's new?].
PMID- 24930234
TI - [Polypharmacy].
PMID- 24930235
TI - [The new headache classification of the International Headache Society].
PMID- 24930236
TI - [Pruritus on normal non-inflamed skin].
PMID- 24930237
TI - [Venous ulcer--patience and consequence are demanded].
PMID- 24930238
TI - [Chronic obstructive lung disease: innovation in differential diagnosis.
Elimination of alpha 1-antitrypsin deficiency in 15 minutes].
PMID- 24930239
TI - [Vitamin K antagonists. Long-term therapy optimized by coagulation self
management].
PMID- 24930240
TI - [Clarithromycin therapy for patients with cystic fibrosis: a randomized
controlled trial].
PMID- 24930241
TI - Alien species of parasites--some questions concerning terminology.
AB - The article deals with some terms proposed by the proper institutions of the
Council of Europe for describing the phenomenon of invasion of living organisms
into new habitats. As these terms were elaborated mainly from the point of view
of free leaving creatures, the goal of the authors was to discuss the possibility
to adapt them for parasites species. Several propositions of resolving some
difficulties have been presented'.
PMID- 24930242
TI - Helminths in migrating and wintering birds recorded in Poland.
AB - Among 544 species of helminths recorded in birds on the territory of Poland,
probably some (17 species of Digenea, 21 Cestoda, 13 Nematoda and 5
Acanthocephala) do not belong to the native fauna. These are helminths obtained
in mature stage from birds shortly after their arrival from wintering grounds, or
from foreign populations wintering with us, or being in the course of spring or
autumn migration through the area of our country. In general, these helminth
species have been recorded sporadically in the examined birds.
PMID- 24930243
TI - Migrations and the introduction of wild ruminants as a source of parasite
exchange and emergence of new parasitoses.
AB - The relationship between European bison and cervidae is a good model for studies
on the influence of migration and introduction of new species on the
helmhninthofauna of wild ruminants and the occurrence of new parasitoses. Changes
in the helminthofauna of bison and deer under the influence of changes in the
environment and living conditions, as well as the introduction and migration of
other species, are discussed in detail. The exchange of helminths between bison,
cervids and domestic ruminants is demonstrated. Examples of helminth introduction
from specific Cervidae species, the formation of the new host-parasite systems
and the appearance of new parasitoses are also presented.
PMID- 24930244
TI - Dirofilaria repens Railliet et Henry, 1911--a new parasite acclimatized in
Poland.
AB - Dirofilariosis caused by the Dirofilaria repens nematodes is widely dispersed in
southern Europe, Asia and Africa among dogs, cats, other carnivores and
occasionally, humans. The first case of D. repens infection, found in Poland in
2007, concerned humans. In 2009, dirofilariosis was first registered in dogs in 3
focuses in central Poland, Warsaw, Pruszkow and Zyrardow, whose range grew
considerably with the subsequent identification of D. repens microfilariae in 119
dogs in Warsaw and 18 districts of the Mazowieckie Province. The microfilariae of
D. repens were found in blood samples taken from 1588 dogs from all 16 provinces
of Poland. D. repens was also recently detected in a mixture of Culex pipiens and
Aedes vexans mosquitoes collected in Mazowieckie Province using Real Time PCR.
The results of this study confirms the acclimatisation of D. repens on the
territory of Poland and a possibility for it to close its life cycle in domestic
species of mosquito.
PMID- 24930245
TI - The occurrence of the Dermacentor reticulatus tick--its expansion to new areas
and possible causes.
AB - The ornate dog tick (Dermacentor reticulatus) can be found in the temperate zones
of Eurasia. Its area of occurrence is divided into east and west distributions,
although the area as a whole is expanding. The initial east-west division was
most probably associated with the varied climatic profile of Europe, for example,
the range of specific mean winter and summer temperatures: the region where D.
reticulatus is absent is characterized by mean winter temperatures between 0
degree C and 5 degrees C and thin snow cover. The present expansion may be the
effect of climate change. The mean temperatures in Europe have increased, the
vegetation season has lengthened and positive trends in the number of wet days
can be seen. Consequently, northern Europe has become warmer and wetter over the
last century. Human activity can also influence the spread of D. reticulatus. The
liquidation of habitats suitable for D. reticulatus and the eradication of their
hosts can play a role, as can changes in agricultural land use, environmental
protection and the spread of international tourism and trade. In summary, the
expansion of D. reticulatus into new areas could be the synergistic effect of
many favourable factors.
PMID- 24930246
TI - A biological/medical review of alien tick species (Acari: Ixodida) accidentally
transferred to Poland.
AB - Alien tick species of the genera Ixodes, Amblyomma, Hyalomma and Rhipicephalus
are sporadically transferred to the territories of the Central European
countries. A biological-medical review of the following alien tick species
transferred to Poland is presented: Ixodes eldaricus, Ixodes festai, Amblyomma
sphenodonti, Amblyomma exornatumn, Amblyomma flavomaculatum, Amblyomma latum,
Amblyomma nuttalli, Amblyomma quadricavum, Amblyomma transversale, Amblyomma
varanense, Hyalomma aegyptium, Hyalomma marginatum, Rhipicephalus rossicus and
Rhipicephalus sanguineus. The transfers of tick species out of the areas of
natural distribution are divided into natural transfers (e.g., migration of ticks
on hosts) and accidental transfers (e.g., resulting from the transport of
livestock animals, trade in exotic animals, and transfers on animals during
travel). It is important to monitor occurrence of unknown tick species on hosts
in Poland.
PMID- 24930247
TI - Presence of blood-sucking mesostigmatic mites in rodents and birds kept in pet
stores in the Cracow area, Poland.
AB - The aim of the study was to investigate the occurrence of zoonotic arthropod
parasites in small animals sold in selected pet stores in the Cracow area. The
research was conducted in seven pet stores, keeping a total of six species of
rodents and three species of birds. In two shops, two species of mites of the
order Mesostigmata were detected on the animals and in their surrounding:
Dermanyssus gallinae, the poultry red mite, and Ornithonyssus bacoti, the rat
mite. Both observed species of mites may be harmful to animals, as well as to
people working in the shops or potential pet owners. This study discusses the
possible origin of the parasites and their importance to human health.
PMID- 24930248
TI - Herbal formulations as feed additives in the course of rabbit subclinical
coccidiosis.
AB - Two simultaneous experiments were carried out in a breeding farm of New Zealand
White rabbits (Oryctolagus cuniculus f. domesticus) to determine the feasibility
of replacing coccidiostats with garlic and oregano preparation. The research took
place during June and July, the period of the greatest threat of coccidiosis
caused by Eimeria spp. (Apicomplexa: Eimeriidae). In one investigation, 40
rabbits aged 1-3 months were divided into four groups of ten animals: Group A
being a control which received no coccidiostats in feed, Group B receiving the
coccidiostat Baycox in water once at weaning, Group C receiving the coccidiostat
robenidine in feed, and group D receiving herbal extracts in feed. In the second
trial, six mated females were allocated equally to three groups analogous to A,
C, and D above during pregnancy and lactation. Bulk stool samples were collected
from each group of rabbits at weekly intervals for coproscopic analysis, and the
production results of the animals were recorded. In the young rabbits, both the
faecal coccidia oocyst counts and body weight gains were more favourable in group
D than the remaining groups. Also, the female rabbits of group D were the least
infected. The results demonstrate that garlic and oregano feed additives exert a
positive influence on the level and course of coccidia infection, with regard to
maintaining a good level of animal productivity, and these herbal extracts appear
to have potential value in coccidiosis prophylaxy.
PMID- 24930249
TI - (Almost) 'instant orthodontics'.
PMID- 24930250
TI - Haemostasis. Part 1: The management of post-extraction haemorrhage.
AB - The management of bleeding complications following a dental extraction is an
essential skill for the dental practitioner. Extractions are often carried out on
patients with complex medical histories and a long list of medications. This
paper aims to help the clinician manage post-extraction haemorrhage. A review of
the management of patients on anti-thrombotic medications will be covered in a
subsequent paper. CLINICAL RELEVANCE: This article reviews the management of
haemorrhage following tooth extraction; from the risk assessment of any
underlying medical conditions and medications, to the clinical techniques used to
control bleeding following an extraction.
PMID- 24930251
TI - Frequently asked questions in direct pulp capping of permanent teeth.
AB - Direct pulp capping is a proven method of preserving tooth vitality of a mature
permanent tooth in cases of pulp exposures. The indications for this treatment,
treatment modalities and materials are discussed in this paper. CLINICAL
RELEVANCE: This paper answers many of the frequently asked questions by general
practitioners, dental students and specialists about direct pulp capping.
PMID- 24930252
TI - Anterior tooth alignment--recommendations for stability.
AB - This article considers the importance of current orthodontic practice in
retention and stability when considering anterior tooth alignment. CLINICAL
RELEVANCE: With the exponential increase of general dentist-based orthodontic
systems for anterior tooth alignment, with considerably shorter treatment times,
practical recommendations along with a current literature review are required to
improve success and outcome of the long-term result.
PMID- 24930253
TI - Shall I go digital?
AB - Dental radiographic imaging is slowly transferring to digital format. The
decision to invest in this new technology should be based on a good understanding
of the different types of digital imaging available within the dental field. This
article outlines its use in general dental practice, highlighting the pros and
cons of the various systems both for intra-oral and extra-oral radiography.
CLINICAL RELEVANCE: An understanding of the mechanisms of digital imaging and
their associated potential problems are required by any clinician moving to film
less imaging.
PMID- 24930254
TI - Simplified basic periodontal examination (BPE) in children and adolescents: a
guide for general dental practitioners.
AB - Dental plaque-induced periodontal diseases are common in children and adults.
Guidelines were previously not available for the periodontal screening of under
18s. However, new guidelines have been introduced by the British Society of
Periodontology and the British Society of Paediatric Dentistry which set out
recommendations for the periodontal screening and management of under 18s in
primary dental care. This article provides a practical guide for general dental
practitioners on how to use the BPE in children and adolescents, and highlights
the importance of early detection and management of periodontal diseases in this
age group. A failure to use the modified BPE in a young patient who is later
diagnosed with periodontitis may leave a dentist vulnerable to a medico-legal
complaint or claim. CLINICAL RELEVANCE: New BPE guidelines for children and
adolescents have been introduced by the BSPD and BSP; it is important that all
dentists are aware of these guidelines and how to implement them in general
practice.
PMID- 24930255
TI - The management of risk. Part 3: Recording your way out of trouble.
AB - Clinical and ethical risk management requires not only the correct treatment
being provided, and appropriate consent obtained, but also good records of that
treatment. This third article of the series describes the characteristics of good
records and their role in helping to prevent the progression of complaints,
General Dental Council (GDC) cases and legal claims. CLINICAL RELEVANCE: Good
records are of fundamental importance in managing risk and preventing and
resolving complaints and legal claims.
PMID- 24930256
TI - What steroid supplementation is required for a patient with primary adrenal
insufficiency undergoing a dental procedure?
AB - Patients with primary adrenal insufficiency (Addison's disease) lack the
endogenous steroid hormones cortisol and aldosterone and require daily steroid
therapy (usually hydrocortisone and fludrocortisone) to replace them. These
patients are unable to adapt physiologically to stress and may need supplemental
steroid therapy when having dental procedures, to prevent adrenal crisis. This
paper provides guidance on dental procedures for which steroid supplementation
may be required in patients with primary adrenal insufficiency and gives advice
on doses and timing of supplementation. It does not address the management of
patients with secondary adrenal insufficiency caused by long-term use of high
doses of steroids. This document is for guidance only. Patients with primary
adrenal insufficiency should be assessed individually as steroid requirements
will vary. CLINICAL RELEVANCE: Although patients with primary adrenal
insufficiency (Addison's disease) are invariably very well informed about their
steroid requirements prior to a dental procedure, dental staff should have an
understanding of the steroid supplementation that may be required.
PMID- 24930257
TI - Quality of life issues in head and neck cancer.
AB - Head and neck cancer (HNC) constitutes approximately 3% of all cancers in the UK,
with in excess of 8500 new cases annually. Management of HNC depends on site,
extent, histology, previous medical history and patient choice. A
multidisciplinary approach is required to optimize patient wellbeing, owing to
the significant functional and psychosocial implications that can impact on
quality of life. Members of the dental team, to include the general dental
practitioner, have a key role in patient care; therefore the dental team should
be knowledgeable in the short-term and longer-term implications and how this
impacts on quality of life. CLINICAL RELEVANCE: This article offers the dental
team with an overview of how HNC and the various treatments, such as surgery,
radiotherapy and chemotherapy, impact upon quality of life, both in the short
term and longer-term.
PMID- 24930258
TI - Bizarre tooth surface loss and the Miswak stick.
AB - Tooth surface loss can present in a variety of ways, some of which can appear
rather strange on first examination. This case report demonstrates an unusual
presentation of tooth surface loss (TSL) and its subsequent treatment. This loss
of hard dental tissue appeared to be affecting the whole of the patient's
remaining dentition, both lingually and buccally. Detailed questioning revealed
the origins of this problem which turned out to be due to excessive use of an
intra-oral Miswak chewing stick. Cinical Relevance: This article will enable
clinicians to understand the importance of specific, targeted history-taking,
involving a rare case of tooth surface loss as well as the use of minimally
destructive restoration composites and a fibre-reinforced composite bridge.
PMID- 24930259
TI - How good are our impressions? An audit of alginate impression quality in the
production of removable prostheses.
AB - Impressions are taken regularly in practice giving vital information to the
dental laboratory, but are there quality assurance systems in place to make sure
that they are up to a sufficient standard? As dental professionals we have to
appreciate that dental technicians can only work with the information given to
them. This makes the skill of taking a good impression vital in order for us as
clinicians to provide prostheses of good quality. This paper outlines an audit of
alginate impressions and their quality in the making of removable prostheses.
CLINICAL RELEVANCE: To record the quality of impression taking, and how one's own
ability to critique an impression may differ from that of our colleagues.
PMID- 24930260
TI - Oral medicine: 17. Radiolucencies and radio-opacities. D. Antral disease.
PMID- 24930261
TI - Dental materials--what goes where? A reply to the current status of glass
ionomers.
PMID- 24930262
TI - Author's reply: To PMID 24597029.
PMID- 24930263
TI - What makes a good dentist? A pilot study.
PMID- 24930264
TI - How many of our patients can really give consent?--A perspective on the relevance
of the Mental Capacity Act to dentistry.
PMID- 24930266
TI - Options for temporization in the aesthetic zone after implant fixture placement.
PMID- 24930267
TI - The benefits of international university partnerships: the perspectives of a
Canadian faculty member.
PMID- 24930268
TI - [Perception of fathers as for their involvement in activities with their
children].
AB - This is an exploratory, descriptive study with a quantitative approach and the
aim to identzfy the perception of fathers regarding their children's needs;
strategies used by fathers to get closer to their children as well as to analyze
the influence of household chores and children's education in their fathers'
lives. Study subjects were 92 men with six-year-old children, residing in the
city of Rio Grande, state of Rio Grande do Sul (RS). The results revealed fathers
who are more engaged in activities with their children, valuing confidence,
safety, as well as proximity to family as children's main needs, having
prioritized the progress children make as well as attention to listening and
conversation. Children's education does not aggregate more problems than they
imagined, managing to plan life the way they seek to. These findings show that,
in the sample studied, fathers have been able to develop more affectionate
actions aimed at being more involved with their children.
PMID- 24930269
TI - [Access to care for women during childbirth in university hospitals:
characterization and associated factors].
AB - This study aimed to analyze the socioeconomic, demographic, obstetric, neonatal
and healthcare factors associated with access to care by women during childbirth.
It was a transversal study conducted on puerperal women in two university
hospitals in the state of Parana, Brazil in 2011. Access to childbirth was
analyzed according to socioeconomic, demographic, obstetric, neonatal and
healthcare variables. The puerperal women were interviewed during
hospitalization, in the first 72 hours after birth. The data was analyzed by
relative frequencies and calculation of the chi2, considering a significant
association when p < or = 0.05. The overall rate of women referred to university
hospitals was 17.7%. A significant associated was found between access to
childbirth and residing in other cities; premature birth; underweight newborns;
complications in the current pregnancy and the use of ambulances. It was
concluded that the associated factors found in this study suggest that pregnant
women with some risk required referral to university hospitals.
PMID- 24930271
TI - [Young people's conception of HIV/AIDS and the use of condoms in sexual
intercourse].
AB - The aim of this study was to gather knowledge regarding the conception of young
people as for HIV/AIDS and the use of condoms in sexual intercourse. Survey
conducted in May, 2012, at a public school in the city of Joao Pessoa, Paraiba,
with eleven young people of both sexes. The chosen technique of investigation was
a semi-structured interview. Empirical data were organized according to
categorical content analysis, the following categories emerging: "AIDS: what
young people think", "AIDS prevention methods" and one subcategory "Trust in the
partner as a method for HIV/AIDS prevention". As observed, there is knowledge of
condom use as a preventive method against HIV/AIDS; trust in the partner and
faithfulness were also cited as preventive methods in both sexes. It is suggested
that, when investing in national and regional proposals, not only social
inequalities are to be considered but especially the local realities of different
young people in different national scenarios.
PMID- 24930270
TI - [Social support perceived by cancer patients and its relation with social and
demographic characteristics].
AB - To analyze the relation between social support and socio-demographic
characteristics of oncology patients. Transversal study, developed with oncology
patients living in the Ijut city, Rio Grande do Sul state, Brazil between July
and December 2012, the population was selected through convenience. For data
collection we used the Brazilian version of the Social Support Scale and the
Medical Outcomes Study data were analyzed with descriptive statistics resources
and analytical. Average scores on the dimensions were: 82.36 +/- 24.42 (positive
interaction), 85.39 +/- 19.81 (information), 87.98 +/- 18.68 (emotional support),
88.52 +/- 18.56 (material support) and 93.50 +/- 14.44 (affective support).
Evidences showed higher averages in male mulattos patients (p < 0.05). There was
a direct and growing relationship between per capita income, social support and
affective positive interaction. It was proven that patients receive social
support in all dimensions, with high scores, but with variations, considering the
characteristics of gender, civil status, educational level, per capita income and
race.
PMID- 24930272
TI - [Intervening conditions on governance of the nursing practice at an obstetrics
centre].
AB - Governance refers to all processes that grant nurses autonomy, control and
authority over the nursing practice. The aim of this study was to identify
intervening conditions on governance of nursing practice at an obstetrics centre.
This is a qualitative study based on the grounded theory method. Data were
collected between January and May 2013 by means of semi-structured interviews
with 27 participants of a university hospital in southern Brazil, divided into
four sampling groups. Data were analysed using open, axial and selective coding.
Governance is reinforced by experience and professional autonomy, coordination of
the care and management dimension, interpersonal communication, satisfaction and
engagement with the profession. It is limited by difficulties with interpersonal
relationships, work overload and precarious physical structure of the maternity
units. This study provides arguments for the discussion on improvements in
healthcare and the professional satisfaction of nurses and nursing teams.
PMID- 24930273
TI - [Occupational stressors among nurses working in urgent and emergency care units].
AB - The study aimed to assess occupational stressors among nurses working in urgent
and emergency care facilities. It is a descriptive research developed in two
public hospitals of different complexity degrees, with 49 nurses. Data were
collected from June to September 2011. The Bianchi's Stress Scale, which is
composed of six domains: Relationship, Unit functioning, Staff management,
Nursing care, Unit coordination, and Work conditions was used to assess
occupational stressors based on the regular activities performed by nurses. Data
were analyzed by using descriptive statistics and Mann Whitney-U test. For the
nurses working in the high complexity healthcare facility - hospital A the most
stressful domain was Nursing care, while for those professionals working in the
medium complexity healthcare facility - hospital B, Staff management was the most
stressful domain. The nurses from hospital A perceived care-related activities as
more stressful, while for those in hospital B administrative activities were
considered more stressful.
PMID- 24930274
TI - [Gestational weight gain and nutritional state of the newborn: a descriptive
study].
AB - The objective was to characterize puerperal women in relation to gestational
weight gain and their newborns in accordance with the nutritional state at birth.
This is a descriptive, quantitative and retrospective study approved by the
Ethics Committee at the institution responsible. The collection of data was from
December 2012 to May 2013. The sample was composed of 24 puerperal women and
their children. The participants presented an average age of 26.5 (DP=5.4) years,
79.2% white; 91.7% married; 58.3% multiparous; 75% with a level of education
between secondary school and higher education; 58.3% with a low family income;
54.1% presented an altered pre-gestational nutritional state and 75% obtained an
inadequate gestational weight gain. 79.2% of the newborns were classified as
Adequate for Gestational Age (AIG). The newborns classified as Large for
Gestational Age (GIG) were from pregnant women that had excessive weight gain or
were overweight. It was concluded that health professionals should be attentive
to nutritional deviations with the intention of avoiding complications for
maternal/fetal health.
PMID- 24930275
TI - [Hand hygiene in hospital environments: use of conformity indicators].
AB - An exploratory descriptive study with a quantitative approach whose objective was
to use indicators to evaluate the frequency and infrastructure for hand hygiene,
as well as the nursing team's knowledge about the subject. Systematized
observation was carried out at hospital in the state of Sao Paulo, Brazil of the
routine activities of 33 participating professionals (nurses and technicians) as
well as the application of an individual questionnaire about the subject. 1206
opportunities for hand hygiene were identified, though it was effected in only
481 (39.9%) of them. Alcohol solution was not used at any opportunity. The
infrastructure indicatorfor hand hygiene was close to the ideal value (83.30%).
The professionals reported a high frequency of hand hygiene, demonstrating
knowledge in relation to its importance, yet contradicting the findings of the
observation. It was concluded that, despite the adequate infrastructure, hand
hygiene was below that expected, requiring actions and strategies to overcomes
these barrier and increase the use of alcohol solution.
PMID- 24930276
TI - [Impact of vaccination in the reduction of hepatitis B in Parana].
AB - This study identified the impact of hepatitis B vaccine over reducing incidence
of this disease in Parana State, between 2001 and 2011, and discussed the role of
nursing in immunization. Descriptive documental and quantitative research.
Utilized secondary data of hepatitis B, between 2001 and 2011 and vaccination
coverage of hepatitis B vaccine between 1995 and 2011 in Parana State, available
in DATASUS, SINAN and Immunization Programs. Data has been collectedfrom May to
July 2012. Included cases of hepatitis B confirmed by laboratory testing. Of the
14,434 selected cases, 81.8% was in urban residents, 86.5% belonged to 20 to 59
age group and 45.3% were infected by sexual transmission. In the correlation of
vaccine coverage with the incidence, was identified reducing this rate in the
range of 0 to 9 years old, in places with vaccination coverage's above 95%. It
concludes that hepatitis B vaccination had impact over disease reduction in
Parana State.
PMID- 24930277
TI - [Process of training and insertion in the labor market: a vision of nursing
graduates].
AB - Study to analyze nursing graduates' perception about the contribution of the
training process in its insertion in the labour market. Descriptive exploratory
study, a qualitative approach. The data was collected in 2011 through
semistructured interviews. Attended by 15 professionals, graduated from the
Nursing Course at a South Brazilian university in 2009-2010, inserted in the
labour market. Data was analysed according to Minayo's proposals. From this
analysis emerged the category Training route, including the subcategory:
following the travel itineray. The results demonstrate the need for curricular
integration, better use of clinical practices experiences, research valorization,
and the importance of training based on dialogue between students and professors.
PMID- 24930278
TI - [Nursing students and mental health education in primary care].
AB - The University of Sao Paulo School of Nursing (EEUSP) went through a period of
transition from undergraduate syllabus between the years 2009 and 2010. This
change was made to integrate basic and clinical cycles and to reduce
fragmentation of the disciplines. The mental health nursing education was
included in many modules including the primary care. This qualitative study aimed
to identify how the service offered to people with mental illness was performed
by 20 undergraduate students in the context of primary care and how they were
prepared. Data collection was conducted through semi-structured interviews, in
August 2012, in EEUSP After thematic analysis, we separated in categories:
Teaching-learning process, Basic Health Unit and Mental health-illness process.
The socially constructed conception of madness added to the problems related to
academic training may result in lack of preparation in nursing mental health
care.
PMID- 24930279
TI - [Nursing students' perception of the learning process in a hospital setting].
AB - The aim of this study was to identijf how nursing students perceive and
experience the learning process during curricular practice in a hospital setting.
A qualitative, retrospective, documentary study was developed in an undergraduate
nursing course. Data were comprised of 162 posts made by 34 students in the
online discussion forum of the Learning Management System Moodle, during the
first half of 2011. The following themes emergedfrom t he thematic content
analysis: "nursing students' understanding about the professional practice," and
"the teaching and learning process in the perspective of nursing students." The
study demonstrated that the forum was a place for reporting experiences such as
the description of the physical area, performing procedures, perception of
nursing care activities, conJlicts with peers, coping with death and learning
evaluation. The online discussion forum needs to be used by professors as a space
of interaction so as to contribute to professional training.
PMID- 24930280
TI - [Profile of patients seen at an outpatient hypertension facility: are there
gender differences?].
AB - The objective of this study was to find out whether there are gender dierences
regarding socio-demographic characteristics and associated risk factors in adults
monitored in an outpatient hypertension facility. Retrospective analysis of
records of patients seen at the outpatient hypertension clinic in 2009-2010, in a
hospital specialized in cardiology, in southern Brazil. All patients were
beneficiaries from the Brazilian Unified Healthcare System (SUS). The variables
considered were socio-demographicprofile, anthropometric measurements and risk
factors for hypertension. For statistical analysis, a signficance level of 5% (p
< 0.05) was adopted. Of the 209 patient records assessed most belonged to female
patients (66%), 65.9% of them were married 71.6% were white, with mean age 53.5
+/- 13.8 years, and 70.1% had a sedentary behavior Regarding risk factors, waist
circumference and alcohol use were higher among men (p = 0.04). It was concluded
that there was no gender difference concerning the assessed variables, except for
the use of alcohol.
PMID- 24930281
TI - [Planning nursing care in oncology: study of the structure of social
representations of nurses].
AB - Characterize the social representations of nurses regarding the planning of
nursing care for people with cancer, by determining the central nucleus and of
the peripheral system. Qualitative study conducted in a specialized hospital in
Salvador, Bahia, between July 2008 and March 2009. Data collection was made by
free association of words, with forty-one nurses. The data were processed by the
software Ensemble de Programmes Permettant L'analyse des Evocations and analyzed
according to the Theory of Social Representations. The results indicated the
following central elements: humanization, care, organization, individualizing and
suffering. In the peripheral system, professional and personal attitudinal
elements necessary for the planning were observed: skill, knowledge on the
disease, family care, respect and sensitivity. It is concluded that care planning
is linked to the peculiarities of the individual with cancer, and requires that
nurses have knowledge and skills necessary to prioritize actions and ensure the
quality of care.
PMID- 24930282
TI - [Quality of life and living conditions from the viewpoint of residents in a
seniors condominium].
AB - The aim of the study was to understand how residents of a condominium Aging
realize the quality and conditions of life in this new housing modality. This is
an exploratory qualitative study of 20 elderly residents of the condominium
Maringa. Data were collected in February 2012 in the household were
semistructured and subjected to content analysis interviews. The results indicate
the factors valued by the elderly in their perception of quality of life such as
independence, autonomy, having an occupation, developing leisure and acceptance
of the aging process activities. Also valued the structure and characteristics of
this housing method due to the possibility of social interaction. We conclude
that the identification of these aspects allows the planning of strategies for
integrated care for the elderly and it is suggested that nurses recognize and
value the aspects highlighted in the planning of care with elderly people in
general.
PMID- 24930283
TI - [Time for cleaning and room preparation: connection between surgery size and
professional perspectives].
AB - The objective was to verify the association between time needed for room cleaning
(TLPS) and the surgery size, and related advantages and difficulties faced by the
circulator of the room asszgned to this task. A mixed method, with a transverse
quantitative, retrospective approach, using a sample of 3095 surgeries
performed,from January to June 2011, and a qualitative approach using a Thematic
Content Analysis of statements from 11 circulators, was used. The average TLPS
was smaller in size 1 surgeries, increasing in sizes 2, 3 and 4, with a
significant difference. Advantages reported included organization and size of
staff and difficulties reported related to sharp, bladed materials mixed with
surgical instruments and a reduced number of cleaning professionals. The larger
the size, the higher the TLPS. Surgical teams operating in the Surgical Center
interfere directly in the process, facilitating or hindering the achievement of
institutional goals related to quality and productivity.
PMID- 24930284
TI - [Matrix support work: difficulties in the scope of basic healthcare].
AB - Qualitative research under the analysis of contents, thematic modality, aimed to
identify the difficulties lived by the matricial supporter in its practice in the
Primary Health Care. The scenery of the study were six units of family health
located in one of the five Sanitary Districts of Joao Pessoa-PB. The data
collection was performed from August to September 2010, through semi directed
interviews, in which ten professionals who worked as matricial supporters
participated. According to the speeches the difficulties faced relate to the
ignorance of some professionals of the health team toward the function of the
matricial supporter in the Basic Health Attention; lack of autonomy and
administrative overload. In this sense, it is suggested that a process of
reflection about the work of the matricial supporter with the health team aiming
to acknowledge which contribution of this professional in the reorganization of
the work of the team of basic attention.
PMID- 24930285
TI - [Validation of the comprehensiveness of an instrument on ethical problems in
primary care].
AB - The study aimed to validate the comprehensiveness of an instrument on the
occurrence of ethical problems in primary health care and discuss their results.
This is a methodological research. The technique used was Delphi, which seeks to
obtain a consensus on a subject by experts, using structured questionnaires that
are modified to obtain consensus on the comprehensibility of content. The sample
was composed by nine professionals with expertise in primary health care in Sao
Leopoldo. Data collection was in March and April 2011. In the first round, the
professional was asked to respond whether the described situation has represented
an ethical problem, whether the statement was clear and whether there was any
suggestion to rewriting the problem. Were presented thirty-six statements, and to
twenty was suggested new rewrite. After the review and systematization the
proposed amendments, has begun the second round to seek consensus among all the
statements that its writing had changed. In the second round, the consensus
required by the method was obtained. Using the method supported significantly to
build the instrument in its initial stage, what makes it appropriated and
comprehensive for the subsequent steps of the validation.
PMID- 24930286
TI - [Home childbirth: progress or retrocession?].
AB - Giving birth at home represents a rising modality of delivery care in the
Brazilian society, although in unrepresentative proportion when compared to the
number of hospital childbirths. In Brazil, the topic has been broadly discussed
by different professional categories, highlighting the safety issue involved in
the process. The aim of this theoretical and reflective study was to present a
brief overview of the overall care related to home childbirth, also questioning
the reality of the contemporary Brazilian obstetric scenario. The scientific
literature presents both obstetric and neonatal outcomes as favorable to home
childbirth; similar risks when compared to hospital childbirth and higher rates
of maternal satisfaction, and these both factors justify its practice. Therefore,
a movement of women who are deeply unhappy with the current model of obstetric
care is currently observed and they have been opting for home childbirth as a
response to institutional violence,fragmentation and depersonalization of
hospital care.
PMID- 24930287
TI - [The educational practice of preceptors in healthcare residencies: a study on
reflective practice].
AB - The purpose of this article was to reflect on thepractice of preceptorship as an
educational practice in the training and qualifications of professional health
information for the public health system. This is a theoretical reflection with
support in the literature. The teacher addresses the teaching-learning process
and transforms the activities in the work on educational moments. In this area
arise questions about what is being preceptor and their role in health education.
It is necessary to teach knowledge beyond the content of the discipline, and
reflecting about preceptorship as an educational practice in the workplace, the
preceptor needs pedagogical preparation. Herewith, being a preceptor means being
a teacher? This reflection places us in front of a problem present in our daily
exercise, which is the pedagogical training of those who teach for a
transformation of practice in health.
PMID- 24930288
TI - [After cross-country motorcycle racing. Traumatic dislocation of thoracic
vertebrae].
PMID- 24930289
TI - [Aichach Center of General Medicine. 4-day week thanks to cooperation].
PMID- 24930290
TI - [How can verbal consultations be calculated according to GOA?].
PMID- 24930291
TI - [Advantages of the new family practitioner EBM. Fee schedules are camouflage
hoods for your performance].
PMID- 24930292
TI - [Blood glucose determination. Nothing but aggravation with the new glucose
tubes].
PMID- 24930293
TI - [Physician assisted suicide: medical law and ethics in rare harmony. Hastening
the parting - may the physician do this?].
PMID- 24930294
TI - [Patient rights law. This you should know!].
PMID- 24930296
TI - [Naturopathy consultation. Onset of a common cold: what helps? (interview by
Brigitte Moreano)].
PMID- 24930295
TI - [Fear of heights? Acrophobia can be overcome (interview by Dr. Christine
Starostzik)].
PMID- 24930297
TI - [When should a patient consult a nephrologist? The proteins are important].
PMID- 24930298
TI - [Acute cholecystitis. Better chances thanks to early operation].
PMID- 24930299
TI - [Uncomplicated cystitis in the woman. The clinical picture is the best
indicator].
PMID- 24930300
TI - [The number of community acquired infections rises. MRSA: risk from waste
slurry].
PMID- 24930301
TI - [Joint prostheses protect against cardiovascular complications].
PMID- 24930302
TI - [Physician intuition is superior to risk calculation].
PMID- 24930303
TI - [When the mother sings and speaks, premature infants show better development].
PMID- 24930304
TI - [Acute blood pressure decrease in stroke has no advantage].
PMID- 24930305
TI - [Regular breakfast lowers cardiovascular risk].
PMID- 24930306
TI - [Lifestyle changes body and mind].
PMID- 24930307
TI - [Diet and mental disorders].
PMID- 24930308
TI - [Physical activity in mental disorders].
PMID- 24930309
TI - [Acute exacerbation of COPD].
PMID- 24930310
TI - [After-care in general practice after lower intestinal resection].
PMID- 24930311
TI - [Health and longevity by one tablet daily. Fiction and hard facts on the
polypill].
PMID- 24930312
TI - [Environmental factors in the pathogenesis of diabetes mellitus].
PMID- 24930313
TI - [Rhinitis and rhinosinusitis. Phytotherapy: avoiding resistance].
PMID- 24930314
TI - [The vertigo challenge. The pathway to correct diagnosis].
PMID- 24930315
TI - [Strontium ranelate. Long-term control of fractures over 10 years].
PMID- 24930316
TI - [Cancer through the handling of biological agents].
AB - In March 2012, the International Agency for Research on Cancer (IARC), an agency
of the World Health Organization (WHO) issued a list. It lists 108 agents for
which there is sufficient evidence of a carcinogenic effect in humans, depending
on the cancer site. The vast majority of these actions can take place in the
workplace. What is new in the list, in addition to the long-known cancer-causing
chemical and physical agents now biomaterials have been added, such as hepatitis
B virus, hepatitis C virus, Human papilloma virus, Helicobacter pylori. This
paper gives an overview on the basis of identifying carcinogenic agents and can
be displayed in certain cancer site and occupational exposure occupational
diseases according to the occupational disease regulation.
PMID- 24930317
TI - [Insulin degludec--a new basal insulin for the treatment of type 1 and type 2
diabetes].
AB - After subcutaneous injection, IDeg self-associates to form multihexamer chains
that slowly dissociate into monomers. This results in a duration of action of
more than 42 hours as well as a smooth level action profile with low intra
individual variability. Pharmacokinetic studies foun IDeg to have a half-life of
approximately 25 hours which is considerably longer than that from other current
insulin formulations. Based on these properties, IDeg demonstrated low risk for
nocturnal hypoglycaemic events in the clinical study program. Concurrently, phase
3 studies have provided evidence for a non-inferior glucose lowering effect when
compared to other currently available basal insulin formulations. Moreover, the
long duration of action suggests a flexible handling which could be better
adapted to patients' needs in daily routine. This article gives an overview of
the mechanism of action of IDeg and the latest results from phase 2 and phase 3
studies.
PMID- 24930318
TI - [Differential diagnosis and treatment of cramps].
AB - Cramps are painful sensations caused by intense involuntary contractions of
skeletal muscles, mostly in the calves, usually lasting from a few seconds to
several minutes. Although cramps are mostly idiopathic, theycan bea symptom of
other forms of myalgia, restless legs syndrome or spasticity. Especially
nocturnal cramps can cause considerable distress for patients requiring fast pain
reduction and effective prophylaxis. Stretching the calf muscles helps preventing
nocturnal cramps. Pharmacological treatment of leg cramps includes magnesium and
quinine.
PMID- 24930319
TI - [Long-term treatment of chronic pain with low-dose 7-day buprenorphine
transdermal patch. Observational data from elderly patients of pain relief and
quality of life].
AB - BACKGROUND: Six-months analysis of non-interventionally collected observation
data of effectiveness of long-term treatment with low-dose 7-day buprenorphine
transdermal patch in elderly patients with chronic pain. METHODS: Analysis of
data regarding pain intensity, pain-related impairments of daily life and quality
of life documented by 321 pain patients in German primary care (age 72.4 +/- 13.8
years; 67.3% female; musculoskeletal pain 85.4%; mean pain intensity 6.1 +/- 1.2,
for 90% > or = 5 NRS11) using standardised self-report instruments (German Pain
Questionnaire/German Pain Diary). RESULTS: After initiation with 5/10 microg/h
buprenorphine (89.7%/10.3%), treatment was maintained in 57.1/39.1/3.8% patients
with stable doses of 5/10/20 microg/h after 6 months. The average pain intensity
decreased by 5.1 +/- 1.0 (absolute) to 1.0 +/- 1.0 NRS11 (83.5%), pain-related
impairments and burden of pain were reduced by 86.0% and 87.9%, respectively, and
pain-related quality of life improved by 97.3% to nearly normalvalues.
CONCLUSIONS: Pain treatment of elderly persons with 7-day low-dose transdermal
buprenorphine patch on a stable dose regimen resulted in fast, effective and
sustained pain relief accompanied by marked improvements in daily life
participation and quality of life.
PMID- 24930320
TI - [Recreational athletes and doping--a survey in 11 gyms in the area of
Frankfurt/Main].
AB - BACKGROUND: Doping no longer concerns exclusively competitive sports, but also
recreational sports. METHOD: Survey of 484 recreational athletes in 11 gyms in
the area of Frankfurt/Main. RESULTS: 12.9% of the men and 3.6% of the women
reported to take anabolic drugs. Theyconsumed anabolic steroids (100%; 35% p.o.,
71% parenterally), stimulants (14%) and growth hormone (5%). Suppliers were
friends (39%), sports mates (28%), physicians (28%) and coaches (6%). The
acquisition costs amounted to an average intake over 9 weeks to 175 Euro.
Information about doping side effects came from literature (67%), physicians
(38%), sports mates and the so-called Black Book (14% respectively), coaches,
friends and Internet (5% respectively). 2% of the athletes with abuse of doping
substances were smokers, 11% had a drink several times a week, 3% also consumed
other drugs, 35% had consumed other drugs in the past. Abusers of doping
substances primarily intended to increase muscle size (86%) and strength (61%).
CONCLUSION: From a sports medical point of view it is concerning that the
proportion of doping drugs prescribed by physicians has doubled in the decade
after the publication of the predecessor study in Northern Germany despite
optimized sports medical and legal education measures.
PMID- 24930321
TI - [Palliative home care in Westfalia-Lippe--baseline study 12 and 36 months after
coming into effect of the "agreement to the implementation of ambulant home
palliative careforterminally ill patients"].
AB - BACKGROUND: On 2009-04-01 the Association of Statutory Health Insurance
Physicians Westfalia-Lippe and health insurance organizations made an agreement
to implement palliative home care for terminally ill patients. Based on this
agreement, family doctors and palliativecardoctorscooperate,supported by
coordinators. METHOD: 12 and 36 months after coming into effect of the agreement
a questionnaire was sent to the regional palliative care networks to collect data
about supply structure, number of patients and their place of death. RESULTS: In
the year 2011 85,410 people died in Westfalia-Lippe, 9.0% of them were included
in palliative care structures. 69.5% of the included patients died at home, 9.9%
in hospital (in 2010: 68.7% at home, 14.7% in hospital). A correlation between
the population density or the number of included patients per palliative
networkcould not be detected. CONCLUSION: Low-threshold access to palliative care
networks(bothfamilydoctorand patientcancontact the palliative care team at any
time) improves ambulant palliative care. Non-bureaucratic change from general
home palliative care (German abbreviation: AAPV) to specialized home palliative
care (SAPV) has proven successful in Westfalia-Lippe. Well-trained and
experienced coordinators guarantee multidisciplinary and multiprofessional
working of palliative care teams. In order to enhance palliative care in
Westfalia-Lippe, data for quality assurance should be defined, periodically
collected and evaluated in the future.
PMID- 24930322
TI - [Innovative wound care--new studies to increase evidence].
AB - BACKGROUND: Today numerous products for wound care are available. A research
based evidence on which the clinician can base its selection, is still missing.
In the guidelines randomized controlled trials (RCTs) have been left out. METHOD:
In the overview three current RCT with products for the treatment of chronically
venous ulcers will be presented. RESULTS AND CONCLUSIONS: The pre-mentioned
studies show, that it is possible to provide a basis for evidence-based treatment
in wound healing. The effective value ofa wound treatment based on the costs and
benefits must be defined by the health system. But products, for which data from
randomized trials exist, should be evaluated in a different way to products, for
which there are no such data.
PMID- 24930323
TI - [Pain treatment decisions--relevance of health-related quality of life in family
medicine].
AB - BACKGROUND: The reduction of pain is no longer regarded as the predominant
objective of pain therapy. Pain-related impairments in quality of life or daily
activities, and anxietyand depression--all of which worsen with progressing pain
chronification--increasingly gain importance. Impairments in quality of life in
particular often have a greater impact on patients afflicted by pain than by
other chronic diseases. METHOD: The present overview explains the importance of
the parameter health-related quality of life in the treatment of pain patients in
family medicine; in addition, a variety of tools forthe initial and follow-up
assessment of pain and pain-related impairments are introduced. RESULTS AND
CONCLUSION: In addition to a mandatory comprehensive pain diagnostic work-up,
pain-related restrictions in daily activities and in quality of life should be
documented in primary care facilities and considered in pain management
approaches. In a multimodal therapy setting, family practitioners have a key role
in thediagnosis of pain and in particularalso in the diagnosis of additional
symptoms and impairments accompanying pain.
PMID- 24930324
TI - [Influence of tapentadol retard on functional parameters in patients with severe
chronic pain--is there a benefit in daily life?].
AB - BACKGROUND: A patient-orientated therapy management for patients with severe
chronic pain includes effective analgesia, improvement of health related quality
of life and improvement of functionality. PATIENTS AND METHOD: In this
prospective, non-interventional trial in 630 patients with severe chronic pain
mostly due to chronic low back pain or gonarthrosis, treatment with tapentadol
retard was evaluated with respect to its effects on analgesia, functionality and
quality of life. Collected data included pain intensity, painDETECT,SF-12, FFbH-R
and FFbH-OA. RESULTS: Mean pain intensity decreased significantly (NRS-3: -3.2
points, p < or = 0.001) and in 47.9% of the patients the mean pain intensity was
reduced by at least 50%. Health-related quality of life and functionality
improved significantly. Tolerability was good. The known tolerability profile of
tapentadol retard could be confirmed. CONCLUSION: In this study in real-life
setting tapentadol was effective in terms of analgesic effect but also in terms
of improvementof health-related quality of life and functionality, leading to a
benefit for the patient in daily life.
PMID- 24930325
TI - [Physician's role in "medical drama" pitfall? Reflection of stereotypical images
of doctors in context of contemporary doctor's series].
AB - In contemporary U.S. doctor's series, the characters are usually represented by
good-looking or typical character actors. The aim of our pilot study was to
investigate whether the long-term impact of this format on German television
viewers could have an influence on the choice of doctor in Germany. Two different
groups of people anticipating TV consumption patterns were questioned: a first
group of younger adults who knew theTV series was asked to judge their doctor
choice using a web-based survey tool with respect to three criteria (sympathy,
expertise and own treatment preference). The second group of adults beyond the
40th year of life who need not know theTV series were shown photos of the serial
figures. Study participants should select the "doctor" of which they would most
likely want to be treated and this based on two predetermined reasons (sympathy
or expertise). Our results indicate that stereotypical images of doctors found
high approval only in the first group of people, while the participants in the
second group decided in majorityfora more realistic representation of average
appearance.
PMID- 24930326
TI - [Atrial fibrillation and regular tachycardia in two young patients--are both
treated with atrial fibrillation ablation?].
AB - Two young patients with documented episodes of symptomatic paroxysmal atrial
fibrillation have been referred for pulmonary vein isolation. Both patients had a
history of concomitant regular tachycardia. in both cases pulmonaryvein isolation
has not been performed but in each patient a supraventricular tachycardia (right
focal atrial tachycardia/atrioventricular reentry tachycardia) could be induced
and ablated instead. Concomitant supraventricular tachycardia acting as a trigger
arrhythmia is frequent in young patients with atrial fibrillation without
underlying cardiacdisease. In these patients a concomitant supra-ventricular
tachycardia should beexcluded by electrophysiological study or ablated before
pulmonary vein isolation or initiating an antiarrhythmic drug therapy. In most
cases ablation of supraventricular tachycardia is safe and successful whereas the
risks of ablative therapy of atrial fibrillation can not be neglected and success
is moderate.
PMID- 24930327
TI - [Vacuum shoe system vs.forefoot offloading shoe for the management of metatarsal
fractures. A prospective, randomized trial].
AB - BACKGROUND: Metatarsal fractures are managed using different types of forefoot
offloading orthosis. Theaim of this prospective study was to evaluate the
clinical and pedographic results of a vacuum shoe system in comparison to a
forefoot unloading shoe. METHOD: 20 patients (14 women/6 men--age: 36.4 +/- 14.1
years) were prospectively included in the study. The patients were followed up at
four different time points. Detailed clinical and radiological examinations were
carried out, functional scores were measured and a pedographic assessment was
performed. RESULTS: Between the study groups no differences were seen in
functional scoring. A complete bony healing was achieved within the 3 months of
followup in all patients. The heelstrike to heelstrike time was without
significant differences in the pedographic analysis. Adequate forefoot unloading
was achieved with both orthosis. The load sharing between fore-, mid- and
hindfoot showed no significant differences in the pedographic analysis. Patients'
satisfaction was rated with higher values for the vacuum shoe system, but without
significance. CONCLUSION: Both shoe systems show an adequate unloading of the
forefoot. Therefore both orthosis may be used for the treatment of metatarsal
fractures.
PMID- 24930328
TI - [Saccharomyces boulardii in the treatment and prevention of antibiotic-associated
diarrhea].
AB - BACKGROUND: Antibiotic-associated diarrhea (AAD) is the most frequent side effect
of antibiotic therapy. Clinical signs and symptoms comprise mild and self
limiting courses of diarrhea as well as life threatening courses like
pseudomembranous colitis or toxic megacolon. Therapy is symptomatic,
antidiarrheal drugs like Saccharomyces boulardii are the therapy of choice.
METHOD: Available studies on S. boulardii in the prevention of AAD are presented
as a review. RESULTS: In 14 out of 17 studies including 4,627 patients the
administration of S. boulardii achieved a protective effect between 43.7% and
87.3%. A meta-analysis (5 studies, 1,076 patients) showed a significant reduction
of the risk to develop an AAD from 17.2% to 6.7%,in a furthermeta-analysis (4
studies on eradication of H. pylori, 1,215 patients) the significant
reductionwasfrom 12.2% to 5.6%. CONCLUSIONS: There is very good evidence for the
yeast S. boulardii to be effective in the prevention of AAD especially in
hospitalized adults. The simultaneous administration of S. boulardii to
antibiotics resulted in a significant reduction to develop AAD by more than half.
PMID- 24930329
TI - [Oral osmotic hydromorphone (OROS) in patients with chronic severe pain due to
osteoarthritis under daily routine conditions].
AB - OBJECTIVE: Objective of this prospective, non-interventional study was to obtain
data under a therapy with oral osmotic hydromorphone (OROS) in patients with
chronic severe pain due to osteoarthritis under daily routineconditions. METHOD:
Using the Brief Pain Inventory (BPI) patients assessed pain relief as well as the
impact of pain on activities of daily living. Pain control, treatment
satisfaction (by patient and investigator), physical therapy capability and the
WOMAC-Index (Western Ontario and McMaster Universities Osteoarthritis) were
additionally evaluated. Adverse events were continuously monitored throughout the
study. RESULTS: 206 patients with chronic severe pain due to osteoarthritis and
an initial pain intensity of 6 (NRS 0-10) received oral OROS-hydromorphone for
three months. Under this treatment pain relief as well as the impact of pain on
activities of daily living improved significantly. At the last examination, the
patients reported a mean pain reduction of 2.5 (rest)/3.0 (movement) by day and
of 2.6 (rest)/3.1 (movement) bynight (p < 0.0001). The very good pain control was
accompanied by a high treatment satisfaction and an improved sleep quality.
Physical therapy capability improved in 77.9% of the patients, the WOMAC index as
indicator of pain and function in osteoarthritis decreased significantly from
13.3 (baseline) to 7.5 (V6). The most frequently reported adverse events were
obstipation, nausea, dizziness and fatigue. 17.5% of the patients cut the study
short because of adverse events. CONCLUSION: The treatment of patients with
chronic pain due to osteoarthritis with oral osmotic hydromorphone resulted in a
significant reduction of all documented pain related assessments.
PMID- 24930330
TI - [Patient with bladder catheter. Why is the urine turning purple? Purple urine bag
syndrome].
PMID- 24930331
TI - [Satellite practice can also be approved for office wait time].
PMID- 24930332
TI - [Proper fee claims for palliative medicine care].
PMID- 24930333
TI - [The new laboratory compendium by the KBV carries a significant regress
potential].
PMID- 24930334
TI - [Bomb simulation in nail care].
PMID- 24930335
TI - [Cooperation among medical specialties. Medicine is the responsibility of
physicians].
PMID- 24930336
TI - ["Turbo-Check-up" for 1,000 euros. Is this really of any value?].
PMID- 24930337
TI - [What helps control shoulder pain. Hot wraps - radiation - operation].
PMID- 24930338
TI - [Treatment refractory hypertension. When should an endocrine etiology be
considered?].
PMID- 24930339
TI - [Vital to the grave].
PMID- 24930340
TI - [Do acid blockers induced food allergies in children?].
PMID- 24930341
TI - [Gold rush in the knee].
PMID- 24930342
TI - [A stent in renal artery stenosis is not necessary].
PMID- 24930343
TI - [Livelong medical education].
PMID- 24930344
TI - [Vaccination recommendations].
PMID- 24930345
TI - [Update Rheumatoid Arthritis 2014].
PMID- 24930346
TI - [Hyper- and hypothyroidism].
PMID- 24930347
TI - [Osteoporosis in men].
PMID- 24930348
TI - [Treatment strategies atrial fibrillation].
PMID- 24930349
TI - [Early diagnosis of pulmonary diseases].
PMID- 24930350
TI - [Patient with fever].
PMID- 24930351
TI - [Multiple sclerosis: new treatment options].
PMID- 24930353
TI - [Placebo analgesia].
PMID- 24930352
TI - [National practice guideline therapy of type 2 diabetes].
PMID- 24930354
TI - Endoscopic treatment of a broken pancreatic stent.
PMID- 24930355
TI - Evaluation of anti-inflammatory activity of hydroethanolic extract of Dilodendron
bipinnatum Radlk.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Dilodendron bipinnatum Radlk. (Sapindaceae),
popularly known as "mulher-pobre", is a native tree of the Pantanal of Mato
Grosso, Brazil. The stem bark of Dilodendron bipinnatum is used by the
population, in the forms of decoction and maceration in the treatment of
inflammatory conditions. There is no information in the literature demonstrating
the anti-inflammatory activity of Dilodendron bipinnatum and its respective
mechanism of action. This study aimed to evaluate the anti-inflammatory activity
and mechanism of action of the hydroethanolic extract of the stem bark of
Dilodendron bipinnatum (HEDb) using in vivo and in vitro experimental models.
MATERIALS AND METHODS: The stem bark of Dilodendron bipinnatum was macerated in
70% hydroethanolic solution (1:3, w/v) for 7 days, filtered, concentrated on a
rotary evaporator and the residual solvent removed in oven at 40 degrees C, thus
obtaining HEDb. Cytotoxicity of HEDb in RAW 264.7 was assessed by the Alamar blue
assay. in vivo anti-inflammatory activity of HEDb was evaluated with carrageenan
and dextran-induced paw edemas and lipopolysaccharide (LPS)-induced peritonitis
in mice. Effects of HEDb on the inflammatory cytokines (TNF-alpha, IL-1beta and
IL-10) concentrations in the peritoneal fluid were evaluated using commercial
ELISA kits. The in vitro anti-inflammatory activity was evaluated using RAW 264.7
cells stimulated with LPS and/or INF-gamma, while a Griess method was employed to
determine nitric oxide (NO) concentrations in the peritoneal lavage and in the
supernatants of RAW 264.7 cells. Preliminary phytochemical analysis was carried
out using classical methods and secondary metabolites detected on HEDb were
analyzed and confirmed by high performance liquid chromatography (HPLC). RESULTS:
HEDb showed very low cytotoxicity with IC50>200+/-0.38 MUg/mL. HEDb effectively
inhibited paw edema by carrageenan in the 2nd hour at 20 mg/kg (36%, p<0.001),
and by dextran in the 1st hour at 100 mg/kg (46%, p<0.01), after induction with
the phlogistic agents. Furthermore, HEDb reduced total leukocytes and neutrophils
migration at all doses tested producing maximum effect at 20 mg/kg (45% and 64%,
p<0.001 respectively). HEDb also attenuated increases in the concentrations of
the pro-inflammatory cytokines (IL-1beta and TNF-alpha) and increased the level
of the anti-inflammatory cytokine IL-10 in the peritonitis model. However, it had
no effect on NO production in activated RAW 264.7 cells. Preliminary
phytochemical analysis revealed the presence of phenolic compounds, chalcones,
flavones, flavonones, flavonoids, saponins and coumarins. HPLC analyses
identified some tannins, with epigallocatechin gallate being the major compound.
CONCLUSIONS: Our findings provide evidence for the popular use of the stem bark
of Dilodendrum bipinnatum in inflammation. Its anti-inflammatory action was due,
at least in part, to the inhibition of cell migration, of the inflammatory
mediators and Th1 cytokines and an increase in Th2 cytokines, without affecting
NO pathway. It can be suggested that tannins account at least in part for the
anti-inflammatory activity of HEDb.
PMID- 24930356
TI - The effects of wine-processing on ascending and descending: The distribution of
flavonoids in rat tissues after oral administration of crude and wine-processed
Radix scutellariae.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Ascending and descending theory is a core
principle of traditional Chinese medicine (TCM) theories. It plays an essential
role in TCM clinical applications. Some TCM medicine has specific properties,
which could alter the inclination and direction of their actions. The properties
of the ascending and floating process of one herbal medicine are affected by
means of herb processing. Wine-processing, which is sauteing with rice wine, is
one of the most popular technologies of herb processing. Wine-processing
increases the inclination and direction of its actions, thereby producing or
strengthening their efficacy in cleaning the upper-energizer heat. Radix
scutellariae, the dried roots of Scutellaria baicalensis Georgi, is a well-known
TCM used for the treatment of inflammation, pyrexia, jaundice, etc. Recently,
wine-processed Radix scutellariae was normally applied in clinical studies for
the treatment of upper-energizer syndrome. In order to investigate the effects of
wine-processing on ascending and descending of Radix scutellariae, the
comparative study of distribution of flavonoids in rat tissues of triple
energizers (SanJiao-upper, middle, lower jiao) after oral administration of crude
and wine-processed Radix scutellariae aqueous extracts was carried out. MATERIALS
AND METHODS: The rats were randomly assigned to two groups and orally
administered with crude and wine-processed Radix scutellariae aqueous extracts,
respectively. At different pre-determined time points after administration, the
concentrations of compounds in rat tissue homogenate were determined, and the
main tissue pharmacokinetic parameters were investigated. Tissue pharmacokinetic
parameters including AUC0-t, t1/2, Tmax and Cmax were calculated using DAS 2.0.
An unpaired Student t-test was used to compare the differences in tissue
pharmacokinetic parameters between the two groups. All the results were expressed
as arithmetic mean+/-S.D. RESULTS: The parameters of Cmax and AUC0-t of some
flavonoids in wine-processed Radix scutellariae were remarkably increased
(p<0.05, p<0.01, p<0.001) in the rat upper-energizer tissues (lung and heart)
compared with those of the crude group. However, in the rat middle- and lower
energizer tissues (spleen, liver and kidney), the Cmax and AUC0-t of some
flavonoids were significantly decreased (p<0.05, p<0.01) compared with the crude
group. The main explanation for these differences seems to the effects of wine
processing on ascending and descending theory. CONCLUSIONS: All of these
differences in the distribution of triple energizers after oral administration of
crude and wine-processed Radix scutellariae aqueous extracts may lead to the
increase of efficacy on the upper-energizer tissues and were in compliance with
the ascending and descending theory. Therefore, wine-processing was recommended
when Radix scutellariae was used for cleaning the upper-energizer heat and
humidity. The obtained knowledge can be used to evaluate the impact of these
differences on the efficacy of both the drugs in clinical applications and might
be helpful in explaining the effects of wine-processing on ascending and
descending theory.
PMID- 24930357
TI - Calycosin-7-O-beta-D-glucoside regulates nitric oxide /caveolin-1/matrix
metalloproteinases pathway and protects blood-brain barrier integrity in
experimental cerebral ischemia-reperfusion injury.
AB - ETHNOPHARMACOLOGY RELEVANCE: Astragali Radix (AR) has been used for thousands
years to treat ischemic stroke. Calycosin and its glycoside form calycosin-7-O
beta-D-glucoside (CG) are two representative isoflavones in Astragali Radix.
However, its neurological effects and related molecular mechanisms are largely
unknown. The present study aims to evaluate the neuroprotective effects of CG on
blood-brain barrier (BBB) integrity of ischemic brain tissue and explore the
relevant signaling mechanisms. MATERIAL AND METHOD: Male adult Sprague-Daweley
rats were subjected to 2 h of middle cerebral artery occlusion (MCAO) plus 24 h
or 14 days of reperfusion. CG (26.8 mg/kg) was intraperitoneally administered
into the rats at 15 min before onset of ischemia. The neuroprotective effects of
CG were evaluated by measuring infarct volume, histological damage and BBB
permeability. Furthermore, the effects of CG on scavenging nitric oxide (NO), and
modulating matrix metalloproteinases (MMPs) and caveolin-1 (cav-1) were
investigated with in vitro cultured brain microvascular endothelial cells treated
with NO donor or oxygen-glucose deprivation (OGD) and/or in vivo rat model of
MCAO cerebral ischemia-reperfusion injury. RESULTS: CG treatment significantly
reduced infarct volume, histological damage and BBB permeability in the in vivo
MCAO ischemia-reperfusion rat model. CG treatment remarkably inhibited the
expression and activities of MMPs, and secured the expression of cav-1 and tight
junction proteins in the microvessels isolated from ischemic rat cortex.
Furthermore, CG was revealed to scavenge NO, inhibit the activities of MMP-2 and
MMP-9, and attenuate cell death in the in vitro cultured brain microvascular
endothelial cells under OGD condition. CONCLUSION: CG could protect BBB integrity
in experimental cerebral ischemia-reperfusion injury via regulating NO/cav-1/MMPs
pathway.
PMID- 24930358
TI - Effects of Sceletium tortuosum in rats.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Broad historical and current uses in addition to
diverse activity on CNS targets may make Sceletium tortuosum a useful therapeutic
in a variety of clinical settings. This study sought to more broadly characterize
activity of Sceletium tortuosum and mesembrine in a number of common, rodent
based assays that model nociception, depression, anxiety, ataxia, and abuse
liability. MATERIALS AND METHODS: Male Sprague-Dawley were administered Sceletium
tortuosum extract products and behavioral responses were evaluated in the
conditioned place preference (CPP), hot plate, forced swim, elevated plus, and
rotarod tests. RESULTS AND CONCLUSIONS: Sceletium tortuosum does not cause
preference or aversion in CPP. Mesembrine appears to have analgesic properties
without abuse liabilities or ataxia. The Sceletium tortuosum fraction has
antidepressant properties but does produce ataxia. The ataxia may limit its
usefulness as an antidepressant unless the antidepressant activity is associated
with one constituent and the ataxia is associated with a separate constituent.
PMID- 24930359
TI - Short-term, low-dose varenicline administration enhances information processing
speed in methamphetamine-dependent users.
AB - Long-term, high-dose methamphetamine (METH) use is associated with decrements in
neurocognition and, given the association between impaired neurocognition and
poorer treatment outcomes in individuals dependent on alcohol and drugs, it is
considered to be a neglected area of critical concern. The objective of this
study was to determine whether varenicline, a partial agonist at alpha4beta2- and
a full agonist at alpha7-nicotinic acetylcholine receptors, enhances
attention/information processing speed, episodic memory, and working memory in
non-treatment seeking METH-dependent participants. Twenty-six participants were
randomly assigned to receive oral placebo or oral varenicline (titrated up to 1
mg) over 5 days during three separate inpatient phases, and 17 completed each
inpatient phase. Participants were predominately male (71%) and Caucasian (71%).
Varenicline significantly improved reaction time on the n-back for visual stimuli
(F(1,47)=5.369, p=0.025, eta2=0.103), and a trend was observed for improvement in
reaction time for auditory stimuli (F(1,47)=3.141, p=0.083, eta2=0.063). For
those study participants whose reaction time was in the lower half of the
distribution at baseline, the effect was even more pronounced for auditory
(F(1,22)=5.287, p=0.031, eta2=0.194) and visual (F(1,22)=11.981, p=0.002,
eta2=0.353) stimuli relative to placebo. In contrast, varenicline did not
modulate mean or maximum span of working memory or performance on tests of
episodic memory or attention (p's>0.05). Given the potential importance of this
finding, it should be replicated in a larger sample over a longer treatment
period with a higher dose of varenicline (2 mg). TRIAL REGISTRATION:
clinicalTrials.gov Identifier NCT01571167.
PMID- 24930360
TI - Benzodiazepine ligands rapidly influence GABAA receptor diffusion and clustering
at hippocampal inhibitory synapses.
AB - Benzodiazepines (BZDs) are widely used in the treatment of a variety of
neurological and psychiatric conditions including anxiety, insomnia and epilepsy.
BZDs are thought to act predominantly by affecting the gating of GABAA receptor
channels, resulting in enhanced GABA-mediated currents in neurons. However,
mutations mimicking the effect of BZDs on GABAAR channel gating have been shown
to also impact the membrane dynamics and synaptic anchoring of the receptors.
Here, using single molecule tracking combined with electrophysiological
recordings, we show that BZD ligands rapidly influence the dynamic behavior of
GABAARs in hippocampal neurons. Application of the inverse BZD agonist DMCM
rapidly increased the diffusion and reduced the clustering of GABAARs at
synapses, resulting in reduced postsynaptic currents. Conversely, the BZD full
agonist diazepam had little effect at rest but reduced lateral diffusion and
increased synaptic stabilization and clustering of GABAARs upon sustained
neuronal activity, resulting in enhanced potency of inhibitory synapses. These
effects occurred in the absence of detectable changes in gephyrin clusters,
suggesting they did not reflect a rapid dispersion of the synaptic scaffold.
Thus, alterations of the diffusion and synaptic anchoring of GABAARs represent a
novel, unsuspected mechanism through which BZDs rapidly modulate GABA signaling
in central neurons.
PMID- 24930362
TI - August 2014: critical care in transition.
PMID- 24930361
TI - Lenalidomide and dexamethasone for systemic AL amyloidosis following prior
treatment with thalidomide or bortezomib regimens.
AB - The outcomes and responses to treatment remain poorly studied among patients with
systemic AL amyloidosis who require further treatment following prior novel agent
based therapy. We report here treatment with lenalidomide-dexamethasone in 84 AL
amyloidosis patients with relapsed/refractory clonal disease following prior
treatment with thalidomide (76%) and/or bortezomib (68%). On an intention-to
treat (ITT) basis, the overall haematological response rate was 61%, including
20% complete responses. The median overall survival (OS) has not been reached; 2
year OS and progression-free survival (PFS) was 84% and 73%, respectively.
Achieving a free light chain (FLC) response was an independent good prognostic
factor for OS in multivariate analysis. There was no impact of prior thalidomide
or bortezomib therapy on response rate, OS or PFS. 16% achieved an organ response
at 6 months, with a marked improvement in organ responses in patients on long
term therapy (median duration 11 months) and 55% achieving renal responses by 18
months. Lenalidomide/dexamethasone therapy achieves good haematological responses
in patients with AL amyloidosis with relapsed/refractory clonal disease. The rate
of renal responses among patients who received prolonged treatment was
unexpectedly high, raising the possibility that immunomodulatory effects of
lenalidomide therapy might enhance the otherwise slow natural regression of
amyloid deposits.
PMID- 24930363
TI - Correlation of corrected flow time in the carotid artery with changes in
intravascular volume status.
AB - PURPOSE: Assessment of volume status remains a challenge in critical care. Our
purpose was to determine if Doppler waveform analysis of carotid artery blood
flow correlates with changes in volume status. MATERIALS AND METHODS: Dehydrated
patients receiving an intravenous fluid bolus were enrolled with exclusions
including age less than 18 years, pregnancy, vasopressor administration, or
atrial fibrillation. Ultrasound examination with Doppler analysis of the carotid
artery was performed with measurements taken to calculate corrected flow time
(FTc). Corrected flow time, mean arterial pressure, and pulse rate before and
after fluid administration were compared using Wilcoxon matched-pairs signed rank
test. RESULTS: Fifty-six patients were enrolled with mean fluid administration of
1110 mL. Corrected flow time increased with fluid resuscitation from prefluid
mean of 299 milliseconds (95% confidence interval [CI], 282-317 milliseconds) to
a postfluid mean of 340 milliseconds (95% CI, 323-358 milliseconds) (P<.0001).
Mean percentage change in FTc was 14.9% (95% CI, 8.4-21.3). There were no
significant changes in mean arterial pressure or heart rate from pre- to post
fluid administration. CONCLUSION: Intravenous fluid administration in dehydrated
patients resulted in significant changes in FTc in the carotid artery despite no
change in vital signs. Corrected flow time measured from carotid arterial blood
flow may be a useful means of assessing volume status in volume-depleted
patients.
PMID- 24930364
TI - From data patterns to biological mechanism in critical illness: the role of
engineering.
PMID- 24930365
TI - Supporting small steps toward big innovations: the importance of rigorous pilot
studies in critical care.
PMID- 24930366
TI - Death by neurologic criteria 1968-2014: changing interpretations. Forward.
PMID- 24930367
TI - History of brain death as death: 1968 to the present.
AB - The concept of brain death was formulated in 1968 in the landmark report A
Definition of Irreversible Coma. While brain death has been widely accepted as a
determination of death throughout the world, many of the controversies that
surround it have not been settled. Some may be rooted in a misconstruction about
the history of brain death. The concept evolved as a result of the convergence of
several parallel developments in the second half of the 20th century including
advances in resuscitation and critical care, research into the underlying
physiology of consciousness, and growing concerns about technology, medical
futility, and the ethics of end of life care. Organ transplantation also
developed in parallel, and though it clearly benefited from a new definition of
death, it was not a principal driving force in its creation. Since 1968, the
concept of brain death has been extensively analyzed, debated, and reworked.
Still there remains much misunderstanding and confusion, especially in the
general public. In this comprehensive review, I will trace the evolution of the
definition of brain death as death from 1968 to the present, providing
background, history and context.
PMID- 24930368
TI - Spectrum of catastrophic brain injury: coma and related disorders of
consciousness.
PMID- 24930369
TI - Pathophysiology of brain death: what does the brain do and what is lost in brain
death?
PMID- 24930370
TI - Biophilosophical basis for identifying the death of a person.
AB - The capacity for consciousness and self-awareness is uniquely synonymous with
human life and personhood, and its absence is necessary and sufficient to
identify that death has occurred. The presence of these functions is uniquely
synonymous with human life. Ongoing organ function, response to infection,
growth, wound healing, or the ability to sustain an unborn fetus do not alone
constitute the unique experience of life and personhood. Death occurs after the
loss of the ability to use oxygen by the brain, which occurs because of either
raised intracranial pressure preventing any cerebral blood flow or, more
commonly, the absence of systemic blood flow following abrupt or hypoxic
circulatory cessation. The abrupt cessation of circulation leads to loss of
consciousness and brain electrical activity; and when it becomes truly permanent
and then irreversible, this becomes an operational definition of death. One must
infer and decide that sufficient ischemic hypoxic injury has rendered the
potential for reinstating any consciousness and brain stem function irreversibly
lost. Progressive hypoxia that is seen in many patients after withdrawal of
advanced physiologic support leads to apnea and then circulatory arrest. The
outward sign of apnea that is then followed by circulatory arrest is the basis
for inferring that irreversible loss of capacity for consciousness and self
awareness has occurred and that death can be identified has having occurred. The
capacity for consciousness and self-awareness is the only irreplaceable emergent
phenomenon-arising from physiologic function of the brain-that is necessary and
sufficient to define the life of a person.
PMID- 24930371
TI - Normalization patterns of the surface electromyographic signal in the phonation
evaluation.
AB - PURPOSE: The aim of the study was to compare the different parameters, regarding
the peak and mean, at different phonatory tasks for standardization of
electromyography signal of electrical activity (EA) of the laryngeal extrinsic
muscles on voice evaluation. METHODS: The electrical potentials of the suprahyoid
(SH) and infrahyoid (IH) muscles of 35 voluntary nondysphonic subjects were
evaluated using three evaluations of rest, two maneuvers to determine maximum
voluntary sustained activity (MVSA), and usual and strong intensity of vowel /E/
and 20-30 count emissions. The EA signal was converted using root mean square in
microvolts and normalized by mean and peak of each task. The selected
normalization task was that with minor coefficient of variation for all muscles.
RESULTS: The tasks that provided minor coefficient of variation of EA in both
muscle groups were the peak of vowel /E/ (mean potentials equal to 43.31 +/- 2.97
for right IH, 36.27 +/- 2.76 for left IH, and 42.11 +/- 2.57 for SH) and the 20
30 count emissions (mean potentials equal to 31.30 +/- 308 for right IH, 30.56 +/
2.76 for left IH, and 30.43 +/- 4.22 for SH), both in usual intensity and MVSA,
as second option. CONCLUSIONS: The peak of vowel /E/ and 20-30 count emissions is
usual intensity, and the MVSA as second option should be considered for signal
normalization in SH and IH muscles, and may provide conditions for using the
surface electromyography in voice clinic.
PMID- 24930372
TI - Immediate effects of humming on computed electroglottographic parameters in
patients with muscle tension dysphonia.
AB - OBJECTIVES: To investigate the immediate effects of humming and subsequent um-hum
phonation on the computed parameters of electroglottographic (EGG) signals in
muscle tension dysphonia (MTD) patients and nondysphonic speakers. METHODS: We
included 21 MTD participants exhibiting both vocal roughness and supraglottic
compression, who were able to produce successful humming and um-hum phonation.
Twenty nondysphonic participants were selected as controls. Each participant was
instructed to perform three phonatory tasks: natural phonation, humming phonation
without pitch changes, and subsequent um-hum phonation, that is, humming with a
pitch glide up as if agreeing with someone. Acoustic and EGG signals were
recorded while the participants performed these tasks. Computed parameters
reflecting the irregularities in vocal fold vibrations and the degree of glottal
contact were calculated and compared between the tasks. RESULTS: The MTD group
showed decreases in both perceptual vocal roughness and acoustic perturbation
parameters while performing the tasks. The perturbation parameters of EGG signals
and the standard deviation of the contact quotient (CQ) also exhibited
significant decreases associated with either of humming or um-hum phonation in
both groups. In addition, the CQ exhibited significant increases following
humming alone in the MTD group and the combination of humming and um-hum
phonation in both groups. CONCLUSIONS: These results suggest that the combination
of humming without pitch changes and subsequent um-hum phonation have the
immediate effect in adjusting the regularity of vocal fold vibration and
augmenting the degree of glottal contact in MTD patients as well as nondysphonic
speakers, whereas humming alone increases the degree of glottal contact in MTD
patients.
PMID- 24930374
TI - Laryngeal actinomycosis in an immunocompromised patient.
AB - Actinomycosis of the larynx represents an unusual presentation for a common
bacterium comprising the oral and oropharyngeal florae. There are few cases
reported in the literature of laryngeal actinomycosis occurring primarily in the
immunocompromised population. Here, we present a case in a 74-year-old man that
occurred in the setting of neutropenia as a result of chemotherapy. Once the
diagnosis was made with biopsy of the larynx, the infection was resolved after a
prolonged course of penicillin-based therapy.
PMID- 24930373
TI - Psychosocial distress in patients presenting with voice concerns.
AB - OBJECTIVES: To assess the prevalence of psychosocial distress (depression,
anxiety, somatization, and perceived stress) in a consecutive sample of patients
presenting with voice concerns and to qualitatively analyze patient comments on
challenges associated with voice problems. STUDY DESIGN: Cross-sectional study.
METHODS: New patients presenting to a multidisciplinary voice clinic with voice
concerns were invited to participate. Respondents (n = 197) completed the Brief
Symptom Inventory 18-item scale, the 4-item Perceived Stress Scale, and the Voice
Handicap Index 10-item scale. Qualitative analysis was performed of responses to
an open-ended question about challenges associated with a voice problem. RESULTS:
Approximately one-third (32%) of the patients met the strict case criteria for
depression, anxiety, and/or somatic concerns based on the Brief Symptom Inventory
18-item scale. Most patients had no prior diagnosis of depression or anxiety, and
the degree of distress was not predicted by the type of voice-related diagnosis.
Perceived stress was higher among female patients (P = 0.02). As expected, scores
on the Voice Handicap Index 10-item scale were indicative of concurrent voice
related handicap (mean, 19.5; standard deviation, 9.4). In qualitative analysis
of responses regarding challenges associated with a voice problem, 19 themes were
identified (eg, threat to occupational functioning). CONCLUSIONS: These findings
identify a high prevalence of multiple types of distress among patients with
voice disorders, presenting an opportunity to provide more comprehensive care to
this patient population.
PMID- 24930375
TI - Recycling bacteria for the synthesis of LiMPO4 (M = Fe, Mn) nanostructures for
high-power lithium batteries.
AB - In this work, a novel waste-to-resource strategy to convert waste bacteria into a
useful class of cathode materials, lithium metal phosphate (LiMPO4; M = Fe, Mn),
is presented. Escherichia coli (E. coli) bacteria used for removing phosphorus
contamination from wastewater are harvested and used as precursors for the
synthesis of LiMPO4. After annealing, LiFePO4 and LiMnPO4 nanoparticles with
dimensions around 20 nm are obtained. These particles are found to be enveloped
in a carbon layer with a thickness around 3-5 nm, generated through the
decomposition of the organic matter from the bacterial cell cytoplasm. The
battery performance for the LiFePO4 is evaluated. A high discharge capacity of
140 mAh g(-1) at 0.1 C with a flat plateau located at around 3.5 V is obtained.
In addition, the synthesized particles display excellent stability and rate
capabilities. Even under a high C rate of 10 C, a stable discharge capacity of
75.4 mAh g(-1) can still be achieved.
PMID- 24930377
TI - Preventing rural workforce by design.
PMID- 24930376
TI - PTK2 rs7460 and rs7843014 polymorphisms and exceptional longevity: a functional
replication study.
AB - Focal adhesion is critical for cell survival. The focal adhesion kinase (FAK, or
PTK2) is an important component of the human interactome and thus is a potential
longevity-related protein. Here we studied the association between two PTK2 gene
single-nucleotide polymorphisms (SNPs) (rs7843014, rs7460) and exceptional
longevity (EL). In addition to gaining insight into their functionality by
determining luciferase gene reporter activity, we studied the genotype/allele
frequency of these two SNPs among three different cohorts: (1) Spanish
centenarians (n=175, 100-111 years, 144 women) and healthy controls (n=355, 20-50
years, 284 women); (2) Italian centenarians (n=79, 100-104 years, 40 women) and
controls (n=316, 29-50 years, 156 women); and (3) Japanese centenarians (n=742,
100-116 years, 623 women) and healthy controls (n=499, 23-59 years, 356 women).
Both SNPs had functional significance, with the A allele up-regulating luciferase
activity compared to the other allele (rs7460 T allele and rs7843014 C allele,
respectively). The A allele of both SNPs was negatively associated with EL in the
Spanish cohort (rs7460, odds ratio [OR] adjusted by sex=0.40, 95% confidence
intervals [CI] 0.3, 0.6, p<0.001); rs7843014, OR=0.37, 95% CI 0.3, 0.5, p<0.001).
The OR of being a centenarian if having the rs7460-TT genotype was 6.68 (95% CI
4.1, 10.8, p<0.001). The rs7843014 CC genotype was also positively associated
with EL (OR=7.58, 95% CI 4.6, 12.3, p<0.001]. No association was, however, found
for the Italian or Japanese cohorts. Thus, two genotypes of the FAK gene, rs7460
TT and rs7843014 CC, are possibly associated with lower gene expression and might
favor the likelihood of reaching EL in the Spanish population. Further research
is needed to unveil the mechanisms by which FAK expression could perhaps
influence the rate of aging.
PMID- 24930378
TI - The effects of isoflavones on androgens and glucocorticoids during puberty on
male Wistar rats.
AB - Isoflavones are the most common phytoestrogens found in human diets. However, it
is still not clear whether isoflavones have effects on the reproductive and the
endocrine systems under normal dietary intake and overdose. The aim of this study
was to determine how the most important isoflavones, genistein and daidzein,
affect androgen and glucorticoid levels on male prepuberal rats. A hundred and
seventy-five 30-day-old male Wistar rats were dosed orally by stomach tube every
day for 35 days, with saline solution, low and high doses of genistein, daidzein
and a mixture of both. Serum samples were analysed by an enzyme immunoassay for
hormone determinations. In control group, there was a peak of testosterone (T)
and dihydrotestosterone levels associated to the onset of puberty, at the third
week. However, in low-dose groups, the same peak was found at the fourth week (p
< 0.05), indicating a delay in the onset of puberty in these groups. Moreover,
high doses groups serum androgen levels were significantly lower (p < 0.05) than
the control group from the first week until fifth week. This fact was supported
by a epididymal histological analysis that indicate in low doses there were
several content of spermatozoa at fourth week and in high doses there were few
content of spermatozoa. Besides, corticosterone levels followed the same pattern
of androgens in all groups. We can conclude that oral administration of
isoflavones in male rats decreased the secretion of androgens and glucocorticoids
causing a delay in the onset of puberty and may cause physiological and
developmental problems.
PMID- 24930383
TI - Elevation of HDL-C in response to statin treatment is involved in the regression
of carotid atherosclerosis.
AB - AIM: Atherosclerosis is strongly associated with an increased mortality in
subjects with diabetes. The carotid intima-media thickness (IMT) is commonly
measured as a surrogate marker for cardiovascular risk. Statins are well
established protective agents against atherosclerosis and reportedly suppress IMT
progression in subjects with diabetes. To clarify the effects of statins on
subclinical atherosclerosis, we herein investigated changes in the carotid IMT
and lipid profiles in a multi-center, prospective, randomized trial. METHODS:
Hypercholesterolemic subjects with type 2 diabetes were randomly assigned to open
label treatment with either pravastatin or pitavastatin. The primary endpoint of
this study was the IMT change after 36 months of statin treatment. RESULTS: A
total of 97 subjects (51 pitavastatin; 46 pravastatin) completed this 36-month
study. The LDL-C decreased significantly from 163.4 +/- 27.9 mg/dl at baseline to
100.4 +/- 19.6 mg/dl at 36 months in the pitavastatin group and from 159.7 +/-
25.6 mg/dl to 118.5 +/- 22.1 mg/dl in the pravastatin group. The mean IMT showed
moderate regression in both the pitavastatin (-0.070 +/- 0.215 mm, P<0.05) and
the pravastatin (-0.067 +/- 0.260 mm) group. However, there was no significant
difference in the IMT change between the two groups. When the two groups were
combined, the 36-month change in the mean IMT was significantly associated with
HDL-C change (r=-0.24, P= 0.03). Multiple linear regression analysis revealed the
change in HDL-C to be an independent variable showing a positive correlation with
the carotid IMT reduction. CONCLUSION: The administration of statins for 3 years
to subjects with type 2 diabetes resulted in a significant regression of the
carotid IMT. An elevation of the plasma HDL-C with statin treatment was closely
related to a regression of atherosclerosis.
PMID- 24930384
TI - A replication study and a meta-analysis of the association between the CDKN2A
rs1333049 polymorphism and coronary heart disease.
AB - AIM: The aim of this study was to assess whether rs1333049 was associated with
coronary heart disease (CHD) in Han Chinese. METHODS: This case-control study was
involved with 599 CHD patients and 591 non-CHD controls. Meanwhile, a
comprehensive meta-analysis was also conducted to establish the contribution of
rs1333049 to CHD. RESULTS: Our results showed that rs1333049 increased the risk
of CHD by 38% (OR=1.38, 95% CI=1.18-1.62). A breakdown analysis by gender further
indicated that rs1333049 increased the risk of CHD in men by 29% (OR=1.29, 95%
CI=1.05-1.58) and in women by 64% (OR=1.64, 95% CI=1.25-2.16). A follow-up
subgroup analysis by age showed there was a significant association between
rs1333049 and CHD in women younger than 65 (<=55 years: p=0.001, 55-65 years:
p=0.008) and in men aged between 55 and 65 years (p=0.005). Our meta-analysis was
involved with 21 studies (25 stages) among 20969 cases and 34114 controls. Our
results showed that rs1333049 led to a significantly increased risk of CHD
(OR=1.30, 95% CI=1.21-1.39). Further subgroup analyses by ethnicity showed
rs1333049 increased the CHD risk by 30% in Europeans (OR=1.30, 95% CI=1.16-1.47)
and 27% in Asians (OR=1.27, 95% CI=1.22-1.33). CONCLUSIONS: Our case-control
study and meta-analysis suggest that rs1333049 is a useful risk marker of CHD.
PMID- 24930385
TI - Expression and activity levels of matrix metalloproteinase-7 and in situ
localization of caseinolytic activity in colorectal cancer.
AB - OBJECTIVES: Matrix metalloproteinase-7 is capable of degrading several ECM and
non-ECM molecules and contributes to colorectal cancer progression and
metastasis. Here, we examined the significance of MMP-7 in colorectal tumors by
detecting active and latent MMP-7 levels and localization of its caseinolytic
activity. DESIGN AND METHODS: We investigated expression levels, localization,
and proteolytic activity of MMP-7 and local caseinolytic activity in colorectal
tumor and paired normal tissues by using real time PCR, casein zymography,
immunohistochemistry and in situ casein zymography, respectively. In addition the
results were compared with clinicopathological variables. RESULTS: Real time PCR
and immunohistochemistry showed that MMP-7 expressions were higher in colorectal
tumor tissues than in normal tissues. Also, mRNA expressions of MMP-7 were
positively correlated with tumor and pathological stages and negatively
correlated with age. Furthermore, MMP-7 mRNA expression had a sensitivity of
81.3% and a specificity of 81.2% at a cut-off value of 0.0006, making it a
potential marker for diagnosis of colorectal cancer. According to casein
zymography, pro- and active MMP-7 levels were also elevated in tumor tissues. In
addition, we assessed local caseinolytic activity using in situ casein
zymography. Increased immunoreactivity of MMP-7 and local caseinolytic activity
were found in neoplastic cells but not in stromal cells. CONCLUSION: We
emphasized the significant role of MMP-7 in diagnosis and progression and/or
development of colorectal cancer.
PMID- 24930386
TI - Text messaging interventions for adolescent and young adult substance use: a meta
analysis.
AB - Tobacco and alcohol use continues to be associated with negative health outcomes
among adolescents and young adults. New technologies such as text messaging can
increase access to substance use interventions and have now been established as
an evidence-based, recommended approach towards substance use prevention. This
review presents results from a meta-analysis examining the effectiveness of text
message interventions for tobacco and alcohol cessation within adolescent and
young adult populations. Results from 14 studies with effect sizes are ranging
from -0.25 to 0.54. Combining the effect sizes across studies yielded a summary
effect size of 0.25, indicating that in general, text interventions have a
positive effect on reducing substance use behaviors. Results are discussed in the
context of prevention opportunities and recommendations for future text messaging
intervention research.
PMID- 24930387
TI - In-situ electrochemically deposited polypyrrole nanoparticles incorporated
reduced graphene oxide as an efficient counter electrode for platinum-free dye
sensitized solar cells.
AB - This paper reports a rapid and in-situ electrochemical polymerization method for
the fabrication of polypyrrole nanoparticles incorporated reduced graphene oxide
(rGO@PPy) nanocomposites on a ITO conducting glass and its application as a
counter electrode for platinum-free dye-sensitized solar cell (DSSC). The
scanning electron microscopic images show the uniform distribution of PPy
nanoparticles with diameter ranges between 20 and 30 nm on the rGO sheets. The
electrochemical studies reveal that the rGO@PPy has smaller charge transfer
resistance and similar electrocatalytic activity as that of the standard Pt
counter electrode for the I3(-)/I(-) redox reaction. The overall solar to
electrical energy conversion efficiency of the DSSC with the rGO@PPy counter
electrode is 2.21%, which is merely equal to the efficiency of DSSC with
sputtered Pt counter electrode (2.19%). The excellent photovoltaic performance,
rapid and simple fabrication method and low-cost of the rGO@PPy can be
potentially exploited as a alternative counter electrode to the expensive Pt in
DSSCs.
PMID- 24930389
TI - Gemcitabine, capecitabine and oxaliplatin in advanced biliary tract carcinoma.
PMID- 24930388
TI - Assessing the discordance rate between local and central HER2 testing in women
with locally determined HER2-negative breast cancer.
AB - BACKGROUND: The importance of human epidermal growth factor receptor 2 (HER2) as
a prognostic and predictive marker in invasive breast cancer is well established.
Accurate assessment of HER2 status is essential to determine optimal treatment
options. METHODS: Breast cancer tumor tissue samples from the VIRGO observational
cohort tissue substudy that were locally HER2-negative were retested centrally
with both US Food and Drug Administration (FDA)-approved immunohistochemistry
(IHC) and fluorescence in situ hybridization (FISH) assays, using FDA-approved
assay cutoffs; results were compared. RESULTS: Of the 552 unique patient samples
centrally retested with local HER2-negative results recorded, tumor samples from
22 (4.0%) patients were determined to be HER2-positive (95% confidence interval
[CI] = 2.5%-5.7%). Of these, 18 had been tested locally by only one testing
methodology; 15 of 18 were HER2-positive after the central retesting, based on
the testing methodology not performed locally. Compared with the 530 patients
with centrally confirmed HER2-negative tumors, the 22 patients with centrally
determined HER2-positive tumors were younger (median age 56.5 versus 60.0 years)
and more likely to have ER/PR-negative tumors (27.3% versus 22.3%). These
patients also had shorter median progression-free survival (6.4 months [95% CI =
3.8-15.9 months] versus 9.1 months [95% CI = 8.3-10.3 months]) and overall
survival (25.9 months [95% CI = 13.8-not estimable] versus 27.9 months [95% CI =
25.0-32.9 months]). CONCLUSIONS: This study highlights the limitations of
employing just one HER2 testing methodology in current clinical practice. It
identifies a cohort of patients who did not receive potentially efficacious
therapy because their tumor HER2-positivity was not determined by the test
initially used. Because of inherent limitations in testing methodologies, it is
inadvisable to rely on a single test to rule out potential benefit from HER2
targeted therapy.
PMID- 24930390
TI - Long-term results of intraoperative extracorporeal irradiation of autogenous bone
grafts on primary bone and soft tissue malignancies.
PMID- 24930391
TI - Primary orbital tumors: a review of 122 cases during a 23-year period: a histo
clinical study in material from the ENT Department of the Medical University of
Silesia.
AB - BACKGROUND: The aim of this study was to investigate the distribution of
different types of primary orbital tumors, histopathological diagnosis, and
postoperative complications. MATERIAL AND METHODS: We analyzed 122 patients (68
women and 54 men) with orbital tumors, hospitalized in the ENT Department of the
Medical University of Silesia in Katowice during 1990-2013. The patients were
characterized in terms of anatomic, topographical, histopathological, and
clinical parameters. The role of diagnostic imagining such as CT, NMR, and fine
needle aspiration (FNB) in preoperative diagnostics is discussed. RESULTS: of
FNB, cytological, and histopathological examination of the postoperative
specimens were compared. Results There were 56 (46%) patients with malignant
tumors, 42 (34%) with benign tumors, 19 (16%) with inflammatory tumors, and 5
patients (4%) had other tumors. In cases of malignant tumors, local recurrence up
to 5 years was found in 36 (64.3%) cases. In the other 20 (35.7%) cases of
malignant tumors, the patients remained under close follow-up in the outpatient
clinic, without signs of local recurrence (follow-up 1-17 years). According to
histopathological examination, malignant tumors were detected in 45.9% of
patients and non-malignant tumor in 34.4% of patients. In 19.7% of patients,
inflammatory and other types of tumors were diagnosed. CONCLUSIONS: We
characterized the occurrence and pathological profiles of orbital tumors. The
tumor location, histopathological diagnosis, and postoperative complications give
us important information for the diagnosis of tumor prior to biopsy or tumor
resection and for the determination of the treatment strategy and possible
complications after surgery.
PMID- 24930392
TI - Modulation of imatinib cytotoxicity by selenite in HCT116 colorectal cancer
cells.
AB - Imatinib is a principal therapeutic agent for targeting colorectal tumours.
However, mono-targeting by imatinib does not always achieve complete cancer
eradication. Selenite, a well-known chemopreventive agent, is commonly used in
cancer patients. In this study, we aimed to explore whether selenite can modulate
imatinib cytotoxicity in colorectal cancer cells. HCT116 cells were treated with
different concentrations of imatinib and/or selenite for 24, 48 and 72 hr.
Imatinib-selenite interaction was analysed using isobologram equation. As
indicators of apoptosis, DNA fragmentation, caspase-3 activity, Bcl-2 expression
were explored. Autophagic machinery was also checked by visualizing acidic
vesicular organelles and measuring Beclin-1 expression. Furthermore, reactive
oxygen and nitrogen species were also examined. This study demonstrated that
selenite synergistically augmented imatinib cytotoxicity in HCT116 cells as
demonstrated by combination and dose reduction indices. Supranutritional dose of
selenite when combined with imatinib induced apoptotic machinery by decreasing
Bcl-2 expression, increasing caspase-3 activity and subsequently fragmenting DNA
and blunted cytoprotective autophagy by decreasing Beclin-1 expression and
autophagosomes formation. Moreover, their combination induced cell cycle S-phase
block, increased total thiol content and reduced nitric oxide levels. In
conclusion, selenite synergizes imatinib cytotoxicity through multi-barrelled
molecular targeting, providing a novel therapeutic approach for colorectal
cancer.
PMID- 24930393
TI - Size-dependent property and cell labeling of semiconducting polymer dots.
AB - Semiconducting polymer dots (Pdots) represent a new class of fluorescent
nanoparticles for biological applications. In this study, we investigated their
size-dependent fluorescence and cellular labeling properties. We demonstrate that
the polymer conformation in solution phase largely affects the polymer folding
and packing during the nanoparticle preparation process, resulting in solution
phase control over the fluorescence properties of semiconducting polymer
nanoparticles. The resulting Pdots exhibit apparent size dependent absorption and
emission, a characteristic feature of different chain packing behaviors due to
the preparation conditions. Single-particle fluorescence imaging was employed to
perform a side-by-side comparison on the Pdot brightness, indicating a quadratic
dependence of single-particle brightness on particle size. Upon introducing a
positively charged dye Nile blue, all the three type of Pdots were quenched very
efficiently (Ksv > 1 * 10(7) M(-1)) in an applied quenching process at low dye
concentrations, but exhibit apparent difference in quenching efficiency with
increasing dye concentration. Furthermore, Pdots of different sizes were used for
cell uptake and cellular labeling involving biotin-streptavidin interactions.
Fluorescence imaging together with flow cytometry studies clearly showed size
dependent labeling brightness. Small-sized Pdots appear to be more effective for
immunolabeling of cell surface, whereas medium-sized Pdots exhibit the highest
uptake efficiency. This study provides a concrete guidance for selecting
appropriate particle size for biological imaging and sensing applications.
PMID- 24930394
TI - Directional relationships between alcohol use and antisocial behavior across
adolescence.
AB - BACKGROUND: The co-occurrence of alcohol use and antisocial behavior is well
established, but different hypotheses exist regarding the direction of effects
between the 2 behaviors. We used longitudinal data to examine the directional
relationship between the 2 behaviors across adolescence. METHODS: A cross-lagged
model was applied to longitudinal data from the Avon Longitudinal Study of
Parents and Children. The sample used in the present study consisted of 4,354
females and 3,984 males. Alcohol use and antisocial behavior were measured with
multiple items collected at 12, 13, 15, and 17 years of age. RESULTS: Both
alcohol use and antisocial behavior were highly stable, as evidenced by highly
significant autoregressive paths. Regarding the cross-lagged paths, neither
behavior was predictive of the other during early adolescence (between ages 12
and 13). During mid-to late adolescence (from ages 13 to 17), antisocial behavior
was predictive of subsequent alcohol use. Alcohol use was predictive of
antisocial behavior in late adolescence (between ages 15 and 17), although this
relationship was mainly driven by males and was not significant in the female
subgroup. CONCLUSIONS: The result generally supported the direction from
antisocial behavior to alcohol use, especially during mid- to late adolescence.
However, there was also a suggestion that the direction of relationship between
the 2 behaviors changes across adolescence. The results highlight the importance
of considering developmental stages to understand the directional relationships
between the 2 behaviors.
PMID- 24930396
TI - Unique and conserved features of floral evocation in legumes.
AB - Legumes, with their unique ability to fix atmospheric nitrogen, play a vital role
in ensuring future food security and mitigating the effects of climate change
because they use less fossil energy and produce less greenhouse gases compared
with N-fertilized systems. Grain legumes are second only to cereal crops as a
source of human and animal food, and they contribute approximately one third of
the protein consumed by the human population. The productivity of seed crops,
such as grain legumes, is dependent on flowering. Despite the genetic variation
and importance of flowering in legume production, studies of the molecular
pathways that control flowering in legumes are limited. Recent advances in
genomics have revealed that legume flowering pathways are divergent from those of
such model species as Arabidopsis thaliana. Here, we discuss the current
understanding of flowering time regulation in legumes and highlight the unique
and conserved features of floral evocation in legumes.
PMID- 24930397
TI - Biofilm production by Zymomonas mobilis enhances ethanol production and tolerance
to toxic inhibitors from rice bran hydrolysate.
AB - Microorganisms play a significant role in bioethanol production from
lignocellulosic material. A challenging problem in bioconversion of rice bran is
the presence of toxic inhibitors in lignocellulosic acid hydrolysate. Various
strains of Zymomonas mobilis (ZM4, TISTR 405, 548, 550 and 551) grown under
biofilm or planktonic modes were used in this study to examine their potential
for bioconversion of rice bran hydrolysate and ethanol production efficiencies.
Z. mobilis readily formed bacterial attachment on plastic surfaces, but not on
glass surfaces. Additionally, the biofilms formed on plastic surfaces steadily
increased over time, while those formed on glass were speculated to cycle through
accumulation and detachment phases. Microscopic analysis revealed that Z. mobilis
ZM4 rapidly developed homogeneous biofilm structures within 24 hours, while other
Z. mobilis strains developed heterogeneous biofilm structures. ZM4 biofilms were
thicker and seemed to be more stable than other Z. mobilis strains. The
percentage of live cells in biofilms was greater than that for planktonic cells
(54.32 +/- 7.10% vs. 28.69 +/- 3.03%), suggesting that biofilms serve as a
protective niche for growth of bacteria in the presence of toxic inhibitors in
the rice bran hydrolysate. The metabolic activity of ZM4 grown as a biofilm was
also higher than the same strain grown planktonically, as measured by ethanol
production from rice bran hydrolysate (13.40 +/- 2.43 g/L vs. 0.432 +/- 0.29 g/L,
with percent theoretical ethanol yields of 72.47 +/- 6.13% and 3.71 +/- 5.24%
respectively). Strain TISTR 551 was also quite metabolically active, with ethanol
production by biofilm and planktonically grown cells of 8.956 +/- 4.06 g/L and
0.0846 +/- 0.064 g/L (percent theoretical yields were 48.37 +/- 16.64% and 2.046
+/- 1.58%, respectively). This study illustrates the potential for enhancing
ethanol production by utilizing bacterial biofilms in the bioconversion of a
readily available and normally unusable low value by-product of rice farming.
PMID- 24930398
TI - Myelin paucity of the superior cerebellar peduncle in individuals with Friedreich
ataxia: an MRI magnetization transfer imaging study.
AB - The dentate nucleus (DN) is the major relay station for neural connection between
the cerebellum and cerebrum via the thalamus, and is a significant component of
the neuropathological profile of Friedreich ataxia (FRDA). We have previously
shown that the size of the superior cerebellar peduncle (SCP), which links the DN
to cortical and subcortical structures via the thalamus, is significantly reduced
in individuals with FRDA compared to control participants. This study used
magnetization transfer imaging (MTI) to examine and contrast the integrity of
white matter (WM) in the SCP and the corpus callosum (CC) (control region) in ten
individuals with FRDA and ten controls. Individuals with FRDA demonstrated a
significant reduction in the magnetization transfer ratio (MTR) in the SCP
compared to control participants. However, there was no significant difference
between groups in MTR in the CC. When comparing regions within groups, there was
a significant reduction in MTR in the SCP compared to CC in participants with
FRDA only. We suggest that the reduction in MTR in the SCP may be indicative of
lack of myelin secondary to axonal loss and oligodendroglial dysfunction in WM
tracts in individuals with FRDA.
PMID- 24930395
TI - Structure of the mammalian ribosome-Sec61 complex to 3.4 A resolution.
AB - Cotranslational protein translocation is a universally conserved process for
secretory and membrane protein biosynthesis. Nascent polypeptides emerging from a
translating ribosome are either transported across or inserted into the membrane
via the ribosome-bound Sec61 channel. Here, we report structures of a mammalian
ribosome-Sec61 complex in both idle and translating states, determined to 3.4 and
3.9 A resolution. The data sets permit building of a near-complete atomic model
of the mammalian ribosome, visualization of A/P and P/E hybrid-state tRNAs, and
analysis of a nascent polypeptide in the exit tunnel. Unprecedented chemical
detail is observed for both the ribosome-Sec61 interaction and the conformational
state of Sec61 upon ribosome binding. Comparison of the maps from idle and
translating complexes suggests how conformational changes to the Sec61 channel
could facilitate translocation of a secreted polypeptide. The high-resolution
structure of the mammalian ribosome-Sec61 complex provides a valuable reference
for future functional and structural studies.
PMID- 24930399
TI - Edaravone protected PC12 cells against MPP(+)-cytoxicity via inhibiting oxidative
stress and up-regulating heme oxygenase-1 expression.
AB - Oxidative stress is involved in the pathogenesis of Parkinson's disease (PD).
Edaravone has been shown to have a neuroprotective effect. In the present work,
we investigated the effect of edaravone on 1-methyl-4-phenylpyridinium (MPP(+))
treated PC12 cells. Edaravone inhibited the decrease of cell viability and
apoptosis induced by MPP(+) in PC12 cells. In addition, edaravone alleviated
intracellular reactive oxygen species (ROS) production. MPP(+) induced heme
oxygenase-1 (HO-1) expression, which was further enhanced by edaravone. The
inhibitor of HO-1 zinc protoporphyrin-IX attenuated the neuroprotection of
edaravone. So edaravone protected PC12 cells against MPP(+)-cytoxicity via
inhibiting oxidative stress and up-regulating HO-1 expression. The data showed
that edaravone was neuroprotective and could be potentially therapeutics for PD
in future.
PMID- 24930400
TI - Sixth nerve palsy associated with obstruction in Dorello's canal, accompanied by
nodular type muscular sarcoidosis.
AB - A 52-year-old Japanese woman complaining of horizontal double vision for 10 days
was admitted to our hospital. Neurological examination revealed left abducent
nerve palsy and muscle swelling in her thighs. Brain MRI showed obstruction in
the spinal fluid space of the left Dorello's canal, which transmits a portion of
the abducent nerve. In Ga-67-enhanced citrate scintigraphy, wide accumulation was
seen in her bilateral thighs, lower legs, and gluteus muscles. Muscular MRI
showed a star-shaped central structure on short tau inversion recovery (STIR)
images, and the three stripes sign on T2-weighted images. These MRI findings
indicated nodular-type muscular sarcoidosis. A muscle biopsy from the quadriceps
femoris showed granulomatous epithelioid giant cells and non-necrotizing chronic
lymphadenitis, which also indicate sarcoidosis. Her condition was considered to
be caused by sarcoid granulomas obstructing Dorello's canal. She was treated with
oral prednisolone (1 mg.kg(-1).day(-1)) and her symptoms and MRI findings
improved. This is the first known report of abducent nerve impairment in
Dorello's canal, other than fetal hypoplasia. Brain MRI, muscular MRI, and muscle
biopsy are useful for the diagnosis of abducent nerve palsy, and it is important
to consider Dorello's canal obstruction by sarcoidosis. Complete remission can be
achieved with proper treatment.
PMID- 24930402
TI - Unusual regio- and stereo-selectivity in Diels-Alder reactions between bulky N
phenylmaleimides and anthracene derivatives.
AB - Unusual regio- and stereo-selectivity in Diels-Alder (D-A) reactions were
achieved between bulky N-phenylmaleimides and anthracene derivatives. Using
multiple substituents with steric hindrance on both diene and dienophile, a
noticeable shift toward 1,4-addition was successfully obtained. The substrate
scope in this reaction was broad and the highest yield of anti-1,4-adducts was
over 90%. Novel structures of anti-1,4-adducts were confirmed by single crystal X
ray diffraction analysis. This study not only provides the first reported method
of synthesizing anti-1,4-adducts and achieving otherwise unattainable regio- and
stereo-selectivity, but also elucidates the importance of combining the steric
effects of two reactants to shift products toward 1,4-adducts. Moreover, the
resulting 1,4-adducts could be further functionalized through their halogen
groups via carbon-carbon coupling reactions.
PMID- 24930401
TI - Differences in heterocycle basicity distinguish homocysteine from cysteine using
aldehyde-bearing fluorophores.
AB - We report the detection of homocysteine over cysteine based upon characteristic
differences between 5- and 6-membered heterocyclic amines formed upon reaction
with aldehyde-bearing compounds. Homocysteine-derived thiazinane-4-carboxylic
acids are more basic than cysteine-derived thiazolidines-4-carboxylic acids.
Fluorescence enhancement in response to homocysteine is achieved by tuning pH and
excitation wavelength.
PMID- 24930403
TI - Combined two-photon excitation and d->f energy transfer in a water-soluble
Ir(III)/Eu(III) dyad: two luminescence components from one molecule for cellular
imaging.
AB - The first example of cell imaging using two independent emission components from
a dinuclear d/f complex is reported. A water-stable, cell-permeable Ir(III)
/Eu(III) dyad undergoes partial Ir->Eu energy transfer following two-photon
excitation of the Ir unit at 780 nm. Excitation in the near-IR region generated
simultaneously green Ir-based emission and red Eu-based emission from the same
probe. The orders-of-magnitude difference in their timescales (Ir ca. MUs; Eu ca.
0.5 ms) allowed them to be identified by time-gated detection. Phosphorescence
lifetime imaging microscopy (PLIM) allowed the lifetime of the Ir-based emission
to be measured in different parts of the cell. At the same time, the cells are
simultaneously imaged by using the Eu-based emission component at longer
timescales. This new approach to cellular imaging by using dual d/f emitters
should therefore enable autofluorescence-free sensing of two different analytes,
independently, simultaneously and in the same regions of a cell.
PMID- 24930405
TI - A simple, robust and rapid approach to detect carbapenemases in Gram-negative
isolates by MALDI-TOF mass spectrometry: validation with triple quadripole tandem
mass spectrometry, microarray and PCR.
AB - Carbapenemases should be accurately and rapidly detected, given their possible
epidemiological spread and their impact on treatment options. Here, we developed
a simple, easy and rapid matrix-assisted laser desorption ionization-time of
flight (MALDI-TOF)-based assay to detect carbapenemases and compared this
innovative test with four other diagnostic approaches on 47 clinical isolates.
Tandem mass spectrometry (MS-MS) was also used to determine accurately the amount
of antibiotic present in the supernatant after 1 h of incubation and both MALDI
TOF and MS-MS approaches exhibited a 100% sensitivity and a 100% specificity. By
comparison, molecular genetic techniques (Check-MDR Carba PCR and Check-MDR CT103
microarray) showed a 90.5% sensitivity and a 100% specificity, as two strains of
Aeromonas were not detected because their chromosomal carbapenemase is not
targeted by probes used in both kits. Altogether, this innovative MALDI-TOF-based
approach that uses a stable 10-MUg disk of ertapenem was highly efficient in
detecting carbapenemase, with a sensitivity higher than that of PCR and
microarray.
PMID- 24930406
TI - Comment on "Surface-charge distribution on a dielectric sphere due to an external
point charge: examples of C60 and C240 fullerenes, Phys. Chem. Chem. Phys., 2013,
15, 20115".
PMID- 24930408
TI - Editorial.
PMID- 24930409
TI - Prescribing pattern and indicators for performance in a psychiatric practice.
AB - AIMS To assess the prescribing pattern and to measure some specific aspects of
the behaviour of the prescribers (psychiatrists) before and after educational
interventions using core drug use indicators. METHODS In the present randomized
retrospective controlled pre-post intervention prescription survey of
schizophrenia and depression, 100 prescriptions each for schizophrenia and
depression were obtained before and after each intervention. The prescriptions
were analyzed for the following prescriber-specific indicators: number of drugs
prescribed, prescribing by generic names, prescriptions for essential drugs,
antiparkinsonian and benzodiazepines, nature of drugs and number of combinations
prescribed. Based on the results of pre-intervention data, two interactional
workshops were conducted 1 and 6 months after pre-intervention data collection.
The first workshop focused on the results of the prescription audit feedback and
reasons thereof. The second workshop focused, in addition, on appropriate
management of schizophrenia and depression using consensus treatment guidelines
with the aim of evolving a consensus on the treatment in a given hospital
setting. RESULTS Before intervention, the essential drugs accounted for 80.95 and
96.91% of the total number of drugs prescribed in depression and schizophrenia,
respectively. Prescription for essential drugs improved further significantly in
the post intervention period to 95.26% (P<0.04) for depression; whereas, in
schizophrenia, prescriptions for essential drugs declined to 80.95%. The average
number of drugs prescribed per encounter marginally declined in both
schizophrenia (2.46+/-0.94 to 2.34+/-0.65) and depression (2.09+/-0.79 to 2.00+/
0.65) after the second intervention. The patients receiving two or more drugs
from the same group together declined from 12 to 9% in schizophrenia, but
increased from 5 to 10% in depression after intervention. Trihexyphenidyl, an
antiparkinsonian drug, was co-prescribed (90%) with antipsychotic agents (98%) in
schizophrenia; however, use of benzodiazepines declined significantly after
intervention to 28% compared to 48% in the pre-intervention period. Also,
benzodiazepine use was high (68%) and remained so (70%) after interventions in
depression cases. CONCLUSION The present study demonstrates excessive use of
antiparkinsonian agents in schizophrenia and benzodiazepines in depression.
Monitoring for the use of antiparkinsonian and benzodiazepines can form an
important component for measuring specific aspects of prescriber's behaviour,
which can be used as an indicator for comparisons at different time intervals and
between health facilities.
PMID- 24930407
TI - Ribavirin dose reduction during telaprevir/ribavirin/peg-interferon therapy
overcomes the effect of the ITPA gene polymorphism.
AB - Treatment success of chronic hepatitis C virus genotype 1 infection has improved
with the advent of telaprevir plus peg-interferon/ribavirin triple combination
therapy. However, the effect of inosine triphosphatase (ITPA) polymorphism on
dose reduction during triple therapy, especially during the postmarketing phase,
has not been sufficiently evaluated. We analysed 273 patients with genotype 1
infection who were treated with triple therapy and assessed the effect of the
ITPA polymorphism on dose reduction. ITPA and IFNL4 SNP genotypes were determined
by the Invader assay. A stepwise multivariate regression analysis was performed
to identify factors associated with outcome of the therapy. The overall sustained
viral response (SVR) rate 12 weeks after the end of therapy was 80.2% (219/273).
Decline of haemoglobin was significantly faster, and ribavirin was more
extensively reduced in patients with ITPA SNP rs1127354 genotype CC than CA/AA.
Extensive reduction of ribavirin resulted in mild reduction of telaprevir and peg
interferon, but no significant increase in viral breakthrough. Although the
amount of telaprevir given was slightly higher in CA/AA patients, the total dose
of peg-interferon and the SVR rate did not differ between the two groups.
Multivariate analysis showed that IFNL4 but not ITPA SNP genotype, platelet count
and peg-interferon adherence were significantly associated with outcome of
therapy. Postmarketing-phase triple therapy resulted in a high SVR rate in spite
of extensive ribavirin dose reduction in a diverse patient population, indicating
the importance of treatment continuation and appropriate management of adverse
events.
PMID- 24930410
TI - Sexual assault on wards: Staff actions and reactions.
AB - INTRODUCTION The aim of the study was to look at staff practices when patients
had been sexually assaulted, and to look at their emotions around an event that
normally causes outrage amongst those who deal with it. METHODS A 16-item, five
point questionnaire was supplied to all medical and nursing staff working on five
wards of a psychiatric inpatient unit. Demographic details of respondents and
their work experience of sexual assault (defined as all forms of sexual touching
without consent, including rape) were also noted. There were four additional
questions inviting a free text response. Two questions were posed about inpatient
sexuality in general, and two about sexual assault. RESULTS Staff generally
agreed about what action should be taken in cases of sexual assault and, except
for anger, experienced low levels of negative emotions when dealing with cases
amongst patients. However, weariness was a key emotion, correlating with post
held, levels of anger, depression, and likelihood of helping the patients inform
the police. Consultants were the most weary professional group. Free text written
responses revealed widespread concern amongst staff that women inpatients forming
sexual relationships are vulnerable to exploitation and abuse, and that staff
will be blamed in the event of sexual assault. CONCLUSION Policy documents should
specifically address issues around staff intervention in inpatients' sexual
lives.
PMID- 24930411
TI - Sex and segregation: Staff attitudes explored.
AB - INTRODUCTION The aim of the study was to establish whether there was consistency
of opinion amongst staff about mixed sex wards and the sexual activity of
inpatients. Also to establish what demographic factors, if any, modulated those
opinions. METHOD A 17-item, five-point, questionnaire was supplied to all medical
and nursing staff working on five wards of a psychiatric inpatient unit.
Demographic details of the respondents were also recorded. RESULTS Some items
produced almost unanimous responses: 92.5% agreeing or strongly agreeing that
some inpatients form sexually active relationships that are detrimental to their
health. Staff felt that single sex wards should be available but were unsure that
they would improve quality of life for either patients or staff. The strongest
factor predicting response was profession (doctor or nurse), the responses being
significantly different in eight of the 17 items. Doctors were more likely to
believe that women's and staff's lives would be better on single sex wards and
were less likely to be satisfied with current arrangements. They were also more
likely to believe that sex was common among inpatients, and that there was an
unofficial place to go in hospitals to have sex. Doctors worried more about the
patient choice of sexual partner and believed that health workers should have a
role in influencing that choice. Doctors also felt more strongly that patients
sometimes form sexually active relationships of benefit to health. Ward was the
next most important, being significantly different in four of the 17 questions.
Gender and age of respondents produced few differences. CONCLUSIONS In view of
the United Kingdom government commitment to phasing out mixed sex hospital
accommodation by 2002 (Safety, privacy and dignity in mental health units -
Guidance on mixed sex accommodation, Department of Health, 2000), the doubts of
staff about improvement to inpatient quality of life, and their own quality of
working life need to be further examined. Staff attitudes generally are more
likely to be determined by job or ward of employment than the staff's gender,
age, or experience of psychiatry. This may have implications in tailoring
training schemes for staff of inpatient psychiatric units.
PMID- 24930412
TI - Comparison of escitalopram and citalopram efficacy: A meta-analysis.
AB - OBJECTIVE Escitalopram is a new selective serotonin reuptake inhibitor (SSRI)
indicated for the treatment of major depressive disorder (MDD) and panic
disorder. Escitalopram is the therapeutically active enantiomer of citalopram.
Its efficacy in the treatment of MDD was compared to that of citalopram. METHODS
A quantitative meta-analysis was applied to 1262 patients in four randomised
clinical trials; the comparison was based on response rate and mean change from
baseline in the Montgomery-Asberg depression rating scale (MADRS) total score at
week 8. Complementary analyses were performed on early MADRS change from baseline
(week 1), in very severely depressed patients (baseline MADRS total score >=35)
and on the influence of the level of severity at baseline. RESULTS Compared with
citalopram, escitalopram-treated patients showed significantly higher response
rates and increased mean change from baseline in MADRS at weeks 1 and 8. The
superiority of escitalopram over citalopram was more pronounced in very severely
depressed patients. This superiority was further shown to increase with degree of
severity of the depression. The robustness of meta-analysis results was supported
by sensitivity analyses. The clinical superiority of escitalopram versus
citalopram is consistent with the results of preclinical pharmacological studies.
CONCLUSION Escitalopram was shown to be an effective therapeutic treatment for
MDD, presenting significant advantages over citalopram.
PMID- 24930413
TI - Major depressive disorder and response to citalopram treatment in women attending
menopause clinic.
AB - INTRODUCTION The authors investigated the prevalence of depressive disorders and
response to citalopram among perimenopausal women visiting menopause clinics.
METHOD One hundred and eighty-five consecutive outpatients were screened using
the short Beck Depression Inventory. A psychiatrist investigated persons who
showed medium or severe Beck depression. In the case of DSM-IV major depressive
episode, a 6-week open trial with citalopram (20-40 mg daily) was started. The 17
item Hamilton Depression Rating Scale (HDRS) measured the severity of depression
at baseline and at weeks 3 and 6. The primary outcome measure was the rate of
responders at weeks 3 and 6 (more than 50% drop in the total HDRS score at weeks
3 and 6 compared to baseline). RESULTS Of the 185 consecutive outpatients
screened, 48 (26%) have experienced medium or severe Beck depression, and 37 of
them (20%) had DSM-IV major depression. Citalopram was started in 30 patients
(daily doses ranged from 20 to 40 mg) and 21 (70%) finished the trial. The rate
of responders at week 3 was 7/22 (32%) and at week 6 was 13/21 (62%). CONCLUSIONS
Depressive disorders are common among perimenopausal women visiting menopause
clinics, and the majority of those with depression respond well to citalopram.
Interdisciplinary cooperation is the key point of the detection and follow up of
these patients.
PMID- 24930414
TI - Symptomatic hypotension with venlafaxine-benzodiazepine interaction.
AB - Venlafaxine is an effective antidepressant drug that is chemically distinct from
other antidepressants. Alprazolam is a triazolobenzodiazepine and diazepam is a 2
ketobenzodiazepine. Benzodiazepines are frequently co-administered with
antidepressants, a fact that brings the problem of drug-drug interactions,
because they are metabolized by various cytochrome pigment (CYP) 450 isoenzymes.
We present three cases who developed symptomatic hypotension with co
administration of venlafaxine and benzodiazepines, namely, alprazolam and
diazepam. In all cases, arterial blood pressure returned to normal with the
discontinuation of pharmacological treatment. Although there is insufficient
evidence, a substantial inhibition of CYP 3A3/4 by venlafaxine could result in a
meaningful increase in plasma levels of venlafaxine, O-desmethylvenlafaxine,
alprazolam and diazepam, particularly in patients who are CYP 2D6 deficient. A
less likely explanation for the interaction between venlafaxine and
benzodiazepines would be CYP 3A3/4 deficiency, which might potentiate the
increase in plasma levels of benzodiazepines, thereby increasing their adverse
effect potential. Combination of venlafaxine and benzodiazepines may increase the
incidence and severity of adverse effects of both drugs.
PMID- 24930415
TI - Granulocytopenia associated with neuroleptic therapy in a patient with benign
familial leukopenia.
AB - Benign familial leukopenia (BFL) has been reported in several ethnic groups,
including Ethiopians of Jewish origin. To date, there are no reported cases of
patients with BFL developing granulocytopenia following administration of
neuroleptics. We report a case of a young Ethiopian Jew suffering from
schizophrenia, who exhibited premorbid benign reduced white blood cells (WBC)
count and developed leukopenia and neutropenia following exposure to typical
(zuclopentixol, perphenazine, haloperidol) antipsychotics and the atypical
antipsychotic risperidone. The diagnosis of BFL was established and tissue typing
of the patient was determined. To the best of our knowledge, this is the first
report of leukopenia with neutropenia in an ethnically susceptible (due to BFL)
schizophrenia patient following exposure to typical and atypical antipsychotics.
HLA typing of this patient was distinct from that reported in patients
susceptible to clozapine-induced agranulocytosis. Further extensive
investigations including HLA typing in a larger cohort of schizophrenic patients
is needed in order to define the association between HLA haplotypes and
neuroleptic-induced hematological reactions and to identify the potentially
vulnerable individuals.
PMID- 24930421
TI - Speaker abstracts.
PMID- 24930416
TI - Safety of fluoxetine treatment in a case of acute intermittent porphyria.
AB - Acute intermittent porphyria (AIP) is a metabolic disease characterized by
recurrent attacks of neurological and psychiatric dysfunction. It is a rare
disorder of heme metabolism that usually presents with abdominal pain,
gastrointestinal symptoms and autonomic nervous system disturbances. Exposure to
certain drugs, dieting, starvation and infection during pregnancy may precipitate
AIP attacks. Psychiatric manifestations of AIP include mood changes, organic
brain syndrome and psychosis. Here, we present a 21-year-old female patient with
AIP and major depression. She had a caesarean section under general anesthesia
with pentothal and her recovery time from anesthesia took longer than usual. She
had a blood transfusion because of severe anemia following the operation. Three
days after her discharge she was readmitted to the hospital with confusion and
seizure. It was her first AIP attack and it started 6 days after caesarean
section. Two months after her first attack, we saw her for anxiety and depressive
symptoms. She was in severe anxiety and depression and she was put on fluoxetine
(20 mg/day liquid form). Following the treatment she did not develop any other
porphyria attack. Her symptoms vanished and she improved functionally. She stayed
on fluoxetine for 6 months without any new AIP attack. Despite limited data
regarding fluoxetine therapy in porphyria patients, it seems to be safe for the
treatment of depressive and anxiety symptoms in these patients.
PMID- 24930422
TI - The use of the Simpson Angus Scale for the assessment of movement disorder: A
training guide.
AB - The Simpson Angus Scale is commonly used for the assessment of Parkinsonian
Movement Disorder related to psychiatric drug treatment. The authors present a
practical guide to the use of the scale to assist both the learner and the
teacher.
PMID- 24930423
TI - Thyroid imaging reporting and data system score combined with Bethesda system for
malignancy risk stratification in thyroid nodules with indeterminate results on
cytology.
AB - CONTEXT: The thyroid imaging reporting and data system (TI-RADS) was designed to
better select patients who had undergone fine-needle aspiration biopsies (FNABs)
with high sensitivity and accuracy. However, the combination of TI-RADS scores
and Bethesda system categories in indeterminate thyroid nodules has not been
examined extensively. OBJECTIVE: This study aimed to stratify indeterminate
thyroid nodules (Bethesda categories III, IV and V) according to risk of
malignancy as determined by combining TI-RADS score with Bethesda system
classification. DESIGN: Retrospective study. Histopathological, cytological and
ultrasound (US) data were available for 242 cases after surgery, including 136
indeterminate nodules. METHODS: All thyroid cytopathological slides and US
reports were reviewed and classified according to Bethesda system and TI-RADS
categories. The malignancy rate was determined for each Bethesda category, TI
RADS score and both methods combined of indeterminate nodules. RESULTS: The
malignancy rates were 8.7%, 51.3% and 67.5% for Bethesda categories III, IV and
V, respectively. Based on histopathological comparison, the accuracy was 66.7%
for TI-RADS greyscale. TI-RADS 3 and 4A scores were observed in 80% of Bethesda
III cases, which led to 80% sensitivity and 90% of negative predictive value
(NPV). In contrast, for nodules scored as TI-RADS 4B and 5, the combined
cytological results of Bethesda IV and V resulted in a higher risk of malignancy
(75% and 76.9%, respectively, P < 0.001). CONCLUSIONS: In view of the high NPV of
TI-RADS 3/4A only in Bethesda III category, a surgical approach could be
considered for lesions defined as Bethesda III, IV and V when TI-RADS 4B and 5
were concomitant.
PMID- 24930424
TI - Separation and identification of anthocyanin extracted from mulberry fruit and
the pigment binding properties toward human serum albumin.
AB - Purple pigments were isolated from mulberry extracts using preparative high-speed
countercurrent chromatography (HSCCC) and identified by ESI-MS/MS and high
performance liquid chromatography (HPLC) techniques. The solvent system
containing methyl tert-butyl ether, 1-butanol, acetonitrile, water, and
trifluoroacetic acid (10:30:10:50:0.05; %, v/v) was developed in order to
separate anthocyanins with different polarities. Cyanidin 3-O-(6"-O-alpha
rhamnopyranosyl-beta-galactopyranoside) (also known as keracyanin) is the major
component present in mulberry (41.3%). Other isolated pigments are cyanidin 3-O
(6"-O-alpha-rhamnopyranosyl-beta-glucopyranoside) and petunidin 3-O-beta
glucopyranoside. The binding characteristics of keracyanin with human serum
albumin (HSA) were investigated by fluorescence and circular dichroism (CD)
spectroscopy. Spectroscopic analysis reveals that HSA fluorescence quenched by
keracyanin follows a static mode. Binding of keracyanin to HSA mainly depends on
van der Waals force or H-bonds with average binding distance of 2.82 nm. The
results from synchronous fluorescence, three-dimensional fluorescence, and CD
spectra show that adaptive structure rearrangement and decrease of alpha-helical
structure occur in the presence of keracyanin.
PMID- 24930425
TI - Syringe disposal among people who inject drugs in Los Angeles: the role of
sterile syringe source.
AB - BACKGROUND: Few recent studies have investigated the prevalence of improperly
discarded syringes in community settings by people who inject drugs (PWID). We
examined whether syringe source was associated with the act of improper syringe
disposal and amount of syringes improperly disposed of among PWID in Los Angeles,
California. METHODS: A cross-sectional sample of PWID (N=412) was recruited and
administered a structured questionnaire between July 2011 and April 2013.
Descriptive analyses investigated syringe access and disposal practices among
participants. Multivariate logistic regression analysis identified adjusted
associations between syringe source (syringe exchange program [SEP] or pharmacy)
and improper syringe disposal. RESULTS: Most participants were male (69%),
homeless (62%) and low-income earners (64%). The majority (87%) of the sample
received syringes from a SEP in the past six months, with 26% having received
syringes from pharmacies and 36% from unauthorised sources (e.g., friend, drug
dealer). Of more than 30,000 used syringes reportedly disposed of by participants
during the past 30 days, 17% were disposed of improperly. Two percent of
participants disposed of any used syringes at pharmacies, compared to 68% who
used SEPs for syringe disposal. Having received sterile syringes from a SEP was
independently associated with lower odds of improper syringe disposal; however,
purchasing sterile syringes from pharmacies was associated with significantly
higher odds of improper syringe disposal. CONCLUSION: In a city with both SEPs
and pharmacies as syringe source and disposal options for PWID, these findings
suggest that while pharmacies are selling syringes, they are not as readily
involved in safe syringe disposal. Given limits on SEP availability and the large
geographic size of Los Angeles County, augmenting current SEP services and
providing other community disposal sites, including pharmacy disposal, processes
could reduce improper syringe disposal among PWID in Los Angeles.
PMID- 24930427
TI - Formal [4+2]-annulation of vinyl azides with N-unsaturated aldimines.
AB - Highly functionalized quinolines and pyridines could be synthesized by BF3?OEt2
mediated reactions of vinyl azides with N-aryl and N-alkenyl aldimines,
respectively. The reaction mechanism could be characterized as formal [4+2]
annulation, including unprecedented enamine-type nucleophilic attack of vinyl
azides to aldimines and subsequent nucleophilic cyclization onto the resulting
iminodiazonium ion moieties.
PMID- 24930426
TI - Spatial gene expression analysis in tomato hypocotyls suggests cysteine as key
precursor of vascular sulfur accumulation implicated in Verticillium dahliae
defense.
AB - Verticillium dahliae is a prominent generator of plant vascular wilting disease
and sulfur (S)-enhanced defense (SED) mechanisms contribute to its in-planta
elimination. The accumulation of S-containing defense compounds (SDCs) including
elemental S (S(0) ) has been described based on the comparison of two near
isogenic tomato (Solanum lycopersicum) lines differing in fungal susceptibility.
To better understand the effect of S nutrition on V. dahliae resistance both
lines were supplied with low, optimal or supraoptimal sulfate-S. An absolute
quantification demonstrated a most effective fungal elimination due to luxury
plant S nutrition. High-pressure liquid chromatography (HPLC) showed a strong
regulation of Cys levels and an S-responsive GSH pool rise in the bulk hypocotyl.
High-frequency S peak accumulations were detected in vascular bundles of
resistant tomato plants after fungal colonization by laser ablation-inductively
coupled plasma-mass spectrometry (LA-ICP-MS). Global transcriptomic analysis
suggested that early steps of the primary S metabolism did not promote the SDCs
synthesis in the whole hypocotyl as gene expression was downregulated after
infection. Enhanced S fertilization mostly alleviated the repressive fungal
effect but did not reverse it. Upregulation of glutathione (GSH)-associated genes
in bulk hypocotyls but not in vascular bundles indicated a global antioxidative
role of GSH. To finally assign the contribution of S metabolism-associated genes
to high S(0) accumulations exclusively found in the resistant tomato line, a
spatial gene expression approach was applied. Laser microdissection of infected
vascular bundles revealed a switch toward transcription of genes connected with
cysteine (Cys) synthesis. The upregulation of LeOASTLp1 suggests a role for Cys
as key precursor for local S accumulations (possibly S(0) ) in the vascular
bundles of the V. dahliae-resistant tomato line.
PMID- 24930428
TI - Rate of low vitamin D levels in a low-risk obstetric population.
AB - INTRODUCTION: The objectives of this study were to determine vitamin D levels at
the first prenatal visit in women who had no obstetric risk factors and, if
determined to have a low vitamin D level, to evaluate how high-dose treatment
affected the vitamin D level by the end of the second trimester. METHODS: This
study was a descriptive, retrospective chart review. Women were identified
through electronic database query based on prenatal care initiation. One hundred
and four women had a 25-hydroxy (OH) vitamin D (25[OH]D) serum level drawn at the
first prenatal visit. Supplementation was recommended with either 2000 or 4000
units of vitamin D3 for women who had vitamin D insufficiency or deficiency.
Twenty women who were treated had repeat vitamin D levels drawn at 28 weeks'
gestation to evaluate the effectiveness of supplementation. RESULTS: Descriptive
analysis of demographic data of 104 women who had 25(OH)D levels drawn determined
there were no significant differences between women who were vitamin D deficient
or insufficient and those whose serum levels were in the sufficient range.
Vitamin D serum levels revealed that 32 (30.8%) were sufficient (>30 ng/mL), 49
(47.1%) were insufficient (20-29 ng/mL), and 23 (22.1%) were deficient (<20
ng/mL). Twenty women had a repeat measure of 25(OH)D after supplementation, and
there was a significant rise in vitamin D level (P < .001) with vitamin D3
supplementation. However, women who took 4000 units had a higher mean rise (13.17
ng/mL) in 25(OH)D than those taking 2000 units (8.79 ng/mL). Twelve of the women
(60%) were still insufficient after supplementation with 2000 units of vitamin D3
. DISCUSSION: This small sample of women demonstrates a significant degree of
insufficiency and deficiency in this desert community where sunshine is
plentiful. A larger study should be completed to determine ideal supplementation
during pregnancy.
PMID- 24930430
TI - Polyketide biosynthesis in dinoflagellates: what makes it different?
AB - Dinoflagellates produce unique polyketides characterized by their size and
complexity. The biosynthesis of a limited number of such metabolites has been
reported, with studies largely hampered by the low yield of compounds and the
severe scrambling of label in the isotopically-labeled precursors. Nonetheless,
of the successful biosynthetic experiments that have been reported, many
surprising and unique processes have been discovered. This knowledge has been
accessed through a series of biochemical labeling studies, and while limited
molecular genetic data has been amassed, it is still in the early stages of
development. In an attempt to meet this challenge, this review has compared some
of the biosynthetic processes with similar ones identified in other microbes such
as bacteria and myxobacteria, with the idea that similar genes and enzymes are
employed by dinoflagellates.
PMID- 24930429
TI - Efficacy of depression treatments for immigrant patients: results from a
systematic review.
AB - BACKGROUND: The unprecedented rates of global migration present unique challenges
to mental health services in migrant receiving countries to provide efficacious
and culturally salient treatment for mental health conditions including
depression. This review aimed to identify and evaluate the effectiveness of
depression interventions specifically directed towards first-generation immigrant
populations. METHODS: We conducted a systematic review of original research
published between 2000 and 2013 that investigated depression interventions in
first generation immigrants. RESULTS: Fifteen studies were included; the majority
focused on Latino immigrants living in the United States (US). Twelve studies
investigated the use of psychotherapies; the remainder examined collaborative
care models and physical exercise-based interventions. Cognitive Behavioral
Therapy and Behavioral Activation tended to improve depressive symptoms,
especially when culturally adapted to suit clients while Problem Solving Therapy
improved depressive symptomology with and without adaptations. Collaborative care
and exercise did not significantly improve depressive symptoms. CONCLUSION:
Depression may be effectively treated by means of psychotherapies, especially
when treatments are culturally adapted. However the reviewed studies were limited
due to methodological weaknesses and were predominantly undertaken in the US with
Latino patients. To improve generalizability, future research should be
undertaken in non-US settings, amongst diverse ethnic groups and utilize larger
sample sizes in either randomized clinical trials or observational cohort
studies.
PMID- 24930431
TI - In vitro efficacy of cefovecin against anaerobic bacteria isolated from
subgingival plaque of dogs and cats with periodontal disease.
AB - Periodontal disease is a common disease of dogs and cats often requiring
antimicrobial treatment as an adjunct to mechanical debridement. However, correct
compliance with oral antimicrobial therapy in companion animals is often
difficult. Cefovecin is a recently introduced veterinary cephalosporin that has
demonstrated prolonged concentrations in extracellular fluid, allowing for dosing
intervals of up to 14 days. Subgingival samples were collected from the oral
cavity of 29 dogs and eight cats exhibiting grade 2 or grade 3 periodontal
disease. Samples were cultivated on Wilkin Chalgrens agar and incubated in an
anaerobic chamber for seven days. Selected anaerobic bacteria were isolated and
identified to species level using 16S rRNA gene sequence analysis. Minimum
inhibitory concentrations were determined for cefovecin and six additional
antimicrobials using the agar dilution methodology recommended by the Clinical
and Laboratory Standards Institute. The 65 clinical isolates were identified as
Porphyromonas gulae (n = 45), Porphyromonas crevioricanis (n = 12), Porphyromonas
macacae (n = 1), Porphyromonas cangingivalis (n = 1) Fusobacterium nucleatum (n =
2), Fusobacterium russii (n = 1) and Solobacterium moorei (n = 3). This is the
first report of S. moorei being isolated from companion animals with periodontal
disease. All isolates were highly susceptible to cefovecin, with a MIC90 of
<=0.125 MUg/ml. Conversely, different resistance rates to ampicillin, amoxicillin
and erythromycin between isolates were detected. Cefovecin is thus shown to be
effective in vitro against anaerobic bacteria isolated from dogs and cats with
periodontal disease.
PMID- 24930432
TI - Production of recombinant botulism antigens: a review of expression systems.
AB - Botulism is a paralytic disease caused by intoxication with neurotoxins produced
by Clostridium botulinum. Despite their similar mechanism of action, the
botulinum neurotoxins (BoNT) are classified in eight serotypes (A to H). As to
veterinary medicine, the impact of this disease is essentially economic, since
different species of production animals can be affected, especially by BoNT/C and
D. In human health, botulism is feared in a possible biological warfare, what
would involve mainly the BoNT/A, B, E and F. In both cases, the most effective
way to deal with botulism is through prevention, which involves vaccination.
However, the current vaccines against this disease have several drawbacks on
their process of production and, besides this, can be dangerous to producers
since it requires certain level of biosafety. This way, recombinant vaccines have
been shown to be a great alternative for the development of vaccines against both
animal and human botulism. All BoNTs have a 50-kDa light chain (LC) and a 100-kDa
heavy chain (HC). The latter one presents two domains of 50 kDa, called the N
terminal (HN) and C-terminal (HC) halves. Among these regions, the HC alone seem
to confer the proper immune response against intoxication. Since innumerous
studies describe the expression of these distinct regions using different
systems, strategies, and protocols, it is difficult to define the best option for
a viable vaccine production. Thereby, the present review describes the
problematic of botulism and discusses the main advances for the viable production
of vaccines for both human and veterinary medicine using recombinant antigens.
PMID- 24930433
TI - Timed regulation of P-element-induced wimpy testis-interacting RNA expression
during rat liver regeneration.
AB - Small noncoding RNAs comprise a growing family of molecules that regulate key
cellular processes, including messenger RNA (mRNA) degradation, translational
repression, and transcriptional gene silencing. P-element-induced wimpy testis
(PIWI)-interacting RNAs (piRNAs) represent a class of small RNAs initially
identified in the germline of a variety of species, where they contribute to
maintenance of genome stability, and recently found expressed also in stem and
somatic cells, where their role and responsiveness to physiopathological signals
remain elusive. Here, we investigated piRNA expression in rat liver and its
response to the stimuli exerted by regenerative proliferation of this organ.
Quantitative polymerase chain reaction analysis identify in the liver the RNAs
encoding PIWIL2/HILI, PIWIL4/HIWI2, and other components of the piRNA biogenesis
pathways, suggesting that this is indeed functional. RNA sequencing before,
during, and after the wave of cell proliferation that follows partial hepatectomy
(PH) identified ~1,400 mammalian germline piRNAs expressed in rat liver,
including 72 showing timed changes in expression 24-48 hours post-PH, a timing
that corresponds to cell transition through the S phase, returning to basal
levels by 168 hours, when organ regeneration is completed and hepatocytes reach
quiescence. CONCLUSION: The piRNA pathway is active in somatic cells of the liver
and is subject to regulation during the pathophysiological process of organ
regeneration, when these molecules are available to exert their regulatory
functions on the cell genome and transcriptome, as demonstrated by the
identification of several liver mRNAs representing candidate targets of these
regulatory RNAs.
PMID- 24930435
TI - PAH concentrations in lake sediment decline following ban on coal-tar-based
pavement sealants in Austin, Texas.
AB - Recent studies have concluded that coal-tar-based pavement sealants are a major
source of polycyclic aromatic hydrocarbons (PAHs) in urban settings in large
parts of the United States. In 2006, Austin, TX, became the first jurisdiction in
the U.S. to ban the use of coal-tar sealants. We evaluated the effect of Austin's
ban by analyzing PAHs in sediment cores and bottom-sediment samples collected in
1998, 2000, 2001, 2012, and 2014 from Lady Bird Lake, the principal receiving
water body for Austin urban runoff. The sum concentration of the 16 EPA Priority
Pollutant PAHs (?PAH16) in dated core intervals and surficial bottom-sediment
samples collected from sites in the lower lake declined about 44% from 1998-2005
to 2006-2014 (means of 7980 and 4500 MUg kg(-1), respectively), and by 2012-2014,
the decline was about 58% (mean of 3320 MUg kg(-1)). Concentrations of ?PAH16 in
bottom sediment from two of three mid-lake sites decreased by about 71 and 35%
from 2001 to 2014. Concentrations at a third site increased by about 14% from
2001 to 2014. The decreases since 2006 reverse a 40-year (1959-1998) upward
trend. Despite declines in PAH concentrations, PAH profiles and source-receptor
modeling results indicate that coal-tar sealants remain the largest PAH source to
the lake, implying that PAH concentrations likely will continue to decline as
stocks of previously applied sealant gradually become depleted.
PMID- 24930436
TI - Study of the usefulness of moisturizers on adherence of acne patients treated
with adapalene.
AB - The efficacy of adapalene for acne treatment has been established. However,
because of local adverse reactions, a fair number of patients discontinue
adapalene treatment before experiencing its effects. To examine the usefulness of
moisturizers concomitant with adapalene on adherence to treatment and its
therapeutic effects in patients, 100 patients with mild to severe acne vulgaris
were randomly assigned to one of two groups: group A, in which patients received
adapalene in combination with a moisturizer (heparinoid); or group B, in which
treatment consisted of adapalene alone and was converted to combination therapy
with a moisturizer if local adverse reactions occurred that made adherence to
treatment difficult. After 4 weeks of treatment, we compared the proportion of
patients who adhered to therapy until the end of week 4, the number of treatment
dropouts, and changes in the number of inflammatory eruptions and comedones
between the groups. All of the patients in group A and 70% in group B continued
the initial therapy until the end of week 4. In group B, three patients withdrew
their consent and one patient stopped attending follow-up visits. The treatment
period with the initial therapy was significantly elongated by the concomitant
use of a moisturizer. No adverse effect of the use of a moisturizer was observed
on the number of comedones and inflammatory eruptions. The concomitant use of a
moisturizer with adapalene from the beginning of treatment did not affect its
therapeutic effects and helped to improve adherence to treatment with adapalene.
PMID- 24930434
TI - Cell-surface central nervous system autoantibodies: clinical relevance and
emerging paradigms.
AB - The recent discovery of several potentially pathogenic autoantibodies has helped
identify patients with clinically distinctive central nervous system diseases
that appear to benefit from immunotherapy. The associated autoantibodies are
directed against the extracellular domains of cell-surface-expressed neuronal or
glial proteins such as LGI1, N-methyl-D-aspartate receptor, and aquaporin-4. The
original descriptions of the associated clinical syndromes were phenotypically
well circumscribed. However, as availability of antibody testing has increased,
the range of associated patient phenotypes and demographics has expanded. This in
turn has led to the recognition of more immunotherapy-responsive syndromes in
patients presenting with cognitive and behavioral problems, seizures, movement
disorders, psychiatric features, and demyelinating disease. Although antibody
detection remains diagnostically important, clinical recognition of these
distinctive syndromes should ensure early and appropriate immunotherapy
administration. We review the emerging paradigm of cell-surface-directed antibody
mediated neurological diseases, describe how the associated disease spectrums
have broadened since the original descriptions, discuss some of the
methodological issues regarding techniques for antibody detection and emphasize
considerations surrounding immunotherapy administration. As these disorders
continue to reach mainstream neurology and even psychiatry, more cell-surface
directed antibodies will be discovered, and their possible relevance to other
more common disease presentations should become more clearly defined.
PMID- 24930437
TI - Keratins 8 and 18 are type II acute-phase responsive genes overexpressed in human
liver disease.
AB - BACKGROUND & AIMS: Keratins (Ks) 7, 8, 18 and 19 constitute important markers and
modifiers of liver disease. In mice, K8 and K18 are stress inducible and a
dysregulated K8 > K18 stoichiometry predisposes to formation of Mallory-Denk
bodies (MDBs), i.e. aggregates characteristic of chronic liver disorders such as
alcoholic liver disease (ALD). In our study, we analyse the expression and the
regulation of keratins in context of human liver disease. METHODS: K7, K8, K18
and K19 mRNA levels were determined in liver biopsies from patients with ALD, non
alcoholic steatohepatitis (NASH), chronic hepatitis B (HBV), hepatitis C (HCV)
and from control subjects. HepG2 and Hep3B cells were treated with IL-1beta, IL-6
and TNF-alpha. Mice were injected with turpentine, an established IL-6 inducer.
RESULTS: K7, K8 and K18 were 1.5- to 3-fold upregulated in livers of ALD and HCV
patients with a more active disease, but not in HBV/NASH subjects, while K19 was
significantly elevated in all analysed disorders. K8 and K18 expression displayed
a strong correlation (r = 0.89), but dysregulated levels with the K8 > K18 state
were seen in ALD. All keratins were overexpressed in subjects with moderate vs.
minimal inflammation, while K7, K8 and K18 were upregulated in patients with
advanced liver fibrosis. In HepG2/Hep3B cells, IL-6 treatment but not IL-1beta or
TNF-alpha significantly increased K8 and K18 expression and elevated K18 levels
were seen after turpentine injection. CONCLUSIONS: Keratins represent type II
acute-phase responsive genes overexpressed in specific human liver disorders. A
K8 > K18 state occurs in ALD and predisposes to MDB formation.
PMID- 24930438
TI - Analysis of 129I in the soils of Fukushima Prefecture: preliminary reconstruction
of 131I deposition related to the accident at Fukushima Daiichi Nuclear Power
Plant (FDNPP).
AB - Iodine-131 is one of the most critical radionuclides to be monitored after
release from reactor accidents due to the tendency for this nuclide to accumulate
in the human thyroid gland. However, there are not enough data related to the
reactor accident in Fukushima, Japan to provide regional information on the
deposition of this short-lived nuclide (half-life = 8.02 d). In this study we
have focused on the long-lived iodine isotope, (129)I (half-life of 1.57 * 10(7)
y), and analyzed it by accelerator mass spectrometry (AMS) for surface soil
samples collected at various locations in Fukushima Prefecture. In order to
obtain information on the (131)I/(129)I ratio released from the accident, we have
determined (129)I concentrations in 82 soil samples in which (131)I
concentrations were previously determined. There was a strong correlation (R(2) =
0.84) between the two nuclides, suggesting that the (131)I levels in soil samples
following the accident can be estimated through the analysis of (129)I. We have
also examined the possible influence from (129m)Te on (129)I, and found no
significant effect. In order to construct a deposition map of (131)I, we
determined the (129)I concentrations (Bq/kg) in 388 soil samples collected from
different locations in Fukushima Prefecture and the deposition densities
(Bq/m(2)) of (131)I were reconstructed from the results.
PMID- 24930439
TI - Nodular cystic fat necrosis with calcification in a patient with juvenile
dermatomyositis.
AB - Nodular cystic fat necrosis is a rare, benign form of encapsulated fat necrosis
with distinct histology, characterized by cystic fat necrosis with lipomembranous
changes and, in later stages, calcification. We report the case of a 7-year-old
child with juvenile dermatomyositis who presented with three asymptomatic, firm,
mobile nodules on the arms and neck. Histology was consistent with nodular cystic
fat necrosis with prominent calcification. This is an unusual presentation of
this entity because it has never been previously reported in association with
juvenile dermatomyositis.
PMID- 24930440
TI - Therapeutic targeting of c-Myc in T-cell acute lymphoblastic leukemia, T-ALL.
AB - T-ALL patients treated with intensive chemotherapy achieve high rates of
remission. However, frequent long-term toxicities and relapses into chemotherapy
refractory tumors constitute major clinical challenges which could be met by
targeted therapies. c-MYC is a central oncogene in T-ALL, prompting the
exploration of the efficacy of MYC inhibitors such as JQ1 (BET-bromodomain
inhibitor), and SAHA (HDAC inhibitor). Using a standardized ex vivo drug
screening assay, we show here that JQ1 and SAHA show competitive efficiency
compared to inhibitors of proteasome, PI3K/AKT/mTOR and NOTCH pathways, and
synergize in combination with Vincristine. We also compared for the first time
the in vivo relevance of such associations in mice xenografted with human primary
T-ALLs. Our data indicate that although treatments combining JQ1 or SAHA with
chemotherapeutic regimens might represent promising developments in T-ALL,
combinations will need to be tailored to specific subgroups of responsive
patients, the profiles of which still remain to be precisely defined.
PMID- 24930441
TI - Semaphorin 3E secreted by damaged hepatocytes regulates the sinusoidal
regeneration and liver fibrosis during liver regeneration.
AB - The liver has a remarkable capacity to regenerate after injury. Although the
regulatory mechanisms of hepatocytic regeneration have been a subject of intense
study, the dynamism of the sinusoids, specialized blood vessels in the liver,
remains largely unknown. Transient activation of hepatic stellate cells and
hepatic sinusoidal endothelial cells, which constitute the sinusoids, contributes
to liver regeneration during acute injury, whereas their sustained activation
causes liver fibrosis during chronic injury. We focused on understanding the
association between damaged hepatocytes and sinusoidal regeneration or liver
fibrogenesis using a carbon tetrachloride-induced liver injury mouse model.
Damaged hepatocytes rapidly expressed semaphorin 3E (Sema3e), which induced
contraction of sinusoidal endothelial cells and thereby contributed to activating
hepatic stellate cells for wound healing. In addition, ectopic and consecutive
expression of Sema3e in hepatocytes by the hydrodynamic tail-vein injection
method resulted in disorganized regeneration of sinusoids and sustained
activation of hepatic stellate cells. In contrast, liver fibrosis ameliorated in
Sema3e-knockout mice compared with wild-type mice in a chronic liver injury
model. Our results indicate that Sema3e, secreted by damaged hepatocytes, affects
sinusoidal regeneration in a paracrine manner during liver regeneration,
suggesting that Sema3e is a novel therapeutic target in liver fibrogenesis.
PMID- 24930442
TI - Maternal high-dose folic acid during pregnancy and asthma medication in the
offspring.
AB - PURPOSE: Low-dose folic acid supplementation (0.5 mg) taken during pregnancy has
been associated with an increased risk for childhood asthma. The effect of high
dose folic acid (5 mg) advised to women at risk for having a child with neural
tube defect has not been assessed so far. Our aim was to investigate the effect
of dispensed high-dose folic acid during pregnancy and asthma medication in the
offspring. METHODS: We used data from the pregnancy database IADB.nl, which
contains pharmacy-dispensing data of mothers and children from community
pharmacies in the Netherlands from 1994 until 2011. The dispension of asthma
medication in children exposed in utero to high-dose folic acid was compared with
children who were not exposed to this high dose. Incidence rate ratios (IRRs)
with 95% confidence intervals (CIs) were calculated. RESULTS: In 2.9% (N = 913)
of the 39,602 pregnancies in the database, the mother was dispensed high-dose
folic acid. Maternal high-dose folic acid was associated with an increased rate
of asthma medication among children: recurrent asthma medication IRR = 1.14
(95%CI: 1.04-1.30) and recurrent inhaled corticosteroids IRR = 1.26 (95%CI: 1.07
1.47). Associations were clustered on the mother and adjusted for maternal age,
maternal asthma medication, and dispension of benzodiazepines during pregnancy.
CONCLUSION: Almost 3% of the children were prenatally exposed to high-dose folic
acid. This study suggests that supplementation of high-dose folic acid during
pregnancy might increase the risk of childhood asthma.
PMID- 24930443
TI - Cost of an acting intern: clinical productivity in the academic emergency
department.
AB - BACKGROUND: A few studies suggest that an increasing clinical workload does not
adversely affect quality of teaching in the Emergency Department (ED); however,
the impact of clinical teaching on productivity is unknown. OBJECTIVES: The
primary objective of this study was to determine whether there was a difference
in relative value units (RVUs) billed by faculty members when an acting
internship (AI) student is on shift. Secondary objectives include comparing RVUs
billed by individual faculty members and in different locations. METHODS: A
matched case-control study design was employed, comparing the RVUs generated
during shifts with an Emergency Medicine (EM) AI (cases) to shifts without an AI
(controls). Case shifts were matched with control shifts for individual faculty
member, time (day, swing, night), location, and, whenever possible, day of the
week. Outcome measures were gross, procedural, and critical care RVUs. RESULTS:
There were 140 shifts worked by AI students during the study period; 18 were
unmatchable, and 21 were night shifts that crossed two dates of service and were
not included. There were 101 well-matched shift pairs retained for analysis.
Gross, procedural, and critical care RVUs billed did not differ significantly in
case vs. control shifts (53.60 vs. 53.47, p=0.95; 4.30 vs. 4.27, p=0.96; 3.36 vs.
3.41, respectively, p=0.94). This effect was consistent across sites and for all
faculty members. CONCLUSIONS: An AI student had no adverse effect on overall,
procedural, or critical care clinical billing in the academic ED. When matched
with experienced educators, career-bound fourth-year students do not detract from
clinical productivity.
PMID- 24930444
TI - Do hemolyzed potassium specimens need to be repeated?
AB - BACKGROUND: In the emergency department (ED), hyperkalemia in the presence of
hemolysis is common. Elevated hemolyzed potassium levels are often repeated by
emergency physicians to confirm pseudohyperkalemia and to exclude a life
threatening true hyperkalemia. OBJECTIVES: We hypothesize that in patients with a
normal renal function, elevated hemolyzed potassium, and normal electrocardiogram
(ECG), there may not be a need for further treatment or repeat testing and
increased length of stay. METHODS: Data were prospectively enrolled patients
presenting to the ED from July 2011 to February 2012. All adult subjects who had
a hemolyzed potassium level >= 5.5 mEq/dL underwent a repeat potassium level and
ECG. The incidence of true hyperkalemia in this population was measured. RESULTS:
A total of 45 patients were enrolled. The overall median age was 52 years (range
25-83 years); 22 were female (49%). In patients with hyperkalemia on initial
blood draw and glomerular filtration rate (GFR) >= 60 (n = 45), the negative
predictive value was 97.8% (95% confidence interval [CI] 88.2-99.9%). When
patients had hyperkalemia on initial blood draw, GFR >= 60, and a normal ECG (n =
42), the negative predictive value was 100% (95% CI 93.1-100%). CONCLUSIONS: In
the setting of hemolysis, GFR >= 60 mL/min in conjunction with a normal ECG is a
reliable predictor of pseudohyperkalemia and may eliminate the need for repeat
testing. In patients with a normal GFR who are otherwise deemed safe for
discharge, our results indicate there is no need for repeat testing.
PMID- 24930445
TI - Left-sided superior vena cava and venous hyperoxia masquerading as inadvertent
carotid artery catheterization.
AB - BACKGROUND: A persistent left-sided superior vena cava (PLSVC) is a rare, often
asymptomatic, venous anomaly that may be first diagnosed during central venous
catheterization. During chest radiograph interpretation, a PLSVC can be confused
with inadvertent arterial catheterization. CASE REPORT: We describe the
presentation of a 45-year-old man with end-stage liver disease who required
central venous catheterization for treatment of septic shock. An aberrantly
placed catheter noted on chest radiograph and an elevated central venous oxygen
saturation gave the appearance of inadvertent carotid artery catheterization. WHY
SHOULD AN EMERGENCY PHYSICIAN BE AWARE OF THIS?: A persistent left-sided superior
vena cava can masquerade as an inadvertent cannulation of the carotid artery
during central line placement. It is important for emergency physicians to be
aware of this possibility when evaluating a chest radiograph with an aberrantly
placed catheter. Venous hyperoxia may further complicate attempts to
differentiate between arterial and venous catheterization in patients with septic
shock. After confirmatory tests, the emergency physician should consider removal
of the catheter due to potential complications.
PMID- 24930447
TI - Construction and characterization of a recombinant invertebrate iridovirus.
AB - Chilo iridescent virus (CIV), officially named Insect iridescent virus 6 (IIV6),
is the type species of the genus Iridovirus (family Iridoviridae). In this paper
we constructed a recombinant CIV, encoding the green fluorescent protein (GFP).
This recombinant can be used to investigate viral replication dynamics. We showed
that homologous recombination is a valid method to make CIV gene knockouts and to
insert foreign genes. The CIV 157L gene, putatively encoding a non-functional
inhibitor of apoptosis (IAP), was chosen as target for foreign gene insertion.
The gfp open reading frame preceded by the viral mcp promoter was inserted into
the 157L locus by homologous recombination in Anthonomus grandis BRL-AG-3A cells.
Recombinant virus (rCIV-Delta157L-gfp) was purified by successive rounds of
plaque purification. All plaques produced by the purified recombinant virus
emitted green fluorescence due to the presence of GFP. One-step growth curves for
recombinant and wild-type CIV were similar and the recombinant was fully
infectious in vivo. Hence, CIV157L can be inactivated without altering the
replication kinetics of the virus. Consequently, the CIV 157L locus can be used
as a site for insertion of foreign DNA, e.g. to modify viral properties for
insect biocontrol.
PMID- 24930448
TI - A highly immunogenic fragment derived from Zaire Ebola virus glycoprotein elicits
effective neutralizing antibody.
AB - In order to produce polyvalent vaccines based on single rVSV vector, we
investigated the immunogenicity, antibody neutralizing activity, and antigenic
determinant domain of Zaire Ebola's fragment MFL (aa 393-556) that contains furin
site and internal fusion loop. Both the recombinant protein and the recombinant
plasmid of fragment MFL elicited high levels of antibody, similar to those of
Zaire Ebola GP (ZGP). The MFL fragment of ZGP also elicited high levels of
neutralizing antibody and induced moderate cellular immune response in mice, as
revealed by the proliferation and cytokine secretion of splenocytes. Through the
analysis of the induction of neutralizing antibody by pVAX1-based recombinant
plasmids that expressed truncated fragments of MFL, we found that the domain
containing the internal fusion loop and the furin site was the major contributor
of fragment MFL's immunogenicity. Furthermore, the rVSV-based bivalent vaccine
expressing Sudan Ebola GP (SGP) and MFL fragment elicited efficient cross
immunity against ZGP and SGP with high levels of neutralizing antibody. Our
results indicate that fragment MFL is an effective and novel antigen for the
production of neutralizing antibody and polyvalent vaccines of Ebola virus.
PMID- 24930450
TI - Formation of octameric methylaluminoxanes by hydrolysis of trimethylaluminum and
the mechanisms of catalyst activation in single-site alpha-olefin polymerization
catalysis.
AB - Hydrolysis of trimethylaluminum (TMA) leads to the formation of
methylaluminoxanes (MAO) of general formula (MeAlO)n (AlMe3)m. The
thermodynamically favored pathway of MAO formation is followed up to n=8, showing
the major impact of associated TMA on the structural characteristics of the MAOs.
The MAOs bind up to five TMA molecules, thereby inducing transition from cages
into rings and sheets. Zirconocene catalyst activation studies using model MAO co
catalysts show the decisive role of the associated TMA in forming the
catalytically active sites. Catalyst activation can take place either by Lewis
acidic abstraction of an alkyl or halide ligand from the precatalyst or by
reaction of the precatalyst with an MAO-derived AlMe2(+) cation. Thermodynamics
suggest that activation through AlMe2(+) transfer is the dominant mechanism
because sites that are able to release AlMe2(+) are more abundant than Lewis
acidic sites. The model catalyst system is demonstrated to polymerize ethene.
PMID- 24930449
TI - Comparisons of five Saccharomyces cerevisiae strains for ethanol production from
SPORL-pretreated lodgepole pine.
AB - The performances of five yeast strains under three levels of toxicity were
evaluated using hydrolysates from lodgepole pine pretreated by Sulfite
Pretreatment to Overcome the Recalcitrance of Lignocelluloses (SPORL). The
highest level of toxicity was represented by the whole pretreated biomass slurry,
while intermediate toxicity was represented by the hydrolysate with partial
loading of pretreatment spent liquor. The zero toxicity was represented using the
enzymatic hydrolysate produced from thoroughly washed SPORL lodgepole pine
solids. The results indicate that strains D5A and YRH400 can tolerate the whole
pretreated biomass slurry to produce 90.1 and 73.5% theoretical ethanol yield.
Strains Y1528, YRH403, and FPL450 did not grow in whole hydrolysate cultures and
were observed to have lower ethanol productivities than D5A and YRH400 on the
hydrolysate with intermediate toxicity. Both YRH400 and YRH403 were genetically
engineered for xylose fermentation but were not able to consume xylose
efficiently in hydrolysate.
PMID- 24930451
TI - Can pegylated interferon alpha 2a cause development of thyroid disorders in
patients with chronic hepatitis B?
AB - INTRODUCTION: Hepatitis B virus infection is treated with pegylated (Peg)
IFNalpha and nucleos(t)ide analogues. The disadvantages of PegIFNalpha include
thyroid disorders. In this single-center study, the type, incidence and
consequences of thyroid dysfunction in patients receiving PegIFNalpha due to
chronic hepatitis B (CHB) were analyzed. PATIENTS AND METHODS: The analysis
included 106 patients (80 males) with CHB, aged 20 - 58 years, treated with
PegIFNalpha-2a at a dose of 180 MUg/week subcutaneously for 48 weeks. The levels
of thyroid-stimulating hormone (TSH) and thyroid antibodies (TAbs) that is anti
thyroid peroxidase antibodies (TPOAb) and thyroglobulin antibodies were measured
in all patients at baseline. Furthermore, TSH was measured every 3 months during
treatment and for 12 months after completion of treatment. If the TSH level was
abnormal, free thyroxine 4 levels and TAbs were measured. RESULTS: All patients
started the therapy with normal TSH and TAb levels. In 99 patients, TSH levels
remained normal throughout the therapy. Thyroid disorder occurred in seven
patients (6.6%), six of whom developed hypothyroidism and one who developed
hyperthyroidism. Thyroid dysfunction was diagnosed in six women and one man. TAbs
(only TPOAbs) were found in two patients (1.88%). CONCLUSIONS: Thyroid disorder
is a rare, though possible not transient, complication of IFN therapy in CHB
patients.
PMID- 24930446
TI - Coronavirus reverse genetic systems: infectious clones and replicons.
AB - Coronaviruses (CoVs) infect humans and many animal species, and are associated
with respiratory, enteric, hepatic, and central nervous system diseases. The
large size of the CoV genome and the instability of some CoV replicase gene
sequences during its propagation in bacteria, represent serious obstacles for the
development of reverse genetic systems similar to those used for smaller positive
sense RNA viruses. To overcome these limitations, several alternatives to more
conventional plasmid-based approaches have been established in the last 13 years.
In this report, we briefly review and discuss the different reverse genetic
systems developed for CoVs, paying special attention to the severe acute
respiratory syndrome CoV (SARS-CoV).
PMID- 24930453
TI - Hypoxic regulation of metabolism offers new opportunities for anticancer therapy.
AB - Cancer metabolism now appears to be optimized for growth of tumor cells by having
an increased reliance on non-oxidative processes. However, in order to exploit
these findings clinically, we must determine the specific pathways and components
that cancer cells rely on, but are dispensable for normal cells. Because tumors
have the added stress of hypoxia, the metabolic response to low oxygen may
represent such a tumor-specific metabolic program.
PMID- 24930452
TI - Analysis by high throughput sequencing of Specific Pathogen Free eggs.
AB - Specific Pathogen Free (SPF) embryonated eggs are used for the production of many
veterinary and human vaccines. We have used High Throughput Sequencing to screen
allantoic fluids and embryos for the presence of encapsidated viral genomes and
viral transcripts, respectively. SPF eggs from two different producers were
tested. We evidenced sequences corresponding to known endogenous retroviruses and
sequences of Avian Leukosis Virus, but no sequence that might suggest a
productive infection of eggs with a virus even distant from known viruses. Our
results strongly suggest that SPF eggs such as those used for this study
represent a safe substrate for the production of vaccines.
PMID- 24930454
TI - Successful lung transplant in a child with cystic fibrosis and persistent
Blastobotrys rhaffinosifermentans infection.
AB - Fungal respiratory infections in patients with CF are a significant concern both
pre- and post-lung transplantation (LTx). Fungal infection is associated with
increased mortality post-LTx, and in the past decade, the prevalence of fungal
colonization in Canadian pediatric patients with CF has increased. The emergence
of novel fungal pathogens is particularly challenging to the transplant
community, as little is known regarding their virulence and optimal management.
We present a case of a successful double-lung transplant in a pediatric patient
with CF who was infected pretransplantation with a novel yeast, Blastobotrys
rhaffinosifermentans. This patient was treated successfully with aggressive
antifungal therapy post-transplantation, followed by extended fungal prophylaxis.
The significance of fungal colonization and infection in children with CF pre-
and post-LTx is reviewed.
PMID- 24930455
TI - Dimensions and disorder specificity of impulsivity in pathological gambling.
AB - Impulsivity is a core characteristic of pathological gambling (PG), even though
the underlying structure and disorder specificity is unclear. This study aimed to
explore different dimensions of impulsivity in a clinical sample including PG.
Furthermore, we aimed to test which alterations of the impulsivity-related
dimensions are disorder specific for PG. Participants were individuals diagnosed
with PG (n=51) and two groups also characterized by various impulsive behaviors:
an alcohol dependence (AD; n=45) and a Gilles de la Tourette syndrome (GTS; n=49)
group. A healthy control (HC; n=53) group was recruited as comparison group. A
comprehensive assessment was used including impulsivity-related and antipodal
parameters of the Stop Signal Task, Stroop Task, Tower of London Task, Card
Playing Task, Iowa Gambling Task and the Barratt Impulsiveness Scale-11.
Principal axis factor analysis revealed four impulsivity-related dimensions that
were labeled 'self-reported impulsivity', 'prepotent response impulsivity',
'choice impulsivity' and 'motor impulsivity'. The PG group scored significantly
higher on all four dimensions compared to the HC group. In contrast, the PG group
did not differ on any of the dimensions from the AD or the GTS group, except for
'choice impulsivity' where the PG group exhibited higher factor scores compared
to the GTS group. Altogether, PG is associated with generally heightened
impulsivity profiles compared to a HC group, which may be further used for
intervention strategies. However, heightened scores in the impulsivity dimensions
are not disorder specific for PG. Further research on shared or different
underlying mechanisms of these overlapping impulsivity impairments is necessary.
PMID- 24930456
TI - Effects of essential oils from medicinal plants acclimated to Benin on in vitro
ruminal fermentation of Andropogon gayanus grass.
AB - BACKGROUND: Plants from West Africa commonly used in both human and veterinary
medicine contain various secondary metabolites. However, their potential in
mitigating ruminal methane production has not been explored. This study examined
the effects of seven essential oils (EOs) from plants acclimated to Benin at four
dosages (100, 200, 300 and 400 mg L(-1)), on in vitro rumen microbial
fermentation and methane production using Andropogon gayanus grass as a
substrate. RESULTS: Compared to control, Laurus nobilis (300-400 mg L(-1) ),
Citrus aurantifolia (300-400 mg L(-1)) and Ocimum gratissimum (200-400 mg L(-1))
decreased (P < 0.05) methane production (mL g(-1) DM) by 8.1-11.8%, 11.9-17.8%
and 7.9-30.6%, respectively. Relative to the control, reductions in methane (mL
g(-1) DM) of 11.4%, 13.5% and 14.2% were only observed at 400 mg L(-1) for
Eucalyptus citriodora, Ocimum basilicum and Cymbopogon citratus, respectively.
These EOs lowered methane without reducing concentrations of total volatile fatty
acids or causing a shift from acetate to propionate production. All EOs (except
M. piperita) reduced (P < 0.05) apparent dry matter (DM) disappearance of A.
gayanus. CONCLUSIONS: The current study demonstrated that EOs from plants grown
in Benin inhibited in vitro methane production mainly through a reduction in
apparent DM digestibility.
PMID- 24930457
TI - Improving long-term outcomes of kidney transplantation: The pressure is on.
PMID- 24930458
TI - Recommendations for the paracetamol treatment nomogram and side effects of N
acetylcysteine.
AB - Treatment of paracetamol intoxication consists of administration of N
acetylcysteine, preferably shortly after paracetamol ingestion. In most
countries, the decision to treat patients with N-acetylcysteine depends on the
paracetamol plasma concentration. In the literature, different arguments are
given regarding when to treat paracetamol overdose. Some authors do not recommend
treatment with N-acetylcysteine at low paracetamol plasma concentrations since
unnecessary adverse effects may be induced. But no treatment with N
acetylcysteine at higher paracetamol plasma concentrations may lead to
unnecessary severe morbidity and mortality. In this review, we provide an
overview on the severity and prevalence of adverse side effects after N
acetylcysteine administration and the consequences these side effects may have
for the treatment of paracetamol intoxication. The final conclusion is to
continue using the guidelines of the Dutch National Poisons Information Centre
for N-acetylcysteine administration in paracetamol intoxication.
PMID- 24930460
TI - Practice, attitude and knowledge of Dutch paediatric oncologists regarding female
fertility.
AB - BACKGROUND: Chemotherapy and radiotherapy for childhood cancer can result in a
decreased reproductive function. It is therefore important that paediatric
oncologists discuss the possible impact of treatment on female fertility and
available fertility preservation options with their patients. However, it is
unknown what Dutch paediatric oncologists know about of the effect of cancer
treatment on female fertility, whether or not they address this issue in clinical
practice, what their attitudes are towards addressing fertility after cancer
treatment and fertility preservation options, and to what extent they require
additional information resources. METHODS: In this nationwide quantitative cross
sectional study a survey was sent to all registered paediatric oncologists in the
Netherlands (n=64). RESULTS: Thirty-seven paediatric oncologists participated
(participation rate 58%). Fertility issues were discussed with patients and/or
parents by 97%. Of the paediatric oncologists, 54-76% were aware of possibilities
for fertility preservation; however only <25% reported a moderate or high
confidence in their knowledge of these techniques. Paediatric oncologists stated
that they had little resources to counsel their patients and 92% found
educational resources not completely sufficient. CONCLUSION: Paediatric
oncologists are well aware of the effect that cancer treatment may have on female
fertility and their responsibility to counsel their patients and/or the parents
on this issue. They do not (yet) possess the knowledge to sufficiently counsel
these patients and, if needed, do not frequently refer them to a fertility
specialist.
PMID- 24930459
TI - Treatment efficacy of hypertension in kidney transplant recipients in the
Netherlands.
AB - BACKGROUND: Hypertension in kidney transplant recipients jeopardises graft and
patient survival. Guidelines suggest blood pressure targets of <=130/80 mmHg and
sodium intake <90 mmol/day. METHODS: Since the efficacy of antihypertensive
treatment among kidney transplant recipients is unknown, we analysed data on
office-based blood pressure and use of antihypertensive drugs from the
Netherlands Organ Transplant Registry on 5415 kidney transplant recipients.
Additionally, we studied dosages, prevalence of treatment-resistant hypertension
and 24-hour sodium excretion in 534 kidney transplant recipients from our centre
to explore possibilities for therapy optimisation. RESULTS: In patients
registered in the Netherlands Organ Transplant Registry, median blood pressure
was 134/80 mmHg (interquartile range 122-145/70-85). In 77.2%, the blood pressure
was >=130/80 mmHg; of these patients 10.4% had no registered use, 30.0% used one
and 25.9% used >=3 classes of antihypertensive agents. Parameters from our centre
were comparable: 78.7% had a median blood pressure of >=130/80 mmHg of whom 14.5%
had no registered use of antihypertensives and 26.4% used >=3 classes. Sub
maximal dosages were prescribed in 74.0% of the kidney transplant recipients with
a blood pressure of >=130/80 mmHg while using at least one antihypertensive
agent. Treatment-resistant hypertension was present in 7.7%. Median 24-hour
sodium excretion was 147 mmol/day (interquartile range 109-195). CONCLUSIONS:
This study suggests that therapeutic optimisation of antihypertensive treatment
in kidney transplant recipients is, in theory, frequently possible by
intensifying pharmacological treatment and by providing more advice on dietary
sodium restrictions.
PMID- 24930462
TI - Bilateral dacryoadenitis as a presenting symptom of an extra-ocular disease.
PMID- 24930461
TI - Cost of screening strategies for kidney disease before intravenous contrast
administration.
AB - PURPOSE: To assess whether selective use of estimated glomerular filtration rate
(eGFR) in patients with risk factors for kidney disease is more cost-effective
than measuring eGFR in all patients undergoing contrast-enhanced computed
tomography (CECT). METHODS: Risk factors and costs were assessed in consecutive
patients. eGFR was evaluated in all patients, considering a tenability of 12
months. For the three-month tenability and the pre-selection strategy based on
risk factors for kidney disease, we extrapolated data by assuming equal
distribution of patient characteristics. RESULTS: We included 1001 patients, mean
age 59.9 +/- 13.6 years. Strategy with eGFR in all patients: eGFR measurements
specifically performed for CECT in 645/1001 (in 356 patients the eGFR was already
known). The total cost including costs of an extra visit to the hospital (49
patients) and absence from work (11 patients) were ? 6037.20. Considering a
tenability of 3 months, eGFR had to be measured in 786 patients, 60 would have
paid an extra visit and 14 would have been absent from work: total cost ?
7443.54. Pre-selection strategy: 807 patients had risk factors, necessitating
eGFR measurement and an extra visit would be paid by 61. Fourteen patients would
have been absent from work: total cost ? 7585.16. Of the patients with an eGFR
<60 ml/min/1.73 m(2), 94.8% were identified including all with an eGFR <45
ml/min/1.73 m(2). CONCLUSION: Determining eGFR based on risk factors for kidney
disease is not more cost-effective than eGFR testing in all patients if the eGFR
is tenable for 12 months or for 3 months.
PMID- 24930463
TI - Acute abdominal pain, painful left shoulder and near collapse.
PMID- 24930464
TI - A woman with abdominal pain and swelling.
PMID- 24930465
TI - A woman with asymmetrical facial swelling.
PMID- 24930466
TI - Divergent paradigm shifts in national, European and American cardiovascular
prevention guidelines.
PMID- 24930467
TI - A skin lesion that catches the eye.
AB - Primary cutaneous gamma-delta T-cell lymphoma (PCGD-TCL) is rare and only
represents 1% of all cutaneous T-cell lymphomas. To our knowledge, only 40 cases
have been described. It often presents with generalised skin lesions,
preferentially affecting the extremities. There is a well-documented association
with haemophagocytic syndrome. Treatment is difficult since PCGD-TCL is often
resistant to chemotherapy and radiotherapy. Most case reports describe an
aggressive clinical course with an estimated mean survival of 15 months. We
present a 72-year-old female patient with stage IV primary cutaneous gamma-delta
T-cell lymphoma. Our patient presented with fever, night sweats and multiple skin
lesions (figure 1). Computed axial tomography of chest and abdomen revealed
multiple solid nodular lesions in both kidneys. During admission a
subconjunctival lesion appeared and progressed rapidly (figure 2).
Histopathological examination of skin biopsy revealed infiltration of atypical
lymphocytes with hyperchromatic irregular nuclei. Immunophenotyping pattern of
skin biopsy was compatible with PCGD-TLC. Clonal gamma-delta T-cells were also
detected by immunohistochemical analysis of peripheral blood and bone marrow.
Polymerase chain reaction amplification revealed clonal rearrangement of the T
cell receptor gamma chain gene. These findings together were consistent with
stage IV primary cutaneous gamma-delta T-cell lymphoma. The rapid progression of
the subconjunctival extra-nodal manifestation is characteristic for the
aggressive course of this lymphoma. Our patient was treated with two cycles of
CHOP (cyclophosphamide, doxorubicin, vincristine and prednisone). However, her
clinical condition deteriorated rapidly. She declined further therapy and died
within three months of initial presentation.
PMID- 24930469
TI - Conversation with Jonathan Chick.
AB - In this occasional series, we record the views and personal experiences of people
who have especially contributed to the evolution of ideas in the journal's field
of interest. Professor Jonathan Chick is now retired from a long and successful
career as a clinician in addiction psychiatry for the National Health Service
(NHS) in Scotland. He was formerly consultant psychiatrist at the Royal Edinburgh
Hospital and senior lecturer at Edinburgh University. His career as an academic
and as a renowned clinician in the field of alcohol dependence continues, with
his most recent appointments being as a Professor at Queen Margaret's University
in Edinburgh and as a Medical Director at Castle Craig Hospital. He is an author
and editor of many books and articles concerning the prevention and treatment of
alcohol problems. Professor Chick is also editor of Alcohol and Alcoholism.
PMID- 24930468
TI - Motion capture and associated novel measurement devices for movement function in
humans and animal models.
PMID- 24930470
TI - Pyrroloquinoline quinone-secreting probiotic Escherichia coli Nissle 1917
ameliorates ethanol-induced oxidative damage and hyperlipidemia in rats.
AB - BACKGROUND: Chronic ethanol (EtOH) consumption is associated with oxidative
tissue damage, decrease in antioxidant enzyme activities, and increase in hepatic
and plasma lipids. This study investigates the effect of modified probiotic
Escherichia coli Nissle 1917 (EcN) secreting pyrroloquinoline quinone (PQQ)
against EtOH-induced metabolic disorder in rats. METHODS: Male Charles Foster
rats were gavaged with EtOH (5 g/kg body weight [acute study] and 3 g/kg body
weight per day for 10 weeks [chronic study]). RESULTS: Pretreatment of PQQ,
vitamin C, and PQQ-secreting EcN prevented acute EtOH-induced oxidative damage in
rats reflected by reduced lipid peroxidation in blood and liver and increased
hepatic reduced glutathione. However, PQQ given externally was found to be most
effective against acute EtOH toxicity. In the chronic study, rats treated with
PQQ-secreting EcN showed remarkable reduction in oxidative tissue damage (liver,
colon, blood, and kidney) with significant increase in antioxidant enzyme
activities as compared to only EtOH-treated rats. Additionally, these rats had
significantly lowered hepatic and plasma lipid levels with concomitant reduction
in mRNA expression of fatty acid synthase (0.5-fold) and increase in mRNA
expression of acyl coenzyme A oxidase (2.4-fold) in hepatic tissue. Antioxidant
and hyperlipidemic effects of PQQ-secreting EcN are correlated with increased
colonic short chain fatty acids (SCFAs; i.e., acetate, propionate, and butyrate)
levels, and PQQ concentration in fecal samples (2-fold) and liver (4-fold).
Extracted PQQ and vitamin C were given once a week, but they did not exhibit any
ameliorative effect against chronic EtOH toxicity. CONCLUSIONS: Accumulated PQQ
in tissues prevents hepatic and systemic oxidative damage. PQQ along with SCFAs
reduced hyperlipidemia, which can be correlated with changes in mRNA expression
of hepatic lipid metabolizing genes. Our study suggests that endogenous
generation of PQQ by EcN could be an effective strategy in preventing alcoholic
liver disease.
PMID- 24930471
TI - A case-control study to identify predictors of 14-day mortality following
carbapenem-resistant Acinetobacter baumannii bacteraemia.
AB - Carbapenem-resistant Acinetobacter baumannii (CRAB) is an increasingly common
nosocomial pathogen. We sought to identify clinical and microbiological
predictors of 14-day mortality among patients with CRAB bacteraemia. This case
control study included all adult patients in one Israeli hospital with CRAB on
blood culture between July 2008 and June 2011. Cases were defined as patients who
died within 14 days of bacteraemia onset and controls as patients who survived
over 14 days. Sequence-typing of the blaOXA-51-like gene and REP-PCR identified
CRAB clone groups. Logistic regression was performed to analyze predictors of 14
day all-cause mortality. To correct for differences in treatment onset, Cox
regression was used to examine the effect of receiving an active antibiotic.
Eighty-three cases and 89 controls were included. Six major CRAB clone groups
were identified, with 14-day mortality ranging from 17 to 66%. Independent
predictors of 14-day mortality were severity of illness (OR = 1.38 for each 1
point increase in Sequential Organ Failure Assessment (SOFA) score; 95% CI, 1.21,
1.56), independence in activities of daily living (ADL) on admission (OR = 3.40;
95% CI, 1.20, 9.67, for fully dependent vs. independent), surgery before
bacteraemia (OR = 0.25; 95% CI, 0.11, 0.59) and clone group (OR = 7.76; 95% CI,
2.52, 23.85, for the most virulent group vs. the reference group). In the
multivariate Cox model using a propensity score to adjust for SOFA, clone, ADL
and surgery, active antibiotic treatment was protective (HR = 0.30; 95% CI, 0.15,
0.60). Differences in virulence between CRAB clones may partly explain
heterogeneous results in previous studies of mortality following CRAB infection.
PMID- 24930472
TI - Recreational screen-time among Chinese adolescents: a cross-sectional study.
AB - BACKGROUND: Rapid urbanization in China has led to a proliferation of electronic
entertainment media among youth. Prolonged screen time (ST; includes watching
television and playing on computers, video game consoles, or mobile phones) is
linked to poor health profiles. The aim of this study was to report recreational
ST behaviors and ST correlates among Chinese adolescents living in two regions
with different degrees of urbanization. METHODS: A cross-sectional, school-based
survey (n = 3461 adolescents; aged 12-14 years old) living in inner-city Shanghai
and a peri-urban region of Hangzhou. Students completed a questionnaire including
family characteristics, daily ST, and information on family environment related
to screen use. Recreational ST was categorized into two groups according to
recommendations by the American Academy of Pediatrics (< or >=2 h/day). Parents
reported their own ST and also reported educational attainment as a proxy for
socioeconomic status. RESULTS: ST was higher among boys than girls and on
weekends than weekdays. Peri-urban girls were more likely to exceed 2 h/day ST
compared to inner-city girls on weekends. Having a father with no university
degree, mother's TV viewing >=2 h/day, no ST rules at home, and eating meals in
front of the TV were associated with higher ST on both weekdays and weekends, and
regional differences were found for weekend ST. CONCLUSIONS: TV viewing and
playing on the computer were the most prevalent ST behaviors among Chinese
adolescents. Mobile phone playing was less prevalent but persistent throughout
the week. More population-level surveillance and research is needed to monitor
the trends in ST behaviors and to better understand the characteristics of those
who are at risk.
PMID- 24930473
TI - Bayesian nowcasting during the STEC O104:H4 outbreak in Germany, 2011.
AB - A Bayesian approach to the prediction of occurred-but-not-yet-reported events is
developed for application in real-time public health surveillance. The motivation
was the prediction of the daily number of hospitalizations for the hemolytic
uremic syndrome during the large May-July 2011 outbreak of Shiga toxin-producing
Escherichia coli (STEC) O104:H4 in Germany. Our novel Bayesian approach addresses
the count data nature of the problem using negative binomial sampling and shows
that right-truncation of the reporting delay distribution under an assumption of
time-homogeneity can be handled in a conjugate prior-posterior framework using
the generalized Dirichlet distribution. Since, in retrospect, the true number of
hospitalizations is available, proper scoring rules for count data are used to
evaluate and compare the predictive quality of the procedures during the
outbreak. The results show that it is important to take the count nature of the
time series into account and that changes in the delay distribution occurred due
to intervention measures. As a consequence, we extend the Bayesian analysis to a
hierarchical model, which combines a discrete time survival regression model for
the delay distribution with a penalized spline for the dynamics of the epidemic
curve. Altogether, we conclude that in emerging and time-critical outbreaks,
nowcasting approaches are a valuable tool to gain information about current
trends.
PMID- 24930474
TI - Diabetic education in rural areas.
AB - INTRODUCTION: Diabetes mellitus type II is a growing concern in the USA, with 6%
of the population diagnosed with diabetes and another 5% having pre-diabetes. The
prevalence of diabetes is 17% higher in rural areas than in central cities{1}.
Adult diabetics living in rural areas often see negative outcomes related to
their limited access to care, cultural barriers, and lack of educational
resources. This article seeks to evaluate best evidence-based strategies directed
at improving diabetic outcomes of rural populations through hemoglobin A1C
(HbA1C) reductions. METHOD: A search of Medline, CIHNAL, PubMed, and Sage Pub was
undertaken. The search was structured around the following key terms: adult,
diabetes, education, hemoglobin a1c, and rural. The search limits were set to
English-language publications between 2004 and 2012 in industrialized countries.
Only articles from scholarly, peer-reviewed publications were considered.
Literature that used an inpatient setting, focused on children or adolescents,
and did not meet any inclusion criteria were excluded from this review. RESULTS:
A total of 15 articles met the selection criteria from the 1819 citations sourced
from the search. After reviewing the sources, nutritional patient education,
motivational counseling and lifestyle modifications were found to be the most
influential factors that favorably changed measurable outcomes for this
population. Education for providers did not have an appreciable effect on patient
outcomes. CONCLUSION: This review adds to the literature by outlining best
practice guidelines for evidence-based practice based on current research.
Primary care providers in rural areas should encourage their patients to actively
participate in diabetes education when possible, and provide this education in a
culturally competent manner.
PMID- 24930475
TI - Optical correction of aphakia following unilateral infantile cataract removal.
AB - PURPOSE: To compare primary intra-ocular lens (IOL) implantation with use of
press-on spherical lens for aphakia correction following unilateral infantile
cataract removal. METHODS: Sixty infants with a unilateral cataract underwent
cataract surgery and were randomly assigned to the IOL (A group) or no IOL group
(B group). Residual refractive error was corrected with spectacles in the A group
and a press-on spherical lens was used to treat aphakia in the B group. Grating
visual acuity (VA) was measured and patients were followed for up to 1 year.
RESULTS: LogMAR VA in the operated eyes of both groups was significantly better 1
year than 1 month after surgery. Mean logMAR VA difference between 1 year and 1
month after surgery was higher in the A group (0.457 +/- 0.110) than in the B
group (0.323 +/- 0.114, p < 0.0001). Serious inflammation occurred significantly
more often in the A group than in the B group (p = 0.007). Visual axis opacity
occurred more often in the A group than in the B group (pupillary membrane: A:
six eyes, 20%, B: none, p = 0.024; lens reproliferation: A: 10 eyes, 33%, B: two
eyes, 7%, p = 0.021). Glaucoma occurred equally in both groups (p = 0.612). No
other complications occurred. CONCLUSIONS: Primary IOL implantation appears to be
a relatively safe, effective optical correction strategy following unilateral
cataract extraction in this age group. Patients for whom an IOL is not suitable
can be treated with a press-on spherical lens for optical correction.
PMID- 24930476
TI - Case of subepidermal bullous disease with diffuse esophageal involvement
presenting with immunoglobulin G autoantibodies to both the BP180 NC16a and C
terminal domains, and immunoglobulin A autoantibodies to the BP180 NC16a domain.
PMID- 24930477
TI - Measurement of non-coumarin anticoagulants and their effects on tests of
Haemostasis: Guidance from the British Committee for Standards in Haematology.
PMID- 24930478
TI - Effectiveness and implementation of an obesity prevention intervention: the HeLP
her Rural cluster randomised controlled trial.
AB - BACKGROUND: To impact on the obesity epidemic, interventions that prevent weight
gain across populations are urgently needed. However, even the most efficacious
interventions will have little impact on obesity prevention unless they are
successfully implemented in diverse populations and settings. Implementation
research takes isolated efficacy studies into practice and policy and is
particularly important in obesity prevention where there is an urgent need to
accelerate the evidence to practice cycle. Despite the recognised need, few
obesity prevention interventions have been implemented in real life settings and
to our knowledge rarely target rural communities. METHODS: Here we describe the
rationale, design and implementation of a Healthy Lifestyle Program for women
living in small rural communities (HeLP-her Rural). The primary goal of HeLP-her
Rural is to prevent weight gain using a low intensity, self-management
intervention. Six hundred women from 42 small rural communities in Australia will
be randomised as clusters (n-21 control towns and n = 21 intervention towns). A
pragmatic randomised controlled trial methodology will test efficacy and a
comprehensive mixed methods community evaluation and cost analysis will inform
effectiveness and implementation of this novel prevention program. DISCUSSION:
Implementing population interventions to prevent obesity is complex, costly and
challenging. To address these barriers, evidence based interventions need to move
beyond isolated efficacy trials and report outcomes related to effectiveness and
implementation. Large pragmatic trials provide an opportunity to inform both
effectiveness and implementation leading to potential for greater impact at the
population level. Pragmatic trials should incorporate both effectiveness and
implementation outcomes and a multidimensional methodology to inform scale-up to
population level. The learnings from this trial will impact on the design and
implementation of population obesity prevention strategies nationally and
internationally. TRIAL REGISTRATION: ANZ clinical trial registry
ACTRN12612000115831. Date of registration 24/01/2012.
PMID- 24930479
TI - Control of proximal gastric bleeding through transgastric insertion of
laparoscopic ports.
PMID- 24930480
TI - Neutrophil gelatinase-associated lipocalin associated with irreversibility of pre
liver transplant kidney dysfunction.
AB - Kidney outcomes in early post-liver transplantation (LT) are crucial for long
term prognosis, but difficult to predict. Among 203 adult LT patients, we studied
the value of plasma neutrophil gelatinase-associated lipocalin (NGAL) measured
pre-LT for predicting acute kidney injury (AKI), kidney-replacement therapy
within three months, and kidney dysfunction at three months post-LT. Glomerular
filtration rate (GFR) was estimated by creatinine-based and cystatin C-based
equations. Highest NGAL levels were among patients on pre-LT kidney-replacement
therapy, whereas NGAL exceeded 200 MUg/L in only three (2%) patients with pre-LT
GFR >60 mL/min. Pre-LT NGAL >260 MUg/L predicted GFR <60 mL/min at three months
post-LT (OR 17.8, 95% CI 2.1-153) independently of 19 other variables reflecting
recipient characteristics, liver and kidney function, perioperative hemodynamic
stress, and immunosuppression. Of 81 patients with pre-LT GFR <60 mL/min, 48% had
GFR <60 mL/min at three months, and an NGAL level >260 MUg/L predicted this
outcome with 90% specificity and 46% sensitivity. NGAL failed to predict post-LT
AKI or need for temporary kidney-replacement therapy. In conclusion, NGAL
independently predicted irreversibility of pre-LT kidney dysfunction and could
thus help in optimizing patient care and in the decision to perform combined
liver-kidney transplantation. Pre-LT NGAL was not useful in patients with
preserved pre-LT kidney function or in predicting post-LT AKI.
PMID- 24930482
TI - Immune cell function assay does not identify biopsy-proven pediatric renal
allograft rejection or infection.
AB - Management of pediatric renal transplant patients involves multifactorial
monitoring modalities to ensure allograft survival and prevent opportunistic
infection secondary to immunosuppression. An ICFA, which utilizes CD4 T-cell
production of ATP to assess immune system status, has been used to monitor
transplant recipients and predict susceptibility of patients to rejection or
infection. However, the validity of this assay to reflect immune status remains
unanswered. In a two-yr retrospective study that included 31 pediatric renal
transplant recipients, 42 patient blood samples were analyzed for immune cell
function levels, creatinine, WBC (white blood cell) count, immunosuppressive drug
levels, and viremia, concurrent with renal biopsy. T-cell ATP production as
assessed by ICFA levels did not correlate with allograft rejection or with the
presence or absence of viremia. ICFA levels did not correlate with serum
creatinine or immunosuppressive drug levels, but did correlate with WBC count.
The ICFA is unreliable in its ability to reflect immune system status in
pediatric renal transplantation. Further investigation is necessary to develop
methods that will accurately predict susceptibility of pediatric renal transplant
recipients to allograft rejection and infection.
PMID- 24930481
TI - Husbandry factors and the resumption of luteal activity in open and zero-grazed
dairy cows in urban and peri-urban kampala, Uganda.
AB - The study investigated the influence of selected husbandry factors on interval to
resumption of post-partum cyclicity among dairy cows in urban and peri-urban
Kampala. A prospective study of 85 day post-partum period of 59 dairy cows in
open (n = 38) and zero grazing (n = 21) systems was conducted on 24 farms. Cows
of parity 1-6 were recruited starting 15-30 days post-partum. Progesterone (P4)
content in milk taken at 10-12 day intervals was analysed using ELISA. The cow P4
profiles were classified into 'normal' (< 56 days), 'delayed' (> 56 days),
'ceased' or 'prolonged' (if started < 56 days but with abnormal P4 displays)
resumption of luteal activity and tested for association with husbandry and cow
factors. Of the 59 cows, luteal activity in 81.4% resumed normally and in 18.6%,
delayed. Only 23.7% maintained regular luteal activity, while the others had
ceased (10.2%), prolonged (37.3%) or unclear luteal activity (20.3%). There were
no differences between open and zero-grazed cows. Milk production was higher (p <
0.05) in zero than open grazing, in urban than peri-urban and in cows fed on brew
waste (p < 0.001) compared with mill products and banana peels. Results suggest
that luteal activity resumes normally in a majority of cows, although only a
minority experienced continued normal cyclicity once ovulation had occurred, in
the two farming systems irrespective of feed supplements or water, and that
supplementing with brew waste is beneficial for milk production.
PMID- 24930483
TI - Periocular sebaceous gland carcinoma: do androgen receptor (NR3C4) and nuclear
survivin (BIRC5) have a prognostic significance?
AB - PURPOSE: The study aimed at evaluating the expression of androgen receptor (AR)
and nuclear survivin (NS) in periocular sebaceous gland carcinoma (SGC) and to
determine whether this expression is associated with histopathological features,
markers of apoptosis and proliferation and with clinical outcomes. METHODS: This
was a retrospective, comparative case series which included 56 patients with a
biopsy-proven periocular SGC. Immunohistochemical staining for AR, survivin, p53
and Ki-67 was analysed in all cases. RESULTS: All patients expressed AR, p53 and
Ki-67 in the nucleus of tumour cells. Twenty-four patients (42.8%) had a high AR
score, and 32 patients (57.2%) had a low AR score. Twenty-four (42.8%) patients
expressed survivin in the nucleus of tumour cells. Nine (37.5%) had a high NS
score, and 15 (62.5%) had a low NS score. Patients with a high AR score had a
greater recurrence (p < 0.005), higher expression of Ki-67 (p < 0.0001) and a
lower p53 expression (p < 0.005). Nuclear expression of survivin correlated with
a high Ki-67 labelling index (0.0001) and low p53 expression (<0.005). Neither
nuclear expression of survivin nor the NS score correlated with any
clinicopathological features. CONCLUSION: Expression of AR significantly impacts
prognosis and is thus promising prognostic marker in periocular SGC.
PMID- 24930484
TI - Liver stiffness-based model for prediction of hepatocellular carcinoma in chronic
hepatitis B virus infection: comparison with histological fibrosis.
AB - BACKGROUND & AIMS: Liver stiffness (LS) value using transient elastography is a
reliable, non-invasive tool for assessing liver fibrosis. LS-based prediction
model, LSPS (=LS value * spleen diameter/platelet count) is well correlated with
the risk of developing portal hypertension-related cirrhotic complications. Here,
we assessed the prognostic performance of LSPS in predicting the development of
hepatocellular carcinoma (HCC) in patients with chronic hepatitis B (CHB).
METHODS: Between 2006 and 2010, we recruited 227 patients with CHB who underwent
liver biopsy and LS measurement. The major end point was HCC development.
RESULTS: Median age was 45 years and 156 (68.7%) patients were male. During the
follow-up period (median, 61 months), HCC developed in 18 patients. Patient with
HCC had a higher LS value, a longer spleen, and lower platelet counts (all P <
0.05) than those without HCC. On multivariate analysis, LSPS was identified as an
independent predictor of HCC development [hazard ratio (HR) 1.541, P < 0.001]
after adjusting for age, serum albumin level and histological fibrosis stage.
When patients were stratified into three groups (LSPS <1.1, 1.1-2.5 and >2.5),
the 5-year cumulative risk of HCC increased significantly in association with a
higher LSPS value (4.0, 13.8, 36.2%, respectively, P < 0.001). Patients with LSPS
1.1-2.5 (HR 2.0, P = 0.032) and LSPS > 2.5 (HR 8.7, P = 0.002) had a higher risk
of developing HCC than those with LSPS < 1.1. CONCLUSIONS: LS value-spleen
diameter to platelet ratio score is useful for assessing the risk of HCC
development and careful surveillance strategies are required in an individual
manner.
PMID- 24930485
TI - Deaggregators inhibit TNF-alpha-induced leukocyte adhesion in vitro by breaking
up hydrophobic lipophilic interactions.
AB - AIM: Deaggregators (deAgrs) are nontoxic organic molecules that possess the
ability to deaggregate simple aggregates formed by hydrophobic lipophilic
interactions (HLI). Since HLI-driven organic molecule aggregates may induce
leukocyte adhesion, we investigated the influence of deAgrs on TNF-alpha-mediated
leukocyte adhesion in vitro. METHODS: For adhesion studies, vascular endothelial
cells or smooth muscle cells monolayers were treated with TNF-alpha (10 MUg/L)
and deAgrs for 24 h, followed by addition of monocytes or neutrophils suspension.
The non-adherent leukocytes were rinsed, and the number of attached leukocytes
was measured using an ELISA plate reader. Simultaneously, fluorescence probes Np
12 and Np-Ch were used to measure the deaggregating efficiencies of these deAgrs.
RESULTS: Among the nine deAgrs tested,eight significantly reduced the cell
adhesion rates with the order of efficiencies: 260 > 160 > 568 > ZPMOP > R68 >
640 > TB6PMOP > CNS, but TBHQ had no effect. The deAgrs for deaggregating an
aggregated probe (Np-12 or Np-Ch) exhibited a similar order of efficiencies: 260
> 160 > 568 > ZPMOP > R68 > 640 > TB6PMOP > CNS > 12-AA > 11-AA > TBHQ. Spearman
correlation coefficient analyses indicated that the adherent rates of leukocytes
to endothelial cells or smooth muscle cells treated with deAgrs had significantly
negative correlation to their deaggregating abilities. CONCLUSION: DeAgrs
effectively inhibit TNF-alpha-mediated leukocyte adhesion in vitro by breaking up
hydrophobic lipophilic interactions, thus may be further tested for blocking
atherogenesis.
PMID- 24930486
TI - A cell-based, high-throughput homogeneous time-resolved fluorescence assay for
the screening of potential kappa-opioid receptor agonists.
AB - AIM: The aim of this study was to identify kappa-opioid receptor (KOR) agonists
from a library of 80 000 small-molecule compounds and provide the experimental
basis for the development of new analgesic candidates. METHODS: The cell-based,
high-throughput screen for human KOR agonists was based on the LANCE cAMP assay.
Preliminary structure-activity relationship (SAR) analysis was applied according
to the compounds' structures. An acetic acid twisting experiment was used to
verify the pharmacodynamics. RESULTS: In total, 31 compounds were identified as
KOR agonists after preliminary and secondary screening. Of these compounds, five
demonstrated significant KOR-stimulating activity that was comparable to U
50,488, a selective KOR agonist. The EC50 values for I-7, I-8, I-10, II-5, and II
8 were 13.34 +/- 1.65, 14.01 +/- 1.84, 9.57 +/- 0.19, 14.94 +/- 0.64, and 8.74 +/
0.72 nmol/L, respectively. Based on SAR studies, the stimulating activity of
compounds with 5-phenyl-7-(trifluoromethyl)-4,5,6,7-tetrahydropyrazolo [1, 5-a]
pyrimidine (group I) and 3,4-dimethoxy-N-(2-oxoethyl)-N-p-tolylbenzenesulfonamide
(group II) parent structures were higher than the compound with a 5-hydroxy-2
methylbenzofuran-3-carboxylic acid (group III) parent structure. Pharmacodynamic
experiments indicated that 20-40 MUg/kg ip of compounds I-10 and II-8
significantly decreased the number of writhes induced by acetic acid; this
finding is consistent with the SAR studies. Furthermore, the analgesic effects of
compounds I-10 and II-8 were significantly antagonized in the presence of the
selective KOR antagonist nor-BNI. CONCLUSION: These findings collectively
indicate that compounds I-10 and II-8 exhibit significant analgesic activities,
providing evidence, at least in part, for their clinical application as new
analgesic drugs.
PMID- 24930487
TI - Photosynthetic, hydraulic and biomass properties in closely related C3 and C4
species.
AB - In plants, most water is absorbed by roots and transported through vascular
conduits of xylem which evaporate from leaves during photosynthesis. As
photosynthesis and transport processes are interconnected, it was hypothesized
that any variation in water transport demand influencing water use efficiency
(WUE), such as the evolution of C4 photosynthesis, should affect xylem structure
and function. Several studies have provided evidence for this hypothesis, but
none has comprehensively compared photosynthetic, hydraulic and biomass
allocation properties between C3 and C4 species. In this study, photosynthetic,
hydraulic and biomass properties in a closely related C3 Tarenaya hassleriana and
a C4 Cleome gynandra are compared. Light response curves, measured at 30 degrees
C, showed that the C4 C. gynandra had almost twice greater net assimilation rates
than the C3 T. hassleriana under each increasing irradiation level. On the
contrary, transpiration rates and stomatal conductance were around twice as high
in the C3 , leading to approximately 3.5 times higher WUE in the C4 compared with
the C3 species. The C3 showed about 3.3 times higher hydraulic conductivity, 4.3
times greater specific conductivity and 2.6 times higher leaf-specific
conductivity than the C4 species. The C3 produced more vessels per xylem area and
larger vessels. All of these differences resulted in different biomass
properties, where the C4 produced more biomass in general and had less root to
shoot ratio than the C3 species. These results are in support of our previous
findings that WUE, and any changes that affect WUE, contribute to xylem evolution
in plants.
PMID- 24930488
TI - Is blood-flow-restricted low-load resistance exercise really stress free?
PMID- 24930489
TI - Establishing and managing a periodontal biobank for research: the sharing of
experience.
AB - Periodontal bio-repositories, which allow banking of clinically validated human
data and biological samples, provide an opportunity to derive biomarkers for
periodontal diagnosis, prognosis and therapeutic activities which are expected to
improve patient management. This article presents the establishing of the
Malaysian Periodontal Database and Biobank System (MPDBS) which was initiated in
2011 with the aim to facilitate periodontal research. Partnerships were
established with collaborating centres. Policies on specimen access, authorship
and acknowledgement policies were agreed upon by all participating centres before
the initiation of the periodontal biobank. Ethical approval for the collection of
samples and data were obtained from institutional ethics review boards. A broad
based approach for informed consent was used, which covered areas related to
quality of life impacts, genetics and molecular aspects of periodontal disease.
Sample collection and processing was performed using a standardized protocol.
Biobanking resources such as equipment and freezers were shared with the
Malaysian Oral Cancer Database and Tissue Bank System (MOCDTBS). In the
development of the MPDBS, challenges that were previously faced by the MOCDTBS
were considered. Future challenges in terms of ethical and legal issues will be
faced when international collaborations necessitate the transportation of
specimens across borders.
PMID- 24930490
TI - Proximal attrition facets: morphometric, demographic, and aging characteristics.
AB - Although interproximal attrition is considered to be limited in modern
populations, it has important clinical implications. However, in contrast to
occlusal attrition, proximal attrition receives limited scientific attention. The
main purpose of the current study was to fill this void. Seven-hundred and sixty
five teeth were collected from 255 skulls of subjects 18-75 yr of age. For each
individual, three mandibular teeth (the first and second premolars and the first
molar) were examined for proximal attrition facets (PAFs). The results provide
detailed information on the size, shape, and location of the facets according to
age cohort, gender, and ethnicity. The validity of the method used to measure the
facets was also examined. The major findings were as follows: PAFs are usually
located on the upper half of the crown proximal aspect; in each tooth, the mesial
facet is more lingually positioned and the distal facet is more buccally
positioned; the majority of the facets are subrectangular in shape; the size of
the facets tends to increase in an anteroposterior direction (from premolars to
molars); and facet size and location are age- and sex-dependent and ethnicity
independent. It is our recommendation that dentists bear in mind that
interproximal attrition is a dynamic, long-term process and needs to be
considered in many clinical scenarios.
PMID- 24930491
TI - Skin temperature during sunbathing--relevance for skin cancer.
AB - It has been found that exposure to heat and infrared radiation (IR) can be
carcinogenic, and that a combination of ultraviolet radiation (UVR) and IR
possibly amplifies carcinogenesis. To investigate how the skin temperature is
affected by sunbathing, we measured the skin temperature on 20 healthy volunteers
over 6 days' sun holiday in Egypt. Temperatures were measured with an infrared
thermometer gun at 8 skin sites on the volunteers while they were indoors in the
morning and when sunbathing during the day. Skin temperatures were higher during
sunbathing (33.5 degrees C +/- 2.1 degrees C) (mean +/- SD) than when indoors
in the morning (32.6 degrees C +/- 1.4 degrees C) (mean +/- SD) (P < 0.0001).
The average skin temperature for men was higher than for women by 0.40 degrees C
in the morning (P = 0.02) and by 0.44 degrees C during sunbathing (P < 0.0001).
Our results show that sunbathing has an impact on skin temperature, which
possibly by activation of the heat shock response, is likely to contribute to the
immediate and delayed effects of UV in a way that has to be found out in future
studies.
PMID- 24930492
TI - Association between periodontitis and preeclampsia in never-smokers: a
prospective study.
AB - AIM: The aim of this prospective study was to investigate the relationship
between periodontitis and preeclampsia in never-smokers. MATERIALS AND METHODS:
Pregnant women were recruited at 21 to 24 weeks of gestation from March 2009 to
June 2013. Information on demographics, health behaviours, obstetric history, and
systemic diseases that can influence periodontal status and preeclampsia was
collected. Full-mouth periodontal probing was performed by two trained examiners.
The inter-examiner Kappa value was 0.822 for clinical attachment loss (CAL).
Periodontitis was defined as clinical periodontal attachment loss (CAL) of 4.0 mm
or greater on 2 or more sites not on the same tooth. Information on the
occurrence of preeclampsia was collected by five obstetricians. RESULTS: We
studied a total of 283 subjects, comprised of 67 subjects with periodontitis and
216 subjects without periodontitis. Of these, 13 (4.6%) women were diagnosed with
preeclampsia. After adjusting for all confounders, the adjusted odds ratio of
periodontitis for preeclampsia was 5.56 (95% confidence interval of 1.49-20.71).
CONCLUSIONS: There was a significant relationship between periodontitis and the
occurrence of preeclampsia among never-smokers.
PMID- 24930493
TI - The air-sea interface and surface stress under tropical cyclones.
AB - Tropical cyclone track prediction is steadily improving, while storm intensity
prediction has seen little progress in the last quarter century. Important
physics are not yet well understood and implemented in tropical cyclone forecast
models. Missing and unresolved physics, especially at the air-sea interface, are
among the factors limiting storm predictions. In a laboratory experiment and
coordinated numerical simulation, conducted in this work, the microstructure of
the air-water interface under hurricane force wind resembled Kelvin-Helmholtz
shear instability between fluids with a large density difference. Supported by
these observations, we bring forth the concept that the resulting two-phase
environment suppresses short gravity-capillary waves and alters the aerodynamic
properties of the sea surface. The unified wave-form and two-phase
parameterization model shows the well-known increase of the drag coefficient (Cd)
with wind speed, up to ~30 ms(-1). Around 60 ms(-1), the new parameterization
predicts a local peak of Ck/Cd, under constant enthalpy exchange coefficient Ck.
This peak may explain rapid intensification of some storms to major tropical
cyclones and the previously reported local peak of lifetime maximum intensity
(bimodal distribution) in the best-track records. The bimodal distribution of
maximum lifetime intensity, however, can also be explained by environmental
parameters of tropical cyclones alone.
PMID- 24930494
TI - Strategies to address management challenges in larger intensive care units.
AB - AIM: To illustrate the need for and suggest strategies that will enhance
sustainable management of a large intensive care unit (ICU). BACKGROUND: The
challenges faced by intensive care nursing in South Africa are well documented.
However, there appear to be no strategies available to assist nurses to manage
large ICUs or for ICU managers to deal with problems as they arise. METHODS: Data
sources to illustrate the need for strategies were challenges described by ICU
managers in the management of large ICUs. A purposive sample of managers was
included in individual interviews during compilation of evidence regarding the
challenges experienced in the management of large ICUs. The challenges were
presented at the Critical Care Society of Southern Africa Congress held on 28
August to 2 September 2012 in Sun City North-West province, South Africa.
RESULTS: Five strategies are suggested for the challenges identified: divide the
units into sections; develop a highly skilled and effective nursing workforce to
ensure delivery of quality nursing care; create a culture to retain an effective
ICU nursing team; manage assets; and determine the needs of ICU nurses.
CONCLUSION: ICUs need measures to drive the desired strategies into actions to
continuously improve the management of the unit. Future research should be aimed
at investigating the effectiveness of the strategies identified. IMPLICATIONS FOR
NURSING MANAGEMENT: This research highlights issues relating to large ICUs and
the strategies will assist ICU managers to deal with problems related to large
unit sizes, shortage of trained ICU nurses, use of agency nurses, shortage of
equipment and supplies and stressors in the ICU. The article will make a
contribution to the body of nursing literature on management of ICUs.
PMID- 24930495
TI - Age-job satisfaction relationship for Japanese public school teachers: a
comparison of teachers' labor union members and professional and technical
employee members of private company labor unions.
AB - OBJECTIVES: This study investigated the characteristics of the age-job
satisfaction relationship for public school teachers. Past studies examining this
relationship have found both linear and non-linear relationships. However, such
studies have yet to examine these relationships by comparing job satisfaction of
teachers with that of company employees in the same cultural context. In order to
investigate the characteristics of Japanese teachers' working environment, we
examined how different the age-job satisfaction relationships were between
teachers and company employees. METHODS: We conducted hierarchical polynomial
regression analyses with four job satisfaction variables to compare the age-job
satisfaction relationships of Japanese public elementary, junior and high school
teachers with Japanese professional and technical workers who belonged to their
respective labor unions. RESULTS: 1) Among teachers, the effects of age on
overall job satisfaction and satisfaction with pay were significantly negative,
and the effects of age on satisfaction with human relationships and working hours
were not significant. 2) Among company employees, these four kinds of
satisfactions had U shaped relationships with age. 3) Compared to company
employees, teachers showed higher intrinsic satisfaction and lower extrinsic
satisfaction. CONCLUSIONS: The age-job satisfaction relationship for teachers
decreases with age. This result may be explained by the excessive workload of
Japanese teachers, a characteristic of their working environment. Elderly
teachers' burnout may be related to this characteristic. It may be necessary for
elderly teachers to be supported in order to enhance their job satisfaction,
especially extrinsic satisfaction.
PMID- 24930496
TI - How a protein can remain stable in a solvent with high content of urea: insights
from molecular dynamics simulation of Candida antarctica lipase B in urea :
choline chloride deep eutectic solvent.
AB - Deep eutectic solvents (DESs) are utilized as green and inexpensive alternatives
to classical ionic liquids. It has been known that some of DESs can be used as
solvent in the enzymatic reactions to obtain very green chemical processes. DESs
are quite poorly understood at the molecular level. Moreover, we do not know much
about the enzyme microstructure in such systems. For example, how some hydrolase
can remain active and stable in a deep eutectic solvent including 9 M of urea? In
this study, the molecular dynamics of DESs as a liquid was simulated at the
molecular level. Urea : choline chloride as a well-known eutectic mixture was
chosen as a model DES. The behavior of the lipase as a biocatalyst was studied in
this system. For comparison, the enzyme structure was also simulated in 8M urea.
The thermal stability of the enzyme was also evaluated in DESs, water, and 8M
urea. The enzyme showed very good conformational stability in the urea : choline
chloride mixture with about 66% urea (9 M) even at high temperatures. The results
are in good agreement with recent experimental observations. In contrast,
complete enzyme denaturation occurred in 8M urea with only 12% urea in water. It
was found that urea molecules denature the enzyme by interrupting the intra-chain
hydrogen bonds in a "direct denaturation mechanism". However, in a urea : choline
chloride deep eutectic solvent, as a result of hydrogen bonding with choline and
chloride ions, urea molecules have a low diffusion coefficient and cannot reach
the protein domains. Interestingly, urea, choline, and chloride ions form
hydrogen bonds with the surface residues of the enzyme which, instead of lipase
denaturation, leads to greater enzyme stability. To the best of our knowledge,
this is the first study in which the microstructural properties of a
macromolecule are examined in a deep eutectic solvent.
PMID- 24930497
TI - Synthesis of rare earth doped yttrium-vanadate nanoparticles encapsulated within
apoferritin.
AB - Luminescent europium (Eu) and dysprosium (Dy) doped yttrium-vanadate (Y-V)
nanoparticles (NPs) were synthesized in the cavity of the protein, apoferritin. Y
V NPs were synthesized by incubating a solution of apoferritin with Y(3+) and
VO3(-) ions in the presence of ethylene diamine-N-N'-diacetic acid (EDDA). EDDA
plays an important role in preventing Y-vanadate precipitation in bulk solution
by chelating the Y(3+) ions. Using high resolution electron microscopy, the
obtained NPs in the apoferritin cavities were confirmed to be amorphous, and to
consist of Y and V. Eu-doped Y-V (Y-V:Eu) NPs were synthesized by the same
procedure as Y-V NPs, except that Eu(NO3)3 was added. Y-V:Eu NPs exhibited a
strong absorption peak due to the O-V charge transfer transition and remarkable
luminescence at 618 nm due to the (5)D0 -> (7)F2 transition. The luminescence
lifetime of Y:Eu and Y-V:Eu NPs measured in H2O and D2O solution showed reduction
of non-radiative transition to the O-H vibration in Y-V:Eu NPs. Accordingly, Y-V
NPs showed strong luminescence compared to Y:Eu NPs. Dy-doped Y-V NPs were also
synthesized in apoferritin cavities and showed luminescence peaks at 482 nm and
572 nm, corresponding to (4)F9/2 -> (6)H15/2 and (4)F9/2 -> (6)H13/2 transitions.
These NPs stably dispersed in water solution since their aggregation was
prevented by the protein shell. NPs encapsulated in the protein are likely to be
biocompatible and would have significant potential for biological imaging
applications.
PMID- 24930498
TI - The effect of age on the systemic inflammatory response in patients with
community-acquired pneumonia.
AB - Community-acquired pneumonia (CAP) is a major cause of morbidity and mortality
worldwide. Increasing age has been associated with elevated circulating levels of
pro-inflammatory mediators. We aimed to determine the impact of ageing on the
systemic inflammatory response to CAP. In total 201 CAP patients were enrolled.
Blood samples were obtained upon presentation, and on days 2, 3 and 5. For the
current analysis patients<=50 and >=80 years were included. The Pneumonia
Severity Index (PSI) score was calculated at presentation. The study encompassed
46 CAP patients aged <=50 years (median 37 years) and 41 CAP patients aged >=80
years (median 84 years). In both groups Streptococcus pneumoniae was the common
causative microorganism. Whereas most young patients had a PSI score of I (54%),
98% of elderly patients had a PSI score>=III (p<0.001). Four elderly patients
died vs. none of the young patients (p 0.045). Older patients demonstrated lower
serum C-reactive protein levels on admission and during the course of their
hospitalization (p 0.001) in spite of more severe disease. Serum concentrations
of pro-inflammatory (interleukin (IL)-6 and IL-8) and anti-inflammatory cytokines
(IL-10 and IL-1 receptor antagonist) did not differ between age groups, although
admission IL-8 levels tended to be higher in elderly patients (p 0.05). Cytokine
levels were positively correlated with PSI in young but not in elderly patients.
These results suggest that elderly patients show an absolute (C-reactive protein)
or relative (cytokines) reduction in their systemic inflammatory response on
admission for CAP.
PMID- 24930500
TI - Quiet please! Drug round tabards: are they effective and accepted? A mixed method
study.
AB - BACKGROUND: The use of drug round tabards is a widespread intervention that is
implemented to reduce the number of interruptions and medication administration
errors (MAEs) by nurses; however, evidence for their effectiveness is scarce.
PURPOSE: Evaluation of the effect of drug round tabards on the frequency and type
of interruptions, MAEs, the linearity between interruptions and MAEs, as well as
to explore nurses' experiences with the tabards. STUDY DESIGN: A mixed methods
before-after study, with three observation periods on three wards of a Dutch
university hospital, combined with personal inquiry and a focus group with
nurses. METHODS: In one pre-implementation period and two post-implementation
periods at 2 weeks and 4 months, interruptions and MAEs were observed during drug
rounds. Descriptive statistics and univariable linear regression were used to
determine the effects of the tabard, combined with personal inquiry and a focus
group to find out experiences with the tabard. FINDINGS: A total of 313
medication administrations were observed. Significant reductions in both
interruptions and MAEs were found after implementation of the tabards. In the
third period, a decrease of 75% in interruptions and 66% in MAEs was found.
Linear regression analysis revealed a model R2 of 10.4%. The implementation
topics that emerged can be classified into three themes: personal considerations,
patient perceptions, and considerations regarding tabard effectiveness.
CONCLUSIONS: Our study indicates that this intervention contributes to a
reduction in interruptions and MAEs. However, the reduction in MAEs cannot be
fully explained by the decrease in interruptions alone; other factors may have
also influenced the effect on MAEs. We advocate for further research on
complementary interventions that contribute to a further reduction of MAEs.
CLINICAL RELEVANCE: We can conclude that drug round tabards are effective to
improve medication safety and are therefore important for the quality of nursing
care and the reduction of MAEs.
PMID- 24930501
TI - Carotenoid retention of biofortified provitamin A maize (Zea mays L.) after
Zambian traditional methods of milling, cooking and storage.
AB - Provitamin A biofortified maize hybrids were developed to target vitamin A
deficient populations in Africa. The purpose of this study was to evaluate the
degradation of carotenoids after milling, cooking, and storage among biofortified
varieties released in Zambia. The biofortified maize hybrids contained 7.5 to
10.3 MUg/g dry weight (DW) of provitamin A as measured by beta-carotene
equivalents (BCE). There was virtually no degradation due to milling. The BCE
retention was also high (>100%) for most genotypes when the maize was cooked into
thick (nshima) and thin porridge, but showed a lower BCE retention (53-98%) when
cooked into samp (dehulled kernels). Most of the degradation occurred in the
first 15 days of storage of the maize as kernels and ears (BCE retention 52-56%)
which then stabilized, remaining between 30% and 33% of BCE after six months of
storage. In conclusion, most of the provitamin A degradation in biofortified
maize hybrids occurred during storage compared with cooking and the magnitude of
this effect varied among genotypes.
PMID- 24930499
TI - Up-regulation of neogenin-1 increases cell proliferation and motility in gastric
cancer.
AB - Although elevated expression of neogenin-1 has been detected in human gastric
cancer tissue, its role in gastric tumorigenesis remains unclear due to the lack
of neogenin-1 studies in cancer. Therefore, we demonstrated here the function and
regulatory mechanism of neogenin-1 in gastric cancer. Neogenin-1 ablation
decreased proliferation and migration of gastric cancer cells, whereas its over
expression reversed these effects. Xenografted analyses using gastric cancer
cells displayed statistically significant inhibition of tumor growth by neogenin
1 depletion. Interestingly, galectin-3 interacted with HSF-1 directly, which
facilitated nuclear-localization and binding on neogenin-1 promoter to drive its
transcription and gastric cancer cell motility. The galectin-3-increased gastric
cancer cell motility was down-regulated by HSF-1 depletion. Moreover, the
parallel expression patterns of galectin-3 and neogenin-1, as well as those of
HSF-1 and neogenin-1, were detected in the malignant tissues of gastric cancer
patients. Taken together, high-expression of neogenin-1 promotes gastric cancer
proliferation and motility and its expression is regulated by HSF-1 and galectin
3 interaction. In addition, we propose further studies for neogenin-1 and its
associated pathways to provide them as a proper target for gastric cancer
therapy.
PMID- 24930503
TI - Thermoelectric voltage measurements of atomic and molecular wires using
microheater-embedded mechanically-controllable break junctions.
AB - We developed a method for simultaneous measurements of conductance and
thermopower of atomic and molecular junctions by using a microheater-embedded
mechanically-controllable break junction. We find linear increase in the
thermoelectric voltage of Au atomic junctions with the voltage added to the
heater. We also detect thermopower oscillations at several conductance quanta
reflecting the quantum confinement effects in the atomic wire. Under high heater
voltage conditions, on the other hand, we observed a peculiar behaviour in the
conductance dependent thermopower, which was ascribed to a disordered contact
structure under elevated temperatures.
PMID- 24930502
TI - Comprehensive evaluation of cardiac function and detection of myocardial
infarction based on a semi-automated analysis using full-volume real time three
dimensional echocardiography.
AB - OBJECTIVE: Quantitative left ventricular mass (LVM) as well as regional strain
values may be obtained from full-volume real time 3D echocardiography data via
semi-automated feature tracking and represent indices of heart function, both in
health and disease. METHODS: Fresh adult porcine and ovine hearts were passively
pumped to simulate normal cardiac motion at stroke volumes (SVs) varying from 30
to 70 mL. A 3V-D Matrix probe, interfaced with a GE Vivid E9 ultrasound system,
was used to image each heart at baseline conditions and after simulated
myocardial infarction (MI). The 4D LV quantification function of EchoPAC PC was
used to quantify the LVM and longitudinal and circumferential strain (LS & CS) of
LV segments at each SV prior and subsequent to simulated MI. LVM was validated by
volumetric displacement, while LS and CS values were compared to sonomicrometry
based strain. RESULTS: Linear regression analyses show excellent correlations in
LVM, LS, and CS between the 4D echo and volumetric/sonomicrometric displacement
with R(2) values of 0.99, 0.88, and 0.67, respectively. Bland-Altman analyses for
all variables validate the compatibility of both methods. It was also determined
that EchoPAC PC was able to detect a decrease in LS and CS in the relevant
segments between pre- and post-MI at all SVs (P < 0.05). CONCLUSIONS: EchoPAC PC
is a robust utility with the ability to accurately obtain quantitative LVM, LS,
and CS values from 4D echo volumes and has the potential to improve the yield of
clinical studies in cases of suspected MI.
PMID- 24930504
TI - Immunomodulatory and potential therapeutic role of mesenchymal stem cells in
periodontitis.
AB - Periodontitis is a chronic inflammatory disease leading to alveolar bone
destruction, and eventually tooth loss. In genetically or environmentally
predisposed individuals periodontopathogenic bacteria trigger an inflammatory
immune response where activated macrophages secrete inflammatory cytokines and T
helper 17 cells produce interleukin-17, receptor activator of nuclear factor
kappa B ligand (RANKL) and tumor necrosis factor-alpha. Inflammation and the
production of RANKL, the key cytokine responsible for osteoclast activation,
cause excessive activation of osteoclasts. This results in a decoupling between
bone formation and resorption, leading to bone loss. As conventional treatment
does not target the inflammatory response and osteoclast activation, its
effectiveness is limited. Novel treatments are thus required if we are to cure
this disease. Mesenchymal stem cells (MSCs), including those of dental origin,
are potent immunomodulators and are known to be suitable for tissue regeneration.
MSCs can inhibit the immune response by suppressing T cells, inducing regulatory
T cells and converting dendritic cells and macrophages into a regulatory
phenotype. Additionally, genetic modulation may enhance the therapeutic potential
of MSCs. In the present review the authors describe the potential use of MSCs,
either unmodified or engineered for therapeutic purposes in periodontitis, with
special emphasis on MSCs from dental pulp and periodontal ligament. The paper
envisions that multiple targeting of this inflammatory disease by modulating the
immune response, promoting bone regeneration and inhibiting bone resorption might
yield significantly improved treatment outcomes when combined with conventional
treatment modalities.
PMID- 24930505
TI - The current view on biological potency of cationically modified chitosan.
AB - Chitosan is biocompatible polymer obtained from chitin, the building component of
the crustacean shells. In this paper we make an attempt to review the current
state of knowledge on some biological effects of chitosan in comparison with
those of cationically modified chitosan, N-(2-hydroxypropyl)-3-trimethylammonium
chitosan chloride (HTCC) that was recently synthetized by us by covalent
attachment of glycidyltrimethylammonium chloride (GTMAC). Biological effects of
HTCC and non-modified polymer are very similar. However, HTCC shows some unique
beneficial properties which have not been found in its non-modified counterpart.
One such example is that HTCC has the ability to bind heparin at physiological
pH. HTCC having the degree of substitution almost 63.6% is easily absorbed within
1 hour after oral administration as found in C57BL/6j mice using FITC-labeled
polymer. HTCC is distributed to lung, heart, and kidneys. HTCC stimulates and
enhances blood platelet aggregation and decreases erythrocyte deformability
(RBC). Moreover, HTCC seems to decrease both plasma total cholesterol level and
LDL-cholesterol level in apoE-knockout mice fed with a diet containing HTCC. HTCC
possibly down-regulates the HMG-CoAR mRNA level after 24 hour incubation with
HepG2 cells in vitro.
PMID- 24930506
TI - Exendin-4, an analogue of glucagon-like peptide-1, attenuates hyperalgesia
through serotonergic pathways in rats with neonatal colonic sensitivity.
AB - Glucagon-like peptide-1 (GLP-1) analogue ROSE-010 can provide effective pain
relief from irritable bowel syndrome (IBS). However, the underlying biological
mechanism is still unknown. Here, we investigate the effect of GLP-1 analogue
exendin-4 on visceral hypersensitivity in colonic sensitized rats. Rat models of
visceral hypersensitivity were established by intra-colonic infusion of acetic
acid in 10-day-old Sprague-Dawley rats. Visceral sensitivity was assessed by
measurement of abdominal withdrawal reflex (AWR) and electromyography (EMG).
Exendin-4 with doses of 1, 5, and 10 MUg/kg were intraperitoneally administered,
respectively. The expressions of serotonin transporter (SERT) and tryptophan
hydroxylase-1 (TPH-1) in colonic tissues were detected by RT-PCR and Western
blot, respectively. The levels of serotonin (5-HT) and GLP-1 were measured by
ELISA assay. Visceral hypersensitivity after neonatal colonic sensitization was
verified. The colonic sensitized rats showed low levels of GLP-1 in plasma and
high levels of 5-HT in plasma and colonic tissue (P<0.05). Exendin-4 dose
dependently reduced visceral hypersensitivity in colonic sensitized rats. The AWR
scores in colonic sensitized rats with exendin-4 (5 MUg/kg) reduced to 1.56+/
0.53 (P=0.013 vs. 2.33+/-0.50), 2.23+/-0.45 (P=0.008 vs. 3.0+/-0.5) during CRD at
40, and 60 mmHg, respectively. Similar findings were showed at dose of 10 MUg/kg.
Exendin-4 (5 MUg/kg and 10 MUg/kg) reduced the EMG during CRD at 40, 60, 80 mmHg
(P<0.01). Exendin-4 (5.0 MUg/kg or 10.0 MUg/kg) significantly decreased the 5-HT
colonic levels (2.343+/-0.447, 2.175+/-0.360 ng/100 mg vs. 3.607+/-0.628 ng/100
mg, P<0.05). The SERT protein expressions in colonic tissues in colonic
sensitized rats were significantly increased with exendin-4 at doses of 1, 5 or
10 MUg/kg (0.759+/-0.068, 0.942+/-0.037, 0.944+/-0.097 vs. 0.552+/-0.047, P<0.05,
respectively), and the SERT mRNA expression also increased after treatment with
exendin-4. The colonic sensitized rats showed lower TPH-1 levels after treatment
with exendin-4 (P<0.05). These findings suggest that exendin-4 reduce visceral
hypersensitivity and this may be associated with up-regulating SERT expression,
and down-regulating TPH-1 expression.
PMID- 24930507
TI - Evaluation of hyperprolactinaemia with the use of the intervals for prolactin
after macroforms separation.
AB - Macroprolactin (MaPRL) - a complex of monomeric prolactin (PRL) with
immunoglobulin G, may be a cause of laboratory diagnosed hyperprolactinaemia. To
quantify MaPRL, a precipitation with polyethylene glycol may be performed. This
method involves calculating of recovery ratio but the cut-off value is not
precisely determined. Moreover, it is proposed that the assessment of
macroprolactinaemia should include also the evaluation of real PRL concentration
which means the level of the hormone after macroforms separation. The study
included 245 patients with hyperprolactinaemia, in whom precipitation was
performed. A recovery ratio <=40% indicated macroprolactinaemia. The real PRL
concentrations of the studied subjects were compared with reference ranges
suggested by the assay manufacturer and with new intervals for PRL after
macroforms separation. On the base of the recovery ratio after the precipitation,
macroprolactinaemia was detected in 21 persons. In these patients true
hyperprolactinaemia (elevation of real PRL concentration above manufacturer's
reference ranges) was noted in 9 cases. Among 224 patients with a recovery >40%,
real PRL concentration turned out to be within the manufacturer's reference range
(pseudohyperprolactinaemia) in 36 persons. The new intervals for PRL after
macroforms separation were about 20% lower than the manufacturer's reference
ranges. After applying new ranges in patients with macroprolactinaemia, true
hyperprolactinaemia was observed in 14 persons, while in the group without MaPRL
dominance, pseudohyperprolactinaemia was noted in 5 patients. The use of the
recovery ratio only to recognize macroprolactinaemia may lead in some subjects to
the misclassification of the results. For that reason the assessment of the PRL
concentration after macroforms separation that can help to distinguish true
hyperprolactinaemia and pseudohyperprolactinaemia, seems to be reasonable. To
evaluate the real PRL concentration, the reference intervals suggested by the
manufacturer of immunoassay might be used. However, possibly better means to
diagnose patients with hyperprolactinaemia accurately is using an appropriate
range for the concentration of PRL after macroforms separation.
PMID- 24930508
TI - Matrix metalloproteinase 9/neutrophil gelatinase associated lipocalin/tissue
inhibitor of metalloproteinasess type 1 complexes are localized within
cardiomyocytes and serve as a reservoir of active metalloproteinase in porcine
female myocardium.
AB - Matrix metalloproteinase 9 (MMP-9) is crucial for physiological tissue repair and
pathophysiological myocardial remodeling. The regulation of its functioning has
been shown to be mediated by formation of complexes with tissue inhibitor of
metalloproteinases 1 (TIMP-1) and neutrophil gelatinase associated lipocalin
(NGAL). We investigated the mRNA and protein expression of MMP-9, TIMP-1 and
NGAL, the formation of complexes, their gelatinolytic activity and cellular
localization in left ventricle (LV) from 10 female pigs with induced systolic
heart failure (HF), 5 control pigs, and a woman with severe HF. The MMP-9, TIMP-1
and NGAL mRNA in LV did not differ between diseased and healthy pigs. In all pigs
MMP-9, TIMP-1 and NGAL proteins were present in LV as high molecular weight (HMW)
complexes (115, 130, 170 and 220 kDa), and no monomers were found. A 80 and 115
kDa gelatinolytically active bands were present in all LV homogenates. A 130-kDa
active band was seen only in LV from pigs with severe HF. Similar results were
found in the explanted heart of a female patient with severe HF. The incubation
of the homogenates of porcine LV at 37 degrees C resulted in appearance of 88 kDa
active band, which was accompanied by a decreased intensity of HMW bands. The
incubation of the homogenates of porcine LV (depleted of active MMP-9) with
trypsin generated 80 and 115 kDa active bands. Immunohistochemistry revealed the
presence of MMP-9 in the cytoplasm of porcine cardiomyocytes, but not in
cardiofibroblasts. Our data suggest that MMP-9 originates from cardiomyocytes,
forms the gelatinolytically inactive complexes with TIMP-1 and NGAL, present in
normal and failing myocardium, likely serving as a reservoir of active MMP-9.
Further studies are needed to elucidate the role of these HMW complexes in the
extracellular matrix remodeling during the progression of HF, which presence
should be considered when developing efficient strategies inhibiting myocardial
matrix metalloproteinases.
PMID- 24930509
TI - Elevated serum osteoprotegerin is associated with decreased osteoclastic
differentiation in stenotic aortic valves.
AB - Calcific aortic valve stenosis (CAVS) is an actively regulated process that
involves mechanisms of bone development, including the receptor activator of
nuclear factor kappaB, its ligand, and osteoprotegerin (RANK/RANKL/OPG)
regulatory system. The aim of this study was to investigate whether the levels of
circulating OPG and RANKL can be correlated with some histopathological features
of the stenotic valves. Serum levels of osteoprotegerin (OPG) and soluble RANKL
(sRANKL) were assessed in 27 patients with CAVS prior to valve replacement
surgery and in 12 control subjects. The removed valves were examined
macroscopically and microscopically. Valve sections were stained with hematoxylin
and eosin for general morphology, with Oil Red O for lipids and immunostained
with antibodies against markers visualizing osteoclastic cells (tartrate
resistant acid phosphatase, TRAP), macrophages (CD68) and blood vessels (CD34).
Patients with CAVS had elevated levels of OPG as compared to the control group
(p=0.005). Within the CAVS group, patients with osteoclastic TRAP-positive cells
in their valves had significantly lower serum levels of OPG (p=0.009) and lipid
content (p=0.03) than those without such cells. Moreover, osteogenic metaplasia
was observed exclusively in the valves containing TRAP-positive cells. Results of
this study suggest that the circulating OPG can influence the processes occurring
in the calcifying valves by inhibiting osteoclastic differentiation of cells
involved in calcification and by preventing osteogenic metaplasia.
PMID- 24930510
TI - The prevention of ischemia/reperfusion induced oxidative damage by venous blood
in rabbit kidneys monitored with biochemical, histopatological and
immunohistochemical analysis.
AB - The purpose of this study was to investigate the biochemical, histopathological
and immunohistochemical effects of venous blood on ischemia/reperfusion-induced
oxidative DNA damage and mutation in rabbit kidneys in comparison to melatonin
treatment, which has a known protective effect against ischemia/reperfusion (IR)
injury. The rabbits were divided into five groups: renal ischemia (RI), renal
ischemia-reperfusion (RIR), renal ischemia-venous blood-reperfusion (RIVR),
melatonin + renal ischemia-reperfusion (MRIR), and the healthy sham control group
(HG). Melatonin (2.5 mg/kg delivered intraperitoneally) was administered one hour
prior to ischemia. In the RIVR group, 1 ml of venous blood was administered 5
minutes before the reperfusion. The xanthine oxidase activity in the kidney
tissue was determined as 53.50 +/- 1.72, 31.00 +/- 6.39, 45.66 +/- 9.20, 28.66 +/
6.05 and 14.33 +/- 1.28 U/g protein; the MDA levels were 6.32 +/- 0.02, 19.50 +/
1.33, 7.00 +/- 0.96, 7.50 +/- 0.76 and 4.75 +/- 0.34 mmol/g protein; and the GSH
levels were 4.50 +/- 1.08, 2.76 +/- 0.13, 5.48 +/- 0.22, 4.93 +/- 0.55 and 6.98
+/- 0.33 nmol/g protein in the RI, RIR, RIVR, MRIR and HG groups, respectively.
Blood, blood urea nitrogen (BUN) and creatinine levels were classified as high
only in the RIR group. The MRIR and RIVR groups, in which oxidative stress was
best suppressed, had much milder histopathological and immunohistochemical
findings compared to the RIR group. This study has revealed that it is useful to
initiate reperfusion of the ischemic tissue with venous blood.
PMID- 24930511
TI - Role of nitrergic and endothelin pathways modulations in cisplatin-induced
nephrotoxicity in male rats.
AB - Although the protective role of either nitric oxide (NO) or endothelin (ET)
receptors modulation on the severity of cisplatin-induced nephrotoxicity has been
recognized in previous studies including our own, the possible interaction
between the two pathways remains obscure. In this study, we tested for the first
time the possible interaction between the nitrergic and endothelin pathways in
cisplatin-induced nephrotoxicity in male rats. Sprague Dawley male rats were
divided into four groups: control (given a single dose of normal saline, i.p.),
cisplatin (6 mg/kg, i.p.), cisplatin + sildenafil (2 mg/kg, i.p.), cisplatin +
sildenafil + BQ-123 (1 mg/kg, i.p.). Each of the co-administered drugs was given
in two doses; one hour before and one day after the cisplatin dose. Acute
cisplatin administration resulted in significant increases in blood urea nitrogen
(BUN) and serum creatinine levels at 96 hours following cisplatin injection.
Increased levels of malondialdehyde (MDA), tumor necrosis factor-alpha (TNF
alpha) and caspase-3, decreased nitrite/nitrate level and superoxide dismutase
(SOD) activity in kidney homogenates were also observed following cisplatin
injection, in addition to a typical 'acute tubular necrosis' pattern. According
to the obtained results, the co-adminstration of sildenafil alone with cisplatin
offered a reno-protective effect comparable to that obtained following the
concurrent administration of both sildenafil and the selective ET-A receptor
antagonist BQ-123. Thus, the current study is the first to reveal that the
presence of an intact NO/cGMP system may offer a moderate reno-protective effect
against cisplatin-induced nephrotoxicity even in the presence of ET-A-mediated
vasoconstriction, suggesting the absence of obvious functional interaction
between the nitrergic and endothelin pathways in cisplatin-induced nephrotoxicity
in male rats.
PMID- 24930512
TI - Cardiovascular effects of methacholine-induced airway obstruction in man.
AB - Cardiovascular disease is the most frequent cause of death in people with chronic
respiratory disease. The cause of this association has been attributed to airway
obstruction leading to cardiovascular dysfunction (increased central blood
pressure (BP) and aortic stiffness). However, this has never been experimentally
tested. Methacholine is routinely used to stimulate airway function changes that
mimic airway pathology. This study aimed to determine the cardiovascular effects
of methacholine-induced airway obstruction. Fifteen healthy young adults (aged
22.9+/-2.5 years; 4 male; mean+/-S.D.) underwent a bronchial challenge test
(randomized, blinded, cross-over design) in which they received nebulized
methacholine inhalation in serially increasing concentrations (from 0.39 to 25
mg/ml) or saline (0.9%; control) on two separate days. Bronchoconstriction was
assessed by forced expiratory volume at one second (FEV1) and cardiovascular
effects by augmentation index, brachial BP, central BP, heart rate and aortic
stiffness. Methacholine significantly decreased FEV1 from baseline to peak
inhaled concentration compared with saline (-0.48+/-0.34 vs. -0.07+/-0.16 L;
p<0.001), but there was no between-group change in augmentation index (1.6+/-7.0
vs. 3.7+/-10.2% p=0.49), brachial systolic BP (-3.3+/-7.6 vs. -4.7+/-5.7 mmHg;
p=0.59), central systolic BP (-1.1+/-5.2 vs. -0.3+/-5.5 mmHg; p=0.73), heart rate
(0.4+/-7.1 vs. -0.8+/-6.6 bpm; p=0.45) or aortic stiffness (0.2+/-1.3 vs. 0.8+/
1.8 m/s; p=0.20; n=12). Thus, methacholine induced airway obstruction does not
acutely change brachial BP or central haemodynamics. This finding refutes the
notion that airway obstruction per se leads to cardiovascular dysfunction, at
least in healthy individuals in the acute setting.
PMID- 24930513
TI - Effects of gadolinium chloride on basal flow and compression-induced rapid
hyperemia in the rabbit masseter muscle.
AB - Aim of the present study is to investigate the role of mechano-sensitive channels
on basal muscle blood flow and on the compression-induced rapid hyperaemia. To
this aim, the mechano-sensitive channel blocker Gadolinium (Gd(3+)) is employed,
which already proved to reduce the myogenic response in isolated vessels. Muscle
blood flow (MaBF) was recorded from the masseteric artery in 8 urethane
anesthetized rabbits. Rapid hyperemic responses were evoked by 1-s lasting
compressions of the masseter muscle (MC) delivered before and after close
arterial infusion of Gd(3+) in the masseteric artery. Three infusions were
performed at 1-h interval, producing estimated plasma concentration (EPC) of
0.045, 0.45 and 4.5 mM, in the masseteric artery. The amplitude of the hyperaemic
response to MC, equal to 195+/-77% of basal flow in control condition, was
reduced by 9.5+/-19.4% (p=0.18) and 45+/-28% (p<0.01) while basal MaBf increased
by 10+/-3% (p=0.90) and by 68+/-30% (p<0.01) at EPC of 0.045 and 0.45 mM,
respectively. At EPC of 4.5 mM a strong reduction in both MaBF (by 54+/-13%,
p<0.01) and MC response (75+/-12%, p<0.01) was instead observed. These effects
did not depend on time from infusion. At all doses employed Gd(3+) never affected
arterial blood pressure, heart rate and contralateral MaBF. While the effects
observed at the highest EPC likely result from blood vessel occlusion due to
Gd(3+) precipitation, the effects observed at lower concentrations demonstrate
that Gd(3+) affects musculo-vascular function by decreasing both resting vascular
tone and responsiveness to mechanical stimuli. The results are compatible with a
Gd(3+)-induced blockade of vascular mechano-sensitive channels.
PMID- 24930515
TI - Inhibition of phosphodiesterase 3B in insulin-secreting cells of normal and
streptozocin-nicotinamide-induced diabetic rats: implications for insulin
secretion.
AB - Cyclic adenosine monophosphate (cAMP) plays important role in the potentiation of
insulin secretion in pancreatic B-cells. However, the relevance of cAMP-degrading
enzymes in the regulation of insulin secretion is not fully elucidated. The
present work was undertaken to determine effects of inhibition of
phosphodiesterase 3B (PDE3B) by amrinone on insulin secretion from pancreatic
islets and perfused pancreas of normal and mildly diabetic rats. Inhibition of
this enzyme was demonstrated to substantially increase insulin-secretory response
to 6.7 mM glucose in the isolated islets and perfused pancreas of non-diabetic
rats. Increment in glucose-induced insulin secretion resulting from inhibition of
PDE3B was accompanied by an increase in islet cAMP levels and was suppressed by
inhibition of protein kinase A. It was also demonstrated that insulin secretion
stimulated by glucose and 1 MUM forskolin was only slightly elevated in the
presence of amrinone. Moreover, insulin release induced by succinate instead of
glucose was also augmented by inhibition of PDE3B in rat islets. However,
exposure of the pancreatic islets of streptozotocin-nicotinamide-induced diabetic
rats to amrinone appeared to be without any effect on glucose-induced insulin
secretion. Similar lack of response was shown in the perfused pancreas of
diabetic rats. These results indicate that inhibition of PDE3B by amrinone
significantly augments insulinotropic action of physiological glucose in B-cells
of normal rats. This effect is mediated via protein kinase A and may be also
induced in the presence of metabolizable stimuli other than glucose. Effects
generated by amrinone were demonstrated to be, however, insufficient to enhance
glucose-induced insulin secretion in B-cells of streptozotocin-nicotinamide
induced diabetic rats.
PMID- 24930514
TI - Cardiovascular effects of ethanol extract of Rubus chingii Hu (Rosaceae) in rats:
an in vivo and in vitro approach.
AB - Rubus chingii Hu (Rosaceae) is an important traditional Chinese medicine that has
been used to improve function of the kidney and treat excessive polyuria.
However, the effects of Rubus chingii on the cardiovascular system and its
pharmacological mechanisms of action have not been studied. The aim of the
present study was to evaluate the cardiovascular effects of ethanol extract of
Rubus chingii (ERC) in rats. The changes in systolic blood pressure and heart
rate of rats and vascular tone of aortic rings in in vitro were measured using
pressure transducer and force transducer, respectively, connected to a
multichannel recording system. ERC decreased systolic blood pressure and heart
rate in a concentration-dependent manner. ERC induced vasorelaxation in a
concentration-dependent manner. The ERC-induced vasorelaxation was not observed
in the absence of the endothelium. The vasorelaxant effect of ERC was
significantly attenuated by inhibition of endothelial NO synthase (eNOS), soluble
guanylyl cyclase (sGC), or Ca(2+) entry from extracellular sources with L-NAME,
ODQ, diltiazem, or extracellular Ca(2+) depletion, respectively. Similarly, an
inhibition of Akt with wortmannin attenuated the ERC-induced vasorelaxation.
Modulators of the store-operated Ca(2+) entry, thapsigargin, Gd(3+), and 2
aminoethyl diphenylborinate markedly attenuated the ERC-induced vasorelaxation.
Furthermore, 4-aminopyridine an inhibitor of voltage-dependent K(+) (KV) channel,
significantly attenuated the ERC-induced vasorelaxation. However,
tetraethylammonium and glibenclamide, had no significant effect on the ERC
induced vasorelaxation. Indomethacin, atropine, and propranolol had no effects on
the ERC-induced vasorelaxation. The present study demonstrates that ERC induces
vasorelaxation via endothelium-dependent two-step signaling: an activation of the
Ca(2+)-eNOS-NO signaling in the endothelial cells and then subsequent stimulation
of the NO-sGC-cGMP-KV channel signaling in the vascular smooth muscle cells. The
Akt-eNOS pathway is also suggested to be involved in this relaxation. Also, the
findings suggest that the ERC-induced vasorelaxation is closely related to the
hypotensive action of the agent.
PMID- 24930516
TI - Indomethacin-induced generation of reactive oxygen species leads to epithelial
cell injury before the formation of intestinal lesions in mice.
AB - Recently, with the increasing number of elderly patients who continuously take
aspirin and/or nonsteroidal anti-inflammatory drugs (NSAIDs), the number of cases
of severe hemorrhagic gastrointestinal (GI) bleeding is also on the increase.
Gastric acid has been reported to play the most important role in hemorrhagic
gastric mucosal injury. However, the pathogenesis of NSAID-derived mucosal injury
in the intestine, where there is no acidic environment, remains unknown. We
previously reported that NSAID-derived mitochondrial reactive oxygen species
(ROS) are directly involved in GI cellular injury in vitro, although an in vivo
study has not yet been carried out. In this study, we investigated the
relationship between NSAID-derived ROS and intestinal injury formation. For this
purpose, intestinal mucosal live imaging in mice was carried out using an ROS
indicating fluorescent probe. Treatment with indomethacin caused macroscopic
intestinal injury in mice; however, many dying cells were observed even in areas
that macroscopically appeared to have no injury after treatment with
indomethacin. A fluorescent probe revealed that mucosal cells in the apparently
uninjured areas had a high concentration of ROS. Treatment with rebamipide
significantly decreased both the ROS concentration and the number of dying cells:
this drug is prescribed clinically for gastric injury patients and has been
reported to upregulate the expression of manganese superoxide dismutase. On the
basis of these results, we propose that NSAID treatment causes a high cellular
concentration of ROS in mucosae, possibly decreasing mucosal organo-protective
efficacy. Moreover, intestinal food contents are likely to damage the mucosal
structure when it is in such a fragile condition.
PMID- 24930517
TI - Moderate-intensity interval training increases serum brain-derived neurotrophic
factor level and decreases inflammation in Parkinson's disease patients.
AB - It has been demonstrated that physical training increases serum brain-derived
neurotrophic factor (BDNF) in healthy people. The aim of this study was to
establish the effect of physical training on the basal serum level of the BDNF in
the Parkinson's disease patients (PD patients) in relation to their health
status. Twelve PD patients (mean +/- S.E.M: age 70 +/- 3 years; body mass 70 +/-
2 kg; height 163 +/- 3 cm) performed a moderate-intensity interval training
(three 1-hour training sessions weekly), lasting 8 weeks. Basal serum BDNF in the
PD patients before training amounted to 10,977 +/- 756 pg x mL(-1) and after 8
weeks of training it has increased to 14,206 +/- 1256 pg x mL(-1) (i.e. by 34%,
P=0.03). This was accompanied by an attenuation of total Unified Parkinson's
Disease Rating Scale (UPDRS) (P=0.01). The training resulted also in a decrease
of basal serum soluble vascular cell adhesion molecule 1 (sVCAM-1) (P=0.001) and
serum tumor necrosis factor-alpha (TNF-alpha) (P=0.03) levels. We have concluded
that the improvement of health status of the Parkinson's disease patients after
training could be related to the increase of serum BDNF level caused by the
attenuated inflammation in those patients.
PMID- 24930518
TI - One session of exercise or endurance training does not influence serum levels of
irisin in rats.
AB - Irisin induces the browning of adipose tissue. The goal of this study was to
investigate the influence of acute exercise in untrained and trained rats and
endurance training on FNDC5 mRNA and irisin levels in white and red skeletal
muscle and serum. Rats (n=60) were randomly divided into two groups: untrained
and trained (subjected to 6-week endurance training with increasing load).
Subgroups of rats from each group were sacrificed before (controls), immediately
after, or 3 hours following acute exercise with the same work load. Muscle
samples (red and white) and serum were collected. FNDC5 mRNA was evaluated using
RT-PCR. Irisin levels were measured using an immunoenzymatic method. Muscle FNDC5
mRNA decreased immediately after acute exercise compared with baseline levels,
but not in red muscle in trained rats. Atrend toward a return to baseline
appeared 3 hours after the exercise, but only in white muscle in untrained group.
Irisin protein levels increased after acute exercise in red muscle 3 hours post
exercise compared with samples taken immediately after exercise, and decreased 3
hours post-exercise compared to pre-exercise level in white muscles. FNDC5 mRNA
did not change following training, whereas irisin protein levels increased in red
muscle and decreased in white muscle. Serum irisin levels remained unchanged
following acute exercise and training. We concluded that changes in irisin mRNA
and protein levels in rat muscle after acute exercise are limited and depend on
training status and the muscle type. Irisin serum levels remained stable after
acute exercise or endurance training.
PMID- 24930519
TI - Novel treatment options in stage I non-small-cell lung cancer.
AB - In the last 5 years, the current management of stage I non-small-cell lung cancer
has been challenged due to novel surgical approaches and advances in radiation
technology. The outcome after a sublobar resection is promising, especially for
tumors less than 2 cm. Other treatment opportunities are available for high risk
patients with comorbidity and impaired pulmonary function. Stereotactic ablative
body radiotherapy is a good alternative treatment to surgery, especially in
elderly and comorbid patients. However, randomized evidence comparing sublobar
resection and stereotactic radiotherapy is presently lacking. The most recent
development in radiotherapy is hadron therapy with a presumed reduced toxicity
because of its peculiar physical and biological effects. Promising thermal and
microwave ablative techniques are in development and have specific niche
indications.
PMID- 24930521
TI - Suicides in the military: the post-modern combat veteran and the Hemingway
effect.
AB - Suicides in the military have increased over the last ten years. Much effort has
been focused on suicide prevention and treatment, as well as understanding the
reasons for the sharp increase in military suicides. Despite this effort, the
definitive causes of military suicides remain elusive. Further, highly effective
suicide prevention and treatment approaches have not yet been developed. The
purpose of this article is to present a short review of the current state of
suicide prevention interventions within the context of the military. The root
causes of suicidal behavior and the role of combat in the military are each
discussed. Interpersonal-psychological theory of suicide and the military
transition theory are introduced as guiding frameworks for understanding suicides
and suicidal behavior amongst active military personnel and military veterans.
The article concludes with a set of recommendations for moving forward in
understanding and addressing suicides in the military.
PMID- 24930520
TI - An in vivo role for Rho kinase activation in the tumour vascular disrupting
activity of combretastatin A-4 3-O-phosphate.
AB - BACKGROUND AND PURPOSE: Combretastatin A-4 3-O-phosphate (CA4P) is in clinical
trial as a tumour vascular disrupting agent (VDA) but the cause of blood flow
disruption is unclear. We tested the hypothesis that activation of Rho/Rho kinase
(ROCK) is fundamental to the effects of this drug in vivo. EXPERIMENTAL APPROACH:
Mouse models of human colorectal carcinoma (SW1222 and LS174T) were used. Effects
of the ROCK inhibitor, Y27632, alone or in combination with CA4P, on ROCK
activity, vascular function, necrosis and immune cell infiltration in solid
tumours were determined. Mean arterial BP (MABP) was measured to monitor systemic
interactions and the vasodilator, hydralazine, was used to control for the
hypotensive effects of Y27632. KEY RESULTS: Y27632 caused a rapid drop in blood
flow in SW1222 tumours, with recovery by around 3 h, which was paralleled by MABP
changes. Y27632 pretreatment reduced CA4P-induced ROCK activation and partially
blocked CA4P-induced tumour vascular effects, in both tumour types. Y27632 also
partially inhibited CA4P-induced tumour necrosis and was associated with reduced
immune cell infiltration in SW1222 tumours. Hydralazine caused a similar
hypotensive effect as Y27632 but had no protective effect against CA4P treatment.
CONCLUSIONS AND IMPLICATIONS: These results demonstrate that ROCK activity is
critical for full manifestation of the vascular activity of CA4P in vivo,
providing the evidence for pharmacological intervention to enhance the anti
tumour efficacy of CA4P and related VDAs.
PMID- 24930524
TI - Choosing Wisely((r)) in pulmonary medicine.
PMID- 24930522
TI - Problems in the boundaries of bipolar disorders.
AB - Classical concepts of bipolarity (bipolar I and bipolar II) have sometimes been
extended into a broader spectrum that includes a wide variety of conditions
previously diagnosed as separate forms of psychopathology. Differential diagnosis
remains important, particularly in personality disorders characterized by
affective instability, and in behavior disorders affecting pre-pubertal children.
In the absence of biological markers or other external sources of validity, as
well as lack of evidence for response to pharmacological treatment when disorders
are defined more broadly, the bipolar spectrum remains an unproven hypothesis.
PMID- 24930525
TI - Endothelial progenitor cells for acute respiratory distress syndrome treatment:
support your local sheriff!
PMID- 24930526
TI - A step forward toward the clinical application of palifermin for acute
respiratory distress syndrome?
PMID- 24930527
TI - The challenge of reducing smoking in low-income parents.
PMID- 24930528
TI - Science as observation and experiment: examining the role of sleep apnea and
continuous positive airway pressure treatment in cardiovascular outcomes.
PMID- 24930530
TI - Air embolism via a bronchoventricular fistula.
PMID- 24930531
TI - Treatment of group I pulmonary arterial hypertension with carvedilol is safe.
PMID- 24930529
TI - Update in asthma 2013.
PMID- 24930533
TI - The hemodynamic effects of prone positioning in patients with acute respiratory
distress syndrome remain to be defined.
PMID- 24930534
TI - Reply: Prone positioning actually exerts benefits on hemodynamics!
PMID- 24930532
TI - Plasma complement levels are associated with primary graft dysfunction and
mortality after lung transplantation.
PMID- 24930535
TI - Reply: Reply: Prone positioning actually exerts benefits on hemodynamics!
PMID- 24930536
TI - Palliative care: a core competency for intensive care unit doctors.
PMID- 24930538
TI - Reply: Palliative care: a core competency for intensive care unit doctors.
PMID- 24930539
TI - Discrepancy between dual-energy computed tomography lung perfusion blood volume
and lung perfusion single-photon emission computed tomography/computed tomography
images in pulmonary embolism.
PMID- 24930540
TI - Tracheobronchial calcification due to warfarin therapy.
PMID- 24930541
TI - Obesity and asthma: "What we've got here is failure to communicate".
PMID- 24930542
TI - Lung cancer.
PMID- 24930543
TI - Impairments in learning by monetary rewards and alcohol-associated rewards in
detoxified alcoholic patients.
AB - BACKGROUND: Excessive alcohol consumption has been linked to structural and
functional brain changes associated with cognitive, emotional, and behavioral
impairments. It has been suggested that neural processing in the reward system is
also affected by alcoholism. The present study aimed at further investigating
reward-based associative learning and reversal learning in detoxified alcohol
dependent patients. METHODS: Twenty-one detoxified alcohol-dependent patients and
26 healthy control subjects participated in a probabilistic learning task using
monetary and alcohol-associated rewards as feedback stimuli indicating correct
responses. Performance during acquisition and reversal learning in the different
feedback conditions was analyzed. RESULTS: Alcohol-dependent patients and healthy
control subjects showed an increase in learning performance over learning blocks
during acquisition, with learning performance being significantly lower in
alcohol-dependent patients. After changing the contingencies, alcohol-dependent
patients exhibited impaired reversal learning and showed, in contrast to healthy
controls, different learning curves for different types of rewards with no
increase in performance for high monetary and alcohol-associated feedback.
CONCLUSIONS: The present findings provide evidence that dysfunctional processing
in the reward system in alcohol-dependent patients leads to alterations in reward
based learning resulting in a generally reduced performance. In addition, the
results suggest that alcohol-dependent patients are, in particular, more impaired
in changing an established behavior originally reinforced by high rewards.
PMID- 24930544
TI - Does the use of lapatinib increase the risk of fatigue and hepatic toxicities in
patients with solid tumors? A critical literature review and meta-analysis.
AB - OBJECTIVE: A systematic review and meta-analysis of fatigue and hepatic adverse
events associated with lapatinib use in solid tumor patients were performed.
RESEARCH DESIGN AND METHODS: Eligible studies included randomized Phase II and
III trials of patients with solid tumors taking lapatinib. They described events
of fatigue, elevated alanine aminotransferase (ALT), aspartate aminotransferase
(AST) and total bilirubin. Our search yielded 380 potentially relevant citations
on lapatinib from PubMed/Medline, CENTRAL Cochrane registry and ASCO meeting
library. RESULTS: After exclusion of ineligible studies, a total of 15 clinical
trials were included in the meta-analysis. The relative risk (RR) of all-grade
fatigue, elevated ALT, AST and total bilirubin were 0.99 (95% CI 0.87 - 1.13; p =
0.87), 1.12 (95% CI 0.87 - 1.44; p = 0.55), 0.79 (95% CI 0.43 - 1.45; p = 0.44),
5.17 (95% CI 0.18 - 149.81; p = 0.34), respectively. Exploratory subgroup
analysis showed no effect of comparator regimen on the RR of the relevant adverse
events. CONCLUSIONS: This meta-analysis demonstrated that the evidence for a true
increased risk of hepatotoxicity or fatigue with lapatinib-based treatment
compared to control is not yet fully convincing. Future studies should
investigate this risk further. Clinicians must be aware of these risks and
perform regular clinical monitoring.
PMID- 24930545
TI - Similar success rates for single and multiple debridement surgery for acute hip
arthroplasty infection.
AB - BACKGROUND: Treatment of an acute total hip arthroplasty (THA) infection aims at
control of the infection with retention of the implant by surgical debridement
and antibiotic treatment. There is no clear evidence whether a single surgical
debridement is sufficient or whether multiple procedures are necessary for
optimal treatment. METHODS: From a prospective database of patients with acute
THA infection, we retrospectively reviewed 68 patients treated in 2 large
teaching hospitals. Hospital S used a protocol in which each patient received a
single surgical debridement and only additional surgery if infectious symptoms
persisted (group S; n = 33). In hospital M, patients always received multiple
surgical debridements (group M; n = 35). Both groups received systemic antibiotic
treatment. Removal of the implant or persistent infection at follow-up was
considered failure of treatment. Mean follow-up of the patients was 5 (2-11)
years. RESULTS: Mean time between implantation and debridement was 19 days. 4
patients in group S were considered failure, as opposed to 10 patients in group M
(p = 0.09). 9 patients in group S had additional surgery, which resulted in 3 of
the 4 failures. At final follow-up, 30 patients in group S and 33 patients in
group M had a good clinical result (p = 0.6). INTERPRETATION: In patients with
acute THA infection, a single debridement with only additional surgery on
indication appears to be at least as successful for retention of the primary
implant and control of infection as a strategy with multiple surgical
debridements.
PMID- 24930547
TI - Open study evaluating the onset of antipsychotic action of olanzapine in the
treatment of patients with schizophrenia, schizophreniform or schizo-affective
disorder.
AB - OBJECTIVE This 6-week, open-label study with olanzapine was designed to determine
the onset of antipsychotic action of a 10-mg/day starting dose of olanzapine,
continued as a fixed dose for at least 4 weeks. METHODS A total of 306 patients
experiencing an acute exacerbation of schizophrenia were prospectively followed
up. Response was defined as a 20% improvement on the Positive And Negative
Syndrome Scale (PANSS) positive score, sustained until week 6. Onset of action
was defined as the time point at which a 20% improvement in positive symptoms
occurred and was maintained to week 6. RESULTS Significant improvements from
baseline were observed throughout the study with respect to PANSS positive and
PANSS total score (P<0.001). Within the first week of treatment, 20.6% of
patients showed a 20% decrease in PANSS positive score, which was maintained
until week 6. By weeks 2, 3 and 4 the percentage of responders had risen to 38.2,
49.7 and 52.3%, respectively. Olanzapine 10 mg/day was well tolerated, with the
frequency of extrapyramidal symptoms and sexual dysfunction markedly lower
following treatment than at baseline. CONCLUSION In this clinical open study,
about half of the patients showed a response within 3 weeks, which is at least
maintained until week 6.
PMID- 24930548
TI - A prospective randomized single-blind, multicenter trial comparing the efficacy
and safety of paroxetine with and without quetiapine therapy in depression
associated with anxiety.
AB - OBJECTIVE To evaluate quetiapine as an adjunct to paroxetine in patients with
comorbid depression and anxiety. METHOD Prospective, multicenter, single-blind
trial of patients with DSM-IV major depression and associated anxiety, who were
randomized to an 8-week treatment with paroxetine alone (n=54) or
paroxetine+quetiapine (n=58). Quetiapine was dosed to 200 mg/day and paroxetine
to 60 mg/day, as required. RESULTS Decrease in HAM-A scores was significantly
greater in the combined therapy group than with paroxetine alone at weeks 2, 4, 6
and LOCF (P<0.008). Decrease in HAM-D scores was significantly greater in the
combined therapy group than with paroxetine alone throughout the study period
(P<0.008). Regarding adverse events, it was found that increases in anxiety and
insomnia were more prevalent in the paroxetine only group, while increased
appetite was more prevalent when quetiapine was added (P<0.05). CONCLUSION
Quetiapine added to paroxetine is well tolerated and may speed up and improve
response in patients with comorbid depression and anxiety.
PMID- 24930549
TI - Predicting factors of depression in patients with primary hypertension: a
community-based study.
AB - OBJECTIVE To investigate the factors that may predict the occurrence of
depression in patients with primary hypertension. METHODS We conducted a cross
sectional survey on 891 hypertensive patients and 651 normal subjects (control
group) from a single community. Zung self-rating depression scale (SDS) was
applied to evaluate the symptoms of depression, which was diagnosed when the SDS
score was >41. RESULTS There was no significant difference in gender distribution
(female, 48.5 vs. 47.6%) and age (65.3+/-9.2 vs. 64.0+/-7.9 years) between the
hypertensive control groups (P>0.05). Depression was diagnosed in 139
hypertensive patients (15.6%) and 27 (4.2%) control subjects (P<0.01). The
average SDS score was higher in patients with hypertension duration of more than
3 years (33.3+/-9.0 vs. 30.6+/-7.6, P<0.001), in patients with severe
hypertension (44.0+/-7.8 vs. 28.9+/-4.9, P<0.001) and in patients with a history
of hospitalization for cardiovascular disorders (38.1+/-9.3 vs. 32.0+/-8.4,
P<0.001). Multivariate regression analysis showed that the degree and the
duration of hypertension, as well as hospitalization history, were independent
predictors of depression in the hypertensive patients. CONCLUSIONS Hypertension
is associated with a higher prevalence of depression that can be predicted by the
duration and severity of hypertension as well as a history of hospitalization.
PMID- 24930546
TI - Metal release and metal allergy after total hip replacement with resurfacing
versus conventional hybrid prosthesis.
AB - BACKGROUND: Metal-on-metal (MOM) total hip arthroplasties were reintroduced
because of the problems with osteolysis and aseptic loosening related to
polyethylene wear of early metal-on-polyethylene (MOP) arthroplasties. The
volumetric wear rate has been greatly reduced with MOM arthroplasties; however,
because of nano-size wear particles, the absolute number has been greatly
increased. Thus, a source of metal ion exposure with the potential to sensitize
patients is present. We hypothesized that higher amounts of wear particles result
in increased release of metal ions and ultimately lead to an increased incidence
of metal allergy. METHODS: 52 hips in 52 patients (median age 60 (51-64) years,
30 women) were randomized to either a MOM hip resurfacing system (ReCap) or a
standard MOP total hip arthoplasty (Mallory Head/Exeter). Spot urine samples were
collected preoperatively, postoperatively, after 3 months, and after 1, 2, and 5
years and tested with inductively coupled plasma-sector field mass spectrometry.
After 5 years, hypersensitivity to metals was evaluated by patch testing and
lymphocyte transformation assay. In addition, the patients answered a
questionnaire about hypersensitivity. RESULTS: A statistically significant 10- to
20-fold increase in urinary levels of cobalt and chromium was observed throughout
the entire follow-up in the MOM group. The prevalence of metal allergy was
similar between groups. INTERPRETATION: While we observed significantly increased
levels of metal ions in the urine during the entire follow-up period, no
difference in prevalence of metal allergy was observed in the MOM group. However,
the effect of long-term metal exposure remains uncertain.
PMID- 24930550
TI - Psychosocial skills training on social functioning and quality of life in the
treatment of schizophrenia: a controlled study in Turkey.
AB - OBJECTIVE This study assessed the impact of a psychosocial skills training
program, consisting of psychoeducation, interpersonal group therapy and family
education incorporated into social skills training, as an integrative approach on
social functioning and quality of life of patients with schizophrenia, in
comparison to standard care for an 8-month period. METHOD Thirty patients with
DSM-IV schizophrenia were included in the study. Patients were assessed using the
Positive and Negative Syndrome Scale (PANSS), Quality of Life Scale (QLS), Social
Functioning Scale (SFS), and Global Assessment of Function (GAF) at baseline.
Fifteen patients underwent an 8-month psychosocial skills training group program
and another fifteen patients (waiting list) continued in standard care. Both
groups were reassessed and analyzed at the end of the study. RESULTS Two groups
were not statistically different in terms of total PANSS, QLS, SFS, GAF scores,
and demographic characteristics at baseline. However, there was a significant
improvement in the mean total QLS, SFS, GAF, and even in total PANSS scores
(respectively from 64.46+/-19.58 to 89.67+/-24.10, P<0.001, from 93.20+/-22.85 to
132.60+/-33.85, P<0.002, from 57.40+/-8.78 to 63.86+/-7.57, P<0.012, and from
63.53+/-14.48 to 53.33+/-15.71, P<0.029) for those who underwent the PSST
program, but there was no statistically significant change for those on standard
care at the end of the study. CONCLUSION This study highlights the 'social
functioning' and 'quality of life' benefits of the psychosocial skills training
program for patients with schizophrenia. It can be concluded that this
comprehensive psychosocial skills training program might be an important
contribution to the functioning of the patients.
PMID- 24930551
TI - Characteristics of schizophrenic patients with a history of suicide attempt.
AB - OBJECTIVE The aim of this study was to evaluate the suicide attempt history among
schizophrenic patients and compare their sociodemographic and clinical
characteristics with schizophrenic patients without a history of suicide attempt.
METHOD Sixty schizophrenic patients according to DSM-IV criteria were included in
the study. Half of the patients were in acute phase and receiving inpatient
treatment, while the other half were in stable phase and outpatients. The sample
was subdivided into two subsamples according to the presence/absence of lifetime
suicidal attempts. RESULTS History of suicide attempt was found in 27 (45%) of
the patients. The rate of having suicidal ideation, depression, paranoid type
schizophrenia and first-degree relatives with psychiatric disorder was high among
the group with suicide attempt history. Depression and insight scores were high
and PANSS Negative symptoms subscale score was low among the patients with
suicide attempt history. There were no significant differences between the two
groups according to the scores of PANSS Positive symptoms and General
Psychopathology subscales. CONCLUSION The high rate of suicide attempt history in
our sample suggests that risk of suicide has to be determined and protective
measures have to be taken while planning inpatient and outpatient treatment of
these patients. Suicide attempt history is a risk factor for suicide ideation and
is also related to depressive symptoms and high insight score and low negative
symptoms score.
PMID- 24930552
TI - Current drug treatment of patients with bulimia nervosa and binge-eating
disorder: selective serotonin reuptake inhibitors versus mood stabilizers.
AB - Our aim was to review and compare findings from controlled trials and previous
reviews concerning current drug treatment of patients suffering from bulimia
nervosa (BN) and binge eating disorder (BED). Thus we selected published articles
quoted over the last 10 years in the databases of Medline and Cochrane Library.
The combination of pharmacological and psychological treatments is superior to
the single psychotherapeutic approach, which in turn is superior to single drug
treatment (just superior to placebo). Among drug treatments, SSRIs are the first
line choice treatments, especially in primary care. They are more acceptable and
tolerated by patients, moreover effective even if investigations on long-term
outcomes are lacking. A number of patients, however, do not respond to these
drugs. For them it is necessary to find new therapeutic strategies. Mood
stabilizers are promising in this regard. In particular, topiramate seems to
allow reduction of binge eating and weight in SSRI non-responder patients.
PMID- 24930554
TI - Neuropsychiatric adverse events of bupropion treatment: a brief update.
AB - The clinical use of bupropion as an aid for smoking cessation has raised some
concern in clinicians and public opinion. Clinical and post-marketing
surveillance studies indicate a favourable side effect profile, but spontaneous
reports of suspected adverse reactions to medical authorities indicate adverse
neuropsychiatric events to be more frequent than previously thought. Except for
the risk of seizures, adverse neuropsychiatric events have not been examined
systematically. Insomnia has been reported quite frequently. In the placebo
controlled trials, depression scores were unaffected by bupropion treatment.
Suspected adverse events reported to medical authorities in the UK or Germany
indicate depression to be the most common psychiatric disorder associated with
bupropion treatment. Switch to mania or hypomania is very rare, as are psychosis
or organic mental disorders. Suicide attempts associated with bupropion use have
been reported as possible adverse events. This topic warrants further attention.
PMID- 24930553
TI - The Rivermead Behavioural Memory Test can predict social functioning among
schizophrenic patients treated with clozapine.
AB - OBJECTIVE The aim of this study was to find out whether clinical symptoms, such
as positive and negative symptoms, or cognitive problems, such as impairment in
memory, are predictive of social outcome among patients with schizophrenia and
treated with clozapine in the long-term. METHODS Twelve subjects with a DSM-III-R
diagnosis of schizophrenia treated with clozapine were recruited from an
inpatient rehabilitation psychiatry unit. Subjects were assessed at baseline, and
6, 12 and 24 months, using symptoms measures, the Social Behaviour Scale (SBS)
and the Rivermead Behavioural Memory Test (RBMT), which tests episodic memory.
Three multivariate stepwise regression models were created with SBS at 6, 12 and
24 months score as dependent variable, and the other measures at baseline as
independent variables. RESULTS The only significant contribution on social
functioning in each model was made by the RBMT, which was therefore the only
significant predictor of social functioning in all models. CONCLUSIONS The
authors discuss the importance of episodic memory in the prediction of social
functioning.
PMID- 24930555
TI - Efficacy and safety of milnacipran in the treatment of generalized anxiety
disorder: an open study.
AB - OBJECTIVE The aim of this open study was to assess the efficacy and safety of the
serotonin-noradrenaline reuptake-inhibiting antidepressant, milnacipran, for the
treatment of generalised anxiety disorder. METHODS Twelve patients, treated with
milnacipran at doses from 30 to 150 mg/day for 8 weeks, were evaluated at
baseline and after 1, 2, 3, 4, 6 and 8 weeks of treatment using the Hamilton
Anxiety Rating (HAM-A) scale and a self-rating visual analogue scale. RESULTS Two
patients dropped out early in the trial because of adverse effects. The 10
patients who completed the trial showed a marked improvement in anxiety symptoms
with HAM-A scores being reduced from 21.6+/-6.5 (mean+/-SD) to 1.5+/-1.8 after 8
weeks. All of the patients who completed the 8 weeks of treatment had a reduction
of at least 70% in their HAM-A score. Adverse effects, principally nausea, were
mild and occurred early in the study, regressing as the study progressed.
CONCLUSION These results suggest that milnacipran is effective and well tolerated
in patients suffering from generalised anxiety disorder. A full-scale double
blind placebo trial is clearly warranted.
PMID- 24930556
TI - Occurrence of delirium after a short-term intake of olanzapine.
AB - Olanzapine is an atypical antipsychotic drug, which is claimed to have fewer side
effects than conventional antipsychotics. We report three cases where patients
developed a classic delirium within a few days after first intake of olanzapine.
The symptoms fulfilled all criteria of DSM-IV for delirium, and rapidly
diminished after discontinuation of olanzapine. Additional reasons for the
development of the delirias are possible, but less likely. Special awareness and
future research of this phenomenon is highly indicated because of the common use
of this drug.
PMID- 24930557
TI - Paroxetine augmentation to tianeptine treatment causes exacerbation of depressive
symptoms: presentation of two cases.
AB - We present two cases whose depressive symptoms partially remitted with tianeptine
treatment but exacerbated after paroxetine augmentation to tianeptine. Although
tianeptine has structural similarities with tricyclic antidepressants, unlike
tricyclic agents or selective serotonin- reuptake inhibitors(SSRIs), it enhances
5-HT reuptake in brain, leading to decreased availability of the transmitter in
the synaptic cleft. Thus, efficacy of tianeptine as an antidepressant agent
caused a challenge to the concept of serotonergic deficit theory in depression.
Both paroxetine and tianeptine are found equivalently effective in treatment of
major depression, but no data are available for combined use of these two agents.
PMID- 24930558
TI - Occult benzodiazepine dependence.
AB - Illicit street heroin is often adulterated with other substances, which may
expose the unknowing user to additional adverse risks. This case study describes
an intravenous heroin user with a history of epileptic seizures, who presented
with unexpected benzodiazepine withdrawal symptoms. It highlights the importance
of a thorough drug history with corroborative urine drug testing.
PMID- 24930561
TI - Fe(II) uptake on natural montmorillonites. II. Surface complexation modeling.
AB - Fe(II) sorption edges and isotherms have been measured on low structural Fe
content montmorillonite (STx) and high structural Fe-content montmorillonite
(SWy) under anoxic (O2 < 0.1 ppm) and strongly reducing conditions (Eh = -0.64
V). Under anoxic conditions Fe(II) sorption on SWy was significantly higher than
on STx, whereas the sorption under reducing conditions was essentially the same.
The uptake behavior of Fe(II) on STx under all redox conditions (Eh = +0.28 to
0.64 V) and SWy under reducing conditions (Eh = -0.64 V) was consistent with
previous measurements made on other divalent transition metals. All of the
sorption data could be modeled with the two-site protolysis nonelectrostatic
surface complexation and cation exchange (2SPNE SC/CE) sorption model including
an additional surface complexation reaction for Fe(II) which involved the surface
oxidation of ferrous iron surface complexes (=S(S,W)OFe(+)) to ferric iron
surface complexes (=S(S,W)OFe(2+)) on both the strong and weak sites. The
electron acceptor sites on the montmorillonite are postulated to be the
structural Fe(III).
PMID- 24930560
TI - Detection of anti-CADM-140/MDA5 antibodies in a patient with classic
dermatomyositis developing rapidly progressive interstitial lung disease.
PMID- 24930562
TI - A novel chromo- and fluorogenic dual sensor for Mg(2+) and Zn(2+) with cell
imaging possibilities and DFT studies.
AB - A diformyl-p-cresol (DFC)-8-aminoquinoline based dual signaling probe was found
to exhibit colorimetric and fluorogenic properties on selective binding towards
Mg(2+) and Zn(2+). Turn-on fluorescent enhancements (FE) as high as 40 fold and
53 fold in 9 : 1 MeCN/water (v/v) at pH 7.2 in HEPES buffer for Mg(2+) and
Zn(2+), respectively, were observed. The binding constants determined from the
fluorescence titration data are: K = (1.52 +/- 0.21) * 10(5) M(-1) and (9.34 +/-
4.0) * 10(3) M(-2) at n = 1 and 0.5, for Mg(2+) and Zn(2+), respectively. The L :
M binding ratios were also determined by Job's method, which support the above
findings. This is further substantiated by HRMS analysis. Due to solubility in
mixed organo-aqueous solvents as well as cell permeability it could be used for
the in vitro/in vivo cell imaging of Mg(2+) and Zn(2+) ions with no or negligible
cytotoxicity. This probe could be made selective towards Mg(2+) over Zn(2+) in
the presence of TPEN, both under intra- and extracellular conditions and is
superior to other Mg(2+) probes which suffer from selectivity of Mg(2+) over
Ca(2+) or Zn(2+). Furthermore the dissociation constant (Kd = 6.60 MUM) of the
Mg(2+)-() complex is far lower than the so far reported Mg(2+) probes which fall
in the mM range.
PMID- 24930563
TI - Infection and rejection risk after cardiac transplantation with induction vs. no
induction: a multi-institutional study.
AB - Data from Cardiac Transplant Research Database (CTRD) were analyzed from 1999 to
2006 to examine the effects of different induction strategies at the time of
cardiac transplantation. A total of 2090 primary heart transplants were
categorized by induction with interleukin-2 receptor blocker (IL-2RB),
antithymocyte globulin (ATG), or no induction (NI). Probabilities for rejection
and infection were estimated with parametric time-related models. Using these
models, hazard was calculated for two theoretical patient profiles, one at lower
risk for rejection and higher risk of infection (Profile 1) and higher risk for
rejection and lower risk of infection (Profile 2). Of the 2090 transplants, 49.8%
(1095) did not receive induction, 27.3% (599) received IL-2RB, and 18.0% (396)
received ATG. Profile 1 patients had lower hazard for rejection with IL-2RB
compared to ATG and NI (p < 0.01), but at the cost of increased risk of infection
(5.0 vs. 1.8 vs. 1.6, respectively, at four wk, p < 0.01). Profile 2 patients
experienced a fivefold decreased hazard for rejection when treated with IL-2RB
compared with ATG and NI (p < 0.01). In patients at high risk of infection, IL
2RB reduced risk of rejection but at the expense of increased hazard for
infection.
PMID- 24930564
TI - High revision rate following artificial urethral sphincter implantation.
AB - OBJECTIVE: In severe cases of stress urinary incontinence (SUI), with sphincter
dysfunction, the artificial urinary sphincter AMS 800TM may be the last solution.
The purpose of this study was to evaluate the outcome of surgical intervention
for SUI with the AMS 800 in patients who were treated at Sahlgrenska University
Hospital, Gothenburg. The primary aim of the study was to determine the
complications related to the operation. MATERIAL AND METHODS: A retrospective
follow-up was done by reviewing medical records. The material comprised 97 men,
who underwent their first AMS 800 implantation between May 1997 and June 2010 at
Sahlgrenska University Hospital. RESULTS: The revision rate was 28%, including an
infection rate of 3% and an erosion rate of 7%. The mean follow-up for revised
patients was 3 years. The median time until revision was 1 year. Seventy-five per
cent of all patients were satisfied with the operation at 6 months' follow-up.
Radical prostatectomy was the reason behind incontinence in 84% of patients in
this series. CONCLUSION: The results clearly demonstrate a need for revision
procedures in a considerable proportion of patients implanted with an AMS 800
device. Patient satisfaction was high, but although this operation has extremely
low mortality it has its complications and the system will need to be replaced in
time.
PMID- 24930565
TI - Hand-assisted partial nephrectomy with early arterial clamp removal: Impact of
the learning curve.
AB - OBJECTIVE: The aims of this study were to present the results of hand-assisted
laparoscopic partial nephrectomy according to the margin, ischaemia and
complications system; to assess the role of the learning curve; and to compare
this approach with other approaches. MATERIAL AND METHODS: Data from 60
consecutive patients were obtained from a prospectively maintained database. The
patients were divided into three cohorts (1, 2 and 3), with 20 patients each,
according to their surgery dates. RESULTS: The overall margin, ischaemia and
complications rate was 90%. The warm ischaemia time was 9.5 min in cohort 1,
decreasing to 5 min in cohort 3 (p < 0.0001). The Padua score (p = 0.0287) and
tumour size (p = 0.0003) were significantly increased in cohort 3, but loss of
kidney function decreased significantly to 3.5% in this cohort. Loss of kidney
function of less than 5% was reported for eight (40%), nine (45%) and 14 (70%)
patients in cohorts 1, 2 and 3, respectively (p = 0.0185). CONCLUSIONS: Hand
assisted laparoscopic partial nephrectomy with early removal of arterial clamps
is safe and easy to learn. An expert laparoscopic surgeon can perform hand
assisted laparoscopic partial nephrectomy for complex tumours with a relatively
high success rate according to the margin, ischaemia and complications system.
Warm ischaemia time could be obtained within 5 min after 40 procedures.
PMID- 24930566
TI - In vitro approaches to pharmacological screening in the field of atopic
dermatitis.
AB - In vitro models are valuable for evaluating potential active ingredients and
other molecules used in medications for atopic dermatitis (AD). However, finding
appropriate in vitro models can be problematic. Our strategy was to set up
different in vitro models that would mimic the pathomechanisms of AD. We describe
five such models - the AD keratinocyte model, the AD reconstructed human
epidermis model, the adaptive immunity model, the innate immunity model and the
pruritus model - which we have used to evaluate a new ingredient for emollients
derived from a biological extract. The models chosen provide useful data for the
pharmacological characterization of active ingredients in adjunctive treatments
for AD.
PMID- 24930567
TI - Psychodermatological aspects of atopic dermatitis.
AB - Psychodermatology is an evolving area of science that focuses on the interaction
between the mind, skin and body. It is known that various neuroendocrine
mediators - including adrenocorticotropin, beta-endorphin, catecholamines and
cortisol - are produced in response to stress. The resulting increase in
endogenous glucocorticoids can disrupt the skin's barrier function, leaving it
vulnerable to inflammatory disorders like atopic dermatitis (AD). In turn, AD is
associated with high levels of stigmatization, social withdrawal, anxiety and
depression among patients and their carers. It is well known that the stress
caused by AD can make the symptoms of the disease worse. Therefore, the goal of
psychodermatological treatment is not only to improve the condition of the skin,
but also to teach patients/carers how to cope with the disease. This requires a
multifaceted approach, and time and patience, to ascertain the needs of
individual patients. A multidisciplinary team that includes a dermatologist,
psychiatrist and psychologist will be necessary to deliver high-quality, tailored
care to patients.
PMID- 24930569
TI - Initial phases in the development of a European Organisation for Research and
Treatment of Cancer communication-specific module.
PMID- 24930568
TI - Resource implications of running a sacral neuromodulation service: a 10-year
experience.
AB - AIM: In this study we reviewed our 10-year experience of the medium- to long-term
success of sacral nerve stimulation (SNS) for faecal incontinence, with
particular reference to the resource implications of running such a service.
METHOD: All patients treated with permanent SNS implants for faecal incontinence
from 2001 to 2012 were identified from a prospective database. The patients
underwent follow up at 3 and 6 months, with annual review thereafter. They were
divided into four groups: group 1, patients optimized after two reviews; group 2,
patients optimized after further review; group 3, patients who failed to reach a
satisfactory state; and group 4, patients who had a good initial result with
subsequent failure. RESULTS: Eighty-five patients underwent permanent SNS with a
median follow up of 24 (range: 3-108) months. Group 1 included 30 (35%) patients;
group 2 included 27 (32%) patients [median of two (range: 2-6) additional
visits]; group 3 included 18 (21%) patients [median of six (range: 3-10)
additional visits]; and group 4 included 10 (12%) patients [median interval to
failure was 54 (range: 24-84) months]. Twenty-seven per cent of our patients had
an unsatisfactory outcome and the cost of follow up for these patients was
L36,854 (48.7% of the total follow-up costs). CONCLUSION: The study highlights
the significant resource implications of running an SNS service with a large
proportion of patients requiring prolonged review, with more than one-quarter
having an unsatisfactory outcome at a substantial cost.
PMID- 24930570
TI - Trap and track: designing self-reporting porous Si photonic crystals for rapid
bacteria detection.
AB - The task of rapid detection and identification of bacteria remains a major
challenge in both medicine and industry. This work introduces a new concept for
the design of self-reporting optical structures that can detect and quantify
bacteria in real-time. The sensor is based on a two-dimensional periodic
structure of porous Si photonic crystals in which the pore size is adjusted to
fit the target bacteria cells (Escherichia coli). Spontaneous bacteria capture
within the pores induces measurable changes in the zero-order reflectivity
spectrum collected from the periodic structure. Confocal laser microscopy and
electron microscopy confirm that the Escherichia coli cells are individually
imprisoned within the porous array. A simple model is suggested to correlate the
optical readout and the bacteria concentration and its predictions are found to
be in good agreement with experimental results. In addition, we demonstrate that
sensing scheme can be easily modified to potentially allow monitoring of
concentration, growth and physiological state of bacteria cells. This generic
platform can be tailored to target different microorganisms by tuning the array
periodicity and its surface chemistry for rapid and label-free detection outside
the laboratory environment.
PMID- 24930571
TI - Investigation of the protective effects of proanthocyanidin and vitamin E against
the toxic effect caused by formaldehyde on the liver tissue.
AB - We aimed to investigate of protective role of proanthocyanidin (PA) and vitamin E
(vit E) against to toxic effect of formaldehyde (FA). Twenty-eight Wistar albino
rats were divided into four groups: control group, rats treated with FA
intraperitoneal (i.p.) (10 mg/kg), FA + vit E intragastric (i.g.) (30 mg/kg), and
FA + PA i.g. (100 mg/kg). We assayed superoxide dismutase (SOD), glutathione
peroxidase (Gpx), myeloperoxidase (MPO) activity and levels of malondialdehyde
(MDA) and total sialic acid (TSA) in liver. Liver tissue was taken in order to
morphological analysis and hepatocytes apoptosis using terminal deoxynucleotidyl
transferase dUTP nick-end labeling (TUNEL) assay immunostaining. SOD decreased in
FA and increased in FA + vit E and FA + PA (p < 0.05). Gpx didn't change in FA
and increased in FA + PA (p < 0.05). No significant variation between the groups
was found in MPO activity. MDA increased only in FA and decreased in FA + vit E
and FA+PA (p < 0.05). TSA didn't alter in FA and FA + vit E but decreased in FA +
PA (p < 0.05). Degeneration in hepatocytes and endothelial cells, cytoplasm
losses, vacuolization, picnotic nuclei, and mononuclear cell infiltration were
identified in FA. Degeneration in chromatin material, membrane damage in
mitochondria and losses in mitochondrial cristae in hepatocytes were observed in
FA. We found that partially recovery in liver as a result of FA + vit E and FA +
PA. We have concluded that long term use should be investigated for complete
explanation of PA's protective effects on FA toxicity.
PMID- 24930572
TI - Catalytic formation of monosaccharides: from the formose reaction towards
selective synthesis.
AB - The formose reaction (FR) has been long the focus of intensive investigations as
a simple method for synthesis of complex biologically important monosaccharides
and other sugar-like molecules from the simplest organic substrate-formaldehyde.
The fundamental importance of the FR is predominantly connected with the
ascertainment of plausible scenarios of chemical evolution which could have
occurred on the prebiotic Earth to produce the very first molecules of
carbohydrates, amino- and nucleic acids, as well as other vitally important
substances. The practical importance of studies on the FR is the elaboration of
catalytic methods for the synthesis of rare and non-natural monosaccharides and
polyols. This Minireview considers the FR from the point of view of chemists
working in the field of catalysis with emphasis on the mechanisms of numerous
parallel and consequent catalytic transformations that take place during the FR.
Based on its kinetics, the FR may be considered as a non-radical chain process
with degenerate branching. The Minireview also considers different approaches to
the control of selectivity of carbohydrate synthesis from formaldehyde and lower
monosaccharides.
PMID- 24930573
TI - Effect of surface wettability properties on the electrical properties of printed
carbon nanotube thin-film transistors on SiO2/Si substrates.
AB - The precise placement and efficient deposition of semiconducting single-walled
carbon nanotubes (sc-SWCNTs) on substrates are challenges for achieving printed
high-performance SWCNT thin-film transistors (TFTs) with independent gates. It
was found that the wettability of the substrate played a key role in the
electrical properties of TFTs for sc-SWCNTs sorted by poly[(9,9-dioctylfluorene
2,7-diyl)-co-(1,4-benzo-2,1,3-thiadiazole)] (PFO-BT). In the present work we
report a simple and scalable method which can rapidly and selectively deposit a
high concentration of sc-SWCNTs in TFT channels by aerosol-jet-printing. The
method is based on oxygen plasma treatment of substrates, which tunes the surface
wettability. TFTs printed on the treated substrates demonstrated a low operation
voltage, small hysteresis, high mobility up to 32.3 cm(2) V(-1) s(-1), and high
on/off ratio up to 10(6) after only two printings. Their mobilities were 10 and
30 times higher than those of TFTs fabricated on untreated and low-wettability
substrates. The uniformity of printed TFTs was also greatly improved. Inverters
were constructed by printed top-gate TFTs, and a maximum voltage gain of 17 at
Vdd = 5 V was achieved. The mechanism of such improvements is that the PFO-BT
functionalized sc-SWCNTs are preferably immobilized on the oxygen plasma treated
substrates due to the strong hydrogen bonds between sc-SWCNTs and hydroxyl groups
on the substrates.
PMID- 24930575
TI - A case of a limbal adult onset xanthogranuloma.
PMID- 24930574
TI - Emerging roles of Notch signaling in liver disease.
AB - This review critically discusses the most recent advances in the role of Notch
signaling in liver development, homeostasis, and disease. It is now clear that
the significance of Notch in determining mammalian cell fates and functions
extends beyond development, and Notch is a major regular of organ homeostasis.
Moreover, Notch signaling is reactivated upon injury and regulates the complex
interactions between the distinct liver cell types involved in the repair
process. Notch is also involved in the regulation of liver metabolism,
inflammation, and cancer. The net effects of Notch signaling are highly variable
and finely regulated at multiple levels, but also depend on the specific cellular
context in which Notch is activated. Persistent activation of Notch signaling is
associated with liver malignancies, such as hepatocellular carcinoma with stem
cell features and intrahepatic cholangiocarcinoma. The complexity of the pathway
provides several possible targets for agents able to inhibit Notch. However,
further cell- and context-specific in-depth understanding of Notch signaling in
liver homeostasis and disease will be essential to translate these concepts into
clinical practice and be able to predict benefits and risks of evolving
therapies.
PMID- 24930576
TI - Overlap between autistic and schizotypal personality traits is not accounted for
by anxiety and depression.
AB - Autism spectrum and schizophrenia spectrum disorders are classified separately in
the DSM-5, yet research indicates that these two disorders share overlapping
features. The aim of the present study was to examine the overlap between
autistic and schizotypal personality traits and whether anxiety and depression
act as confounding variables in this relationship within a non-clinical
population. One hundred and forty-four adults completed the Autism Spectrum
Quotient and the Schizotypal Personality Questionnaire and the Depression Anxiety
Stress Scales-21. A number of associations were seen between autistic and
schizotypal personality traits. However, negative traits were the only
schizotypal feature to uniquely predict global autistic traits, thus highlighting
the importance of interpersonal qualities in the overlap of autistic and
schizotypal characteristics. The inclusion of anxiety and depression did not
alter relationships between autistic and schizotypal traits, indicating that
anxiety and depression are not confounders of this relationship. These findings
have important implications for the conceptualisation of both disorders.
PMID- 24930578
TI - Clustering of symptoms of mental disorder in the medium-term following conflict:
an epidemiological study in Timor-Leste.
AB - It is important to define subpopulations with mental health and psychosocial
reactions in the medium-term following conflict to ensure that an appropriate
array of services are provided to meet the diversity of needs. We conducted a
latent class analysis (LCA) on epidemiological data drawn from an urban and rural
sample of 1221 adults (581 men and 640 women, response 82%) in post-conflict
Timor Leste 4 years after the cessation of violence. The prevalence of PTSD was
4.9%; severe distress 4.8%; anger attacks 38.3%; and paranoid-like symptoms
10.9%. The best fitting LCA yielded three classes comprising those with no or
minimal symptoms (86%), a class with anger-paranoia (13%) and a comorbid mental
disorder class (1.5%) characterized by PTSD (100%) and severe distress (98%). The
comorbid mental disorder class had an over-representation of men, the unemployed,
residents in the urban area and persons with the greatest exposure to human
rights trauma, murder and health stress. The anger-paranoia class experienced
moderate levels of trauma and had an over-representation of urban dwellers,
women, and those with higher levels of education. The analysis assists in
clarifying the populations with mental disorder and adverse psychosocial
reactions in need of intervention in the medium-term following conflict.
PMID- 24930577
TI - Snake fearfulness is associated with sustained competitive biases to visual snake
features: hypervigilance without avoidance.
AB - The extent and time course of competition between a specific fear cue and task
related stimuli in early human visual cortex was investigated using
electrophysiology. Steady-state visual evoked potentials (ssVEPs) were evoked
using random-dot kinematograms that consisted of rapidly flickering (8.57 Hz)
dots moving randomly, superimposed upon emotional or neutral distractor pictures.
Participants were asked to detect intervals of coherently moving dots, ignoring
the distractor pictures that varied in hedonic content. Women reporting high or
low levels of snake fear were recruited from a large sample of healthy college
students, and snake pictures served as fear-relevant distractors. The time
varying amplitude of the ssVEP evoked by the motion detection task showed
significant reduction when viewing emotionally arousing, compared to neutral,
distractors, replicating previous studies. For high-fear participants, snake
distractors elicited a sustained attenuation of task evoked ssVEP amplitude,
greater than the attenuation prompted by other unpleasant arousing content. These
findings support a hypothesis that fear cues prompt sustained hypervigilance
rather than perceptual avoidance.
PMID- 24930579
TI - Differentiation between free and bound leptin in depressed patients.
AB - The relationship between leptin and affective disorders is still unknown. We
measured free and bound leptin in 13 drug naive subjects. Leptin did not
significantly differ between patients and controls. As part of future studies, it
also appears useful to distinguish between free and bound leptin.
PMID- 24930581
TI - A cross-national factor analytic comparison of three models of PANSS symptoms in
schizophrenia.
AB - The 30-item Positive and Negative Syndrome Scale (PANSS) is used worldwide in the
assessment of symptom severity in schizophrenia. The present study uses
confirmatory factor analysis (CFA) to compare three different factorial models
and to evaluate the best-fitting representation of schizophrenia symptom
structure on the PANSS across four samples of patients diagnosed with
schizophrenia from the US (the CATIE schizophrenia trial), Sao Paulo, Brazil, and
from Beijing and Changsha, China. We examine the goodness of fit of several
previously proposed models. The traditional trifactorial model for the PANSS and
two five-factor models were evaluated using absolute and incremental indices.
Single group CFA found that the five-factor model proposed by NIMH researchers
based on an extensive literature review demonstrates the best fit in each of the
four samples. This model used 20 of the 30 PANSS items grouped into five factors:
positive, negative, disorganized, excited, and depressed symptoms. Subgroups
defined by age, gender, nationality, hospitalization status, and severity of
illness also did not differ in overall symptom structure as assessed by several
standard indices. Our findings suggest that the five factor NIMH model showed the
best representation among all four samples from different countries and
potentially contrasting cultures.
PMID- 24930580
TI - Pharmacogenetics of adverse events in schizophrenia treatment: comparison study
of ziprasidone, olanzapine and perazine.
AB - The primary aim of the present study was to assess the possible associations
between dopaminergic, serotonergic, and glutamatergic system-related genes and
adverse events after antipsychotic treatment in paranoid schizophrenia patients.
The second aim of the study was to compare the intensity of these symptoms
between atypical (ziprasidone and olanzapine) and typical (perazine)
antipsychotic drugs. One-hundred and ninety-one Polish patients suffering from
paranoid schizophrenia were genotyped for polymorphisms of DRD2, DAT1, COMT,
MAOA, SERT, 5HT2A, and GRIK3. The patients were randomized to treatment with
perazine, olanzapine or ziprasidone monotherapy for 3 months. The intensity of
side effects (changes in body weights and extrapyramidal symptoms (EPS)) was
measured at baseline and after 12 weeks of antipsychotic treatment. After 3
months of therapy, the weight increase was the greatest in the group treated with
olanzapine and the least in the group treated with ziprasidone. None of the
examined gene polymorphisms was associated with the body weight changes. Perazine
treatment was associated with the significantly highest intensity of EPS. None of
the examined polymorphisms was associated with the changes in extrapyramidal
adverse events after antipsychotic treatment. The selected polymorphisms are not
primarily involved in changes in body weights and EPS related to antipsychotic
treatment in paranoid schizophrenia patients.
PMID- 24930582
TI - Assessing blinding in trials of psychiatric disorders: a meta-analysis based on
blinding index.
AB - The assessment of blinding in RCTs is rarely performed. Currently most studies
that do report data on evaluation of blinding merely report percentages of
correct guessing, not taking into account correct guessing by chance. Blinding
assessment using the blinding index (BI) has never been performed in a systematic
review on studies of major psychiatric disorders. This study is a systematic
review of psychiatric randomized control trials using the BI as a chance
corrected measurement of blinding, a tool to analyze and understand the patterns
of blinding across studies of major psychiatric disorders with available data. Of
2467 psychiatric RCTs from 2000 to 2010, 66 reported on blinding and 40 studies
were found to have enough information on evaluation of blinding to be analyzed
using the BI. The experimental treatment groups had an average BI value of 0.14
and the control groups had an average BI value of 0.00. The most common BI
scenario was random-random, indicating ideal blinding. A positive correlation
between effect size and more correct guesses was also found. Overall, based on BI
values and the most common blinding scenario, the published articles on major
psychiatric disorders from 2000 to 2010, which reported on blinding assessment
for patients, were effectively blinded.
PMID- 24930583
TI - An incremental community detection method for social tagging systems using
locality-sensitive hashing.
AB - An increasing number of users interact, collaborate, and share information
through social networks. Unprecedented growth in social networks is generating a
significant amount of unstructured social data. From such data, distilling
communities where users have common interests and tracking variations of users'
interests over time are important research tracks in fields such as opinion
mining, trend prediction, and personalized services. However, these tasks are
extremely difficult considering the highly dynamic characteristics of the data.
Existing community detection methods are time consuming, making it difficult to
process data in real time. In this paper, dynamic unstructured data is modeled as
a stream. Tag assignments stream clustering (TASC), an incremental scalable
community detection method, is proposed based on locality-sensitive hashing. Both
tags and latent interactions among users are incorporated in the method. In our
experiments, the social dynamic behaviors of users are first analyzed. The
proposed TASC method is then compared with state-of-the-art clustering methods
such as StreamKmeans and incremental k-clique; results indicate that TASC can
detect communities more efficiently and effectively.
PMID- 24930584
TI - Expression and localization of an ice nucleating protein from a soil bacterium,
Pseudomonas borealis.
AB - An ice nucleating protein (INP) coding region with 66% sequence identity to the
INP of Pseudomonas syringae was previously cloned from P. borealis, a plant
beneficial soil bacterium. Ice nucleating activity (INA) in the P. borealis DL7
strain was highest after transfer of cultures to temperatures just above
freezing. The corresponding INP coding sequence (inaPb or ina) was used to
construct recombinant plasmids, with recombinant expression visualized using a
green fluorescent protein marker (gfp encoding GFP). Although the P. borealis
strain was originally isolated by ice-affinity, bacterial cultures with membrane
associated INP-GFP did not adsorb to pre-formed ice. Employment of a shuttle
vector allowed expression of ina-gfp in both Escherichia coli and Pseudomonas
cells. At 27 degrees C, diffuse fluorescence appeared throughout the cells and
was associated with low INA. However, after transfer of cultures to 4 degrees C,
the protein localized to the poles coincident with high INA. Transformants with
truncated INP sequences ligated to either gfp, or an antifreeze protein-gfp
fusion showed that the repetitive ice-nucleation domain was not necessary for
localization. Such localization is consistent with the flanking residues of the
INP associating with a temperature-dependent secretion apparatus. A polar
location would facilitate INP-INP interactions resulting in the formation of
larger aggregates, serving to increase INA. Expression of INPs by P. borealis
could function as an efficient atmospheric dispersal mechanism for these soil
bacteria, which are less likely to use these proteins for nutrient procurement,
as has been suggested for P. syringae.
PMID- 24930585
TI - Does vitrification alter the methylation pattern of OCT4 promoter in rabbit late
blastocyst?
AB - Vitrification is replacing slow freezing as the most popular method for oocyte
and embryo cryopreservation. However, very little information is available on
alterations in epigenetic regulation. Previous studies reported post-implantation
effects of vitrification on fetal development and gene expression. This study was
conducted to determine if vitrification procedure induce alterations in OCT4
promoter methylation profile which could determine the set point of fetal losses
and transcriptomic alterations observed after implantation. Rabbit morulae were
recovered at Day 3 of development and vitrified and transferred, or directly
transfer, to recipient till Day 6. A conserved regulation region of OCT4 promoter
was examined in control and vitrified embryos by bisulfite sequencing and
quantitative PCR was used to measure the gene expression. No significant
differences were observed in methylation levels or gene expression of OCT4. This
work was the first approach in rabbit to the study of possible epigenetic
alterations associated with vitrification procedure.
PMID- 24930586
TI - Mortality of patients with bullous pemphigoid in Korea.
AB - BACKGROUND: The reported mortality rates and prognoses of bullous pemphigoid (BP)
vary among different countries. However, the mortality rate of BP has not been
investigated in Korea. OBJECTIVE: We sought to evaluate the mortality rate of
Korean patients with BP in comparison to that of an age-matched general
population in Korea, and to identify prognostic factors affecting overall
survival. METHODS: We conducted a retrospective analysis of 168 patients
diagnosed with BP between 1993 and 2013 at Gangnam Severance Hospital in Seoul,
Korea. RESULTS: The 1-, 2-, and 5-year mortality rates of BP patients were 19.46%
(95% confidence interval [CI], 13.83-27.00), 29.13% (95% CI, 21.91-38.08), and
58.03% (95% CI, 47.04-69.45), respectively. The median age was 73 years (range, 6
99 years). The standardized mortality ratio ranged from 2.43 (95% CI, 0.12-11.96)
to 9.56 (95% CI, 2.43-26.02), depending on the age group. In multivariate
analysis, old age at the time of diagnosis, stroke, diabetes, and delayed
diagnosis were associated with increased mortality. LIMITATIONS: This study was
conducted as a retrospective analysis and was based at a single institution.
CONCLUSION: The mortality rate of patients with BP is significantly higher than
that for the general population.
PMID- 24930588
TI - Production of a sterol esterase from Ophiostoma piceae in batch and fed-batch
bioprocesses using different Pichia pastoris phenotypes as cell factory.
AB - The potential biotechnological applications for the Ophiostoma piceae sterol
esterase (OPE) are conditioned to the availability of high enzyme amounts at low
prices. This enzyme is a versatile biocatalyst with different biotechnological
applications. In this work a systematic study on its heterologous production in
different Pichia pastoris strains and operational strategies is presented. The
best results were obtained using an AOX1 defective yeast strain in a fed-batch
bioprocess using methanol as inducer substrate at a set point of 2.5 g L(-1) and
sorbitol as cosubstrate by means of a preprogramed exponential feeding rate at a
MU = 0.02 h(-1) , reaching 30 U mL(-1) of enzyme and a volumetric productivity of
403.5 U L(-1) h(-1) . These values are twofold higher than those obtained with a
Mut(+) phenotype using methanol a sole carbon source. OPE was the main protein
secreted by the yeast, 55% for Mut(s) versus 25% for Mut(+.)
PMID- 24930587
TI - Photodynamic therapy using chlorophyll-a in the treatment of acne vulgaris: a
randomized, single-blind, split-face study.
AB - BACKGROUND: Chlorophyll-a is a novel photosensitizer recently tested for the
treatment of acne vulgaris. OBJECTIVE: We sought to evaluate the clinical
efficacy and safety of chlorophyll-a photodynamic therapy used for acne
treatment. METHODS: Subjects with acne on both sides of the face were included.
Eight treatment sessions were performed over a 4-week duration. Half of the face
was irradiated using a blue and red light-emitting diode after topical
application of chlorophyll-lipoid complex. The other half underwent only light
emitting diode phototherapy. The lesion counts and acne severity were assessed by
a blinded examiner. Sebum secretion, safety, and histologic changes were also
evaluated. RESULTS: In total, 24 subjects completed the study. Facial acne
improved on both treated sides. On the chlorophyll-a photodynamic therapy-treated
side, there were significant reductions in acne lesion counts, acne severity
grades, and sebum levels compared with the side treated with light-emitting diode
phototherapy alone. The side effects were tolerable in all the cases.
LIMITATIONS: All the subjects were of Asian descent with darker skin types, which
may limit the generalizability of the study. A chlorophyll-a arm alone is absent,
as is a no-treatment arm. CONCLUSIONS: We suggest that chlorophyll-a photodynamic
therapy for the treatment of acne vulgaris can be effective and safe with minimal
side effects.
PMID- 24930589
TI - Evaluation of an interactive web-based nursing course with streaming videos for
medication administration skills.
AB - PURPOSE: Nursing students should exhibit competence in nursing skills in order to
provide safe and quality patient care. This study describes the design and
students' response to an interactive web-based course using streaming video
technology tailored to students' needs and the course objectives of the
fundamentals of nursing skills clinical course. METHOD: A mixed-methodology
design was used to describe the experience of 102 first-year undergraduate
nursing students at a school of nursing in Jordan who were enrolled in the
course. A virtual course with streaming videos was designed to demonstrate
medication administration fundamental skills. The videos recorded the ideal lab
demonstration of the skills, and real-world practice performed by registered
nurses for patients in a hospital setting. After course completion, students
completed a 30-item satisfaction questionnaire, 8 self-efficacy scales, and a 4
item scale solicited their preferences of using the virtual course as a
substitute or a replacement of the lab demonstration. Students' grades in the
skill examination of the procedures were measured. Relationships between the main
variables and predictors of satisfaction and self-efficacy were examined.
RESULTS: Students were satisfied with the virtual course (3.9 +/- 0.56, out of a
5-point scale) with a high-perceived overall self-efficacy (4.38 +/- 0.42, out of
a 5-point scale). Data showed a significant correlation between student
satisfaction, self-efficacy and achievement in the virtual course (r = 0.45-0.49,
p < 0.01). The majority of students accessed the course from home and some faced
technical difficulties. Significant predictors of satisfaction were ease of
access the course and gender (B = 0.35, 0.25, CI = 0.12-0.57, 0.02-0.48
respectively). The mean achievement score of students in the virtual class (7.5
+/- 0.34) was significantly higher than that of a previous comparable cohort who
was taught in the traditional method (6.0 +/- 0.23) (p < 0.05). Nearly 40% of the
students believed that the virtual course is a sufficient replacement of the lab
demonstration. CONCLUSIONS: The use of multimedia within an interactive online
learning environment is a valuable teaching strategy that yields a high level of
nursing student satisfaction, self-efficacy, and achievement. The creation and
delivery of a virtual learning environment with streaming videos for clinical
courses is a complex process that should be carefully designed to positively
influence the learning experience. However, the learning benefits gained from
such pedagogical approach are worth faculty, institution and students' efforts.
PMID- 24930590
TI - Nanoassembly of probucol enables novel therapeutic efficacy in the suppression of
lung metastasis of breast cancer.
AB - Metastasis is one of the major obstacles hindering the success of cancer therapy.
The directed nanoassembly of probucol results in the "DNP" system, which greatly
improves the oral delivery of probucol and subsequently leads to a novel
therapeutic efficacy of probucol in the suppression of lung metastasis of breast
cancer. DNP is formed by employing the intermolecular hydrophobic interactions
between probucol and polyethylene glycol p-(1,1,3,3-tetramethylbutyl)-phenyl
ether (also known as Triton X-100). After oral administration, the probucol
concentration in the intestines is surprisingly about 200 times higher if it is
applied as DNP rather than free probucol; it can be absorbed into intestinal
enterocytes via clathrin-mediated endocytosis and transported into the systemic
circulation through the lymphatic pathway. Moreover, the oral bioavailability of
probucol is significantly higher-13.55 times higher-when applied as DNP in place
of free probucol. The drug concentration in major organs is also significantly
increased. The in vitro measurements show that the migration and invasion
abilities of 4T1 cells are obviously inhibited by DNP. In particular, in an
orthotopic metastatic breast cancer model, the notable suppression of lung
metastasis from DNP is observed, but no effect is seen from the free-probucol
suspension. As a result, the directed drug nanoassembly may open a new route for
enhancing oral drug delivery and enable new therapeutic abilities for probucol
against cancer metastasis.
PMID- 24930591
TI - Predicting faces and houses: category-specific visual action-effect prediction
modulates late stages of sensory processing.
AB - Our perception is fundamentally influenced by the way that we interact with the
world. In particular, sensory events that are consistent with our planned actions
are attenuated, both in terms of their phenomenology, and their neural response.
Previous research in this domain has focused on simple-featured stimuli such as
Gabor patches or sine wave tones, with attenuation normally occurring at early
stages of sensory processing. In the current study we investigated this
phenomenon using more ecologically valid stimuli that would likely involve higher
level visual predictions. More specifically, we trained participants to associate
different actions with the presentation of a face or a house. By recording ERPs
we could utilise the modularity of face processing to determine the locus of
sensory attenuation for these high-level stimuli, as well as identify content
specific brain activity related to the prediction itself. In contrast to previous
studies using low-level stimuli, we observed attenuation at later stages of
visual processing, suggesting that higher-level predictions result in high-level
prediction errors. We additionally observed significant differences over visual
brain regions during action preparation dependent on whether participants were
predicting to see a house or a face, perhaps reflecting preactivation of the
predicted action effects. Furthermore, the degree to which participants showed
evidence of preactivation, was correlated with the magnitude of their P2
attenuation. Taken together, these findings provide new insight into motor
prediction and its influence on perception.
PMID- 24930592
TI - Comparison on the fatty acid profiles of liver, subcutaneous fat and muscle from
feedlot steers finished on diets supplemented with or without cinnamaldehyde or
monensin.
AB - BACKGROUND: Cinnamaldehyde (CIN) is the main active component of cinnamon
(Cinnamomum cassia) oil and has been tested as alternative feed additive in
cattle production. Little information was available on the effect of dietary CIN
in comparison to monensin (MO) on beef fatty acid (FA) profile. This study
analyzed FA profiles of liver, subcutaneous fat and pars costalis diaphragmatis
(PCD) muscle obtained from steers (n = 70) finished on diets: control, a barley
grain-silage feedlot diet; 330 mg/head.day MO; and 400, 800 or 1600 mg/head.day
CIN treatments. RESULTS: Inclusion of MO or CIN did not affect total saturated,
unsaturated, polyunsaturated FA and individual FA in the various tissues with
exceptions that proportion of palmitic acid in PCD muscle was increased by 800
mg/steer.day CIN (P < 0.05). There were positive correlations (P < 0.05) on
oleic, linoleic, conjugated linoleic acid (CLA)-c9,t11 and 18:1-t10 between the
subcutaneous fat and PCD muscle, and on alpha-linolenic acid, CLA-c9,t11 and 18:1
t10 between PCD muscle and liver, whereas correlations on the FA between the
subcutaneous fat and liver were not significant except for 18:1-t10 (P < 0.01).
CONCLUSION: The results indicate that the supplementation of CIN and MO to
feedlot diet has limited effect on beef FA profiles.
PMID- 24930593
TI - Current understanding of HIV-1 and T-cell adaptive immunity: progress to date.
AB - The cellular immune response to human immunodeficiency virus (HIV) has different
components originating from both the adaptive and innate immune systems. HIV
cleverly utilizes the host machinery to survive by its intricate nature of
interaction with the host immune system. HIV evades the host immune system at
innate ad adaptive, allows the pathogen to replicate and transmit from one host
to another. Researchers have shown that HIV has multipronged effects especially
on the adaptive immunity, with CD4(+) cells being the worst effect T-cell
populations. Various analyses have revealed that, the exposure to HIV results in
clonal expansion and excessive activation of the immune system. Also, an abnormal
process of differentiation has been observed suggestive of an alteration and
blocks in the maturation of various T-cell subsets. Additionally, HIV has shown
to accelerate immunosenescence and exhaustion of the overtly activated T-cells.
Apart from causing phenotypic changes, HIV has adverse effects on the functional
aspect of the immune system, with evidences implicating it in the loss of the
capacity of T-cells to secrete various antiviral cytokines and chemokines.
However, there continues to be many aspects of the immune- pathogenesis of HIV
that are still unknown and thus required further research in order to convert the
malaise of HIV into a manageable epidemic.
PMID- 24930594
TI - Gelidium elegans, an edible red seaweed, and hesperidin inhibit lipid
accumulation and production of reactive oxygen species and reactive nitrogen
species in 3T3-L1 and RAW264.7 cells.
AB - Gelidium elegans is an edible red alga native to the intertidal area of
northeastern Asia. We investigated the effect of G. elegans extract and its main
flavonoids, rutin and hesperidin, on lipid accumulation and the production of
reactive oxygen species (ROS) and reactive nitrogen species (RNS) in 3T3-L1 and
RAW264.7 cells. Our data show that G. elegans extract decreased lipid
accumulation and ROS/RNS production in a dose-dependent manner. The extract also
inhibited the mRNA expression of adipogenic transcription factors, such as
peroxisome proliferator-activated receptor gamma and CCAAT/enhancer-binding
protein alpha, while enhancing the protein expression of the antioxidant enzymes
superoxide dismutases 1 and 2, glutathione peroxidase, and glutathione reductase
compared with controls. In addition, lipopolysaccharide-induced nitric oxide
production was significantly reduced in G. elegans extract-treated RAW264.7
cells. In analysis of the effects of G. elegans flavonoids on lipid accumulation
and ROS/RNS production, only hesperidin showed an inhibitory effect on lipid
accumulation and ROS production; rutin did not affect adipogenesis and ROS
status. The antiadipogenic effect of hesperidin was evidenced by the
downregulation of peroxisome proliferator-activated receptor gamma,
CCAAT/enhancer-binding protein alpha, and fatty acid binding protein 4 gene
expression. Collectively, our data suggest that G. elegans is a potential food
source containing antiobesity and antioxidant constituents.
PMID- 24930595
TI - Consuming calories and creating cavities: beverages NZ children associate with
sport.
AB - Sugar-sweetened beverages (SSBs) are widely available, discounted and promoted,
and despite recommendations to the contrary, frequently consumed by children.
They provide few nutritional benefits, and their consumption is implicated in a
number of poor health outcomes. This study examined the nature of the beverages
that sport-playing New Zealand (NZ) children associate with sport. It assessed
how well the beverages aligned with nutrition guidelines and relevant
regulations, and their likely impacts on health. Eighty-two children (38 girls
and 44 boys) aged 10-12 years were purposively selected from netball, rugby and
football clubs in low and high socioeconomic neighbourhoods, in Wellington, New
Zealand (NZ). Children photographed beverages they associated with sport. The
beverages were then purchased and analysed in accordance with NZ nutrition
guidelines, and relevant content and labelling regulations, by: package and
serving size; energy, sugar, sodium and caffeine content; pH; and advisory
statements. The beverages the children associated with sport overwhelmingly had
characteristics which do not support children in adhering to NZ nutrition
guidelines. Implementing public health mechanisms, such as healthy food and
beverage policies, widely promoting water as the beverage of choice in sport, and
implementing healthy eating and drinking campaigns in sports clubs, would assist
children who play organised sport to select beverages that are in keeping with
children's nutrition guidelines. As part of a comprehensive public health
approach they would also reduce the substantial, unnecessary and potentially
harmful contribution sugar-sweetened beverages make to their diet.
PMID- 24930596
TI - Comparison of text messaging and paper-and-pencil for ecological momentary
assessment of food craving and intake.
AB - Electronic devices such as mobile phones are quickly becoming a popular way to
gather participant reports of everyday thoughts, feelings, and behaviors,
including food cravings and intake. Electronic devices offer a number of
advantages over alternative methods such as paper-and-pencil (PNP) assessment
including automated prompts, on-the-fly data transmission, and participant
familiarity with and ownership of the devices. However, only a handful of studies
have systematically compared compliance between electronic and PNP methods of
ecological momentary assessment (EMA), and none have examined eating
specifically. Existing comparisons generally find greater compliance for
electronic devices than PNP, but there is variability in the results across
studies that may be accounted for by differences across research domains. Here,
we compared the two EMA methods in an unexamined domain - eating - in terms of
response rate and response latency, and their sensitivity to individual
difference variables such as body mass index (BMI). Forty-four participants were
randomly assigned to report on their food craving, food intake, and hunger four
times each day for 2 weeks using either a PNP diary (N = 19) or text messaging
(TXT; N = 25). Response rates were higher for TXT than PNP (96% vs. 70%) and
latencies were faster (29 min vs. 79 min), and response rate and latency were
less influenced by BMI in the TXT condition than in the PNP condition. These
results support the feasibility of using text messaging for EMA in the eating
domain, and more broadly highlight the ways that research domain-specific
considerations (e.g., the importance of response latency in measuring short-lived
food craving) interact with assessment modality during EMA.
PMID- 24930597
TI - Elevated objectively measured but not self-reported energy intake predicts future
weight gain in adolescents.
AB - BACKGROUND: Although obesity putatively occurs when individuals consume more
calories than needed for metabolic needs, numerous risk factor studies have not
observed significant positive relations between reported caloric intake and
future weight gain, potentially because reported caloric intake is inaccurate.
OBJECTIVE: The present study tested the hypothesis that objectively measured
habitual energy intake, estimated with doubly labeled water, would show a
stronger positive relation to future weight gain than self-reported caloric
intake based on a widely used food frequency measure. DESIGN: Two hundred and
fifty-three adolescents completed a doubly labeled water (DLW) assessment of
energy intake (EI), a food frequency measure, and a resting metabolic rate (RMR)
assessment at baseline, and had their body mass index (BMI) measured at baseline
and at 1- and 2-year follow-ups. RESULTS: Controlling for baseline RMR, elevated
objectively measured EI, but not self-reported habitual caloric intake, predicted
increases in BMI over a 2-year follow-up. On average, participants under-reported
caloric intake by 35%. CONCLUSIONS: RESULTS provide support for the thesis that
self-reported caloric intake has not predicted future weight gain because it is
less accurate than objectively measured habitual caloric intake, suggesting that
food frequency measures can lead to misleading findings. However, even
objectively measured caloric intake showed only a moderate relation to future
weight gain, implying that habitual caloric intake fluctuates over time and that
it may be necessary to conduct serial assessments of habitual intake to better
reflect the time-varying effects of caloric intake on weight gain.
PMID- 24930598
TI - Effects of children's self-regulation of eating on parental feeding practices and
child weight.
AB - The purpose of this study was to determine whether self-regulation of eating in
minority preschool-aged children mediates the relationship between parent feeding
practices and child weight. Participants were 299 low-income African American and
Hispanic parents and their preschool-aged children who participated in Head
Start. Parents completed questionnaires about controlling feeding practices
(pressure to eat, restriction) and children's appetitive characteristics
(enjoyment of food, food responsiveness, satiety responsiveness). Path analyses
were used to determine whether children's self-regulation of eating mediated the
relationship between feeding practices and child weight. Greater satiety
responsiveness in African American preschool-age children partially mediated the
inverse association between pressure to eat and children's weight, B (SE) =
0.073 (0.036), P < .05. Enjoyment of food and food responsiveness did not mediate
the relationship between pressure to eat and weight in the African American
sample, ps > .05, nor did appetitive characteristics mediate the relationship
between restriction and child weight, ps > .05. Appetitive characteristics did
not mediate the relationship between controlling feeding practices and child
weight in the Hispanic sample, ps > .05. Implications include the need for
culturally sensitive self-report measures and for researchers to account for the
possible effects of racial/ethnic differences when designing interventions.
PMID- 24930600
TI - Epicardial placement of mesenchymal stromal cell-sheets for the treatment of
ischemic cardiomyopathy; in vivo proof-of-concept study.
AB - Transplantation of bone marrow mesenchymal stromal cells (MSCs) is an emerging
treatment for heart failure. We have reported that epicardial placement of MSC
sheets generated using temperature-responsive dishes markedly increases donor MSC
survival and augments therapeutic effects in an acute myocardial infarction (MI)
model, compared to intramyocardial (IM) injection. This study aims to expand this
knowledge for the treatment of ischemic cardiomyopathy, which is likely to be
more difficult to treat due to mature fibrosis and chronically stressed
myocardium. Four weeks after MI, rats underwent either epicardial MSC-sheet
placement, IM MSC injection, or sham treatment. At day 28 after treatment, the
cell-sheet group showed augmented cardiac function improvement, which was
associated with over 11-fold increased donor cell survival at both days 3 and 28
compared to IM injection. Moreover, the cell-sheet group showed improved
myocardial repair, in conjunction with amplified upregulation of a group of
reparative factors. Furthermore, by comparing with our own previous data, this
study highlighted similar dynamics and behavior of epicardially placed MSCs in
acute and chronic stages after MI, while the acute-phase myocardium may be more
responsive to the stimuli from donor MSCs. These proof-of-concept data encourage
further development of the MSC-sheet therapy for ischemic cardiomyopathy toward
clinical application.
PMID- 24930599
TI - Translating the immunogenicity of prime-boost immunization with ChAd63 and MVA ME
TRAP from malaria naive to malaria-endemic populations.
AB - To induce a deployable level of efficacy, a successful malaria vaccine would
likely benefit from both potent cellular and humoral immunity. These requirements
are met by a heterologous prime-boost immunization strategy employing a
chimpanzee adenovirus vector followed by modified vaccinia Ankara (MVA), both
encoding the pre-erythrocytic malaria antigen ME-thrombospondin-related adhesive
protein (TRAP), with high immunogenicity and significant efficacy in UK adults.
We undertook two phase 1b open-label studies in adults in Kenya and The Gambia in
areas of similar seasonal malaria transmission dynamics and have previously
reported safety and basic immunogenicity data. We now report flow cytometry and
additional interferon (IFN)-gamma enzyme-linked immunospot (ELISPOT) data
characterizing pre-existing and induced cellular immunity as well as anti-TRAP
IgG responses. T-cell responses induced by vaccination averaged 1,254 spot
forming cells (SFC) per million peripheral blood mononuclear cells (PBMC) across
both trials and flow cytometry revealed cytokine production from both CD4(+) and
CD8(+) T cells with the frequency of CD8(+) IFN-gamma-secreting monofunctional T
cells (previously shown to associate with vaccine efficacy) particularly high in
Kenyan adults. Immunization with ChAd63 and MVA ME-TRAP induced strong cellular
and humoral immune responses in adults living in two malaria-endemic regions of
Africa. This prime-boost approach targeting the pre-erythrocytic stage of the
malaria life-cycle is now being assessed for efficacy in a target population.
PMID- 24930601
TI - Nonallele specific silencing of ataxin-7 improves disease phenotypes in a mouse
model of SCA7.
AB - Spinocerebellar ataxia type 7 (SCA7) is a late-onset neurodegenerative disease
characterized by ataxia and vision loss with no effective treatments in the
clinic. The most striking feature is the degeneration of Purkinje neurons of the
cerebellum caused by the presence of polyglutamine-expanded ataxin-7. Ataxin-7 is
part of a transcriptional complex, and, in the setting of mutant ataxin-7, there
is misregulation of target genes. Here, we designed RNAi sequences to reduce the
expression of both wildtype and mutant ataxin-7 to test if reducing ataxin-7 in
Purkinje cells is both tolerated and beneficial in an animal model of SCA7. We
observed sustained reduction of both wildtype and mutant ataxin-7 as well as a
significant improvement of ataxia phenotypes. Furthermore, we observed a
reduction in cerebellar molecular layer thinning and nuclear inclusions, a
hallmark of SCA7. In addition, we observed recovery of cerebellar transcripts
whose expression is disrupted in the presence of mutant ataxin-7. These data
demonstrate that reduction of both wildtype and mutant ataxin-7 by RNAi is well
tolerated, and contrary to what may be expected from reducing a component of the
Spt-Taf9-Gcn5 acetyltransferase complex, is efficacious in the SCA7 mouse.
PMID- 24930603
TI - The role of cell proteins in dengue virus infection.
AB - Despite 70 years of study, dengue disease continues to be a global health burden.
Treatment is only supportive based on presenting symptoms. To date, there is no
licensed prophylactic vaccine and no specific antiviral drugs available. The
pathogenesis mechanisms during dengue virus infections remain poorly understood,
and the complete picture on risk factors for developing severe clinical illness
is still unknown. Viruses as obligate intracellular parasites depend on the host
cell machinery for replication. As a result of a co-evolution process for million
years, viruses have developed sophisticated strategies to hijack and use cellular
factors for entry, replication and propagation, alternate host transmission and
to combat host cell defenses. This review focuses on recent reports about
cellular proteins involved along the dengue virus replication cycle, in prime
cellular targets during the infection of both humans and mosquito hosts and also
on the proteomics and other approaches that are being used to reveal the entire
orchestration and most significant processes altered during infection.
Identification of the key host cell factors involve in these processes will
provide a better understanding of how viruses replicate and cause disease, and
how to develop more effective therapeutic interventions. BIOLOGICAL SIGNIFICANCE:
Dengue disease is as a global health problem. The treatment is only supportive
based on presenting symptoms. To date, there is no licensed prophylactic vaccine
and no specific antiviral drugs available. The study of the interactions between
virus and host cell proteins will provide a better understanding of how viruses
replicate and cause disease. Here, we focus on the current knowledge about the
cellular proteins involved during DENV infection in different target cells in the
two hosts, mosquito and human.
PMID- 24930602
TI - Serum-stabilized naked caspase-3 siRNA protects autotransplant kidneys in a
porcine model.
AB - The naked small interfering RNA (siRNA) of caspase-3, a key player in ischemia
reperfusion injury, was effective in cold preserved and hemoreperfused kidneys,
but not autotransplanted kidneys in our porcine models. Here, chemically modified
serum stabilized caspase-3 siRNAs were further evaluated. The left kidney was
retrieved and infused by University of Wisconsin solution with/without 0.3 mg
caspase-3 or negative siRNA into the renal artery for 24-hour cold storage (CS).
After an intravenous injection of 0.9 mg siRNA and right-uninephrectomy, the left
kidney was autotransplanted for 2 weeks. The effectiveness of caspase-3 siRNA was
confirmed by caspase-3 knockdown in the post-CS and/or post-transplant kidneys
with reduced apoptosis and inflammation, while the functional caspase-3 siRNA in
vivo was proved by detected caspase-3 mRNA degradation intermediates. HMGB1
protein was also decreased in the post-transplanted kidneys; correlated
positively with renal IL-1beta mRNA, but negatively with serum IL-10 or IL-4. The
minimal off-target effects of caspase-3 siRNA were seen with favorable systemic
responses. More importantly, renal function, associated with active caspase-3,
HMGB1, apoptosis, inflammation, and tubulointerstitial damage, was improved by
caspase-3 siRNA. Taken together, the 2-week autotransplanted kidneys were
protected when caspase-3 siRNA administrated locally and systemically, which
provides important evidence for future clinical trials.
PMID- 24930604
TI - Intra- and interboar variability in flow cytometric sperm sex sorting.
AB - To improve the efficiency of porcine sperm sex sorting using flow cytometry, the
aims of the present study were to determine the relevance of inter- and intraboar
variability in sperm sortability and to evaluate the significance of ejaculate
semen characteristics in such variability. In addition, the variability among
boars in the ability of sex-sorted spermatozoa to survive liquid storage at 15
degrees C to 17 degrees C was also evaluated. In total, 132 ejaculates collected
from 67 boars of different breeds that were housed at an artificial insemination
center were used in three experiments. X- and Y-chromosome-bearing sperm were
simultaneously separated according to the Beltsville sperm-sorting technology
using a high-speed flow cytometer. In the first experiment, interboar variability
in the ability of the ejaculated spermatozoa to undergo the flow-based sex
sorting procedure was observed; the ejaculates of nearly 15% of the boars (n =
67) did not exhibit well-defined X- and Y-chromosome-bearing spermatozoa peaks in
the histogram, and the ejaculate sperm concentration demonstrated good predictive
value for explaining this variation, as indicated by the area under the receiver
operating characteristics curve (0.88, P < 0.001). In the second experiment, a
certain degree of intraboar variability was observed only in the boars that
showed poor sperm sortability (measured according to the presence or not a well
defined split together with sperm sortability parameters) in the first ejaculate
(n = 3). In contrast, boars classified as having good sperm sortability in the
first ejaculate (n = 5) maintained this condition in five ejaculates collected
over the subsequent 5 months. In the third experiment, sex-sorted spermatozoa
from boars with good sperm sortability (n = 5) remained viable and motile (above
70% in all boars) after 48 hours of storage at 15 degrees C to 17 degrees C,
which may facilitate the commercial application of sex-sorted spermatozoa in
swine artificial insemination programs.
PMID- 24930605
TI - Effects of vitrification for germinal vesicle and metaphase II oocytes on
subsequent centromere cohesion and chromosome aneuploidy in mice.
AB - The present study examined the effect of vitrification on oocyte aneuploidy and
centromere cohesion. Firstly, germinal vesicle (GV) and in vitro matured oocytes
(metaphase II, MII) were vitrified by open-pulled straw method. Secondly, thawed
GV oocytes were matured in vitro to detect the aneuploidy rate and the sister
inter-kinetochore (iKT) distance (in situ spreading and immunofluorescent
staining). The results revealed that the sister iKT distance and the aneuploidy
rate in eggs matured from vitrified-thawed GV oocytes were higher than that from
in vivo matured, in vitro matured, and in vitro matured frozen oocytes (0.47 +/-
0.03 vs. 0.33 +/- 0.01 vs. 0.33 +/- 0.02 vs. 0.34 +/- 0.01 MUm; P < 0.01 and
22.9% vs. 6.5% vs. 5.8% vs. 11.8%; P < 0.05, respectively). Furthermore, the
percentage of sister chromosome pairs whose sister iKT distances were higher than
0.9 MUm in eggs matured from vitrified-thawed GV oocytes (8.7%) was higher than
that from in vivo matured (1.6%), in vitro matured (1.6%), and in vitro matured
frozen oocytes (2.3%) (P < 0.05). The sister iKT distance was associated with
centromere cohesion. To investigate whether vitrification of GV oocytes
deteriorated centromere cohesion by affecting cohesin complex formation, thawed
and fresh GV oocytes were used to detect the cohesin subunits (SMC1beta, STAG3,
SMC3, and REC8) mRNA expression (quantitative real-time polymerase chain
reaction). The relative expression of three cohesin subunits (SMC1beta, STAG3,
and SMC3) was significantly decreased in GV oocytes after vitrification. In
conclusion, vitrification of GV oocytes may result in the subsequent
deterioration of centromere cohesion and an increase in the aneuploidy rate. MII
oocytes may be the ideal candidate to avoid aneuploidy for fertility
cryopreservation.
PMID- 24930606
TI - Cross-talk between free and bound spermatozoa to modulate initial sperm:egg
ratios at the site of fertilization in the mammalian oviduct.
AB - This essay proposes that highly localized communication between free and bound
spermatozoa in the caudal portion of the oviduct acts to regulate the numbers
detaching from the epithelium and progressing to the site of fertilization close
to the time of ovulation. Low initial sperm:egg ratios are essential for
monospermic fertilization. Liberation of surface macromolecules and metabolic
prompting from activated spermatozoa, together with altered patterns of sperm
movement and dynamic differences in intracellular Ca(2+) ion status between
neighboring sperm cells, would influence the progressive release of spermatozoa
from the reservoir in the oviduct isthmus. Different intensities of preovulatory
epithelial binding, reflecting a range of states in the sperm surface membranes
and associated proteins, would provide a further explanation for a
chronologically staggered periovulatory detachment of spermatozoa. Intimate sperm
sperm interactions within the confines of the oviduct isthmus offer a sensitive
means of fine-tuning the vanguard of competent male gametes reaching the isthmo
ampullary junction.
PMID- 24930607
TI - Finding the ideal biomaterial for aortic valve repair with ex vivo porcine left
heart simulator and finite element modeling.
AB - OBJECTIVES: Aortic valve (AV) repair (AVr) has become an attractive alternative
to AV replacement for the correction of aortic insufficiency; however, little
clinical evidence exists in determining which biomaterial at AVr would be
optimal. Cusp replacement in AVr has been associated with increased long-term AVr
failure. We measured the hemodynamic and biomaterial properties using an ex vivo
porcine AVr model with clinically relevant biomaterials and generated a finite
element model to ascertain which materials would be best suited for valve repair.
METHODS: Porcine aortic roots with intact AVs were placed in a left heart
simulator mounted with a high-speed camera for baseline valve assessment. The
noncoronary cusp was excised and replaced with autologous porcine pericardium,
glutaraldehyde-fixed bovine pericardial patch (Synovis), extracelluar matrix
scaffold (CorMatrix), or collagen-impregnated Dacron (Hemashield). The
hemodynamic parameters were measured for a range of cardiac outputs (2.5-6.5
L/min) after repair. The biomaterial properties and St Jude Medical pericardial
patch were determined using pressurization experiments. Finite element models of
the AV and root complex were constructed to determine the hemodynamic
characteristics and leaflet stresses. RESULTS: The geometric orifice areas after
repair were significantly reduced in the Hemashield (P<.05) and CorMatrix
(P=.0001) groups. Left ventricular work increased with increasing cardiac output
(P=.001) in unrepaired valves, as expected, and was similar among all biomaterial
groups. Finite element modeling of the biomaterials displayed differences in the
percentage of changes in total Von Mises stress for both replaced (noncoronary
cusp) and nonreplaced left and right cusps with the St Jude Medical pericardial
patch (+4%, +24%) and autologous porcine pericardium (+5, +26%), with a lower
percentage of changes than for the bovine pericardial patch (+12%, +27%),
Hemashield (+30%, +9%), and CorMatrix (+13%, +32%). CONCLUSIONS: The present
study has shown that postrepair left ventricular work did not increase despite a
decrease in geometric orifice areas in the Hemashield and CorMatrix groups. The
autologous porcine pericardium and St Jude Medical pericardial patch had the
closest profile to normal AVs; therefore, either biomaterial might be best
suited. Finally, the increased stresses found in the bovine pericardial patch,
Hemashield, and CorMatrix groups might, after prolonged tensile exposure, be
associated with late repair failure.
PMID- 24930610
TI - Retroesophageal total anomalous pulmonary venous connection in a neonate.
PMID- 24930609
TI - A paired membrane umbrella double-lumen cannula ensures consistent cavopulmonary
assistance in a Fontan sheep model.
AB - OBJECTIVES: The Avalon Elite (Macquet, Rastatt, Germany) double-lumen cannula can
provide effective cavopulmonary assistance in a Fontan (total cavopulmonary
connection) sheep model, but it requires strict alignment. The objective was to
fabricate and test a newly designed paired umbrella double-lumen cannula without
alignment requirement. METHODS: The paired membrane umbrellas were designed on
the double-lumen cannula to bracket infusion blood flow toward the pulmonary
artery. Two umbrellas were attached, one 4 cm above and one 4 cm below the
infusion opening. Umbrellas were temporarily wrapped and glued to the double
lumen cannula body to facilitate insertion. A total cavopulmonary connection mock
loop was used to test cavopulmonary assistance performance and reliability with
double-lumen cannula rotation and displacement. The paired umbrella double-lumen
cannula also was tested in a total cavopulmonary connection adult sheep model (n
= 6). RESULTS: The bench test showed up to 4.5 L/min pumping flow and
approximately 90% pumping flow efficiency at 360 degrees rotation and 8-cm
displacement of double-lumen cannula. The total cavopulmonary connection model
with compromised hemodynamics was successfully created in all 6 sheep. The
cavopulmonary assistance double-lumen cannula with paired umbrellas was smoothly
inserted into the superior vena cava and extracardiac conduit in all sheep. At
3.5 to 4.0 L/min pump flow, the systolic arterial blood pressure and central
venous pressure returned to normal baseline and remained stable throughout the 90
minute experiment, demonstrating effective cavopulmonary assistance support.
Double-lumen cannula rotation and displacement did not affect performance.
Autopsy revealed well-opened and positioned paired umbrellas, and double-lumen
cannulas were easily removed from the right jugular vein. CONCLUSIONS: Our double
lumen cannula with paired umbrellas is easy to insert and remove. The paired
umbrellas eliminated the strict alignment requirement and ensured consistent
cavopulmonary assistance performance.
PMID- 24930611
TI - A quarter of a century of experience with aortic valve-sparing operations.
AB - OBJECTIVE: To examine the late outcomes of aortic valve-sparing operations to
treat patients with aortic root aneurysm with and without aortic insufficiency
(AI) in a cohort of patients followed up prospectively since 1988. METHODS: A
total of 371 consecutive patients had undergone aortic valve-sparing surgery
(mean age, 47 +/- 15 years; 78% men) from 1988 through 2010. In addition to the
aortic root aneurysm, 47% had moderate or severe AI, 35.5% had Marfan syndrome,
12.1% had type A aortic dissection, 9.2% had bicuspid aortic valve, 8.4% had
mitral insufficiency, 16.1% had aortic arch aneurysm, and 10.2% had coronary
artery disease. Reimplantation of the aortic valve was used in 296 patients and
remodeling of the aortic root in 75. Cusp repair by plication of the free margin
along the nodule of Arantius was used in 36.6% of patients, and reinforcement of
the free margin with a double layer of fine Gore-Tex suture in 24.2%. The
patients were followed up prospectively with images of the aortic root for a
median follow-up of 8.9 +/- 5.2 years. RESULTS: A total of 4 operative and 39
late deaths occurred. Survival at 18 years was 76.8% +/- 4.31%, lower than that
for the general population matched for age and gender. Age, type A aortic
dissection, impaired ventricular function, and preoperative AI were associated
with increased mortality on multivariable analysis. Reoperations on the aortic
valve were performed in 8 patients for recurrent AI and in 2 for infective
endocarditis. Freedom from reoperation on the aortic valve at 18 years was 94.8%
+/- 2.0%. No predictors of the need for reoperation were found on multivariable
analysis. Eighteen patients developed AI greater than mild. Freedom from AI
greater than mild at 18 years was 78.0% +/- 4.8%. No predictors of recurrent AI
were identified on multivariable analysis. CONCLUSIONS: Aortic valve-sparing
operations continue to provide excellent clinical outcomes, although a slow but
progressive deterioration of aortic valve function seems to occur during the
first 2 decades of follow-up. Preoperative AI and cusp repair had no adverse
effect on valve function.
PMID- 24930614
TI - Selective use of cardiac computed tomography angiography: an alternative
diagnostic modality before second-stage single ventricle palliation.
AB - OBJECTIVES: To assess the accuracy and risk of substituting cardiac computed
tomography for cardiac catheterization in select patients for evaluation of
anatomy before second-stage single ventricle palliation. METHODS: This is a
retrospective review of consecutive diagnostic cardiac catheterization (n=16) and
computed tomography studies (n=16) performed before second-stage single ventricle
palliation from March 2010 to July 2012 at a single institution. Risk
(anesthesia, vascular access, contrast, and radiation exposure), accuracy, and
postoperative course were compared. Nonparametric analysis was used to compare
differences in group medians. RESULTS: General anesthesia was used for 16 of 16
cardiac catheterization studies and 1 of 16 computed tomography studies. Vascular
access was central venous and/or arterial for all cardiac catheterization studies
and a peripheral intravenous line for all computed tomography studies. Median age
and size-adjusted radiation dose was 14.0 mSv for cardiac catheterization and
1.1 mSv for computed tomography. Contrast dose was 4.8 mL/kg for the cardiac
catheterization group and 2 mL/kg for the computed tomography group. There were
no computed tomography discrepancies and 1 discrepancy between cardiac
catheterization and surgical findings. There were 8 adverse events in 6 patients
in the cardiac catheterization group and 1 adverse event in the computed
tomography group. There was no difference between groups in postoperative course
or need for repeat intervention. CONCLUSIONS: Cardiac computed tomography and
cardiac catheterization are equally accurate for evaluation of anatomy before
second-stage single ventricle palliation when compared with surgical findings.
Computed tomography may be the preferred test in select patients because of
decreased vascular access and anesthesia risk, lower radiation and contrast
exposure, and fewer adverse events.
PMID- 24930615
TI - Bicuspid aortic valve repair by complete conversion from "raphe'd" (type 1) to
"symmetric" (type 0) morphology.
AB - OBJECTIVE: An anterior cusp with a median raphe and false commissure is the most
common bicuspid aortic valve phenotype. The cusp is typically restricted and its
annular attachment malpositioned, often resulting in significant aortic
regurgitation. A novel valve repair strategy was designed to create a symmetric
valve to improve both function and durability. METHODS: The technique involves
converting the valve into a "symmetric" bicuspid valve by resection of the median
raphe, with shortening of the anterior cusp's leading edge; resection of the
false commissure with complete anterior annular detachment; enlargement,
reinforcement, and translocation of the anterior cusp deeper into the left
ventricular outflow tract; and valve-sparing aortic root replacement with
concomitant annuloplasty. RESULTS: A total of 32 patients with a bicuspid valve,
aortic insufficiency, and aortic dilatation have undergone valve repair with root
replacement. No operative deaths or strokes occurred, and no permanent pacemakers
were required. Thirteen patients underwent complete conversion from "raphe'd" to
"symmetric" morphology; the others underwent less complex repairs without
translocation. The postoperative transvalvular gradients remained normal. Freedom
from grade >1+ aortic regurgitation and valve-related reoperation was 100%. All
13 symmetric conversion patients have continued to have no or trace aortic
regurgitation (grade < 1+) at a mean follow-up of 2.9 years (range, 0.4-7).
CONCLUSIONS: Repair of the common, "raphe'd" bicuspid phenotype by
reconfiguration into "symmetric" morphology is both feasible and desirable,
regardless of the degree of preoperative aortic regurgitation. This repair
strategy appears durable at the mid-term without evolving signs of deterioration
or stenosis, offering a potentially superior option over prosthetic root
replacement for younger patients.
PMID- 24930616
TI - Endoscopic ultrasound-guided fine needle aspiration and endobronchial ultrasound
guided transbronchial needle aspiration: Are two better than one in mediastinal
staging of non-small cell lung cancer?
AB - OBJECTIVE: The role of combined endobronchial ultrasound-guided transbronchial
needle aspiration (EBUS-TBNA) and endoscopic ultrasound-guided fine needle
aspiration (EUS-FNA) with a single bronchoscope is poorly understood. The purpose
of the present study was to elucidate the roles of EBUS-TBNA and EUS-FNA with a
single bronchoscope in the preoperative hilar and mediastinal staging of non
small cell lung cancer (NSCLC). METHODS: A total of 150 patients with potentially
resectable known or suspected NSCLC were enrolled in our prospective study. EBUS
TBNA was performed, followed by EUS-FNA, with an EBUS bronchoscope for N2 and N3
nodes>=5 mm in the shortest diameter on ultrasound images, in a single session.
RESULTS: EBUS-TBNA was performed for 257 lymph nodes and EUS-FNA for 176 lymph
nodes. Of the 150 patients, 146 had a final diagnosis of NSCLC. Of these 146
patients, 33 (23%) had N2 and/or N3 nodal metastases. The sensitivity of EBUS
TBNA, EUS-FNA, and the combined approach per patient was 52%, 45%, and 73%,
respectively (EBUS-TBNA vs the combined approach, P=.016, McNemar's test). The
corresponding negative predictive value was 88%, 86%, and 93%. Two patients (1%)
developed severe cough from EBUS-TBNA. CONCLUSIONS: The combined endoscopic
approach with EBUS-TBNA and EUS-FNA is a safe and accurate method for
preoperative hilar and mediastinal staging of NSCLC, with better results than
with each technique by itself.
PMID- 24930617
TI - Residual and recurrent gradients after septal myectomy for hypertrophic
cardiomyopathy-mechanisms of obstruction and outcomes of reoperation.
AB - OBJECTIVE: The aims of the present study were to identify the mechanisms of
residual or recurrent left ventricular outflow tract obstruction in patients
undergoing repeat septal myectomy for hypertrophic cardiomyopathy and to assess
the early and late results of reoperation. METHODS: From January 1980 to June
2012, we performed 52 repeat myectomies in 51 patients. We reviewed the medical
records and preoperative transthoracic echocardiograms to evaluate the adequacy
of the previous resection and mechanism of left ventricular outflow tract
obstruction. The complications of previous and repeat myectomy, New York Heart
Association class, and survival were analyzed. RESULTS: The mean interval from
previous myectomy to reoperation was 43 +/- 51 months. In 6 patients (12%)
residual or recurrent gradients were caused by isolated midventricular
obstruction. In the remaining 46 operations, the mechanism of residual or
recurrent gradients was identified as systolic anterior motion of mitral valve
related subaortic obstruction caused by inadequate length of previous subaortic
septal excision in 31 patients (59% of the total), both an inadequate length and
an inadequate depth of septectomy in 13 patients (25%), and both residual
subaortic obstruction due to systolic anterior motion of the mitral valve and
midventricular obstruction in 2 patients (4%). Preoperatively, 96% of patients
were in New York Heart Association class III or IV; postoperatively, 93.8% were
in class I or II (P < .001). The 10-year survival after reoperation was 98% and
similar to that of an age- and gender-matched Minnesota population (P = .46).
CONCLUSIONS: The most common cause of recurrent left ventricular outflow tract
obstruction and symptoms in patients undergoing septal myectomy has been an
inadequate length of septal excision. Reoperation is safe, with excellent long
term survival and functional improvement.
PMID- 24930618
TI - Scoring system to guide decision making for the use of gentamicin-impregnated
collagen sponge to prevent deep sternal wound infection.
AB - OBJECTIVES: The effectiveness of the routine retrosternal placement of a
gentamicin-impregnated collagen sponge (GICS) implant before sternotomy closure
is currently a matter of some controversy. We aimed to develop a scoring system
to guide decision making for the use of GICS to prevent deep sternal wound
infection. METHODS: Fast backward elimination on predictors, including GICS, was
performed using the Lawless and Singhal method. The scoring system was reported
as a partial nomogram that can be used to manually obtain predicted individual
risk of deep sternal wound infection from the regression model. Bootstrapping
validation of the regression models was performed. RESULTS: The final populations
consisted of 8750 adult patients undergoing cardiac surgery through full
sternotomy during the study period. A total of 329 patients (3.8%) received GICS
implant. The overall incidence of deep sternal wound infection was lower among
patients who received GICS implant (0.6%) than patients who did not (2.01%)
(P=.02). A nomogram to predict the individual risk for deep sternal wound
infection was developed that included the use of GICS. Bootstrapping validation
confirmed a good discriminative power of the models. CONCLUSIONS: The scoring
system provides an impartial assessment of the decision-making process for
clinicians to establish if GICS implant is effective in reducing the risk for
deep sternal wound infection in individual patients undergoing cardiac surgery
through full sternotomy.
PMID- 24930621
TI - Injection laryngoplasty in the outpatient clinic under local anaesthetic: a case
series of sixty-eight patients.
AB - OBJECTIVES: We aimed to assess self-report and perceptual voice outcomes in
patients undergoing local anaesthetic injection laryngoplasty in our outpatient
clinic. DESIGN: Prospective case series. SETTING: Department of Otolaryngology
Head and Neck Surgery, Freeman Hospital, Newcastle-upon-Tyne, UK. PARTICIPANTS:
Sixty-eight patients underwent local anaesthetic injection laryngoplasty in the
outpatient clinic over the 2-year study period. MAIN OUTCOME MEASURE: Voice
Performance Questionnaire (patient-rated), and perceptual voice analysis
(observer-rated) Grade, Roughness, Breathiness, Aesthenia and Strain scores were
recorded before and 2 weeks after injection laryngoplasty. RESULTS: Fifty-seven
patients had sufficient pre- and post-procedure data to be included in the
analysis. These included 32 men and 25 women, age range 26-97 years. Of the
remaining patients, they were excluded due to failed procedure (n = 3), too
unwell to proceed (n = 1), died before follow-up was complete (n = 3) and
incomplete data (n = 4). The median Voice Performance Questionnaire score
improved from 42 to 21; (P < 0.0001). Significant improvements were seen in all
parameters of Grade, Roughness, Breathiness, Aesthenia, Strain. CONCLUSION:
Injection laryngoplasty under local anaesthetic in the outpatient clinic
generates improvements in subjective and perceptual voice outcomes similar to
those obtained in the operating room under general anaesthetic or sedation.
Complication rates between these procedures were also comparable. Injection
laryngoplasty under local anaesthetic is timely, cost-effective and obviates need
for general anaesthesia in patients, many of whom have comorbidities and
diminished life expectancy.
PMID- 24930620
TI - Incidence and cost of sexual violence in Iowa.
AB - BACKGROUND: Sexual violence is a serious and costly public health problem.
Current research that systematically documents the broad range of economic costs
of sexual violence is lacking. PURPOSE: To estimate the incidence and costs of
sexual violence in Iowa in 2009. METHODS: Using data obtained from population
surveys, six Iowa government agencies, and other sources, we estimated sexual
violence incidence, costs per incident, and total costs in 2009 dollars, by age
and sexual violence category, and for various cost elements. We calculated direct
costs of medical care, mental health care, property damage, victim services,
investigation, adjudication, and sanctioning, as well as indirect costs for lost
work and quality of life. We collected data in 2010-2011 and completed analysis
in 2013. RESULTS: In 2009, an estimated 55,340 individuals experienced sexual
violence in Iowa, including 49,510 adults and 5,930 children. Nearly three of
every four victims were women. The estimated total cost of sexual violence in
2009 was $4.7 billion, equating to $1,580 per resident. This estimate included
$4.44 billion in indirect costs and $265 million in direct costs. In the same
year, the government spent an estimated $100.6 million as a result of sexual
violence in Iowa, more than half of which ($55.3 million) was spent on
perpetrators and little ($0.9 million) on prevention. CONCLUSIONS: The economic
costs of sexual violence are high for individuals and society. Cost information
can help identify the burden of sexual violence relative to other social problems
in Iowa and prioritize funding for prevention and intervention.
PMID- 24930619
TI - Safety and toxicity of radioembolization plus Sorafenib in advanced
hepatocellular carcinoma: analysis of the European multicentre trial SORAMIC.
AB - BACKGROUND & AIMS: The benefits of combined systemic and liver-directed
treatments in inoperable intermediate- or advanced-stage hepatocellular carcinoma
(HCC) have yet to be defined. This article presents the planned safety analyses
for the first 40 patients randomized to radioembolization with yttrium-90 ((90)
Y) resin microspheres followed by sorafenib (n = 20) or sorafenib only (n = 20)
in the SORAMIC study. METHODS: Patients identified for palliative treatment who
were poor candidates for transarterial (chemo)embolization (including those
failing TACE) with preserved liver function (Child-Pugh <=B7) and ECOG
performance status <2 were screened. Radioembolization was administered using a
sequential lobar approach. On day 3 after the last radioembolization procedure,
sorafenib 200 mg twice daily was initiated escalating to 400 mg twice daily 1
week later; a matching sorafenib dose schedule was initiated in the control arm.
RESULTS: Patients were followed up for a median of 8.3 months. Median total
implanted activity of (90) Y was 1.87 (range: 0.54-2.35) GBq. Patients received a
similar intensity and duration of sorafenib in the combination-treatment arm
(median daily dose 614 mg over 8.5 months) and control arm (557 mg over 9.6
months). The incidence of total (196 vs. 222) and grade >=3 (43 vs. 47) adverse
events was similar in combination-treatment arm and control arm respectively (P >
0.05). No significant differences in the number of total or grade 3/4 toxicities
were recorded for: total bilirubin, albumin, liver enzymes, ascites, Child-Pugh,
fatigue, hand-foot skin reaction, blood pressure or diarrhoea. CONCLUSIONS:
Radioembolization followed by sorafenib appears to be as well tolerated as
sorafenib alone.
PMID- 24930622
TI - Corneal thickness in children with growth hormone deficiency: the effect of GH
treatment.
AB - OBJECTIVE: The eye represents a target site for GH action, although few data are
available in patients with GH deficiency (GHD). Our aim was to evaluate central
corneal thickness (CCT) and intraocular pressure (IOP) values in GHD children to
assess the role played by GHD or GH treatment on these parameters. DESIGN: In 74
prepubertal GHD children (51M, 23F, aged 10.4+/-2.4years) we measured CCT and IOP
before and after 12months of treatment. A baseline evaluation was also made in 50
healthy children matched for age, gender and body mass index. The study outcome
considered CCT and IOP during treatment and their correlations with biochemical
and auxological data. RESULTS: No difference in CCT and IOP between GHD children
at baseline and controls was found (all p>0.005). GHD children after 12months of
therapy showed greater CCT (564.7+/-13.1MUm) than both baseline values (535.7+/
17MUm; p<0.001) and control subjects (536.2+/-12.5MUm; p<0.001), with a
concomitantly higher corrected mean IOP (15.6+/-0.7mmHg; p<0.001) than both
baseline (12.5+/-0.8mmHg; p<0.001) and controls (12.3+/-0.5mmHg; p<0.001),
without correlation with auxological and biochemical parameters. CONCLUSIONS:
12months of GH treatment in children with GHD, regardless of auxological and
biochemical data, affect CCT and IOP. Our findings suggest careful ocular
evaluation in these patients to prevent undesirable side effects during the
follow-up.
PMID- 24930623
TI - Disease-free survival as an end-point in the treatment of solid tumours-
perspectives from clinical trials and clinical practice.
AB - Disease-free survival (DFS) is an end-point for an increasing number of clinical
trials in adjuvant and curative intent cancer treatment informing both regulatory
bodies and clinical practice. DFS is seen both as a surrogate end-point and as an
end-point in itself in clinical trials. Understanding the history of DFS, and
some of the assumptions, limitations, and vulnerabilities for studies designed
with this primary end-point are required. This commentary reviews recent drug
approvals for anti-cancer agents in solid tumours in the adjuvant and curative
settings, and considers the meaning of DFS from the perspectives of clinical
trials and clinical practice.
PMID- 24930626
TI - Decreased toxicity with 2 weeks on and 1 week off schedule of sunitinib in
metastatic renal cell carcinoma: is it a mirage or an oasis?
PMID- 24930624
TI - Cardiovascular events among 1090 cancer patients treated with sunitinib,
interferon, or placebo: a comprehensive adjudicated database analysis
demonstrating clinically meaningful reversibility of cardiac events.
AB - PURPOSE: To define cardiovascular (CV) risk and reversibility of cardiac events
in patients who received sunitinib versus comparator treatment (interferon-alfa
or placebo). PATIENTS AND METHODS: We performed a retrospective adjudication of
comprehensive CV adverse events (AEs) from two phase 3 trials. Components of the
comprehensive CV AE end-point comprised hypertension, symptomatic and
asymptomatic left ventricular ejection fraction decreases (SD-LVEF; AD-LVEF) and
extent of reversibility, heart-failure symptoms, thromboembolic events,
dysrhythmia and CV death. Three cardiologists and one oncologist, blinded to
treatment allocation, adjudicated suspected CV AEs in the pooled trial database
(N=1090). RESULTS: Incidence rates (IR) for sunitinib versus Interferon-alfa (IFN
alpha)/placebo were hypertension: 6.9 versus 2.6 (hazard ratio (HR), 3.1; 95%
confidence interval (CI), 2.4-4.0); SD-LVEF: 0.4 versus 0.2 (HR, 2.5; 95% CI, 1.0
6.2); AD-LVEF: 1.1 versus 0.8 (HR, 2.1; 95% CI, 1.3-3.4); and composite CV AE end
point: 10.1 versus 4.8 (HR, 2.5; 95% CI, 2.0-3.1), however reversibility, not
previously quantified, was found to be clinically meaningful. CONCLUSIONS:
Hypertension and SD-LVEF/AD-LVEF were significantly higher with sunitinib versus
IFN-alpha/placebo. Among patients who experienced a cardiac event, symptomatic
and asymptomatic instances of decreased cardiac dysfunction were adjudicated as
reversible in 47 of 83 (56%) and 17 of 30 (57%), respectively. Among sunitinib
treated patients, many were able to resume sunitinib dosing following resolution
of events, a finding that is important for clinical care. In comparator groups,
symptomatic and asymptomatic instances were adjudicated as reversible in 4 of 6
(66.7%) and 11 of 21 (52%), respectively. Thromboembolic, dysrhythmic and/or CV
deaths were not significantly higher in sunitinib-treated patients.
PMID- 24930625
TI - A phase 2 randomised study of ramucirumab (IMC-1121B) with or without dacarbazine
in patients with metastatic melanoma.
AB - BACKGROUND: To evaluate the efficacy and safety of ramucirumab (IMC-1121B;
LY3009806), a fully human monoclonal antibody targeting the vascular endothelial
growth factor receptor-2, alone and in combination with dacarbazine in
chemotherapy-naive patients with metastatic melanoma (MM). METHODS: Eligible
patients received ramucirumab (10mg/kg) + dacarbazine (1000 mg/m(2)) (Arm A) or
ramucirumab only (10mg/kg) (Arm B) every 3 weeks. The primary end-point was
progression-free survival (PFS); secondary end-points included overall survival
(OS), overall response and safety. FINDINGS: Of 106 randomised patients, 102
received study treatment (Arm A, N=52; Arm B, N=50). Baseline characteristics
were similar in both arms. Median PFS was 2.6 months (Arm A) and 1.7 months (Arm
B); median 6-month PFS rates were 30.7% and 17.9% and 12-month PFS rates were
23.7% and 15.6%, respectively. In Arm A, 9 (17.3%) patients had partial response
(PR) and 19 (36.5%), stable disease (SD); PR and SD in Arm B were 2 (4.0%) and 21
(42.0%), respectively. Median OS was 8.7 months in Arm A and 11.1 months in Arm
B. Patients in both arms tolerated the treatment with limited Grade 3/4
toxicities. INTERPRETATION: Ramucirumab alone or in combination with dacarbazine
was associated with an acceptable safety profile in patients with MM. Although
the study was not powered for comparison between treatment arms, PFS appeared
greater with combination therapy. Sustained disease control was observed on both
study arm.
PMID- 24930627
TI - Analgesic efficacy and safety of single-dose tramadol and non-steroidal anti
inflammatory drugs in operations on the third molars: a systematic review and
meta-analysis.
AB - The aim of this systematic review and meta-analysis was to evaluate published
randomised, double-blind, clinical trials to compare the analgesic efficacy and
safety of tramadol with that of non-steroidal anti-inflammatory drugs (NSAID) in
operations on the third molars. We identified eligible reports from searches of
PubMed, MedLine, the Cochrane Library, Oxford Pain Relief database, Imbiomed, and
Google Scholar. The full text of studies that met our minimum requirements were
evaluated using inclusion and exclusion criteria with the Oxford Quality Scale.
Those with a Score >= 3 in this scale were included and their data were extracted
and analysed. Absolute increase in risk, the number needed to harm, odds ratio
and 95% CI were calculated using Risk Reduction Calculator software. Each meta
analysis was made with the help of the Mantel-Haenszel random effects model,
estimates of risk (odds ratio (OR)) and 95% CI were calculated using the Review
Manager 5.2. from the Cochrane Library. A significant risk was assumed when the
lower limit of the 95%CI was greater than 1. Probabilities of less than 0.05 were
accepted as significant. The results showed that tramadol had less analgesic
efficacy and an increased risk of adverse effects compared with NSAID. In
conclusion, a single dose of tramadol was not as effective or as safe as NSAID
for the relief of pain after operations on the third molars.
PMID- 24930628
TI - Effect of genotype, gender and age on sheep meat quality and a case study
illustrating integration of knowledge.
AB - Subtle breed differences exist for meat quality traits and the Merino does stand
out, although many comparisons are confounded by production site or lack of
processing intervention. Despite this, the Merino does seem to have a propensity
to produce meat with a higher pH and reduced colour stability under extended
ageing. Use of the Merino in a crossbreeding system not only provides benefits
from hybrid vigour, but also overcomes the meat quality constraints of pure
Merinos. Genetic evaluation for lamb production has enabled impressive genetic
gains, but an overemphasis on lean meat production has had to be addressed to
counter adverse effects on meat quality traits like eating quality. In this
regard, the development of genomic selection has provided a methodology for
accurate prediction of genetic merit and applying balanced breeding objectives.
The potential for negative meat quality effects has stemmed adoption of non
castration approaches, but ensuring that lamb is young when slaughtered does
provide brand integrity.
PMID- 24930629
TI - B7 costimulation and intracellular indoleamine 2,3-dioxygenase expression in
umbilical cord blood and adult peripheral blood.
AB - Alterations in the expression of B7 costimulatory molecules and their receptors,
as well as differences in the tryptophan (TRP) catabolic pathway, may influence
immunological reactivity of umbilical cord blood (UCB) compared with adult
peripheral blood (APB) T lymphocytes. We determined the frequency of activated
(CD11b(+)) monocytes expressing B7-1, B7-2, B7-H1, and B7-H2, and that of T cells
and CD4(+) T helper cells expressing CD28, cytotoxic T lymphocyte antigen 4 (CTLA
4), programmed death-1 receptor, and inducible costimulator of T cells in UCB and
APB samples using flow cytometry. We also examined the intracellular expression
of indoleamine 2,3-dioxygenase (IDO) applying flow cytometry and plasma levels of
TRP, kynurenine (KYN), and kynurenic acid using high-performance liquid
chromatography. The level of CTLA-4 expression on CD4 cells was higher in UCB
compared with in APB, indicating that the possibility of CD28-mediated
costimulation may be decreased. The level of the corresponding costimulator
molecule, B7-2, was also elevated. Therefore, this inhibitory relation may
function to a higher extent in UCB than in APB. The plasma KYN to TRP (K/T) ratio
was 2-fold higher in UCB compared with APB. However, the capacity of UCB
monocytes to produce IDO compared with APB monocytes was lower, and reverse
signaling via B7-2 in UCB monocytes was found to be immature, which suggests that
the observed increase in K/T ratio may be due to placental, rather than fetal,
overexpression of IDO in competent cells. These factors may all contribute to the
previously observed reduced reactivity of UCB T lymphocytes compared to APB T
cells.
PMID- 24930631
TI - A continental scale trophic cascade from wolves through coyotes to foxes.
AB - Top-down processes, via the direct and indirect effects of interspecific
competitive killing (no consumption of the kill) or intraguild predation
(consumption of the kill), can potentially influence the spatial distribution of
terrestrial predators, but few studies have demonstrated the phenomenon at a
continental scale. For example, in North America, grey wolves Canis lupus are
known to kill coyotes Canis latrans, and coyotes, in turn, may kill foxes Vulpes
spp., but the spatial effects of these competitive interactions at large scales
are unknown. Here, we analyse fur return data across eight jurisdictions in North
America to test whether the presence or absence of wolves has caused a continent
wide shift in coyote and red fox Vulpes vulpes density. Our results support the
existence of a continental scale cascade whereby coyotes outnumber red foxes in
areas where wolves have been extirpated by humans, whereas red foxes outnumber
coyotes in areas where wolves are present. However, for a distance of up to 200
km on the edge of wolf distribution, there is a transition zone where the effects
of top-down control are weakened, possibly due to the rapid dispersal and
reinvasion capabilities of coyotes into areas where wolves are sporadically
distributed or at low densities. Our results have implications for understanding
how the restoration of wolf populations across North America could potentially
affect co-occurring predators and prey. We conclude that large carnivores may
need to occupy large continuous areas to facilitate among-carnivore cascades and
that studies of small areas may not be indicative of the effects of top-down
mesopredator control.
PMID- 24930630
TI - Lower urinary tract symptoms, benign prostatic hyperplasia/benign prostatic
enlargement and erectile dysfunction: are these conditions related to vascular
dysfunction?
AB - Although the pathogenesis of lower urinary tract symptoms, benign prostatic
hyperplasia/benign prostatic enlargement and erectile dysfunction is poorly
understood and thought to be multifactorial, it has been traditionally recognized
that these conditions increase with age. There is increasing evidence that there
is an association between cardiovascular disease and lower urinary tract symptoms
as well as benign prostatic hyperplasia/benign prostatic enlargement and erectile
dysfunction in elderly patients. Age might activate systemic vascular risk
factors, resulting in disturbed blood flow. Hypertension, diabetes,
hyperlipidemia and atherosclerosis are also linked to the etiology of lower
urinary tract symptoms, benign prostatic hyperplasia/benign prostatic enlargement
and erectile dysfunction. In the present review, we discuss the relationship
between decreased pelvic blood flow and lower urinary tract symptoms, benign
prostatic hyperplasia/benign prostatic enlargement and erectile dysfunction.
Furthermore, we suggest possible common mechanisms underlining these urological
conditions.
PMID- 24930633
TI - Cloning of the Arabidopsis rwm1 gene for resistance to Watermelon mosaic virus
points to a new function for natural virus resistance genes.
AB - Arabidopsis thaliana represents a valuable and efficient model to understand
mechanisms underlying plant susceptibility to viral diseases. Here, we describe
the identification and molecular cloning of a new gene responsible for recessive
resistance to several isolates of Watermelon mosaic virus (WMV, genus Potyvirus)
in the Arabidopsis Cvi-0 accession. rwm1 acts at an early stage of infection by
impairing viral accumulation in initially infected leaf tissues. Map-based
cloning delimited rwm1 on chromosome 1 in a 114-kb region containing 30 annotated
genes. Positional and functional candidate gene analysis suggested that rwm1
encodes cPGK2 (At1g56190), an evolutionary conserved nucleus-encoded chloroplast
phosphoglycerate kinase with a key role in cell metabolism. Comparative sequence
analysis indicates that a single amino acid substitution (S78G) in the N-terminal
domain of cPGK2 is involved in rwm1-mediated resistance. This mutation may have
functional consequences because it targets a highly conserved residue, affects a
putative phosphorylation site and occurs within a predicted nuclear localization
signal. Transgenic complementation in Arabidopsis together with virus-induced
gene silencing in Nicotiana benthamiana confirmed that cPGK2 corresponds to rwm1
and that the protein is required for efficient WMV infection. This work uncovers
new insight into natural plant resistance mechanisms that may provide interesting
opportunities for the genetic control of plant virus diseases.
PMID- 24930634
TI - Intramedullary craniovertebral junction tuberculoma: An uncommon location of a
common disease.
AB - Central nervous system involvement is observed in no more than 10% of patients
with systemic tuberculosis. Although CNS tuberculosis is not rare in endemic
countries, such as India, intramedullary tuberculosis is not commonly reported.
In this study, we report a case of a 40-year-old female who presented with a six
year history of insidious onset, gradually progressive, asymmetric quadriparesis.
She was diagnosed with intramedullary tuberculoma at the craniovertebral junction
and showed significant clinico-radiological improvement with medical management
alone. To the best of our knowledge, this report describes the first case of
intramedullary tuberculoma at the craniovertebral junction to be reported. With
the increased availability of MRI in developing countries, it is now possible for
clinicians to diagnose this condition without performing a biopsy. It is
important for the clinicians in developed countries to be highly suspicious of
intramedullary tuberculoma, as there has been a resurgence of CNS tuberculosis
due to the emergence of the HIV pandemic.
PMID- 24930635
TI - Novel protocol including liver biopsy to identify and treat CD8+ T-cell
predominant acute hepatitis and liver failure.
AB - In the majority of children with ALF, the etiology is unknown and liver
transplantation is often needed for survival. A patient case prompted us to
consider that immune dysregulation may be the cause of indeterminate acute
hepatitis and liver failure in children. Our study includes nine pediatric
patients treated under a multidisciplinary clinical protocol to identify and
treat immune-mediated acute liver injury. Patients with evidence of inflammation
and no active infection on biopsy received treatment with intravenous immune
globulin and methylprednisolone. Seven patients had at least one positive immune
marker before or after treatment. All patients had a CD8+ T-cell predominant
liver injury that completely or partially responded to immune therapy. Five of
the nine patients recovered liver function and did not require liver
transplantation. Three of these patients subsequently developed bone marrow
failure and were treated with either immunosuppression or stem cell transplant.
This series highlights the importance of this tissue-based approach to diagnosis
and treatment that may improve transplant-free survival. Further research is
necessary to better characterize the immune injury and to predict the subset of
patients at risk for bone marrow failure who may benefit from earlier and
stronger immunosuppressive therapy.
PMID- 24930632
TI - MT-7716, a potent NOP receptor agonist, preferentially reduces ethanol seeking
and reinforcement in post-dependent rats.
AB - Dysregulation of the nociceptin (N/OFQ) system has been implicated in alcohol
abuse and alcoholism, and growing evidence suggests that targeting this system
may be beneficial for treating alcoholism. To further explore the treatment
target potential of the N/OFQ system, the novel non-peptide, small-molecule N/OFQ
(NOP) agonist MT-7716, (R)-2-{3-[1-(Acenaphthen-1-yl)piperidin-4-yl]-2-oxo-2,3
dihydro-1H-benzimidazol-1-yl}-N-methylacetamide hydrochloride hydrate, was
examined for its effects on ethanol self-administration and stress-induced
reinstatement of alcohol seeking in non-dependent and post-dependent rats. Male
Wistar rats were trained to self-administer ethanol and then made ethanol
dependent via repeated intragastric ethanol intubation. The effects of MT-7716
(0.3 and 1 mg/kg; PO) on alcohol self-administration were determined 2 weeks
following dependence induction, when baseline self-administration was restored.
Effects of MT-7716 on stress-induced reinstatement were tested in separate
cohorts of rats, 1 and 3 weeks post-withdrawal. MT-7716 reduced alcohol self
administration and stress-induced reinstatement of alcohol seeking in post
dependent rats, but was ineffective in non-dependent animals. Moreover, the
prevention of stress-induced reinstatement by MT-7716 was more pronounced at 3
weeks post-dependence. The results further confirm treatment target potential for
the NOP receptor and identify non-peptide NOP agonists as promising potential
treatment drugs for alcohol abuse and relapse prevention. The findings also
support dysregulation of the N/OFQ system as a factor in alcohol seeking and
reinforcement.
PMID- 24930636
TI - Defining acceptable epidemiology ranges in donor populations based on the
contamination risk of finished plasma-derived products.
AB - BACKGROUND: For a given plasma-derived product, the risk of final product
contamination by hepatitis B virus, hepatitis C virus and human immunodeficiency
virus depends upon the epidemiology in the donor population, the virus load in a
donation, the product yield and the effective virus reduction capacity in
manufacturing. STUDY DESIGN AND METHODS: A Monte Carlo simulation model was
developed to estimate the risk of virus contamination of a final product
resulting from virus contamination of plasma pools for fractionation. The model
was run for both source and recovered plasma at various incidence rates for the
three viruses to determine virus loads in minipools and fractionation pools
resulting from donations with virus levels below test sensitivities. Together
with the virus reduction capacity and yield of a theoretical worst case plasma
derived product, the contamination risk in a final vial was calculated. RESULTS:
Acceptable upper-bound centre-level incidence rates in the donor population (per
donor centre) result in final products with very high margins of virus safety;
the largest determinant of these 'Process Limits' is the virus reduction capacity
of the manufacturing process. Short donation intervals and long inventory hold
periods for source plasma compensates the lower incidence rates typically
observed in recovered plasma donors. CONCLUSIONS: The model calculates process
limits for epidemiological data at collection centres based on an appropriate
margin of virus safety for final products. The model also takes into
consideration the impact of different donor/donation management systems for
source and recovered plasma on the number of low viraemic donations entering the
plasma pool for fractionation.
PMID- 24930637
TI - Trapping and release of giant unilamellar vesicles in microfluidic wells.
AB - We describe the trapping and release of giant unilamellar vesicles (GUVs) in a
thin and wide microfluidic channel, as they cross indentations etched in the
channel ceiling. This trapping results from the reduction of the membrane elastic
energy, which is stored in the GUV as it squeezes to enter into the thin channel.
We demonstrate that GUVs whose diameter is slightly larger than the channel
height can be trapped and that they can be untrapped by flowing the outer fluid
beyond a critical velocity. GUVs smaller than the channel height flow undisturbed
while those much larger cannot squeeze into the thin regions. Within the range
that allows trapping, larger GUVs are anchored more strongly than smaller GUVs.
The ability to trap vesicles provides optical access to the GUVs for extended
periods of time; this allows the observation of recirculation flows on the
surface of the GUVs, in the forward direction near the mid-plane of the channel
and in the reverse direction elsewhere. We also obtain the shape of GUVs under
different flow conditions through confocal microscopy. This geometric information
is used to derive a mechanical model of the force balance that equates the
viscous effects from the outer flow with the elastic effects based on the
variation of the membrane stretching energy. This model yields good agreement
with the experimental data when values of the stretching moduli are taken from
the scientific literature. This microfluidic approach provides a new way of
storing a large number of GUVs at specific locations, with or without the
presence of an outer flow. As such, it constitutes a high-throughput alternative
to micropipette manipulation of individual GUVs for chemical or biological
applications.
PMID- 24930638
TI - Evaluating the contributions of change in investment and change in efficiency to
age-related declines in male and female reproduction.
AB - It is commonly observed that reproduction decreases with age, often at a
different rate in males and females. This phenomenon is generally interpreted as
senescence. Such reproductive declines may stem from at least two sources: a
change in resource allocation and a decline in the ability to convert resources
into offspring. This distinction is important because a shift in resource
allocation may be favoured by selection, while reduced efficiency is purely
deleterious. We propose a way to distinguish whether a decline in reproduction is
purely deleterious based on estimating reproductive investment, output, and their
ratio, efficiency. We apply this approach to the hermaphroditic snail Physa acuta
and demonstrate that both male and female functions decline with age. The male
decline largely stems from reduced investment into male activity while female
decline is due to increased reproductive inefficiency. This shows that age
related declines in reproduction can occur for a number of different reasons, a
distinction that is usually masked by the general term 'senescence'. This
approach could be applied to any species to evaluate age-related reproductive
decline. We advocate that future studies measure age trajectories of reproductive
investment and output to explore the potential processes hidden behind the
observation that reproduction declines with age.
PMID- 24930640
TI - Environmentally benign technology for efficient warm-white light emission.
AB - Nowadays efficient down conversion for white light emission is mainly based on
rare-earth doped phosphors or cadmium-containing quantum dots. Although they
exhibit high luminescence efficiency, the rare-earth mining and cadmium pollution
have so far led to extremely high environmental cost, which conflicts the
original purpose of pursuing efficient lighting. Here, we explore a new strategy
to achieve efficient luminescence conversion based on polymer-decorated
nanoparticles. The ZnO and Mn(2+) doped ZnS nanoparticles are encapsulated by
poly(9,9-di-n- hexylfluorenyl-2,7-diyl). The resultant core-shell nanocomposites
then encompass three UV-to-visible luminescence conversion routes for photon
emissions at blue, green, and orange colors, respectively. As a result, the color
temperature is widely tunable (2100 K ~ 6000 K), so candle light or pure white
light can be generated. The quantum yield up to 91% could also be achieved. Such
rare-earth-element free nanocomposites give the bright perspectives for energy
saving, healthy, and environmentally benign lighting.
PMID- 24930639
TI - Metronidazole and amoxicillin as adjuncts to scaling and root planing for the
treatment of type 2 diabetic subjects with periodontitis: 1-year outcomes of a
randomized placebo-controlled clinical trial.
AB - AIM: To evaluate the clinical and microbiological effects of the use of
metronidazole (MTZ) + amoxicillin (AMX) as adjuncts to scaling and root planing
(SRP) for the treatment of chronic periodontitis (ChP) in type 2 diabetic
subjects. MATERIAL AND METHODS: Fifty-eight type 2 diabetic subjects (n =
29/group) with generalized ChP were randomly assigned to receive SRP alone or
with MTZ [400 mg/thrice a day (TID)]+AMX (500 mg/TID) for 14 days. Subgingival
biofilm samples were analyzed by qPCR for the presence of seven periodontal
pathogens. Subjects were monitored at baseline, 3, 6 and 12 months post
therapies. RESULTS: The group receiving SRP+MTZ+AMX presented greater mean
probing depth (PD) reduction and clinical attachment gain, a lower number of
sites with PD >=5 mm (primary outcome variable) and a reduced number of subjects
with >=9 of these residual pockets than the control group at 1-year post-therapy
(p < 0.05). The antibiotic-treated group also presented reduced levels and
greater decreases of the three red complex species, Eubacterium nodatum and
Prevotella intermedia, compared to the control group at 1 year (p < 0.05).
CONCLUSIONS: The adjunctive use of MTZ+AMX significantly improved the clinical
and microbiological outcomes of SRP in the treatment of type 2 diabetic subjects
with ChP.
PMID- 24930641
TI - Efficacy and safety of proton pump inhibitors (PPIs) plus rebamipide for
endoscopic submucosal dissection-induced ulcers: a meta-analysis.
AB - OBJECTIVE: To compare the efficacy of proton pump inhibitors (PPIs) with
rebamipide versus PPIs alone for the treatment of ulcers after endoscopic
submucosal dissection (ESD). METHODS: PubMed, Web of Science, Medline, Embase,
the Cochrane Central Register of Controlled Trials and China Naitonal Knowledge
Infrastructure were searched up to the end of October 2013 in order to identify
all randomized controlled trials reporting the effects of PPIs plus rebamipide on
healing ulcers after ESD. The outcome measurement was complete ulcer healing.
RESULTS: A total of six studies involving 724 patients were included. The pooled
data suggested a significantly higher rate of ulcer healing after endoscopic
therapy among patients treated with PPIs plus rebamipide than among those treated
with PPIs alone [odds ratio (OR)=2.40, 95% confidence interval (CI): 1.68-3.44].
The subgroup analysis showed PPI plus rebamipide therapy to be more effective in
healing ESD-induced ulcers than treatment with PPIs alone after both four
(OR=2.22, 95%CI: 1.53-3.24) and eight weeks of treatment (OR=3.19, 95%CI: 1.22
8.31). In addition, the combination therapy was found to be significantly more
effective than the use of PPIs alone for all ESD ulcers greater than 20 mm in
size (OR=4.77, 95%CI: 2.22-10.26). There were no significant differences between
the treatment groups with regard to ulcer location (low, middle or upper stomach)
or the presence of absence of H. pylori infection. No serious adverse events were
observed in either group. CONCLUSION: The results of this meta-analysis suggest
that treatment with PPIs plus rebamipide is superior to PPI monotherapy for
healing ESD-induced ulcers over four weeks, particularly large ulcers. However,
more well-designed trials are needed to confirm these findings.
PMID- 24930642
TI - Investigation of ornithine carbamoyltransferase as a biomarker of liver
cirrhosis.
AB - OBJECTIVE: Ornithine carbamoyltransferase (OCT) is a liver-specific mitochondrial
matrix enzyme and potential biomarker of liver fibrosis. This study investigated
the OCT levels in patients with chronic liver disease with or without cirrhosis
in order to assess the usefulness of OCT as a biomarker of cirrhosis. METHODS:
The subjects included 440 Japanese patients with chronic liver disease and 80
control subjects. The patients were divided into two groups, those with and
without cirrhosis, both of which were further stratified into high-OCT and low
OCT subgroups. RESULTS: In the non-cirrhosis group, the patients with non
alcoholic steatohepatitis (NASH), alcoholic liver disease, primary biliary
cirrhosis and primary sclerosing cholangitis (PSC) comprised the high-OCT
subgroup, while the patients with hepatitis B, hepatitis C and autoimmune
hepatitis formed the low-OCT subgroup. There were significant differences in the
OCT levels, OCT/aspartate aminotransferase ratios and OCT/alanine transaminase
(ALT) ratios between these two subgroups (p<0.001). The same findings were
observed in the cirrhosis group. The OCT levels were markedly higher in the
cirrhosis group than in the non-cirrhosis group, particularly among the patients
with PSC (p<0.001). The most useful biomarker for predicting cirrhosis was the
OCT/ALT ratio in the patients with hepatitis C and NASH and the OCT level in
patients with PSC. CONCLUSION: The OCT level differs among patients with
different chronic liver diseases. The role of OCT should be further evaluated in
order to improve our understanding of the pathogenesis of these diseases. The OCT
level is a useful surrogate marker of cirrhosis, particularly in PSC patients.
PMID- 24930643
TI - Relationship between fatty liver disease and intracranial main artery stenosis.
AB - OBJECTIVE: The aim of this study was to evaluate the relationship between fatty
liver disease (FLD) and cerebrovascular disease. METHODS: We conducted a cross
sectional study of 76 consecutive healthy subjects who participated in a two-day
hospitalized health checkup program. The maximal intima-media thickness (IMT) of
the common carotid artery and bifurcation of the carotid artery as well as the
plaque score (PS) were evaluated on carotid artery ultrasonography. Fluid
attenuated inversion recovery brain MRI was used to determine the presence of
asymptomatic cerebral lesions and periventricular hyperintensity. Brain MR
angiography was used to evaluate the degree of intracranial main artery stenosis
(ICAS). FLD was diagnosed based on the ultrasonographic pattern. RESULTS: The PS
and IMT did not differ between the FLD (n=24) and non-FLD (n=52) groups. There
was a tendency toward a higher percentage of multiple lacunar lesions in the non
FLD group than in the FLD group. ICAS was significantly more frequent in subjects
in the FLD group than those in the non-FLD group (25.0% vs. 5.8%). A logistic
regression analysis revealed that age and FLD were significant determinants of
ICAS. CONCLUSION: Our study findings suggest a significant association between
ICAS and FLD.
PMID- 24930644
TI - Propensity score-matched lesion-based comparison of mid-term angiographic
outcomes of TAXUS Liberte with Cypher Bx Velocity stents for de novo native
coronary stenosis and in patients with diabetes.
AB - OBJECTIVE: We sought to perform a propensity score-matched lesion-based
comparison of mid-term angiographic outcomes of sirolimus- (SES, Cypher Bx
Velocity) and paclitaxel- (PES, TAXUS Liberte, the 2nd-generation TAXUS) eluting
stents to treat de novo coronary stenosis and, particularly, in patients with
diabetes mellitus (DM) in a daily practice environment. METHODS: The present
study was a non-randomized, retrospective, lesion-based, single center study that
included 1,287 de novo native coronary stenosis cases after successful SES or PES
placement between February 2007 and April 2011. The primary endpoint was
angiographic-based binary in-stent restenosis (% diameter stenosis >50 at
secondary angiogram) within 550 days of placement. A propensity score-matched
analysis was used to adjust the baselines. RESULTS: Among 360 baseline-adjusted
angiographic lesions followed up in each arm, the incidence of the primary
endpoint in the PES group (11.7%, follow-up period: 350+/-76 days) was not
significantly different from that in the SES group (10.3%, p=0.645, 354+/-81
days, p=0.912). PES was not associated with the primary endpoint by logistic
regression analysis (odds ratio: 1.15, 95% confidence interval: 0.68-1.93,
p=0.605). In the DM specific sub-analysis, the primary endpoint in the PES group
(19.6%) was not significantly different from that in the SES group (12.8%,
p=0.105) in 148 baseline-adjusted lesions in each arm. CONCLUSION: The mid-term
angiographic outcomes after TAXUS Liberte placement for all-comer de novo native
coronary stenosis and in patients with DM were not significantly different from
those of SES in a Japanese daily practice environment.
PMID- 24930645
TI - The prevalence and risk factors of microalbuminuria in hypertensive patients
under current medical treatment.
AB - OBJECTIVE: A link between urinary albumin excretion and an increased incidence of
cardiovascular mortality has already been demonstrated. However, the reported
prevalence of microalbuminuria (MAU) in patients with hypertension is highly
variable. We therefore aimed to conduct a cross-sectional multicenter study to
investigate the prevalence of urinary albumin excretion in treated hypertensive
patients in our current practice. METHODS: A total of 1,258 hypertensive patients
were enrolled in this study. Patients with macroalbuminuria were excluded. The
concentrations of urinary microalbumin and creatinine were measured. Urinary
albumin excretion was expressed as the ratio of albumin to creatinine excretion.
RESULTS: The mean systolic and diastolic blood pressures were 130.9+/-12.7 mmHg
and 74.7+/-8.9 mmHg, respectively. The overall prevalence of MAU was 42.8%, and
it was observed in 35.8% of patients treated with a single medication. Patients
with polyvascular disease exhibited a higher prevalence of MAU compared with
patients with monovascular disease. The factors related to the presence of MAU
included the estimated glomerular filtration rate (eGFR), systolic blood
pressure, and glycated hemoglobin (HbA1c) level (odds ratios [ORs] of 0.988,
1.026, and 1.371, respectively). CONCLUSION: The present study showed that the
prevalence of MAU among hypertensive patients was 42.8%, even in patients whose
blood pressure was relatively well controlled. Additionally, the eGFR, systolic
blood pressure, and HbA1c level are risk factors for the development of MAU.
These findings suggest that a substantial number of hypertensive patients have
MAU and highlight the importance of strictly controlling these factors to improve
patient prognosis.
PMID- 24930646
TI - Type 2 diabetes mellitus complicated by hypertension in Japanese patients:
switching treatment from high-dose angiotensin II receptor blockers to losartan
plus hydrochlorothiazide.
AB - OBJECTIVE: The aim of the present study was to assess changes in blood pressure
and metabolism after switching treatment from maximum-dose angiotensin II
receptor blocker (ARB) therapy to a mixture of conventional-dose ARBs and low
dose diuretics. METHODS: This study was conducted among 43 Japanese patients with
type 2 diabetes complicated with hypertension in whom continuous treatment with
high doses of ARBs did not reduce their blood pressure to the target level (a
systolic blood pressure of 130 mmHg or lower and a diastolic blood pressure of 80
mmHg or lower). The antihypertensive and metabolic effects of switching from high
dose ARBs to a combination of losartan (50 mg/day) plus hydrochlorothiazide (12.5
mg/day) were examined. The primary endpoint was a decrease in blood pressure at
24 weeks. RESULTS: The combination treatment significantly decreased both
systolic (baseline: 147+/-11; 24 weeks: 133+/-13 mmHg) and diastolic (baseline:
79+/-8; 24 weeks: 72+/-10 mmHg) blood pressure. This treatment was also
associated with a significant increase in the HbA1c level (baseline: 7.0+/-0.8%;
24 weeks: 7.2+/-0.9%) and a significant decrease in the urinary albumin
creatinine ratio (baseline: 280+/-590; 24 weeks: 110+/-253 mg/g creatinine).
However, the combination treatment had no effect on lipid metabolism or the serum
uric acid or potassium levels. CONCLUSION: In patients with diabetes, sodium
reabsorption in the renal tubules is enhanced, which leads to the development of
salt-sensitive hypertension. Therefore, the concurrent use of a diuretic that
promotes sodium excretion can increase the antihypertensive effects of other
drugs. This study demonstrated that switching from high-dose ARB treatment to
losartan/hydrochlorothiazide combination therapy results in significant control
of blood pressure.
PMID- 24930647
TI - Characteristics of tuberculosis in patients with rheumatoid arthritis: a
retrospective single-center study.
AB - OBJECTIVE: The objective of this study was to assess the characteristics of
hospitalized patients suffering from tuberculosis with rheumatoid arthritis (RA),
focusing specifically on those treated without anti-tumor necrosis factor (TNF)
therapy. METHODS: We conducted a retrospective review to assess the
characteristics of 1,022 hospitalized Japanese patients suffering from
tuberculosis with and without RA between 2002 and 2011. RESULTS: Compared with
the 995 non-RA patients with tuberculosis, the 24 RA patients with tuberculosis
treated without anti-TNF therapy were older and predominantly female. They less
frequently had a history of smoking, with a higher rate of underlying respiratory
diseases, an impaired performance status and side effects. The three RA patients
receiving anti-TNF therapy had extrapulmonary tuberculosis; however, the rate of
extrapulmonary tuberculosis in the other RA patients treated without anti-TNF
therapy did not differ from that observed in the non-RA patients. Five patients
died during hospitalization. The in-hospital mortality of the RA patients did not
differ significantly from that of the non-RA patients. Independent factors
contributing to in-hospital mortality did not include RA. CONCLUSION: In this
study, the RA patients treated without anti-TNF therapy did not differ from the
non-RA patients in terms of the rate of extrapulmonary tuberculosis or bilateral
pulmonary lesions, although they did exhibit a higher frequency of side effects
of antituberculosis treatment. RA was not found to be an independent factor
contributing to in-hospital mortality.
PMID- 24930648
TI - Clinical features of pulmonary aspergillosis associated with interstitial
pneumonia.
AB - OBJECTIVE: We retrospectively investigated the clinical features of pulmonary
aspergillosis associated with interstitial pneumonia. METHODS: We reviewed the
medical records of all patients treated for interstitial pneumonia with or
without pulmonary aspergillosis at our institution between April 2006 and August
2012 and evaluated the clinical features as well as risk and prognostic factors
for pulmonary aspergillosis associated with interstitial pneumonia. RESULTS:
Among 539 patients with interstitial pneumonia, 15 who suffered from pulmonary
aspergillosis were identified. The median age was 69.2+/-7.0 years, and fourteen
patients were men. The subtypes of pulmonary aspergillosis were chronic pulmonary
aspergillosis (n=14) and invasive pulmonary aspergillosis (n=1). The forms of
interstitial pneumonia included idiopathic pulmonary fibrosis (n=9), rheumatoid
arthritis-related interstitial pneumonia (n=4) and pleuroparenchymal
fibroelastosis (n=2). The underlying conditions were emphysema (n=9) and a
history of oral corticosteroid and/or immunosuppressive use (n=4). Home oxygen
therapy (HOT) was administered in 11 patients. Following the diagnosis of
pulmonary aspergillosis, all patients were treated with antifungal drugs. Ten
patients (66.6%) died. A comparison of the interstitial pneumonia patients with
and without pulmonary aspergillosis showed that the presence of emphysema, use of
HOT and death were significantly associated with pulmonary aspergillosis.
CONCLUSION: Pulmonary aspergillosis is one of the major complications of
interstitial pneumonia and its prognosis is poor. Therefore, providing careful
monitoring and proper treatment is extremely important.
PMID- 24930649
TI - The accuracy and uncertainty of a sheet-type portable monitor as a screening
device to identify obstructive sleep apnea-hypopnea syndrome.
AB - OBJECTIVE: Laboratory-based polysomnography (PSG) is the gold standard for
diagnosing obstructive sleep apnea-hypopnea syndrome (OSAHS), but it is expensive
and requires overnight hospitalization. Recently, a sheet-shaped breath detection
monitor, the SD-101, has been developed, and several reports have so far
demonstrated the screening accuracy of this device. The aim of this study was to
assess the accuracy and the uncertainty of this device. METHODS: A total of 101
suspected OSAHS patients underwent simultaneous examinations with PSG and the SD
101. RESULTS: There was a statistically significant relationship between the
respiratory disturbance index (RDI) by the SD-101 and the apnea-hypopnea index
(AHI) by PSG. At an RDI cutoff of 14 episodes per hour, the sensitivity and
specificity to detect an AHI >=20 episodes per hour were 90.2% and 90.0%,
respectively. To reduce the influence of sleep efficiency, the time in bed (TIB)
obtained from PSG, instead of the total seep time (TST), was used to calculate
the AHI from the PSG data. There was also a statistically significant
relationship between the RDI and AHI for the TIB. Moreover, it was suggested that
arousal index and TIB were likely associated with false-negative and/or false
positive results. CONCLUSION: Although the present study demonstrated a close
relationship between the RDI and the AHI, use of the SD-101 to examine
symptomatic OSAHS patients should be performed with a full understanding of its
incapability to detect the sleep state, including arousal reaction and the
existence of false respiratory events caused by body movements.
PMID- 24930650
TI - The use of oral beclomethasone dipropionate in the treatment of gastrointestinal
graft-versus-host disease: the experience of the Fukuoka blood and marrow
transplantation (BMT) group.
AB - OBJECTIVE: We examined the therapeutic strategies for treating mild
gastrointestinal (GI) graft-versus-host disease (GVHD) using oral beclomethasone
dipropionate (BDP) in 15 Japanese patients based on the donor source. The primary
objective was to determine the efficacy and toxicity of oral BDP combined
with/without low-dose prednisone (PSL). METHODS: Oral BDP was administered with 1
mg/kg/d of PSL in patients undergoing bone marrow transplantation (BMT) or
peripheral blood stem cell transplantation (PBSCT; n=11), and the dose of PSL was
tapered off after 22 days. Oral BDP alone was administered in patients undergoing
cord blood stem cell transplantation (CBSCT; n=4). The primary endpoint was the
rate of treatment success on day 49, as measured according to the improvement or
complete resolution of GI symptoms without additional treatment. The secondary
endpoints included treatment-related toxicity according to the National Cancer
Institute Common Toxicity Criteria version 3.0, the rate of treatment
discontinuation due to toxicity, the rate of relapse of acute GVHD by day 100 and
the incidence of bacterial, fungal or viral infection, including cytomegalovirus
(CMV) antigenemia. RESULTS: Treatment success was achieved in seven of the 11
(64%) patients undergoing BMT or PBSCT and in all four patients (100%) undergoing
CBSCT. Subsequent adverse events included herpes zoster infection, catheter
associated sepsis and CMV enteritis; all affected patients responded well to
treatment. CONCLUSION: The use of a risk-stratified treatment strategy with oral
BDP depending on the stem cell source is effective in patients with mild GI-GVHD.
PMID- 24930651
TI - Clinical review of 24 patients with acute cholecystitis after acute cerebral
infarction.
AB - OBJECTIVE: Acute cholecystitis (AC) after acute cerebral infarction is rare and
has not been fully investigated. Because patients with acute cerebral infarction
often cannot complain of abdominal pain due to loss of consciousness, hemiparesis
and aphasia, delays in diagnosis may increase the severity of the condition. It
is clearly important to identify symptoms, reach a diagnosis and provide
treatment as soon as possible. The purpose of this study was to investigate the
clinical features of AC after acute cerebral infarction. METHODS: Among the 1,682
patients with acute cerebral infarction admitted to our hospital between April
2007 and July 2012, AC after acute cerebral infarction was diagnosed in 24
(1.4%). Data regarding age, sex, past history, fasting period, period from
admission to the onset of cholecystitis, clinical type, severity of
cholecystitis, diffusion-weighted imaging Alberta Stroke Program Early Computed
Tomography Score, National Institutes of Health Stroke Scale (NIHSS) score at
onset and modified Rankin scale at 90 days were investigated. RESULTS: The mean
age of the 24 patients (15 men, 9 women) was 74.2+/-11.9 years (range, 45-90
years). The clinical type was atherothrombosis in five patients, lacunar
infarction in seven patients, cardiac embolism in 10 patients and dissection in
two patients. The past history included atrial fibrillation in 10 (42%) patients,
hypertension in 20 (83%) patients and diabetes in 11 (46%) patients. The mean
duration of fasting was 10.7 days (range, 1-32 days). The mean interval between
the onset of cholecystitis and admission was 8.3 days (range, 0-24 days). The
median NIHSS score at onset of cerebral infarction was 10, and 23 (96%) patients
were bedridden at the onset of cholecystitis. CONCLUSION: AC after acute cerebral
infarction was frequently observed in the patients with severe hemiparesis and
those who were fasted. It is important to identify symptoms, accurately diagnose
the condition and provide treatment as soon as possible in order to achieve early
ambulation and resumption of food intake using a feeding tube.
PMID- 24930652
TI - Respiratory insufficiency with preserved diaphragmatic function in amyotrophic
lateral sclerosis.
AB - OBJECTIVE: We performed a longitudinal study to elucidate the correlation between
respiratory insufficiency and respiratory biomarkers, including diaphragmatic
compound muscle action potential (DCMAP), at the initiation of noninvasive
ventilation (NIV) in patients with amyotrophic lateral sclerosis (ALS). METHODS:
The patients were assessed at least every six months. Additional assessments were
performed at the start of respiratory therapy when the patients met the criteria
for the initiation of NIV. Each assessment consisted of a full neurological
examination, a phrenic nerve conduction study, respiratory function tests, and
nocturnal pulsed oximetry. PATIENTS: We enrolled 43 patients with either definite
or probable ALS as defined by the revised El Escorial criteria. RESULTS: The
patients were divided into two groups according to the timing of the initiation
of respiratory therapy. Seventeen patients (group A) met the criteria for NIV
initiation when their DCMAP remained normal. Twenty-six patients (group B) met
the criteria when their DCMAP decreased below normal limits. Although respiratory
function parameters were significantly worse in group B compared with group A at
NIV initiation, more than 80% of the patients in both groups developed nocturnal
desaturation during sleep. CONCLUSION: DCMAP is not always a reliable indicator
for determining the optimal timing for NIV initiation during the progression of
respiratory insufficiency in ALS. Physicians should be aware of the risk of
respiratory insufficiency during sleep in patients with ALS.
PMID- 24930653
TI - Clinicopathological findings of four cases of pure influenza virus A pneumonia.
AB - OBJECTIVE: The purpose of this study was to perform clinicopathological
evaluations of patients with pure influenza A virus pneumonia. METHODS: We
performed clinicopathological analyses of four cases of pure influenza A virus
pneumonia. Patients Among the four cases, three were caused by the pandemic
(H1N1) 2009 virus. Three patients were analyzed during autopsy, and one underwent
transbronchial lung biopsy. RESULTS: We suggest that the interval between
influenza virus A pneumonia onset and our analysis affected the pathological
findings. Diffuse alveolar damage was observed during the acute phase. After ten
days, organizing pneumonia and marked proliferation of premature type II alveolar
epithelium were observed. Clinically, intra-alveolar hemorrhage was observed in
two patients. Pathologically, hyaline membrane formation and intra-alveolar
hemorrhage were observed in all cases. CONCLUSION: Severe epithelial damage was
determined as the main mechanism of respiratory failure caused by influenza A
virus pneumonia.
PMID- 24930654
TI - An HBV-HIV co-infected patient treated with tenofovir-based therapy who achieved
HBs antigen/antibody seroconversion.
AB - The present report describes a case of a patient with hepatitis B virus (HBV)
human immunodeficiency virus (HIV) co-infection who was treated with tenofovir
disoproxil (TDF)-based highly active antiretroviral therapy (HAART) and who
achieved HBs antigen (Ag)/antibody (Ab) seroconversion. An 18-year-old Japanese
man with HIV and HBV co-infection presented to our hospital. His CD4 count was
decreased, and TDF-based HARRT was started. At 30 months after initiation of
therapy, HBsAg was not detected. At 36 months after initiation of therapy, HBsAb
was detected. We conclude that TDF-based therapy is useful for the management of
patients with HBV and HIV co-infection.
PMID- 24930655
TI - Syncope due to paroxysmal complete atrioventricular block in a patient with
aortic valve stenosis.
AB - A 66-year-old man was admitted due to repeated syncope, and the electrocardiogram
showed complete atrioventricular block (CAVB). He had moderate aortic valve
stenosis (AS) with a severely calcified valve. This case indicates that if
calcification spreads into the cardiac conduction system, it may cause CAVB.
Although CAVB is not typically considered a main cause of syncope in AS patients,
it should nevertheless be considered in the differential diagnosis.
PMID- 24930656
TI - Saxagliptin-induced recurrent acute pancreatitis.
AB - Although dipeptidyl peptidase-4 (DPP-4) inhibitors have been implicated in the
development of acute pancreatitis, the causality of this phenomenon is not well
established. We herein report the case of an 85-year-old woman who presented with
epigastric pain after taking saxagliptin for five months. A high serum lipase
level with characteristic computed tomography findings confirmed the diagnosis of
acute pancreatitis. The patient's symptoms rapidly resolved after admission,
although they recurred when she resumed treatment with saxagliptin for 18 days
after discharge. In the absence of any identifiable causes of pancreatitis and
considering the temporal sequence of events, the saxagliptin therapy was highly
suspected to be the triggering factor. Although drug-induced pancreatitis is
rare, treatment with DPP-4 inhibitors should be included as a potential etiology
of acute pancreatitis.
PMID- 24930657
TI - Hepatic cyst infection in an autosomal dominant polycystic kidney disease patient
diagnosed by right pleural effusion.
AB - A 64-year-old Japanese man with renal dysfunction caused by autosomal dominant
polycystic kidney disease (ADPKD) was admitted to our hospital for evaluation of
right back pain, fever, inflammation, and pleural effusion. Diagnostic
investigations for tuberculous pleuritis were all negative. Although no
radiographic abnormality suggesting hepatic cyst infection was detected by
computed tomography, hepatic cyst drainage demonstrated purulent contents
indicative of cyst infection. Conglutination of the cyst by minocycline 100 mg
was performed five times in addition to drainage. After drainage, the symptoms of
inflammation, right back pain and right pleural effusion subsided. Renal function
and anemia, which had been resistant to darbepoetin treatment, also improved
after the procedure. These results suggested that the infected hepatic cyst was
associated with the patient's symptoms, exacerbation of renal dysfunction and
anemia. The pleural effusion was due to the propagation of inflammation from the
cyst infection. This is the first report of an infected hepatic cyst in an ADPKD
patient presenting with and diagnosed by right pleural effusion.
PMID- 24930658
TI - Pulmonary Mycobacterium fortuitum infection with cervical lymphadenitis in a
patient carrying autoantibodies to interferon-gamma.
AB - A 74-year-old woman was referred to our hospital for an evaluation of
unidentified pneumonia. She gradually developed a high-grade fever with a growing
infiltrative shadow on chest CT and an enlarging bilateral cervical mass. She was
diagnosed with a pulmonary Mycobacterium fortuitum (M. fortuitum) infection with
cervical lymphadenitis based on the results of an open biopsy of the cervical
lymph node. While the patient's clinical condition resolved almost completely
after treatment with multiple antibiotics, neutralizing autoantibodies to
interferon-gamma (IFN-gamma) were identified in her serum. The progression of
disseminated M. fortuitum infection in immunocompetent patients may be affected
by the presence of autoantibodies to IFN-gamma.
PMID- 24930659
TI - Multiple deletions in mitochondrial DNA in a patient with progressive external
ophthalmoplegia, leukoencephalopathy and hypogonadism.
AB - Progressive external ophthalmoplegia (PEO) is one of a number of major types of
mitochondrial disorders. Most sporadic PEO patients have a heteroplasmic large
deletion of mitochondrial DNA (mtDNA) in the mitochondria in skeletal muscles. We
herein analyzed mtDNA deletions using sub-cloning and Sanger sequencing of PCR
products in a 31-year-old Japanese man with multiple symptoms, including PEO,
muscle weakness, hearing loss, leukoencephalopathy and hypogonadism. A large
number of multiple deletions was detected, as well as four kinds of deletion
breakpoints identified in different locations, including m.3347_12322,
m.5818_13964, m.5829_13964 and m.5837_13503.
PMID- 24930660
TI - An autopsy case involving a 12-year history of amyotrophic lateral sclerosis with
CIDP-like polyneuropathy.
AB - Demyelinating polyneuropathy associated with amyotrophic lateral sclerosis (ALS)
is quite rare. We herein present the case of a woman patient with a 12-year
history of chronic inflammatory demyelinating polyneuropathy (CIDP)-like
polyneuropathy who later developed bulbar palsy and respiratory failure. The
autopsy findings revealed neuronal loss in the anterior horn and primary motor
cortex with degeneration of the corticospinal tracts. Diffuse phosphorylated TAR
DNA-binding protein of 43 kDa inclusions were observed in the anterior horn and
cerebral cortices, including the temporal lobe. The final diagnosis was ALS with
CIDP-like polyneuropathy. Compared with other reports of ALS with CIDP-like
polyneuropathy, the present patient was younger and followed a relatively long
clinical course, with no upper motor neuron signs.
PMID- 24930661
TI - Hereditary diffuse leukoencephalopathy with spheroids characterized by spastic
hemiplegia preceding mental impairment.
AB - Hereditary diffuse leukoencephalopathy with spheroids (HDLS) is a young-adult
onset autosomal dominant white matter disease characterized by progressive
cognitive dysfunction. We herein report the case of a 20-year-old woman who
developed spastic hemiplegia. Brain magnetic resonance imaging revealed increased
bilateral T2 signal intensity and bright diffusion-weighted imaging signals with
a low apparent diffusion coefficient within the frontoparietal white matter. The
lesion gradually expanded for over one year. The patient was initially diagnosed
with multiple sclerosis (MS); however, she did not respond to immunosuppressive
therapy. DNA sequencing showed a heterozygous c.2381T>C mutation in colony
stimulating factor 1 receptor. HDLS with a pure motor phenotype is sometimes
difficult to differentiate from MS.
PMID- 24930662
TI - Cerebral infarction associated with accessory middle cerebral arteries: two case
reports.
AB - We herein present two cases of cerebral infarction in the middle cerebral artery
(MCA) territory associated with an accessory middle cerebral artery (AMCA), which
is a rare anomalous vessel arising from the anterior cerebral artery that
coexists with the main trunk of the MCA. Cerebral infarction occurred in both
patients: due to occlusion of the MCA main trunk in one patient and occlusion of
the AMCA in the other patient. These cases suggest the importance of recognizing
an AMCA when interpreting neuroradiological findings in patients with MCA
ischemic stroke, especially in the hyperacute phase.
PMID- 24930663
TI - Concurrent subcutaneous candidal abscesses and pulmonary cryptococcosis in a
patient with diabetes mellitus and a history of corticosteroid therapy.
AB - A 50-year-old man with a history of long-term corticosteroid treatment following
adrenalectomy for Cushing's syndrome and uncontrolled diabetes mellitus was
admitted for an examination of an abnormal thoracic shadow. Cryptococcal serum
antigens were positive, and the histopathology of a lung biopsy showed
encapsulated yeast resembling Cryptococcus neoformans. On admission, the serum
beta-D-glucan level was approximately twice the cutoff value, several nodules
were observed on both legs and magnetic resonance imaging revealed subcutaneous
abscesses. Candida albicans was identified from needle aspirates, and the patient
was successfully treated with fluconazole and flucytosine. We herein report the
first case of concurrent C. albicans skin abscesses and pulmonary cryptococcosis.
PMID- 24930664
TI - Pulmonary Nocardia nova infection after allogeneic hematopoietic stem cell
transplantation.
AB - We herein report the case of a 68-year-old man with a history of allogeneic
hematopoietic stem cell transplantation for acute myelocytic leukemia in whom
graft-versus-host disease (GVHD) developed in the gastrointestinal tract and
liver five months after transplantation. In that same period, chest computed
tomography showed infiltration in both upper lungs. We performed bronchoscopy to
clarify the GVHD and pulmonary infection. Nocardia nova was identified in the
bronchoalveolar lavage fluid, and we diagnosed the patient as having pulmonary
nocardiosis. Because the differential diagnosis is important for the medical
management of GVHD and pulmonary infection, performing bronchoscopy is essential
for making an appropriate and rapid diagnosis.
PMID- 24930665
TI - Regression of duodenal follicular lymphoma: susceptible to H. pylori eradication?
PMID- 24930666
TI - New epidemiology of Staphylococcus aureus infections.
PMID- 24930667
TI - The mechanism of selective molecular capture in carbon nanotube networks.
AB - Recently, air pollution issues have drawn significant attention to the
development of efficient air filters, and one of the most promising materials for
this purpose is nanofibers. We explore here the mechanism of selective molecular
capture of volatile organic compounds in carbon nanotube networks by performing
atomistic simulations. The results are discussed with respect to the two key
parameters that define the performance of nanofiltration, i.e. the capture
efficiency and flow resistance, which demonstrate the advantages of carbon
nanotube networks with high surface-to-volume ratio and atomistically smooth
surfaces. We also reveal the important roles of interfacial adhesion and
diffusion that govern selective gas transport through the network.
PMID- 24930668
TI - Density functional theory and its applications.
PMID- 24930670
TI - Honorary and ghost authorship in nursing publications.
AB - PURPOSE: The purposes of this study were to (a) assess the prevalence of articles
with honorary authors and ghost authors in 10 leading peer-reviewed nursing
journals between 2010 to 2012; (b) compare the results to prevalence reported by
authors of articles published in high-impact medical journals; and (c) assess the
experiences of editors in the International Academy of Nursing Editors with
honorary and guest authorship. METHODS: Corresponding authors of articles
published in 10 nursing journals between 2010 and 2012 were invited to complete
an online survey about the contributions of coauthors to see if the International
Committee of Medical Journal Editors () criteria for authorship were met.
Additionally, members of the International Academy of Nursing Editors were
invited to complete an online survey about their experiences in identifying
honorary or ghost authors in articles submitted for publication. FINDINGS: The
prevalence of articles published in 10 nursing journals with honorary authors was
42%, and the prevalence of ghost authorship was 27.6%. This is a greater
prevalence than what has been reported among medical journals. Qualitative data
yielded five themes: lack of awareness around the rules for authorship;
acknowledged need for debate, discussion, and promotion of ethical practice;
knowingly tolerating, and sometimes deliberately promoting, transgressions in
practice; power relations and expectations; and avoiding scrutiny. Among the 60
respondents to the editor survey, 22 (36.7%) reported identifying honorary
authors and 13 (21.7%) reported ghost authors among papers submitted to their
publications. CONCLUSIONS: Inappropriate authorship is a significant problem
among scholarly nursing publications. RELEVANCE: If nursing scholarship is to
maintain integrity and be considered trustworthy, and if publications are to be a
factor in professional advancement, editors, nursing leaders, and faculty need to
disseminate and adhere to ethical authorship practices.
PMID- 24930669
TI - Expression level of hTERT is regulated by somatic mutation and common single
nucleotide polymorphism at promoter region in glioblastoma.
AB - We investigated the role of somatic mutations and a common single nucleotide
polymorphism (SNP) in the hTERT promoter region on hTERT expression and clinical
outcomes. The hTERT promoter region was sequenced from 48 glioblastomas. hTERT
expression was analyzed by quantitative real time-PCR. The association between
hTERT promoter genetic changes and other genomic events and clinical variables
common in gliomas were examined. C228T and C250T somatic mutations were found in
60.4% of glioblastomas, and a common SNP (T349C) was found in 66.6%. Somatic
mutations and the SNP likely have opposing effects on hTERT expression. hTERT
expression was significantly higher in the C228T or C250T mutated tumors. Tumors
with the T349C genotype showed lower hTERT expression when C228T or C250T
mutations were present. However, no significant survival differences were
observed among the groups with or without hTERT promoter mutations and SNP. There
was a significant association between genetic changes in the hTERT promoter and
patient age as well as MGMT promoter methylation and EGFR amplification. hTERT
expression is modulated by somatic mutations in the hTERT promoter as well as a
common polymorphism. However, hTERT related genomic changes have limited value as
an independent prognostic factor for clinical outcomes in glioblastomas.
PMID- 24930671
TI - Development and application of a quantitative fluorescence-based
immunochromatographic assay for fumonisin b1 in maize.
AB - A fluorescence-based immunochromatographic assay (ICA) for fumonisin B1 (FB1)
that employs conjugates of fluorescent microspheres and monoclonal antibodies (FM
mAbs) as detection reporters is described. The ICA is based on the competitive
reaction between FB1-bovine serum albumin (BSA; test line) and the target FB1 for
binding to the FM-mAb conjugates. A limit of detection (LOD) for FB1 of 0.12
ng/mL was obtained, with an analytical working range of 0.25-2.0 ng/mL
(corresponding to 250-2000 MUg/kg in maize flour samples, according to the
extraction procedure). The recoveries of the ICA to detect FB1 in maize samples
ranged from 91.4 to 118.2%. A quantitative comparison of the fluorescence-based
ICA and HPLC-MS/MS analysis of naturally contaminated maize samples indicated
good agreement between the two methods (r(2) = 0.93). By replacing the target of
interest, the FM-based ICA can easily be extended to other chemical contaminants
and thus represents a versatile strategy for food safety analysis.
PMID- 24930672
TI - Tunneling spectroscopy measurements on hydrogen-bonded supramolecular polymers.
AB - We studied the formation of hydrogen-bonded supramolecular polymers of Ethyl
Hexyl Urea Toluene (EHUT) on a gold (111) surface by low temperature scanning
tunneling microscopy. Tunneling spectroscopy performed along an individual
molecule embedded in a self-assembled layer revealed strong changes in the value
of the HOMO-LUMO gap. A variation of the LUMO state is attributed to the effect
of space charge accumulation resulting from anisotropic adhesion of the molecule.
In addition, for specific tunneling conditions, changes induced through the
formation of hydrogen bonds became visible in the differential conductance
(dI/dV) maps; isolated molecules, hydrogen bonded dimers and supramolecular
polymers of EHUT were distinguishable through their electronic properties.
PMID- 24930673
TI - Large Pseudoaneurysm of the mitral annulus as an infective endocarditis
complication: a 3D echocardiography role in diagnosis and surgical procedure.
PMID- 24930674
TI - Frequent amplification of ORAOV1 gene in esophageal squamous cell cancer promotes
an aggressive phenotype via proline metabolism and ROS production.
AB - Chromosomal band 11q13 seems to be one of the most frequently amplified lesions
in human cancer, including esophageal squamous cell cancer (ESCC). The oral
cancer overexpressed 1 (ORAOV1) gene has been identified within this region, but
its detailed biological function in human ESCC remains largely unclear. In our
clinical samples of stage III ESCC, ORAOV1 amplification was observed in 49 of 94
cases (53%). ORAOV1 amplification was significantly associated with a poorly
differentiated histology and tumors located in the upper or middle esophagus.
Patients with ORAOV1 amplification tended to have a shorter survival period,
although the difference was not significant. To investigate the function of
ORAOV1, we created ORAOV1--overexpressed ESCC cell lines that exhibited increased
cellular proliferation and colony formation, compared with in vitro controls. In
vivo, ORAOV1-overexpressed cells exhibited a significantly increased
tumorigenicity and a significantly larger tumor volume and poorer differentiation
than controls. The peptide mass fingerprinting technique demonstrated that ORAOV1
bound to pyrroline-5-carboxylate reductase (PYCR), which is associated with
proline metabolism and reactive oxygen species (ROS) production. Then, ORAOV1
overexpressed cell lines were resistant to stress treatment, which was cancelled
by PYCR-knockdown. In addition, the ORAOV1-overexpressed cell line had a higher
intracellular proline concentration and a lower ROS level. Our findings indicate
that the ORAOV1 gene is frequently amplified in ESCC, enhances tumorigenicity and
tumor growth, and is associated with a poorly differentiated tumor histology via
proline metabolism and ROS production. ORAOV1 could be a novel target for the
treatment of ESCC.
PMID- 24930675
TI - The role of opioid processes in reward and decision-making.
AB - Contemporary theories emphasize the involvement of the endogenous opioid system
in assigning hedonic values to rewards. Although earlier research supports this
view, recent findings suggest that opioids play a larger and more complex role in
reward processes than these theories suggest. For example, opioid activity in the
basolateral amygdala is required for encoding incentive learning, a process by
which the value of goal-directed actions is updated. Outside the amygdala, opioid
receptors in the ventral striatum have been found to promote choice between
different courses of action. Specifically, MU opioid receptors in the nucleus
accumbens core and delta opioid receptors in the nucleus accumbens shell have
been reported to mediate distinct aspects of incentive motivation; the core
regulating the effect of experienced reward and the shell of predicted reward on
choice. In both cases, the involvement of opioid receptors was restricted to the
time of choice, although changes in their expression pattern could be observed
prior to that point. This time-restricted involvement of opioid receptor-related
processes is consistent with the view that opioids in the nucleus accumbens are
central components of the limbic-motor interface, integrating reward-related
information with instrumental learning to guide decision-making, particularly the
selection and execution of goal-directed actions. LINKED ARTICLES: This article
is part of a themed section on Opioids: New Pathways to Functional Selectivity.
To view the other articles in this section visit
http://dx.doi.org/10.1111/bph.2015.172.issue-2.
PMID- 24930676
TI - An overview of visceral leishmaniasis elimination program in India: a picture
imperfect.
AB - Visceral leishmaniasis (VL) has been one of the most neglected tropical diseases
in India. Concurrent and correct data on the burden of VL is vital to plan,
allocate trained resources and to monitor the progress of the elimination
program. More emphasis on integrated vector management can help in combating the
disease spread. Effective surveillance, active and accurate diagnosis using rK39
strip test and use of an affordable, safe and efficient treatment option like
liposomal amphotericin B remain the key components of VL control. Sustained
advocacy, information, education and communication are needed in all the endemic
areas. In this paper, we review the effectiveness of the strategies adopted in VL
elimination in India.
PMID- 24930678
TI - Sleep dysfunction and its management in Parkinson's disease.
AB - OPINION STATEMENT: Sleep disorders are among the most common non-motor symptoms
in Parkinson's Disease (PD). In some cases, symptoms can precede a diagnosis of
PD by many years, but otherwise they are commonly encountered during the clinical
care of patients. Unfortunately, sleep problems are under-recognized and
subsequently inadequately addressed. In our experience, when properly addressed,
physicians and patients are quickly aware of the often-debilitating nature of
sleep dysfunction. This does not mean that solutions are easily attainable. Sleep
in PD is held in a delicate balance, influenced by the disease process,
medications, co-morbid symptoms, and a variety of other factors. For this reason,
management of sleep in PD often requires an inter-disciplinary approach.
Physicians should have an intimate knowledge of the many sleep problems apparent
in PD, as well as appreciate the challenge presented by diverse therapeutic
options that can both ameliorate and aggravate symptoms.
PMID- 24930679
TI - Improved glucose tolerance in insulin-resistant rats after pea hull feeding is
associated with changes in lipid metabolism-targeted transcriptome.
AB - Understanding of the mechanisms by which pulse grain fractions elicit beneficial
effects on glucose tolerance is incomplete. An untargeted metabolomic analysis of
serum from insulin-resistant rats was carried out to identify potential metabolic
pathways affected by feeding rats the hull fraction of dried peas for 4 weeks.
From this, we hypothesized that transcription of hepatic genes involved in lipid
metabolism would be altered. cDNA was prepared from total RNA extracted from
livers of rats fed a high-fat diet (HFD) or HFD + pea hulls (PH) diet. The liver
lipid transcriptome of each cDNA sample was characterized using a PCR-based array
of 84 genes. The activity of peroxisome-proliferator-activated receptor alpha
(PPAR-alpha) was measured in hepatocyte nuclei. The predominant findings of the
metabolomic analysis revealed a significant increase in the intermediaries of
beta-oxidation: C16-OH and C16:1 acylcarnitines (>50%, p < 0.05) and 3
hydroxybutyrate (100%, p < 0.05) in the PH group compared with the HFD group.
mRNA of hadha, a gene involved in beta-oxidation, was significantly reduced by
53% (p < 0.005) in the PH group compared with the HFD group, but no differences
in PPAR-alpha activity were detected. 3-Hydroxybutyrate concentrations were
associated with insulin sensitivity and reduced demand for insulin. The results
indicate that feeding PH alters lipid metabolism in liver, which may contribute
to improved glucose tolerance in insulin-resistant rats.
PMID- 24930681
TI - Understanding the pharmacogenetics of selective serotonin reuptake inhibitors.
AB - INTRODUCTION: The genetic background of antidepressant response represents a
unique opportunity to identify biological markers of treatment outcome.
Encouraging results alternating with inconsistent findings made antidepressant
pharmacogenetics a stimulating but often discouraging field that requires careful
discussion about cumulative evidence and methodological issues. AREAS COVERED:
The present review discusses both known and less replicated genes that have been
implicated in selective serotonin reuptake inhibitors (SSRIs) efficacy and side
effects. Candidate genes studies and genome-wide association studies (GWAS) were
collected through MEDLINE database search (articles published till January 2014).
Further, GWAS signals localized in promising genetic regions according to
candidate gene studies are reported in order to assess the general comparability
of results obtained through these two types of pharmacogenetic studies. Finally,
a pathway enrichment approach is applied to the top genes (those harboring SNPs
with p < 0.0001) outlined by previous GWAS in order to identify possible
molecular mechanisms involved in SSRI effect. EXPERT OPINION: In order to improve
the understanding of SSRI pharmacogenetics, the present review discusses the
proposal of moving from the analysis of individual polymorphisms to genes and
molecular pathways, and from the separation across different methodological
approaches to their combination. Efforts in this direction are justified by the
recent evidence of a favorable cost-utility of gene-guided antidepressant
treatment.
PMID- 24930682
TI - Selectivity of SSRIs: individualising patient care through rational treatment
choices.
AB - Despite a common mode of action [inhibition of the 5-hydroxytryptamine (5-HT)
neuronal reuptake transporter], proven antidepressant efficacy and a similar
range of indications (depression and a variety of anxiety disorders), the unique
secondary binding properties of each selective serotonin reuptake inhibitor
(SSRI) account for clinically significant differences in tolerability and side
effect profiles, particularly in some patients. Secondary properties within the
class of SSRIs include some combination of actions at noradrenergic,
dopaminergic, muscarinic cholinergic, histaminergic and sigma receptors. In
addition, most SSRIs inhibit at least one of the cytochrome P450 enzymes,
resulting in potential pharmacokinetic interactions with co-prescribed drugs.
Although secondary properties of SSRIs can be associated with side effects,
sometimes these same actions can be harnessed to good therapeutic effect through
rational, informed treatment choices. In this way, agents that more consistently
cause central nervous system activation (such as fluoxetine and sertraline) can
be used to boost energy in patients whose depression is accompanied by fatigue
and apathy, while the anxiolytic, sedative properties of others (particularly
paroxetine and fluvoxamine) can be beneficial in patients with insomnia and
agitation. When secondary properties are experienced as undesirable side effects,
agents with greater selectivity for the serotonin transporter and without
significant secondary binding properties, such as citalopram and escitalopram,
may be desirable. This article explains how an understanding of the secondary
binding properties of the SSRIs can guide individualised treatment across the
spectrum of depressive and anxious states.
PMID- 24930680
TI - Insula white matter volume linked to binge drinking frequency through enhancement
motives in treated adolescents.
AB - BACKGROUND: Given the insula's role in the representation of bodily states
associated with hedonic (i.e., enhancement motives) and aversive (i.e., craving)
aspects of substance use, this longitudinal study examined associations between
insula structure (i.e., white matter [WM] and gray matter [GM] volume),
enhancement motives for alcohol and cannabis use, craving for alcohol and
marijuana, and alcohol and cannabis involvement in treated adolescents.
Enhancement motives and craving, as conscious representations of bodily states
associated with use, were hypothesized as mediators (i.e., linking mechanisms) of
the association between insula volume and substance use. METHODS: Adolescents
(age 14 to 18, N = 30) recruited from substance use treatment reported on
enhancement motives and obsession/craving for both alcohol and cannabis at
baseline (near the start of treatment), and on alcohol and cannabis involvement
(e.g., binge drinking, alcohol abuse/dependence symptom count) at baseline and
over 1-year follow-up. Insula WM and GM volumes were determined using FreeSurfer.
RESULTS: Enhancement motives for drinking served as a link between left insula WM
volume and frequency of binge drinking at baseline and 1-year follow-up. This
novel finding is consistent with the insula's role in representing bodily states
(e.g., "high" associated with binge drinking) that can motivate drinking
behavior. Although right insula WM volume was positively correlated with
obsession/craving for alcohol, and obsession/craving was positively correlated
with alcohol outcomes, the indirect effect was not significant. Insula WM volume
was not associated with cannabis-related variables. Insula GM volume was not
associated with enhancement motives, obsession/craving, or alcohol involvement.
CONCLUSIONS: Enhancement motives for alcohol use, but not obsession/craving for
alcohol, provided an important link between left insula WM volume and frequency
of binge drinking in treated adolescents. Results are consistent with the
insula's role in the processing of hedonic bodily states available to conscious
awareness, particularly in the form of enhancement motives for alcohol use.
PMID- 24930683
TI - Escitalopram: a unique mechanism of action.
AB - The 5-HT (5-hydroxytryptamine, serotonin) transporter (SERT) mediates the
reuptake of 5-HT from the synaptic cleft into the neuron, and inhibition of this
uptake is the target of selective serotonin reuptake inhibitors (SSRIs).
Escitalopram (S-citalopram) is the most selective SSRI available, whereas the
other enantiomer, R-citalopram, is approximately 30-40 times less potent than the
S-enantiomer. Both biochemical experiments (measurement of extracellular 5-HT in
the frontal cortex of rats) and behavioural studies (using the chronic mild
stress and conditioned fear stress models) demonstrate that R-citalopram appears
to counteract the effect of escitalopram, and that it is a dose-dependent action.
When escitalopram is administered at a specific dose, it produces a greater
effect than when the same dose of the S-enantiomer is administered in combination
with the R-enantiomer, i.e. when citalopram is administered. While mainly the S
enantiomer is bound to the primary binding site on the SERT, both enantiomers
bind to the allosteric binding site. However, the R-enantiomer stabilises the
binding of the S-enantiomer at the primary site less than the S-enantiomer.
Furthermore, R-citalopram has an inhibitory effect on the association of
escitalopram with the transporter, thereby possibly reducing escitalopram's
effect. In summary, escitalopram appears to possess a unique mechanism of action
at the 5-HT transporter protein. Furthermore, escitalopram (S-citalopram) is
different from citalopram because R-citalopram counteracts the activity of the S
enantiomer.
PMID- 24930684
TI - Unique mechanism of action for escitalopram: does it hold the promise?
AB - There is an unmet need for improved management of depression. Clinicians, as well
as patients, want medications that are easy to use and have a fast symptom
relief, consistent efficacy with sustained response and remission combined with a
good tolerability profile. Studies with escitalopram, a selective serotonin
reuptake inhibitor with a unique mechanism of action, have shown an early
response and good remission rates, in comparison with placebo and citalopram. In
comparison with venlafaxine, a serotonin noradrenaline reuptake inhibitor,
escitalopram has shown comparable efficacy and earlier response in improving
depressive symptoms combined with fewer side effects. Results like these, with
focus on response and remission, are becoming more important, primarily because
they have greater meaning for the patient in the clinical setting, than scoring
measures of depression.
PMID- 24930685
TI - Anxiety and depression: individual entities or two sides of the same coin?
AB - Several factors have led to suggestions that anxiety and depression are actually
the same disease: very frequently, they co-exist; there is an overlap of symptoms
between the two conditions; a number of similar agents can be used to treat both
mental states; the same neurotransmitters are involved in both anxiety and
depressive disorders; and stress can predispose both. Selective serotonin
reuptake inhibitors (SSRIs) have shown efficacy in a number of neuroses:
depression; obsessive-compulsive disorder (OCD) and anxiety disorders (panic
disorder [PD], social anxiety disorder [SAD], generalised anxiety disorder and
post-traumatic stress disorder). Furthermore, other drugs, for example, tricyclic
antidepressants and monoamine oxidase inhibitors, are effective in treating both
depression and some anxiety disorders. Yet some drugs are only effective in
anxiety, for example, benzodiazepines, and this suggests that the two states are
actually different. Despite the broad range of conditions that are treated by
SSRIs, a number of differences are clear when SSRIs are used in depressive and
anxious states. When used in PD and OCD, the effective dose of the SSRI is often
higher than when used to treat depression. Furthermore, SSRIs often work more
slowly in patients with anxiety compared with those with depression. In order to
assess which serotonergic pathways and mechanisms are involved in these
conditions, tryptophan depletion tests can be performed. Tryptophan is the
precursor to serotonin (5-HT), so if the SSRI treatment effects are dependent on
an increase in synaptic 5-HT levels, depletion will result in a relapse in
symptoms. However, if the SSRI treatment works through post-receptor events, then
tryptophan depletion will have little effect on the individual's symptoms. In
depression, tryptophan depletion induced relapse in patients treated and
controlled on SSRIs, but not in those recovered on noradrenergic agents such as
desipramine. In some anxious states (PD and SAD), our work has shown that relapse
was also experienced following tryptophan depletion, indicating that the SSRIs
are acting via increasing 5-HT levels at the synapse in these conditions.
However, other studies have found no effect of the tryptophan depletion test.
This suggests that in OCD, SSRIs act post-synaptically and therefore have a
different mechanism of action in OCD patients compared with depressed patients.
In summary, although most SSRIs are effective in the treatment of both depression
and anxiety, differences in dose, time to onset of action and, in some cases,
mechanism of action are evident when treating the two conditions.
PMID- 24930686
TI - Priorities in treating depression only.
AB - Physicians treating depression are, on the whole, not entirely satisfied with
current antidepressant treatment options. There is a demand for improved
therapies with a rapid symptom relief, a high remission rate and greater
prevention of recurrence. The remission rate is particularly important since
patients with depression not reaching remission have much poorer outcomes than
those who do. Several studies have shown that the selective serotonin reuptake
inhibitors (SSRIs) and the serotonin and noradrenergic reuptake inhibitors
(SNRIs) have higher remission rates than other antidepressant medications.
However, data from meta-analyses have suggested that venlafaxine shows higher
remission rates than the SSRIs, fluoxetine, fluvoxamine and paroxetine. It is
therefore important to examine how the more recently developed SSRIs compare with
others in the same drug class and also with SNRIs with regard to symptom relief,
remission rate and tolerability. Using data from three pooled studies, the new
SSRI escitalopram was compared with the older SSRI citalopram. Escitalopram
performed significantly better than citalopram and placebo in terms of percentage
responders (defined as a >=50% decrease of baseline Montgomery and Asberg
Depression Rating Scale [MADRS] score). Furthermore, in a flexible-dose study the
remission rate with escitalopram treatment was higher than citalopram during 8
weeks. Remission (defined as MADRS <=12 at endpoint) in a flexible-dose study was
also investigated with escitalopram versus extended release venlafaxine. Overall,
the remission rates were similar between the two medications at the end of week
8, but patients on escitalopram achieved sustained remission nearly a week
earlier than those on venlafaxine. Higher remission rates were also found with
escitalopram compared with venlafaxine in severely ill patients. Furthermore,
escitalopram also offered important advantages over venlafaxine with regards to
tolerability.
PMID- 24930687
TI - Anxiety disorders: does one treatment fit all?
AB - Anxiety disorders are relatively common; almost 30% of the population suffer from
some form of anxiety disorder at some point during their life. Anxiety and
depressive symptoms often co-exist, and for physicians in primary care the
boundaries between depression and anxiety disorders (e.g. generalised anxiety
disorder [GAD], social anxiety disorder [SAD], and panic disorder) are often
fairly fluid. Differences in treatment tend to occur in patients diagnosed with a
pure anxiety disorder and those with comorbid anxiety and depression. For
example, the proportion of patients with pure GAD who seek help and get treated
for anxiety is much lower than those patients with GAD and comorbid depression.
The selective serotonin reuptake inhibitor (SSRI) escitalopram is effective in
panic disorder, reducing panic attack frequency and anticipatory anxiety compared
with placebo. Furthermore, escitalopram has shown efficacy in patients with SAD
and GAD. Antidepressants have potential in treating anxiety disorders, and
further novel drug treatments and development of treatment guidelines will be
required to effectively manage those patients.
PMID- 24930688
TI - Treatment options for real-life patients.
AB - Our current models of depression and clinical trials data provide inadequate and
relatively meaningless clinical information. They do not take into account the
multiple phenotypes of depression and often do not include patients with "real
life" clinical depression. Psychiatry is called an evidence-based specialty, and
this is supported by the wealth of evidence provided by the antidepressant drug
trials. However, if the evidence demonstrated by these trials is not accurate,
then psychiatry may fail to provide satisfactory treatment for patients with
depression. Trial data commonly show the effect of antidepressant treatment to be
indistinguishable from placebo. On first examination it could be said that
antidepressant drugs act mainly as placebos, or, even worse, that antidepressant
drugs are no better than placebos in effect. However, this view is at odds with
the observations of clinicians in everyday practice, and the impact of this
perception is worrying, with the pharmaceutical companies, patients and
practitioners all being adversely affected. Randomised controlled trials (RCTs)
provide limited data about the true effectiveness of an antidepressant. However,
such RCTs are required by regulatory authorities for drug approval.
Antidepressant effects in "real-life" depression need further investigation. When
efficacy data for drug and non-drug treatments for major depression are compared,
there are very few differences. This lack of differentiation across treatments
allows every therapy to be perceived as efficacious, but also non-specific. This
leads to patients being fitted to their therapist's preferred treatment, and not
vice versa. This "all roads lead to rome" model is contrary to the rest of
medicine, where differential treatment effects are to be expected. Why,
therefore, is there confusion? A dimensional model for depression homogenises the
multiple underlying subtypes of depression. This leads to treatments being tested
as having universal application instead of targeting the specific depressive
subtypes. This largely underpins the lack of specificity in RCT evidence. These
trials involve patients who bear little resemblance to those who clinicians see
in everyday practice. These trials also select and favour natural and rapid
responders. Therefore the failure to differentiate between drug and placebo is
unsurprising in the RCTs. Our spectrum model seeks to identify clinically
meaningful expressions of depression, allowing drugs to be targeted to separate
depressive conditions and their underlying cause. This allows a rational model
for prevention and long-term management. For example, when treating depression
associated with anxiety, selective serotonin reuptake inhibitors (SSRIs) produce
a high response in patients with internalised anxious worrying or externalised
irritability. Not only do they treat the depression but also the fundamental
cause. In summary, efficacy data will continue to provide little meaningful
clinical information while treatments are tested as "universal" in reference to
non-specific conditions such as "major depression". Through use of the spectrum
model, therapy can be better fitted to depression subtype, through identification
of clinical phenotypes and their causes.
PMID- 24930689
TI - Fe(II) uptake on natural montmorillonites. I. Macroscopic and spectroscopic
characterization.
AB - Iron is an important redox-active element that is ubiquitous in both engineered
and natural environments. In this study, the retention mechanism of Fe(II) on
clay minerals was investigated using macroscopic sorption experiments combined
with Mossbauer and extended X-ray absorption fine structure (EXAFS) spectroscopy.
Sorption edges and isotherms were measured under anoxic conditions on natural Fe
bearing montmorillonites (STx, SWy, and SWa) having different structural Fe
contents ranging from 0.5 to 15.4 wt % and different initial Fe redox states.
Batch experiments indicated that, in the case of low Fe-bearing (STx) and
dithionite-reduced clays, the Fe(II) uptake follows the sorption behavior of
other divalent transition metals, whereas Fe(II) sorption increased by up to 2
orders of magnitude on the unreduced, Fe(III)-rich montmorillonites (SWy and
SWa). Mossbauer spectroscopy analysis revealed that nearly all the sorbed Fe(II)
was oxidized to surface-bound Fe(III) and secondary Fe(III) precipitates were
formed on the Fe(III)-rich montmorillonite, while sorbed Fe is predominantly
present as Fe(II) on Fe-low and dithionite-reduced clays. The results provide
compelling evidence that Fe(II) uptake characteristics on clay minerals are
strongly correlated to the redox properties of the structural Fe(III). The
improved understanding of the interfacial redox interactions between sorbed
Fe(II) and clay minerals gained in this study is essential for future studies
developing Fe(II) sorption models on natural montmorillonites.
PMID- 24930690
TI - Possible allergic contact dermatitis with reticulate postinflammatory
pigmentation caused by hydroquinone.
PMID- 24930691
TI - Variation of heart transplant rates in the United States during holidays.
AB - BACKGROUND: Some cardiac transplant programs may upgrade listed patients to
United Network for Organ Sharing (UNOS) 1A-status during the holidays. Whether
more transplants actually occur during holidays is unknown. METHODS: We assessed
rates of single-organ heart transplantation from 2001 to 2010 for recipients age
>=18 yr using the UNOS database. Patients were stratified by transplantation
during holiday (+/-3 d, n = 2375) and non-holiday periods (n = 16 112). Holidays
included Easter/Spring break, Memorial Day, July 4th, Labor Day, Thanksgiving,
and Christmas/New Years (winter holidays). Secondary analysis assessing
transplant rates across seasons was also completed. RESULTS: Donor and recipient
characteristics were similar between groups. Compared with non-holidays, July 4th
had higher transplant rates (5.69 vs. 5.09 transplants/d, p = 0.03) while the
winter holiday had lower transplant rates (4.50 vs. 5.09 transplants/d, p <
0.01). There was a trend toward lower transplant rates for all holidays compared
with non-holidays (p = 0.06). Transplant rates were significantly different
across seasons with greater rates in spring and summer (p < 0.01). CONCLUSION:
Heart transplant rates were higher during the July 4th and lower during the
winter holidays. Although there was a higher likelihood of transplantation during
the spring and summer seasons, upgrading patients to 1A status during most
holidays may not improve their chances for transplantation.
PMID- 24930692
TI - Hemi salvage high-intensity focused ultrasound (HIFU) in unilateral
radiorecurrent prostate cancer: a prospective two-centre study.
AB - OBJECTIVE: To report the oncological and functional outcomes of hemi salvage high
intensity focused ultrasound (HSH) in patients with unilateral radiorecurrent
prostate cancer. PATIENTS AND METHODS: Between 2009 and 2012, 48 patients were
prospectively enrolled in two European centres. Inclusion criteria were
biochemical recurrence (BCR) after primary radiotherapy (RT), positive magnetic
resonance imaging and >=1 positive biopsy in only one lobe. BCR was defined using
Phoenix criteria (a rise by >=2 ng/mL above the nadir prostate specific antigen
[PSA] level). The following schemes and criteria for functional outcomes were
used: Ingelman-Sundberg score using International Continence Society (ICS)
questionnaire (A and B), International prostate symptom score (IPSS),
International Index of Erectile Function-5 (IIEF-5) points, the European
Organisation for the Research and Treatment of Cancer (EORTC) quality of life
questionnaires (QLQ C-30). HSH was performed under spinal or general anaesthesia
using the Ablatherm(r) Integrated Imaging device. Patients with obstructive
voiding symptoms at the time of treatment underwent an endoscopic bladder neck
resection or incision during the same anaesthesia to prevent the risk of
postoperative obstruction. RESULTS: After HSH the mean (sd) PSA nadir was 0.69
(0.83) ng/mL at a median (interquartile range) follow-up of 16.3 (10.5-24.5)
months. Disease progression occurred in 16/48 (33%). Of these, four had local
recurrence in the untreated lobe and four bilaterally, six developed metastases,
and two had rising PSA levels without local recurrence or radiological confirmed
metastasis. Progression-free survival rates at 12, 18, and 24 months were 83%,
64%, and 52%. Severe incontinence occurred in four of the 48 patients (8%), eight
(17%) required one pad a day, and 36/48 (75%) were pad-free. The ICS
questionnaire showed a mean (sd) deterioration from 0.7 (2.0) to 2.3 (4.5) for
scores A and 0.6 (1.4) to 1.6 (3.0) for B. The mean (sd) IPSS and erectile
function (IIEF-5) scores decreased from a mean (sd) of 7.01 (5.6) to 8.6 (5.1)
and from 11.2 (8.6) to 7.0 (5.8), respectively. The mean (sd) EORTC QLC-30 scores
before and after HSH were 35.7 (8.6) vs 36.8 (8.6). CONCLUSION: HSH is a feasible
therapeutic option in patients with unilateral radiorecurrent prostate cancer,
which offers limited urinary and rectal morbidity, and preserves health-related
quality of life.
PMID- 24930694
TI - Toxicity profiling of water contextual zinc oxide, silver, and titanium dioxide
nanoparticles in human oral and gastrointestinal cell systems.
AB - Engineered nanoparticles (ENPs) are increasingly detected in water supply due to
environmental release of ENPs as the by-products contained within the effluent of
domestic and industrial run-off. The partial recycling of water laden with ENPs,
albeit at ultra-low concentrations, may pose an uncharacterized threat to human
health. In this study, we investigated the toxicity of three prevalent ENPs: zinc
oxide, silver, and titanium dioxide over a wide range of concentrations that
encompasses drinking water-relevant concentrations, to cellular systems
representing oral and gastrointestinal tissues. Based on published in silico
predicted water-relevant ENPs concentration range from 100 pg/L to 100 ug/L, we
detected no cytotoxicity to all the cellular systems. Significant cytotoxicity
due to the NPs set in around 100 mg/L with decreasing extent of toxicity from
zinc oxide to silver to titanium dioxide NPs. We also found that noncytotoxic
zinc oxide NPs level of 10 mg/L could elevate the intracellular oxidative stress.
The threshold concentrations of NPs that induced cytotoxic effect are at least
two to five orders of magnitude higher than the permissible concentrations of the
respective metals and metal oxides in drinking water. Based on these findings,
the current estimated levels of NPs in potable water pose little cytotoxic threat
to the human oral and gastrointestinal systems within our experimental
boundaries.
PMID- 24930693
TI - Patterns and predictors of antidepressant use in ambulatory cancer patients with
common solid tumors.
AB - PURPOSE: Depressive symptoms and antidepressant use are prevalent among cancer
patients. We sought to identify determinants of prescribing commonly used
antidepressants. PATIENTS AND METHODS: This multi-institutional study enrolled
3106 ambulatory patients with cancer of the breast, prostate, colon/rectum, or
lung. Five case-finding methods were used to identify patients with depressive
symptoms. Logistic models were used to examine factors that impact antidepressant
use. RESULTS: Approximately, 47% of patients were defined as having depressive
symptoms. Clinicians rated being sad/depressed as one of the top three priority
problems for 10.5% of patients. Antidepressants were prescribed in 19% of all
patients, 25% with depressive symptoms and 14% nondepressed patients. After
adjusting for other covariates, these variable categories were significantly
associated with greater use of antidepressants: depressive symptoms, family
history of depression, concurrent medication use, cancer treatment status, and
certain other clinical and demographic variables. The strongest individual
predictors were concurrent use of more than 10 medications (odds ratio [OR] =
3.3), a family history of depression (OR = 2.2), sedative use (OR = 2.1), non
Hispanic white race (OR = 2.0), and anxiolytics use (OR = 2.0). CONCLUSIONS:
Depressive symptoms are found in nearly half of outpatients with cancer, and one
fourth of patients with depressive symptoms are taking an antidepressant.
Patients receiving antidepressants are more often those taking multiple
medications, those with a depression diathesis, and those with more extensive
cancer treatment. Patients who were younger, white, and female were also more
likely to be taking antidepressants.
PMID- 24930695
TI - Retinal pigment epithelium cells alter the pro-inflammatory response of retinal
microglia to TLR-3 stimulation.
AB - PURPOSE: Microglia are the local cells of the innate immunity in the retina. Toll
like receptor (TLR) 3 is a receptor of the innate immune system, recognizing
viral double-stranded RNA. Retinal pigment epithelium (RPE) cells express TLR-3
and react to TLR-3 stimulation. In this study, we investigated the effect of TLR
3-activated RPE on microglia. METHODS: Primary porcine RPE cells were prepared
from freshly prepared pigs' eyes. Retinal microglia were prepared from porcine
retina. Expression of the microglia marker Iba1 was evaluated using
immunocytochemistry. RPE cells were treated with polyinosinic/polycytidylic acid
(Poly I:C; 100 ng/ml, 1 MUg/ml, 10 MUg/ml, 100 MUg/ml) for 24 hr. Either the
supernatant was applied to microglia for 6 or 24 hr or microglia cells were
directly treated with Poly I:C for 6 and 24 hr. Expression of interleukin (IL)
1beta, IL-6, tumour necrosis factor (TNF)alpha, IL-10, Cox2 and iNOS was
evaluated in quantitative PCR. Phagocytosis was evaluated with a microscope-based
and a fluoroscan-based phagocytosis assay. RESULTS: Retinal pigment epithelium
(RPE) cells induce the expression of IL-6, IL-1beta and IL-10 in microglia cells.
Microglia cells respond to Poly I:C stimulation in a concentration-dependent
manner with the induction of IL-1beta, IL-6, TNFalpha, Cox2, iNOS and, to a
lesser degree, IL-10. Stimulation of microglia cells with supernatant of Poly I:C
treated RPE cells further elevated IL-6, IL-1beta and Cox2 expression, while it
reduced the expression of iNOS. No changes in phagocytosis could be detected.
CONCLUSIONS: TLR-3-activated RPE exacerbates inflammatory response of microglia
in a differentiated manner. This indicates that viral infections in the RPE may
have a proinflammatory influence on retinal microglia.
PMID- 24930697
TI - Effect of a test meal on meal responses of satiation hormones and their
association to insulin resistance in obese adolescents.
AB - OBJECTIVE: The role of gastrointestinal (GI) hormones in the pathophysiology of
obesity is unclear, although they are involved in the regulation of satiation and
glucose metabolism. To (i) examine glucagon-like peptide 1 (GLP-1), amylin,
ghrelin, and glucagon responses to a meal in obese adolescents and to (ii) test
which GI peptides are associated with insulin resistance are presented. METHODS:
A total of 16 obese (body mass index (BMI) >= 97th percentile for age and gender)
and 14 control (BMI between 25th and 75th percentiles) adolescents were included.
Subjects were instructed to eat a test meal (490 kcal). Plasma samples were
collected for hormone and glucose analysis. RESULTS: Obese adolescents were
insulin resistant as expressed by the Homeostasis Model Assessment (HOMA) index
and had significantly increased fasting glucagon and amylin levels compared to
the control group (P = 0.003 and 0.044, respectively). In response to the meal,
the increase in GLP-1 levels was reduced in obese adolescents (P < 0.001). In
contrast, amylin secretion was significantly increased in the obese population
compared to the control group (P < 0.005). CONCLUSIONS: Obese adolescents have
increased fasting glucagon and amylin levels and attenuated post-prandial GLP-1
concentrations compared with the control group. These factors could contribute to
the metabolic syndrome.
PMID- 24930698
TI - Interconnected network of MnO2 nanowires with a "cocoonlike" morphology: redox
couple-mediated performance enhancement in symmetric aqueous supercapacitor.
AB - Low electronic conductivity and slow faradic processes limit the performance of
MnO2 as an electrochemical pseudocapacitor with respect to cycling and power
density. Herein, we report preparation of single-phase alpha-MnO2, composed of an
interconnected nanowire network with "cocoonlike" morphology, and its application
as electrode in a symmetric aqueous supercapacitor. Increased "effective" surface
area, coexistence of micropores and mesopores, and enhanced electron transport in
these nanowire networks result in a specific pseudocapacitance (CS) of 775 F.g(
1) in 3 M KOH, derived from cyclic voltammetry in the potential window of -1 to
+1 V at a scan rate of 2 mV.s(-1), the highest reported for two-electrode
symmetric configuration. Furthermore, introduction of K4Fe(CN)6 as a redox-active
additive to KOH results in ~7 times increase in energy density at a power density
of ~6000 W.kg(-1). The presence of the Fe(CN)6(4-)/Fe(CN)6(3-) redox couple
provides an electron buffer source compensating for the slow faradic reactions.
The results demonstrate that this simple approach might be an effective way to
enhance the redox kinetics and reversibility of transition metal oxide-based
pseudocapacitors.
PMID- 24930696
TI - Using an instrumental variable to test for unmeasured confounding.
AB - An important concern in an observational study is whether or not there is
unmeasured confounding, that is, unmeasured ways in which the treatment and
control groups differ before treatment, which affect the outcome. We develop a
test of whether there is unmeasured confounding when an instrumental variable
(IV) is available. An IV is a variable that is independent of the unmeasured
confounding and encourages a subject to take one treatment level versus another,
while having no effect on the outcome beyond its encouragement of a certain
treatment level. We show what types of unmeasured confounding can be tested for
with an IV and develop a test for this type of unmeasured confounding that has
correct type I error rate. We show that the widely used Durbin-Wu-Hausman test
can have inflated type I error rates when there is treatment effect
heterogeneity. Additionally, we show that our test provides more insight into the
nature of the unmeasured confounding than the Durbin-Wu-Hausman test. We apply
our test to an observational study of the effect of a premature infant being
delivered in a high-level neonatal intensive care unit (one with mechanical
assisted ventilation and high volume) versus a lower level unit, using the excess
travel time a mother lives from the nearest high-level unit to the nearest lower
level unit as an IV.
PMID- 24930699
TI - Expression of genes associated with cholesterol and lipid metabolism identified
as a novel pathway in the early pathogenesis of Mycobacterium avium subspecies
paratuberculosis-infection in cattle.
AB - Johne's disease (JD) is a chronic disease affecting ruminants and other species
caused by the pathogenic mycobacterium, Mycobacterium avium subsp.
paratuberculosis (MAP). MAP has developed a multitude of mechanisms to persist
within the host, and these in turn are counteracted by the host through various
immune pathways. Identifying and characterising the different strategies employed
by MAP to alter the host immune system in its favour, and thereby persist
intracellularly, could hold the key to developing strategies to fight this
disease. In this study we analysed a subset of bovine microarray data derived
from early time points after experimental infection with MAP. A specifically
developed integrated approach was used to identify and validate host genes
involved in cholesterol homeostasis (24DHCR, LDLR, SCD-1), calcium homeostasis
and anti-bacterial defence mechanisms, (CD38, GIMAP6) which were downregulated in
response to MAP exposure. A trend for upregulation of granulysin gene expression
in MAP-exposed cattle in comparison to unexposed cattle was also observed. From
these analyses, a model of potential pathogen-host interactions involving these
novel pathways was developed which indicates an important role for host lipids in
mycobacterial survival and persistence.
PMID- 24930700
TI - Linx mediates interaxonal interactions and formation of the internal capsule.
AB - During the development of forebrain connectivity, ascending thalamocortical and
descending corticofugal axons first intermingle at the pallial-subpallial
boundary to form the internal capsule (IC). However, the identity of molecular
cues that guide these axons remains largely unknown. Here, we show that the
transmembrane protein Linx is robustly expressed in the prethalamus and lateral
ganglionic eminence-derived corridor and on corticofugal axons, but not on
thalamocortical axons, and that mice with a null mutation of Linx exhibit a
complete absence of the IC. Moreover, regional inactivation of Linx either in the
prethalamus and LGE or in the neocortex leads to a failure of IC formation.
Furthermore, Linx binds to thalamocortical projections, and it promotes outgrowth
of thalamic axons. Thus, Linx guides the extension of thalamocortical axons in
the ventral forebrain, and subsequently, it mediates reciprocal interactions
between thalamocortical and corticofugal axons to form the IC.
PMID- 24930701
TI - Increases in functional connectivity between prefrontal cortex and striatum
during category learning.
AB - Functional connectivity between the prefrontal cortex (PFC) and striatum (STR) is
thought critical for cognition and has been linked to conditions like autism and
schizophrenia. We recorded from multiple electrodes in PFC and STR while monkeys
acquired new categories. Category learning was accompanied by an increase in beta
band synchronization of LFPs between, but not within, the PFC and STR. After
learning, different pairs of PFC-STR electrodes showed stronger synchrony for one
or the other category, suggesting category-specific functional circuits. This
category-specific synchrony was also seen between PFC spikes and STR LFPs, but
not the reverse, reflecting the direct monosynaptic connections from the PFC to
STR. However, causal connectivity analyses suggested that the polysynaptic
connections from STR to the PFC exerted a stronger overall influence. This
supports models positing that the basal ganglia "train" the PFC. Category
learning may depend on the formation of functional circuits between the PFC and
STR.
PMID- 24930703
TI - Long bone development requires a threshold of Hox function.
AB - The Hoxd(Del(11-13)) mutant is one of the animal models for human synpolydactyly,
characterized by short and syndactylous digits. Here we have characterized in
detail the cartilage and bone defects in these mutants. We report two distinct
phenotypes: (i) a delay and change in pattern of chondrocyte maturation of
metacarpals/metatarsals and (ii) formation of a poor and not centrally positioned
primary ossification center in the proximal-intermediate phalanx. In the
metacarpals of Hoxd(Del(11-13)) mutants, ossification occurs postnataly, in the
absence of significant Ihh expression and without the establishment of growth
plates, following patterns similar to those of short bones. The strong
downregulation in Ihh expression is associated with a corresponding increase of
the repressor form of Gli3. To evaluate the contribution of this alteration to
the phenotype, we generated double Hoxd(Del(11-13));Gli3 homozygous mutants.
Intriguingly, these double mutants showed a complete rescue of the phenotype in
metatarsals but only partial phenotypic rescue in metacarpals. Our results
support Hox genes being required in a dose-dependent manner for long bone
cartilage maturation and suggest that and excess of Gli3R mediates a significant
part of the Hoxd(Del(11-13)) chondrogenic phenotype.
PMID- 24930705
TI - Quantifying GlosairTM 400 efficacy for surface disinfection of American Type
Culture Collection strains and micro-organisms recently isolated from intensive
care unit patients.
AB - Microbial contamination of hospital surfaces may be a source of infection for
hospitalized patients. We evaluated the efficacy of GlosairTM 400 against two
American Type Culture Collection strains and 18 clinical isolates, placed on
glass germ-carriers. Carriers were left to air-dry for 60 min and then exposed to
a cycle before detection of any surviving micro-organisms. Antibiotic-susceptible
Gram-negative bacilli were less susceptible (although not significantly) to this
technique than resistant Gram-negative bacilli or Gram-positive cocci and yeasts
(3, 3.4 and 4.6 log10 reduction, respectively). In conclusion, in areas that had
not been cleaned, aerosolized hydrogen peroxide obtained >3 log10 mean
destruction of patients' micro-organisms.
PMID- 24930702
TI - Germ cells of the centipede Strigamia maritima are specified early in embryonic
development.
AB - We provide the first systematic description of germ cell development with
molecular markers in a myriapod, the centipede Strigamia maritima. By examining
the expression of Strigamia vasa and nanos orthologues, we find that the
primordial germ cells are specified from at least the blastoderm stage. This is a
much earlier embryonic stage than previously described for centipedes, or any
other member of the Myriapoda. Using these genes as markers, and taking advantage
of the developmental synchrony of Strigamia embryos within single clutches, we
are able to track the development of the germ cells throughout embryogenesis. We
find that the germ cells accumulate at the blastopore; that the cells do not
internalize through the hindgut, but rather through the closing blastopore; and
that the cells undergo a long-range migration to the embryonic gonad. This is the
first evidence for primordial germ cells displaying these behaviours in any
myriapod. The myriapods are a phylogenetically important group in the arthropod
radiation for which relatively little developmental data is currently available.
Our study provides valuable comparative data that complements the growing number
of studies in insects, crustaceans and chelicerates, and is important for the
correct reconstruction of ancestral states and a fuller understanding of how germ
cell development has evolved in different arthropod lineages.
PMID- 24930704
TI - Dynamics of F-actin prefigure the structure of butterfly wing scales.
AB - The wings of butterflies and moths consist of dorsal and ventral epidermal
surfaces that give rise to overlapping layers of scales and hairs (Lepidoptera,
"scale wing"). Wing scales (average length ~200 um) are homologous to insect
bristles (macrochaetes), and their colors create the patterns that characterize
lepidopteran wings. The topology and surface sculpture of wing scales vary
widely, and this architectural complexity arises from variations in the
developmental program of the individual scale cells of the wing epithelium. One
of the more striking features of lepidopteran wing scales are the longitudinal
ridges that run the length of the mature (dead) cell, gathering the cuticularized
scale cell surface into pleats on the sides of each scale. While also present
around the periphery of other insect bristles and hairs, longitudinal ridges in
lepidopteran wing scales gain new significance for their creation of iridescent
color through microribs and lamellae. Here we show the dynamics of the highly
organized F-actin filaments during scale cell development, and present
experimental manipulations of actin polymerization that reveal the essential role
of this cytoskeletal component in wing scale elongation and the positioning of
longitudinal ribs.
PMID- 24930706
TI - The expression of the 14D9 catalytic antibody in suspended cells of Nicotiana
tabacum cultures increased by the addition of protein stabilizers and by
transference from Erlenmeyer flasks to a 2-L bioreactor.
AB - The effect of two protein stabilizers (polyvinylpyrrolidone [PVP] and gelatine)
on growth and 14D9 yield of Nicotiana tabacum cell suspension cultures (Ab-KDEL
and sec-Ab) was analyzed. The addition of PVP at a concentration of 1.0 g L(-1)
produced the highest total 14D9 yield (biomass + culture medium) in the Ab-KDEL
line (4.82% total soluble protein [TSP]). With the addition of gelatine, the
highest total 14D9 yield (2.48% TSP) was attained in the Ab-KDEL line at 5.0 g L(
1) gelatine. When the Ab-KDEL suspended cells were cultured in a 2-L bioreactor,
the highest 14D9 yield was 8.1% TSP at a 5% w/v inoculum size, which was the best
14D9 yield so far obtained in the platforms tested (E. coli, N. tabacum leaves
and seeds, N. tabacum hairy roots, and cell suspension cultures).
PMID- 24930707
TI - Antigen-specific H1N1 influenza antibody responses in acute respiratory tract
infections and their relation to influenza infection and disease course.
AB - BACKGROUND: Early antibody responses to influenza infection are important in both
clearance of virus and fighting the disease. Acute influenza antibody titers
directed toward H1-antigens and their relation to infection type and patient
outcomes have not been well investigated. OBJECTIVE: Using hemagglutination
inhibition (HI) assays, we aimed to characterize the H1-specific antibody titers
in patients with influenza infection or another respiratory infection before and
after the H1N1-pandemic influenza outbreak. Among patients with acute influenza
infection we related duration of illness, severity of symptoms, and need for
hospitalization to antibody titers. METHODS: There were 134 adult patients
(average age 34.7) who presented to an urban academic emergency department (ED)
from October through March during the 2008-2011 influenza seasons with symptoms
of fever and a cough. Nasal aspirates were tested by viral culture, and
peripheral blood serum was run in seven H1-subtype HI assays. RESULTS: Acutely
infected influenza patients had markedly lower antibody titers for six of the
seven pseudotype viruses. For the average over the seven titers (log units, base
2) their mean was 7.24 (95% CI 6.88, 7.61) compared with 8.60 (95% CI 8.27, 8.92)
among patients who had a non-influenza respiratory illness, p<0.0001. Among
patients with seasonal influenza infection, titers of some antibodies correlated
with severity of symptoms and with total duration of illness (p<0.02).
CONCLUSION: In patients with acute respiratory infections, lower concentrations
of H1-influenza-specific antibodies were associated with influenza infection.
Among influenza-infected patients, higher antibody titers were present in
patients with a longer duration of illness and with higher severity-of-symptom
scores.
PMID- 24930708
TI - Quantification of hepatitis B surface antigen with the novel DiaSorin LIAISON XL
Murex HBsAg Quant: correlation with the ARCHITECT quantitative assays.
AB - BACKGROUND: Recent technologic innovations allow for quantitative assessment of
hepatitis B surface antigen (HBsAg) levels in serum; this has been used to
monitor the course of chronic HBV hepatitis (CHB) and predict treatment response.
LIAISON-XL Murex HBsAg Quant assay (DiaSorin, Saluggia, I) is the newest
immunoassay CE approved to quantify HBsAg. OBJECTIVES: To compare LIAISON-XL
performances with ARCHITECT-QT HBsAg (Abbott Diagnostics, IL, USA), as reference
test. STUDY DESIGN: Sequential serum samples (n=152) from 14 HBe-negative
patients with CHB, the majority of them infected by HBV genotype D undergoing
antiviral treatment, were retrospectively tested with both assays. The 2nd WHO
Standard 00/588 for HBsAg was used as reference. RESULTS: LIAISON-XL and
ARCHITECT-QT correlated by r=0.95, p<0.0001; by Bland-Altman analysis agreement
of mean difference was 0.21 +/- 0.15 log 10 IU/mL, 95% CI: -0.07 to 0.5).
Performance of LIAISON-XL against the 2nd WHO Standard was r=0.998, p<0.0001 (95%
CI: 0.993-0.999) with results nearer to the expected WHO values compared to
ARCHITECT-QT. Median baseline HBsAg level was similar with the two methods before
antiviral treatment, throughout fluctuations of HBsAg level in treatment non
responders and during the decrease of HBsAg titer in treatment responders.
Correlation between HBsAg levels and HBV DNA was statistically significant for
both the two immunoassays (LIAISON-XL: r=0.4988, 95% CI: 0.3452-0.6264, p<0.0001;
ARCHITECT-QT: r=0.480, 95% CI: 0.3233-0.6111, p<0.0001). CONCLUSIONS: Correlation
between HBsAg measurement with LIAISON-XL and ARCHITECT-QT was high. LIAISON-XL
accurately quantified HBsAg in clinical samples at baseline or during antiviral
therapy; it can be applied for HBsAg quantification in clinical practice and
decision making in CHB.
PMID- 24930709
TI - High-throughput DNA separation in nanofilter arrays.
AB - We numerically investigated the dynamics of short double-stranded DNA molecules
moving through a deep-shallow alternating nanofilter, by utilizing Brownian
dynamics simulation. We propose a novel mechanism for high-throughput DNA
separation with a high electric field, which was originally predicted by Laachi
et al. [Phys. Rev. Lett. 2007, 98, 098106]. In this work, we show that DNA
molecules deterministically move along different electrophoretic streamlines
according to their length, owing to geometric constraint at the exit of the
shallow region. Consequently, it is more probable that long DNA molecules pass
over a deep well region without significant lateral migration toward the bottom
of the deep well, which is in contrast to the long dwelling time for short DNA
molecules. We investigated the dynamics of DNA passage through a nanofilter
facilitating electrophoretic field kinematics. The statistical distribution of
the DNA molecules according to their size clearly corroborates our assumption. On
the other hand, it was also found that the tapering angle between the shallow and
deep regions significantly affects the DNA separation performance. The current
results show that the nonuniform field effect combined with geometric constraint
plays a key role in nanofilter-based DNA separation. We expect that our results
will be helpful in designing and operating nanofluidics-based DNA separation
devices and in understanding the polymer dynamics in confined geometries.
PMID- 24930710
TI - Effect of pre-harvest methyl jasmonate treatments on ethylene production, water
soluble phenolic compounds and fruit quality of Japanese plums.
AB - BACKGROUND: The effects of pre-harvest methyl jasmonate (MJ) treatments on
ethylene production, respiration rate, bioactive compounds and physico-chemical
parameters of plum fruits (Prunus salicina Lindell cv. 'Fortune' and 'Friar')
were investigated. Whole trees were sprayed once with an aqueous solution
containing MJ (0, 1120 and 2240 mg L(-1)) 2 weeks before the anticipated
commercial harvest for each cultivar. RESULTS: In both plum cultivars, 1120 mg L(
1) MJ significantly increased hue angle of fruits. The fruit mass and geometric
mean diameter were lower in MJ treatments while flesh firmness was higher, except
at initial harvest date. Soluble solids concentration increased and titratable
acidity decreased with MJ treatments. MJ-treated fruits exhibited higher levels
of ethylene production and respiration rate. MJ was more effective in increasing
water-soluble antioxidant activity, water-soluble phenolics and individual
phenolics. Chlorogenic acid, caffeic acid, rutin, ferulic acid, naringenin and
kaempferol contents significantly increased with 2240 mg L(-1) MJ. CONCLUSIONS:
This study revealed that pre-harvest MJ treatments were effective in delaying
softening of late-harvested fruits and increasing bioactive compounds of plum
fruits.
PMID- 24930711
TI - beta-Caryophyllene, a CB2 receptor agonist produces multiple behavioral changes
relevant to anxiety and depression in mice.
AB - Recent evidence suggests that the cannabinoid receptor subtype 2 (CB2) is
implicated in anxiety and depression disorders, although few systematic studies
in laboratory animals have been reported. The aim of the current experiments was
to test the effects of the CB2 receptor potent-selective agonist beta
caryophyllene (BCP) in animals subjected to models of anxiolytic- and
antidepressant-like effects. Therefore effects of BCP (50mg/kg) on anxiety were
assessed using the elevated plus maze (EPM), open field (OF), and marble burying
test (MBT). However for depression, the novelty-suppressed feeding (NSF), tail
suspension test (TST), and forced swim tests (FST) were used. Results indicated
that adult mice receiving BCP showed amelioration of all the parameters observed
in the EPM test. Also, BCP significantly increased the time spent in the center
of the arena without altering the general motor activity in the OF test. This
dose was also able to decrease the number of buried marbles and time spent
digging in the MBT, suggesting an anti-compulsive-like effect. In addition, the
systemic administration of BCP reduced immobility time in the TST and the FST.
Finally, BCP treatment decreased feeding latency in the NSF test. Most
importantly, pre-administration of the CB2 receptor antagonist AM630, fully
abrogated the anxiolytic and the anti-depressant effects of BCP. Taken together,
these preclinical results suggest that CB2 receptors may provide alternative
therapeutic targets for the treatment of anxiety and depression. The possibility
that BCP may ameliorate the symptoms of these mood disorders offers exciting
prospects for future studies.
PMID- 24930712
TI - A resilience framework for promoting stable remission from depression.
AB - A significant proportion of people in remission from depression will experience a
recurrence of depression. One theoretical mechanism for this recurrence is that
with each additional episode of depression, people become more sensitive to the
deleterious effects of less powerful stressors. We propose that research on
resilience - the ability to adapt to and recover from stress - can inform
interventions to prevent recurrence in people in remission. We conceptualize
resilience as a dynamic process that may be deficient in people in remission from
depression, rather than as a static personal quality that is unattainable to
people who have experienced psychopathology. The three aspects of resilience that
we suggest are the most important to target to prevent recurrence are (1)
improving stress recovery from minor daily stressors that may aid remitted people
in coping with major stressors, (2) increasing positivity, like promoting
positive emotions during stress, and (3) and training flexibility-the ability to
identify different demands in the environment and employ the appropriate coping
strategy to meet those demands. We offer suggestions for the appropriate
assessment of changes in resilience in remitted people and provide some examples
of effective resilience interventions.
PMID- 24930713
TI - Enhanced proliferation in colorectal epithelium of patients with type 2 diabetes
correlates with beta-catenin accumulation.
AB - AIMS: beta-Catenin accumulation promotes proliferation. However, the correlation
between proliferation of colorectal epithelium and beta-catenin in type 2
diabetes mellitus (DM) patients remains unclear. METHODS: Colorectal epithelium
samples from distal ends of colorectal adenocarcinomas without histological
aberrances were divided into two groups: DM patients with type 2 DM for more than
1year (n=27) and non-DM patients without hyperglycemia (n=20). Samples from
patients without colorectal epithelial disease or hyperglycemia served as a
control group (n=6). Proliferative index was calculated as the percentage of
proliferating cell nuclear antigen positive cells. Wnt/beta-catenin signaling was
assessed immunohistochemically and phosphorylation of beta-catenin was assessed
by immunofluorescence. RESULTS: Compared with the non-DM or control group, the
proliferative index and expression of lactate dehydrogenase A and Wnt/beta
catenin signaling were significantly higher in the DM group (all p<0.01). The
proliferative index correlated positively with beta-catenin expression (Spearman
correlation coefficient=0.55; p<0.01). Reduced phosphorylation at serine 33/37
and increased phosphorylation at serine 675 of beta-catenin were detected in the
DM group (all p<0.01). CONCLUSIONS: Enhanced proliferation, accompanied by
increased aerobic glycolysis, was detected in colorectal epithelium of patients
with diabetes. beta-Catenin accumulation with altered phosphorylation correlated
with the proliferative changes.
PMID- 24930714
TI - Prevalence, incidence and progression of peripheral arterial disease in Asian
Indian type 2 diabetic patients.
AB - OBJECTIVE: To assess the prevalence, incidence, etiology and factors related to
progression of peripheral arterial disease [PAD] in Asian Indian type 2 diabetic
patients. METHODS: Patients with type 2 diabetes (T2DM), with multiple Doppler
studies done between 2001 and 2011 at a tertiary diabetes center in south India,
were included. Baseline clinical and biochemical characteristics and ankle
brachial index [ABI] measurements were abstracted from the electronic medical
records. RESULTS: 2512 T2DM patients were followed for an average of 7years. 7.6%
of the study population had PAD in 2001 [women, 11.8%; men, 5.1%] with an
adjusted odds ratio (OR) of 3.09 [confidence interval (CI): 1.9-4.9] for women.
Prevalent PAD was associated with increased mortality [hazards ratio (HR) 3.3,
CI: 1.4-7.7]. 280 new patients of PAD were identified - crude incidence, 17/1000
patient years with higher rates in females [HR 1.94, CI: 1.4-2.7]. Age and
duration of diabetes were the other predictors of incident PAD. Progression of
PAD was seen in 16.5% of patients, with age (p=0.002) and HbA1c (p=0.022) being
the predictors. CONCLUSIONS: Women had a higher prevalence of PAD. Older age,
female gender and duration of diabetes were related to an increased incidence of
PAD. An elevated HbA1c being associated with progression of PAD stresses the need
for strict control of diabetes.
PMID- 24930715
TI - Clinical evaluation of a novel microneedle device for intradermal delivery of an
influenza vaccine: are all delivery methods the same?
AB - The skin provides the largest immune barrier to infection and is a readily
accessible site for vaccination, although intradermal (ID) injection can be
challenging. The MicronJetTM microneedle is a novel device that consistently
injects antigens very close to the skin's dendritic cells. A dose-sparing ID
injection study was conducted in 280 healthy adult volunteers using trivalent
virosomal adjuvanted influenza vaccine. ID injection of 3 MUg using the
MicronJetTM was well tolerated and showed a statistically higher geometric mean
fold rise than the same dose ID using a conventional needle (Mantoux technique)
for the H1N1 and B strains or a 15 MUg intramuscular (IM) injection for the H3N2
strain. Thus, the immune response appears to partially depend on the delivery
device and route of injection. The MicronJetTM may allow dose-sparing, yet give a
superior response in influenza vaccination and warrants further clinical
evaluation.
PMID- 24930716
TI - Cost-effectiveness of influenza vaccination in prior pneumonia patients in
Israel.
AB - Pneumonia is a common complication of influenza infection, and accounts for the
majority of influenza mortality. Both the WHO and the Ministry of Health in
Israel prioritize seasonal influenza vaccination primarily on the basis of age
and specific co-morbidities. Here we consider whether the targeting of
individuals previously infected with pneumonia for influenza vaccination would be
a cost-effective addition to the current policy. We performed a retrospective
cohort data analysis of 163,990 cases of pneumonia hospitalizations and 1,305,223
cases of outpatient pneumonia from 2004 to 2012, capturing more than 54% of the
Israeli population. Our findings demonstrate that patients infected with
pneumonia in the year prior had a substantially higher risk of becoming infected
with pneumonia in subsequent years (relative risk >2.34, p<0.01). Results
indicated that the benefit of targeting for influenza vaccination patients
hospitalized with pneumonia in prior year would be cost-saving regardless of age.
Complementing the current policy with the targeting of prior pneumonia patients
would require vaccination of only a further 2.3% of the Israeli population to
save additional 204-407 quality-adjusted life years (QALYs) annually at a mean
price of 58-1056 USD/QALY saved. Global uncertainty analysis demonstrates that
the cost-effectiveness of adding this policy is robust over a vast range of
conditions. As prior pneumonia patients are currently not prioritized for
influenza vaccination in Israel, nor elsewhere, this study suggests a novel
supplement of current policies to improve cost-effectiveness of influenza
vaccination. Future studies should use case-control study to further evaluate the
effectiveness of vaccination in prior pneumonia patients.
PMID- 24930718
TI - Gallbladder tumor and pseudotumor: Diagnosis and management.
AB - The most common gallbladder disease, by far, is cholecystolithiasis.
Nevertheless, the discovery of abnormal thickening of the gallbladder wall or a
tumorous lesion (with or without gallstones), is a frequent problem. The
physician who confronts this finding must be aware of the various lesions to be
considered in the differential diagnosis, whether neoplastic or pseudotumoral,
epithelial or not, benign or malignant. Because of the particularly grim
prognosis of gallbladder cancer, especially when discovered at a late stage, it
is especially important to focus on the potential for malignant degeneration of
any gallbladder lesion. Imaging plays an important role in distinguishing these
lesions; ultrasound remains the key diagnostic tool for gallbladder disease, but
other modalities including CT and MRI may help to characterize these lesions. The
resulting treatment strategies vary widely depending on the risk of malignancy. A
wide and extensive resection is recommended for malignant lesions; prophylactic
cholecystectomy is recommended for lesions at risk for malignant degeneration
while observation is indicated for purely benign lesions.
PMID- 24930719
TI - Fitness prospects: effects of age, sex and recruitment age on reproductive value
in a long-lived seabird.
AB - Reproductive value is an integrated measure of survival and reproduction
fundamental to understanding life-history evolution and population dynamics, but
little is known about intraspecific variation in reproductive value and factors
explaining such variation, if any. By applying generalized additive mixed models
to longitudinal individual-based data of the common tern Sterna hirundo, we
estimated age-specific annual survival probability, breeding probability and
reproductive performance, based on which we calculated age-specific reproductive
values. We investigated effects of sex and recruitment age (RA) on each trait. We
found age effects on all traits, with survival and breeding probability declining
with age, while reproductive performance first improved with age before levelling
off. We only found a very small, marginally significant, sex effect on survival
probability, but evidence for decreasing age-specific breeding probability and
reproductive performance with RA. As a result, males had slightly lower age
specific reproductive values than females, while birds of both sexes that
recruited at the earliest ages of 2 and 3 years (i.e. 54% of the tern population)
had somewhat higher fitness prospects than birds recruiting at later ages. While
the RA effects on breeding probability and reproductive performance were
statistically significant, these effects were not large enough to translate to
significant effects on reproductive value. Age-specific reproductive values
provided evidence for senescence, which came with fitness costs in a range of 17
21% for the sex-RA groups. Our study suggests that intraspecific variation in
reproductive value may exist, but that, in the common tern, the differences are
small.
PMID- 24930717
TI - Evaluation of recombinant Mycoplasma hyopneumoniae P97/P102 paralogs formulated
with selected adjuvants as vaccines against mycoplasmal pneumonia in pigs.
AB - Pig responses to recombinant subunit vaccines containing fragments of eight
multifunctional adhesins of the Mycoplasma hyopneumoniae (Mhp) P97/P102 paralog
family formulated with Alhydrogel((r)) or MontanideTM Gel01 were compared with a
commercial bacterin following experimental challenge. Pigs, vaccinated
intramuscularly at 9, 12 and 15 weeks of age with either of the recombinant
formulations (n=10 per group) or Suvaxyn((r)) M. hyo (n=12), were challenged with
Mhp strain Hillcrest at 17 weeks of age. Unvaccinated, challenged pigs (n=12)
served as a control group. Coughing was assessed daily. Antigen-specific antibody
responses were monitored by ELISA in serum and tracheobronchial lavage fluid
(TBLF), while TBLF was also assayed for cytokine responses (ELISA) and bacterial
load (qPCR). At slaughter, gross and histopathology of lungs were quantified and
damage to epithelial cilia in the porcine trachea was evaluated by scanning
electron microscopy. Suvaxyn((r)) M. hyo administration induced significant
serological responses against Mhp strain 232 whole cell lysates (wcl) and
recombinant antigen F3P216, but not against the remaining vaccine subunit
antigens. Alhydrogel((r)) and MontanideTM Gel01-adjuvanted antigen induced
significant antigen-specific IgG responses, with the latter adjuvant eliciting
comparable Mhp strain 232 wcl specific IgG responses to Suvaxyn((r)) M. hyo. No
significant post-vaccination antigen-specific mucosal responses were detected
with the recombinant vaccinates. Suvaxyn((r)) M. hyo was superior in reducing
clinical signs, lung lesion severity and bacterial load but the recombinant
formulations offered comparable protection against cilial damage. Lower IL-1beta,
TNF-alpha and IL-6 responses after challenge were associated with reduced lung
lesion severity in Suvaxyn((r)) M. hyo vaccinates, while elevated pathology
scores in recombinant vaccinates corresponded to cytokine levels that were
similarly elevated as in unvaccinated pigs. This study highlights the need for
continued research into protective antigens and vaccination strategies that will
prevent Mhp colonisation and establishment of infection.
PMID- 24930720
TI - Whole genome single-nucleotide variation profile-based phylogenetic tree building
methods for analysis of viral, bacterial and human genomes.
AB - Next-generation sequencing data can be mapped to a reference genome to identify
single-nucleotide polymorphisms/variations (SNPs/SNVs; called SNPs hereafter). In
theory, SNPs can be compared across several samples and the differences can be
used to create phylogenetic trees depicting relatedness among the samples.
However, in practice this is difficult because currently there is no stand-alone
tool that takes SNP data directly as input and produces phylogenetic trees. In
response to this need, PhyloSNP application was created with two analysis methods
1) a quantitative method that creates the presence/absence matrix which can be
directly used to generate phylogenetic trees or creates a tree from a shrunk
genome alignment (includes additional bases surrounding the SNP position) and 2)
a qualitative method that clusters samples based on the frequency of different
bases found at a particular position. The algorithms were used to generate trees
from Poliovirus, Burkholderia and human cancer genomics NGS datasets.
AVAILABILITY: PhyloSNP is freely available for download at
http://hive.biochemistry.gwu.edu/dna.cgi?cmd=phylosnp.
PMID- 24930721
TI - D6 PROTEIN KINASE activates auxin transport-dependent growth and PIN-FORMED
phosphorylation at the plasma membrane.
AB - The directed cell-to-cell transport of the phytohormone auxin by efflux and
influx transporters is essential for proper plant growth and development. Like
auxin efflux facilitators of the PIN-FORMED (PIN) family, D6 PROTEIN KINASE
(D6PK) from Arabidopsis thaliana localizes to the basal plasma membrane of many
cells, and evidence exists that D6PK may directly phosphorylate PINs. We find
that D6PK is a membrane-bound protein that is associated with either the basal
domain of the plasma membrane or endomembranes. Inhibition of the trafficking
regulator GNOM leads to a rapid internalization of D6PK to endomembranes.
Interestingly, the dissociation of D6PK from the plasma membrane is also promoted
by auxin. Surprisingly, we find that auxin transport-dependent tropic responses
are critically and reversibly controlled by D6PK and D6PK-dependent PIN
phosphorylation at the plasma membrane. We conclude that D6PK abundance at the
plasma membrane and likely D6PK-dependent PIN phosphorylation are prerequisites
for PIN-mediated auxin transport.
PMID- 24930722
TI - Left-right organizer flow dynamics: how much cilia activity reliably yields
laterality?
AB - Internal organs are asymmetrically positioned inside the body. Embryonic motile
cilia play an essential role in this process by generating a directional fluid
flow inside the vertebrate left-right organizer. Detailed characterization of how
fluid flow dynamics modulates laterality is lacking. We used zebrafish genetics
to experimentally generate a range of flow dynamics. By following the development
of each embryo, we show that fluid flow in the left-right organizer is asymmetric
and provides a good predictor of organ laterality. This was tested in mosaic
organizers composed of motile and immotile cilia generated by dnah7 knockdowns.
In parallel, we used simulations of fluid dynamics to analyze our experimental
data. These revealed that fluid flow generated by 30 or more cilia predicts 90%
situs solitus, similar to experimental observations. We conclude that cilia
number, dorsal anterior motile cilia clustering, and left flow are critical to
situs solitus via robust asymmetric charon expression.
PMID- 24930723
TI - [Cognitive remediation and work outcome in schizophrenia].
AB - Recovery is partly defined by the patients' capacity to work, since doing well in
a job favors hope and responsibilities' taking. Diminished job placement or
tenure is linked with cognitive disorders, which impact directly and indirectly
(through negative symptoms) functional outcomes. Attention, executive functions
and working memory disorders can result in an alteration of the ability to manage
the tasks required in the workplace. Executive function, working memory and
social cognition disorders may also have an impact on behavior in relationships.
Cognitive disorders do not automatically directly contribute to vocational
outcome, yet their effects may be mediated by other variables such as symptoms,
metacognition, social skills and intrinsic motivation. Then, since all these
dimensions have to be taken into account, reducing the impact of cognitive
troubles becomes a major challenge for the care of schizophrenia. Cognitive
remediation is the more effective therapeutic tool to reduce cognitive
dysfunctions. It rests in particular on the development of new strategies that
allow taking concrete situations into account more efficiently. Cognitive
remediation reduces the detrimental consequences of cognitive disorders and
permits their compensation. It has emerged as an effective treatment, that
improves not only cognitive abilities but also functioning, as it has been shown
by numerous randomized controlled studies and several meta-analyses. The present
article considers the effects on cognitive remediation on work function in
schizophrenia. Several randomized controlled trials that compared supported
employment alone versus supported employment associated with cognitive
remediation showed significant improvement of employment rates in the latter
condition. These results favor the use of cognitive remediation before job
placement. The specific needs of the occupation that will be provided and the
cognitive profile of the user should be taken into account.
PMID- 24930724
TI - [Two "hybrid" vocational services for people with a severe mental illness in
France and Quebec].
AB - Many different types of vocational programs (services) exist to help people with
severe mental disorders (e.g., schizophrenia) integrate the regular labor market:
sheltered workshops, social enterprises, and supported employment programs to
name a few. Each type of vocational services has its specificities: on one hand,
some of them are following the "train and place" approach. For example, sheltered
workshops offer to people with a severe mental illness a training during a long
period of, with a small proportion obtaining competitive employment. On the other
hand, other programs adopt the "place and train" philosophy, such as supported
employment programs, in which employment specialists help people obtain a
competitive job as fast as possible with no requested training. This article
presents two original vocational services, the Messidor's sheltered workshops in
France and the Acces-Cible SMT supported employment program in Quebec, following
an "hybrid" approach including both philosophies "place and train" and "train and
place". More particularly, they are both aiming at competitive employment on the
regular labor market for people with a severe mental illness, with a different
length of training. Messidor consists of a sheltered workplace for people with a
severe mental illness in France, using this time of transition in the workshops
as a tool to obtain a competitive job. Thanks to three key factors, Messidor
succeeds in placing many of their workers in the French regular labor market: (1)
Workers with severe mental disorders work on tasks and workplaces similar to
those in regular labor market; (2) Messidor's managers have small teams (5-7
persons) that offer a nearby and personalized management to workers; (3) Each
worker is followed by a Messidor's employment counsellor, to build together a
working plan and put in place work strategies to obtain a competitive job. This
"double management" seems to be a key ingredient of this support as it promotes
some success in getting a job as well as in developing some recovery effects.
Acces-Cible SMT located in Montreal (Quebec, Canada) is also an interesting
"hybrid" program since people with severe mental disorders can be supported by a
counsellor, with a short period of training (a 28-week program with 6 steps)
before integrating the regular labor market. The philosophy of Acces-Cible SMT is
to consider their clients as normal persons more than as patients, and its
objective is mainly to restore confidence and self-esteem of the person by
putting emphasis on their professional skills. Meetings in groups, practicums in
the workplace, and the utilization of job search strategies are essential
ingredients of Acces-Cible SMT, which are also efficient tools to develop a
better empowerment of the person. Indeed, the common ingredients/elements of
these two vocational services, Messidor and Acces-Cible SMT, seem to be the
development of empowerment for people with severe mental disorders. The
scientific literature supports that empowerment is one of the key factors of
recovery for people with a mental illness, a recovery process that can be
illustrated by their work integration in the regular labor market as a final
goal.
PMID- 24930725
TI - [Induction of labor in twin pregnancies compared to singleton pregnancies; risk
factors for failure].
AB - OBJECTIVES: The aim of this study was to evaluate the modalities of induction of
labour in twin pregnancies compared with singleton pregnancies and to identify
risk factors for failure. MATERIALS ET METHODS: A retrospective population-based
study was conducted at the Toulouse University Hospital to compare a cohort of
diamniotic twin gestations (Twin A in vertex presentation), with induction of
labour >=36 weeks of gestation, between January 2007 and December 2012, to a
singleton's cohort that were induced >=36 weeks of gestation during the 2007
year. One singleton pregnancy was matched for each twin pregnancy with parity and
gestational age. RESULTS: One hundred and fifty-six twins pregnancies met the
inclusion criteria for an induction of labor and were compared to 156 single
pregnancies. The same and standard protocol of induction of labor was used for
the two cohorts (intrauterine balloon catheter+/-dinoprostone/ocytocine). The
cesarean section rate for failed labor induction (cesarean in latent phase) was
similar in the 2 populations (14.7% for twin vs 13.5% for single; P=0.66). The
factors associated to failed induction of labor in the total population were
nulliparity (OR=1.49) and Bishop score<6 at the beginning of the induction
(OR=2.83). CONCLUSION: Twin did not appear as risk of failed induction. The
protocol for induction of labor in singletons may be safely proposed to twin
gestations.
PMID- 24930726
TI - [Pregnancy and substance use in France: a literature review].
AB - OBJECTIVES: Substance use during pregnancy is an important public health issue.
It requires identifying at-risk populations and risk perception among women.
MATERIALS AND METHODS: A literature review was conducted. It included French
studies conducted since 2000 on substance use during pregnancy (tobacco, alcohol,
marijuana, psychotropic drugs) and risk perception. RESULTS: In France, in 2010,
24% of pregnant women smoke--17% in the 3rd trimester. Depending on studies, the
prevalence of alcohol use ranged from 12 to 63% and binge drinking ranged from 1
to 7%; daily drinking was below 0.5%. Marijuana use ranged from 1 to 3%, and
psychotropic drugs ranged from 2 to 4%. Little research has been dedicated to
risk perception. Studies show a lack of awareness about the equivalence of risks
between fermented and distilled beverages and about the risks of moderate smoking
during pregnancy. CONCLUSION: Data is lacking to characterize at-risk populations
and mechanisms underlying risky behaviors.
PMID- 24930727
TI - [HPV contamination of endocavity vaginal ultrasound probes].
AB - While the use of endovaginal ultrasound probes is increasing, the risk of
contamination of women with endocavity vaginal probes was not assessed. In
particular, the clinical significance of detection of human papillomavirus (HPV)
infection, the most common sexually transmitted viral infection, on endovaginal
ultrasound probes is uncertain. The recommendations of good practice for
decontamination of these probes developed by the High Council for Public Health
and the Academy of Medicine have not been evaluated. The objective of this
article was to review recent publications concluding to the detection of HPV and
human cellular DNA after gynecological examination and disinfection of vaginal
ultrasound probes.
PMID- 24930729
TI - Protein kinases as cardiovascular therapeutic targets.
PMID- 24930730
TI - Impacts of COX-1 gene polymorphisms on vascular outcomes in patients with
ischemic stroke and treated with aspirin.
AB - As the key point of function for aspirin to educe anti-platelet effects,
cyclooxygenase-1 (COX-1) gene polymorphisms have long been suspected as a
potential cause for aspirin nonresponsiveness. But this hypothesis has not been
confirmed by large longitudinal studies. This study prospectively evaluated the
impacts of COX-1 gene polymorphisms on stroke recurrence and other vascular
events in a large cohort of Chinese patients with ischemic stroke and treated
with aspirin. Between December 2009 and October 2012, consecutive patients with
ischemic stroke and treated with aspirin were enrolled. Polymorphisms of four
alleles (rs1330344, rs10306114, rs3842788 and rs5788) in COX-1 gene were
determined at baseline. The primary endpoint was a composite of nonfatal ischemic
stroke, myocardial infarction, and death from cardiovascular causes. Impacts of
COX-1 gene polymorphisms on vascular outcomes were evaluated with multivariate
analysis. A total of 859 patients were included in data analysis. The minor
allele frequencies of rs1330344, rs10306114, rs3842788 and rs5788 were 38.53%,
0.12%, 6.64% and 5.53%, respectively. During 14.64 +/- 7.44 months of follow-up,
primary endpoint was observed in 67 (7.80%) patients. Incidence of primary
endpoint was higher in patients with CC genotype of rs1330344 than in patients
with CT or TT genotype (HR=1.916, 95% CI: 1.126-3.260, P=0.016). After being
adjusted for potential confounding factors, rs1330344 CC genotype was still
independently associated with incidence of primary endpoint (HR=1.958, 95% CI:
1.151-3.332, P=0.013). The impacts of other three tested polymorphisms on primary
endpoint were unremarkable. In conclusion, in Chinese patients with ischemic
stroke and treated with aspirin, CC genotype of rs1330344 may increase the risk
of subsequent vascular events.
PMID- 24930731
TI - Promoter characterization and functional association with placenta of porcine
MAGEL2.
AB - MAGEL2 (melanoma antigen-like gene 2) is essential for circadian function,
metabolism and reproduction in mammals. This study was conducted to investigate
transcriptional regulation and functional importance in the placenta of porcine
MAGEL2. Quantitative real-time PCR showed that MAGEL2 was highly expressed in
porcine hypothalamus, pituitary and placenta (P<0.05). The gene was down
regulated in Meishan but up-regulated in Duroc placentas from 25 days post-coitum
(dpc) to 105 dpc (P<0.01). Dual luciferase assay demonstrated that the region
151/+110 had the highest promoter activity. Of the g. -712C>G and g. -708T>C
polymorphisms in MAGEL2 promoter, -712C and -708T were observed to be predominant
in Large White, Landrace and Duroc populations, while -712G and -708C were
predominant in Meishan and Rongchang populations. Moreover, -712C>G and -708T>C
had significant effects on MAGEL2 transcription (P<0.05) and placental efficiency
(P<0.01). In conclusion, -151/+110 harbors the basal promoter of porcine MAGEL2.
The region upstream the basal promoter contains repressive cis-elements. And,
MAGEL2 is essential in porcine placenta.
PMID- 24930728
TI - Losmapimod, a novel p38 mitogen-activated protein kinase inhibitor, in non-ST
segment elevation myocardial infarction: a randomised phase 2 trial.
AB - BACKGROUND: p38 MAPK inhibition has potential myocardial protective effects. We
assessed losmapimod, a potent oral p38 MAPK inhibitor, in patients with non-ST
segment elevation myocardial infarction (NSTEMI) in a double-blind, randomised,
placebo-controlled trial. METHODS: From October, 2009, to November, 2011, NSTEMI
patients were assigned oral losmapimod (7.5 mg or 15.0 mg loading dose followed
by 7.5 mg twice daily) or matching placebo in a 3:3:2 ratio. Safety outcomes were
serious adverse events and alanine aminotransferase (ALT) concentrations over 12
weeks, and cardiac events (death, myocardial infarction, recurrent ischaemia,
stroke, and heart failure) at 90 days. Efficacy outcomes were high-sensitivity C
reactive protein (hsCRP) and B-type natriuretic peptide (BNP) concentrations at
72 h and 12 weeks, and troponin I area under the curve (AUC) over 72 h. The
losmapimod groups were pooled for analysis. This trial is registered with
ClinicalTrials.gov, number NCT00910962. FINDINGS: Of 535 patients enrolled, 526
(98%) received at least one dose of study treatment (losmapimod n=388 and placebo
n=138). Safety outcomes did not differ between groups. HsCRP concentrations at 72
h were lower in the losmapimod group than in the placebo group (geometric mean
64.1 nmol/L, 95% CI 53.0-77.6 vs 110.8 nmol/L, 83.1-147.7; p=0.0009) but were
similar at 12 weeks. Early geometric mean BNP concentrations were similar at 72 h
but significantly lower in the losmapimod group at 12 weeks (37.2 ng/L, 95% CI
32.3-42.9 vs 49.4 ng/L, 38.7-63.0; p=0.04). Mean troponin I AUC values did not
differ. INTERPRETATION: p38 MAPK inhibition with oral losmapimod was well
tolerated in NSTEMI patients and might improve outcomes after acute coronary
syndromes. FUNDING: GlaxoSmithKline.
PMID- 24930732
TI - Disentangling plastic and genetic changes in body mass of Siberian jays.
AB - Spatial and temporal phenotypic differentiation in mean body size is of
commonplace occurrence, but the underlying causes remain often unclear: both
genetic differentiation in response to selection (or drift) and environmentally
induced plasticity can create similar phenotypic patterns. Studying changes in
body mass in Siberian jays (Perisoreus infaustus) over three decades, we
discovered that mean body mass declined drastically (ca. 10%) over the first two
decades, but increased markedly thereafter back to almost the initial level.
Quantitative genetic analyses revealed that although body mass was heritable
(h(2) = 0.46), the pronounced temporal decrease in body mass was mainly a product
of phenotypic plasticity. However, a concomitant and statistically significant
decrease in predicted breeding values suggests a genetic component to this
change. The subsequent increase in mean body mass was indicated to be entirely
due to plasticity. Selection on body mass was estimated to be too weak to fully
account for the observed genetic decline in body mass, but bias in selection
differential estimates due to environmental covariance between body mass and
fitness is possible. Hence, the observed body mass changes appear to be driven
mainly by phenotypic plasticity. Although we were not able to identify the
ecological driver of the observed plastic changes, the results highlight the
utility of quantitative genetic approaches in disentangling genetic and
phenotypic changes in natural populations.
PMID- 24930734
TI - The SUMO-specific isopeptidase SENP3 regulates MLL1/MLL2 methyltransferase
complexes and controls osteogenic differentiation.
AB - The ubiquitin-like SUMO system regulates gene expression, but the molecular
insights into this process are incomplete. We show that the SUMO-specific
isopeptidase SENP3 controls H3K4 methylation by regulating histone-modifying
SET1/MLL complexes. SET1/MLL complexes are composed of a histone
methyltransferase and the regulatory components WDR5, RbBP5, Ash2L, and DPY-30.
MLL1/MLL2 complexes contain menin as additional component and are particularly
important for the activation of HOX genes. We demonstrate that SENP3 is
associated with MLL1/MLL2 complexes and catalyzes deSUMOylation of RbBP5. This is
required for activation of a subset of HOX genes, including the developmental
regulator DLX3. In the absence of SENP3, the association of menin and Ash2L with
the DLX3 gene is impaired, leading to decreased H3K4 methylation and reduced
recruitment of active RNA polymerase II. Importantly, the SENP3-DLX3 pathway
dictates osteogenic differentiation of human stem cells, thus delineating the
importance of balanced SUMOylation for epigenetic control of gene expression
programs.
PMID- 24930736
TI - Efficacy of traditional and alternative sunitinib treatment schedules in Japanese
patients with metastatic renal cell carcinoma.
AB - We report the adverse events and efficacy of traditional (4 weeks on 2 weeks off)
and alternative sunitinib treatment schedules for Japanese patients with
metastatic renal cell carcinoma. We retrospectively investigated 54 patients who
received sunitinib for metastatic renal cell carcinoma between May 2006 and June
2012: 32 received a traditional treatment schedule and 22 received an alternative
schedule. According to the Memorial Sloan-Kettering Cancer Center risk
classification, five patients had favorable prognoses, 42 had intermediate
prognoses and seven had poor prognoses. The mean observation periods were 16.3
and 20 months for the traditional and alternative schedule groups, respectively.
Adverse events were significantly less common in the alternative schedule group,
including most high-grade events. In the traditional and alternative schedule
groups, median times to failure were 4.1 and 11.6 months (P = 0.040), median
progression-free survival times were 4.1 and 11.3 months (P = 0.031), and median
overall survival times were 12.0 and 32.1 months (P = 0.018), respectively. Each
of these measures was better in the group of patients who received an alternative
treatment schedule, suggesting that individualized changes to the sunitinib
administration schedule can be effective.
PMID- 24930733
TI - The calcineurin signaling network evolves via conserved kinase-phosphatase
modules that transcend substrate identity.
AB - To define a functional network for calcineurin, the conserved Ca(2+)/calmodulin
regulated phosphatase, we systematically identified its substrates in S.
cerevisiae using phosphoproteomics and bioinformatics, followed by copurification
and dephosphorylation assays. This study establishes new calcineurin functions
and reveals mechanisms that shape calcineurin network evolution. Analyses of
closely related yeasts show that many proteins were recently recruited to the
network by acquiring a calcineurin-recognition motif. Calcineurin substrates in
yeast and mammals are distinct due to network rewiring but, surprisingly, are
phosphorylated by similar kinases. We postulate that corecognition of conserved
substrate features, including phosphorylation and docking motifs, preserves
calcineurin-kinase opposition during evolution. One example we document is a
composite docking site that confers substrate recognition by both calcineurin and
MAPK. We propose that conserved kinase-phosphatase pairs define the architecture
of signaling networks and allow other connections between kinases and
phosphatases to develop that establish common regulatory motifs in signaling
networks.
PMID- 24930735
TI - Genetic diversity and population structure of long-tailed macaque (Macaca
fascicularis) populations in Peninsular Malaysia.
AB - BACKGROUND: The genetic diversity and structure of long-tailed macaques (Macaca
fascicularis) in Peninsular Malaysia, a widely used non-human primate species in
biomedical research, have not been thoroughly characterized. METHODS: Thirteen
sites of wild populations of long-tailed macaques representing six states were
sampled and analyzed with 18 STR markers. RESULTS: The Sunggala and Penang Island
populations showed the highest genetic diversity estimates, while the Jerejak
Island population was the most genetically discrete due to isolation from the
mainland shelf. Concordant with pairwise F(st) estimates, STRUCTURE analyses of
the seven PCA-correlated clusters revealed low to moderate differentiation among
the sampling sites. No association between geographic and genetic distances
exists, suggesting that the study sites, including island study sites, are
genetically if not geographically contiguous. CONCLUSIONS: The status of the
genetic structure and composition of long-tailed macaque populations require
further scrutiny to develop this species as an important animal model in
biomedical research.
PMID- 24930738
TI - Surfactants in the sea-surface microlayer and atmospheric aerosol around the
southern region of Peninsular Malaysia.
AB - This study was conducted to determine the composition of surfactants in the sea
surface microlayer (SML) and atmospheric aerosol around the southern region of
the Peninsular Malaysia. Surfactants in samples taken from the SML and
atmospheric aerosol were determined using a colorimetric method, as either
methylene blue active substances (MBAS) or disulphine blue active substances
(DBAS). Principal component analysis with multiple linear regressions (PCA-MLR),
using the anion and major element composition of the aerosol samples, was used to
determine possible sources of surfactants in atmospheric aerosol. The results
showed that the concentrations of surfactants in the SML and atmospheric aerosol
were dominated by anionic surfactants and that surfactants in aerosol were not
directly correlated (p>0.05) with surfactants in the SML. Further PCA-MLR from
anion and major element concentrations showed that combustion of fossil fuel and
sea spray were the major contributors to surfactants in aerosol in the study
area.
PMID- 24930737
TI - Heavy metal contamination and ecological risk in Spartina alterniflora marsh in
intertidal sediments of Bohai Bay, China.
AB - To investigate the effects of Spartina alterniflora on heavy metals pollution of
intertidal sediments, sediment cores of a S. alterniflora salt marsh and a
mudflat in Bohai Bay, China were analyzed. The results showed that S.
alterniflora caused higher total C and P, but lower bulk density and electrical
conductivity. The levels of Cd, Cu and Pb were higher in S. alterniflora
sediment. Both Cd and Zn were higher than the probable effect level at both
sites, indicating their toxicological importance. The geo-accumulation and
potential ecological risk indexes revealed higher metal contamination in S.
alterniflora sediment. Multivariate analysis implied that anthropogenic
activities altered mobility and bioavailability of heavy metals. The percentage
of mobile heavy metals was higher in S. alterniflora sediment, indicating
improvement of conversion from the immobilized fraction to the mobilized
fraction. These findings indicate that S. alterniflora may facilitate
accumulation of heavy metals and increase their bioavailability and mobility.
PMID- 24930739
TI - Quantities, composition, and sources of beach debris in Korea from the results of
nationwide monitoring.
AB - This study assessed the levels of marine debris pollution and identified its main
sources in Korea. The surveys were bimonthly conducted by NGO leaders and
volunteers on 20 beaches from March 2008 to November 2009. The quantities of
marine debris were estimated at 480.9 (+/-267.7) count?100 m(-1) for number, 86.5
(+/-78.6) kg?100 m(-1) for weight, and 0.48 (+/-0.38) m(3)?100 m(-1) for volume.
The level of marine debris pollution on the Korean beaches was comparable to that
in the coastal areas of the North Atlantic ocean and South Africa. Plastics and
styrofoam occupied the majority of debris composition in terms of number (66.7%)
and volume (62.3%). The main sources of debris were fishing activities including
commercial fisheries and marine aquaculture (51.3%). Especially styrofoam buoy
from aquaculture was the biggest contributor to marine debris pollution on these
beaches.
PMID- 24930740
TI - The use of botulinum toxin in otorhinolaryngology: an updated review.
AB - BACKGROUND: The use of botulinum toxin in Otorhinolaryngology has rapidly
expanded over the last decade. Current observation is that the use of botulinum
toxin in Otorhinolaryngology is relatively poorly acknowledged by
Otorhinolaryngologists. OBJECTIVE OF REVIEW: To summarise the non-cosmetic uses
of botulinum toxin in Otorhinolaryngology. TYPE OF REVIEW: Narrative. SEARCH
STRATEGY AND EVALUATION METHOD: A literature search was performed using the
Medline and Embase databases. Combinations of 'botulinum toxin' with each
indication were searched. A citation was included if it evaluated a non-cosmetic
use of botulinum toxin in Otorhinolaryngology. Eligibility of studies was
assessed by two reviewers. A total of 1187 abstracts were reviewed and 97
articles identified. CONCLUSIONS: Botulinum toxin provides an effective and
minimally invasive treatment option in a wide range of non-cosmetic indications.
Side-effects are few and transient with an excellent safety profile. Ongoing
research is required to fully critically appraise its uses.
PMID- 24930741
TI - Virus particle assembly into crystalline domains enabled by the coffee ring
effect.
AB - Tobacco mosaic virus particles can be rapidly assembled into 3D-domains by
capillary flow-driven alignment at the triple contact-line of an evaporating
droplet. Virus particles of ~150 A diameter can be resolved within individual
domains at the outer rim of the "coffee-ring" type residue by atomic force
microscopy. The crystalline domains can also be probed by X-ray microdiffraction
techniques. Both techniques reveal that the rod-like virus particles are oriented
parallel to the rim. We further demonstrate the feasibility of collection of hk0
reflection intensities in GISAXS geometry and show it allows calculating a low
resolution electron density projection along the rod axis.
PMID- 24930743
TI - Two CCAAT-box-binding transcription factors redundantly regulate early steps of
the legume-rhizobia endosymbiosis.
AB - During endosymbiotic interactions between legume plants and nitrogen-fixing
rhizobia, successful root infection by bacteria and nodule organogenesis requires
the perception and transduction of bacterial lipo-chitooligosaccharidic signal
called Nod factor (NF). NF perception in legume roots leads to the activation of
an early signaling pathway and of a set of symbiotic genes which is controlled by
specific early transcription factors (TFs) including CYCLOPS/IPD3, NSP1, NSP2,
ERN1 and NIN. In this study, we bring convincing evidence that the Medicago
truncatula CCAAT-box-binding NF-YA1 TF, previously associated with later stages
of rhizobial infection and nodule meristem formation is, together with its
closest homolog NF-YA2, also an essential positive regulator of the NF-signaling
pathway. Here we show that NF-YA1 and NF-YA2 are both expressed in epidermal
cells responding to NFs and their knock-down by reverse genetic approaches
severely affects the NF-induced expression of symbiotic genes and rhizobial
infection. Further over-expression, transactivation and ChIP-PCR approaches
indicate that NF-YA1 and NF-YA2 function, at least in part, via the direct
activation of ERN1. We thus propose a model in which NF-YA1 and NF-YA2 appear as
early symbiotic regulators acting downstream of DMI3 and NIN and possibly within
the same regulatory complexes as NSP1/2 to directly activate the expression of
ERN1.
PMID- 24930745
TI - Antimicrobial efficacy of chlorhexidine as a root canal irrigant: a literature
review.
AB - Use of an appropriate root canal irrigant is essential during endodontic
treatment, due to the complex and unpredictable anatomy of the root canal system
and limitations in the mechanical instrumentation techniques used to obtain a
clean, bacteria-free canal. Several irrigants, such as sodium hypochlorite,
chlorhexidine, hydrogen peroxide, and normal saline, have been proposed as canal
system irrigants in endodontic treatment. The widely used endodontic irrigant
chlorhexidine is a positively charged lipophilic/hydrophobic molecule that
interacts with phospholipids and lipopolysaccharides on the bacterial cell
membrane. In endodontics, its mode of antibacterial activity is determined by its
concentration (0.2% or 2%). This article reviews findings from available
endodontic studies on the antibacterial, antifungal, and antibiofilm activities
of chlorhexidine.
PMID- 24930744
TI - Periodontal status and serum biomarkers levels in haemodialysis patients.
AB - AIM: To investigate the association between periodontal status and serum
biomarkers levels in haemodialysis patients. METHODS: This cross-sectional study
included 96 haemodialysis patients. The periodontal evaluation was realized using
clinical attachment level (CAL), probing depth (PD), gingival bleeding index
(GBI), visible plaque index (VPI) and gingival index (GI). Biochemical and
haematological data - serum albumin, phosphorus, creatinine, transferrin,
ferritin, iron, alkaline phosphatase, calcium, potassium and haemoglobin - were
collected from the medical records. The subject was diagnosed with periodontitis
if he/she had at least two inter-proximal sites in different teeth with CAL >=4
mm and/or at least two inter-proximal sites in separate teeth with PD >=5 mm.
RESULTS: The study population consisted of 45 men and 51 women, with mean time
under haemodialysis of 45.6 +/- 33.1 months. Periodontitis was observed in 59.4%
of the subjects. The periodontitis group had albumin (p = 0.021) and phosphorus
(p = 0.024) serum levels lower than the no periodontitis group. Thus, there was a
positive association of periodontitis with hypoalbuminaemia (OR = 9.10, p =
0.006) and a negative association with hyperphosphataemia (OR = 0.21, p = 0.010).
CONCLUSIONS: These findings suggest that periodontitis is associated with albumin
and phosphorus serum levels in haemodialysis patients.
PMID- 24930746
TI - Effect of gutta-percha solvents on fiberglass post bond strength to root canal
dentin.
AB - The purpose of this study was to investigate the influence of gutta-percha
solvents on the bond strength of fiberglass post to root canal dentin. Forty
bovine incisors were decoronated, prepared, filled, and randomly distributed into
four groups (n = 10) according to the gutta-percha solvent used: control, xylene,
eucalyptol and orange oil. After root canal treatment, the posts were cemented
into the prepared root canals using a resin-based cement. A micro push-out test
was executed, and the patterns of failure were assessed with microscopy. The data
were analyzed using two-way ANOVA followed by Tukey's test. The control group
exhibited greater bond strength compared to the eucalyptol group in the cervical
and middle thirds of the root (P < 0.05); however, it did not differ
significantly from the xylene and orange oil groups (P > 0.05). No difference was
observed in the values of the xylene, orange oil, and eucalyptol groups (P >
0.05). The cervical third had higher values than the apical third for all tested
solvents (P < 0.05). Adhesive failure between resin cement and dentin was the
most frequent type of failure. The use of xylene and orange oil as gutta-percha
solvents did not influence the bond strength of fiberglass posts to root canal
dentin.
PMID- 24930747
TI - A healing period increases mini-implant stability in growing rats.
AB - We assessed the stability of orthodontic mini-implants in young rats. Male rats
with mean ages of 6 weeks (n = 16) and 20 weeks (n = 16) were divided into four
groups (n = 8 each). In the 6- and 20-week immediate-loading groups, immediately
after placement, mini-implants were exposed to an experimental traction force for
2 weeks. In the 6- and 20-week healing groups, the force was applied for 2 weeks
after a 6-week healing period. Right tibiae served as the test limbs and the left
tibiae as controls. A Periotest device was used to measure mini-implant mobility
after traction, and Tukey's test was used to compare Periotest values among
groups. The results showed significantly greater mobility in the 6-week immediate
loading group than in the 20-week immediate-loading and 6- and 20-week healing
groups, and significantly less mobility in the 6-week healing group than in the
20-week immediate-loading group (P < 0.05). Mini-implants were stable during the
healing period. The results indicate that mini-implants can be used for
orthodontic anchorage in juvenile patients if the duration of healing is
sufficient.
PMID- 24930748
TI - Chitosan exerts anticancer activity through induction of apoptosis and cell cycle
arrest in oral cancer cells.
AB - Chitosan, a multipurpose biomaterial, has been shown to exert effects against
several types of cancer including oral cancer. However, the mechanisms underlying
the anticancer activities of chitosan on oral squamous cell carcinoma (SCC) cells
remain largely unknown. The present study aimed to compare the effects of low
molecular-weight chitosan (LMWC) and cisplatin on oral SCC Ca9-22 and non-cancer
keratinocyte HaCaT cell lines. Cell viability and cell cycle profiles were
measured by MTT assay and laser scanning cytometry, respectively. Apoptosis was
examined by TUNEL assay and electron microscopy, followed by analysis of caspase
activity. LMWC exhibited cytotoxic effects on Ca9-22, but not HaCaT cells,
whereas cisplatin induced apoptosis in both types of cells. Exposure of Ca9-22
cells to LMWC led to G1/S cell cycle arrest and an increase of TUNEL-positive
cells accompanied by an early apoptotic cell morphology and subtle increases of
caspase activity. Short-term LMWC exposure was less cytotoxic to HaCaT cells than
to Ca9-22 cells, and anticancer activity was exerted through induction of
apoptosis and cell cycle arrest, suggesting that LMWC could be a promising
natural anticancer agent with fewer side effects on normal cells.
PMID- 24930749
TI - Association of temporomandibular disorder symptoms with anxiety and depression in
Portuguese college students.
AB - We investigated the prevalence of temporomandibular disorder (TMD) and its
association with anxiety and depression among 1,493 Portuguese college students
(age 17-69 years) at Piaget Institute. The assessment instruments were the
Fonseca Anamnestic Questionnaire and the Hospital Anxiety and Depression Scale.
TMD was present in 633 (42.4%) students, and anxiety or depression was present in
456 (30.5%) students. Regarding the association of TMD with anxiety and
depression, 280 of the 633 students (61.4%) with TMD symptoms also had signs of
anxiety or depression (P < 0.001). As compared with men, women had an odds ratio
of 1.9 (95% confidence interval: 1.53-2.46; P < 0.001) for TMD. As compared with
students without signs of anxiety or depression, students with such signs had an
odds ratio of 3.1 (95% confidence interval: 2.42-3.84; P < 0.001) for TMD.
College students from various fields of study and regions of Portugal had a high
prevalence of TMD, which was significantly associated with anxiety and
depression.
PMID- 24930750
TI - Evaluation of salivary and serum lipid peroxidation, and glutathione in oral
leukoplakia and oral squamous cell carcinoma.
AB - Lipid peroxidation induced by reactive oxygen species (ROS) is involved in the
pathogenesis of malignancy. Overall, lipid peroxidation levels are indicated by
malondialdehyde (MDA), which is the most frequently used biomarker to detect
oxidative changes. Antioxidant defense systems such as glutathione (GSH) limit
cell injury induced by ROS. Therefore, MDA and GSH can be used to monitor
oxidative stress (OS). Hence, this study aimed to evaluate and compare both
salivary and serum levels of MDA and GSH in oral leukoplakia and oral squamous
cell carcinoma (OSCC) patients, and healthy controls. The study included 100
subjects comprising 30 apparently healthy controls, 30 patients with oral
leukoplakia and 40 clinically and histologically diagnosed patients with OSCC.
Saliva and blood samples were obtained and evaluated for MDA and GSH. The study
revealed enhanced MDA levels in saliva and serum in oral leukoplakia and OSCC
patients as compared to controls. On the other hand, significant decreases were
seen in serum and salivary GSH levels in oral leukoplakia and OSCC patients as
compared to controls. Augmentation of OS in blood and saliva is reflected by
increase in MDA and decrease in GSH levels, indicating that tumor processes cause
an imbalance of oxidant-antioxidant status in cell structures.
PMID- 24930751
TI - Associations of clinical characteristics and interval between maintenance visits
with peri-implant pathology.
AB - We investigated the effects of clinical characteristics and the interval between
maintenance visits on incidence of peri-implant pathology in a sample of 1,350
patients treated with dental implants (270 cases of peri-implant pathology and
1,080 healthy controls). The chi-square test was used to evaluate differences
between cases and controls in the presence of dental plaque, bleeding, peri
implant pockets >4 mm, bone level, and interval between maintenance visits
(significance level, 5%). Crude odds ratios (ORs) and attributable fractions were
calculated for variables that significantly differed between cases and controls.
The variables identified as risk indicators were dental plaque (P < 0.001; OR =
5.2), bleeding (P < 0.001; OR = 5.0), peri-implant pockets >4 mm (P < 0.001; OR =
17.2), bone level (P < 0.001; middle third, OR = 8.4; apical third, OR = 8.6),
and interval between maintenance visits (P < 0.001; 1-3 months, OR = 2.9; 3-5
months, OR = 2.1). Attributable fractions revealed a potential reduction in peri
implant pathology of 53-94% after removing exposures to dental plaque (81%),
bleeding (80%), peri-implant pockets >4 mm (94%), bone level (88%), and interval
between maintenance visits (53-66%). Selected clinical characteristics and the
interval between maintenance visits were significantly associated with the
incidence of peri-implant pathology.
PMID- 24930752
TI - Utility and validity of a single-item visual analog scale for measuring dental
anxiety in clinical practice.
AB - We evaluated whether a visual analog scale (VAS) was comparable to the multi-item
Modified Dental Anxiety Scale (MDAS) in assessing dental anxiety in clinical
practice. In total, 200 consecutive patients aged 20-70 years who presented at
the dental outpatient department of SRM Dental College, Chennai were enrolled.
The test-retest value for the VAS was 0.968. The Spearman rank correlations
between the VAS and MDAS items and total score were significant (P < 0.001). The
Spearman rank correlation between postponement of a dental visit and the VAS also
showed a strong correlation (r = 0.473, P < 0.001). On linear regression
analysis, the coefficient of determination showed a strong relationship between
the two variables; the MDAS accounted for 74% of the variation. The regression
coefficient was statistically significant (P < 0.001). On the basis of receiver
operating-characteristic curve analysis, a VAS cut-off value of >=4.8 was
selected to discriminate between patients who were and were not anxious, and a
cut-off value of >=7 was selected to identify patients with dental phobia. The
weighted kappa was 69% for agreement between MDAS and the VAS in identifying
patients with and without dental anxiety at cut-offs of 13 and 4.75,
respectively. The VAS was found to be a valid measure and was comparable to the
multi-item MDAS.
PMID- 24930753
TI - MicroRNA-146a and microRNA-155 show tissue-dependent expression in dental pulp,
gingival and periodontal ligament fibroblasts in vitro.
AB - MicroRNAs (miRNAs) are small non-coding RNAs showing a tissue-specific expression
pattern, and whose function is to suppress protein synthesis. In this study, we
hypothesized that expression of miRNAs would differ among fibroblasts from dental
pulp (DPF), gingiva (GF) and periodontal ligament (PLF) in vitro. Once
established by an explant technique, DPF, GF and PLF were collected for RNA
isolation and subjected to a miRNA microarray. Next, cells were stimulated with
E. coli lipopolysaccharide (LPS) for 24 h and then collected for RNA isolation.
Expression of miR-146a and miR-155 was investigated by qPCR. Microarray screening
revealed several miRNAs that showed specifically high expression in at least one
of the fibroblast subtypes. These molecules are potentially involved in the
regulation of extracellular matrix turnover and production of inflammatory
mediators. Microarray analysis showed that both miR-146a and miR-155 were among
the miRNAs expressed exclusively in GF. qPCR demonstrated significant
upregulation of miR-146a only in GF after LPS stimulation, whereas basal
expression of miR-155 was higher in GF than in the other cell subtypes. LPS
downregulated the expression of miR-155 only in GF. Our results suggest that the
expression and regulation of miR-146a and miR-155 are more pronounced in GF than
in DPF and PLF.
PMID- 24930754
TI - Three-dimensional craniofacial morphology in unilateral cleft lip and palate.
AB - Craniofacial morphology and asymmetry were compared before, during, and after
puberty within and between patients with unilateral complete cleft lip and palate
(UCCLP) and a non-cleft group. In the UCCLP group, the posterior cranial base and
total cranial base were significantly shorter at all skeletal periods, the
maxilla was significantly retruded and posteriorly rotated, and the mandible was
significantly smaller and inferoposteriorly rotated. The angle between the nasal
and mandibular plane and lower anterior facial height were significantly higher,
and upper posterior facial height and total posterior height were significantly
lower, in the UCCLP group. Except for an increase in the nasal cavity, no
significant differences were detected in facial width. For all measurements,
asymmetry on the horizontal plane was more significant than that on the vertical
plane. Asymmetries in the UCCLP group were mostly detected during puberty. The
UCCLP group had no distinctive mandibular asymmetry, as compared with the Class I
group. In UCCLP patients, the cranial base, maxilla, and mandible were affected
on the sagittal plane during all growth periods. However, horizontal asymmetries
were mostly detected before and during puberty. Vertical asymmetries were less
severe, and there was no distinctive mandibular asymmetry as compared with the
Class I group. (J Oral Sci 56, 165-172, 2014).
PMID- 24930755
TI - Morphometric analysis of the mucosal vasculature in oral submucous fibrosis and
its comparison with oral squamous cell carcinoma.
AB - Oral submucous fibrosis (OSMF) is a chronic fibrotic disorder in which the degree
of vascularity has always been a matter of dispute; however, morphological
studies of the blood vessels in OSMF have been sparse. This study was performed
to assess the mucosal vasculature in normal oral mucosa, early and advanced OSMF,
and well differentiated squamous cell carcinoma (WDSCC) using morphometry. The
study included histologically diagnosed cases of early (n = 30) and advanced (n =
30) OSMF, and WDSCC (n = 30), with normal oral mucosa (n = 10) as a control.
Morphometric image analysis of blood vessels was performed on H&E-stained
sections for evaluation of vascular density, vascular luminal diameter, area and
percentage area. A significant increase in all of the parameters was noted in the
test groups relative to the controls. The mean vascular density and mean vascular
percentage area were significantly increased in early OSMF and WDSCC relative to
controls, and also in advanced OSMF and WDSCC in comparison with early OSMF. The
vascularity increased progressively from normal to premalignancy and malignancy,
emphasizing the importance of angiogenesis in tumor development and progression.
The vascularity was increased in early OSMF and reduced in advanced OSMF,
suggesting that inflammation may play a role in the early stages while
progressive fibrosis may predispose to atrophy of the epithelium and subsequent
malignant changes.
PMID- 24930756
TI - Technical complications with implant-supported dental prostheses.
AB - Studies are needed to determine the prognosis of different forms of dental
prosthetic rehabilitation and the main complications involved. The purpose of the
present study was to evaluate technical complications involving implant-supported
prostheses. A retrospective one time snap-shot study was carried out with a total
of 509 implants in 153 patients. Prosthetic conditions were determined by
clinical and radiographic examination. The least frequent technical complication
involved fracture (0.2%), loss (0.4%) and loosening (3.3%) of the screw. The most
frequent complications were loss of resin covering the screw (23.8%), loss of
overdenture retention (18.6%) and fractures of the resin (12.4%). A high
frequency of misfit between the prosthesis and abutment (25.4%) was found, which
was significantly associated (P < 0.05) with other variables, especially cemented
prostheses (P < 0.001). To minimize the frequency of complications, protocols
must be established from diagnosis to the completion of treatment and follow up
of implant-supported prostheses, especially in terms of adequate technical steps
and careful radiographic evaluation of the components.
PMID- 24930757
TI - Ilimaquinone induces death receptor expression and sensitizes human colon cancer
cells to TRAIL-induced apoptosis through activation of ROS-ERK/p38 MAPK-CHOP
signaling pathways.
AB - TRAIL induces apoptosis in a variety of tumor cells. However, development of
resistance to TRAIL is a major obstacle to more effective cancer treatment.
Therefore, novel pharmacological agents that enhance sensitivity to TRAIL are
necessary. In the present study, we investigated the molecular mechanisms by
which ilimaquinone isolated from a sea sponge sensitizes human colon cancer cells
to TRAIL. Ilimaquinone pretreatment significantly enhanced TRAIL-induced
apoptosis in HCT 116 cells and sensitized colon cancer cells to TRAIL-induced
apoptosis through increased caspase-8, -3 activation, PARP cleavage, and DNA
damage. Ilimaquinone also reduced the cell survival proteins Bcl2 and Bcl-xL,
while strongly up-regulating death receptor (DR) 4 and DR5 expression. Induction
of DR4 and DR5 by ilimaquinone was mediated through up-regulation of
CCAAT/enhancer-binding protein homologous protein (CHOP). The up-regulation of
CHOP, DR4 and DR5 expression was mediated through activation of extracellular
signal regulated kinase (ERK) and p38 mitogen-activated protein kinase (MAPK)
signaling pathways. Finally, the generation of ROS was required for CHOP and DR5
up-regulation by ilimaquinone. These results demonstrate that ilimaquinone
enhanced the sensitivity of human colon cancer cells to TRAIL-induced apoptosis
through ROS-ERK/p38 MAPK-CHOP-mediated up-regulation of DR4 and DR5 expression,
suggesting that ilimaquinone could be developed into an adjuvant chemotherapeutic
drug.
PMID- 24930758
TI - Disturbed Hsp70 and Hsp27 expression and thiol redox status in porcine kidney
PK15 cells provoked by individual and combined ochratoxin A and citrinin
treatments.
AB - The aim of this study was to explore the oxidative properties of ochratoxin A
(OTA) and citrinin (CTN) as a possible underlying mechanism of their individual
and/or combined cytotoxicity. Metabolic activity of PK15 porcine kidney cells was
significantly reduced with OTA and CTN co-exposures, with synergistic cytotoxic
interactions. Single CTN increased both reduced (GSH) and oxidized (GSSG)
glutathione after 24 h. However, GSH was significantly lowered with all OTA and
CTN combined applications in synergistic manner after 12 and 24 h. GSH/GSSG ratio
was reduced in most single and dual treatments, which suggested the presence of
oxidative stress. In addition, OTA and CTN exposures significantly decreased
concentrations of total thiols, with mycotoxins interactions being synergistic or
antagonistic. The expression levels of Hsps were differentially affected by
single and dual mycotoxin(s) applications. Single OTA provoked significant down
regulation of Hsp70 and Hsp27 expressions, while CTN stimulated Hsps expressions.
Hsps were also up-regulated by dual treatments, and this induction was much
stronger then with single CTN. In conclusion, significant alterations in cellular
redox status (glutathione, thiols) and protective mechanisms (Hsps) suggest that
those disturbances might be involved in OTA and CTN individual and combined
mechanisms of cytotoxicity.
PMID- 24930759
TI - Successful treatment of non-Hodgkin's lymphoma using R-CHOP in a patient with
Wiskott-Aldrich syndrome followed by a reduced-intensity stem cell transplant.
AB - WAS is an X-linked primary immunodeficiency characterized by
microthrombocytopenia, eczema, recurrent infections, and increased incidence of
autoimmunity and malignancy. HSCT is the only curative treatment for WAS. Herein,
we report the case of a 17-yr-old boy with WAS who received an unrelated HSCT
while in complete remission of diffuse large B-cell lymphoma after chemotherapy.
Pretransplant conditioning consisted of fludarabine, busulfan, and total body
irradiation (4 Gy). GvHD prophylaxis consisted of tacrolimus and short-course
methotrexate. Following HSCT, rapid and stable engraftment was observed. Platelet
count gradually increased, and the generalized eczema improved. The patient
developed grade II acute GvHD and limited chronic GvHD on days 30 and 210,
respectively, which resolved with immunosuppressive treatment. Symptoms caused by
the reactivation of human herpes virus-6, BK virus, and VZV were observed from
days 21, 60, and 96, respectively; they were resolved after conservative
treatment and acyclovir administration. No other regimen-related toxicity was
observed. Complete donor bone marrow chimerism was achieved one month after
transplantation. RIST is an effective therapeutic option for older children with
WAS accompanied by malignant lymphoma.
PMID- 24930760
TI - Left atrial asynchrony and mechanical function in patients with mitral stenosis
before and immediately after percutaneous balloon mitral valvuloplasty: a real
time three-dimensional echocardiography study.
AB - OBJECTIVE: This study evaluated the feasibility of assessing left atrium (LA)
function and asynchrony in patients with rheumatic mitral stenosis (MS) before
and immediately after percutaneous balloon mitral valvuloplasty (PBMV) by real
time three-dimensional echocardiography (RT3DE). METHODS: Thirty patients with
rheumatic MS who underwent PBMV and 30 controls were enrolled. RT3DE was used to
measure LA volume and function, the standard deviation of time to the minimal
systolic volume divided into 16 segments, 12 segments, or 6 segments (Tmsv 16-SD,
Tmsv 12-SD, Tmsv 6-SD), and the maximum differences (Tmsv 16-Dif, 12-Dif, 6-Dif)
in RT3DE derived values in MS patients before and 2 days after PBMV were obtained
and compared with those of normal controls. The associations between the LA
asynchrony and heart volume, function, mitral valve area (MVA), maximum mitral
valve gradient (MVGmax ), mean mitral valve gradient (MVGmean), and mean LA
pressure (MLAP) were investigated. RESULTS: Left atrium asynchrony indexes were
significantly larger, and LA function parameters were significantly lower in the
MS group than in the controls (P < 0.05 for all). Of all the LA asynchrony
indexes, LA Tmsv16-SD was most significantly correlated with the LA volume and
function parameters, MVGmax , MVGmean , and MLAP (P < 0.05 for all). LA
asynchrony indexes and LA volume significantly deceased, and LA function
significantly increased post-PBMV (P < 0.05). CONCLUSION: Real time three
dimensional echocardiography is a reliable and reproducible method to quantify LA
function and asynchrony. RT3DE revealed a significant, early improvement in LA
function and asynchrony in MS patients after PBMV.
PMID- 24930761
TI - [VENTILOP survey. Survey in peroperative mechanical ventilation].
AB - INTRODUCTION: Mechanical ventilation can initiate ventilator-associated lung
injury and postoperative pulmonary complications. The aim of this study was to
evaluate (1) how mechanical ventilation was comprehended by anaesthetists
(physician and nurses) and (2) the need for educational programs. METHODS: A
computing questionnary was sent by electronic-mail to the entire anaesthetist
from Alsace region in France (297 physicians), and to a pool of 99 nurse
anaesthetists. Mechanical ventilation during anaesthesia was considered as
optimized when low tidal volume (6-8mL) of ideal body weight was associated with
positive end expiratory pressure, FiO2 less than 50%, I/E adjustment and
recruitment maneuvers. RESULTS: The participation rate was 50.5% (172
professionals). Only 2.3% of professionals used the five parameters for optimized
ventilation. Majority of professionals considered that mechanical ventilation
adjustment influenced the patients' postoperative outcome. Majority of the
professionals asked for a specific educational program in the field of mechanical
ventilation. DISCUSSION: Only 2.3% of professionals optimized mechanical
ventilation during anaesthesia. Guidelines and specific educational programs in
the field of mechanical ventilation are widely expected.
PMID- 24930762
TI - [Storing succinylcholine in prehospital settings following the recommendations of
the French National Agency for the safety of medicines].
AB - OBJECTIVE: The French National Pharmaceuticals Agency (ANSM) has recommanded in
July 2012 not to break the cold chain before using succinylcholine
(Celocurine(r)). RESEARCH OBJECTIVE: to understand the pre-clinical evolution of
the conservation modes of this curare. RESEARCH TYPE: Descriptive study before
(year 2011) and after (year 2012). PATIENTS AND METHOD: Online survey to French
Samu/Smur. DATA COLLECTED: SMUR location, conservation method at clinical base,
in the mobile unit (UMH) and at the patient. Principal decision criteria:
evolution of the conservation modes before and after the recommendation
(qualitatives variables compared with a Fisher test). RESULTS: Out of 101
SAMU/SMUR, 62 answered. Conservation modes of succinylcholine vials were
significantly different (P<0.001). Proper conservation was observed in 26 % of
the cases before and 43 % after. Mobile units (UMH) equipped with a fridge
increased from one out of two to 77 %. The lack of conservation modes passive or
active on UMH went from 31 % to 3.4 % with isotherms bags with ice when a fridge
was not available. The destruction of capsules at current temperature in a 24
hour period increased: 22 % before, 47 % after (P=0.04). CONCLUSION: After
recommendations from ANSM, conservation modes and destruction of succinylcholine
in a prehospital environment were significantly impacted.
PMID- 24930763
TI - Phase transformation of cadmium sulfide under high temperature and high pressure
conditions.
AB - Cadmium sulfide (CdS) is one of the most significant wide band gap
semiconductors, and knowledge of the phase transformation of CdS under high
temperature and pressure is especially important for its applications. The
pressure-temperature phase diagram and the phase transformation pathways of CdS
have been investigated by using density functional theory combined with
quasiharmonic approximation. Our results indicated that under ambient conditions,
wz-CdS is a stable phase, while under high temperature and pressure, rs-CdS
becomes the stable phase. It is also found that zb-CdS is an intermediate phase
in transforming from rs-CdS to wz-CdS. Therefore, although there are no zb-CdS
phase regions in the CdS pressure-temperature phase diagram, zb-CdS can be found
in some prepared experiments.
PMID- 24930764
TI - ROS-mediated EB1 phosphorylation through Akt/GSK3beta pathway: implication in
cancer cell response to microtubule-targeting agents.
AB - Microtubule-targeting agents (MTAs) are largely administered in adults and
children cancers. Better deciphering their mechanism of action is of prime
importance to develop more convenient therapy strategies. Here, we addressed the
question of how reactive oxygen species (ROS) generation by mitochondria can be
necessary for MTA efficacy. We showed for the first time that EB1 associates with
microtubules in a phosphorylation-dependent manner, under control of ROS. By
using phospho-defective mutants, we further characterized the Serine 155 residue
as critical for EB1 accumulation at microtubule plus-ends, and both cancer cell
migration and proliferation. Phosphorylation of EB1 on the Threonine 166 residue
triggered opposite effects, and was identified as a requisite molecular switch in
MTA activities. We then showed that GSK3beta activation was responsible for MTA
triggered EB1 phosphorylation, resulting from ROS-mediated inhibition of upstream
Akt. We thus disclosed here a novel pathway by which generation of mitochondrial
ROS modulates microtubule dynamics through phosphorylation of EB1, improving our
fundamental knowledge about this oncogenic protein, and pointing out the need to
re-examine the current dogma of microtubule targeting by MTAs. The present work
also provides a strong mechanistic rational to the promising therapeutic
strategies that currently combine MTAs with anti-Akt targeted therapies.
PMID- 24930765
TI - Historical contingency and its biophysical basis in glucocorticoid receptor
evolution.
AB - Understanding how chance historical events shape evolutionary processes is a
central goal of evolutionary biology. Direct insights into the extent and causes
of evolutionary contingency have been limited to experimental systems, because it
is difficult to know what happened in the deep past and to characterize other
paths that evolution could have followed. Here we combine ancestral protein
reconstruction, directed evolution and biophysical analysis to explore
alternative 'might-have-been' trajectories during the ancient evolution of a
novel protein function. We previously found that the evolution of cortisol
specificity in the ancestral glucocorticoid receptor (GR) was contingent on
permissive substitutions, which had no apparent effect on receptor function but
were necessary for GR to tolerate the large-effect mutations that caused the
shift in specificity. Here we show that alternative mutations that could have
permitted the historical function-switching substitutions are extremely rare in
the ensemble of genotypes accessible to the ancestral GR. In a library of
thousands of variants of the ancestral protein, we recovered historical
permissive substitutions but no alternative permissive genotypes. Using
biophysical analysis, we found that permissive mutations must satisfy at least
three physical requirements--they must stabilize specific local elements of the
protein structure, maintain the correct energetic balance between functional
conformations, and be compatible with the ancestral and derived structures--thus
revealing why permissive mutations are rare. These findings demonstrate that GR
evolution depended strongly on improbable, non-deterministic events, and this
contingency arose from intrinsic biophysical properties of the protein.
PMID- 24930767
TI - Normal-state nodal electronic structure in underdoped high-Tc copper oxides.
AB - An outstanding problem in the field of high-transition-temperature (high-Tc)
superconductivity is the identification of the normal state out of which
superconductivity emerges in the mysterious underdoped regime. The normal state
uncomplicated by thermal fluctuations can be studied using applied magnetic
fields that are sufficiently strong to suppress long-range superconductivity at
low temperatures. Proposals in which the normal ground state is characterized by
small Fermi surface pockets that exist in the absence of symmetry breaking have
been superseded by models based on the existence of a superlattice that breaks
the translational symmetry of the underlying lattice. Recently, a charge
superlattice model that positions a small electron-like Fermi pocket in the
vicinity of the nodes (where the superconducting gap is minimum) has been
proposed as a replacement for the prevalent superlattice models that position the
Fermi pocket in the vicinity of the pseudogap at the antinodes (where the
superconducting gap is maximum). Although some ingredients of symmetry breaking
have been recently revealed by crystallographic studies, their relevance to the
electronic structure remains unresolved. Here we report angle-resolved quantum
oscillation measurements in the underdoped copper oxide YBa2Cu3O6 + x. These
measurements reveal a normal ground state comprising electron-like Fermi surface
pockets located in the vicinity of the nodes, and also point to an underlying
superlattice structure of low frequency and long wavelength with features in
common with the charge order identified recently by complementary spectroscopic
techniques.
PMID- 24930768
TI - Raman spectroscopy explores molecular structural signatures of hidden materials
in depth: Universal Multiple Angle Raman Spectroscopy.
AB - Non-invasive 3D imaging in materials and medical research involves methodologies
such as X-ray imaging, MRI, fluorescence and optical coherence tomography, NIR
absorption imaging, etc., providing global morphological/density/absorption
changes of the hidden components. However, molecular information of such buried
materials has been elusive. In this article we demonstrate observation of
molecular structural information of materials hidden/buried in depth using Raman
scattering. Typically, Raman spectroscopic observations are made at fixed
collection angles, such as, 90 degrees , 135 degrees , and 180 degrees , except
in spatially offset Raman scattering (SORS) (only back scattering based
collection of photons) and transmission techniques. Such specific collection
angles restrict the observations of Raman signals either from or near the surface
of the materials. Universal Multiple Angle Raman Spectroscopy (UMARS) presented
here employs the principle of (a) penetration depth of photons and then diffuse
propagation through non-absorbing media by multiple scattering and (b) detection
of signals from all the observable angles.
PMID- 24930766
TI - Aryl hydrocarbon receptor control of a disease tolerance defence pathway.
AB - Disease tolerance is the ability of the host to reduce the effect of infection on
host fitness. Analysis of disease tolerance pathways could provide new approaches
for treating infections and other inflammatory diseases. Typically, an initial
exposure to bacterial lipopolysaccharide (LPS) induces a state of refractoriness
to further LPS challenge (endotoxin tolerance). We found that a first exposure of
mice to LPS activated the ligand-operated transcription factor aryl hydrocarbon
receptor (AhR) and the hepatic enzyme tryptophan 2,3-dioxygenase, which provided
an activating ligand to the former, to downregulate early inflammatory gene
expression. However, on LPS rechallenge, AhR engaged in long-term regulation of
systemic inflammation only in the presence of indoleamine 2,3-dioxygenase 1
(IDO1). AhR-complex-associated Src kinase activity promoted IDO1 phosphorylation
and signalling ability. The resulting endotoxin-tolerant state was found to
protect mice against immunopathology in Gram-negative and Gram-positive
infections, pointing to a role for AhR in contributing to host fitness.
PMID- 24930770
TI - C. elegans male mating behavior. Introduction.
PMID- 24930771
TI - Hox gene regulation and timing in embryogenesis.
AB - Hox genes are critical regulators of embryonic development in bilaterian animals.
They exhibit a unique mode of transcriptional regulation where the position of
the genes along the chromosome corresponds to the time and place of their
expression during development. The sequential temporal activation of these genes
in the primitive streak helps determining their subsequent pattern of expression
along the anterior-posterior axis of the embryo, yet the precise correspondence
between these two collinear processes is not fully understood. In addition,
vertebrate Hox genes evolved similar modes of regulation along secondary body
axes, such as the developing limbs. We review the current understanding of the
mechanisms operating during activation, maintenance and silencing of Hox gene
expression in these various contexts, and discuss the evolutionary significance
of their genomic organization.
PMID- 24930772
TI - Redox regulation of ion channels.
AB - Reactive oxygen species and reactive nitrogen species (ROS/RNS) are often by
products of biochemical reactions, but are increasingly recognized as important
second messengers involved in regulation of distinct cellular functions. Mild and
reversible oxidation of certain amino acids within protein polypeptide chains is
known to precisely control the function of transcription factors, protein kinases
and phosphatases, receptors, pumps, ion channels, and so on. Conversely, under
pathological conditions, high amounts of oxidants irreversibly oxidize DNA,
lipids, and proteins and have deleterious effects on cells, ultimately causing
cell death. ROS/RNS can thus be involved in the initiation and progression of
many pathological conditions. Within this Forum, seven reviews and one original
article summarize the current knowledge regarding redox regulation of various ion
channels and ion conducting receptors. These include the recently identified
mitochondrial Ca2+ uniporter and Orai Ca2+ channels, as well as selected members
of the families of transient receptor potential, voltage-gated Ca2+, P2X, voltage
gated K+, and IP3R/RyR channels. In summary, all authors agree on the functional
importance of redox-ion channel interplay. However, it is also clear that this is
an emerging field of research where much has to be learned about intra- and
extracellular sources, concentrations, and types of oxidants. Given their often
short-lived nature and effective cellular buffering systems, the development of
tools to measure local ROS production in living cells as well as detailed
proteomic approaches to pinpoint protein targets and redox modifications are of
importance.
PMID- 24930769
TI - Dual Aurora A and JAK2 kinase blockade effectively suppresses malignant
transformation.
AB - Aurora A and JAK2 kinases are involved in cell division and tumor cell survival,
respectively. Here we demonstrate that ectopic expression of Aurora A and JAK2
together is more effective than each alone at inducing non-transformed cells to
grow in an anchorage-independent manner and to invade. Furthermore, siRNA
silencing or pharmacological inhibition of Aurora A and JAK2 with Alisertib and
Ruxolitinib, respectively, is more effective than blocking each kinase alone at
suppressing anchorage-dependent and -independent growth and invasion as well as
at inducing apoptosis. Importantly, we have developed dual Aurora and JAK
inhibitors, AJI-214 and AJI-100, which potently inhibit Aurora A, Aurora B and
JAK2 in vitro. In human cancer cells, these dual inhibitors block the auto
phosphorylation of Aurora A (Thr-288) and the phosphorylation of the Aurora B
substrate histone H3 (Ser-10) and the JAK2 substrate STAT3 (Tyr-705).
Furthermore, AJI-214 and AJI-100 inhibit anchorage dependent and independent cell
growth and invasion and induce G2/M cell cycle accumulation and apoptosis.
Finally, AJI-100 caused regression of human tumor xenografts in mice. Taken
together, our genetic and pharmacological studies indicate that targeting Aurora
A and JAK2 together is a more effective approach than each kinase alone at
inhibiting malignant transformation and warrant further advanced pre clinical
investigations of dual Aurora A/JAK2 inhibitors as potential anti tumor agents.
PMID- 24930773
TI - Identification of pheromone synergists for Rhynchophorus ferrugineus trapping
systems from Phoenix canariensis palm volatiles.
AB - Trapping systems for the red palm weevil, Rhynchophorus ferrugineus Olivier, rely
on the use of natural plant odor sources to boost the attractiveness of the
aggregation pheromone. The identification of the key odorants involved in
attraction is essential in the development of a synthetic pheromone synergist to
replace the nonstandardized use of plant material in traps. Canary Islands date
palms (Phoenix canariensis) have become preferred hosts for R. ferrugineus in
Europe; thus, the volatile profile of different P. canariensis plant materials,
including healthy and infested tissues, is investigated in the present work by
means of solid phase microextraction (SPME-GC-MS), aimed to identify pheromone
synergists. The electroantennography (EAG) response of the compounds identified
was recorded, as well as the preliminary field response of several EAG-active
compounds. The so-called "palm esters" (ethyl acetate, ethyl propionate, ethyl
butyrate, and propyl butyrate) elicit the strongest EAG responses but performed
poorly in the field. Mixtures of esters and alcohols give evidence of better
performance, but release rates need further optimization.
PMID- 24930774
TI - Ethanol reduces lifespan, body weight, and serum alanine aminotransferase level
of aldehyde dehydrogenase 2 knockout mouse.
AB - BACKGROUND: The aldehyde dehydrogenase 2 (Aldh2) knockout mouse is an animal
model of a polymorphism at the human ALDH2 locus (ALDH2*2). To detect differences
in the basic phenotype of this animal model, lifespan, body weight (BW), and
serum alanine aminotransferase (ALT) level were evaluated. METHODS: Aldh2(+/+) ,
Aldh2(+/-) , and Aldh2(-/-) mice were maintained, from 10 weeks of age, on
standard solid food, with liquid supplied as ethanol (EtOH) solution at a
concentration of 0 to 20% (forced EtOH consumption). RESULTS: For animals
provided with water (without EtOH), mice of the distinct genotypes exhibited no
difference in lifespan, with the mean values ranging from 90 to 96 weeks for
female mice and 97 to 105 weeks for male mice. For animals provided with EtOH,
there was a dose-dependent reduction of lifespan in Aldh2(-/-) mice with p for
trend <0.001. For example, the mean lifespans of the Aldh2(-/-) females in the 0,
3, 10, and 20% groups were 95, 85, 70, and 29 weeks, respectively. No influence
on lifespan was found for Aldh2(+/+) and Aldh2(+/-) mice. BW and ALT level of
Aldh2(-/-) mice were significantly lower than those of Aldh2(+/+) mice when the
mice were treated with EtOH. While multiple regression analysis suggested that
the BW and ALT level in Aldh2(-/-) mice correlated with lifespan, adjustment for
EtOH concentration revealed that this correlation was not significant (i.e.,
reflected EtOH dependence). CONCLUSIONS: Aldh2(-/-) mice were unchanged in terms
of their basic phenotype under standard laboratory conditions. However, chronic
EtOH administration (forced consumption) in these mice resulted in dose-dependent
reductions in lifespan, BW, and serum ALT level.
PMID- 24930775
TI - Surfactant-free CO2-based microemulsion-like systems.
AB - The presence of water-rich and water-lean nanodomains in a transparent,
pressurized "water-acetone-CO2" mixture was revealed by Raman spectroscopy. This
nano-structured liquid can be classified as a surfactant-free microemulsion-like
system and has the capacity to dissolve hydrophobic compounds, such as ibuprofen,
in the presence of large amounts of water. This finding opens new opportunities
in the fields of confined reactions and material templating.
PMID- 24930776
TI - Small-molecule modulators of the OX40-OX40 ligand co-stimulatory protein-protein
interaction.
AB - BACKGROUND AND PURPOSE: The OX40-OX40L protein-protein interaction (PPI) is an
important cell-surface signalling co-stimulatory regulator within the TNFR
superfamily (TNFRSF) and a promising therapeutic target for immunomodulation.
PPIs are difficult to modulate using small-molecules. Here, we describe the
identification of a small-molecule OX40 modulator and confirm its partial agonist
character. EXPERIMENTAL APPROACH: Cell-free screening assays were developed and
used to identify OX40-OX40L inhibitors. Modified versions of this assay were used
to elucidate the binding partner and the binding nature of active compounds. OX40
transfected sensor cells with NF-kappaB reporters were constructed and used to
confirm and characterize activity and specificity. Immunomodulatory activity and
partial agonist nature were further confirmed by ex vivo T-cell polarization
assays. KEY RESULTS: Several compounds that concentration-dependently affected
OX40-OX40L were identified. Cell assays indicated that they were partial agonists
with low micromolar potency and adequate selectivity. Under polarizing conditions
based on TGF-beta, the most promising compound mimicked the effect of an
agonistic anti-OX40 antibody in suppressing regulatory T-cell generation and
diverting CD4(+) CD62L(+) Foxp3(-) cells to TH 9 phenotype in vitro. CONCLUSIONS
AND IMPLICATIONS: We identified, to our knowledge, the first small-molecule
compounds able to interfere with OX40-OX40L binding and, more importantly, to act
as partial agonists of OX40. This is particularly interesting, as small-molecule
agonism or activation of PPIs is considered unusually challenging and there are
only few known examples. These results provide proof-of-principle evidence for
the feasibility of small-molecule modulation of the OX40-OX40L interaction and
for the existence of partial agonists for TNFRSF-PPIs.
PMID- 24930778
TI - Lithium heterocuprates: the influence of the amido group on organoamidocuprate
structures.
AB - Lithium organoamidocuprates of the general stoichiometry LiCuR(NR) are an
important class of organocopper reagents and have found widespread application in
conjugate addition and other bond-forming reactions. The dependence of the
structures and equilibrium of these species on the steric and electronic
properties of the amido group is reported in both the solid state and in
solution. Three different cuprate complexes have been crystallographically
characterized: the organoamidocuprate [Cu2Li2Mes2TMP2] (2) (TMP = 2,2,6,6
tetramethylpiperidide) which is shown to adopt a head-to-tail conformation;
[Cu2Li2(N(CH2Ph)CH2CH2NMe2)4] (3 ) which is a homoamidocuprate and contains
additional coordination of the lithium centres from intra-molecular tertiary
amine groups; and the diastereomeric organoamidocuprate [Cu2Li2Mes2(N(R
CH(Ph)Me)(CH2CF3))2] (4) which adopts a head-to-head conformation. Complex 4 is
unique in being the first crystallographically characterised example of a head-to
head isomer of a heterocuprate, and its structure also has implications for the
use of scalemic amidocuprates in asymmetrically induced conjugate addition. The
solution equilibria of all new complexes have also been studied using (7)Li NMR
spectroscopy, and in each case the species observed in the crystal structure was
shown to also be the predominant isomer in solution.
PMID- 24930779
TI - Differences in elements between intact and disrupted human ligamenta capitum
femorum.
AB - To compare the element compositions between intact (i.e., intact throughout its
length) and disrupted (i.e., ligament no longer attached to the attachment)
ligaments, the contents of elements in the human ligamenta capitum femorum (LCF)
were analyzed by inductively coupled plasma-atomic emission spectrometry.
Histological and immunohistological assessments were also performed in both
groups. The subjects were 8 men and 32 women. Trace element analyses showed that
the sulfur and iron contents were significantly greater in the intact group than
in the disrupted group, while the phosphorus and magnesium contents were
significantly smaller in the intact group than in the disrupted group. The
calcium and zinc contents were smaller in the intact group than in the disrupted
group, with no significant differences. Histologically, there were fibrocartilage
cells and extracellular matrix metachromasia in ligaments of the intact group. In
contrast, fibrocartilage cells disappeared, and fat cells appeared instead of
collagen fibrils in ligaments of the disrupted group. The LCFs of the intact
group were immunohistologically positive for all components examined including
collagens, glycosaminoglycans, and proteoglycans. The increase in sulfur
suggested the presence of high glycosaminoglycan levels associated with
fibrocartilaginous metaplasia in the ligament by compressive force. The reduction
in iron may show a decreased number of blood vessels in the synovium after
ligament disruption. The increases in phosphorus, magnesium, and calcium are
indicative of degenerative changes including calcification and ossification. We
conclude that differences in the contents of elements between intact and
disrupted LCFs indicate degenerative alterations to the ligament structure after
disruption.
PMID- 24930780
TI - Selective on site separation and detection of molecules in diluted solutions with
super-hydrophobic clusters of plasmonic nanoparticles.
AB - Super-hydrophobic surfaces are bio-inspired interfaces with a superficial texture
that, in its most common evolution, is formed by a periodic lattice of silicon
micro-pillars. Similar surfaces reveal superior properties compared to
conventional flat surfaces, including very low friction coefficients. In this
work, we modified meso-porous silicon micro-pillars to incorporate networks of
metal nano-particles into the porous matrix. In doing so, we obtained a
multifunctional-hierarchical system in which (i) at a larger micrometric scale,
the super-hydrophobic pillars bring the molecules dissolved in an ultralow
concentration droplet to the active sites of the device, (ii) at an intermediate
meso-scale, the meso-porous silicon film adsorbs the low molecular weight content
of the solution and, (iii) at a smaller nanometric scale, the aggregates of
silver nano-particles would measure the target molecules with unprecedented
sensitivity. In the results, we demonstrated how this scheme can be utilized to
isolate and detect small molecules in a diluted solution in very low abundance
ranges. The presented platform, coupled to Raman or other spectroscopy
techniques, is a realistic candidate for the protein expression profiling of
biological fluids.
PMID- 24930777
TI - TLX: A master regulator for neural stem cell maintenance and neurogenesis.
AB - The orphan nuclear receptor TLX, also known as NR2E1, is an essential regulator
of neural stem cell (NSC) self-renewal, maintenance, and neurogenesis. In
vertebrates, TLX is specifically localized to the neurogenic regions of the
forebrain and retina throughout development and adulthood. TLX regulates the
expression of genes involved in multiple pathways, such as the cell cycle, DNA
replication, and cell adhesion. These roles are primarily performed through the
transcriptional repression or activation of downstream target genes. Emerging
evidence suggests that the misregulation of TLX might play a role in the onset
and progression of human neurological disorders making this factor an ideal
therapeutic target. Here, we review the current understanding of TLX function,
expression, regulation, and activity significant to NSC maintenance, adult
neurogenesis, and brain plasticity. This article is part of a Special Issue
entitled: Nuclear receptors in animal development.
PMID- 24930781
TI - The difficult-to-control spread of carbapenemase producers among
Enterobacteriaceae worldwide.
AB - The spread of carbapenemase producers in Enterobacteriaceae has now been
identified worldwide. Three main carbapenemases have been reported; they belong
to three classes of beta-lactamases, which are KPC, NDM, and OXA-48. The main
reservoirs of KPC are Klebsiella pneumoniae in the USA, Israel, Greece, and
Italy, those of NDM are K. pneumoniae and Escherichia coli in the Indian
subcontinent, and those of OXA-48 are K. pneumoniae and Escherichia coli in North
Africa and Turkey. KPC producers have been mostly identified among nosocomial
isolates, whereas NDM and OXA-48 producers are both nosocomial and community
acquired pathogens. Control of their spread is still possible in hospital
settings, and relies on the use of rapid diagnostic techniques and the strict
implemention of hygiene measures.
PMID- 24930782
TI - Examining antenatal health literacy in Ghana.
AB - PURPOSE: To explore Ghanaian pregnant women's understanding and recognition of
danger signs in pregnancy, birth preparedness and complication readiness, and
their understanding of newborn care. DESIGN: An exploratory, qualitative study
design was used. METHODS: Data were gathered through six focus group discussions
with 68 pregnant women attending antenatal care at a busy urban hospital in
Ghana. Qualitative and descriptive data were analyzed using SPSS version 21.
Health literacy was used as the guiding framework to analyze the qualitative
data. Data were analyzed in the content domains of (a) understanding and
recognition of danger signs in pregnancy, (b) preparedness for childbirth, (c)
understanding and recognition of danger signs in the newborn, and (d) appropriate
and timely referral. FINDINGS: Women in this study identified danger signs of
pregnancy and in the newborn, but had difficulty interpreting and
operationalizing information they received during antenatal care visits,
indicating that health education did not translate to appropriate health
behaviors. Cultural beliefs in alternative medicine, lack of understanding, and
prior negative encounters with healthcare professionals may have led to
underutilization of professional midwives for delivery and health services.
CONCLUSIONS: Women in this study exhibited low health literacy by incorrectly
interpreting and operationalizing health education received during antenatal
care. With limited health literacy, pregnant women cannot fully comprehend the
scope of services that a health system can provide for them and their families.
CLINICAL RELEVANCE: Achieving the greatest impact with limited time in antenatal
care is a challenge. Since antenatal care is widely available to pregnant women
in Ghana, it is vital to reexamine the way antenatal education is delivered.
Pregnant women must receive health information that is accurate and easy to
understand in order to make informed health choices that will improve maternal
and child health.
PMID- 24930783
TI - Thymic stromal lymphopoietin: a central regulator of allergic asthma.
AB - INTRODUCTION: Epithelial cell-derived mediators have emerged as key players for
instigating local remodeling and the associated cellular inflammation in
asthmatic airways. In particular, the epithelial-derived cytokine, thymic stromal
lymphopoietin (TSLP), has been identified as a master switch for allergic
inflammation. AREAS COVERED: TSLP is expressed by structural and immune cells at
the site of allergen entry in the airways. Stimuli for release of TSLP include
common triggers of asthma symptoms, and TSLP levels correlate with disease
severity. TSLP regulates helper T cell 2 (Th2) humoral immunity through
upregulating OX40L on dendritic cells (DCs), which drives Th2 lymphocytes;
however, activation of several other cells by TSLP also supports the development
of Th2 inflammation. Animal models of asthma demonstrate that increased levels of
TSLP can induce many of the characteristics of asthma. EXPERT OPINION: The work
conducted to date supports a critical role of TSLP in the pathogenesis of
allergic asthma. The first clinical trial to block the downstream effects of
OX40L has shown reduced levels of circulating IgE and airway eosinophils,
confirming the importance of TSLP-induced OX40L levels on DCs. Clinical trials
with TSLP blockade are underway and will unequivocally confirm whether TSLP is
indeed a key driver of allergic inflammation in asthma.
PMID- 24930786
TI - Editorial.
PMID- 24930787
TI - Obsessive-compulsive disorder and spectrum across the life span.
AB - An obsessive-compulsive disorder (OCD) spectrum has been proposed, which includes
a group of disorders that share certain features with OCD including clinical
symptoms (repetitive behaviours and thoughts), neurobiology (e.g.
neurotransmitters) and preferential response to anti-obsessional treatments, such
as the selective serotonin reuptake inhibitors (SSRIs). Three distinct clusters
have been identified within the OCD spectrum, i.e. disorders concerning
preoccupations with bodily sensations or appearance, impulsive disorders, and
neurologically based disorders, and these share phenotypic features. Using one
example from each of these clusters, body dysmorphic disorder (BDD), pathological
gambling (PG) and autism, respectively, the phenomenology, neurobiology and
pharmacotherapy indicates that specific biological factors are shared by OCD and
by these disorders and correlate with the severity of repetitive behaviours.
Thus, in common with OCDs, in BDD there is increased activity in the limbic
regions; in PG there is evidence of deficiencies in 5-HT function and receptors;
and in autism there are restricted interests and repetitive behaviours which may
be influenced by serotonergic mechanisms. Our findings support the notion that
targeted treatments, for example using SSRIs, for the behaviours associated with
these disorders are effective. Our review considers one SSRI treatment in
particular, fluvoxamine, and conclusions should be drawn in light of this.
Further testing of our hypothesis would be prudent to confirm its validity.
PMID- 24930785
TI - Immune reconstitution-associated cutaneous sarcoid-like eruption in a patient
with previous disseminated cutaneous leishmaniasis: a diagnostic challenge.
PMID- 24930788
TI - Mirtazapine versus paroxetine in panic disorder: an open study.
AB - Introduction Open studies suggest that mirtazapine has efficacy in panic disorder
treatment. We designed an open study that evaluates changes induced by
mirtazapine compared with paroxetine in panic disorder. Methodology Patients 18
65 years old consecutively referred to a psychiatry liaison service with panic
disorder (DSM-IV criteria) were offered either mirtazapine or paroxetine
treatment. Results There were statistically significant reductions from baseline
to week 3 and from week 3 to 8 for mirtazapine and paroxetine groups for: number
of panic attacks, Beck Anxiety or Depression Inventory (BAI, BDI) Clinical Global
Impresion (CGI) of panic disorder severity and CGI of panic disorder response
(these variables were evaluated by the patient, the clinician or a blind
evaluator). Responders at week 3 (BAI decrease of 50%) were 83% for the
mirtazapine group and 84% for the paroxetine group. Responders at week 8 (number
of panic attacks equal to 0) were 77% for the mirtazapine group and 73% for the
paroxetine group Statistically significant differences between mirtazapine and
paroxetine were found for number of panic attacks at weeks 3 and 8 and BAI at
week 3, suggesting a faster response for mirtazapine. Responders at week 8
maintained a no recurrence figure of 95% at follow-up 6 months later. Panic
disorder either with or without comorbid depression improved in both groups of
treatment. Discussion Our study supports the hypothesis that mirtazapine has
efficacy in the treatment of panic disorder either with or without comorbid
depression.
PMID- 24930789
TI - Correlates of subjective well-being in schizophrenic patients treated with
atypical antipsychotics.
AB - Objective A growing body of research indicates that a low subjective well-being
(SW) may be predictive of non-adherence and less favourable outcome. This study
examined baseline variables and variables in the course of treatment hypothesised
to be associated with later SW. Methods Sixty-three inpatients with
schizophreniform disorder or schizophrenia were randomly assigned to treatment
with various atypical antipsychotics after a wash-out phase of 2 days. Subjects
were evaluated with a protocol that examined psychopathology (Positive and
Negative Symptom Scale, PANSS), side effects (Scandinavian Society of
Pharmacology, UKU), and subjective well-being (Subjective Well-being under
Neuroleptic treatment, SWN) at baseline and endpoint (mean duration of treatment
39.9 days). Two-thirds of subjects were multiple episode schizophrenic inpatients
pre-treated with antipsychotics. Results Multiple regression analyses revealed
that the PANSS negative score, neurological side effects, and SWN at baseline, as
well as change of the PANSS positive score between baseline and endpoint, were
associated independently with SW at endpoint (R(2)=0.55 after exclusion of two
subjects). Conclusions Patients with low SW, severe negative symptoms, and
neurological side effects, all at baseline, as well as those without improvement
or deterioration of positive symptoms are at risk of low SW later in treatment
and, most likely, of non-adherence.
PMID- 24930790
TI - Prognosis of postpartum psychiatric disorders in a Turkish sample.
AB - Objective The postpartum period represents a time of increased risk for
psychiatric disorders. Postpartum depression is especially very common. Many
aetiological risk factors have been reported for postpartum psychiatric
disorders. The purpose of this study was to evaluate the postpartum psychiatric
disorders in a Turkish sample and discuss their course. Methods The files of 6000
inpatients who were admitted to Gazi University School of Medicine Psychiatry
Department were evaluated retrospectively, and 67 patients were chosen whose
psychiatric illness had began puerperally. These patients were grouped according
to age of onset of the illness, number of episodes and the type of these
episodes, their postpartum psychiatric diagnoses and illness prognosis. Results
Of 6000 patients, 67 (1.11%) were diagnosed as having a postpartum psychiatric
disorder: 56.7% (n=38) were diagnosed as having a postpartum psychotic disorder,
while 35.8% (n=24) as having an affective disorder. Forty-seven patients (70.1%)
received a diagnosis of major psychiatric disorder during their follow-up.
Conclusion These results show that postpartum diagnoses may show a chronic course
and cause a life-time psychiatric illness and therefore patients should be
monitored carefully for psychotic symptoms even after the acute period is over.
PMID- 24930791
TI - Suicide and undetermined death by drowning.
AB - Background Incidence of drowning varies among countries and even communities
within the same country. The manner of death may remain undetermined even when
the diagnosis of drowning is confirmed or excluded. It is expected that drowning
suicide rates in some of the available studies may have been over- or under
reported because of misclassification. Objectives This study presents data on the
time trends, age/sex mortality rates from death by drowning in England and Wales
between 1979 and 2001. All coroners' verdicts in death by drowning; suicide,
deaths undetermined whether accidentally or purposely inflicted were examined.
Methods Counts of suicide due to drowning and submersion (ICD 9 codes; E954) and
undetermined injury deaths (E984) 1 , reported in England and Wales between 1979
and 2001 were obtained from National Statistics (ONS). National population
estimates were used to calculate age-specific rates and age-standardised rates of
death by drowning. Results Over the last 23 years, there has been a gradual and
significant reduction in drowning deaths. The decline in drowning suicide was
less evident in the elderly, particularly those over the age of 75. During the
study period, 62 and 38% of all death by drowning attracted an open verdict and
suicide, respectively. Elderly drowning appear to attract more verdicts of
suicide compared to younger age groups (odds ratio 4.3, 95% CI 2.3-8.3). Women,
particularly elderly, are more likely to have a suicide verdict returned in
drowning compared to men (odds ratio 1.5, 95% CI 1.1-1.6). Conclusion The high
rate of open verdicts in death by drowning over the study period and compared to
any other method of fatal self harm in England and Wales confirms the
difficulties in reaching a firm conclusion in drowning death. Combining suicide
and all undetermined deaths in drowning in nationally collected statistics may
result in grossly exaggerated rates and misleading trends in suicidal drowning.
PMID- 24930792
TI - Urinary incontinence in schizophrenic patients treated with atypical
antipsychotics: urodynamic findings and therapeutic perspectives.
AB - Objective The present study was a urodynamic evaluation of schizophrenic patients
with urinary incontinence occurring during treatment with atypical antipsychotics
Methods A total of 12 schizophrenic patients (mean age = 30.7 years, SD = 6.5)
presenting urinary incontinence during treatment with atypical antipsychotics at
stable doses underwent urodynamic evaluations. Clinical assessment included the
administration of Positive and Negative Syndrome Scale (PANSS) Results Four
patients out of 12 presented urodynamic patterns consistent with an overactive
bladder, while five patients presented reduced bladder compliance; only three
patients showed normal urodynamic patterns Conclusion Detrusor overactivity is a
condition associated with urinary incontinence in schizophrenic patients treated
with atypical antipsychotics. Urodynamic evaluations can improve our knowledge of
the mechanisms that subtend atypical antipsychotic-induced incontinence, an
invalidating side-effect with strong repercussion on compliance and
rehabilitation in schizophrenic patients.
PMID- 24930793
TI - Assessment of sexual drive and desire in women with premenstrual dysphoric
disorder who have been treated with fluoxetine.
AB - Objective To explore the impact of treatment with fluoxetine on sexual drive and
desire (SDD) in women with premenstrual dysphoric disorder (PMDD). Methods Data
were collected during a randomised, controlled, double-blind trial evaluating the
efficacy of fluoxetine 20 or 60 mg/day versus placebo in the treatment of women
with PMDD. Study subjects rated their SDD on the Premenstrual Tension Scale, Self
Rating (PMTS-SR) during their follicular and luteal phases of the placebo run in
cycles and the double-blind treatment cycles. Data were analyzed using chi-square
test. Results Data were available for 184 subjects who rated their SDD during the
follicular and luteal phases of two baseline (placebo) cycles and the following
two treatment cycles. There was a trend for more women on fluoxetine to report
improvement in luteal phase SDD compared to women on placebo (P=0.057).
Conclusions Our data, contrary to expectations, suggest that fluoxetine treatment
may restore SDD in women who experience decreased SDD as part of a cluster of
symptoms associated with PMDD. Future trials with SSRIs should include specific
measures of sexual functioning to further examine the potential beneficial versus
side effects of these medications as they relate to phases of the menstrual
cycle.
PMID- 24930794
TI - A prescription survey of antipsychotic use in England and Wales following the
introduction of NICE guidance.
AB - Objective : In the United Kingdom (UK) the National Institute for Clinical
Excellence (NICE) has recommended the use of atypical antipsychotics for the
treatment of schizophrenia. As part of its guidance it discourages the concurrent
use of typical and atypical antipsychotics. In previous prescribing surveys
antipsychotic polypharmacy has been noted to be widespread. We sought to evaluate
atypical antipsychotic prescribing after the publication of NICE guidance. Method
: We invited psychiatric centres in England and Wales to participate, in March
2004, in an atypical antipsychotic prescribing survey of hospital in-patients.
Results : Thirty-six in-patient units submitted data for 2012 patients. After
exclusions, 1092 patients were eligible. Of these, 28.6% (312) were prescribed a
typical alongside an atypical antipsychotic and 19.3% (211) were prescribed high
dose antipsychotics. Co-prescription was more prevalent in patients aged 40 years
and above (32.0 vs. 25.3%; P=0.018). It was also noted that in centres employing
senior pharmacists, co-prescription was more common (28.6 vs. 14.3%; P=0.03).
High-dose treatment was more commonly observed in patients of a white ethnic
background (20.6 vs. 13.9%; P=0.02) as well as in patients aged 40 years and
above (24.4 vs. 15.0%; P<0.001). Prescription of anticholinergics was
significantly more prevalent in those receiving atypical and typical combinations
than atypicals alone (26.0 vs. 12.0%; P<0.001). Conclusions : Antipsychotic
polypharmacy remains commonplace. Similarly the prescription of high-dose
antipsychotics is also widespread.
PMID- 24930795
TI - Belgian consensus on metabolic problems associated with atypical antipsychotics.
AB - A workshop was convened by a panel of psychiatrists, diabetologists and
pharmacists from major Belgian hospitals to review the latest information
relating to the risks with second-generation antipsychotics (SGA) for the
development of metabolic disorders, especially impaired glucose tolerance,
diabetes mellitus and dyslipidemia. The panelists sought to formulate
recommendations for practising psychiatrists when initiating and maintaining
therapy with SGA, and for the switch of SGA or initiation of further treatment if
metabolic complications occur. In addition, recommendations for counselling of
the patient and for the cooperation between the psychiatrist and the general
physician or diabetologist, respectively, were provided.
PMID- 24930796
TI - Panic disorder due to ingestion of single dose ecstasy.
AB - Ecstasy or MDMA (3,4 methylenedioxymethamphetamine) is a popularly consumed
substance worldwide. There have been various reports documented in the literature
that have attributed MDMA to precipitating the onset of a wide range of
persistent psychiatric symptoms. Additionally, there is increasing evidence of a
permanent injury to the serotonergic neurons. In this case report, a person
demonstrating panic disorder after the ingestion of a single dose of MDMA is
described and potential mechanisms of action are reviewed.
PMID- 24930797
TI - Acute psychological stress-induced water intoxication.
AB - Excessive water drinking is a recognised feature of schizophrenia. We present
here a case of excessive water drinking precipitated by acute psychological
stress. A 52-year-old woman, with no previous mental health problems, was found
in a state of altered consciousness and was profoundly hyponatraemic. She had
consumed excess amount of water due to severe mental stress. She was treated with
hypertonic saline followed by fluid restrictions. The water intoxication had
caused brain damage which led to behavioural changes and impaired cognition. We
describe the pathophysiology of water intoxication.
PMID- 24930798
TI - Cigarette smoking and psychiatric disorders in Hungary.
AB - Data from the United States and from several European countries show that
patients with major mood disorders, schizophrenia and social phobia smoke at
significantly higher rates than the general population. However, there are no
published results on this field from Central Europe, including Hungary. In the
present study, the rate of current and lifetime smoking of the consecutively
screened outpatients with DSM-IV unipolar major depression (n=92), bipolar
disorder (n=60), schizophrenia (n=80), schizoaffective disorder (n=42) and panic
disorder without major depression (n=60) were assessed and the data were compared
to the controls (n=5503), representative for the general population of Hungary.
The results showed that, compared to controls, the rates of current and lifetime
smoking were significantly higher among patients with unipolar major depression,
bipolar disorder, schizophrenia and schizoaffective disorder, but not among
patients with panic disorder without major depression. The findings support
previous findings from other countries on the strong relationship between
cigarette smoking and major mood and schizophrenic spectrum disorders.
PMID- 24930799
TI - Strong mixed-handedness in schizophrenia.
AB - There is still considerable discussion of whether schizophrenia is a lateralized
brain disorder. In fact, schizophrenic patients appear to exhibit a shift away
from dexterity, as confirmed by the majority of the 23 publications dealing with
this question (14 positive, seven null, two paradoxical). However, quite a few of
these positive studies have distinguished between left-handedness and mixed
handedness (MH), thus lacking specificity. Whereas prior studies failed to
specify schizophrenic MH, we could observe a significant relationship between
strong MH and schizophrenia in male patients in a relatively small group due to
sex differentiation and accurate application of Annett's hand preference
questionnaire.
PMID- 24930800
TI - Outcome and risk of revision following shoulder replacement in patients with
glenohumeral osteoarthritis.
AB - This thesis includes four studies focusing on the functional outcome, shoulder
specific quality of life and risk of revision following shoulder replacement in
patients with glenohumeral osteoarthritis without symptomatic rotator cuff
pathology. The Danish version of WOOS, translated according to international
standardized guidelines, had substantial psychometric properties comparable to
the original version. It is recommendable to use WOOS in the evaluation of
patients with glenohumeral osteoarthritis treated with shoulder replacement. Data
from DSR showed that the shoulder specific quality of life following total
shoulder arthroplasty was superior to that of hemiarthroplasty (resurfacing
hemiarthroplasty and stemmed hemiarthroplasty). The difference between stemmed
hemiarthroplasty and resurfacing hemiarthroplasty was small and did not exceed
the minimal clinically important difference. The revision rate following
resurfacing hemiarthroplasty was surprisingly high compared with previous reports
but there were no statistical significant differences in revision rate between
arthroplasty designs. The shoulder specific quality of life and revision rate in
patients under the age of 55 was worrying. The use of resurfacing
hemiarthroplasty has relied on the results from case series only. The efficacy in
the treatment of glenohumeral osteoarthritis has been promising but the CMS found
in the randomized clinical trial indicate that the functional outcome may be
inferior to that of stemmed hemiarthroplasty and less favourable than previously
reported. However, the limited number of patients may have influenced the results
and a larger definitive RCT is needed.Shoulder replacement is relevant and
effective in the treatment of glenohumeral osteoarthritis; however, resurfacing
hemiarthroplasty was associated with a poorer outcome and a higher risk of
revision than previously assumed especially in patients under the age of 55.
Based on data from this thesis, and based on existing knowledge, it seems like
total shoulder arthroplasty should be preferred in the treatment of glenohumeral
osteoarthritis. Shoulder replacement is rarely indicated in younger patients
where other treatment options (e.g., physiotherapy; intraarticular injections of
hyaluronate; and joint preserving surgery) should be considered until the
efficacy of shoulder replacement has been more thoroughly documented.
PMID- 24930801
TI - Effect of maternal separation and chronic stress on hippocampal-dependent memory
in young adult rats: evidence for the match-mismatch hypothesis.
AB - Adverse experiences early in life may sensitize the hippocampus to subsequent
stressors throughout the individual's life. We analyzed in male rats, whether,
the interaction between early maternal separation and chronic stress affects: (1)
the volume of the dorsal hippocampus, (2) CA1, CA2/3 and dentate gyrus (DG) and
(3) hippocampal-dependent memory in adulthood. Male Wistar rats were subjected to
daily maternal separation for 4.5 h between postnatal days 1-21. From postnatal
day 50, animals were exposed to a chronic unpredictable stress paradigm during 24
days. The volumes of the dorsal hippocampus, their areas or strata did not reveal
significant differences between treatments. Non-maternally separated and stressed
animals showed poor hippocampal performance in a contextual fear conditioning
test, with a significant reduction in freezing behavior during post-conditioning
compared with control and maternally separated and stressed animals. Also, memory
retrieval 24 h after conditioning was significantly weaker in this group than in
control animals. Memory performance in maternally separated and stressed rats was
similar to control animals. Our results show an interaction between early
environment experiences and chronic variable stress in young adulthood as
evidence that early stressful experiences do not necessarily lead to a negative
outcome but can help in maintaining brain plasticity and increase fitness when
animals reach adulthood.
PMID- 24930802
TI - Does the arrival index predict physiological stress reactivity in children.
AB - Knowledge about children's stress reactivity and its correlates is mostly based
on one stress task, making it hard to assess the generalizability of the results.
The development of an additional stress paradigm for children, that also limits
stress exposure and test time, could greatly advance this field of research.
Research in adults may provide a starting point for the development of such an
additional stress paradigm, as changes in salivary cortisol and alpha-amylase
(sAA) over a 1-h pre-stress period in the laboratory correlated strongly with
subsequent reactivity to stress task (Balodis et al., 2010,
Psychoneuroendocrinology 35:1363-73). The present study examined whether such
strong correlations could be replicated in 9- to 11-year-old children. Cortisol
and sAA samples were collected from 158 children (83 girls) during a 2.5-h visit
to the laboratory. This visit included a 1-h pre-stress period in which children
performed some non-stressful tasks and relaxed before taking part in a
psychosocial stress task (TSST-C). A higher cortisol arrival index was
significantly and weakly correlated with a higher AUCg but unrelated to cortisol
reactivity to the stressor. A higher sAA arrival index was significantly and
moderately related to lower stress reactivity and to a lower AUCi. Children's
personality and emotion regulation variables were unrelated to the cortisol and
sAA arrival indices. The results of this study do not provide a basis for the
development of an additional stress paradigm for children. Further replications
in children and adults are needed to clarify the potential meaning of an arrival
index.
PMID- 24930804
TI - The use of venous jump grafts in pancreatic transplantation - no difference in
patient or allograft outcomes - an update of the UNOS database.
AB - Venous jump grafts are used in pancreas transplantation to salvage a pancreas
with a short portal vein or to facilitate an easier anastomosis. There have been
no large studies evaluating the safety of venous jump grafts in pancreas
transplantation. We analyzed the UNOS database to determine whether venous jump
grafts are associated with graft loss or patient death. Data from UNOS on all
adult pancreas transplant recipients 1996-2012 were analyzed. Venous extension
grafts were used in 2657 cases; they were not in 18 124. Kaplan-Meier/product
limit estimates analysis demonstrated similar patient survival (p < 0.641) and
death-censored graft survival (p < 0.351) at one, three, five,10, and 15 yr
between subjects with and without venous jump grafts. There was a statistically
significant difference in one-yr unadjusted patient survival between the venous
extension graft (94.9%) and the no-venous extension graft (95.8%) groups (p <
0.045) and a borderline difference in one-yr graft survival between the venous
extension graft (84.1%) and the no-venous extension graft (82.6%) groups (p <
0.055). There was no significant difference in patient survival or allograft
survival at the three-, five-, 10-, and 15-yr intervals. The use of venous jump
grafts is not associated with increased graft loss or mortality.
PMID- 24930803
TI - Estimating the returns to UK publicly funded cancer-related research in terms of
the net value of improved health outcomes.
AB - BACKGROUND: Building on an approach developed to assess the economic returns to
cardiovascular research, we estimated the economic returns from UK public and
charitable funded cancer-related research that arise from the net value of the
improved health outcomes. METHODS: To assess these economic returns from cancer
related research in the UK we estimated: 1) public and charitable expenditure on
cancer-related research in the UK from 1970 to 2009; 2) net monetary benefit
(NMB), that is, the health benefit measured in quality adjusted life years
(QALYs) valued in monetary terms (using a base-case value of a QALY of GBL25,000)
minus the cost of delivering that benefit, for a prioritised list of
interventions from 1991 to 2010; 3) the proportion of NMB attributable to UK
research; 4) the elapsed time between research funding and health gain; and 5)
the internal rate of return (IRR) from cancer-related research investments on
health benefits. We analysed the uncertainties in the IRR estimate using
sensitivity analyses to illustrate the effect of some key parameters. RESULTS: In
2011/12 prices, total expenditure on cancer-related research from 1970 to 2009
was L15 billion. The NMB of the 5.9 million QALYs gained from the prioritised
interventions from 1991 to 2010 was L124 billion. Calculation of the IRR
incorporated an estimated elapsed time of 15 years. We related 17% of the annual
NMB estimated to be attributable to UK research (for each of the 20 years 1991 to
2010) to 20 years of research investment 15 years earlier (that is, for 1976 to
1995). This produced a best-estimate IRR of 10%, compared with 9% previously
estimated for cardiovascular disease research. The sensitivity analysis
demonstrated the importance of smoking reduction as a major source of improved
cancer-related health outcomes. CONCLUSIONS: We have demonstrated a substantive
IRR from net health gain to public and charitable funding of cancer-related
research in the UK, and further validated the approach that we originally used in
assessing the returns from cardiovascular research. In doing so, we have
highlighted a number of weaknesses and key assumptions that need strengthening in
further investigations. Nevertheless, these cautious estimates demonstrate that
the returns from past cancer research have been substantial, and justify the
investments made during the period 1976 to 1995.
PMID- 24930805
TI - Treatment of central sensitization in patients with 'unexplained' chronic pain:
an update.
AB - INTRODUCTION: Central sensitization (CS) is present in a variety of chronic pain
disorders, including whiplash, temporomandibular disorders, low back pain,
osteoarthritis, fibromyalgia, headache, lateral epicondylalgia among others. In
spite of our increased understanding of the mechanisms involved in CS pain, its
treatment remains a challenging issue. AREAS COVERED: An overview of the
treatment options we have for desensitising the CNS in patients with CS pain is
provided. These include strategies for eliminating peripheral sources of
nociception, as well as pharmacotherapy and conservative interventions that
primarily address top-down (i.e., brain-orchestrated) mechanisms. EXPERT OPINION:
A combination of different strategies, each targeting a different 'desensitizing'
mechanism, might prove superior over monotherapies. Such combined therapy may
include both bottom-up and top-down (e.g., opioids, combined MU-opioid receptor
agonist and noradrenaline reuptake inhibitor drugs) strategies. Topically applied
analgesic therapies have strong potential for (temporally) decreasing peripheral
nociceptive input (bottom-up approach). Targeting metabolic (e.g., ketogenic
diets) and neurotrophic factors (e.g., decreasing brain-derived neurotrophic
factor) are promising new avenues for diminishing hyperexcitability of the CNS in
central sensitization pain patients. Addressing conservative treatments, pain
neuroscience education, cognitive behavioural therapy and exercise therapy are
promising treatments for CS pain.
PMID- 24930806
TI - Gender differences in biometry prediction error and intra-ocular lens power
calculation formula.
AB - PURPOSE: To analyze changes over time in biometry prediction error (BPE) after
cataract surgery with special reference to the impact of gender and the intra
ocular lens (IOL) calculation formula. METHODS: About 65% of Swedish cataract
surgery units participating in the outcome registration of the National Cataract
Register (NCR) were included in this prospective register study. Data for planned
and postoperative refraction and keratometry during the month of March 2004-2013
were analyzed, divided by gender. The newly introduced variables axial length and
IOL calculation formula were analyzed for March 2013. Gender differences in BPE
with correct sign (BPESign ) and absolute biometry prediction error (BPEAbs )
were compared for the Haigis' and Sanders-Retzlaff-Kraff T (SRK/T) formulas.
RESULTS: The BPEAbs decreased throughout the study period. In 2004-2006, the
BPEAbs was larger in women than in men (p < 0.05), but this difference
disappeared from 2007. For 2004 through 2009, the mean BPESign was -0.105 +/-
0.79D for women, but -0.003 +/- 0.73D for men. After 2009, this myopic error for
women gradually diminished. The Haigis' formula performed better in women than
the SRK/T formula (p < 0.001); the SRK/T formula rendered a BPESign similar to
that from 2004 to 2009 in women. Women had steeper corneas and shorter axial
lengths than men (p < 0.001). CONCLUSION: The myopic BPE in women - associated
with steeper corneas and shorter axial lengths - is decreasing, possibly owing to
an increased use of the Haigis' formula. Using the Haigis' formula to a higher
extent can potentially further reduce the BPEs after cataract surgery.
PMID- 24930807
TI - 'Measurement for improvement not judgement' -- the case of percutaneous
nephrolithotomy.
PMID- 24930808
TI - Primary herpes simplex infection with genital and extra-genital lesions mimicking
disseminated gonococcal disease.
PMID- 24930809
TI - Neurosensory assessment in patients with total reconstruction of the
temporomandibular joint.
AB - Somatosensory sensitivity and postoperative endogenous pain modulation have not
been investigated in temporomandibular joint (TMJ) prosthesis patients. The
objectives of this study were to assess somatosensory function at the TMJ and
examine possible differences in conditioned pain modulation (CPM) between
patients with total TMJ prostheses (n=7) and a reference group of healthy
controls (n=20). Somatosensory abnormalities were assessed using quantitative
sensory testing (QST), which encompasses thermal and mechanical testing
procedures. CPM was tested by comparing pressure pain thresholds (PPT) before
(baseline), during, and after the application of painful and non-painful cold
stimuli. PPTs were measured at the TMJ and thenar eminence (control). The effect
of CPM on PPT values was tested with analysis of variance. Three patients
exhibited mixed somatosensory loss (i.e., decreased thermal and mechanical
detection) with mixed hyperalgesia (i.e., increased sensitivity to thermal and
mechanical pain) and two patients exhibited mixed loss with only mechanical
hyperalgesia. There was a significant decrease in pressure pain sensitivity at
both sites during painful cold application in healthy controls (P<0.001) but not
in patients (P=0.476). In conclusion, QST measures demonstrated somatosensory
abnormalities in patients with total TMJ prostheses. Noxious conditioning cold
stimuli evoked CPM-like effects in healthy subjects but not in patients with TMJ
reconstruction.
PMID- 24930810
TI - Weighted hurdle regression method for joint modeling of cardiovascular events
likelihood and rate in the US dialysis population.
AB - We propose a new weighted hurdle regression method for modeling count data, with
particular interest in modeling cardiovascular events in patients on dialysis.
Cardiovascular disease remains one of the leading causes of hospitalization and
death in this population. Our aim is to jointly model the
relationship/association between covariates and (i) the probability of
cardiovascular events, a binary process, and (ii) the rate of events once the
realization is positive-when the 'hurdle' is crossed-using a zero-truncated
Poisson distribution. When the observation period or follow-up time, from the
start of dialysis, varies among individuals, the estimated probability of
positive cardiovascular events during the study period will be biased.
Furthermore, when the model contains covariates, then the estimated relationship
between the covariates and the probability of cardiovascular events will also be
biased. These challenges are addressed with the proposed weighted hurdle
regression method. Estimation for the weighted hurdle regression model is a
weighted likelihood approach, where standard maximum likelihood estimation can be
utilized. The method is illustrated with data from the United States Renal Data
System. Simulation studies show the ability of proposed method to successfully
adjust for differential follow-up times and incorporate the effects of covariates
in the weighting.
PMID- 24930811
TI - Assessing the needs of informal caregivers to cancer survivors: a review of the
instruments.
AB - OBJECTIVE: Cancer may impact negatively on an informal caregiver's health long
after treatment has ended. This review identifies the self-report measures
currently in use to measure caregivers need for support and determines their
scientific soundness and clinical utility. METHOD: A systematic electronic
database search of Medline, CINAHL, PsychINFO, BNI ProQuest was conducted. The
psychometric properties and clinical utility of needs assessment tools for
caregivers of cancer survivors (excluding advanced disease) were extracted and
summarised. RESULTS: Seven cancer survivor caregiver needs assessment tools were
identified. Data on instrument development was well reported, although
variability was noted in their structure and content. The majority demonstrated
some degree of reliability and validity; only two were evaluated for test-retest
reliability (CaSPUN and SPUNS) with only the SPUNS showing a high degree of
reliability over time. The Health Care Needs Survey (HCNS), Needs Assessment of
Family Caregivers-Cancer (NAFC-C) and Cancer Caregiving Tasks Consequences and
Needs Questionnaire (CaTCoN) have been validated at various stages of the cancer
continuum. Minimal data was available on responsiveness. CONCLUSION: All
assessment tools identified require further psychometric analysis. For research
purposes, the use of the SPUNS (with its acceptable test-retest reliability)
appears most appropriate; although its length may be of concern for clinical use;
therefore, the shorter SCNS-P&C is likely to be more suitable for use clinically.
At present, the NAFC-C demonstrates a great potential in both the research and
clinical environments; however, it requires further psychometric testing before
it can be fully recommended. Further analysis is necessary on ideal response
formats and the meaning of a total needs score.
PMID- 24930812
TI - Implication of mGlu5 receptor in the enhancement of morphine-induced
hyperlocomotion under chronic treatment with zolpidem.
AB - Long-term exposure to zolpidem induces drug dependence, and it is well known that
the balance between the GABAergic and glutamatergic systems plays a critical role
in maintaining the neuronal network. In the present study, we investigated the
interaction between GABAA receptor alpha1 subunit and mGlu5 receptor in the
limbic forebrain including the N.Acc. after treatment with zolpidem for 7 days.
mGlu5 receptor protein levels were significantly increased after treatment with
zolpidem for 7 days, and this change was accompanied by the up-regulation of
phospholipase Cbeta1 and calcium/calmodulin-dependent protein kinase IIalpha,
which are downstream of mGlu5 receptor in the limbic forebrain. To confirm that
mGlu5 receptor is directly involved in dopamine-related behavior in mice
following chronic treatment with zolpidem, we measured morphine-induced
hyperlocomotion after chronic treatment with zolpidem in the presence or absence
of an mGlu5 receptor antagonist. Although chronic treatment with zolpidem
significantly enhanced morphine-induced hyperlocomotion, this enhancement of
morphine-induced hyperlocomotion was suppressed by treating it with the mGlu5
receptor antagonist MPEP. These results suggest that chronic treatment with
zolpidem caused neural plasticity in response to activation of the mesolimbic
dopaminergic system accompanied by an increase in mGlu5 receptor.
PMID- 24930813
TI - Protocatechualdehyde prevents methylglyoxal-induced mitochondrial dysfunction and
AGEs-RAGE axis activation in human lens epithelial cells.
AB - Methylglyoxal (MGO), a glucose derived dicarbonyl intermediate, is a major
precursor of advanced glycation end products (AGEs) which have been linked to the
development of diabetic cataract. Protocatechualdehyde (PCA), a phenolic acid
compound, is found in the roots of Salvia miltiorrhiza. This study was to
investigate the effect of PCA against MGO-induced cytotoxicity in human lens
epithelial cells (SRA01/04 cells) and the possible involved molecular mechanism.
The results showed that PCA alleviated MGO-induced mitochondrial dysfunction and
apoptosis in SRA01/04 cells. Furthermore, PCA was capable of inhibiting MGO
mediated AGEs formation and blocking receptor of AGEs expression in SRA01/04
cells. It is concluded that PCA could be useful in attenuation of MGO-induced
cell damage and the possible mechanism is involved in modulating AGEs-receptor of
AGEs axis in human lens epithelial cells, which suggests that PCA has a potential
protective effect on diabetic cataract.
PMID- 24930814
TI - Does radiation dose to the vestibule predict change in balance function and
patient perceived dizziness following stereotactic radiotherapy for vestibular
schwannoma?
AB - OBJECTIVE: To date, the majority of the vestibular schwannoma (VS) literature has
focused on tumor control rates, facial nerve function and hearing preservation.
Other factors that have been shown to significantly affect quality-of-life (QOL),
such as dizziness, remain understudied. The primary objective of the current
study is to investigate the association between radiation dose to the vestibule
and post-treatment changes in vestibular function and patient reported dizziness
handicap. MATERIALS AND METHODS: This is a prospective observational pilot study
at a tertiary academic referral center including all subjects that underwent
linear accelerator-based stereotactic radiotherapy (SRS) for sporadic VS and
completed pre-treatment and post-treatment vestibular testing and Dizziness
Handicap Inventory (DHI) questionnaires. Associations between objective
vestibular test results, patient-reported DHI scores and radiation dose
parameters were investigated. RESULTS: Ten patients met inclusion criteria. Tumor
control was achieved in all individuals. There were no statistically significant
associations or identifiable trends between radiation dose and change in
vestibular function or DHI scores. Notably, the four ears receiving the highest
vestibular dose had minimal changes in vestibular function tests and DHI scores.
CONCLUSIONS: To the best of our knowledge, no previous reports have described the
association between radiation dose to the vestibule and post-treatment changes in
vestibular function and patient reported DHI. Based on these preliminary data,
radiation dose to the vestibule does not reliably predict change in objective or
subjective vestibular outcome measures.
PMID- 24930816
TI - Preparation and mechanism insight of nuclear envelope-like polymer vesicles for
facile loading of biomacromolecules and enhanced biocatalytic activity.
AB - The facile loading of sensitive and fragile biomacromolecules, such as glucose
oxidase, hemoglobin, and ribonucleic acid (RNA), via synthetic vehicles directly
in pure aqueous media is an important technical challenge. Inspired by the
nucleus pore complex that connects the cell nucleus and the cytoplasm across the
nuclear envelope, here we describe the development of a kind of polymeric nuclear
envelope-like vesicle (NEV) to address this problem. The NEV is tailored to form
the polymer pore complex (70 nm, similar to a nucleus pore complex) within the
vesicle membrane based on nanophase segregation, which is confirmed via
fluorescence spectrometry and dynamic light scattering (DLS) during self
assembly. This pH-triggered polymer pore complex can mediate the transportation
of biomacromolecules across the vesicle membrane. Moreover, the NEVs facilitate
the natural consecutive enzyme-catalyzed reactions via the H(+) sponge effect.
This simple strategy might also be extended for mimicking other synthetic cell
organelles.
PMID- 24930815
TI - Extraction of functional ingredients from spinach (Spinacia oleracea L.) using
liquid solvent and supercritical CO2 extraction.
AB - BACKGROUND: In this work three different techniques were applied to extract dry
leaves of spinach (Spinacia oleracea): solid-liquid extraction (SLE), pressurised
liquid extraction (PLE) and supercritical fluid extraction (SFE) to investigate
the influence of extraction solvent and technique on extracts composition and
antioxidant activity. Moreover, the influence of carotenoids and phenolic
compounds on the antioxidant and anti-inflammatory activities of spinach extracts
was also studied. RESULTS: The higher concentrations of carotenoids and the lower
content of phenolic compounds were observed in the supercritical CO2 extracts;
whereas water and/or ethanol PLE extracts presented low amounts of carotenoids
and the higher concentrations of phenolic compounds. PLE extract with the highest
content of phenolic compounds showed the highest antioxidant activity, although
SFE carotenoid rich extract also showed a high antioxidant activity. Moreover,
both extracts presented an important anti-inflammatory activity. CONCLUSION: PLE
seems to be a good technique for the extraction of antioxidant and anti
inflammatory compounds from spinach leaves. Moreover, spinach phenolic compounds
and carotenoids present a high antioxidant activity, whereas spinach carotenoids
seem to show a higher anti-inflammatory activity than phenolic compounds. It is
worth noting that of our knowledge this is the first time the anti-inflammatory
activity of lipophilic extracts from spinach leaves is reported.
PMID- 24930818
TI - Divorce, approaches to learning, and children's academic achievement: a
longitudinal analysis of mediated and moderated effects.
AB - Data from the Early Childhood Longitudinal Study--Kindergarten Cohort (ECLS-K)
were used to test the hypothesis that approaches to learning (ATL) mediates the
link between parental divorce and academic achievement. Fixed effects regression
was utilized to test for mediation, and subsequent moderation analyses examining
gender and age at time of divorce also were conducted. Results indicated that
divorce was associated with less growth in test scores and that ATL mediated 18%
and 12% of this association in reading and mathematics respectively. Parental
divorce also was associated with larger negative effects for children who
experienced divorce at an older age as well as for girls' mathematics test
scores. These findings contribute to the understanding of the impact of parental
divorce on children's academic achievement and underscore the importance of
focusing on the variability of child outcomes following parental divorce.
PMID- 24930817
TI - No effect of weight loss on LINE-1 methylation levels in peripheral blood
leukocytes from postmenopausal overweight women.
AB - OBJECTIVES: Obesity and weight-loss are associated with methylation patterns in
specific genes, but their effect on Long Interspersed Nuclear Elements (LINE-1)
methylation, a measure of global methylation is largely unknown. METHODS: Three
hundred overweight/obese post-menopausal women (50-75 years) were part of a
completed, 1-year randomized controlled trial, comparing independent and combined
effects of a reduced-calorie weight-loss diet, and exercise program, versus
control. DNA was extracted from peripheral blood leukocytes collected at baseline
and 12-months, and LINE-1 methylation analyzed by pyrosequencing. Mean changes
between groups using generalized estimating equations and examined effects of
weight-loss on LINE-1 methylation using stratified analyses (gained weight/no
weight-loss [N = 84]; <5% [N = 45]; 5%-10% [N = 45]; >10% of baseline weight-loss
[N = 126]) within each arm, adjusted by blood cell counts were compared.
Associations between LINE-1 methylation and previously measured biomarkers, and
anthropometrics were also examined. RESULTS: No significant difference in LINE-1
methylation levels was detected in any intervention group versus controls. The
magnitude of weight-loss was not associated with LINE-1 methylation at 12-months.
There were no associations between baseline characteristics of participants, or
previously measured biomarkers, and LINE-1 methylation. CONCLUSIONS: Our results
suggest that lifestyle changes sufficient to significantly reduce weight over 12
months may not change LINE-1 DNA methylation levels.
PMID- 24930820
TI - Early adolescents' willingness to intervene: what roles do attributions, affect,
coping, and self-reported victimization play?
AB - Limited research has sought to understand early adolescents' willingness to
intervene in peer victimization as a function of their own responding to being
victimized. The present study examined whether early adolescents' attributions,
affect, and coping responses to a victimization vignette were related to their
willingness to intervene, and whether self-reported victimization moderated the
aforementioned associations. Participants were 653 5th- to 8th-grade students
(50.4% girls, 58.5% Caucasian, 34.5% Hispanic) who completed a self-report survey
that included a vignette asking students to imagine that they were victimized in
school. Hierarchical regression analyses were conducted separately for boys and
girls. Although attributions and affect showed no significant associations with
students' willingness to intervene, seeking social support coping was associated
with greater willingness to intervene for both boys and girls, and problem
focused coping was associated with willingness to intervene for girls only.
Unexpectedly, self-reported victimization was associated positively with both
boys' and girls' willingness to intervene. Findings also revealed two unexpected
two-way interactions between peer victimization and boys' characterological self
blame and girls' wishful thinking coping. Overall, study findings highlight the
need for future research and anti-bullying programs to address how victimization
could either motivate or discourage a student's willingness to intervene.
PMID- 24930821
TI - A longitudinal multilevel model analysis of the within-person and between-person
effect of effortful engagement and academic self-efficacy on academic
performance.
AB - Using data from an accelerated longitudinal study, we examined the within-person
and between-person effect of effortful engagement and academic self-efficacy on
academic performance across students (N=135) in elementary school. Teachers
assessed participants' effortful engagement and participants rated their academic
self-efficacy once per year for 3 years. Academic performance was assessed
through standardized test scores in reading and math. Multilevel models indicated
that within-person change in Effortful Engagement and Academic Self-Efficacy
scores significantly predicted concomitant within-person change in reading test
scores, B=2.71, p=.043, Pseudo-R2=.02 and B=4.72, p=.005, Pseudo-R2=.04,
respectively. Participants with higher between-person levels of Effortful
Engagement had higher initial reading test scores, B=10.03, p=.001, Pseudo
R2=.09, and math test scores, B=11.20, p<.001, Pseudo-R2=.15, whereas
participants with higher between-person levels of Academic Self-Efficacy showed a
faster rate of increase in math test scores across elementary school, B=10.21,
p=.036, Pseudo-R2=.25. At the between-person level, Effortful Engagement mediated
the association between Academic Self-Efficacy and both reading and math test
scores, although no support was found for mediation at the within-person level.
Collectively, results suggest that trait-level psychological factors can vary
meaningfully within school-aged children and that both within-person change and
between-person individual differences in these traits have important consequences
for academic performance.
PMID- 24930822
TI - Effect of peer nominations of teacher-student support at individual and classroom
levels on social and academic outcomes.
AB - This longitudinal study examined the prospective relations between 713 elementary
students' individual peer teacher support reputation (PTSR) and a measure of the
classroom-wide dispersion of peer nominations of teacher support (Centralization
of Teacher Support) on students' peer relatedness (i.e., peer acceptance and peer
academic reputation) and academic motivation (i.e., academic self-efficacy and
teacher-rated behavioral engagement). PTSR was measured as the proportion of
classmates who nominated a given student on a descriptor of teacher-student
support. Centralization of Teacher Support was assessed using social network
analysis to identify the degree to which peer nominations of teacher support in a
classroom centered on a few students. PTSR predicted changes in all student
outcomes, above academic achievement and relevant covariates. Centralization of
Teacher Support predicted changes in students' peer academic reputation, net the
effect of PTSR and covariates. Students' academic achievement moderated effects
of PTSR and Centralization of Teacher Support on some outcomes. Findings
highlight the importance of peers' perceptions of teacher support and of the
structure of those perceptions for children's social and academic outcomes.
Implications for practice are discussed.
PMID- 24930819
TI - A randomized controlled pilot trial of classroom-based mindfulness meditation
compared to an active control condition in sixth-grade children.
AB - The current study is a pilot trial to examine the effects of a nonelective,
classroom-based, teacher-implemented, mindfulness meditation intervention on
standard clinical measures of mental health and affect in middle school children.
A total of 101 healthy sixth-grade students (55 boys, 46 girls) were randomized
to either an Asian history course with daily mindfulness meditation practice
(intervention group) or an African history course with a matched experiential
activity (active control group). Self-reported measures included the Youth Self
Report (YSR), a modified Spielberger State-Trait Anxiety Inventory, and the
Cognitive and Affective Mindfulness Measure -Revised. Both groups decreased
significantly on clinical syndrome subscales and affect but did not differ in the
extent of their improvements. Meditators were significantly less likely to
develop suicidal ideation or thoughts of self-harm than controls. These results
suggest that mindfulness training may yield both unique and non-specific benefits
that are shared by other novel activities.
PMID- 24930823
TI - Developing school psychologists as agents of social justice: a qualitative
analysis of student understanding across three years.
AB - This study employed a cohort-sequential design with four cohorts over 3 years to
investigate school psychology graduate trainees' (n=37) understanding of social
justice. Using consensual qualitative research methods, participants'
perspectives on social justice writ large, social justice as it applies to school
psychology, and effective aspects of social justice training in their graduate
training program were collected through semi-structured focus group interviews.
Field-based training though service-learning in diverse communities provided
trainees with exposure to experiences that were viewed as instrumental in their
understanding of social justice in general and as it applies to school
psychology. Trainees described aspects of the training program that were viewed
as conducive to educating school psychologists as agents of social justice. Based
on findings from the study, a descriptive model of school psychology training for
social justice is proposed.
PMID- 24930825
TI - Relationship between growth and standard metabolic rate: measurement artefacts
and implications for habitat use and life-history adaptation in salmonids.
AB - Mass-specific standard metabolic rate (SMR, or maintenance metabolism) varies
greatly among individuals. Metabolism is particularly sensitive to variation in
food consumption and growth creating the potential for significant bias in
measured SMR for animals that are growing (e.g. juveniles) or of uncertain
nutritional status. Consequently, interpreting individual variation in metabolism
requires a sound understanding of the potentially confounding role of growth and
the relative importance of fixed (genetic) vs. environmental drivers of SMR
variation. We review the role of growth in measured SMR variation in juvenile
salmonids, with the goals of (i) understanding the contribution of growth (and
food consumption) to SMR variation through ontogeny, (ii) understanding the
relative contributions of tissue maintenance and biosynthesis (overhead costs of
growth) to apparent SMR variation, and (iii) using intrinsic growth effects on
SMR to model how alternate life-history strategies may influence growth and
measured SMR in juvenile salmonids. SMR measures on juveniles, even when post
absorptive, may be inflated by delayed growth-associated overhead costs, unless
juveniles are on a maintenance ration (i.e. not growing). Empirical measurements
of apparent SMR in food restricted vs. satiated 2-5 g juvenile salmon demonstrate
that estimates may be inflated by as much as 67% due to delayed overhead costs of
growth, even when SMR measurements are taken 35 h post-feeding. These results
indicate that a substantial component of variation in apparent SMR among juvenile
salmonids may be associated with (i) environmentally driven variation in ration
(where elevated SMR measurements are an artefact of delayed growth overhead
costs), (ii) intrinsic (genetic) or plastic organ-system trade-offs related to
increasing investment in metabolically expensive digestive tissue responsible for
processing food and (iii) intrinsic (genetic) variation in maximum body size and
growth among individuals or life-history types. We suggest that selection for
differences in adult body size among resident and anadromous forms leading to
differences in juvenile growth trajectories may contribute to both SMR variation
and habitat segregation in freshwater, where juveniles with higher growth are
constrained to foraging in high velocity habitats to meet their greater
consumption needs.
PMID- 24930826
TI - Validity, reliability, and assessment sensitivity of the Japanese version of the
short-form McGill pain questionnaire 2 in Japanese patients with neuropathic and
non-neuropathic pain.
AB - OBJECTIVE: The objective of this study was to define the validity, reliability,
and assessment sensitivity of the Japanese version of the Short-Form McGill Pain
Questionnaire 2 (SF-MPQ-2-J). DESIGN: This is a cross-sectional study. PATIENTS
AND METHODS: The original SF-MPQ-2 was translated into Japanese to create the SF
MPQ-2-J, and the cross-cultural equivalence of assessment tool for Japanese
patients was validated. The reliability of the SF-MPQ-2-J was assessed using
internal consistency, reliability coefficients (Cronbach's alpha), and
reproducibility coefficients (intraclass correlation coefficient) obtained using
234 patients with chronic pain. SF-MPQ-2-J validity was assessed based on
associations identified between total and subscale scores compared with other
assessment methods. A confirmatory factor analysis (CFA) was also performed to
test the theoretical structure of the SF-MPQ-2-J. RESULTS: The internal
consistencies calculated included continuous pain, alpha=0.893; intermittent
pain, alpha=0.875; predominantly neuropathic pain, alpha=0.917; affective
descriptors, alpha=0.857; and total score, alpha=0.907. The reproducibility
coefficients calculated included continuous pain, rho=0.81; intermittent pain,
rho=0.78; predominantly neuropathic pain, rho=0.85; affective descriptors,
rho=0.75; and total score, rho=0.83. The CFA showed that the model fit of the
readily interpretable subscales was acceptable, and the goodness of fit index
value was 0.917. In addition, the mean predominantly neuropathic pain subscale
score was found to be significantly higher for patients with neuropathic pain vs
non-neuropathic pain. CONCLUSION: These findings suggest that the reliability and
validity of the SF-MPQ-2-J are excellent, and the SF-MPQ-2-J represents a cross
cultural equivalent to SF-MPQ-2. Consequently, the latter is suitable for
research and clinical use, and for discriminating neuropathic pain from non
neuropathic pain.
PMID- 24930824
TI - Acquired resistance to selective estrogen receptor modulators (SERMs) in clinical
practice (tamoxifen & raloxifene) by selection pressure in breast cancer cell
populations.
AB - Tamoxifen, a pioneering selective estrogen receptor modulator (SERM), has long
been a therapeutic choice for all stages of estrogen receptor (ER)-positive
breast cancer. The clinical application of long-term adjuvant antihormone therapy
for the breast cancer has significantly improved breast cancer survival. However,
acquired resistance to SERM remains a significant challenge in breast cancer
treatment. The evolution of acquired resistance to SERMs treatment was primarily
discovered using MCF-7 tumors transplanted in athymic mice to mimic years of
adjuvant treatment in patients. Acquired resistance to tamoxifen is unique
because the growth of resistant tumors is dependent on SERMs. It appears that
acquired resistance to SERM is initially able to utilize either E2 or a SERM as
the growth stimulus in the SERM-resistant breast tumors. Mechanistic studies
reveal that SERMs continuously suppress nuclear ER-target genes even during
resistance, whereas they function as agonists to activate multiple membrane
associated molecules to promote cell growth. Laboratory observations in vivo
further show that three phases of acquired SERM-resistance exists, depending on
the length of SERMs exposure. Tumors with Phase I resistance are stimulated by
both SERMs and estrogen. Tumors with Phase II resistance are stimulated by SERMs,
but are inhibited by estrogen due to apoptosis. The laboratory models suggest a
new treatment strategy, in which limited-duration, low-dose estrogen can be used
to purge Phase II-resistant breast cancer cells. This discovery provides an
invaluable insight into the evolution of drug resistance to SERMs, and this
knowledge is now being used to justify clinical trials of estrogen therapy
following long-term antihormone therapy. All of these results suggest that cell
populations that have acquired resistance are in constant evolution depending
upon selection pressure. The limited availability of growth stimuli in any new
environment enhances population plasticity in the trial and error search for
survival.
PMID- 24930827
TI - Mixed reverse micelles facilitated downstream processing of lipase involving
water-oil-water liquid emulsion membrane.
AB - Our earlier work for the first time demonstrated that liquid emulsion membrane
(LEM) containing reverse micelles could be successfully used for the downstream
processing of lipase from Aspergillus niger. In the present work, we have
attempted to increase the extraction and purification fold of lipase by using
mixed reverse micelles (MRM) consisting of cationic and nonionic surfactants in
LEM. It was basically prepared by addition of the internal aqueous phase solution
to the organic phase followed by the redispersion of the emulsion in the feed
phase containing enzyme, which resulted in globules of water-oil-water (WOW)
emulsion for the extraction of lipase. The optimum conditions for maximum lipase
recovery (100%) and purification fold (17.0-fold) were CTAB concentration 0.075
M, Tween 80 concentration 0.012 M, at stirring speed of 500 rpm, contact time 15
min, internal aqueous phase pH 7, feed pH 9, KCl concentration 1 M, NaCl
concentration 0.1 M, and ratio of membrane emulsion to feed volume 1:1.
Incorporation of the nonionic surfactant (e.g., Tween 80) resulted in remarkable
improvement in the purification fold (3.1-17.0) of the lipase. LEM containing a
mixture of nonionic and cationic surfactants can be successfully used for the
enhancement in the activity recovery and purification fold during downstream
processing of enzymes/proteins.
PMID- 24930828
TI - Synthesis of (11)C-labeled retinoic acid, [(11)C]ATRA, via an alkenylboron
precursor by Pd(0)-mediated rapid C-[(11)C]methylation.
AB - Retinoids are a class of chemical compounds which include both natural dietary
vitamin A (retinol) metabolites and active synthetic analogs. Both experimental
and clinical studies have revealed that retinoids regulate a wide variety of
essential biological processes. In this study, we synthesized (11)C-labeled all
trans-retinoic acid (ATRA), the most potent biologically active metabolite of
retinol and used in the treatment of acute promyelocytic leukemia. The synthesis
of (11)C-labeled ATRA was accomplished by a combination of rapid Pd(0)-mediated C
[(11)C]methylation of the corresponding pinacol borate precursor prepared by 8
steps and hydrolysis. [(11)C]ATRA will prove useful as a PET imaging agent,
particularly for elucidating the improved therapeutic activity of ATRA (natural
retinoid) for acute promyelocytic leukemia by comparing with the corresponding
PET probe [(11)C]Tamibarotene (artificial retinoid).
PMID- 24930830
TI - Complanadine A, a selective agonist for the Mas-related G protein-coupled
receptor X2.
AB - The first biological target for the natural product complanadine A has been
determined. The pseudosymmetric alkaloid functions as a selective agonist for the
Mas-related G protein-coupled receptor X2 (MrgprX2), a G protein-coupled receptor
that is highly expressed in neurons. Given the potential of MrgprX2 to function
as a modulator of pain, complanadine A represents a new chemical probe to
selectively interrogate the physiological function of MrgprX2 as well as a
potential lead for the development of antihyperalgesics for the treatment of
persistent pain. While complanadine A possess agonistic activity the related
natural product lycodine, representing half of complanadine A, lacks activity
providing a cursory description of the structural requirements for agonistic
activity.
PMID- 24930829
TI - 2-Aminobenzoxazole ligands of the hepatitis C virus internal ribosome entry site.
AB - 2-Aminobenzoxazoles have been synthesized as ligands for the hepatitis C virus
(HCV) internal ribosome entry site (IRES) RNA. The compounds were designed to
explore the less basic benzoxazole system as a replacement for the core scaffold
in previously discovered benzimidazole viral translation inhibitors. Structure
activity relationships in the target binding of substituted benzoxazole ligands
were investigated.
PMID- 24930831
TI - [(11)C-carbonyl]CEP-32496: radiosynthesis, biodistribution and PET study of brain
uptake in P-gp/BCRP knockout mice.
AB - CEP-32496 is a novel, orally active serine/threonine-protein kinase B-raf (BRAF)
(V600E) kinase inhibitor that is being investigated in clinical trials for the
treatment of some cancers in patients. In this study, we developed [(11)C
carbonyl]CEP-32496 as a novel positron emission tomography (PET) probe to study
its biodistribution in the whole bodies of mice. [(11)C]CEP-32496 was synthesized
by the reaction of 5-(1,1,1-trifluoro-2-methylpropan-2-yl)isoxazol-3-amine
hydrochloride (1.HCl) with [(11)C]phosgene, followed by treatment with 3-(6,7
dimethoxyquinozolin-4-yloxy)aniline (2). Small-animal PET studies with [(11)C]CEP
32496 indicated that radioactivity levels (AUC0-90 min, SUV*min) accumulated in
the brains of P-gp/BCRP knockout mice at a 8-fold higher rate than in the brains
of wild-type mice.
PMID- 24930832
TI - Efficient synthesis of eudistomin U and evaluation of its cytotoxicity.
AB - Eudistomin U is a member of a subclass of naturally occurring indole alkaloids
known as beta-carbolines. These molecules are reported to have diverse biological
activity and high binding affinity to DNA, which make them attractive targets for
total synthesis. We describe an efficient, five-step synthesis of eudistomin U by
employing two key reactions: a Bischler-Napieralski cyclization and a Suzuki
cross coupling. We also describe the cytotoxicity of eudistomin U against various
cancer cell lines and human pathogens, in which we observed potent antibacterial
activity against Gram-positive bacteria.
PMID- 24930833
TI - Synthesis and structure-activity relationships of 4-fluorophenyl-imidazole
p38alpha MAPK, CK1delta and JAK2 kinase inhibitors.
AB - The synthesis and structure-activity relationships of novel 4-(4'
fluorophenyl)imidazoles as selective p38alpha MAPK, CK1delta and JAK2 inhibitors
with improved water solubility are described. Microwave-assisted multicomponent
reactions afforded 4-fluorophenyl-2,5-disubstituted imidazoles. Carboxylate and
phosphonate groups were introduced via 'click' reactions. The kinase selectivity
was influenced by the heteroaryl group at imidazole C-5 and the position of a
carboxylic acid or tetrazole at imidazole C-2. For example, pyrimidines 15 and 34
inhibited p38alpha MAPK with IC50=250 nM and 96 nM, respectively. Pyridine 3 gave
CK1delta inhibition with IC50=89 nM and pyridin-2-one 31 gave JAK2 inhibition
with IC50=62 nM.
PMID- 24930835
TI - Synthesis of new melatoninergic hexahydroindenopyridines.
AB - Hexahydroindenopyridine (HHIP) is an interesting heterocyclic framework that
contains an indene core similar to ramelteon. This type of tricyclic piperidines
aroused our interest as potential melatoninergic ligands. Melatonin receptor
ligands have applications in insomnia and depression. We report herein an
efficient two-step method to prepare new HHIP by the reaction of an enamine with
3-bromopropylamine hydrobromide. Some synthesized compounds showed moderate
affinity for melatonin receptors in the nanomolar or low micromolar range.
Furthermore, the methylenedioxy HHIPs 2d (N-phenylacetamide) and 2f (N,N
diethylacetamide), exhibited high selectivity at MT1 or MT2 receptors,
respectively, when compared with melatonin. It seems that the methylenedioxy
group on the indene ring system and the N-acetamide substituent are important
structural features to bind selectively MT1 or MT2 subtypes.
PMID- 24930834
TI - Halogenated diarylacetylenes repress c-myc expression in cancer cells.
AB - Halogenated diarylacetylenes that possess fluorine or chlorine substituents in
one aryl ring and N-methylamino or N,N-dimethylamino in the other aryl ring
inhibit the proliferation of LS174T colon cancer cells through the repression of
c-myc expression and induction of the cyclin-dependent kinase inhibitor-1 (i.e.,
p21(Wif1/Cip1)) and represent potentially useful antineoplastic agents.
PMID- 24930836
TI - Synthesis and bioactive evaluation of a novel series of coumarinazoles.
AB - A series of novel coumarinazoles were designed, synthesized, and characterized by
IR, NMR, MS and HRMS spectra. The bioactive assay for the newly prepared
compounds against six bacteria and five fungi manifested that most new compounds
exhibited good or even stronger antibacterial and antifungal activities in
comparison with reference drugs Chloromycin, Norfloxacin and Fluconazole. Bis
azole alcohols 7a and 7d-e showed better anti-Candida utilis activity than mono
azole derivatives 4a and 4d-e at the tested concentrations, and they were more
potent than the clinical Fluconazole. While triazole alcohol 7a gave comparable
anti-Candida albicans and anti-Candida mycoderma activity to Fluconazole and
better anti-MRSA activity than mono-triazole one 4a and clinical Norfloxacin. 1H
Benzoimidazol-2-ylthio coumarin derivatives 4e and 7e gave the strongest anti
Escherichia coli JM109 efficacy. Oxiran-2-ylmethoxy moiety was found to be a
beneficial fragment to improve antibacterial and antifungal activity to some
extent.
PMID- 24930837
TI - Dielectrophoretic separation of micron and submicron particles: a review.
AB - This paper provides an overview on separation of micron and submicron sized
biological (cells, yeast, virus, bacteria, etc.) and nonbiological particles
(latex, polystyrene, CNTs, metals, etc.) by dielectrophoresis (DEP), which finds
wide applications in the field of medical and environmental science. Mathematical
models to predict the electric field, flow profile, and concentration profiles of
the particles under the influence of DEP force have also been covered in this
review. In addition, advancements made primarily in the last decade, in the area
of electrode design (shape and arrangement), new materials for electrode (carbon,
silicon, polymers), and geometry of the microdevice, for efficient DEP separation
of particles have been highlighted.
PMID- 24930838
TI - Long-term outcome of segmental reconstruction of the humeral head for the
treatment of locked posterior dislocation of the shoulder.
AB - BACKGROUND: Locked posterior glenohumeral dislocations with impaction fractures
involving less than 30% to 35% of the humeral head are most frequently treated
with lesser tuberosity transfer into the defect, whereas those involving more
than 35% to 40% are treated with humeral head arthroplasty. As an alternative,
reconstruction of the defect with segmental femoral or humeral head allograft has
been proposed, but the long-term outcome of this joint-preserving procedure is
unknown. METHODS: Twenty-two shoulders in 21 patients with a locked posterior
shoulder dislocation and an impaction of at least 30% (mean, 43%) of the humeral
head were treated with segmental reconstruction of the humeral head defect. They
were reviewed clinically and radiographically at a minimum follow-up of 5 years.
RESULTS: Of the 22 shoulders, 19 could be followed up at 128 months (range, 60
294 months) postoperatively. Only 2 of the 19 patients needed a prosthesis more
than 180 months after the index operation. Of the other 17, 4 had
radiographically advanced osteoarthritis (OA), 4 had mild OA, and 9 had no or
minimal OA. Eighteen shoulders were rated as subjectively excellent, none were
rated as good, and one was rated as fair. The final Constant-Murley score
averaged 77 points (range, 52-98 points), the Subjective Shoulder Value averaged
88% (range, 75%-100%), and only 2 patients had mild to moderate pain. Mean active
anterior elevation was 145 degrees , and mean external rotation with the arm at
the side was 42 degrees . CONCLUSION: Segmental reconstruction of humeral head
defects for large anteromedial impaction fractures caused by locked posterior
dislocations durably restores stability and freedom from pain with an excellent
subjective long-term outcome.
PMID- 24930839
TI - Arthroscopic anatomic glenoid reconstruction using an autologous iliac crest bone
grafting technique.
AB - BACKGROUND: Open bone block procedures for glenohumeral stabilization have been
used for a long time. With the advancement of arthroscopic techniques and the
development of sophisticated instruments and implants, the insertion of the bone
block can be performed by an all-arthroscopic approach. The purpose of this study
was to evaluate the clinical and radiologic results after an arthroscopic
anatomic glenoid reconstruction using an all-arthroscopic, autologous tricortical
iliac crest bone grafting technique. MATERIALS AND METHODS: Fifteen patients (1
female and 14 male patients; mean age, 31.4 years [range, 17-49 years]) underwent
reconstruction of significant glenoid defects in cases of recurrent shoulder
instability by the aforementioned technique. The patients were followed up
clinically (range of motion, Constant score, Rowe score, Subjective Shoulder
Value, and Western Ontario Shoulder Instability Index) and radiographically (with
true anteroposterior and axillary views, as well as 2-/3-dimensional computed
tomography [glenoid configuration, signs of graft resorption, bone consolidation,
and glenoid index]). RESULTS: After a mean follow-up period of 20.6 months
(range, 12-65 months), the Constant score averaged 85.0 points (range, 73-98
points; contralateral side, 89.6 points [range, 78-96 points]), the Rowe score
averaged 88.0 points (range, 65-100 points), the Subjective Shoulder Value
averaged 84.5% (range, 50%-100%), and the Western Ontario Shoulder Instability
Index averaged 76.7% (range, 46%-93%). No recurrent subluxations or dislocations
were observed. Radiographically, computed tomography imaging showed a
consolidated autograft in all cases. The glenoid index increased from a mean of
0.77 preoperatively to 1.16 immediately postoperatively; at the time of last
follow-up, the glenoid index decreased to 1.04. CONCLUSION: The arthroscopic
reconstruction of anteroinferior glenoid defects re-creates the pear-shaped
anatomy of the anteroinferior glenoid and leads to good to excellent early
clinical results.
PMID- 24930840
TI - Establishing an international shoulder arthroplasty consortium.
PMID- 24930842
TI - Additive effects of maternal iron deficiency and prenatal immune activation on
adult behaviors in rat offspring.
AB - Both iron deficiency (ID) and infection are common during pregnancy and studies
have described altered brain development in offspring as a result of these
individual maternal exposures. Given their high global incidence, these two
insults may occur simultaneously during pregnancy. We recently described a rat
model which pairs dietary ID during pregnancy and prenatal immune activation.
Pregnant rats were placed on iron sufficient (IS) or ID diets from embryonic day
2 (E2) until postnatal day 7, and administered the bacterial endotoxin,
lipopolysaccharide (LPS) or saline on E15/16. In this model, LPS administration
on E15 caused greater induction of the pro-inflammatory cytokines, interleukin-6
and tumor necrosis factor-alpha, in ID dams compared to IS dams. This suggested
that the combination of prenatal immune activation on a background of maternal ID
might have more adverse neurodevelopmental consequences for the offspring than
exposure to either insult alone. In this study we used this model to determine
whether combined exposure to maternal ID and prenatal immune activation interact
to affect juvenile and adult behaviors in the offspring. We assessed behaviors
relevant to deficits in humans or animals that have been associated with exposure
to either maternal ID or prenatal immune activation alone. Adult offspring from
ID dams displayed significant deficits in pre-pulse inhibition of acoustic
startle and in passive avoidance learning, together with increases in cytochrome
oxidase immunohistochemistry, a marker of metabolic activity, in the ventral
hippocampus immediately after passive avoidance testing. Offspring from LPS
treated dams showed a significant increase in social behavior with unfamiliar
rats, and subtle locomotor changes during exploration in an open field and in
response to amphetamine. Surprisingly, there was no interaction between effects
of the two insults on the behaviors assessed, and few observed alterations in
juvenile behavior. Our findings show that long-term effects of maternal ID and
prenatal LPS were additive, such that offspring exposed to both insults displayed
more adult behavioral abnormalities than offspring exposed to one alone.
PMID- 24930841
TI - Manganese accumulation in bone following chronic exposure in rats: steady-state
concentration and half-life in bone.
AB - Literature data indicate that bone is a major storage organ for manganese (Mn),
accounting for 43% of total body Mn. However, the kinetic nature of Mn in bone,
especially the half-life (t(1/2)), remained unknown. This study was designed to
understand the time-dependence of Mn distribution in rat bone after chronic oral
exposure. Adult male rats received 50 mg Mn/kg (as MnCl2) by oral gavage, 5 days
per week, for up to 10 weeks. Animals were sacrificed every 2 weeks during Mn
administration for the uptake study, and on day 1, week 2, 4, 8, or 12 after the
cessation at 6-week Mn exposure for the t(1/2) study. Mn concentrations in bone
(MnBn) were determined by AAS analysis. By the end of 6-week's treatment, MnBn
appeared to reach the steady state (T(ss)) level, about 2-3.2 fold higher than
MnBn at day 0. Kinetic calculation revealed t(1/2)s of Mn in femur, tibia, and
humerus bone of 77 (r=0.978), 263 (r=0.988), and 429 (r=0.994) days,
respectively; the average t(1/2) in rat skeleton was about 143 days, equivalent
to 8.5 years in human bone. Moreover, MnBn were correlated with Mn levels in
striatum, hippocampus, and CSF. These data support MnBn to be a useful biomarker
of Mn exposure.
PMID- 24930843
TI - Reply to: alimentary, my dear Watson? The challenges of enteric alpha-synuclein
as a Parkinson's disease biomarker.
PMID- 24930844
TI - Molecular characterization of anaerobic sulfur-oxidizing microbial communities in
up-flow anaerobic sludge blanket reactor treating municipal sewage.
AB - A novel wastewater treatment system consisting of an up-flow anaerobic sludge
blanket (UASB) reactor and a down-flow hanging sponge (DHS) reactor with sulfur
redox reaction was developed for treatment of municipal sewage under low
temperature conditions. In the UASB reactor, a novel phenomenon of anaerobic
sulfur oxidation occurred in the absence of oxygen, nitrite and nitrate as
electron acceptors. The microorganisms involved in anaerobic sulfur oxidation
have not been elucidated. Therefore, in this study, we studied the microbial
communities existing in the UASB reactor that probably enhanced anaerobic sulfur
oxidation. Sludge samples collected from the UASB reactor before and after sulfur
oxidation were used for cloning and terminal restriction fragment length
polymorphism (T-RFLP) analysis of the 16S rRNA genes of the bacterial and
archaeal domains. The microbial community structures of bacteria and archaea
indicated that the genus Smithella and uncultured bacteria within the phylum
Caldiserica were the dominant bacteria groups. Methanosaeta spp. was the dominant
group of the domain archaea. The T-RFLP analysis, which was consistent with the
cloning results, also yielded characteristic fingerprints for bacterial
communities, whereas the archaeal community structure yielded stable microbial
community. From these results, it can be presumed that these major bacteria
groups, genus Smithella and uncultured bacteria within the phylum Caldiserica,
probably play an important role in sulfur oxidation in UASB reactors.
PMID- 24930846
TI - Kernohan-Woltman notch phenomenon.
PMID- 24930845
TI - Contribution of CT scan and CT-guided aspiration in the management of
retropharyngeal abscess in children based on a series of 18 cases.
AB - OBJECTIVE: This study was designed to analyse the contribution of CT scan to the
management of retropharyngeal abscess in children and the place of CT-guided
percutaneous aspiration as an alternative to surgical drainage. MATERIALS AND
METHODS: Retrospective study including 18 children with a mean age of 38 months
[range: 5-67 months] presenting with retropharyngeal infection between 2006 and
2011. All cases were initially assessed by contrast-enhanced CT scan of the neck.
Clinical, radiological treatment and bacteriological data were collected.
Radiological results were correlated with surgical and percutaneous aspiration
findings (presence or absence of an abscess). RESULTS: The initial CT scan
detected 14 abscesses, 3 cases of non-suppurative lymphadenitis and one case of
retropharyngeal oedema. One case of non-suppurative lymphadenitis progressed to
abscess after failure of antibiotic therapy and was treated surgically. Surgical
drainage revealed a purulent collection in 11 cases and no collection in 3 cases.
Four CT-guided percutaneous aspirations were successfully performed. Three cases
were treated by antibiotics alone (2 cases of lymphadenitis and 1 case of
retropharyngeal oedema). Bacteriological examinations revealed the presence of
Streptococcus pyogenes in 78.5% of cases. The positive predictive value of the
initial CT scan was 78.8% in our series. CONCLUSION: Contrast-enhanced neck CT
scan confirmed the diagnosis of retropharyngeal abscess and the indication for
surgical drainage. It must be performed urgently, on admission. When it is
decided to treat the patient with antibiotics alone, follow-up imaging should be
performed in the absence of improvement 24 to 48 hours after starting
antibiotics. CT-guided percutaneous aspiration is both a diagnostic modality
confirming abscess formation of an inflammatory lesion of the retropharyngeal
space as well as a therapeutic tool, sometimes avoiding the need for surgical
drainage.
PMID- 24930847
TI - Amphiphilic dendritic derivatives as nanocarriers for the targeted delivery of
antimalarial drugs.
AB - It can be foreseen that in a future scenario of malaria eradication, a varied
armamentarium will be required, including strategies for the targeted
administration of antimalarial compounds. The development of nanovectors capable
of encapsulating drugs and of delivering them to Plasmodium-infected cells with
high specificity and efficacy and at an affordable cost is of particular
interest. With this objective, dendritic derivatives based on 2,2
bis(hydroxymethyl)propionic acid (bis-MPA) and Pluronic((r)) polymers have been
herein explored. Four different dendritic derivatives have been tested for their
capacity to encapsulate the antimalarial drugs chloroquine (CQ) and primaquine
(PQ), their specific targeting to Plasmodium-infected red blood cells (pRBCs),
and their antimalarial activity in vitro against the human pathogen Plasmodium
falciparum and in vivo against the rodent malaria species Plasmodium yoelii. The
results obtained have allowed the identification of two dendritic derivatives
exhibiting specific targeting to pRBCs vs. non-infected RBCs, which reduce the in
vitro IC50 of CQ and PQ by ca. 3- and 4-fold down to 4.0 nm and 1.1 MUm,
respectively. This work on the application of dendritic derivatives to
antimalarial targeted drug delivery opens the way for the use of this new type of
chemicals in future malaria eradication programs.
PMID- 24930849
TI - Silk fibroin layer-by-layer microcapsules for localized gene delivery.
AB - Herein, we describe the delivery of plasmid DNA (pDNA) using silk fibroin (SF)
layer-by-layer assembled microcapsules. Deposition of fluorescently labeled SF
onto polystyrene (PS) template particles resulted in increasing fluorescence
intensity and decreasing surface charge in correlation to SF layer number. After
removal of the PS core, hollow, monodisperse, and structurally stable SF
microcapsules of variable size and shell thickness were obtained. Plasmid DNA
encoding for enhanced green fluorescent protein (eGFP) was loaded onto 1 or 4 MUm
capsules, either by incorporation of pDNA within the innermost layer of the shell
or by adsorption to the microcapsules surface, and in vitro pDNA release,
cytotoxicty and eGFP expression were studied. Sustained pDNA release over 3 days
was observed using both loading techniques, being accelerated in the presence of
protease. DNA loaded SF microcapsules resulted in efficient cell transfection
along with low cytotoxicity after 3 days incubation compared to treatment with
pDNA/branched polyethylenimine complexes. Among the tested conditions highest
transfection efficiencies were achieved using 1 MUm capsules where pDNA was
adsorbed to the capsule surface. Our results suggest that SF microcapsules are
suitable for the localized delivery of pDNA, combining low cytotoxicity and high
transfection efficiency.
PMID- 24930848
TI - Magnetization transfer contrast MRI for non-invasive assessment of innate and
adaptive immune responses against alginate-encapsulated cells.
AB - By means of physical isolation of cells inside semi-permeable hydrogels,
encapsulation has been widely used to immunoprotect transplanted cells. While
spherical alginate microcapsules are now being used clinically, there still is
little known about the patient's immune system response unless biopsies are
obtained. We investigated the use of Magnetization Transfer (MT) imaging to non
invasively detect host immune responses against alginate capsules containing
xenografted human hepatocytes in four groups of animals, including transplanted
empty capsules (-Cells/-IS), capsules with live cells with (+LiveCells/+IS) and
without immunosuppression (+LiveCells/-IS), and capsules with apoptotic cells in
non-immunosuppressed animals (+DeadCells/-IS). The highest MT ratio (MTR) was
found in +LiveCells/-IS, which increased from day 0 by 38% and 53% on days 7 and
14 after transplantation respectively, and corresponded to a distinctive increase
in cell infiltration on histology. Furthermore, we show that macromolecular ratio
maps based on MT data are more sensitive to cell infiltration and fibrosis than
conventional MTR maps. Such maps showed a significant difference between
+LiveCells/-IS (0.18 +/- 0.02) and +DeadCells/-IS (0.13 +/- 0.02) on day 7 (P <
0.01) existed, which was not observed on MTR imaging. We conclude that MT
imaging, which is clinically available, can be applied for non-invasive
monitoring of the occurrence of a host immune response against encapsulated
cells.
PMID- 24930850
TI - Intracellular redox-activated anticancer drug delivery by functionalized hollow
mesoporous silica nanoreservoirs with tumor specificity.
AB - In this study, a type of intracellular redox-triggered hollow mesoporous silica
nanoreservoirs (HMSNs) with tumor specificity was developed in order to deliver
anticancer drug (i.e., doxorubicin (DOX)) to the target tumor cells with high
therapeutic efficiency and reduced side effects. Firstly, adamantanamine was
grafted onto the orifices of HMSNs using a redox-cleavable disulfide bond as an
intermediate linker. Subsequently, a synthetic functional molecule, lactobionic
acid-grafted-beta-cyclodextrin (beta-CD-LA), was immobilized on the surface of
HMSNs through specific complexation with the adamantyl group, where beta-CD
served as an end-capper to keep the loaded drug within HMSNs. beta-CD-LA on HMSNs
could also act as a targeting agent towards tumor cells (i.e., HepG2 cells),
since the lactose group in beta-CD-LA is a specific ligand binding with the
asialoglycoprotein receptor (ASGP-R) on HepG2 cells. In vitro studies
demonstrated that DOX-loaded nanoreservoirs could be selectively endocytosed by
HepG2 cells, releasing therapeutic DOX into cytoplasm and efficiently inducing
the apoptosis and cell death. In vivo investigations further confirmed that DOX
loaded nanoreservoirs could permeate into the tumor sites and actively interact
with tumor cells, which inhibited the tumor growth with the minimized side
effect. On the whole, this drug delivery system exhibits a great potential as an
efficient carrier for targeted tumor therapy in vitro and in vivo.
PMID- 24930851
TI - Collagen scaffolds modified with CNTF and bFGF promote facial nerve regeneration
in minipigs.
AB - Most experiments of peripheral nerve repair after injury have been conducted in
the rodent model but the translation of findings from rodent studies to clinical
practice is needed partly because the nerve regeneration must occur over much
longer distances in humans than in rodents. The reconstruction of long distance
nerve injuries still represents a great challenge to surgeons who is engaged in
peripheral nerve surgery. Here we used the functional nerve conduit (collagen
scaffolds incorporated with neurocytokines CNTF and bFGF) to bridge a 35 mm long
facial nerve gap in minipig models. At 6 months after surgery, electrophysiology
assessment and histological examination were conducted to evaluate the
regeneration of peripheral facial nerves. Based on functional and histological
observations, the results indicated that the functional collagen scaffolds
promoted nerve reconstruction. The number and arrangement of regenerated nerve
fibers, myelination, and nerve function reconstruction was better in the CNTF +
bFGF conduit group than the single factor CNTF or bFGF conduit group. The
functional composite conduit, which exhibited favorable mechanical properties,
may promote facial nerve regeneration in minipigs effectively.
PMID- 24930853
TI - The importance of three-dimensional scaffold structure on stemness maintenance of
mouse embryonic stem cells.
AB - Revealing the mechanisms of cell fate regulation is important for scientific
research and stem cell-based therapy. The traditional two-dimensional (2D)
cultured mES cells are in a very different 2D niche from the in vivo equivalent
inner cell mass (ICM). Because the cell fate decision could be regulated by many
cues which could be impacted by geometry, the traditional 2D culture system would
hamper us from understanding the in vivo situations correctly. Three-dimensional
(3D) scaffold was believed to provide a 3D environment closed to the in vivo one.
In this work, three different scaffolds were prepared for cell culture. Several
characters of mES cells were changed under 3D scaffolds culture compared to 2D,
and these changes were mainly due to the alteration in geometry but not the
matrix. The self-renewal of mES cells was promoted by the introducing of
dimensionality. The stemness maintenance of mES was supported by all three 3D
scaffolds without feeder cells in the long-time culture. Our findings
demonstrated that the stemness maintenance of mES cells was promoted by the 3D
geometry of scaffolds and this would provide a promising platform for ES cell
research.
PMID- 24930852
TI - Inhibition of apoptosis in human induced pluripotent stem cells during expansion
in a defined culture using angiopoietin-1 derived peptide QHREDGS.
AB - Adhesion molecule signaling is critical to human pluripotent stem cell (hPSC)
survival, self-renewal, and differentiation. Thus, hPSCs are grown as clumps of
cells on feeder cell layers or poorly defined extracellular matrices such as
Matrigel. We sought to define a small molecule that would initiate adhesion-based
signaling to serve as a basis for a defined substrate for hPSC culture. Soluble
angiopoeitin-1 (Ang-1)-derived peptide QHREDGS added to defined serum-free media
increased hPSC colony cell number and size during long- and short-term culture
when grown on feeder cell layers or Matrigel, i.e. on standard substrates,
without affecting hPSC morphology, growth rate or the ability to differentiate
into multiple lineages both in vitro and in vivo. Importantly, QHREDGS treatment
decreased hPSC apoptosis during routine passaging and single-cell dissociation.
Mechanistically, the interaction of QHREDGS with beta1-integrins increased
expression of integrin-linked kinase (ILK), increased expression and activation
of extracellular signal-regulated kinases 1/2 (ERK1/2), and decreased caspase-3/7
activity. QHREDGS immobilization to polyethylene glycol hydrogels significantly
increased cell adhesion in a dose-dependent manner. We propose QHREDGS as a small
molecule inhibitor of hPSC apoptosis and the basis of an affordable defined
substrate for hPSC maintenance.
PMID- 24930855
TI - Laryngeal cleft: Diagnosis and endoscopic surgical treatment. Report of 2 cases.
PMID- 24930854
TI - Actively-targeted polyion complex micelles stabilized by cholesterol and
disulfide cross-linking for systemic delivery of siRNA to solid tumors.
AB - For small interfering RNA (siRNA)-based cancer therapies, we report an actively
targeted and stabilized polyion complex micelle designed to improve tumor
accumulation and cancer cell uptake of siRNA following systemic administration.
Improvement in micelle stability was achieved using two stabilization mechanisms;
covalent disulfide cross-linking and non-covalent hydrophobic interactions. The
polymer component was designed to provide disulfide cross-linking and cancer cell
targeting cyclic RGD peptide ligands, while cholesterol-modified siRNA (Chol
siRNA) provided additional hydrophobic stabilization to the micelle structure.
Dynamic light scattering confirmed formation of nano-sized disulfide cross-linked
micelles (<50 nm in diameter) with a narrow size distribution. Improved stability
of Chol-siRNA-loaded micelles (Chol-siRNA micelles) was demonstrated by
resistance to both the dilution in serum-containing medium and counter polyion
exchange with dextran sulfate, compared to control micelles prepared with Chol
free siRNA (Chol-free micelles). Improved stability resulted in prolonged blood
circulation time of Chol-siRNA micelles compared to Chol-free micelles.
Furthermore, introduction of cRGD ligands onto Chol-siRNA micelles significantly
facilitated accumulation of siRNA in a subcutaneous cervical cancer model
following systemic administration. Ultimately, systemically administered
cRGD/Chol-siRNA micelles exhibited significant gene silencing activity in the
tumor, presumably due to their active targeting ability combined with the
enhanced stability through both hydrophobic interactions of cholesterol and
disulfide cross-linking.
PMID- 24930856
TI - Conservative management of vestibular schwannoma.
AB - INTRODUCTION: Vestibular schwannoma (VS) is a benign, slow-growing tumour
originating in the 8th cranial nerve. The treatment includes microsurgery,
stereotactic radiotherapy and conservative management of tumours with periodic
radiological tests. METHODS: This was a retrospective study of patients with VS
following conservative management in a tertiary hospital between 1993 and 2013. A
total of 73 patients were enrolled in our protocol. The mean age at diagnosis was
59.7 years. The average size was 11.9mm (4-27mm); 58.9% of the tumours were
intracanalicular and 41.1%, extracanalicular. The mean follow-up period was 35.75
months. RESULTS: In 87.7% of patients there was no evidence of tumour growth. A
total of 9 tumours (12.3%) increased in size. The average growth rate was
0.62mm/year. The percentage of extracanalicular tumours that grew (20%) was
higher than that of intracanalicular tumours (7%). Seven patients (9.5%)
experienced significant changes in their symptoms and 6 of these (8.2%)
experienced a loss of useful hearing. Six patients (8.2%) left follow-up and
underwent surgery. CONCLUSIONS: Periodic monitoring of vestibular schwannomas
with magnetic resonance imaging represents an option for management, because most
small tumours experience little or no growth over time.
PMID- 24930857
TI - Primary MALT lymphoma of the larynx.
PMID- 24930858
TI - A novel beta-trefoil lectin from the parasol mushroom (Macrolepiota procera) is
nematotoxic.
AB - Lectins are carbohydrate-binding proteins present in all organisms. Some
cytoplasmic lectins from fruiting bodies of dikaryotic fungi are toxic against a
variety of parasites and predators. We have isolated, cloned and expressed a
novel, single domain lectin from Macrolepiota procera, designated MpL.
Determination of the crystal structure revealed that MpL is a ricin B-like lectin
with a beta-trefoil fold. Biochemical characterization, site-directed
mutagenesis, co-crystallization with carbohydrates, isothermal titration
calorimetry and glycan microarray analyses show that MpL forms dimers with the
carbohydrate-binding site at the alpha-repeat, with the highest specificity for
terminal N-acetyllactosamine and other beta-galactosides. A second putative
carbohydrate-binding site with a low affinity for galactose is present at the
gamma-repeat. In addition, a novel hydrophobic binding site was detected in MpL
with specificity for molecules other than carbohydrates. The tissue specific
distribution of MpL in the stipe and cap tissue of fruiting bodies and its
toxicity towards the nematode Caenorhabditis elegans indicate a function of MpL
in protecting fruiting bodies against predators and parasites. DATABASE:
Nucleotide sequence data have been deposited in the DDBJ/EMBL/GenBank databases
under accession numbers HQ449738 and HQ449739. Structural data have been
deposited in the Protein Data Bank under accession codes 4ION, 4IYB, 4IZX and
4J2S.
PMID- 24930859
TI - [Children with minor head injury in the emergency department: Is skull
radiography necessary for children under 2 years?].
AB - BACKGROUND: Current guidelines on the management of mild head trauma (traumatic
brain injury/TBI) do not include the presence of a skull fracture in determining
the risk of intracranial injury. However, in our setting cranial radiography is
still performed frequently to rule out the presence of skull fracture. OBJECTIVE:
To estimate the prevalence of clinically-important traumatic brain injuries
(ciTBI) in children younger than two years of age with mild TBI. PATIENTS AND
METHODS: Descriptive observational study. All children attended in emergency
department with mild TBI (Glasgow >=14 points) for a year were included. We
defined ciTBI as intracranial injuries that caused death or required
neurosurgery, intubation for more than 24 hours, inotropic drugs or mechanical
ventilation. RESULTS: The study included 854 children, of which 457 (53.5%) were
male. The median patient age was 11.0 months (P25-75: 7.5-17.0 months). In 741
cases (86.8%) the mechanism of TBI was a fall. In 438 cases (51.3%) skull
radiography was performed. Eleven children (1.3%) had intracranial injury, but
none met the criteria for ciTBI (estimated prevalence of ciTBI was 0%; CI 95%: 0%
0.4%). CONCLUSION: Children younger than two years of age with mild TBI have low
prevalence of ciTBI. Consequently, it is possible to monitor children younger
than two years with a TBI without performing skull radiography.
PMID- 24930860
TI - [Malignant peripheral nerve sheath tumour of the infra-orbital nerve].
AB - A malignant peripheral nerve sheath tumour (MPNST) is an uncommon neoplasm that
rarely involves the head and neck region. It is even more infrequent for these
tumours to affect cranial nerves. We report the case of a 53-year-old man who
presented a MPNST involving the infra-orbital nerve, which extended through the
orbit and the base of the skull, progressing intracranially. Histological studies
identified the tumour as an MPNST. Response to radiotherapy was not complete and
radical surgical resection was impossible, so the patient died 10months later.
This rare case of MPNST with intracranial involvement illustrates the dismal
prognosis for patients with these lesions. Prognosis is poor because of the
difficulty of performing radical surgery with free margins in these locations.
PMID- 24930861
TI - Cytosolic calreticulin inhibits microwave radiation-induced microvascular
endothelial cell injury through the integrin-focal adhesion kinase pathway.
AB - OBJECTIVE: To determine the effects of cytosolic CRT on MR-induced MMEC injury,
and the underlying mechanism. METHODS: MMECs were randomized into eight groups:
control, AdCRT (infected with pAdCMV/V5-DEST-CRT adenovirus), stCRT (transfected
with rCRT-siRNAs), Mock (transfected with scrambled siRNAs), MR (exposed to MR
for six minutes), AdCRT + MR, stCRT + MR, and Mock + MR. The magnitude of cell
injury were assessed by Annexin V-PI staining, LDH activity in culture medium,
MMEC migration ability, ultrastructure and cytoskeletal stability. Subcellular
colocalization of CRT and ConA or integrin were evaluated by immunocytochemistry.
The mRNA and protein expression levels of target genes were examined by qRT-PCR
and western blotting, respectively. RESULTS: MR-induced cytotoxicity was dose
dependent. Overexpression of cytosolic CRT suppressed MR injury, shown as
decreased cell apoptosis, reduced LDH activity, enhanced cell migration
capability, and maintenance of ultrastructure and cytoskeleton integrity.
Conversely, CRT deficiency aggravated MR-induced injury. Exposure of AdCRT MMECs
to MR promoted membrane translocation of CRT and the interaction of CRT-integrin
alpha. Correlation analysis revealed that integrin-alpha expression or FAK
phosphorylation was positively associated with cytosolic CRT expression.
CONCLUSIONS: Cytosolic CRT inhibits MR-induced MMEC injury through activation of
the integrin-FAK pathway.
PMID- 24930862
TI - [Enteropathy associated with chronic use of olmesartan].
PMID- 24930863
TI - Editorial Comment from Dr Mirone et al. to Resiniferatoxin for treatment of
lifelong premature ejaculation: a preliminary study.
PMID- 24930864
TI - Elucidating the impact of temperature variability and extremes on cereal
croplands through remote sensing.
AB - Remote sensing-derived wheat crop yield-climate models were developed to
highlight the impact of temperature variation during thermo-sensitive periods
(anthesis and grain-filling; TSP) of wheat crop development. Specific questions
addressed are: can the impact of temperature variation occurring during the TSP
on wheat crop yield be detected using remote sensing data and what is the impact?
Do crop critical temperature thresholds during TSP exist in real world cropping
landscapes? These questions are tested in one of the world's major wheat
breadbaskets of Punjab and Haryana, north-west India. Warming average minimum
temperatures during the TSP had a greater negative impact on wheat crop yield
than warming maximum temperatures. Warming minimum and maximum temperatures
during the TSP explain a greater amount of variation in wheat crop yield than
average growing season temperature. In complex real world cereal croplands there
was a variable yield response to critical temperature threshold exceedance,
specifically a more pronounced negative impact on wheat yield with increased
warming events above 35 degrees C. The negative impact of warming increases with
a later start-of-season suggesting earlier sowing can reduce wheat crop exposure
harmful temperatures. However, even earlier sown wheat experienced temperature
induced yield losses, which, when viewed in the context of projected warming up
to 2100 indicates adaptive responses should focus on increasing wheat tolerance
to heat. This study shows it is possible to capture the impacts of temperature
variation during the TSP on wheat crop yield in real world cropping landscapes
using remote sensing data; this has important implications for monitoring the
impact of climate change, variation and heat extremes on wheat croplands.
PMID- 24930865
TI - A dynamic synchrotron X-ray imaging study of effective temperature in a vibrated
granular medium.
AB - We present a dynamic synchrotron X-ray imaging study of the effective temperature
Teff in a vibrated granular medium. By tracking the directed motion and the
fluctuation dynamics of the tracers inside, we obtained Teff of the system using
the Einstein relationship. We found that as the system unjams with increasing
vibration intensities Gamma, the structural relaxation time tau increases
substantially which can be fitted by an Arrhenius law using Teff. And the
characteristic energy scale of structural relaxation yielded by the Arrhenius
fitting is E = 0.20 +/- 0.02pd(3), where p is the pressure and d is the
background particle diameter, which is consistent with those from hard sphere
simulations in which the structural relaxation happens via the opening up of free
volume against pressure.
PMID- 24930866
TI - Nodular lymphoid hyperplasia of the colon in a vervet monkey (Cholorocebous
aethiops).
AB - BACKGROUND: Diffuse nodular lymphoid hyperplasia (DNLH) of gastrointestinal tract
is regarded as a very rare disease in the adult population. It is characterized
by presence of diffuse detectable small polypoid masses distributed in the small
intestine, colon, or both. METHODS: A 6-year-old male in captivity suddenly died,
due to myocardial infarction. During routine necropsy procedure, incidentally,
numerous tan, sessile polyps, up to 0.2 cm were noticed in all segments of the
colon. RESULTS: Histopathological and immunohistochemical examination revealed
polypoid masses as nodular lymphoid hyperplasia (NLH), comparable with DNLH of
the colon in human. CONCLUSIONS: In this article, the author has tried to
introduce some immunosuppresive (e.g., simian retrovirus type D (SRV), simian
immunodeficiency virus (SIV)) and opportunistic viruses (e.g., lymphocryptovirus,
gamma-herpesvirus, adenovirus), as well as, primary and opportunistic bacteria
(e.g., Yersinia psedotuberculosis, and Helicobacter spp.) that may trigger benign
reactive process, lymphoid hyperplasia, in non-human primates (NHPs) in
captivity.
PMID- 24930867
TI - Fate of Clostridium difficile during wastewater treatment and incidence in
Southern Ontario watersheds.
AB - AIMS: To investigate the prevalence of Clostridium difficile encountered during
sewage treatment and in water sources into which treated effluent was directly or
indirectly discharged. METHODS AND RESULTS: Samples from wastewater treatment
plants (WWTPs) and rivers were collected and then enriched for Cl. difficile.
Each of the isolates was subjected to toxinotyping and DNA typing using
ribotyping, in addition to pulse-field gel electrophoresis. Cl. difficile was
isolated from 92% (108/117) of the raw sludge and 96% (106/110) of the anaerobic
digested sludge samples from two Ontario WWTPs. The pathogen was recovered from
73% (43/59) of dewatered biosolids and effluent discharge, in addition to river
sediments 39% (25/64). Ribotype 078 (commonly associated with Community Acquired
infections) was recovered from raw sewage (19%; 21/108), digested sludge (8%;
8/106), biosolids (35%; 15/43) and river sediments (60%; 15/25). CONCLUSIONS:
Clostridium difficile is commonly encountered in raw sewage and survives the
wastewater treatment process. The pathogen can then be disseminated into the
wider environment via effluent and land application of biosolids. SIGNIFICANCE
AND IMPACT OF THE STUDY: The study has illustrated the wide distribution of
toxigenic Cl. difficile in WWTPs and river sediments although the clinical
significance still requires to be elucidated.
PMID- 24930868
TI - Effects of conservatively treated diseased cementum with or without EMD on in
vitro cementoblast differentiation and in vivo cementum-like tissue formation of
human periodontal ligament cells.
AB - OBJECTIVES: The present study aimed to evaluate the effects of conservatively
treated diseased cementum on in vitro cementoblast differentiation and in vivo
cementum-like tissue formation of human periodontal ligament cells (hPDLCs), and
observe differential effects of enamel matrix derivative (EMD) on in vivo
cementum formation by hPDLCs. MATERIALS AND METHODS: Forty-eight cementum slices
and 48 dentin slices were prepared from periodontitis compromised teeth, and
hPDLCs were inoculated on to all root slices. Twenty-four co-cultured root slices
of each group were used for mRNA expression of cementum attachment protein and
CEMP1. With application of EMD, 24 co-cultured root slices (divided into groups
C, D, C+E, D+E) were transplanted subcutaneously into nude mice. All root
fragments were reviewed by histological analysis and immunohistochemical staining
for bone sialoprotein. RESULTS: mRNA expressions of cementum attachment protein
and cementum protein - 1 from hPDLCs on cementum slices were statistically higher
than those of dentin slices. Seven specimens of group C and 10 specimens of group
C+E revealed a layer of cementum-like tissue (NFC) on surfaces of pre-existing
cementum. NFC was thicker in group C+E than in group C. All NFCs were positively
stained for bone sialoprotein, however, there was no NFC formation on dentin
slices. CONCLUSION: Conservatively treated diseased cementum promoted in vitro
cementoblast differentiation and in vivo cementum-like tissue formation by
hPDLCs, and the in vivo effect was enhanced by the presence of EMD.
PMID- 24930869
TI - A 3 years retrospective study of survival for zirconia-based single crowns
fabricated from intraoral digital impressions.
AB - OBJECTIVE: To evaluate the clinical performance of glass-ceramic/zirconia crowns
fabricated using intraoral digital impressions - a retrospective study with a
three-year follow-up. METHODS: 70 consecutive patients with a total of 86 glass
ceramic/zirconia crowns were treated by a single clinician using standardized
clinical and laboratory protocols. A complete digital workflow was adopted for
the purpose except for the veneering procedure for the glass-ceramic crowns.
Occlusal adjustments were made before the ceramic glazing procedure. Before
cementation, all abutments where carefully cleaned with a 70% alcoholic solution
and air dried. Cementation was performed using dual-curing, self-adhesive resin
cement. Patients were re-examined after 12, 24 and 36 months, to assess crown
chipping/fractures. RESULTS: After the three-year follow-up, none of the zirconia
based restoration was lost ("apparent" survival rate 100%) otherwise, the
chipping rate of the veneering material increased from 9.3% after 12 months, to
14% after 24 months to 30.2% after 36 months. As a consequence, the "real"
success rate after 3 years was 69.8%. CONCLUSIONS: After 3 years the success rate
of zirconia-based crowns was 69.8%, while the incidence of the chipping was
30.2%. Assuming an exponential increase in chipping rate between 12 and 36 months
it can be argued that, among others, the fatigue-mechanism could be advocated as
the main factor for the failure of glass-ceramic veneered zirconia especially
after 24 months.
PMID- 24930870
TI - A new "silver-bullet" to treat caries in children--nano silver fluoride: a
randomised clinical trial.
AB - BACKGROUND: Untreated dental caries in children remains a public health challenge
in poor communities. OBJECTIVES: This prospective controlled clinical trial
investigated the effectiveness of a new anti-caries agent, Nano Silver Fluoride
(NSF), applied once a year to arrest caries in children. METHODS: One hundred
thirty decayed primary teeth were randomly divided into two groups: NSF as the
experimental agent and water as the control group. Teeth were clinically
diagnosed and treated by one masked examiner and followed up at seven days and
five and 12 months by another calibrated examiner who was blinded to the type of
treatment. The criteria of the ICDAS II were followed to determine the activity
of lesion and the diagnosis of caries. The Pearson's chi-square test was used to
compare the groups during different follow-up exams. RESULTS: At seven days, 81%
of teeth in the NSF group exhibited arrested caries, whereas in controls, no
teeth had arrested decay (p<0.001) [PF, prevented fraction=81%]. After five
months, the NSF group had 72.7% with arrested decay, and the control group had
27.4% (p<0.001) [PF=62.5%]. At 12 months, 66.7% of the lesions treated with NSF
were still arrested, while the control group had 34.7% remaining arrested
(p=0.003) [PF=50%]. The number need to treat (NNT) at five months was two, and at
12 months, the number was three. CLINICAL SIGNIFICANCE: The NSF formulation is
effective to arrest active dentine caries and not stain teeth. CONCLUSIONS: NSF
was demonstrated to be effective in arresting caries in children in poor
communities.
PMID- 24930873
TI - Randomized controlled trials in dentistry: common pitfalls and how to avoid them.
AB - OBJECTIVE: Clinical trials are used to appraise the effectiveness of clinical
interventions throughout medicine and dentistry. Randomized controlled trials
(RCTs) are established as the optimal primary design and are published with
increasing frequency within the biomedical sciences, including dentistry. This
review outlines common pitfalls associated with the conduct of randomized
controlled trials in dentistry. METHODS: Common failings in RCT design leading to
various types of bias including selection, performance, detection and attrition
bias are discussed in this review. Moreover, methods of minimizing and
eliminating bias are presented to ensure that maximal benefit is derived from
RCTs within dentistry. CONCLUSIONS: Well-designed RCTs have both upstream and
downstream uses acting as a template for development and populating systematic
reviews to permit more precise estimates of treatment efficacy and effectiveness.
However, there is increasing awareness of waste in clinical research, whereby
resource-intensive studies fail to provide a commensurate level of scientific
evidence. Waste may stem either from inappropriate design or from inadequate
reporting of RCTs; the importance of robust conduct of RCTs within dentistry is
clear. CLINICAL SIGNIFICANCE: Optimal reporting of randomized controlled trials
within dentistry is necessary to ensure that trials are reliable and valid.
Common shortcomings leading to important forms or bias are discussed and
approaches to minimizing these issues are outlined.
PMID- 24930872
TI - Layer-by-layer self-assembly of minocycline-loaded chitosan/alginate multilayer
on titanium substrates to inhibit biofilm formation.
AB - OBJECTIVES: Bacteria adhesion and subsequent biofilm formation are primary causes
of implant associated infection. The biofilm makes the bacteria highly resistant
to the host defense and antimicrobial treatment. Antibacterial coatings on the
surface of titanium implant can prevent biofilm formation effectively, but it is
still a challenge to accomplish relatively long lasting antibacterial effects
before wound healing or formation of biological seal. The purpose of our work was
to construct antibacterial multilayer coatings loaded with minocycline on surface
of Ti substrates using chitosan and alginate based on layer-by-layer (LbL) self
assembly technique. METHODS: In this study, the surfaces of Ti substrates were
first hydroxylated and then treated with 3-aminopropyltriethoxysilane (ATPES) to
obtain amino-functionalized Ti substrates. Next, the precursor layer of chitosan
was covalently conjugated to amino-functionalized Ti substrates. The following
alternately coating alginate loaded with minocycline and chitosan onto the
precursor layer of chitosan was carried out via LbL self-assembly technique to
construct the multilayer coatings on Ti substrates. RESULTS: The multilayer
coatings loaded more minocycline and improved sustainability of minocycline
release to kill planktonic and adherent bacteria. Moreover, surface charge and
hydrophilicity of the coatings and antibacterial ability of chitosan itself also
played roles in the antibacterial performance, which can keep the antibacterial
ability of the multilayer coatings after minocycline release ceases. CONCLUSIONS:
In conclusion, LbL self-assembly method provides a promising strategy to
fabricate long-term antibacterial surfaces, which is especially effective in
preventing implant associated infections in the early stage. CLINICAL
SIGNIFICANCE: Loading minocycline on the surface of implants based on LbL self
assembly strategy can endow implants with sustained antibacterial property. This
can inhabit the immediate colonization of bacteria onto the surface of implants
in the process of dental implant surgery, and thereby prevents and reduces the
occurrence of periimplantitis.
PMID- 24930871
TI - One-pot synthesis of antibacterial monomers with dual biocidal modes.
AB - OBJECTIVES: The present study reported a method for preparing a blend of
antibacterial quaternary ammonium silanes and quaternary ammonium methacryloxy
silane (QAMS) based on the sol-gel reaction between dimethyldiethoxy silane and
two trialkoxysilanes, one with an antibacterial quaternary ammonium functionality
and the other with a methacryloxy functionality. METHODS: Reaction products of
the sol-gel reaction were characterised by direct infusion mass spectrometry,
FTIR and proton, carbon and silicon NMR. This blend of monomers was incorporated
into an experimental universal adhesive for evaluation of antimicrobial activity
against Streptococcus mutans biofilms, microtensile bond strength and
cytotoxicty. Retention of quaternary ammonium species on polymerised adhesive,
leaching of these species from the adhesive and the ability of resin-dentine
interfaces to inhibit S. mutans biofilms were evaluated over a 3-month water
ageing period. RESULTS: The antibacterial adhesive version killed bacteria in S.
mutans biofilms not only through the release of non-copolymerisable quaternary
ammonium silane species (release-killing), but also via immobilised quaternary
ammonium methacryloxy silane that are copolymerised with adhesive resin
comonomers (contact-killing). Contact-killing was retained after water-ageing.
The QAMS-containing universal adhesive has similar tensile bond strength as the
control and two commercially available universal adhesives, when it was used for
bonding to dentine in the etch-and-rinse mode and self-etching mode.
Incorporation of the antimicrobial quaternary ammonium species blend did not
adversely affect the cytotoxicity of the universal adhesive formulation.
CONCLUSIONS: Instead of using quaternary ammonium dimethacrylates and nanosilver,
an alternative bimodal antimicrobial strategy for formulating antimicrobial
universal dentine adhesives is achieved using the one-pot sol-gel synthesis
scheme. CLINICAL SIGNIFICANCE: The QAMS containing universal dentine adhesives
with dual antimicrobial activity is a promising material aimed at preventing
second caries and prolonging the longevity of resin composite restorations.
PMID- 24930874
TI - Involvement of reactive oxygen species in stimuli-induced shedding of heparin
binding epidermal growth factor-like growth factor.
AB - Heparin-binding epidermal growth factor-like growth factor (HB-EGF) is a critical
growth factor for a number of physiological and pathological processes, such as
wound healing, atherosclerosis and cancer proliferation. HB-EGF is synthesized as
a membrane form (proHB-EGF), and is shedded at the cell surface to yield soluble
HB-EGF, resulting in making it active. In this study, the involvement of reactive
oxygen species (ROS) in stimuli-induced shedding of HB-EGF was investigated using
monkey kidney Vero cells overexpressing HB-EGF (Vero-H cells). 12-O
tetradecanoylphorbol-13-acetate (TPA), lysophosphatidic acid (LPA) as a ligand
for seventransmembrane G protein coupled receptors (GPCR) and sorbitol as stress
induced shedding of HB-EGF mediated protein kinase C (PKC)-delta, mitogen
activated protein kinase (MAPK) and p38MAPK, respectively. These stimuli-induced
sheddings of HB-EGF were inhibited by N-acetyl-L-cysteine (NAC), suggesting the
involvement of ROS. As specific inhibitors of these protein kinases inhibited the
shedding of HB-EGF, these signaling pathways seem to be independent,
respectively. In contrast, gamma-ray irradiation did not induce shedding although
it did increase intracellular ROS levels. Taken together, these results suggest
that the synergistic generation of ROS and the activation of protein kinase are
required to promote stimuli-induced shedding of HB-EGF.
PMID- 24930875
TI - Lipoblast: morphologic features and diagnostic value.
AB - Lipoblasts are conceptually a precursor or immature form of adipocytes and
histologically defined as lipid-containing, mono- or multivacuolated cells
possessing hyperchromatic, indented or often scalloped nuclei. They are
essentially identified in neoplastic conditions and assumed to recapitulate, to
some extent, the differentiation process of normal fat (adipogenesis) like their
potential normal counterpart, preadipocyte or preadipose cell. Traditionally,
great emphasis has been placed on the identification of lipoblasts in diagnostic
pathology, particularly of liposarcoma. However, it is not always an easy task
for pathologists because of a variety of histological mimics such as Lochkern
cells, brown fat cells and pseudolipoblasts. Currently, lipoblasts are not a
prerequisite for the diagnosis of liposarcoma partly because of some benign
tumors harboring lipoblasts or lipoblast-like cells such as spindle
cell/pleomorphic lipoma and chondroid lipoma, although their presence is still
crucial for proper diagnosis. This review summarizes the clinicopathologic
features of lipoblasts, their histological mimics and representative benign
tumors carrying lipoblasts to facilitate routine pathology practice and to avoid
erroneous diagnosis of liposarcoma.
PMID- 24930876
TI - A case of idiopathic gastroesophageal submucosal hematoma and its disappearance
observed by endoscopy.
AB - A 74-year-old man was hospitalized due to hematemesis. Upper gastrointestinal
endoscopy revealed a very large and dark red mass in the cardiac region of the
stomach that extended from the upper esophagus. A biopsy specimen showed
hemorrhagic tissue and no malignant cells. The tumor-like region ulcerated at 5
days after the administration of intravenous lansoprazole at a dose of 30 mg
twice a day and resolved with scar formation at 2 months after a change to oral
rabeprazole at a dose of 10 mg/day.We diagnosed the patient with gastroesophageal
submucosal hematoma. Gastroesophageal submucosal hematoma is a rare complication.
In this case, we could follow the process of its disappearance by endoscopy.
PMID- 24930877
TI - Limbic encephalitis associated with anti-voltage-gated potassium channel complex
antibodies as a cause of adult-onset mesial temporal lobe epilepsy.
AB - Recently, some reports have indicated that limbic encephalitis associated with
anti-voltage-gated potassium channel complex antibodies (VGKC-Ab) is a cause of
adult-onset mesial temporal lobe epilepsy (MTLE). We report a 53-year-old woman
who had her first epileptic seizure at the age of 50 years old. Examination by 3
Tesla brain MRI revealed left hippocampal high signal intensity and swelling on
fluid-attenuated inversion recovery (FLAIR) and T2-weighted imaging at 2 months
after her first seizure. The patient received intravenous methylprednisolone and
carbamazepine 300 mg/day. One month later, MRI revealed improvement of her left
hippocampal abnormalities. Thereafter, she had no seizures, however, three years
after her first seizure, EEG revealed a seizure pattern in the left temporal
region. Brain MRI revealed left hippocampal high signal intensity and brain
fluorodeoxyglucose positron emission tomography revealed hypermetabolism. Her
serum VGKC-Ab levels were 118 pM(normal < 100 pM). Intravenous methylprednisolone
therapy was reinitiated. Two months later, her hippocampal abnormalities had
improved and 3 months later her VGKC-Ab levels decreased to 4.4 pM. Remission of
the epileptic seizures was also observed. This MTLE in the middle age was
considered as limbic encephalitis associated with anti- VGKC-Ab. In cases of
unexplained adult-onset MTLE, limbic encephalitis associated with anti-VGKC-Ab,
which responds well to immunotherapy, should be considered in the differential
diagnosis.
PMID- 24930878
TI - A survey on bacterial contamination of lavage water in electric warm-water lavage
toilet seats and of the gluteal cleft after lavage.
AB - Electric warm-water lavage toilet seats are in wide use as an appliance
beneficial for the maintenance of hygiene and the prophylaxis and improvement of
conditions such as constipation and hemorrhoids. In this study, we surveyed the
bacterial content in the lavage water of warm-water lavage toilet seats, and
fecal bacterial contamination of the gluteal and genital regions due to droplet
infection from post-defecation lavage, to examine the problems inherent to the
use of such lavage units. The presence of viable bacteria in lavage water was
confirmed in this survey. Viable bacterial counts in lavage water were 3-times
higher in household units compared to units in public facilities, suggesting a
correlation with the replenishment of lavage tank water with fresh water
containing residual free chlorine.
PMID- 24930879
TI - [An approach to bone and cartilage repair of rheumatoid arthritis by mesenchymal
stem cells].
AB - Rheumatoid arthritis (RA) is an autoimmune disease represented by chronic
inflammation and following structural damage at the articular joints. Progression
of the disease causes disability and subsequent early retirement or a care
requiring condition. Although new agents have the potential of complete
inhibition of joint damage, there is still a considerable number of patients with
progressed joint damage who couldn't receive the benefits of these agents because
of the long duration of their disease or uncontrollable disease activity. Thus, a
new treatment tool for RA aiming at joint repair is necessary. Mesenchymal stem
cells (MSCs) are known to build bone and cartilage, and also have
immunosuppressive ability. We have considered MSCs as a new treatment tool of RA,
and have reported that MSCs suppress osteoclastogenesis. More recently, we also
reported that inflammation induces osteogenesis and suppresses the chondrogenesis
of MSCs. An investigation of a new delivery system of MSCs to the target lesion
is now ongoing. The data from this investigation suggest that MSCs can be a new
application in the treatment of RA.
PMID- 24930880
TI - [Two cases of acute respiratory distress syndrome related to zinc fumes and zinc
dust inhalation].
AB - Two cases of acute respiratory distress syndrome related to zinc fume inhalation
and zinc powder inhalation are presented. Case 1 demonstrated acute respiratory
symptoms during the work of distortion correction of iron boards, coated with a
rust preventative including rich zinc using an acetylene gas burner. Case 2
occurred after the work of applying a rust preventative that included zinc
powder, mainly using an airless compressor. Since both were working in a
boathouse, without using protective equipment, the possible causes of Case 1 and
2 were inhalation exposure to zinc fumes and zinc powder, respectively. The two
patients showed similar clinical courses, including favorable treatment outcomes,
mimicking acute respiratory failure complicated by a metal fume fever.
PMID- 24930881
TI - [Trial of a rapid measurement method by absorption photometer for the
determination of the number of bacteria in the air].
AB - A quick method to measure the number and the concentration of airborne bacteria
was examined by combining bacteria staining with a crystal violet solution and
absorptiometry. The suspension of the bacteria isolated from the environment was
adjusted to 3.0 * 10(8) colony forming units (CFU) / ml in E. coli equivalent,
and a 10-fold dilution series was created. After centrifugation of each bacterial
suspension, the supernatant was removed and the bacteria were stained by adding a
crystal violet solution. The sample was centrifuged again, and the supernatant
was collected and named the unabsorbed sample. The residual bacteria were washed
two times, and ethanol was added to the stained cells. The recovered solution
collected by centrifugation of the cells was named the recovered sample.The
chemicals in the samples were examined by high performance liquid chromatography,
but no peak could be observed without the staining material. Absorption
spectrophotometry showed that the determination limit of bacteria in the
unabsorbed samples was 3.0 * 10(7) CFU / ml in E. coli equivalent and that in the
recovered samples was 3.0 * 10(8) CFU / ml, indicating that the unabsorbed sample
had a lower determination limit.
PMID- 24930882
TI - Immune cell function assay in pediatric heart transplant recipients.
AB - The ImmuKnow ICFA reports ex vivo CD4 lymphocyte activation to quantify
immunosuppression. Limited organ and age-specific data exist for pediatric heart
transplant recipients. We sought to examine their normative values and ICFA's
association with rejection/infection. A total of 380 ICFAs from 58 heart
transplant recipients (6.5/recipient) were studied retrospectively. The median
age at the time of their first ICFA was 5.3 yr (IQR 2.4-12.1 yr). ICFA levels
during immunologic stability (n = 311) were a median of 305 (IQR: 172-483) and
mean of 353 (s.d. +/- 224) ng ATP/mL. ICFA levels trended lower with advancing
age. ICFA levels during immunologic stability increased over time from transplant
after the first six months but were not correlated with calcineurin inhibitor
levels or the type used. There is no association between ICFA values during
stability and rejection (median 368 ATP ng/mL; IQR 153-527) or infection (median
293 ATP ng/mL; IQR 198-432). In contrast to the manufacturer's suggested ranges,
the immunologic stable ranges in pediatric cardiac recipients were very
different. ICFA values during immunologic stability are related to time from
transplant in pediatric heart recipients. ICFA's ability to discriminate
rejection or infection from immunologic stability was not demonstrated.
PMID- 24930883
TI - Carotid adventitial vasa vasorum and intima-media thickness in a primary
prevention population.
AB - BACKGROUND: Vasa vasorum (VV) vessels are critical in the genesis of
atherosclerosis. Therefore, we assessed measures of carotid VV, intima-media
thickness (CIMT), and patient risk factors in a primary prevention population.
METHODS: We used multivariable linear models to evaluate the relationship between
baseline covariates and a measure of carotid VV (VV ratio) and CIMT among 324
diabetics and 141 nondiabetics. RESULTS: Median CIMT (in mm) and VV ratio among
nondiabetics were 0.82 +/- 0.22 and 0.80 +/- 0.19, respectively, and 1.06 +/-
0.19 and 1.21 +/- 0.26 among diabetics (P < 0.0001). Diabetes was associated with
36% (95% CI: 24.3-48.0, P < 0.001) higher VV ratio whereas a unit change in BMI
was associated with ~1% (95% CI: 0.5-1.4, P < 0.001) change in VV ratio. A 10
year increase in age was associated with 4% (95% CI: 1-7, P = 0.005) higher CIMT.
Each 10 mmHg increase in mean systolic blood pressure was associated with 2% (95%
CI: 1-4, P = 0.003) higher CIMT whereas diabetes conferred 31% (95% CI: 19.1
42.1, P < 0.001) higher CIMT. Female sex was associated with a 9% (95% CI: -12.9
to -4.1, P < 0.001) lower CIMT. Low density lipoprotein (LDL) cholesterol, blood
pressure, and CIMT were not significantly associated with VV ratio. CONCLUSION:
In this cohort of patients with low CIMT, VV ratio, and CIMT were distinctly
unrelated, but each independently associated with diabetes. VV ratio and CIMT
relationships warrant further investigation in large-scale studies and across a
spectrum of atherosclerostic states.
PMID- 24930884
TI - A linear diffusion model for ion current across blocking grain boundaries in
oxygen-ion and proton conductors.
AB - We demonstrate the applicability of the linear diffusion model recently proposed
for the current-voltage, Igb-Ugb, characteristics of blocking grain boundaries in
solid electrolytes to various oxygen-ion and proton conductors: the model
precisely reproduces the Igb-Ugb characteristics of La-, Sm-, Gd-, and Y-doped
ceria as well as Y-doped barium zirconate to provide accurate explanations to the
"power law" behavior of the Igb-Ugb relationship, i.e. Igb ? Ugb(n),
experimentally observed. The model also predicts that the grain-boundary
potential, Psigb, in doped ceria weakly depends on temperature, if the trapped
charge remains constant, and that the value of Psigb can be determined from the
value of the power n. Furthermore, the model provides a plausible explanation for
the increase in the Psigb with temperature observed for the proton conductor in
which the concentration of the charge carrier decreases with temperature. Hence,
it is evident that the linear diffusion model is robust and applicable to grain
boundaries in a large variety of practically important solid electrolytes.
PMID- 24930885
TI - Preclinical evaluation of efficacy and stability of docetaxel micelle
encapsulated by a tripodal cyclotriphosphazene amphiphile.
AB - Docetaxel formulated by micelle-encapsulation using a tripodal
cyclotriphosphazene amphiphile [NP(MPEG750)(GlyPheLeu)2Et]3 (CP750) was named
"Phostaxel" and compared in efficacy and stability with Taxotere((r)) formulated
using the surfactant polysorbate 80, which is currently in clinical use.
Phostaxel has always shown better efficacy than Taxotere((r)) in various
xenograft trials at the same dosage and administration schedule against the tumor
cell lines tested. The better efficacy of Phostaxel could be explained based on
the difference in pharmacokinetic and biodistribution profiles of Phostaxel and
Taxotere((r)). Phostaxel exhibited significantly slower clearance rate and larger
AUClast value compared with Taxotere((r)). Phostaxel has also shown higher DTX
distribution in tumor than Taxotere((r)). In addition, Phostaxel displayed better
solution stability compared with Taxotere((r)) both in distilled water and in
saline solution at room and refrigerator temperatures.
PMID- 24930886
TI - KPNA2 is overexpressed in human and mouse endometrial cancers and promotes
cellular proliferation.
AB - Endometrial cancer is the most frequently occurring malignancy of the female
genital tract in Western countries. Although in many cases surgically curable,
about 30% of the tumours represent an aggressive and untreatable disease. In an
attempt to establish a reliable prognostic marker for endometrial carcinomas
disregarding their histological diversity, we investigated the expression of
KPNA2, a mediator of nucleocytoplasmic transport, and other cell proliferation
associated proteins and their correlation with cancer progression. We analysed
patient tissue microarrays (TMAs) assembled from 527 endometrial cancer tissue
specimens and uterus samples from a Trp53 knockout mouse model of endometrial
cancer. Our data show that KPNA2 expression was significantly up-regulated in
human endometrial carcinomas and associated with higher tumour grade (p = 0.026),
higher FIGO stage (p = 0.027), p53 overexpression (p < 0.001), activation of the
PI3K/AKT pathway, and epithelial-mesenchymal transition. Increased nuclear KPNA2
immunoreactivity was identified as a novel predictor of overall survival,
independent of well-established prognostic factors in Cox regression analyses
(hazard ratio 1.7, 95% CI 1.13-2.56, p = 0.01). No significant association
between KPNA2 expression and endometrial cancer subtype was detected. In the
mouse model, KPNA2 showed increased expression levels from precancerous (EmgD,
EIC) to far-advanced invasive lesions. We further investigated the cell
proliferation capacity after siRNA-mediated KPNA2 knockdown in the human
endometrial cancer cell line MFE-296. KPNA2 silencing led to decreased
proliferation of the cancer cells, suggesting interplay of the protein with the
cell cycle. Taken together, increased expression of KPNA2 is an independent
prognostic marker for poor survival. The mechanism of enhanced nucleocytoplasmic
transport by KPNA2 overexpression seems a common event in aggressive cancers
since we have shown a significant correlation of KPNA2 expression and tumour
aggressiveness in a large variety of other solid tumour entities. Introducing
KPNA2 immunohistochemistry in routine diagnostics may allow for the
identification of patients who need more aggressive treatment regimens.
PMID- 24930887
TI - HGF/MET-directed therapeutics in gastroesophageal cancer: a review of clinical
and biomarker development.
AB - Aberrant activation of the HGF/MET signaling axis has been strongly implicated in
the malignant transformation and progression of gastroesophageal cancer (GEC).
MET receptor overexpression in tumor samples from GEC patients has been
consistently correlated with an aggressive metastatic phenotype and poor
prognosis. In preclinical GEC models, abrogation of HGF/MET signaling has been
shown to induce tumor regression as well as inhibition of metastatic
dissemination. Promising clinical results in patient subsets in which MET is
overexpressed have spurned several randomized studies of HGF/MET-directed agents,
including two pivotal global Phase III trials. Available data highlight the need
for predictive biomarkers in order to select patients most likely to benefit from
HGF/MET inhibition. In this review, we discuss the current knowledge of
mechanisms of MET activation in GEC, the current status of the clinical
evaluation of MET-targeted therapies in GEC, characteristics of ongoing
randomized GEC trials and the associated efforts to identify and validate
biomarkers. We also discuss the considerations and challenges for HGF/MET
inhibitor drug development in the GEC setting.
PMID- 24930888
TI - The hypocretin/orexin system mediates the extinction of fear memories.
AB - Anxiety disorders are often associated with an inability to extinguish learned
fear responses. The hypocretin/orexin system is involved in the regulation of
emotional states and could also participate in the consolidation and extinction
of aversive memories. Using hypocretin receptor-1 and hypocretin receptor-2
antagonists, hypocretin-1 and hypocretin-2 peptides, and hypocretin receptor-1
knockout mice, we investigated the role of the hypocretin system in cue- and
context-dependent fear conditioning and extinction. Hypocretins were crucial for
the consolidation of fear conditioning, and this effect was mainly observed in
memories with a high emotional component. Notably, after the acquisition of fear
memory, hypocretin receptor-1 blockade facilitated fear extinction, whereas
hypocretin-1 administration impaired this extinction process. The extinction
facilitating effects of the hypocretin receptor-1 antagonist SB334867 were
associated with increased expression of cFos in the basolateral amygdala and the
infralimbic cortex. Intra-amygdala, but neither intra-infralimbic prefrontal
cortex nor intra-dorsohippocampal infusion of SB334867 enhanced fear extinction.
These results reveal a key role for hypocretins in the extinction of aversive
memories and suggest that hypocretin receptor-1 blockade could represent a novel
therapeutic target for the treatment of diseases associated with inappropriate
retention of fear, such as post-traumatic stress disorder and phobias.
PMID- 24930889
TI - Extending the viability of acute brain slices.
AB - The lifespan of an acute brain slice is approximately 6-12 hours, limiting
potential experimentation time. We have designed a new recovery incubation system
capable of extending their lifespan to more than 36 hours. This system controls
the temperature of the incubated artificial cerebral spinal fluid (aCSF) while
continuously passing the fluid through a UVC filtration system and simultaneously
monitoring temperature and pH. The combination of controlled temperature and UVC
filtering maintains bacteria levels in the lag phase and leads to the dramatic
extension of the brain slice lifespan. Brain slice viability was validated
through electrophysiological recordings as well as live/dead cell assays. This
system benefits researchers by monitoring incubation conditions and standardizing
this artificial environment. It further provides viable tissue for two
experimental days, reducing the time spent preparing brain slices and the number
of animals required for research.
PMID- 24930891
TI - Invadopodia, regulation, and assembly in cancer cell invasion.
AB - The occurrence of invadopodia has been, since its characterization, a hallmark of
cancerous cell invasion and metastasis. These structures are now the subject of a
controversy concerning their cellular function, molecular regulation, and
assembly. The terms invadopodia and podosomes have been used interchangeably
since their discovery back in 1980. Since then, these phenotypes are now more
established and accepted by the scientific community as vital structures for 3D
cancer cell motility. Many characteristics relating to invadopodia and podosomes
have been elucidated, which might prove these structures as good targets for
metastasis treatment. In this review, we briefly review the actin reorganization
process needed in most types of cancer cell motility. We also review the
important characteristics of invadopodia, including molecular components,
assembly, markers, and the signaling pathways, providing a comprehensive model
for invadopodia regulation.
PMID- 24930890
TI - WNT signaling and distant metastasis in colon cancer through transcriptional
activity of nuclear beta-Catenin depend on active PI3K signaling.
AB - We determined whether active PI3K signaling together with nuclear accumulation of
beta-Catenin is necessary to fully activate canonical WNT signaling and examined
the association of both signaling pathways with colon cancer progression. Using
reporter gene assays we examined the activation of beta-Catenin mediated
transcription upon PI3K inhibition with or without beta-Catenin nuclear
accumulation. Ectopically induced as well as constitutively active WNT signaling
strictly required PI3K activity whereas PI3K inhibition had no effect on beta
Catenin subcellular localization but impaired beta-Catenin binding to WNT target
gene promoters and decreased WNT target gene expression. Transcriptional activity
of nuclear beta-Catenin depended on active PI3K signaling as nuclear accumulation
of beta-Catenin failed to induce WNT reporter gene transcription upon PI3K
inhibition. PI3K dependend transcriptional transactivation of beta-Catenin relies
on events beyond phosphorylation at the AKT target site serine 552, as S552D
phosphomimetic beta-Catenin mutants were unable to restore WNT signaling when
inhibiting PI3K. To study the prognostic value of PI3K pathway activation
(activating PIK3CA mutations or loss of PTEN expression) and nuclear beta-Catenin
expression, both variables were determined in 55 matched pairs of primary right
sided colon cancer cases with or without distant metastasis. Activating mutations
in the PIK3CA gene or loss of PTEN expression did not correlate with distant
metastasis while high nuclear beta-Catenin expression combined with activation of
the PI3K pathway identified cases in which distant metastasis had occurred.
Activation of the PI3K pathway was not associated with nuclear beta-Catenin
expression. We conclude that the transcriptional activity of nuclear beta-Catenin
depends on PI3K activity. However, PI3K on its own does not affect beta-Catenin
subcellular localization. Both factors synergize for full WNT signaling activity
and are associated with distant metastasis in colon cancer. Thus, the detection
of high nuclear beta-Catenin expression and simultaneous PI3K pathway activation
identifies colon cancer patients with a high risk for distant metastasis.
PMID- 24930892
TI - Transcriptional profiles of SHH pathway genes in keratocystic odontogenic tumor
and ameloblastoma.
AB - BACKGROUND: Sonic hedgehog (SHH) pathway activation has been identified as a key
factor in the development of many types of tumors, including odontogenic tumors.
Our study examined the expression of genes in the SHH pathway to characterize
their roles in the pathogenesis of keratocystic odontogenic tumors (KOT) and
ameloblastomas (AB). METHODS: We quantified the expression of SHH, SMO, PTCH1,
SUFU, GLI1, CCND1, and BCL2 genes by qPCR in a total of 23 KOT, 11 AB, and three
non-neoplastic oral mucosa (NNM). We also measured the expression of proteins
related to this pathway (CCND1 and BCL2) by immunohistochemistry. RESULTS: We
observed overexpression of SMO, PTCH1, GLI1, and CCND1 genes in both KOT (23/23)
and AB (11/11). However, we did not detect expression of the SHH gene in 21/23
KOT and 10/11 AB tumors. Low levels of the SUFU gene were expressed in KOT (P =
0.0199) and AB (P = 0.0127) relative to the NNM. Recurrent KOT exhibited high
levels of SMO (P = 0.035), PTCH1 (P = 0.048), CCND1 (P = 0.048), and BCL2 (P =
0.045) transcripts. Using immunolabeling of CCND1, we observed no statistical
difference between primary and recurrent KOT (P = 0.8815), sporadic and NBCCS-KOT
(P = 0.7688), and unicystic and solid AB (P = 0.7521). CONCLUSIONS:
Overexpression of upstream (PTCH1 and SMO) and downstream (GLI1, CCND1 and BCL2)
genes in the SHH pathway leads to the constitutive activation of this pathway in
KOT and AB and may suggest a mechanism for the development of these types of
tumors.
PMID- 24930894
TI - Employing a combinatorial expression approach to characterize xylose utilization
in Saccharomyces cerevisiae.
AB - Fermentation of xylose, a major constituent of lignocellulose, will be important
for expanding sustainable biofuel production. We sought to better understand the
effects of intrinsic (genotypic) and extrinsic (growth conditions) variables on
optimal gene expression of the Scheffersomyces stipitis xylose utilization
pathway in Saccharomyces cerevisiae by using a set of five promoters to
simultaneously regulate each gene. Three-gene (xylose reductase, xylitol
dehydrogenase (XDH), and xylulokinase) and eight-gene (expanded with non
oxidative pentose phosphate pathway enzymes and pyruvate kinase) promoter
libraries were enriched under aerobic and anaerobic conditions or with a mutant
XDH with altered cofactor usage. Through characterization of enriched strains, we
observed (1) differences in promoter enrichment for the three-gene library
depending on whether the pentose phosphate pathway genes were included during the
aerobic enrichment; (2) the importance of selection conditions, where some
aerobically-enriched strains underperform in anaerobic conditions compared to
anaerobically-enriched strains; (3) improved growth rather than improved
fermentation product yields for optimized strains carrying the mutant XDH
compared to the wild-type XDH.
PMID- 24930893
TI - Acute binge alcohol administration reverses sleep-wake cycle in Sprague Dawley
rats.
AB - BACKGROUND: Binge alcohol drinking is among the most common pattern of alcohol
consumption in our society. Binge alcohol consumption has serious negative
consequence on mental and physical health. Although alcohol consumption is known
to have profound impact on sleep, it is yet unknown as to how binge alcohol
affects/alters sleep-wakefulness. The objective of this study was to examine the
effect of acute binge alcohol administration on sleep-wakefulness. METHODS: Male
Sprague Dawley rats were used in the study. Under standard aseptic surgical
conditions, rats (N = 7) were implanted with sleep-recording electrodes. After
postoperative recovery and habituation, baseline sleep-wakefulness was recorded.
Subsequently, rats were exposed to binge alcohol treatment as follows: One hour
before light onset, a priming dose of 5 g/kg of alcohol was administered followed
by 2 subsequent doses (adjusted based on the intoxication level of the rat)
approximately 8 hours apart. Sleep-wakefulness was continuously recorded for 3
days post-binge. RESULTS: Acute binge alcohol administration had no significant
effect on sleep-wakefulness on post-binge Day 1. However, on post-binge Day 2,
after blood alcohol concentration (BAC) was 0, sleep disruptions were observed
manifested by a reversal of sleep-wakefulness as evident from insomnia-like
symptoms (significant increase in wakefulness; significant reduction in nonrapid
eye movement [NREM] sleep) during the normal sleep (light) period and excessive
sleep (significant increase in NREM sleep) during the normal active (dark) period
similar to excessive daytime sleepiness in humans. All sleep-wakefulness changes
were normalized on Day 3 post-binge. CONCLUSIONS: Alcohol hangover is defined as
the presence of unpleasant symptoms that peak when BAC is 0. Our results suggest
that the reversal of sleep-wakefulness accompanies alcohol hangover after binge
alcohol administration.
PMID- 24930895
TI - A novel platform for automated high-throughput fluxome profiling of metabolic
variants.
AB - Advances in metabolic engineering are enabling the creation of a large number of
cell factories. However, high-throughput platforms do not yet exist for rapidly
analyzing the metabolic network of the engineered cells. To fill the gap, we
developed an integrated solution for fluxome profiling of large sets of
biological systems and conditions. This platform combines a robotic system for
(13)C-labelling experiments and sampling of labelled material with NMR-based
isotopic fingerprinting and automated data interpretation. As a proof-of-concept,
this workflow was applied to discriminate between Escherichia coli mutants with
gradual expression of the glucose-6-phosphate dehydrogenase. Metabolic variants
were clearly discriminated while pathways that support metabolic flexibility
towards modulation of a single enzyme were elucidating. By directly connecting
the data flow between cell cultivation and flux quantification, considerable
advances in throughput, robustness, release of resources and screening capacity
were achieved. This will undoubtedly facilitate the development of efficient cell
factories.
PMID- 24930896
TI - Selective fluorination of alkyl C-H bonds via photocatalysis.
AB - We report the generation of cationic N-radicals from Selectfluor(r) via energy
transfer with anthraquinone as a photocatalyst for the fluorination of
unactivated C-H bonds.
PMID- 24930897
TI - Nature's palette: the search for natural blue colorants.
AB - The food and beverage industry is seeking to broaden the palette of naturally
derived colorants. Although considerable effort has been devoted to the search
for new blue colorants in fruits and vegetables, less attention has been directed
toward blue compounds from other sources such as bacteria and fungi. The current
work reviews known organic blue compounds from natural plant, animal, fungal, and
microbial sources. The scarcity of blue-colored metabolites in the natural world
relative to metabolites of other colors is discussed, and structural trends
common among natural blue compounds are identified. These compounds are grouped
into seven structural classes and evaluated for their potential as new color
additives.
PMID- 24930899
TI - 4-Nitrophenyl- and 4'-nitro-1,1'-biphenyl-4-carboxylates attached to Mo2
quadruple bonds: ground versus excited state M2delta-ligand conjugation.
AB - From the reactions between Mo2(T(i)PB)4, where T(i)PB = 2,4,6
triisopropylbenzoate and two equivalents of the carboxylic acid LH (LH = 4
nitrobenzoic acid and 4'-nitro[1,1'-biphenyl]-4-carboxylic acid) the compounds
trans-M2(T(i)PB)2L2 have been prepared: I (L = 4-nitrobenzoate and M = Mo), II (L
= 4'-nitro-1,1'-biphenylcarboxylate and M = Mo) and III (L = 4-nitrobenzoate and
M2 = MoW). The compounds have been characterized by (1)H NMR, UV-Vis and steady
state emission spectroscopy, ns and fs transient absorption spectroscopy and
cyclic voltammetry. These data are compared with predictions based on electronic
structure calculations on model compounds where T(i)PB is substituted for
formate. Together these data indicate stronger ground-state coupling of the
Mo2delta and ligand pi* systems in I relative to II but this order is reversed in
the photo excited S1(1)MLCT state. Attempts to prepare the W2 containing analogs
were unsuccessful.
PMID- 24930898
TI - Increased survival using delayed gamma knife radiosurgery for recurrent high
grade glioma: a feasibility study.
AB - OBJECTIVE: The current study retrospectively assessed delayed gamma knife
radiosurgery (GKRS) in the management of high-grade glioma recurrences. METHODS:
A total of 55 consecutive patients with high-grade glioma comprising 68 World
Health Organization (WHO) III and WHO IV were treated with GKRS for local
recurrences between 2001 and 2007. All patients had undergone microsurgery and
radiochemotherapy, considered as standard therapy for high-grade glioma. Complete
follow-up was available in all patients; median follow-up was 17.2 months (2.5
114.2 months). Median tumor volume was 5.2 mL, prescription dose was 20 Gy (14-22
Gy), and median max dose was 45 Gy (30-77.3 Gy). RESULTS: The patients with WHO
III tumors showed a median survival of 49.6 months with and a 2-year survival of
90%. After GKRS of the recurrences, these patients showed a median survival of
24.2 months and a 2-year survival of 50%. The patients with WHO IV tumors had a
median survival of 24.5 months with a 2-year survival of 51.4%. After the
recurrence was treated with GKRS, the median survival was 11.3 months and a 2
year survival: 22.9% for the WHO IV patients. CONCLUSION: The current study shows
a survival benefit for high-grade glioma recurrences when GKRS was administered
after standard therapy. This is a relevant improvement compared with earlier
studies that had had not been able to provide a beneficial effect timing
radiosurgery in close vicinity to EBRT.
PMID- 24930900
TI - Changes in hematological parameters in alpha-thalassemia individuals co-inherited
with erythroid Kruppel-like factor mutations.
AB - Phenotypic variations in alpha-thalassemia mainly depend on the defective alpha
globin gene number. Genetic modifiers of the phenotype of Hemoglobin H (HbH)
disease were poorly reported, apart from beta-thalassemia allele that was
identified ameliorating the severity of alpha-thalassemia. Because erythroid
Kruppel-like factor (KLF1) mutations can modulate the red blood phenotype, we
evaluated its effect on the alpha-thalassemia phenotype. Overall, we identified
72 subjects with five different KLF1 heterozygous mutations in 1468 individuals,
including 65 out of 432 alpha-thalassemia carriers with fetal hemoglobin (HbF)
levels >=1%, 0 out of 310 carriers with HbF levels <1% and 7 out of 726 HbH
disease patients. We firstly established the link between KLF1 mutations and
relatively elevated hemoglobin A2 (HbA2 ) and HbF levels, along with lower mean
corpuscular volume (MCV) and mean corpuscular hemoglobin (MCH) values in a group
of alpha-thalassemia carriers. However, we concluded that KLF1 mutations were not
significantly linked to HbH disease severity. On the basis of HBA or HBB genotype
and gender, clinical severity of patients with HbH disease was correctly
predicted in 73.3% cases. It may improve the screening and diagnostic assessment
of alpha-thalassemia.
PMID- 24930902
TI - Highly branched platinum nanolance assemblies by polyallylamine functionalization
as superior active, stable, and alcohol-tolerant oxygen reduction
electrocatalysts.
AB - The chemical functionalization of platinum (Pt) nanostructures is becoming a new
trend in electrocatalysts designs. Meanwhile, highly branched Pt nanostructures
are highly exciting electrocatalysts with high activity and stability owing to
their specific physical and chemical properties. In this work, the polyallylamine
(PAH) functionalized Pt nanolance assemblies (Pt NLAs) have been successfully
synthesized by chemical reduction of PAH-Pt(II) complex using formaldehyde (HCHO)
in a two-phase water-complex system. The as-prepared Pt NLAs are highly branched
and three-dimensionally (3D) interconnected nanostructures, which are composed of
many long Pt nanolances in various directions. PAH functionalization improves the
electrocatalytic activity of the Pt NLAs for an oxygen reduction reaction (ORR)
because of high interface proton concentration on the Pt surface and excellent
anti-oxidation ability of the Pt nanolances. Meanwhile, the PAH molecules bound
on the Pt NLAs surface act as barrier networks to restrain accessibility of
alcohol, exhibiting a high ORR selectivity. In addition, the PAH functionalized
Pt NLAs show excellent durability for the ORR due to their particular 3D
interconnected structure. The work demonstrates that the PAH functionalized Pt
NLAs are indeed promising cathodic electrocatalysts for practical application in
direct alcohol fuel cells.
PMID- 24930903
TI - Perspectives on Sexual and Reproductive Health. In this issue.
PMID- 24930901
TI - RNA interference of GluN1 inhibits neuronal rhythmogenesis in the adult inferior
olive.
AB - RNA interference (RNAi) to knockdown N-methyl-D-aspartate receptor (NMDAR)
function is being investigated to address disorders associated with pathological
brain rhythms. A motivating finding has been that pharmacological block of NMDARs
inhibited oscillations in neuronal membrane potential that entrain rhythmic
bursts of action potentials. To determine whether transient effects of NMDAR
antagonist drugs to inhibit neuronal rhythmicity can be stably induced with
genetic specificity, we examined the effects of RNAi of GluN1 protein on the
subthreshold oscillations (STOs) of neurons in the inferior olive (IO), a
pacemaking nucleus necessary for motor and cognitive timing. Western blot of
dissociated neurons demonstrated 90% knockdown of GluN1 after a strong in vivo
transduction by a dual-microRNA lentiviral vector. GluN1 RNAi in whole-cell
patched IO neurons blocked both membrane depolarization and STOs typically
induced by NMDAR activation for up to 54 days without affecting input resistance,
membrane capacitance, action potential firing, high-threshold Ca(2+) spikes, the
hyperpolarization-activated current Ih, or the activation of the low-threshold
Ca(2+) current I(T). Although an off-target effect on Cav3 expression was ruled
out also by BlastN query, we found that GluN1 RNAi chronically eliminated I(T)
dependent STOs at resting membrane potential, well below the activation threshold
of the NMDAR channel. In the context of a recent report showing that NMDAR
activation induces STOs as it strengthens electrical coupling, the long-term
block of STOs by GluN1 RNAi may relate to the loss of an essential support
mechanism. Lentivector-mediated RNAi of GluN1 provides a novel technique for
future investigations of NMDAR involvement in electrical oscillations and
behavior.
PMID- 24930912
TI - High-risk HPV and survival in patients with oral and oropharyngeal squamous cell
carcinoma - 5-year follow up of a population-based study.
AB - CONCLUSION: No statistically significant 5-year survival difference was seen in
patients with oral and oropharyngeal squamous cell carcinoma (OOPSCC) between
high-risk HPV-positive and -negative groups in this population-based study.
OBJECTIVES: To see if the formerly observed higher risk for recurrence or second
primary tumour (SPT) in high-risk HPV-positive patients with OOPSCC corresponds
to worse survival. METHODS: A total of 128 consecutive, previously untreated
patients with OOPSCC, who were part of a population-based case-control study in
southern Sweden during 2000-2004, were included. A mouthwash sample was collected
and exfoliated cells were collected with cotton-tipped swabs from the tonsillar
fossa and the tumour. Specimens were analysed for HPV DNA using nested polymerase
chain reaction (PCR). Disease-specific survival (DSS) and DSS difference between
HPV-negative and HPV-positive patients were calculated. The relationship between
age, stage, high-risk HPV status and DSS was assessed. Oral and oropharyngeal
tumours were assessed separately. RESULTS: Mean DSS in months was 80.7/68.6 (high
risk HPV-negative/high-risk HPV-positive) for oral cavity tumours (p = 0.18) and
67.6/78.3 (high-risk HPV-negative/high-risk HPV-positive) for oropharyngeal
tumours (p = 0.47). For oral cavity tumours, age, T status, N status and stage
all showed significant differences in DSS. For oropharyngeal tumours, no
significant difference regarding DSS was found.
PMID- 24930913
TI - Facial nerve preservation in geniculate ganglion hemangiomas.
AB - CONCLUSIONS: Facial nerve preservation was related to tumor size, and the
patients with facial nerve preservation obtained better recovery. Hence it is
necessary to perform surgical removal as soon as possible. OBJECTIVE: To study
facial nerve preservation in patients with geniculate ganglion (GG) hemangiomas.
METHODS: Twelve patients who had GG hemangiomas were managed at a single
institute. All patients underwent total tumor removal, and the surgeon attempted
to preserve the facial nerve. Tumor size was measured by MRI, and the patients
were divided into two groups according to tumor size: larger size group (>=10 mm)
and smaller size group (<10 mm). RESULTS: Generally, the facial nerve was
successfully preserved in 10 of 12 cases (83.30%), and nerve grafting was
required in 2 cases. Seven of 10 patients (70%) with nerve intact recovered to
grade I or grade II, while the 2 cases with nerve grafting recovered to grade III
or grade IV. Among the smaller size group, the facial nerves of all patients
(100%) were intact. In contrast, only one of three patients (33.3%) in the larger
size group maintained nerve integrity after surgery.
PMID- 24930914
TI - Variations in treatment of peritonsillar abscess in four Nordic countries.
AB - CONCLUSION: In four Nordic countries, peritonsillar abscess (PTA) patients are
treated rather differently. OBJECTIVES: To study how such patients are treated in
those countries. METHODS: The 81 chief physicians of otorhinolaryngology
departments of all central hospitals in Denmark (n = 15), Norway (n = 19), Sweden
(n = 27), and Finland (n = 20) received a multiple-choice questionnaire. RESULTS:
A total of 73 physicians (90%) replied. The largest differences arose in treating
patients with intravenous versus per oral antibiotics, and treating as inpatients
versus outpatients. In Finland, 50% of PTA patients aged >16 years were treated
as inpatients and 50% as outpatients, whereas the respective quotas in Sweden
were 9 and 91%, Norway 19 and 81%, and Denmark 33 and 67%. Of Finnish physicians,
30% treated their patients primarily with oral antibiotics, 70% with intravenous
antibiotics; in Sweden 91 vs 9%, Norway 53 vs 47%, and Denmark 18 vs 82%. In
Denmark, almost all patients were operated on immediately, whereas in the other
three countries, especially Sweden, operations more often were performed after a
recovery period. Combining metronidazole with penicillin or cephalosporins was
most common in Denmark: 58% reported usage, compared with 30% in Finland, 16% in
Norway, and 4% in Sweden.
PMID- 24930915
TI - Immunoglobulin G4-related disease in a psoriasis vulgaris patient treated with
ustekinumab.
PMID- 24930918
TI - Editorial.
PMID- 24930917
TI - Glycoborinine induces apoptosis through mitochondrial pathway in HepG2 cells.
AB - Glycoborinine (GB), a natural carbazole alkaloid isolated from Glycosmis
pentaphylla, has been shown to be a potential molecule against cancer cells. In
this study, the cell-signaling pathway of its anti-tumor activity was
investigated. MTT assay result showed that GB inhibited HepG2 cell proliferation
in a dose- and time-dependent manner and 50% inhibiting concentration (IC50) of
GB-induced cell death was 39.7 MUM for a period of 48 h. GB-induced HepG2
apoptosis was confirmed by Hochest 33258 staining and PI staining. The level of
reactive oxygen species (ROS) was measured with H2DCF-DA staining and the change
of mitochondrial membrane potential (?Psi(m)) was analyzed with tetrechloro
tetraethylbenzimidazolcarbocyanine iodide (JC-1) probe. Results showed that GB at
12.5, 25, and 50 MUM promoted ROS production. GB induced HepG2 apoptosis through
a mitochondrial apoptotic pathway, which was demonstrated by GB-induced increase
in the ratio of Bax/Bcl-2, cytochrome C release, the ratio of cleaved caspase
3/procaspase-3, and the ratio of cleaved poly ADP-ribose polymerase (cleaved
PARP)/poly ADP-ribose polymerase (PARP). To summarize, this study demonstrated
that GB could induce HepG2 apoptosis through the mitochondrial-dependent pathway,
which might provide a promising approach to cure liver cancer with GB.
PMID- 24930919
TI - Catatonia in the elderly.
AB - Catatonia in geriatric patients has been insufficiently investigated. We reviewed
71 case descriptions from 45 studies to clarify the phenomenology, diagnosis,
etiology, and treatment of catatonia in the elderly. In elderly patients,
catatonia is frequently observed in association with general medical conditions.
In patients with a history of depression or schizophrenia, physicians
occasionally overlook the medical conditions because they believe the previous
psychosis to be the cause of the catatonic features. Despite historical
discussions of a relation between the disorders, the number of patients with
catatonia and a prior diagnosis of schizophrenia or another psychotic disorder is
not large. A diagnosis of catatonia should be considered in cases of atypical
"collapse" in elderly patients with a previous psychiatric history of mood
disorder. Catatonia due to anxiety/adjustment disorder is quite rare, whereas
catatonia in association with neuroleptic malignant syndrome is fairly common.
For early commencement of treatment, early detection of catatonia in the elderly
is important. In studies of psychotropic drug treatment, many authors observed a
beneficial response to lorazepam in catatonic patients. For medication-refractory
patients, electroconvulsive therapy should be considered. It is important to
prevent the development of venous thrombosis as a serious complication in
stuporous catatonic patients.
PMID- 24930916
TI - Clinical and virological characteristics associated with severe acute hepatitis
B.
AB - To identify early predictors of a severe or fulminant course in patients with
acute viral hepatitis B (AVH-B). One hundred and thirty-eight patients with
symptomatic acute hepatitis B observed from 1999 to 2012 were enrolled. For each
patient, the demographics, risk factors for the acquisition of hepatitis B virus
(HBV) infection, clinical, biochemical and virological data (HBV DNA, HBV DNA
sequences) were recorded and analysed. The HBV mutants in the polymerase region
were sought in 110 (87%) patients by direct sequencing, and the rtM204V/I
mutations also by an allele-specific PCR. AVH-B was severe in 13 (9.4%) of the
138 patients enrolled, fulminant in 6 (4.3%) and with a normal clinical course in
119. The 19 patients with severe or fulminant AVH-B more frequently than the 119
with a normal course stated intravenous drug use (63.2% versus 36.1%, p 0.04) and
were HBV-DNA negative (31.6% versus 11.8%, p 0.03) and anti-hepatitis C virus
(HCV) positive (57.9% versus 19.3%, p 0.0008); the prevalences of different HBV
genotypes and of the rtM204V/I mutant were similar in these three forms of AVH-B.
A multivariate logistic regression analysis identified a pre-existing HCV chronic
infection as the only factor independently associated with a severe or fulminant
clinical course of AVH-B (OR 4.89, 95% CI 1.5-15.94, p 0.01). A pre-existing HCV
chronic infection was identified as the only factor independently associated with
a severe clinical presentation of acute hepatitis B, an association most probably
due to the combination of the liver lesions caused by acute hepatitis B and the
pre-existing histological abnormalities related to HCV chronic infection.
PMID- 24930920
TI - Dyskinesia and soft neurological signs in schizophrenia: a comparative study.
AB - Objective. Several neurological abnormalities can be found at a greater frequency
in patients with schizophrenia, including neurological soft signs (NSS) and signs
of the "pyramidal" and "extrapyramidal" systems. We aimed to explore the
frequency of movement disorders in patients with antipsychotic naive
schizophrenia and to compare and contrast with antipsychotic-treated patients and
healthy controls. Methods. Twenty-two antipsychotic naive schizophrenic patients,
22 antipsychotic treated patients and 22 healthy control subjects were assessed
by Neurological Evaluation (NES), Abnormal Involuntary Movements (AIMS), and
Positive and Negative Syndrome (PANSS) Scales. Results. The NES scores of the
never-medicated schizophrenic group were significantly higher than those of
normal controls but did not differ significantly from the medicated group.
Dyskinesia rates in the both schizophrenic groups were higher than in healthy
controls. Medicated and non-medicated schizophrenic patient scores did not differ
in AIMS with regard to facial and oral movements, but medicated patients scored
higher than non-medicated subjects with respect to extremity movements.
Conclusion. Our data suggest that: soft neurological signs and abnormal
involuntary movements in the facial region are more prevalent in patients with
schizophrenia, whether they are medicated or antipsychotic naive. On the
contrary, abnormal involuntary movements in the trunk and the extremities seem to
be associated with medication.
PMID- 24930921
TI - Olanzapine in subjects with a first-episode psychosis non-responsive, intolerant
or non-compliant to a first-line trial of risperidone.
AB - Objective. Investigation of the efficacy and tolerability of olanzapine (OLZ) as
second-line treatment in subjects with a first-episode psychosis (FEP) who had
been non-responsive, intolerant or non-compliant to Risperidone (RIS). Methods.
The Early Psychosis Prevention and Intervention Centre in Melbourne admitted 786
FEP subjects between 1998 and 2000. Data were collected from subjects' medical
records (MR). The objective was to evaluate the efficacy of OLZ as measured by
CGI-S, GAF, SOFAS and remission rates as well as tolerability. Results. A total
of 104 subjects were switched because of non-response (38%), non-compliance
(15%), or intolerance (47%). Independent of reasons for switch, significant
symptomatic and functional improvements were detected. Overall, 46 subjects (44%)
achieved full remission. Regression analysis indicated that reason for switch did
not predict full remission. Significantly more extrapyramidal side effects
(P<0.001) were related to previous RIS and significantly more weight gain to the
subsequent OLZ treatment (P<0.001). Conclusions. OLZ may be an effective
alternative for FEP patients who are non-responsive, intolerant or non-compliant
to RIS. The decision to switch to OLZ must be weighted against the greater risk
of weight gain with its risks for medical complications and its psychosocial
consequences.
PMID- 24930922
TI - Use of polypharmacy and self-reported mood in outpatients with bipolar disorder.
AB - Objective. As polypharmacy is routinely used for the treatment of bipolar
disorder, the relation between the daily number of psychotropic medications and
self-reported mood was investigated. Method. Eighty patients (35 men and 45
women) with a diagnosis of bipolar disorder I or II, recruited from academic
centres, entered their mood, sleep, and psychotropic medications for 3 months
into ChronoRecord software. A total of 8662 days of data was received (mean 114.7
days/per patient). Results. Seventy-nine patients took a mean of 3.8 medications
daily (SD 1.7; range 1-9); one took none. Of these patients, 73 (92.4%) took mood
stabilizers, 47 (58.8%) took antidepressants, 31 (38.8%) took antipsychotics, 34
(42.5%) took benzodiazepines and 17 (21.1%) took thyroid hormones. Patients
reporting normal mood more frequently took fewer medications; the Pearson
correlation coefficient between the number of medications and the percent of days
normal was -0.481 (P < 0.001). Grouping by number of medications, ANOVA analysis
showed those taking fewer medications reported normal mood more frequently
(P<0.001). Conclusion. Combination treatment regimens are routinely prescribed
for bipolar disorder. Patients reporting normal mood more frequently took a fewer
number of daily medications. Studies are needed to better identify those patients
who would benefit from polypharmacy and to optimise the combinations of
medications for patients with refractory disorder.
PMID- 24930923
TI - Group cognitive behaviour therapy in obsessive-compulsive disorder (OCD): a
controlled study.
AB - Objective. This study compared cognitive behavioural therapy (CBT) with
relaxation therapy (RT) as group-based treatments for obsessive compulsive
disorder (OCD). Hitherto, most studies of group CBT in OCD have used uncontrolled
designs. Methods. Forty-one patients with OCD were assigned to group CBT or group
RT. Each therapy comprised 12 weekly sessions and participants were assessed
primarily on the Y-BOCS. Secondary outcome measures for depressive and anxiety
symptoms were also collected. All outcome measures were recorded by blind raters.
Results. The percentage of drop-outs in the RT condition (35%) exceeded the
number in the CBT condition (4%). However, there were no differences between
group CBT and group RT in terms of improvement on primary or secondary outcome
measures. Conclusion. Our findings suggest that the apparent benefits of group
CBT may not relate specifically to the CBT element of treatment and also
underscore the importance of controlled studies in this area. However, the
disparity in drop-out rate between conditions suggests that group CBT is more
acceptable to patients than group RT.
PMID- 24930924
TI - Evaluation of an antipsychotic information sheet for patients.
AB - Introduction. The objective of this study was to develop a decision aid that
patients and clinicians might use to help the patient in the process of selecting
an antipsychotic medication. In addition, we aimed to determine the antipsychotic
that patients would choose given the information contained in the leaflet.
Method. We designed a questionnaire for patients to appraise the contents of the
leaflet, their understanding of the leaflet and the potential impact of the
leaflet on compliance and therapeutic relationship between patient and doctor.
Results. We recruited 30 stable patients with a diagnosis of a psychotic illness
to evaluate the leaflet and to determine patient choice. Over 90% of patients
felt that the leaflet improved their knowledge of antipsychotic medication.
Seventy-six percent of patients agreed that the leaflet contained the right type
and amount of information. Seventy percent of respondents believed the leaflet
would improve the trust between them and their doctors, and almost half (47%)
stated they were more likely to take their medicine after reading the leaflet.
Forty percent of patients would prefer to switch antipsychotic medication, with
quetiapine being the most frequently preferred option. Conclusion. The results
indicate that, for patients in the stable phase of their illness, the leaflet is
a useful tool in selecting an antipsychotic medication and may represent a way
forward in improving outcomes in patients with psychotic disorders. A larger
study examining outcomes using this tool would establish its clinical utility.
PMID- 24930925
TI - Relationship between serum valproate and lithium levels and efficacy and
tolerability in bipolar maintenance therapy.
AB - Background. In spite of widespread recognition of the importance of maintenance
treatment for bipolar disorder, there are relatively few available well-designed
clinical trials that have provided rigorous evidence for the efficacy of specific
agents. One approach used effectively in analyses of lithium studies has been the
stratification of efficacy and tolerability results based on serum drug
concentrations. Therefore, we conducted a similar analysis of the efficacy of
divalproex or lithium, based on serum concentrations in the maintenance treatment
of bipolar I disorder in a recent 12-month placebo-controlled trial. Methods.
This was a post-hoc analysis of results obtained in a 12-month, double-blind,
placebo-controlled trial of divalproex and lithium involving 372 patients (intent
to-treat). The patient set was stratified into four therapeutic drug
concentration ranges (Non-therapeutic, Low Therapeutic, Medium Therapeutic, and
High Therapeutic) for both divalproex and lithium. Efficacy measures were Kaplan
Meier survival analyses of time to discontinuation for any reason, time to
discontinuation for a protocol-defined manic episode, and time to discontinuation
for a manic or depressive episode. Results. Significant differences between
divalproex at the Medium Therapeutic range (75-99.9 ug/ml) and placebo were
demonstrated in Kaplan-Meier survival results for discontinuation for any reason
(median survival time: divalproex, 8 months; placebo, 4 months; P<0.05) and for
discontinuation for a manic or depressive episode (median survival time:
divalproex, 8 months; placebo, 3 months, P=0.003). At 12 months, the proportion
of divalproex-treated patients (Medium Therapeutic range) who did not discontinue
for a protocol-defined manic episode (85%) was higher than the proportion of
lithium (Medium Therapeutic range; 70%) or placebo-treated (83%) patients.
Conclusions. Divalproex at the Medium Therapeutic range provided significantly
better bipolar maintenance treatment response than placebo in survival analyses,
suggesting a possible serum concentration target range for clinicians in
providing optimal treatment response. The value of this analytic approach, used
for the first time here for divalproex, is discussed, along with a call for
further research into optimal therapeutic drug levels.
PMID- 24930926
TI - Divalproex for the treatment of posttraumatic stress disorder: a retrospective
chart review.
AB - Objective. Open label trials of divalproex in the treatment of posttraumatic
stress disorder (PTSD) show positive results. The objective of this study was to
examine the therapeutic effects of divalproex in a larger naturalistic sample of
patients with PTSD. Methods. A retrospective analysis was performed on 325
veteran charts identified through a computerized search of PTSD diagnosis matched
with pharmacy records (any form of divalproex). The medication names, doses,
labs, and dates (except for the divalproex index visit) were blackened. An
investigator blinded to the order of visits and blackened information rated the
progress notes preceding and following psychopharmacological intervention with
divalproex with the Clinical Global Impression Scale for Improvement (CGI-I).
Results. Fifty patients met eligibility criteria. Three were treated with
divalproex monotherapy and 47 were treated adjunctively. The improved endpoint
CGI-I differed significantly from "no change" (P<0.000001). Twenty-five (50%)
were rated as very much or much improved on the CGI-I. Patients treated in
primary care had a greater improvement compared to those in the mental health
setting (P<0.005). Divalproex dosage and serum valproic acid levels (n=37) were
well correlated (r = 0.57, P<0.0005). Conclusion. Divalproex treatment improves
the global clinical function of veterans with PTSD. Further controlled study is
warranted.
PMID- 24930927
TI - Two cases of neuroleptic-induced prolonged extrapyramidal symptoms.
AB - Neuroleptic-induced extrapyramidal symptoms (EPS) are generally categorized as
acute, withdrawal and tardive EPS. Here, we report two cases of a unique late
onset, long-lasting EPS (e.g., prolonged EPS); in those cases, EPS appeared a few
months following initiation of haloperidol and lasted for a few months after
significant reduction or complete withdrawal of neuroleptics. Case 1, a 41-year
old female, began to exhibit EPS such as bradykinesia, rigidity and parkinsonian
gait 4 months after the haloperidol treatment. Her rigidity was ameliorated by a
reduction of haloperidol; however, reduction of neuroleptics made it difficult
for her to maintain a seated posture because of an imbalance of muscle tonus. Her
EPS continued for 9 months even after haloperidol was switched to very low doses
of thioridazine (10 mg/day). Case 2 is a 42-year-old female. She exhibited EPS
including dysphagia and a difficulty in opening her mouth 3 months after the
haloperidol treatment began. Her EPS lasted for 45 days, even after complete
withdrawal of neuroleptics. The EPS observed in these two cases occurred even
after prolactin levels became normal. "Prolonged EPS" is a unique subclass of
neuroleptic-induced reversible EPS that might involve the coexistence of hypo-
and hyper-dopaminergic transmission, especially in patients who show very low
tolerance to neuroleptics.
PMID- 24930928
TI - Bupropion is effective in depression in narcolepsy.
AB - Narcolepsy is a rare disorder characterised by sleep disturbances, cataplexy,
sleep paralysis and hypnagogic, hypnopompic hallucinations. Although several
treatment modalities, such as tricyclic antidepressants or selective serotonin
reuptake inhibitors, have been used to treat different symptoms, there is no
definite treatment for narcolepsy. Modafinil or amphetamine-like stimulants, such
as dexamphetamine or methylphenidate, are used to treat sleepiness. Our case was
a 58-year-old woman who was diagnosed as narcolepsy cataplexy syndrome. Her
Epworth Sleepiness Scale (ESS) score was 14 and Beck Depression Inventory (BDI)
score was 29 in the first evaluation. Imipramine and modafinil were begun for the
treatment, but there was no improvement in her symptoms. Subsequently, bupropion
was started at 150 mg/day and then dosage was increased to 300 mg/day. She was
asymptomatic at the end of 3 months. To our knowledge, this is the second
depressive narcoleptic patient who has responded to 300 mg/day of bupropion.
PMID- 24930929
TI - Bipolar disorder after traumatic brain injury.
AB - Objective. We report the case of a 47-year-old man with no psychiatric
antecedents who developed manic and depressive symptoms after traumatic brain
injury (TBI). Methods and results. Findings on neurobehavioral examination,
neuropsychological test battery, electrophysiological and imaging exams suggested
the presence of a diffuse cerebral injury with a predominance of left fronto
temporal findings. Conclusions. This case demonstrates that TBI may cause
vulnerability to psychiatric disorders, with long latency periods, and that its
course may be independent of cognitive impairment and recovery.
PMID- 24930930
TI - Incipient neuroleptic malignant syndrome with quetiapine/paroxetine combination
treatment: atypical presentation and early, successful rechallenge with
olanzapine.
AB - A 26-year-old caucasian male developed incipient neuroleptic malignant syndrome
(NMS) during the course of paranoid schizophrenia with catatonic signs at
admission, while on quetiapine/paroxetine combination treatment. The clinical
presentation was "atypical", but increased creatine kinase levels and positive
myoglobinemia were highly suggestive of the diagnosis. Of note, the patient was
successfully rechallenged with olanzapine. The implications of the drug regimen
and of the catatonic presentation are discussed.
PMID- 24930931
TI - Anxiety can be a harbinger of early onset dementia.
AB - We report an interesting case study of a 58-year-old woman who had early onsct
dementis which initially presented with marked features of anxiety that masked
her cognitive impairment. She was treated for anxiety for about 2 years. Her
anxiety symptoms caused hindrance in detecting dementis at the early stage.
PMID- 24930932
TI - Speaker abstracts.
PMID- 24930933
TI - Assessment of endothelial dysfunction in Asian Indian patients with chronic
kidney disease and changes following renal transplantation.
AB - BACKGROUND: Endothelial dysfunction may explain increased cardiovascular risk in
patients with chronic kidney disease (CKD). METHODS: Brachial artery was imaged
during reactive hyperemia (endothelium-dependent, flow-mediated dilatation, FMD)
and during glyceryl trinitrate-mediated dilatation (nitroglycerine-mediated
dilatation, NMD, endothelium-independent) in 108 patients with CKD and three
months following renal transplantation (RT) in 60 of them. RESULTS: Patients with
CKD had significantly lower FMD vs. controls (9.1% vs. 18.3%, p < 0.001) while
NMD was comparable (19.8% vs. 21.8%, p = ns). Impaired FMD (<4.5%) was observed
in 26.8% patients with CKD and was more common in those on hemodialysis (HD;
28.4% vs. 15.4%) vs. those not on dialysis. FMD for patients with glomerular
filtration rate (GFR) 15-60 vs. <15 mL/min/1.73 m(2) was 12.9% and 8.8% (p =
0.05; respectively -29% and -52% lower vs. controls), indicating reduced FMD with
increasing CKD severity. There was +72% increase in FMD following RT (9.1 to
15.7%, p < 0.001) while mean NMD was unchanged. Following RT, only 3.3% had
impaired FMD. CONCLUSIONS: Patients with CKD have endothelial dysfunction as
evidenced by reduced FMD. Decreased FMD indicating worsening endothelial function
was noted with increasing severity of CKD. Within three months of RT, there was
significant improvement in FMD, while NMD values did not change.
PMID- 24930934
TI - Could deep brain stimulation help with driving for patients with Parkinson's?
AB - For mobility impaired people with Parkinson's disease (PD), driving a car is
important to maintain independency. But driving ability is getting worse with
disease progression. Meanwhile surgical treatment with deep brain stimulation
(DBS) of the subthalamic nucleus is done routinely in advanced PD, but it is
unknown how DBS might affect driving. In a driving simulator setting, we found PD
patients undergone DBS surgery to drive safer than even less clinically affected
PD patients treated with medication alone. Furthermore, patients with DBS surgery
drove better under stimulation than under medication. In conclusion, DBS of the
subthalamic nucleus appears to be beneficial for driving in PD patients,
potentially due to non-motor effects on controlling the vehicle in the simulator
setting. Nevertheless, results of this first pilot study on driving in PD
patients with DBS should not encourage patients or physicians to consider DBS
only to improve or regain driving competence.
PMID- 24930936
TI - Electrical stimulation of cerebellar fastigial nucleus: mechanism of
neuroprotection and prospects for clinical application against cerebral ischemia.
AB - For around two decades, electrical fastigial nucleus stimulation (FNS) has been
demonstrated to induce neuroprotection involving multiple mechanisms. In this
review, we summarize the protective effects of FNS against cerebral ischemia
through the inhibition of electrical activity around the lesion, excitotoxic
damage on neurons, and brain inflammatory response, as well as apoptosis.
Moreover, FNS has been reported to promote nerve tissue repair, reconstruction,
and neurological rehabilitation and improve stroke-related complications
including poststroke cognitive dysfunction, depression, and abnormal heart rate
variability. We thus further discuss the potential of FNS for clinical
applications. Given the absence of any risk of inducing sublethal damage, FNS may
offer a new approach to preconditioned neuroprotection against cerebral ischemia.
PMID- 24930937
TI - Oligoalanine-modified Pluronic-F127 nanocarriers for the delivery of curcumin
with enhanced entrapment efficiency.
AB - Curcumin is a naturally occurring compound that has been shown to have anti
oxidant, anti-inflammatory, and anti-carcinogenic activities. However, its
pharmaceutical potential has been limited due to its low solubility in water. The
use of amphiphilic nanocarriers is an attractive and simple method to solubilize
curcumin. In this study, we modified Pluronic F-127 [poly(ethylene glycol)100
block-poly(propylene glycol)65-block-poly(ethylene glycol)100] (PF-127) with
oligomers of alanine, an amino acid, to increase the drug entrapment efficiency
of curcumin through core stabilization. Alanine-modified PF-127 exhibited lower
critical micelle concentration and decreased molecular motion in both the
hydrophilic and hydrophobic segments ((1)H NMR). Nanocarriers in the size range
of 54.2-68.4 nm were observed. Entrapment efficiency of curcumin increased by at
most 66% (from 25.3 to 91.3%) and the difference in solubility was clearly
visualized by increased transparency of the nanocarrier solutions. Curcumin was
released continuously up to 120 h from modified carriers, while drug release from
unmodified carriers plateaued within 24 h. These modified nanocarriers exhibited
no cytotoxicity and more efficiently delivered drugs to HeLa cells as confirmed
by fluorescent microscopy. This study demonstrated that alanine modification of
FDA-approved PF-127 affects copolymer nanoassembly and has a profound impact on
curcumin loading and possibly on other hydrophobic drugs as well.
PMID- 24930935
TI - CXCR3 modulates glial accumulation and activation in cuprizone-induced
demyelination of the central nervous system.
AB - BACKGROUND: The functional state of glial cells, like astrocytes and microglia,
critically modulates the course of neuroinflammatory and neurodegenerative
diseases and can have both detrimental and beneficial effects. Glial cell
function is tightly controlled by cellular interactions in which cytokines are
important messengers. Recent studies provide evidence that in particular
chemokines are important modulators of glial cell function. During the course of
CNS diseases like multiple sclerosis or Alzheimer's disease, and in the
corresponding animal models, the chemokines CXCL9 and CXCL10 are abundantly
expressed at sites of glial activation, arguing for an important role of these
chemokines and their corresponding receptor CXCR3 in glial activation. To clarify
the role of this chemokine system in glial cell activation, we characterized the
impact of CXCR3 on glial activation in a model of toxic demyelination in which
glial activation without a prominent influx of hematogenous cells is
prototypical. METHODS: We investigated the impact of CXCR3 on cuprizone-induced
demyelination, comparing CXCR3-deficient mice with wild type controls. The
clinical course during cuprizone feeding was documented for five weeks and for
the subsequent four days withdrawal of the cuprizone diet (5.5 weeks). Glial
activation was characterized using histological, histomorphometric and phenotypic
analysis. Molecular analysis for (de)myelination and neuroinflammation was
applied to characterize the effect of cuprizone on CXCR3-deficient mice and
control animals. RESULTS: CXCR3-deficient mice displayed a milder clinical course
during cuprizone feeding and a more rapid body weight recovery after offset of
diet. In the CNS, CXCR3 deficiency significantly attenuated the accumulation and
activation of microglia and astrocytes. Moreover, a deficiency of CXCR3 reduced
the expression of the microglial activation markers CD45 and CD11b. Compared to
controls, we observed a vast reduction of RNA levels for proinflammatory
cytokines and chemokines like Ccl2, Cxcl10, Tnf and Il6 within the CNS of
cuprizone-treated mice. Lastly, CXCR3 deficiency had no major effects on the
course of demyelination during cuprizone feeding. CONCLUSIONS: The CXCR3
chemokine system is critically involved in the intrinsic glial activation during
cuprizone-induced demyelination, which significantly modulates the distribution
of glial cells and the local cytokine milieu.
PMID- 24930939
TI - Mu rhythm and rhythmic midtemporal discharges in REM sleep.
PMID- 24930938
TI - Prognostic EEG patterns in patients resuscitated from cardiac arrest with
particular focus on Generalized Periodic Epileptiform Discharges (GPEDs).
AB - STUDY AIMS: We assessed clinical and early electrophysiological characteristics,
in particular Generalized Periodic Epileptiform Discharges (GPEDs) patterns, of
consecutive patients during a 1-year period, hospitalized in the Intensive Care
Unit (ICU) after resuscitation following cardiac arrest (CA). PATIENTS AND
METHODS: Consecutive patients resuscitated from cardiac arrest (CA) with first
EEG recordings within 48hours were included. Clinical data were collected from
hospital records, in particular therapeutic hypothermia. Electroencephalograms
(EEGs) were re-analyzed retrospectively. RESULTS: Sixty-two patients were
included. Forty-two patients (68%) were treated with therapeutic hypothermia
according to international guidelines. Global mortality was 74% but not
significantly different between patients who benefited from therapeutic
hypothermia compared to those who did not. All the patients who did not have an
initial background activity (36/62; 58%) died. By contrast, initial background
activity was present in 26/62 (42%) and among these patients, 16/26 (61%)
survived. Electroencephalography demonstrated GPEDs patterns in 5 patients, all
treated by therapeutic hypothermia and antiepileptic drugs. One of these survived
and showed persistent background activity with responsiveness to benzodiazepine
intravenous injection. CONCLUSION: Patients presenting suppressed background
activity, even when treated by hypothermia, have a high probability of poor
outcome. Thorough analysis of EEG patterns might help to identify patients with a
better chance of survival.
PMID- 24930940
TI - Emotional facial expression processing in depression: data from behavioral and
event-related potential studies.
AB - Behavioral literature investigating emotional processes in depressive populations
(i.e., unipolar and bipolar depression) states that, compared to healthy
controls, depressive subjects exhibit disrupted emotional processing, indexed by
lower performance and/or delayed response latencies. The development of brain
imaging techniques, such as functional magnetic resonance imaging (fMRI),
provided the possibility to visualize the brain regions engaged in emotional
processes and how they fail to interact in psychiatric diseases. However, fMRI
suffers from poor temporal resolution and cognitive function involves various
steps and cognitive stages (serially or in parallel) to give rise to a normal
performance. Thus, the origin of a behavioral deficit may result from the
alteration of a cognitive stage differently situated along the information
processing stream, outlining the importance of access to this dynamic "temporal"
information. In this paper, we will illustrate, through depression, the role that
should be attributed to cognitive event-related potentials (ERPs). Indeed, owing
to their optimal temporal resolution, ERPs can monitor the neural processes
engaged in disrupted cognitive function and provide crucial information for its
treatment, training of the impaired cognitive functions and guidelines for
clinicians in the choice and monitoring of appropriate medication for the
patient.
PMID- 24930941
TI - "All that glitters is not ... alone". Congruity effects in highly and less
predictable sentence contexts.
AB - CONTEXT: Using natural connected speech, the aim of the present study was to
examine the semantic congruity effect (i.e. the difference between semantically
incongruous and congruous words) in sentence contexts that generate high or
moderate final word expectancies. METHODS: We used sentences with two levels of
word expectancy in the auditory modality: familiar proverbs (that generate high
final word expectancy), and unfamiliar sentences (that generate only moderate
final word expectancy). RESULTS: Results revealed an early congruity effect (0
200 ms) that developed across all scalp sites for familiar proverbs but not for
unfamiliar sentences. By contrast, typical centro-parietal N400 and Late
Positivity Component congruity effects developed later (200-500 ms and 600-900 ms
ranges) for both familiar proverbs and unfamiliar sentences. DISCUSSION: We argue
that the early congruity effect for proverbs comprises both a Phonological
Mismatch Negativity, reflecting the processing of the acoustic/phonological
mismatch between the expected (congruous) and unexpected (incongruous) sentence
completions and a typical N400 semantic congruity effect with an unusual short
latency because final words can be predicted from the unusually high contextual
constraints of familiar proverbs. These results are considered in the light of
current views of anticipation and prediction processes in sentence contexts.
PMID- 24930942
TI - Distinction between essential and physiological tremor using Hilbert-Huang
transform.
AB - Neurophysiological investigation, based on accelerometric (ACC) and
electromyographic (EMG) recordings, is an essential step in the diagnosis of
tremor. Among various signal analysis methods, fast Fourier transform (FFT) is
the most frequently used in this domain. However, FFT has several limitations:
first, it assumes that tremor is a periodic and linear signal, which is not true;
second, it cannot distinguish between different types of tremor, when their
frequency overlap in similar range, such as essential tremor (ET) and
physiological tremor (PT). Therefore, we decided to apply a non-linear method of
signal analysis based on empirical mode decomposition (EMD) and Hilbert Huang
transform (HHT), according to various procedures and compared to a more classical
FFT approach. A first group of 8 healthy subjects with PT and a second group of 8
patients with ET were included in this study. At individual level, FFT was
effective to highlight ET in the 8 patients, but PT in only 2 subjects. The EMD
HHT procedures performed better than FFT, revealing a common peak of PT in all
subjects. Moreover, at group level, our EMD-HHT method allowed to clearly
differentiate the two groups, especially by giving evidence for the existence of
low frequency oscillations (around 4Hz) in subjects with PT. Although their
physiological origin remains largely unknown, such slow oscillations seem to be
of great importance to highlight PT and they have been much underestimated in the
literature. Our original EMD-HHT approach is able to provide substantial
improvement in the neurophysiological characterisation of the different types of
tremor, especially for diagnostic application.
PMID- 24930943
TI - Motor excitability measurements: the influence of gender, body mass index, age
and temperature in healthy controls.
AB - STUDY AIMS: The technique of threshold tracking to test axonal excitability gives
information about nodal and internodal ion channel function. We aimed to
investigate variability of the motor excitability measurements in healthy
controls, taking into account age, gender, body mass index (BMI) and small
changes in skin temperature. MATERIALS AND METHODS: We examined the left median
nerve of 47 healthy controls using the automated threshold-tacking program,
QTRAC. Statistical multiple regression analysis was applied to test relationship
between nerve excitability measurements and subject variables. RESULTS:
Comparisons between genders did not find any significant difference (P>0.2 for
all comparisons). Multiple regression analysis showed that motor amplitude
decreases with age and temperature, stimulus-response slope decreases with age
and BMI, and that accommodation half-time decrease with age and temperature.
CONCLUSION: The changes related to demographic features on TRONDE protocol
parameters are small and less important than in conventional nerve conduction
studies. Nonetheless, our results underscore the relevance of careful temperature
control, and indicate that interpretation of stimulus-response slope and
accommodation half-time should take into account age and BMI. In contrast, gender
is not of major relevance to axonal threshold findings in motor nerves.
PMID- 24930944
TI - An easily applicable alternative method for warming cold limbs in nerve
conduction studies.
AB - OBJECTIVE: Warming cold limbs by hot water immersion prior to nerve conduction
studies may be cumbersome in certain patients. The aim of the present study is to
test whether application of hot packs would be as efficient as hot water
immersion. METHODS: Cold limbs of 10 healthy volunteers were warmed: in half of
subjects by hot packs and, after cooling down, by hot water immersion; vice versa
in the other half. Motor and sensory nerve conduction studies of upper and lower
extremities were performed before and after warming with two different methods.
RESULTS: There are no relevant differences in temperatures or nerve conduction
velocities after warming with either hot packs or water. CONCLUSION: Hot packs
are as effective as hot water immersion for warming cold limbs prior to
electrodiagnostic testing. Since hot packs are safer, cleaner, and easier to use,
we prefer warming by hot packs instead of hot water immersion before performing
nerve conduction studies.
PMID- 24930945
TI - Biomechanical mechanisms and centre of pressure trajectory during planned gait
termination.
AB - AIM: Although gait initiation has been extensively studied, gait termination has
received less attention. In particular, the trajectory of the centre of pressure
(CoP) during gait termination, as well as the trajectory's determinants, has not
yet been described. The purpose of the present study was to characterize the
kinetic components of planned gait termination (including the CoP trajectory)
with respect to the various gait events and centre of mass speed and trajectory.
METHODS: Thirty healthy subjects were asked to walk along a test track and stop
on a force platform while an optoelectronic system recorded temporal and spatial
parameters. A total of 90 trials were analysed. RESULTS: Subjects needed two
steps to stop on the force platform. The CoP trajectory during gait termination
was composed of three phases. During the first phase, the CoP moved forward under
the stance foot, which was in contact with the ground. The ground reaction forces
exerted a sagittal braking action. The second phase showed a lateral CoP shift
and was correlated with braking; this may correspond to anticipatory postural
adjustments for gait termination. The third and last phase might correspond to
compensatory adjustments before the stance phase. CONCLUSIONS: CoP trajectory is
more complex during gait termination than during gait initiation. Gait
termination comprises several specific sequences in the gait-stance transition. A
better understanding of the kinetic parameters in gait termination should enable
us to identify which kinetic parameters could be considered as risk factors for
falls.
PMID- 24930946
TI - Should the concept of MCI be revised in order to improve detection of dementia?
PMID- 24930947
TI - Monochromatic visible light "photoinitibitor": Janus-faced initiation and
inhibition for storage of colored 3D images.
AB - Controlling the kinetics and gelation of photopolymerization is a significant
challenge in the fabrication of complex three-dimensional (3D) objects as is
critical in numerous imaging, lithography, and additive manufacturing techniques.
We propose a novel, visible light sensitive "photoinitibitor" which
simultaneously generates two distinct radicals, each with their own unique
purpose-one radical each for initiation and inhibition. The Janus-faced functions
of this photoinitibitor delay gelation and dramatically amplify the gelation time
difference between the constructive and destructive interference regions of the
exposed holographic pattern. This approach enhances the photopolymerization
induced phase separation of liquid crystal/acrylate resins and the formation of
fine holographic polymer dispersed liquid crystal (HPDLC) gratings. Moreover, we
construct colored 3D holographic images that are visually recognizable to the
naked eye under white light.
PMID- 24930949
TI - Validation of the GreenLightTM Simulator and development of a training curriculum
for photoselective vaporisation of the prostate.
AB - OBJECTIVES: To assess face, content and construct validity, and feasibility and
acceptability of the GreenLightTM Simulator as a training tool for photoselective
vaporisation of the prostate (PVP), and to establish learning curves and develop
an evidence-based training curriculum. SUBJECTS AND METHODS: This prospective,
observational and comparative study, recruited novice (25 participants),
intermediate (14) and expert-level urologists (seven) from the UK and Europe at
the 28th European Association of Urological Surgeons Annual Meeting 2013. A group
of novices (12 participants) performed 10 sessions of subtask training modules
followed by a long operative case, whereas a second group (13) performed five
sessions of a given case module. Intermediate and expert groups performed all
training modules once, followed by one operative case. The outcome measures for
learning curves and construct validity were time to task, coagulation time,
vaporisation time, average sweep speed, average laser distance, blood loss,
operative errors, and instrument cost. Face and content validity, feasibility and
acceptability were addressed through a quantitative survey. RESULTS: Construct
validity was demonstrated in two of five training modules (P = 0.038; P = 0.018)
and in a considerable number of case metrics (P = 0.034). Learning curves were
seen in all five training modules (P < 0.001) and significant reduction in case
operative time (P < 0.001) and error (P = 0.017) were seen. An evidence-based
training curriculum, to help trainees acquire transferable skills, was produced
using the results. CONCLUSION: This study has shown the GreenLight Simulator to
be a valid and useful training tool for PVP. It is hoped that by using the
training curriculum for the GreenLight Simulator, novice trainees can acquire
skills and knowledge to a predetermined level of proficiency.
PMID- 24930950
TI - Guideline vs. practice in procollagen-3-aminopeptide monitoring.
PMID- 24930948
TI - Differential affinities of MinD and MinE to anionic phospholipid influence Min
patterning dynamics in vitro.
AB - The E. coli Min system forms a cell-pole-to-cell-pole oscillator that positions
the divisome at mid-cell. The MinD ATPase binds the membrane and recruits the
cell division inhibitor MinC. MinE interacts with and releases MinD (and MinC)
from the membrane. The chase of MinD by MinE creates the in vivo oscillator that
maintains a low level of the division inhibitor at mid-cell. In vitro
reconstitution and visualization of Min proteins on a supported lipid bilayer has
provided significant advances in understanding Min patterns in vivo. Here we
studied the effects of flow, lipid composition, and salt concentration on Min
patterning. Flow and no-flow conditions both supported Min protein patterns with
somewhat different characteristics. Without flow, MinD and MinE formed spiraling
waves. MinD and, to a greater extent MinE, have stronger affinities for anionic
phospholipid. MinD-independent binding of MinE to anionic lipid resulted in
slower and narrower waves. MinE binding to the bilayer was also more susceptible
to changes in ionic strength than MinD. We find that modulating protein diffusion
with flow, or membrane binding affinities with changes in lipid composition or
salt concentration, can differentially affect the retention time of MinD and
MinE, leading to spatiotemporal changes in Min patterning.
PMID- 24930951
TI - Change in cannabis use in the general population: a longitudinal study on the
impact on psychotic experiences.
AB - OBJECTIVE: To prospectively assess the relationship between cannabis use and
psychotic experiences over time. METHOD: In a longitudinal design, young adults
aged 18-27years (N=705) gave online information on cannabis use and completed the
Community Assessment of Psychic Experiences (CAPE). These measures were repeated
after an interval ranging from six months to five years. RESULTS: A decrease in
cannabis use was associated with a decrease in total psychotic experiences (beta=
0.096, p=0.01) after adjustment for a range of potential confounders. An increase
in cannabis use was associated with increased positive symptoms at follow-up
(beta=0.07, p=0.02), but was not significantly associated with increases in
Negative and Depression symptom scores, nor with the total number of psychotic
experiences. CONCLUSION: In the first study to the association of change in
cannabis use and psychotic experiences over time in the general population, we
found an association between changes in cannabis use and changes in the frequency
of psychotic experiences. While this does not prove a causal relationship between
cannabis use and psychosis, our findings are consistent with studies suggesting
that cessation of cannabis use may be beneficial in terms of reducing psychotic
experiences.
PMID- 24930952
TI - Mass spectrometry and redox proteomics: applications in disease.
AB - Proteomics techniques are continuously being developed to further understanding
of biology and disease. Many of the pathways that are relevant to disease
mechanisms rely on the identification of post-translational modifications (PTMs)
such as phosphorylation, acetylation, and glycosylation. Much attention has also
been focused on oxidative PTMs which include protein carbonyls, protein
nitration, and the incorporation of fatty acids and advanced glycation products
to amino acid side chains, amongst others. The introduction of these PTMs in the
cell can occur due to the attack of reactive oxygen and nitrogen species (ROS and
RNS, respectively) on proteins. ROS and RNS can be present as a result of normal
metabolic processes as well as external factors such as UV radiation, disease,
and environmental toxins. The imbalance of ROS and RNS with antioxidant cellular
defenses leads to a state of oxidative stress, which has been implicated in many
diseases. Redox proteomics techniques have been used to characterize oxidative
PTMs that result as a part of normal cell signaling processes as well as
oxidative stress conditions. This review highlights many of the redox proteomics
techniques which are currently available for several oxidative PTMs and brings to
the reader's attention the application of redox proteomics for understanding
disease pathogenesis in neurodegenerative disorders and others such as cancer,
kidney, and heart diseases.
PMID- 24930954
TI - Knockdown of miR-210 decreases hypoxic glioma stem cells stemness and
radioresistance.
AB - Glioma contains abundant hypoxic regions which provide niches to promote the
maintenance and expansion of glioma stem cells (GSCs), which are resistant to
conventional therapies and responsible for recurrence. Given the fact that miR
210 plays a vital role in cellular adaption to hypoxia and in stem cell survival
and stemness maintenance, strategies correcting the aberrantly expressed miR-210
might open up a new therapeutic avenue to hypoxia GSCs. In the present study, to
explore the possibility of miR-210 as an effective therapeutic target to hypoxic
GSCs, we employed a lentiviral-mediated anti-sense miR-210 gene transfer
technique to knockdown miR-210 expression and analyze phenotypic changes in
hypoxic U87s and SHG44s cells. We found that hypoxia led to an increased HIF
2alpha mRNA expression and miR-210 expression in GSCs. Knockdown of miR-210
decreased neurosphere formation capacity, stem cell marker expression and cell
viability, and induced differentiation and G0/G1 arrest in hypoxic GSCs by
partially rescued Myc antagonist (MNT) protein expression. Knockdown of MNT could
reverse the gene expression changes and the growth inhibition resulting from
knockdown of miR-210 in hypoxic GSCs. Moreover, knockdown of miR-210 led to
increased apoptotic rate and Caspase-3/7 activity and decreased invasive
capacity, reactive oxygen species (ROS) and lactate production and
radioresistance in hypoxic GSCs. These findings suggest that miR-210 might be a
potential therapeutic target to eliminate GSCs located in hypoxic niches.
PMID- 24930955
TI - Persistence of nosocomial bacteria on 2 biocidal fabrics based on silver under
conditions of high relative humidity.
AB - BACKGROUND: The survival of pathogenic microorganism on fabrics in the health
care environment has a important role in nosocomial infections. The use of
biocidal fabrics and surfaces could reduce the prevalence of the microorganisms
in the hospital environment. METHODS: In this study, the persistence of
nosocomial bacteria on 2 fabrics containing biocidal fibers (BF) in the long term
following desiccation and subsequent storage was examined at 40% and 90% relative
humidity (RH). RESULTS: Very few strains survived more than 7 days at 40% RH on
fabrics containing 67% BF, and only strains of Acinetobacter baumanii and
Pseudomonas aeruginosa survived on fabric containing 100% BF. None of the strains
tested survived 14 days on the 2 fabrics, 67% or 100% BF, under these
environmental conditions. In contrast, at higher RH (~90%), most of the strains
tested showed prolonged survival on both fabrics, and all strains of Klebsiella
pneumoniae, Enterobacter aerogenes, and A baumannii survived for more than 14
days; however, in a Petri dish, most of the microorganisms tested showed a higher
survival even at 28 days. The gram-positive cocci and A baumannii were the most
persistent bacteria on the Petri dish. CONCLUSIONS: This study emphasizes the
effect of RH on the survival of nosocomial bacteria on 2 commercially available
fabrics containing biocide. Evidence of the clinical efficacy of these BF
containing fabrics is lacking.
PMID- 24930956
TI - Validation of nosocomial infection in neonatology: a new method for standardized
surveillance.
AB - BACKGROUND: Nosocomial infections (NIs) are a leading cause of mortality and
morbidity in premature infants. We present a new method for detecting and
confirming NIs in a neonatal intensive care unit. METHODS: Newborns with birth
weight < 1,500 g or gestational age (GA) < 33 weeks were included prospectively
over 2 years in a single-center tertiary neonatal intensive care unit. The
computerized physician order entry system (CPOE) generated alerts when
antibiotics were prescribed for at least 5 consecutive days and these cases were
reviewed by an expert group following international recommendations. RESULTS:
Four hundred sixty-one neonates were included, with a mean GA of 30 weeks (range,
26-32 weeks) and mean birth weight 1,270 g (range, 950-1600 g). The CPOE flagged
158 cases of potential NI, 85.1% of which were classified as true NI and 14.9% of
which were false positive. Incidence and device-associated nosocomial bloodstream
infection rates were 21.9% and 10.8 per 1,000 central venous catheter days,
respectively. GA <= 28 weeks (odds ratio, 2.18; 95% confidence interval, 1.2-4)
and > 7 central venous catheter days (odds ratio, 1.47; 95% confidence interval,
1.3-1.7) were independently associated with the risk of nosocomial bloodstream
infection. CONCLUSION: Combining CPOE and interdisciplinary review may improve
the accuracy of NI recording in a neonatal intensive care unit.
PMID- 24930957
TI - Effects of light quality on the accumulation of phytochemicals in vegetables
produced in controlled environments: a review.
AB - Phytochemicals in vegetables are important for human health, and their
biosynthesis, metabolism and accumulation are affected by environmental factors.
Light condition (light quality, light intensity and photoperiod) is one of the
most important environmental variables in regulating vegetable growth,
development and phytochemical accumulation, particularly for vegetables produced
in controlled environments. With the development of light-emitting diode (LED)
technology, the regulation of light environments has become increasingly feasible
for the provision of ideal light quality, intensity and photoperiod for protected
facilities. In this review, the effects of light quality regulation on
phytochemical accumulation in vegetables produced in controlled environments are
identified, highlighting the research progress and advantages of LED technology
as a light environment regulation tool for modifying phytochemical accumulation
in vegetables.
PMID- 24930958
TI - Three-dimensional thin film for lithium-ion batteries and supercapacitors.
AB - Three-dimensional heterogeneously nanostructured thin-film electrodes were
fabricated by using Ta2O5 nanotubes as a framework to support carbon-onion-coated
Fe2O3 nanoparticles along the surface of the nanotubes. Carbon onion layers
function as microelectrodes to separate the two different metal oxides and form a
nanoscale 3-D sandwich structure. In this way, space-charge layers were formed at
the phase boundaries, and it provides additional energy storage by charge
separation. These 3-D nanostructured thin films deliver both excellent Li-ion
battery properties (stabilized at 800 mAh cm(-3)) and supercapacitor (up to 18.2
mF cm(-2)) performance owing to the synergistic effects of the heterogeneous
structure. Thus, Li-ion batteries and supercapacitors are successfully assembled
into the same electrode, which is promising for next generation hybrid energy
storage and delivery devices.
PMID- 24930953
TI - Biochemical and cellular analysis of human variants of the DYT1 dystonia protein,
TorsinA/TOR1A.
AB - Early-onset dystonia is associated with the deletion of one of a pair of glutamic
acid residues (c.904_906delGAG/c.907_909delGAG; p.Glu302del/Glu303del; DeltaE
302/303) near the carboxyl-terminus of torsinA, a member of the AAA(+) protein
family that localizes to the endoplasmic reticulum lumen and nuclear envelope.
This deletion commonly underlies early-onset DYT1 dystonia. While the role of the
disease-causing mutation, torsinADeltaE, has been established through genetic
association studies, it is much less clear whether other rare human variants of
torsinA are pathogenic. Two missense variations have been described in single
patients: R288Q (c.863G>A; p.Arg288Gln; R288Q) identified in a patient with onset
of severe generalized dystonia and myoclonus since infancy and F205I (c.613T>A,
p.Phe205Ile; F205I) in a psychiatric patient with late-onset focal dystonia. In
this study, we have undertaken a series of analyses comparing the biochemical and
cellular effects of these rare variants to torsinADeltaE and wild-type (wt)
torsinA to reveal whether there are common dysfunctional features. The results
revealed that the variants, R288Q and F205I, are more similar in their properties
to torsinADeltaE protein than to torsinAwt. These findings provide functional
evidence for the potential pathogenic nature of these rare sequence variants in
the TOR1A gene, thus implicating these pathologies in the development of
dystonia.
PMID- 24930959
TI - Altered burst swimming in rainbow trout Oncorhynchus mykiss exposed to natural
and synthetic oestrogens.
AB - Juvenile rainbow trout Oncorhynchus mykiss were exposed to two concentrations
each of 17beta-oestradiol (E2; natural oestrogen hormone) or 17alpha-ethinyl
oestradiol (EE2; a potent synthetic oestrogen hormone) to evaluate their
potential effects on burst-swimming performance. In each of six successive burst
swimming assays, burst-swimming speed (Uburst ) was lower in fish exposed to 0.5
and 1 ug l(-1) E2 and EE2 for four days compared with control fish. A practice
swim (2 days prior to exposure initiation) in control fish elevated initial
Uburst values, but this training effect was not evident in the 1 ug l(-1) EE2
exposed fish. Several potential oestrogen-mediated mechanisms for Uburst
reductions were investigated, including effects on metabolic products,
osmoregulation and blood oxygen-carrying capacity. Prior to burst-swimming
trials, fish exposed to E2 and EE2 for 4 days had significantly reduced
erythrocyte numbers and lower plasma glucose concentrations. After six repeated
burst-swimming trials, plasma glucose, lactate and creatinine concentrations were
not significantly different among treatment groups; however, plasma Cl(-)
concentrations were significantly reduced in E2- and EE2-treated fish. In
summary, E2 and EE2 exposure altered oxygen-carrying capacity ([erythrocytes])
and an osmoregulatory-related variable ([Cl(-) ]), effects that may underlie
reductions in burst-swimming speed, which will have implications for fish
performance in the wild.
PMID- 24930960
TI - Objective assessment of endoscopy assisted adenoidectomy.
AB - OBJECTIVES: To objectively assess the effectiveness of endoscopy assisted
adenoidectomy utilizing adenoid tissue volume measurement and to set some
parameters for which patients are more legible to this procedure. METHODS: Forty
three patients for whom adenoidectomy was conventionally done using adenoid
curettes. Surgeon's satisfaction for adenoid removal after curettage and digital
palpation was reported. The volume of removed adenoidal tissue was measured. The
remaining adenoid tissue, if any, was removed transnasally guided by endoscope.
Residual adenoid volume was also was measured. The data was tabulated and
statistically analyzed. RESULTS: The volume of adenoid removed by curettage
ranged from 1 to 3.6 ml with a mean of 2.45 ml. The volume of residual adenoid
removed by endoscopy after curettage ranged from 0 to 2.9 ml (mean: 0.67 +/- 0.58
ml). The volume of residual adenoid after blind curettage was found to have
statistically significant relation to older age of patients, preoperative larger
adenoid by X-ray and Surgeon's dissatisfaction about the completeness of removal
after curettage. CONCLUSION: Conventional curettage adenoidectomy misses a
substantial volume of adenoid tissue. Endoscopy-assisted adenoidectomy is
significantly recommended in children age >10 years, dissatisfied surgeon after
curettage and palpation, and grade 3 adenoid enlargement on X-ray.
PMID- 24930961
TI - Effects of the venom of the spider Ornithoctonus hainana on neonatal rat
ventricular myocytes cellular and ionic electrophysiology.
AB - Cardiac ion channels are membrane-spanning proteins that allow the passive
movement of ions across the cell membrane along its electrochemical gradient,
which regulates the resting membrane potential as well as the shape and duration
of the cardiac action potential. Additionally, they have been recognized as
potential targets for the actions of neurotransmitters, hormones and drugs of
cardiac diseases. Spider venoms contain high abundant of toxins that target
diverse ion channels and have been considered as a potential resource of new
constituents with specific pharmacological properties. However, few peptides from
spider venoms were detected as cardiac channel antagonists. In order to explore
the effects of the venom of Ornithoctonus hainana on the action potential and
ionic currents of neonatal rat ventricular myocytes (NRVMs), whole cell patch
clamp technique was used to record action potential duration (APD), sodium
current (INa), L calcium current (ICaL), rapidly activating and inactivating
transient outward currents (Ito1), rapid (IKr) and slow (IKs) components of the
delayed rectifier currents and the inward rectifier currents (IK1). Our results
showed that 100 MUg/mL venom obviously prolonged APDs. Significantly, the venom
could inhibit INa and ICaL effectively, while no evident inhibitory effects on
cardiac K(+) channels (Ito1, Iks, Ikr and Ik1) were observed, suggesting that the
venom represented a multifaceted pharmacological profile. The effect of venom on
Na(+) and Ca(2+) currents of ventricular myocytes revealed that the hainan venom
as a rich resource of cardiac channel antagonists might be valuable tools for the
investigation of both channels and drug development.
PMID- 24930962
TI - Associations between prescription opioid use and sleep impairment among veterans
with chronic pain.
AB - OBJECTIVE: Chronic pain is associated with impairments in sleep; however, the
relationship between prescription opioid status and sleep is unclear. The primary
aim of this study was to examine differences in self-reported sleep quality
between groups of patients who varied based on chronic pain and prescription
opioid status. DESIGN: This is a cross-sectional study with retrospective review
of patient medical records. SETTING: The study was performed in a single VA
medical center located in the Pacific Northwest. SUBJECTS: Participants with
chronic pain and a current prescription for opioid medications (N=72), chronic
pain and no opioid prescription (N=104), or who did not report current chronic
pain or opioid prescription (N=91) were included. METHODS: All participants
completed self-report questionnaires assessing demographic characteristics, sleep
parameters, pain-related variables, and psychiatric symptoms. Data on
prescription opioid use were extracted from patients' medical records. RESULTS:
In unadjusted analyses, patients with chronic pain who were prescribed opioids
were more likely to have sleep apnea diagnoses in their medical record and
reported more impairment on sleep global score and across four sleep parameter
subscales (subjective sleep quality, sleep latency, sleep disturbance, and use of
sleeping medications). In linear regression analyses controlling for demographic
and clinical covariates, prescription opioid status was associated with sleep
latency, and opioid dose was significantly associated with sleep latency and
sleep global score. CONCLUSIONS: Prescription opioid status and dose were
associated with impairment in self-reported sleep. For patients with chronic
pain, consideration should be given to use of nonpharmacological interventions to
improve sleep.
PMID- 24930964
TI - Fascin plays a role in stress fiber organization and focal adhesion disassembly.
AB - Migrating cells nucleate focal adhesions (FAs) at the cell front and disassemble
them at the rear to allow cell translocation. FAs are made of a multiprotein
complex, the adhesome, which connects integrins to stress fibers made of mixed
polarity actin filaments [1-5]. Myosin II-driven contraction of stress fibers
generates tensile forces that promote adhesion growth [6-9]. However, tension
must be tightly controlled, because if released, FAs disassemble [3, 10-12].
Conversely, excess tension can cause abrupt cell detachment resulting in the loss
of a major part of the adhesion [9, 12]. Thus, both adhesion growth and
disassembly depend on tensile forces generated by stress fiber contraction, but
how this contractility is regulated remains unclear. Here, we show that the actin
bundling protein fascin crosslinks the actin filaments into parallel bundles at
the stress fibers' termini. Fascin prevents myosin II entry at this region and
inhibits its activity in vitro. In fascin-depleted cells, polymerization of actin
filaments at the stress fiber termini is slower, the actin cytoskeleton is
reorganized into thicker stress fibers with a higher number of myosin II
molecules, FAs are larger and less dynamic, and consequently, traction forces
that cells exert on their substrate are larger. We also show that fascin
dissociation from stress fibers is required to allow their severing by cofilin,
leading to efficient disassembly of FAs.
PMID- 24930963
TI - Activation of the APC/C ubiquitin ligase by enhanced E2 efficiency.
AB - The anaphase-promoting complex/cyclosome (APC/C) is a protein-ubiquitin ligase
(E3) that initiates the final events of mitosis by catalyzing the ubiquitination
and proteasomal destruction of securin, cyclins, and other substrates [1, 2].
Like other members of the RING family of E3s [3, 4], the APC/C catalyzes direct
ubiquitin transfer from an E2-ubiquitin conjugate (E2-Ub) to lysine residues on
the protein substrate. The APC/C is activated at specific cell-cycle stages by
association with an activator subunit, Cdc20 or Cdh1, which provides binding
sites for specific substrate sequence motifs, or degrons. Activator might also
stimulate catalytic activity [5, 6], but the underlying mechanisms are not known.
Here, we dissected activator function using an artificial fusion substrate in
which the N-terminal region of securin was linked to an APC/C core subunit. This
fusion substrate bound tightly to the APC/C and was ubiquitinated at a low rate
in the absence of activator. Ubiquitination of this substrate was stimulated by
activator, due primarily to a dramatic stimulation of E2 sensitivity (Km) and
catalytic rate (kcat), which together resulted in a 670-fold stimulation of
kcat/Km. Thus, activator is not simply a substrate adaptor, but also enhances
catalysis by promoting a more efficient interaction with the E2-Ub.
Interestingly, full E2 stimulation required activator interaction with degron
motifs on the substrate. We conclude that formation of a complete APC/C-activator
substrate complex leads to a major enhancement of E2 efficiency, providing an
unusual substrate-assisted catalytic mechanism that limits efficient ubiquitin
transfer to specific substrates.
PMID- 24930965
TI - Assembling the protein architecture of the budding yeast kinetochore-microtubule
attachment using FRET.
AB - BACKGROUND: The kinetochore is a multiprotein machine that couples chromosome
movement to microtubule (MT) polymerization and depolymerization. It uses
numerous copies of at least three MT-binding proteins to generate bidirectional
movement. The nanoscale organization of these proteins within the kinetochore
plays an important role in shaping the mechanisms that drive persistent,
bidirectional movement of the kinetochore. RESULTS: We used fluorescence
resonance energy transfer (FRET) between genetically encoded fluorescent proteins
fused to kinetochore subunits to reconstruct the nanoscale organization of the
budding yeast kinetochore. We performed >60 FRET and high-resolution
colocalization measurements involving the essential MT-binding kinetochore
components: Ndc80, Dam1, Spc105, and Stu2. These measurements reveal that
neighboring Ndc80 complexes within the kinetochore are narrowly distributed along
the length of the MT. Dam1 complex molecules are concentrated near the MT-binding
domains of Ndc80. Stu2 localizes in high abundance within a narrowly defined
territory within the kinetochore centered ~20 nm on the centromeric side of the
Dam1 complex. CONCLUSIONS: Our data show that the MT attachment site of the
budding yeast kinetochore is well organized. Ndc80, Dam1, and Stu2 are all
narrowly distributed about their average positions along the kinetochore-MT axis.
The relative organization of these components, their narrow distributions, and
their known MT-binding properties together elucidate how their combined actions
generate persistent, bidirectional kinetochore movement coupled to MT
polymerization and depolymerization.
PMID- 24930966
TI - Drosophila lipid droplets buffer the H2Av supply to protect early embryonic
development.
AB - Assembly of DNA into chromatin requires a delicate balancing act, as both dearth
and excess of histones severely disrupt chromatin function [1-3]. In particular,
cells need to carefully control histone stoichiometry: if different types of
histones are incorporated into chromatin in an imbalanced manner, it can lead to
altered gene expression, mitotic errors, and death [4-6]. Both the balance
between individual core histones and the balance between core histones and
histone variants are critical [5, 7]. Here, we find that in early Drosophila
embryos, histone balance in the nuclei is regulated by lipid droplets,
cytoplasmic fat-storage organelles [8]. Lipid droplets were previously known to
function in long-term histone storage: newly laid embryos contain large amounts
of excess histones generated during oogenesis [9], and the maternal supplies of
core histone H2A and the histone variant H2Av are anchored to lipid droplets via
the novel protein Jabba [3]. We find that in these embryos, synthesis of new H2A
and H2Av is imbalanced, and that newly produced H2Av can be recruited to lipid
droplets. When droplet sequestration is disrupted by mutating Jabba, embryos
display an elevated H2Av/H2A ratio in nuclei as well as mitotic defects, reduced
viability, and hypersensitivity to H2Av overexpression. We propose that in
Drosophila embryos, lipid droplets serve as a histone buffer, not only storing
maternal histones to support the early cell cycles but also transiently
sequestering H2Av produced in excess and thus ensuring proper histone balance in
the nucleus.
PMID- 24930967
TI - Enantioseparation of benzofurys and other novel psychoactive compounds by CE and
sulfobutylether beta-cyclodextrin as chiral selector added to the BGE.
AB - The illicit drug market of psychoactive substances for human abuse is
continuously expanding and developing. Besides already known substance classes
like cathinones, amphetamines or synthetic cannabinoids, further derivatives such
as benzofurys, thiophenes, and structural analogues of methylphenidate entered
the global market recently. As many of these new compounds contain a stereogenic
centre it is supposed that their isomers may differ in their pharmacological
effects as it is the case with amphetamines or several chiral active
pharmaceutical ingredients, for instance. In the course of this study, a method
for the chiral separation of a set of 16 recreational drugs by CE was developed.
The aim was to separate the analytes into their enantiomers at equal conditions
within short time. Sulfobutylether beta-cyclodextrin served as chiral selector in
an aqueous ammonium acetate solution containing ACN. For method optimization,
methedrone and ethylphenidate were used as model compounds to find the
appropriate concentration of chiral selector. Moreover, the influence of the pH
value on enantioseparation was tested. Fourteen or 16 mM sulfobutylether beta
cyclodextrin, 50 mM ammonium acetate solution (pH 4.5) with 10% ACN were found to
be optimal for enantioseparation of seven benzofurys, four cathinones, two
diphenidines, ethylphenidate, methiopropamine, and thiothinone. Most of them were
baseline resolved at migration times below 25 min.
PMID- 24930968
TI - Folded small molecule manipulation of islet amyloid polypeptide.
AB - Islet amyloid polypeptide (IAPP) is a hormone cosecreted with insulin by
pancreatic beta cells. Upon contact with lipid bilayers, it is stabilized into a
heterogeneous ensemble of structural states. These processes are associated with
gains of function, including catalysis of beta sheet-rich amyloid formation, cell
membrane penetration, loss of membrane integrity, and cytotoxicity. These
contribute to the dysfunction of beta cells, a central component in the pathology
and treatment of diabetes. To gain mechanistic insight into these phenomena, a
related series of substituted oligoquinolines were designed. These inhibitors are
unique in that they have the capacity to affect both solution- and phospholipid
bilayer-catalyzed IAPP self-assembly. Importantly, we show that this activity is
associated with the oligoquinoline's capacity to irreversibly adopt a noncovalent
fold. This suggests that compact foldamer scaffolds, such as oligoquinoline, are
an important paradigm for conformational manipulation of disordered protein
state.
PMID- 24930969
TI - Enzyme inhibition by hydroamination: design and mechanism of a hybrid carmaphycin
syringolin enone proteasome inhibitor.
AB - Hydroamination reactions involving the addition of an amine to an inactivated
alkene are entropically prohibited and require strong chemical catalysts. While
this synthetic process is efficient at generating substituted amines, there is no
equivalent in small molecule-mediated enzyme inhibition. We report an unusual
mechanism of proteasome inhibition that involves a hydroamination reaction of
alkene derivatives of the epoxyketone natural product carmaphycin. We show that
the carmaphycin enone first forms a hemiketal intermediate with the catalytic
Thr1 residue of the proteasome before cyclization by an unanticipated
intramolecular alkene hydroamination reaction, resulting in a stable six-membered
morpholine ring. The carmaphycin enone electrophile, which does not undergo a 1,4
Michael addition as previously observed with vinyl sulfone and alpha,beta
unsaturated amide-based inhibitors, is partially reversible and gives insight
into the design of proteasome inhibitors for cancer chemotherapy.
PMID- 24930970
TI - The glucose transporter Glut1 is selectively essential for CD4 T cell activation
and effector function.
AB - CD4 T cell activation leads to proliferation and differentiation into effector
(Teff) or regulatory (Treg) cells that mediate or control immunity. While each
subset prefers distinct glycolytic or oxidative metabolic programs in vitro,
requirements and mechanisms that control T cell glucose uptake and metabolism in
vivo are uncertain. Despite expression of multiple glucose transporters, Glut1
deficiency selectively impaired metabolism and function of thymocytes and Teff.
Resting T cells were normal until activated, when Glut1 deficiency prevented
increased glucose uptake and glycolysis, growth, proliferation, and decreased
Teff survival and differentiation. Importantly, Glut1 deficiency decreased Teff
expansion and the ability to induce inflammatory disease in vivo. Treg cells, in
contrast, were enriched in vivo and appeared functionally unaffected and able to
suppress Teff, irrespective of Glut1 expression. These data show a selective in
vivo requirement for Glut1 in metabolic reprogramming of CD4 T cell activation
and Teff expansion and survival.
PMID- 24930971
TI - Mitochondrial proteostasis in the control of aging and longevity.
AB - Mitochondria play a central role in the aging process. Studies in model organisms
have started to integrate mitochondrial effects on aging with the maintenance of
protein homeostasis. These findings center on the mitochondrial unfolded protein
response (UPR(mt)), which has been implicated in lifespan extension in worms,
flies, and mice, suggesting a conserved role in the long-term maintenance of
cellular homeostasis. Here, we review current knowledge of the UPR(mt) and
discuss its integration with cellular pathways known to regulate lifespan. We
highlight how insight into the UPR(mt) is revolutionizing our understanding of
mitochondrial lifespan extension and of the aging process.
PMID- 24930972
TI - Lipin-1 regulates autophagy clearance and intersects with statin drug effects in
skeletal muscle.
AB - LPIN1 encodes lipin-1, a phosphatidic acid phosphatase (PAP) enzyme that
catalyzes the dephosphorylation of phosphatidic acid to form diacylglycerol.
Homozygous LPIN1 gene mutations cause severe rhabdomyolysis, and heterozygous
LPIN1 missense mutations may promote statin-induced myopathy. We demonstrate that
lipin-1-related myopathy in the mouse is associated with a blockade in autophagic
flux and accumulation of aberrant mitochondria. Lipin-1 PAP activity is required
for maturation of autolysosomes, through its activation of the protein kinase D
(PKD)-Vps34 phosphatidylinositol 3-kinase signaling cascade. Statin treatment
also reduces PKD activation and autophagic flux, which are compounded by
diminished mammalian target of rapamycin (mTOR) abundance in lipin-1
haploinsufficent and -deficient muscle. Lipin-1 restoration in skeletal muscle
prevents myonecrosis and statin toxicity in vivo, and activated PKD rescues
autophagic flux in lipin-1-deficient cells. Our findings identify lipin-1 PAP
activity as a component of the macroautophagy pathway and define the basis for
lipin-1-related myopathies.
PMID- 24930974
TI - Outcomes after arterial endovascular procedures performed in patients with an
elevated international normalized ratio.
AB - BACKGROUND: Patients treated with anticoagulants frequently require urgent
vascular procedures and elevated prothrombin time/international normalized ratio
(INR) is traditionally thought to increase access site bleeding complications
after sheath removal. We aimed to determine the safety of percutaneous arterial
procedures on patients with a high INR in the era of modern ultrasound-guided
access and closure device use. METHODS: Patients undergoing arterial endovascular
procedures at a single institution between October 2010 and November 2012 were
reviewed (n = 1,333). We retrospectively analyzed all patients with an INR > 1.5.
Venous procedures, lysis checks, and cases with no documented INR within 24 hr
were excluded. Sixty-five patients with 91 punctures were identified. A
comparison group was then generated from the last 91 patients intervened on with
INR < 1.6. Demographics, intraoperative data, and postoperative complications
were compared. RESULTS: The demographics were similar. More Coumadin use and
higher INR were found in the study group (71/91 and 0/91, P = 0.001; 2.3 and 1.1
sec, P = 0.001, respectively), but there was more antiplatelet use in the control
group (68/91 and 51/91, P = 0.01). Intraoperatively, the sheath sizes, protamine
use, closure device use, ultrasound guidance, brachial access, and procedure
types were not statistically different. Sheath sizes ranged from 4 to 22F in the
study group and 4 to 20F in the control group. Paradoxically, heparin was
administered more frequently in the study group (64/91 and 50/91, P = 0.046).
Bleeding complications occurred more commonly in the study group (3/91 and 1/91,
P = 0.62), but this failed to reach significance and the overall complication
rate in both groups was low. CONCLUSIONS: Endovascular procedures may be
performed safely with a low risk of bleeding complications in patients with an
elevated INR. Ultrasound guidance and closure device use may allow these cases to
be performed safely, but a larger series may be needed to confirm this.
PMID- 24930973
TI - Adipocyte inflammation is essential for healthy adipose tissue expansion and
remodeling.
AB - Chronic inflammation constitutes an important link between obesity and its
pathophysiological sequelae. In contrast to the belief that inflammatory signals
exert a fundamentally negative impact on metabolism, we show that proinflammatory
signaling in the adipocyte is in fact required for proper adipose tissue
remodeling and expansion. Three mouse models with an adipose tissue-specific
reduction in proinflammatory potential were generated that display a reduced
capacity for adipogenesis in vivo, while the differentiation potential is
unaltered in vitro. Upon high-fat-diet exposure, the expansion of visceral
adipose tissue is prominently affected. This is associated with decreased
intestinal barrier function, increased hepatic steatosis, and metabolic
dysfunction. An impaired local proinflammatory response in the adipocyte leads to
increased ectopic lipid accumulation, glucose intolerance, and systemic
inflammation. Adipose tissue inflammation is therefore an adaptive response that
enables safe storage of excess nutrients and contributes to a visceral depot
barrier that effectively filters gut-derived endotoxin.
PMID- 24930975
TI - Influence of the hostile neck on restenosis after carotid stenting.
AB - BACKGROUND: Carotid artery stenting (CAS) for carotid stenosis is favored over
carotid endarterectomy (CEA) in patients with a hostile neck from prior CEA or
cervical irradiation (XRT). However, the restenosis rate after CAS in patients
with hostile necks is variable in the literature. The objective of this study was
to quantify differences in the in-stent restenosis (ISR)/occlusion and
reintervention rates after CAS in patients with and without a hostile neck. Here
we hypothesize that patients with hostile necks have an increased ISR, and that
this increase may add morbidity to these patients. MATERIALS AND METHODS: All
patients undergoing CAS from 2007 to 2013 for carotid artery stenosis with follow
up imaging at our institution were queried from our carotid database (n = 236).
Patients with hostile necks, including both CAS after prior CEA (n = 65) and
prior XRT (n = 37), were compared with patients who underwent CAS for other
reasons including both anatomical (n = 46) and medical comorbidities (n = 88).
The primary end points were ISR, repeat intervention, and stent occlusion.
Secondary end points of the study were stroke/myocardial infarction (MI)/death at
30 days, perioperative cardiovascular accident, transient ischemic attack, MI,
groin access complications, hyperperfusion syndrome, and periprocedural
hypotension or bradycardia. RESULTS: Despite the hostile neck cohort being
younger and having lower incidence of chronic obstructive pulmonary disease,
coronary artery disease, and renal insufficiency, they had a greater incidence of
ISR (11% vs. 4%; P = .03) and required more reinterventions (8% vs. 2%; P = .04).
Stent occlusion and periprocedural morbidity/mortality were not different between
groups. CONCLUSIONS: Patients with hostile necks have increased risk of
restenosis and need for reinterventions after CAS compared with patients without
a hostile neck. However, they do not appear to have higher rates of stent
occlusion or per-procedural events.
PMID- 24930976
TI - Case report: a new hybrid surgical approach for treating mosaic pattern secondary
lymphedema in the lower extremities.
AB - Two of the most common surgical lymph reconstructive interventions for the
treatment of secondary lymphedema today are lymphaticovenous anastomosis (LVA)
and lymph node transplant. However, neither of these approaches has proven enough
evidence to be considered as an effective treatment measure. In this case report,
we will introduce a "hybrid method" where combinations of these two conventional
methods are used in treating a 52-year-old female patient who displays a mosaic
pattern of aggravating secondary lymphedema. Preoperative indocyanine green
lymphography assessment was used to identify the different stages of lymphedema
within the symptomatic limbs. The application of the hybrid method has resulted
in a faster improvement in limb circumference and tissue tenderness compared with
when only LVA is performed and has resulted in successful recovery from
lymphedema in our case. The hybrid method allows surgeons to select the most
appropriate surgical approach for each region displaying a different severity
staging of lymphedema, establishing a new order-made remedy for chronic secondary
lymphedema patients.
PMID- 24930977
TI - Pancreaticoduodenal artery aneurysms secondary to median arcuate ligament
syndrome may not need celiac artery revascularization or ligament release.
AB - INTRODUCTION: Median arcuate ligament syndrome (MALS) is a rare disorder defined
by compression and narrowing of the celiac artery by the median arcuate ligament.
The increased blood flow through the pancreaticoduodenal arcade can lead to the
aneurysmal formation within the vessel. We report 3 cases of pancreaticoduodenal
arterial aneurysms (PDAAs) in patients with MALS whose aneurysms were occluded,
but celiac artery revascularization was not performed. METHODS: Case 1:
Asymptomatic 61-year-old female with no past medical history was referred to
vascular surgery for evaluation of a PDAA incidentally found on computed
tomography (CT) scan. The patient was taken for laparoscopic division of the
median arcuate ligament; however, the release was incomplete. This was followed
by endovascular coil embolization of the PDAA without celiac revascularization.
The patient tolerated the procedure well with no complications and the 1-year
follow-up shows no signs of aneurysm recurrence. Case 2: A 61-year-old male found
to have an incidental PDAA on CT scan. The patient was taken for coil
embolization without median arcuate ligament release. At the 1-year follow-up,
the patient continues to be asymptomatic with no recurrence. Case 3: A 56-year
old male presented with a ruptured PDAA. He was taken immediately for coil
embolization of the ruptured aneurysm. Postoperatively, the patient was
identified to have MALS on CT scan. Because of his asymptomatic history and
benign physical examination before the rupture, he was not taken for a ligament
release or celiac revascularization. He continues to be asymptomatic at his
follow-up. RESULTS: PDAAs secondary to MALS are very rare and most commonly
diagnosed at the time of rupture, which has a mortality rate that reaches
approximately 30%, making early identification and treatment necessary. Standard
treatment would include exclusion of the aneurysm followed by celiac
revascularization; however, these 3 cases identify an alternative approach to the
standard treatment. CONCLUSION: Celiac revascularization may not be necessary in
the asymptomatic patient with a PDAA who has close follow-up and serial imaging.
PMID- 24930978
TI - Mycotic popliteal aneurysm rupture secondary to Campylobacter fetus.
AB - BACKGROUND: Mycotic aneurysms of the popliteal artery are uncommon. Popliteal
aneurysms rarely rupture. The authors present the second reported case of
popliteal artery rupture as a result of Campylobacter fetus infection. This
report confirms the arterial destructive potential of C. fetus infection in a
peripheral artery. METHODS: An 85-year-old male who had previously undergone
endovascular abdominal aortic aneurysm repair in 2007 presented with positive
blood cultures for C. fetus. No endocarditis was detected. No periprosthetic
fluid to suggest aortic endograft infection was present. During hospitalization
for sepsis, he developed acute right knee pain and swelling. A 5.2-cm popliteal
aneurysm, with contained rupture, was found on ultrasound and confirmed by
computed tomography and angiography. Recommendations for treatment and a
literature review are provided. RESULTS: This patient was successfully managed
with total excision of the aneurysm via a posterior approach with reconstruction
through a medial approach using autologous saphenous vein bypass. Culture
directed antibiotic therapy (6 weeks of intravenous ertapenem) to eradicate the
pathogen completed the therapy. The patient is doing well at 18- month follow-up.
CONCLUSIONS: Mycotic popliteal aneurysm associated with C. fetus is a rare but
potentially fatal condition. Isolating C. fetus should alert the surgeon to the
peripheral arterial destructive potential of this pathogen, as manifested by
acute rupture in this patient. Traditional resection through a posterior approach
and revascularization through noncontaminated tissue with culture-directed
therapy are the treatments of choice.
PMID- 24930979
TI - Current results of a combined endovascular and open approach for the treatment of
aortoesophageal and aortobronchial fistulae.
AB - BACKGROUND: Aortoesophageal (AEF) and aortobronchial (ABF) fistulae are uncommon
but invariably fatal if left untreated. Mortality rates of open surgery remain
prohibitive. Thoracic endovascular aortic repair (TEVAR) was shown to be a valid
alternative to control bleeding in emergency, allowing a reduction of
perioperative mortality. However, it entails a significant risk of late sequelae,
namely endograft contamination and sepsis, related to the untreated esophageal
leak. The aim of this study is to present initial results of a combined "hybrid"
(endovascular and open) strategy to treat AEF and ABF. MATERIALS AND METHODS:
From 2006 to 2013, 8 patients (6 men, mean age 63 +/- 13 years) were operated at
our institution for primary and secondary AEF (7 patients) or ABF (1 patient) by
means of a combined approach: emergent endovascular exclusion of the aortic
rupture, followed by staged open surgical repair of the esophageal or bronchial
lesion with associated intercostal muscle flap interposition. Follow-up was
available in all patients (mean 34 +/- 26 months). RESULTS: TEVAR was
successfully performed in all cases in emergency because of active bleeding or
hemodynamic instability. Stabilization of hemodynamic parameters was obtained in
all patients. Open surgical stage was performed either directly after TEVAR (n =
1) or after a mean delay of 6.9 +/- 3.5 days (n = 7). No perioperative sepsis,
bleeding, or death was observed. At follow-up, 7 patients were alive (87.5%). One
patient died 1 year after the procedure because of sudden cardiocirculatory
arrest of unknown origin. Another patient experienced 2 hospitalizations, at 3
and 8 months after the procedure, for recurrent fever requiring prolonged
intravenous antibiotic therapy. No conversion or aortic bleeding was recorded
during follow-up. CONCLUSIONS: Immediate TEVAR followed by staged open repair of
the esophageal or bronchial defect with intercostal muscle flap interposition
appears to be a feasible treatment of AEF and ABF. Despite the fact that initial
results are encouraging, further data on wider cohorts with longer follow-up are
necessary to confirm the efficacy and durability of this strategy.
PMID- 24930980
TI - Technical and financial feasibility of an inferior vena cava filter retrieval
program at a level one trauma center.
AB - BACKGROUND: Considering new guidelines for retrievable inferior vena cava filters
(IVCFs), we examine our initial experience after establishing a comprehensive
filter removal program in our level 1 trauma center. We evaluated the technical
and financial feasibility of this program and barriers to IVCF retrieval,
including insurance status and costs, in trauma patients. METHODS: Trauma
patients receiving IVCFs from May 2011 to 2013 were consented and prospectively
enrolled in the study program. Retrieval rates were assessed for the years before
study initiation. Primary outcome was IVCF retrieval. Hospital financial data for
retrieval were examined and univariate analysis performed. Hospital cost-to
charge and payment-to-charge ratios were assessed. RESULTS: Before study
initiation from April 2009 to 2011, 66 IVCFs were placed in trauma patients with
only 2 retrievals in 2 years. During the study period, 247 trauma patients had
IVCF placement of which 111 (45%) were enrolled. The main reason for
nonenrollment was lack of referral by the implanting team. Retrieval was
attempted in 100 outpatients with success in 85 (85%). Patients enrolled in the
program were more likely to have their filters removed (73% vs. 18%; odds ratio,
12.6; 95% confidence interval, 6.6-24.3; P < 0.001). Mean time from placement to
attempt was 6.2 +/- 4.0 months (range, 0.5-31.8). Of the total attempts, 29% were
nonresource patients, 11% had Medicaid, and 60% had commercial insurance
including Medicare patients. Chances of successful retrieval were higher if
performed later during the study (P = 0.03). Successful retrieval was not related
to insurance status (P = not significant). The mean total hospital charges
related to retrieval were $4,493 (range, $2,510-$9,106). Successful retrieval
contributed to lower total charges (P < 0.01). Factors contributing to higher
total charges were retrieval attempt later in study period (P = 0.01) and
commercial insurance status (P = 0.04). CONCLUSIONS: The rate of IVCF placement
in trauma patients increased 4-fold over 4 years. The rate of IVCF retrieval
increased more than 14-fold during the same period after establishment of the
retrieval program. Elective outpatient retrieval of IVCFs in all eligible trauma
patients is financially feasible without loss to the health care system even in
regions with high rates of uninsured. A major barrier to successful filter
retrieval was lack of patient referral into the program by implanting physicians.
Hospital administration and physician outreach are important determinants of
successful IVCF retrieval in trauma patients.
PMID- 24930981
TI - The effects of moderate alcohol concentrations on driving and cognitive
performance during ascending and descending blood alcohol concentrations.
AB - OBJECTIVE: Alcohol has an adverse effect on driving performance; however, the
effects of moderate doses on different aspects of the driving task are
inconsistent and differ across the intoxication curve. This research aimed to
investigate driving and cognitive performance asymmetries (acute tolerance and
acute protracted error) accompanying the onset and recovery from moderate alcohol
consumption. METHODS: Sixty-one participants received a placebo, medium (target
blood alcohol concentration [BAC] 0.05 mg/ml) or high (target BAC 0.08 mg/ml)
dose of alcohol. Participants completed a simulated drive, cognitive tests and
subjective rating scales five times over a 3.5 h period. RESULTS: When ascending
and descending BACs (0.05 and 0.09 mg/ml) were compared participants' self
ratings of intoxication and willingness to drive showed acute tolerance. Acute
protracted errors were observed for response speed, maze learning errors, time
exceeding the speed limit and exaggerated steering responses to hazards.
CONCLUSIONS: Participants' estimates of their level of intoxication were poorly
related to their actual BAC levels (and hence degree of impairment), and various
aspects of driving and cognitive performance worsened during descending BACs.
This indicates that drivers are not good at judging their fitness to drive after
drinking only moderate amounts of alcohol and suggests an important focus for
public education regarding alcohol and driving.
PMID- 24930982
TI - Infection with an apathogenic fowl adenovirus serotype-1 strain (CELO) prevents
adenoviral gizzard erosion in broilers.
AB - Gizzard erosion in broilers due to an infection with virulent fowl adenovirus
serotype 1 (FAdV-1) is an emerging disease. Although experimental studies were
performed, a possible prevention strategy was not reported so far. The present
study was set up to determine (i) a possible influence of birds' age at time of
inoculation on the pathogenicity of a European FAdV-1 field strain (PA7127), (ii)
the virulence of a apathogenic FAdV-1 strain (CELO), and (iii) its capability to
protect SPF broilers from adenoviral gizzard erosion caused by the field virus.
Oral infection of birds with PA7127 at 1-, 10- and 21-days of life, resulted in
reduced weight gain compared to non-infected birds, with significance for birds
infected at day-old. Independent of the birds' age at time of inoculation,
clinical signs appearing approximately one week after challenge coincided with
gizzard lesions. Birds infected exclusively with CELO at the first day of life
did not show any clinical signs or pathological changes in the gizzard,
confirming the apathogenicity of this European FAdV-1. A similar result was
obtained for birds orally infected at the first day of life with CELO and
challenged three weeks later with the pathogenic PA7127 strain. Therefore,
complete protection of adenoviral gizzard erosion in broilers by vaccination of
day-old birds could be demonstrated for the first time, although virus excretion
was detected post challenge. Establishment of an amplification refractory
mutation system quantitative PCR (ARMS-qPCR) facilitated the identification of
the FAdV-1 strain and presence of challenges virus was confirmed in one sample.
PMID- 24930983
TI - Proof of principle: non-invasive sampling for early detection of foot-and-mouth
disease virus infection in wild boar using a rope-in-a-bait sampling technique.
AB - In this study we describe the use of a rope-in-a-bait sampling method ("pSWAB":
pathogen sampling wild animals with baits) for non-invasive saliva sampling aimed
at the detection of foot-and-mouth disease (FMD) viral genome in wild boar. The
pSWABs are produced in the form of a standardized product by embedding a 10 cm
long cotton rope in a cereal-based bait matrix. To assess the general suitability
of this novel sampling technique an animal experiment was conducted to detect FMD
viral genome in saliva of infected wild boar. Two juvenile animals were
inoculated in the bulb of the heel with a recent wild boar FMD virus isolate and
kept together with three noninoculated wild boar of the same age. Over a period
of 29 days, the animals were sampled by using five pSWABs per day in addition to
the collection of blood and conventional saliva swabs taken every three to four
days. Viral RNA in pSWABs was identified already 24 h after infection during the
incubation period and until 23 dpi. Comparison of the results of pSWAB sampling
with those of conventional saliva swabs or serum samples showed satisfactory
sensitivity. These experimental data demonstrate the suitability of non-invasive
sampling of wild boar by using pSWABs as a sensitive, cheap and feasible sample
collection technique independent of hunting activities. In addition, the use of
non-invasive sampling in an appropriate surveillance strategy is discussed.
PMID- 24930984
TI - Lymphatic fluid for the detection of Mycobacterium avium subsp. paratuberculosis
in cows by PCR, compared to fecal sampling and detection of antibodies in blood
and milk.
AB - Johne's disease (JD), caused by Mycobacterium avium subsp. paratuberculosis
(MAP), can cause considerable economic losses in affected herds. Early diagnosis
of JD is hampered by the chronic nature of the disease with a slow subclincal
progression. The aim of the present study was to challenge the hypothesis that
lymphatic fluid is of diagnostic value in the early stages of the disease.
Lymphatic fluid from 122 animals was collected and tested for MAP by nested PCR
for IS900 and compared to the results of testing for MAP in feces (culture),
blood and milk (ELISA) in 110 of these samples. MAP was detected by PCR in 27.1%
of the lymph samples. Agreement between the tests was poor: 6.9% of the lymph
positive cows were also positive in all other tests applied, and 69.0% had
negative results in fecal culture, blood and milk ELISA. Resampling of 25 cows
after 8 to 12 and 16 to 20 months revealed 20.0% lymph positive animals at the
first, 5.5% at the second and 27.8% at the third sampling, respectively. Only one
cow showed positive lymph-PCR results at more than one sampling date. Lymph
positive cows had a 7.2 times greater likelihood of being culled within 8 to 12
months after sampling, compared to negative cows, mainly due to other health
issues than JD. It can be concluded, that lymphatic fluid might be promising for
the detection of early MAP-infection in cows, but further studies to elucidate
the potential of this diagnostic approach are needed.
PMID- 24930985
TI - When 'just doing it' is not enough: assessing the fidelity of player performance
of an injury prevention exercise program.
AB - OBJECTIVES: To obtain benefits from sports injury prevention programs, players
are instructed to perform the exercises as prescribed. We developed an
observational checklist to measure the quality of exercise performance by players
participating in FootyFirst, a coach-led, exercise-based, lower-limb injury
prevention program in community Australian Football (AF). DESIGN: Observational.
METHODS: The essential performance criteria for each FootyFirst exercise were
described in terms of the technique, volume and intensity required to perform
each exercise. An observational checklist was developed to evaluate each
criterion through direct visual observation of players at training. The checklist
was trialled by two independent raters who observed the same 70 players
completing the exercises at eight clubs. Agreement between observers was assessed
by Kappa-statistics. Exercise fidelity was defined as the proportion of observed
players who performed all aspects of their exercises correctly. RESULTS: The
raters agreed on 61/70 observations (87%) (Kappa=0.72, 95% CI: 0.55; 0.89). Of
the observations with agreed ratings, 41 (67%) players were judged as performing
the exercises as prescribed. CONCLUSIONS: The observational checklist
demonstrated high inter-rater reliability. Many players observed did not perform
the exercises as prescribed, raising concern as to whether they would be
receiving anticipated program benefits. Where quality of exercise performance is
important, evaluation and reporting of program fidelity should include direct
observations of participants.
PMID- 24930986
TI - Antibiotic therapy with low doses of penicillin for the secondary prevention of
recurrent lower extremity cellulitis.
PMID- 24930987
TI - Calcium sensing receptor in pregnancies complicated by gestational diabetes
mellitus.
AB - INTRODUCTION: Infants born from mothers with Gestational diabetes mellitus (GDM)
experience several complications, including a higher rate of postnatal
hypocalcemia. In this study, we investigated the association between calcium
sensing receptor (CaSR) and neonatal hypocalcemia observed in GDM pregnancies.
METHODS: Our study consisted of 58 pregnant women with GDM and 40 healthy women
and their neonates. CaSR placental expression was evaluated with
immunohistochemistry and Western Blot. Three CaSR single nucleotide
polymorphisms, A986S, R990G, Q1011E, were evaluated in neonate's genomic DNA.
Serum Ca, P, Mg, 25(OH)D and PTH were measured in cord blood and at 2nd day of
life. RESULTS: GDM neonates had lower mean cord blood Ca levels than controls
(2.47 +/- 0.21 mmol/l vs 2.59 +/- 0.13 mmol/l, p = 0.001) while 15.5% developed
postnatal hypocalcemia. CaSR expression was lower in GDM than in healthy
placentas (p < 0.001). In the GDM group, reduced CaSR immunostaining in the
syncytiotrophoblast (p = 0.042) and extravillous cytotrophoblasts (p = 0.002) was
associated with lower Ca cord blood levels. Moreover, the absence of the S allele
of the A986S polymorphism was associated with lower serum Ca levels both at birth
(AA:2.41 +/- 0.23 mmol/l, AS + SS: 2.57 +/- 0.12 mmol/l, p = 0.002) and at 2nd
day of life (AA:2.05 +/- 0.22 mmol/l, AS + SS: 2.20 +/- 0.18 mmol/l, p = 0.019).
CONCLUSIONS: Our results showed that CaSR is under-expressed in GDM compared with
healthy placentas and this alteration may be associated with the lower Ca levels
measured in cord blood of GDM infants. Placental CaSR seems to exert a local
effect in fetal Ca homeostasis, which is dissociated from its contribution to the
regulation of Ca homeostasis in postnatal life.
PMID- 24930988
TI - Maternal prepregnancy obesity is associated with higher risk of placental
pathological lesions.
AB - INTRODUCTION: Prepregnancy obesity is associated with increased morbidity and
mortality for mother and offspring. The objective of our study is to estimate the
effect of maternal prepregnancy weight on placental pathological lesions..
METHODS: Data used for this study were from the U.S. Collaborative Perinatal
Project, a large prospective cohort study. It consisted of 54390 women giving a
singleton birth from 1959 to 1966. More than 84% of women had both detailed
placental pathological examinations and anthropometric measurements. Logistic
regression models were used to test the associations between maternal
prepregnancy body mass index (BMI) and placental pathological lesions adjusting
for potential confounders. Spline smoothing was applied to describe the relation
of prepregnancy BMI and placenta weight-to-birthweight ratio. RESULTS: The
prepregnancy obese women (BMI >= 30 kg/m(2)) showed a higher rate of maternal
origin vascular lesions, maternal origin villous lesions, fetal neutrophilic
infiltration, and meconium of fetal membrane compared with the normal-weight
women (18.5 <= BMI < 24.9). The odds ratios ranged from 1.18 to 1.97 after
adjusting for potential confounders. These higher odds were consistent in
prepregnancy obese women without obstetric complications. Furthermore, placenta
weight-to-birthweight ratio, the proxy for placenta insufficiency, was positively
associated with maternal prepregnancy BMI.. CONCLUSIONS: Our study provides
evidence that prepregnancy obesity exerts its adverse in-utero influence on
placental pathology. These influences may have impact on maternal and fetal
health. With obesity rising steadily, these results appear to raise serious
public health concerns of prepregnancy obesity.
PMID- 24930989
TI - The transcriptional activator NrpA is crucial for inducing nitrogen fixation in
Methanosarcina mazei Go1 under nitrogen-limited conditions.
AB - With the aim of unraveling their potential involvement in the regulation of
nitrogen metabolism in Methanosarcina mazei strain Go1, we characterized five
genes that are differentially transcribed in response to changing nitrogen
availability and encoding putative transcriptional regulators. Study of the
respective mutant strains under nitrogen-limited conditions revealed a growth
delay for M. mazei MM0444::pac and MM1708::pac, and strongly reduced diazotrophic
growth for MM0872::pac, whereas the absence of MM2441 or MM2525 did not affect
growth behaviour. Transcriptome analyses further demonstrated that only MM1708 -
encoding a CxxCG zinc finger protein - plays a regulatory role in nitrogen
metabolism, most likely by specifically enhancing transcription of the N2
fixation (nif) operon under nitrogen-limited conditions. In agreement with this,
a palindromic binding motif was predicted in silico in the nifH promoter region,
nine nucleotides upstream of the BRE box, and confirmed to bind purified maltose
binding protein-MM1708 by electromobility shift assays. As MM1708 itself is under
the control of the global nitrogen repressor NrpR, this adds a secondary level to
the transcriptional regulation of the nif genes, and is most likely crucial for
maximal nif induction under nitrogen-limited conditions. This is in accordance
with the finding that protein expression of NifH is highly reduced in the absence
of MM1708 under nitrogen-limited conditions. On the basis of our findings, we
hypothesize that, in M. mazei, nitrogen fixation is controlled by a hierarchical
network of two transcriptional regulators, the global nitrogen repressor NrpR,
and the newly identified activator NrpA (MM1708), thereby providing tight control
of N2 fixation.
PMID- 24930991
TI - Is the S405F mutation in Candida albicans ERG11 gene sufficient to confer
resistance to fluconazole?
PMID- 24930990
TI - Anticandidal activity of silver nanoparticles synthesized using Streptomyces
sp.VITPK1.
AB - OBJECTIVES: The aim of the present study was to evaluate the anticandidal
activity of biologically synthesized silver nanoparticles using the culture
filtrate of Streptomyces sp.VITPK1. MATERIALS AND METHODS: Silver nanoparticles
were synthesized using the culture filtrate of Streptomyces species isolated from
brine spring located at Thoubal District, Manipur, India. The isolate was
identified by molecular taxonomic characterization and designated as Streptomyces
sp.VITPK1. The synthesized silver nanoparticles (AgNPs) were characterized by UV
visible spectra, X-ray diffraction (XRD) patterns, Energy Dispersive Analysis of
X-rays (EDAX) and Fourier Transform Infrared (FTIR) analysis. The antifungal
activity of the synthesized silver nanoparticles was evaluated against selected
Candida species. RESULTS: The synthesized AgNPs showed a surface plasmon
resonance peak at 425 nm. XRD patterns showed the crystalline peaks at 38.15
degrees (111), 44.35 degrees (200), 64.52 degrees (220) and 77.49 degrees
(311) matching with the diffraction facets of silver. The size of the AgNPs was
in the range of 20-45 nm. The EDAX analysis revealed the presence of silver as
the major metal in the sample. The synthesized AgNPs showed anticandidal activity
against Candida albicans, Candida tropicalis and Candida krusei with a maximum
zone of inhibition of 20mm against C. albicans. CONCLUSIONS: The results of this
study suggest that the green synthesis of silver nanoparticles using Streptomyces
sp.VITPK1 have the ability to act against pathogenic Candida strains.
PMID- 24930992
TI - A national survey of spinal cord stimulation trial-to-permanent conversion rates.
AB - OBJECTIVES: Conversion rates from trial leads to permanent spinal cord
stimulation (SCS) systems have recently come under scrutiny. Our goal was to
examine the rate of conversion from trial lead to permanent system placement as
well as identify factors associated with successful SCS conversion. MATERIALS AND
METHODS: We designed a large retrospective analysis using the Thomson Reuters
MarketScan database. We included all patients who underwent a percutaneous trial
of neurostimulatory electrodes from the years 2000 to 2009 who were aged 18 and
older. Patients were then tracked to see if they went on to receive a permanent
SCS system. Patients were also analyzed in univariate and multivariate models to
identify factors associated with successful conversion. RESULTS: A total of
21,672 unique instances of percutaneous trials were identified. Overall, 41.4% of
those receiving trials went on to have a permanent SCS system installed within
the subsequent three months. Factors associated with increased likelihood of
successful conversion included having commercial insurance (43% vs. 37%, p <
0.0001), younger age (43% for those aged 35-44 vs. 39% for those aged 65 and
older, p < 0.0001), and never having had a previous percutaneous trial attempt
(44% for first-time trials vs. 27% for those on their second trial vs. 14% for
those on their third or later trial, p < 0.0001). In multivariate analysis, we
found significant variation in conversion rate by geographic area (patients in
the North Central region vs. Northeast region: odds ratio 1.48, 95% confidence
interval [1.31, 1.66]; p < 0.0001). CONCLUSIONS: In this study of a national
cohort of patients, we identified specific factors associated with higher
conversion rates, along with significant geographical variation. In general,
there is a need for better patient selection by physicians who practice
neuromodulation.
PMID- 24930993
TI - Testosterone therapy, thrombosis, thrombophilia, cardiovascular events.
AB - There are similar time intervals between starting testosterone therapy (TT) and
development of thrombotic (~4.5 months) or cardiovascular (CVD) events (~3
months) which may, speculatively, reflect a shared pathophysiology. We have
described thrombotic events 5 months (median) after starting TT in 38 men and 4
women, including 27 with deep venous thrombosis-pulmonary embolism, 12 with
osteonecrosis, 1 with central retinal vein thrombosis, 1 with amaurosis fugax,
and 1 with spinal cord infarction. In 8 men whose TT was continued, second
thrombotic events occurred despite adequate anticoagulation with Coumadin in 8
men, 3 of whom had a third thrombotic event. Of these 42 cases, 40 had measures
of thrombophilia-hypofibrinolysis, and 39 were found to have previously
undiagnosed thrombophilia-hypofibrinolysis. Before beginning TT, especially in
men with previous history of thrombotic events, we suggest that, at a minimum,
measurements be made for the Factor V Leiden and Prothrombin mutations, Factors
VIII and XI, and homocysteine, to identify men who should not receive TT. We need
prospective data focused on whether there should be pre-TT screening based on
history of previous venous thromboembolism or for all subjects for major gene
thrombophilias. To better resolve questions about TT and all cause and
cardiovascular morbidity and mortality and thrombosis, a long term, prospective,
randomized, blinded study following the example of the Women's Health Initiative
is needed. While we wait for prospective placebo-controlled TT outcome data, TT
should be restricted to men with well-defined androgen deficiency syndromes.
PMID- 24930994
TI - JAZF1 regulates visfatin expression in adipocytes via PPARalpha and
PPARbeta/delta signaling.
AB - OBJECTIVE: Current whole genome-wide association study has identified the
association of JAZF1 with type 2 diabetes; its close relation with glucose and
lipid metabolism has also been revealed. However, to date, JAZF1 remains a
relatively new gene with unknown function. MATERIALS/METHODS: We constructed
JAZF1 overexpression vector and synthesized JAZF1 siRNA, then transfected them
into 3T3-L1 adipocytes, investigated the relationship between the regulations of
JAZF1, visfatin, and other adipokines, researched the specific function of JAZF1
in glucose and lipid metabolism. RESULTS: This study found that the expression of
JAZF1 was gradually but significantly upregulated during the induced
differentiation of 3T3-L1 preadipocytes, and that the trend of its expression was
consistent with that of visfatin. Further studies indicated that JAZF1 promoted
the expressions of visfatin, PPARalpha, and PPARbeta/delta in adipocytes but
simultaneously inhibited the expressions of TAK1 and PPARgamma. Luciferase
reporter assay revealed that JAZF1 activated the transcription of visfatin, but
ChIP assay results indicated that JAZF1 did not directly bind to visfatin PPRE.
Our results also showed that the JAZF1 overexpression-increased visfatin
expression was abolished by the addition of PPARalpha antagonist GW 6471 and
PPARbeta/delta antagonist GSK 3787 respectively. And these results were further
confirmed by the experiment with PPARalpha and PPARbeta/delta siRNAs. Meanwhile,
we also found that JAZF1 inhibited the lipid accumulation during the
differentiation of 3T3-L1 into mature adipocyte. CONCLUSIONS: Our results
indicate that JAZF1 might firstly upregulated the expression of PPARalpha and
PPARbeta/delta, which in turn activated the transcription of visfatin. JAZF1
plays an important role in lipid metabolism and may thus provide a potential tool
for the treatment of obesity and lipid metabolism disorders among other diseases.
PMID- 24930995
TI - Effect of propiverine hydrochloride on stress urinary incontinence.
AB - OBJECTIVES: To investigate whether the anticholinergic agent, propiverine
hydrochloride, is clinically effective for stress urinary incontinence. METHODS:
The participants were adult female patients with the chief complaint of stress
incontinence. Propiverine (20 mg once daily) was given for 8 weeks. If the
response was inadequate after 4 weeks of treatment, the dose was increased to 40
mg/day. Before and after 4 and 8 weeks of treatment, lower urinary tract symptoms
were assessed. The urethral pressure and blood catecholamine levels were also
measured. RESULTS: A total of 37 patients (mean age 69 +/- 11 years) were
enrolled, including 15 patients with stress incontinence and 22 with mixed
incontinence. The number of episodes of stress incontinence decreased
significantly from 2.6 +/- 2.3 times per day to 1.3 +/- 2.2 times per day after 4
weeks, and 0.4 +/- 0.6 times per day after 8 weeks. The daytime and night-time
frequency of urination, and quality of life score showed significant improvement.
The maximum urethral closing pressure and the functional urethral length
increased significantly after treatment, but blood catecholamine levels, blood
pressure and pulse rate at 8 weeks were not significantly different from those at
baseline. CONCLUSIONS: Propiverine could be an effective drug for stress urinary
incontinence by increasing urethral closing pressure without increasing blood
catecholamine levels.
PMID- 24930997
TI - Fine-mapping of IgE-associated loci 1q23, 5q31, and 12q13 using 1000 Genomes
Project data.
AB - BACKGROUND: Genome-wide association studies (GWAS) repeatedly identified 1q23
(FCER1A), 5q31 (RAD50-IL13 and IL4), and 12q13 (STAT6) as major susceptibility
loci influencing the regulation of total serum IgE levels. As GWAS may be
insufficient to capture causal variants, we performed fine-mapping and re
genotyping of the three loci using 1000 Genomes Project datasets. METHODS:
Linkage disequilibrium tagging polymorphisms and polymorphisms of putative
functional relevance were genotyped by chip technology (24 polymorphisms) or
MALDI-TOF-MS (40 polymorphisms) in at least 1303 German children (651
asthmatics). The effect of polymorphisms on total serum IgE, IgE percentiles, and
atopic diseases was assessed, and a risk score model was applied for gene-by-gene
interaction analyses. Functional effects of putative causal variants from these
three loci were studied in silico. RESULTS: Associations from GWAS were confirmed
and extended. For 1q23 and 5q31, the majority of associations were found with
mild to moderately elevated IgE levels, while in the 12q13 locus, single
nucleotide polymorphisms (SNPs) were associated with strongly elevated IgE
levels. Gene-by-gene interaction analyses suggested that the presence of
mutations in all three loci increases the risk for elevated IgE up to fourfold.
CONCLUSION: This fine-mapping study confirmed previous associations and
identified novel associations of SNPs in 1q23, 5q31, and 12q13 with different
levels of serum IgE and their concomitant contribution to IgE regulation.
PMID- 24930998
TI - Melt crystallization/dewetting of ultrathin PEO films via carbon dioxide
annealing: the effects of polymer adsorbed layers.
AB - The effects of CO2 annealing on the melting and subsequent melt crystallization
processes of spin-cast poly(ethylene oxide) (PEO) ultrathin films (20-100 nm in
thickness) prepared on Si substrates were investigated. By using in situ neutron
reflectivity, we found that all the PEO thin films show melting at a pressure as
low as P = 2.9 MPa and at T = 48 degrees C which is below the bulk melting
temperature (Tm). The films were then subjected to quick depressurization to
atmospheric pressure, resulting in the non-equilibrium swollen state, and the
melt crystallization (and/or dewetting) process was carried out in air via
subsequent annealing at given temperatures below Tm. Detailed structural
characterization using grazing incidence X-ray diffraction, atomic force
microscopy, and polarized optical microscopy revealed two unique aspects of the
CO2-treated PEO films: (i) a flat-on lamellar orientation, where the molecular
chains stand normal to the film surface, is formed within the entire film
regardless of the original film thickness and the annealing temperature; and (ii)
the dewetting kinetics for the 20 nm thick film is much slower than that for the
thicker films. The key to these phenomena is the formation of irreversibly
adsorbed layers on the substrates during the CO2 annealing: the limited
plasticization effect of CO2 at the polymer-substrate interface promotes polymer
adsorption rather than melting. Here we explain the mechanisms of the melt
crystallization and dewetting processes where the adsorbed layers play vital
roles.
PMID- 24930996
TI - Gait changes with balance-based torso-weighting in people with multiple
sclerosis.
AB - BACKGROUND AND PURPOSE: People with multiple sclerosis (PwMS) commonly have
mobility impairments that may lead to falls and limitations in activities.
Physiotherapy interventions that might improve mobility typically take several
weeks. Balance-based torso-weighting (BBTW), a system of strategically placing
light weights to improve response to balance perturbations, has resulted in
immediate small improvements in clinical measures in PwMS, but changes in
spatiotemporal gait parameters are unknown. The purpose was to investigate the
effects of BBTW on gait parameters in PwMS and healthy controls. METHODS DESIGN:
This study is a non-randomized controlled experiment. PARTICIPANTS: This study
included 20 PwMS and 20 matched healthy controls PROCEDURES: People with multiple
sclerosis walked on an instrumented mat at their fastest speed for three trials
each in two conditions: without BBTW then with BBTW. Healthy controls walked in
both conditions at two speeds: their fastest speed and at velocities equivalent
to their matched PwMS. RESULTS: Averaged gait trials showed that, with BBTW, PwMS
had significantly increased velocity (p = 0.002), cadence (p = 0.007) and time
spent in single-limb support (p = 0.014), with decreased time in double-limb
support (p = 0.004). Healthy controls increased velocity (p = 0.012) and cadence
(p = 0.015) and decreased support base (p = 0.014) in fast trials with BBTW; at
matched velocities, step length (p = 0.028) and support base (p = 0.006) were
significantly different from PwMS. All gait variables in healthy controls at fast
speeds were significantly different from PwMS walking at their fastest speeds.
DISCUSSION: All participants showed increases in gait velocity and cadence during
fast walk with BBTW. Improvements in time spent in single-limb and double-limb
support by PwMS with BBTW may reflect greater stability in gait. Future research
might ascertain if these immediate improvements could enhance effectiveness of
longer-term physiotherapy on functional mobility in PwMS.
PMID- 24930999
TI - Evaluating pharmacist prescribing for minor ailments.
AB - OBJECTIVES: Saskatchewan is the second Canadian province to allow pharmacists to
prescribe medications for minor ailments and the only province that remunerates
for this activity. The aim of this project was to determine whether patients
prescribed such treatment by a pharmacist symptomatically improve within a set
time frame. METHODS: Pharmacists were asked to hand a study-invitation card to
anyone for whom they prescribed a medication for a minor ailment during the 1
year study period. Consenting participants contacted the study researchers
directly and were subsequently instructed to complete an online questionnaire at
the appropriate follow-up time. KEY FINDINGS: Ninety pharmacies in Saskatchewan
participated, accruing 125 participants. Cold sores were the most common minor
ailment (34.4%), followed by insect bites (20%) and seasonal allergies (19.2%).
Trust in pharmacists and convenience were the most common reasons for choosing a
pharmacist over a physician, and 27.2% would have chosen a physician or emergency
department if the minor ailment service were not available. The condition
significantly/completely improved in 80.8%; only 4% experienced bothersome side
effects. Satisfaction with the pharmacist and service was strong; only 5.6% felt
a physician would have been more thorough. CONCLUSIONS: Participants were very
satisfied with their symptomatic improvement and with the service in general,
albeit for a small number of conditions. Participants reported getting better,
and side effects were not a concern. These results are encouraging for
pharmacists; however, a comparison of physician care with pharmacist care and
unsupported self-care is required to truly know the benefit of pharmacist
prescribing.
PMID- 24931000
TI - In vitro evaluation of combination of polyenes with EDTA against Aspergillus spp.
by different methods (FICI and CI Model).
AB - AIMS: We investigated in vitro activity of polyene drugs (amphotericin B, Amp B;
and nystatin, NYS;) in combination with ethylene diamine tetra acetic acid (EDTA)
against 8 pathogenic isolates of Aspergillus spp. METHODS AND RESULTS: The
intensity of the drug interactions was assessed using Fractional Inhibitory
Concentration Index (FICI) model and Combination Index (CI) model. The
interactions were further confirmed by time-kill curve study. The best
combination ratio was subjected for toxicity analysis by MTT and haemolytic
assay. A significant synergy was found between each of two polyenes with EDTA
against Aspergillus fumigatus and Aspergillus flavus at one ratio four. The FICI
ranged from 0.29 to 0.38 against A. fumigatus and A. flavus. The FICI value
ranged from 0.78 to 1.26 showed no interaction against Aspergillus niger. The CI
value (0.21-0.30) and time-kill curve analysis also confirmed synergistic
interaction. Antagonism was not detected. There was reduction in toxicity of Amp
B and NYS when used with EDTA. CONCLUSIONS: Subsequently, the chelating agent
(EDTA) can be used in combination with Amp B and NYS with increased antifungal
potential and reduced toxicity. SIGNIFICANCE AND IMPACT OF THE STUDY: To
emphasize new development in antifungal drug discovery, combination therapy can
be used as a tool box to expand drug development.
PMID- 24931001
TI - Cardiac cycle time effects on mask inhibition.
AB - Research on the interaction of the cardiovascular and the central nervous system
has demonstrated inhibitory effects associated with baroreceptor stimulation. One
way of examining baroreceptor influence on behavior and central nervous processes
is by making use of naturally occurring variations in baroreceptor stimulation in
the course of the cardiac cycle. In terms of perceptual and sensorimotor
processes, until today, research has focused primarily on cardiac cycle time
effects on the perception of and reaction to simple stimuli. The present study is
the first to investigate modulatory effects of variations in baroreceptor
activity in the context of a more complex stimulus configuration using a visual
masking task in which a target has to be selected against an interfering mask.
The results suggest that baroreceptor stimulation enhances inhibitory processes
needed to solve perceptual interference.
PMID- 24931002
TI - Clinical perspective of cell-free DNA testing for fetal aneuploidies.
AB - Cell-free DNA testing in maternal blood provides the most effective method of
screening for trisomy 21, with a reported detection rate of 99% and a false
positive rate of less than 0.1%. After many years of research, this method is now
commercially available and is carried out in an increasing number of patients,
and there is an expanding number of conditions that can be screened for. However,
the application of these methods in clinical practice requires a careful
analysis. Current first-trimester screening strategies are based on a complex
combination of tests, aiming at detecting fetal defects and predicting the risk
of main pregnancy complications. It is therefore necessary to define the optimal
way of combining cell-free DNA testing with current first-trimester screening
methods. In this concise review we describe the basis of cell-free DNA testing
and discuss the potential approaches for its implementation in combination with
current tests in the first trimester.
PMID- 24931003
TI - Maca reduces blood pressure and depression, in a pilot study in postmenopausal
women.
AB - OBJECTIVE: Lepidium meyenii (Maca) has been used for centuries for its fertility
enhancing and aphrodisiac properties. In an Australian study, Maca improved
anxiety and depressive scores. The effects of Maca on hormones, lipids, glucose,
serum cytokines, blood pressure, menopausal symptoms and general well-being in
Chinese postmenopausal women were evaluated. METHODS: A randomized, double-blind,
placebo-controlled, cross-over study was conducted in 29 postmenopausal Hong Kong
Chinese women. They received 3.3 g/day of Maca or placebo for 6 weeks each, in
either order, over 12 weeks. At baseline, week 6 and week 12, estradiol, follicle
stimulating hormone (FSH), sex hormone binding globulin (SHBG), thyroid
stimulating hormone (TSH), full lipid profiles, glucose and serum cytokines were
measured. The Greene Climacteric, SF-36 Version 2, Women's Health Questionnaire
and Utian Quality of Life Scales were used to assess the severity of menopausal
symptoms and health-related quality of life. RESULTS: There were no differences
in estradiol, FSH, TSH, SHBG, glucose, lipid profiles and serum cytokines amongst
those who received Maca as compared to the placebo group; however, significant
decreases in diastolic blood pressure and depression were apparent after Maca
treatment. CONCLUSIONS: Maca did not exert hormonal or immune biological action
in the small cohort of patients studied; however, it appeared to reduce symptoms
of depression and improve diastolic blood pressure in Chinese postmenopausal
women. Although results are comparable to previous similar published studies in
postmenopausal women, there might be a cultural difference among the Chinese
postmenopausal women in terms of symptom reporting.
PMID- 24931004
TI - Odd-skipped related 1 is a novel tumour suppressor gene and a potential
prognostic biomarker in gastric cancer.
AB - We report that the odd-skipped related 1 (OSR1) gene encoding a zinc-finger
transcription factor was preferentially methylated in gastric cancer by genome
wide methylation screening. OSR1 expression was frequently silenced or down
regulated in gastric cancer cell lines. OSR1 expression was also significantly
down-regulated at both mRNA and protein levels in primary gastric cancer tissues
compared with adjacent normal tissues. The silencing or down-regulation of OSR1
was closely associated with promoter hypermethylation. Overexpression of OSR1
significantly inhibited cell growth, arrested the cell cycle, and induced
apoptosis in the gastric cancer cell lines AGS, MKN28, and MGC803. Conversely,
knockdown of OSR1 by OSR1-short hairpin RNA significantly enhanced cell growth,
promoted the cell cycle, and inhibited apoptosis in the normal gastric epithelial
cell line GES1. The dual-luciferase reporter assay revealed that OSR1 activated
p53 transcription and repressed the T-cell factor (TCF)/lymphoid enhancer factor
(LEF). Complementary DNA expression array and western blotting showed that OSR1
increased the expression of nuclear p53, p21, Fas, and death receptor-5, and
suppressed the expression of cyclin D1 and cyclin-dependent kinase 4 in the p53
signalling pathway. In addition, OSR1 suppressed the expression of cytoplasmic
beta-catenin, TCF-1, and LEF1 in the Wnt/beta-catenin signalling pathway. OSR1
methylation was detected in 51.8% of primary gastric cancer patients (85 of 164)
by bisulphite genomic sequencing. Multivariate Cox regression analysis showed
that OSR1 methylation was an independent predictor of poor survival. Kaplan-Meier
survival curves revealed that OSR1 methylation was associated with shortened
survival in TNM stage I-III patients. In conclusion, OSR1 acts as a functional
tumour suppressor through the transcriptional activation of p53 and repression of
TCF/LEF in gastric cancer. Detection of OSR1 methylation may serve as a potential
biomarker of the early stage of gastric cancer.
PMID- 24931006
TI - Caspase-8-mediated PAR-4 cleavage is required for TNFalpha-induced apoptosis.
AB - The tumor suppressor protein prostate apoptosis response-4 (PAR-4) is silenced in
a subset of human cancers and its down-regulation serves as a mechanism for
cancer cell survival following chemotherapy. PAR-4 re-expression selectively
causes apoptosis in cancer cells but how its pro-apoptotic functions are
controlled and executed precisely is currently unknown. We demonstrate here that
UV-induced apoptosis results in a rapid caspase-dependent PAR-4 cleavage at
EEPD131G, a sequence that was preferentially recognized by caspase-8. To
investigate the effect on cell growth for this cleavage event we established
stable cell lines that express wild-type-PAR-4 or the caspase cleavage resistant
mutant PAR-4 D131G under the control of a doxycycline-inducible promoter.
Induction of the wild-type protein but not the mutant interfered with cell
proliferation, predominantly through induction of apoptosis. We further
demonstrate that TNFalpha-induced apoptosis leads to caspase-8-dependent PAR-4
cleavage followed by nuclear accumulation of the C-terminal PAR-4 (132-340)
fragment, which then induces apoptosis. Taken together, our results indicate that
the mechanism by which PAR-4 orchestrates the apoptotic process requires cleavage
by caspase-8.
PMID- 24931007
TI - Dysmorphogenic effects of first trimester-equivalent ethanol exposure in mice: a
magnetic resonance microscopy-based study.
AB - BACKGROUND: The first trimester of human development and the equivalent
developmental period in animal models is a time when teratogenic ethanol (EtOH)
exposure induces the major structural birth defects that fall within fetal
alcohol spectrum disorder (FASD). Previous FASD research employing an acute high
dose maternal intraperitoneal EtOH treatment paradigm has identified sensitive
periods for a number of these defects. Extending this work, this investigation
utilized high resolution magnetic resonance microscopy (MRM)-based analyses to
examine the dysmorphology resulting from maternal dietary EtOH intake occurring
during selected first trimester-equivalent time periods. METHODS: Female C57Bl/6J
mice were acclimated to a liquid 4.8% EtOH (v/v)-containing diet, then bred while
on standard chow. Dams were again provided the EtOH-containing liquid diet for a
period that extended either from the beginning of gestational day (GD) 7 to the
end of GD 11 or from the beginning of GD 12 to the end of GD 16. On GD 17, a
subset of fetuses was selected for MRM-based analyses. Group comparisons were
made for litter characteristics and gross dysmorphology, as well as whole and
regional brain volumes. RESULTS: EtOH-induced stage of exposure-dependent
structural brain abnormalities were observed. The GD 7 to 11 EtOH-exposed group
presented with a significant decrease in cerebellar volume and an increase in
septal volume, while GD 12 to 16 EtOH treatment resulted in a reduction in right
hippocampal volume accompanied by enlarged pituitaries. Additionally, the GD 12
to 16 EtOH exposure caused a high incidence of edema/fetal hydrops. CONCLUSIONS:
These results illustrate the teratogenic impact of maternal dietary EtOH intake
occurring at time periods approximately equivalent to weeks 3 through 6 (GD 7 to
11 in mice) and weeks 7 through 12 (GD 12 to 16 in mice) of human gestation,
further documenting EtOH's stage of exposure-dependent neuroteratogenic end
points and highlighting the vulnerability of selected brain regions during the
first trimester. Additionally they suggest that clinical attention should be paid
to fetal hydrops as a likely component of FASD.
PMID- 24931008
TI - Neurophysiological abnormalities in the sensorimotor cortices during the motor
planning and movement execution stages of children with cerebral palsy.
AB - AIM: This investigation used magnetoencephalography (MEG) to examine the neural
oscillatory responses of the sensorimotor cortices during the motor planning and
movement execution stages of children with typical development and children with
cerebral palsy (CP). METHOD: The study involved 13 children with CP (nine males,
four females; mean [SD] age 14y 3mo [9mo], range 10-18y; height 1.61m [0.08m];
weight 52.65kg [13kg]), and 13 age- and sex-matched typically developing children
(height 1.64m [0.06m]; weight 56.88kg [10kg]). The experiment required the
children to extend their knee joint as whole-head MEG recordings were acquired.
Beamformer imaging methods were employed to quantify the source activity of the
beta-frequency (14-28Hz) event-related desynchronization (ERD) that occurs during
the motor planning period, and the gamma-frequency (~50Hz) event-related
synchronization (ERS) that occurs at the motor execution stage. RESULTS: The
children with CP had a stronger mean beta ERD during the motor planning phase and
reduced mean gamma ERS at the onset of movement. INTERPRETATION: The
uncharacteristic beta ERD in the children with CP suggests that they may have
greater difficulty planning knee joint movements. We suggest that these aberrant
beta ERD oscillations may have a cascading effect on the gamma ERS, which
ultimately affects the execution of the motor command.
PMID- 24931009
TI - Long-term outcome of pediatric renal transplantation: a single center study in
Japan.
AB - Little is known about the risk factors for long-term poor outcome in pediatric
renal transplantation. Between 1973 and 2010, 111 renal transplants (92 living
donations) were performed in 104 children (56 males, mean age, 12.5 yr) at the
Social Insurance Chukyo Hospital, and followed-up for a mean period of 13.6 yr.
The patient survival at 1, 5, 10, 15, 20 (living- and deceased-donor
transplants), and 30 yr (living-donor transplants only) was 98.1%, 92.8%, 87.8%,
84.9%, 82.6%, and 79.3%. The graft survival at 1, 5, 10, 15, 20, and 30 yr was
92.0%, 77.3%, 58.4%, 50.8%, 38.5%, and 33.3%. The most common cause of graft loss
was CAI, AR, death with functioning, recurrent primary disease, ATN, and
malignancy. Donor gender, ATN, malignancy/cardiovascular events, and eras
affected patient survival. AR and CAI were the risk factors for graft loss. The
evolved immunosuppression protocols improved the outcome by reducing AR episodes
and ATN but not CAI, suggesting CAI as the major risk factor for graft loss. CAI
was correlated with AR episodes, CMV infection, and post-transplant hypertension.
Strategies for preventing the risk factors for malignancy/cardiovascular events
and CAI, including hypertension/infection, are crucial for better outcomes.
PMID- 24931011
TI - [Unmet needs in the treatment of allergic rhinitis in China].
PMID- 24931012
TI - [Diagnosis and management of allergic rhinitis in the geriatric population].
PMID- 24931010
TI - Intracardiac mass as initial cardiac manifestation of Behcet's disease: think
before you cut.
AB - Behcet's disease (BD) is a chronic multisystemic inflammatory disorder. Cardiac
abnormalities including intracardiac thrombi have been described in up to 16% of
cases. The clinical presentation of cardiac complications in BD may include
fever, dyspnea, chest pain, hemoptysis, and edema. We present 2 cases of patients
who underwent surgical excision of intracardiac masses thought to be intracardiac
malignancies. Further pathological and clinical evaluation established
intracardiac inflammatory masses due to BD as the final diagnosis. As
intracardiac masses may be the presenting manifestation of BD, it is crucial for
echocardiographers to consider BD in the differential diagnosis. A careful
history and physical exam looking for signs and symptoms of BD is critical before
considering surgical excision of unexplained intracardiac masses. If the final
diagnosis is BD anti-inflammatory therapy should be considered the basis of
treatment.
PMID- 24931013
TI - [Onset feature and efficacy of early interventional treatment of Artemisia
pollinosis].
AB - OBJECTIVE: To analyze the clinical feature and treatment methods of Artemisia
pollinosis. METHODS: Skin prick test results of 14 426 cases from Beijing Tongren
hospital and pollen concentration of Beijing observatory from 2007 to 2011 were
analyzed to identify the clinical feature of Artemisia pollinosis patients and
its correlation with the pollen concentration. Patients were given leukotriene
receptor antagonists (Montelukast) for 2 weeks, followed by 4 weeks of mometasone
furoate nasal spray (EIT group: n = 21), or only 4 weeks of mometasone furoate
nasal spray (POT group: n = 16). The nasal symptom score was compared between 2
groups.SPSS 16.0 software was used to analyze the data. RESULTS: Artemisia
pollinosis accounted for 30.8% (4 442/14 426) of all SPT positive allergic
rhinitis patients, and most Artemisia SPT positive results were strong positive(3
793/4 442, 85.4%); onset age peak of Artemisia pollinosis patients was at the age
of 19 to 30, onset time concentrated in August to September, was consistent with
the peak period of Artemisia pollen concentration; EIT treatment using
leukotriene receptor antagonists two weeks before pollen season significantly
improved sneeze, sniveling and rhinocnesmus symptoms (t value was 3.28, 3.92,
3.09, respectively, all P < 0.01) compared with post-onset treatment (POT). But
nasal obstruction and cough symptoms had no significant difference between two
groups (t value was 0.85, 1.52, respectively, all P > 0.05). CONCLUSION:
Artemisia pollen is the main pollen allergen in Beijing, EIT treatment was
effective to pollinosis.
PMID- 24931014
TI - [The high risk factors of allergen sensitization among 518 children with allergic
rhinitis symptoms].
AB - OBJECTIVE: To study the high risk factors of allergen sensitization among 1-16
years old children with allergic rhinitis (AR) symptoms. METHODS: Medical history
was collected from 518 children with AR symptoms aged 1 to 16 years old between
April 2011 and November 2012, including five aspects:basic information, disease
characteristics, associated with other allergic diseases, growth and development
history and genetic history and so on. The allergens were determined by UniCAP
100 system. The high risk factors of allergen sensitization (sIgE >= grade III)
among 1-16 years old children with AR symptoms were analyzed. The data processing
and statistical analysis were conducted by SPSS 17.0 software. RESULTS: Three
hundred and thirty of 518 patients (63.7%) could be diagnosed as AR. The primary
allergen was mixed fungal (52.4%). The highest percentage of allergen sIgE >=
grade III accounted for the corresponding allergen positive cases was 71.1%
(mixed fungal). Single factor analysis of clinical characteristics in the groups
of AR and non AR showed that the history of months(chi(2) = -3.591), concomitant
allergic conjunctivitis (chi(2) = 12.325) and cats or dogs at home (chi(2) =
5.615) were statistically significant between the two groups (all P < 0.05).In
children with AR, single factor analysis of clinical characteristics in the
groups of whether positive allergen level >= grade III showed that the
concomitant asthma(chi(2) = 4.097), breastfeeding(chi(2) = 4.186), the housing
situation (chi(2) = 4.360) and the bedroom toward (chi(2) = 4.656) were
statistically significant between the two groups (all P < 0.05). In children with
AR, single factor analysis of clinical characteristics in different age groups
showed that the history of months(chi(2) = 64.999), concomitant eczema (chi(2) =
24.056), concomitant insect bite dermatitis (chi(2) = 9.148), cats or dogs at
home (chi(2) = 8.529) and mother suffered from AR (chi(2) = 9.565) were
statistically significant in different age groups (all P < 0.05). CONCLUSION: The
study revealed that the longer of history, concomitant allergic conjunctivitis
and cats or dogs at home are risk factors for AR;In children with AR, not
breastfeeding and the bedroom toward back are risk factors for inhalation
allergen sIgE >= gradeIII.
PMID- 24931005
TI - GSK-3 as potential target for therapeutic intervention in cancer.
AB - The serine/threonine kinase glycogen synthase kinase-3 (GSK-3) was initially
identified and studied in the regulation of glycogen synthesis. GSK-3 functions
in a wide range of cellular processes. Aberrant activity of GSK-3 has been
implicated in many human pathologies including: bipolar depression, Alzheimer's
disease, Parkinson's disease, cancer, non-insulin-dependent diabetes mellitus
(NIDDM) and others. In some cases, suppression of GSK-3 activity by
phosphorylation by Akt and other kinases has been associated with cancer
progression. In these cases, GSK-3 has tumor suppressor functions. In other
cases, GSK-3 has been associated with tumor progression by stabilizing components
of the beta-catenin complex. In these situations, GSK-3 has oncogenic properties.
While many inhibitors to GSK-3 have been developed, their use remains
controversial because of the ambiguous role of GSK-3 in cancer development. In
this review, we will focus on the diverse roles that GSK-3 plays in various human
cancers, in particular in solid tumors. Recently, GSK-3 has also been implicated
in the generation of cancer stem cells in various cell types. We will also
discuss how this pivotal kinase interacts with multiple signaling pathways such
as: PI3K/PTEN/Akt/mTORC1, Ras/Raf/MEK/ERK, Wnt/beta-catenin, Hedgehog, Notch and
others.
PMID- 24931015
TI - [Investigation on the role of TIM4 in the pathogenesis of allergic rhinitis in
mice].
AB - OBJECTIVE: To investigate the role of TIM4 (T cell immunoglobulin and mucin
domain molecule 4) in the pathogenesis of allergic rhinitis (AR) in mice, and to
identify a novel therapeutic target for the treatment of AR. METHODS: Twenty-one
male BALB/C mice of clean grade were divided into three groups randomly (n = 7
per group) including control, AR and anti-TIM4 antibody treatment groups. In
order to induce upper airway allergic inflammation, the mice from AR and anti
TIM4 antibody treatment groups were sensitized by intraperitoneal injection
followed by intranasal challenge with ovalbumin. Before the ovalbumin challenge,
a group of mice was treated with anti-TIM4 antibody. To assess the AR model,
behavioral observation with immunological assessments and HE staining of nasal
tissues were performed. The TIM4 expression in nasal tissues in different groups
of mice were assessed by immunofluorescence and RT-PCR.SPSS18.0 software was used
to analyze the data. RESULTS: The AR model in mice was successfully established
as shown by behavioral observation and immunological evaluation. RT-PCR assays
showed the relative expression of TIM4 mRNA in nasal mucosa of AR, control and
anti-TIM4 antibody treatment mice was 16.29 +/- 3.80, 0.51 +/- 0.60, 1.64 +/-
0.98, respectively. There was statistically significant differences mong three
group (F = 46.56, P < 0.05). The expression of TIM4 in AR group was significantly
higher than those in control group (t = 8.650, P < 0.05) and anti-TIM4 group (t =
8.027, P < 0.05). The expression of TIM4 was significantly reduced in the anti
TIM4 antibody group, as well as control group (t = -0.623, P > 0.05). More
expression of TIM4 was detected in local nasal tissues of AR mice, mainly located
below the pseudostratified ciliated columnar epithelium. CONCLUSIONS: TIM4 plays
a crucial role in the pathogenesis of AR. Effective inhibition of TIM4 expression
can partially reverse the pathological changes of AR.
PMID- 24931016
TI - [Role of immune deviation by toll-liked receptor's doping LPS in pathogenesis of
allergic rhinitis].
AB - OBJECTIVES: To investigate the role of TLR-NF-kappaB signaling pathway in
pathogenesis of allergic rhinitis (AR) and the mechanism of TLR to modulate
innate immunity and adaptive immunity. METHODS: One hundred rats were divided
into 5 groups by simple randomization, normal group(group A), modle group(group
B), AR+LPS20 group(group C), AR+LPS10 group(group D), AR+LPS5 group(group E).
Model of AR in B group was established by intraperitoneal injection and nasal
topic delivery of ovalbumin (OVA). A group was delivered of same volume
physiological saline instated of OVA, C,D,E group were interfered by nasal
delivery of LPS in different concentration (including LPS 20 ug, 10 ug, 5 ug per
100 ul). Changes of nasal mucosa tissues and inflammatory cell infiltration were
observed by HE staining, while neutrophil and eosinophil counted under high power
microscope.Expression of IL-4, IFN-gamma, and IgE in nasal mucosa tissues were
measured with immunohistochemical method.Realtime-PCR and Western-blot were used
to evaluate the expression level of TLR-4 and NF-kappaB in nasal mucosa
tissues.SPSS 13.0 software was used to analyze the data. RESULTS: Group B was
observed to have developed AR injury of nasal mucosa. Eosinophil count and the
expression of IL-4, IFN-gamma, and IgE were significantly higher in B group than
those in A group (all P < 0.05), neutrophil count was significantly higher in C,
D, E groups than that in B group (all P < 0.05). RESULTS: of immunohistochemical
staining showed that, expression level of IFN-gamma, TLR-4 and NF-kappaB were
significantly higher than group B (all P < 0.05), while IL-4 and IgE were
significantly decreased than group B (all P < 0.05) . The protein expression of
TLR-4 and NF-kappaB was 0.888 9 +/- 0.032 9 and 0.913 3 +/- 0.031 1 in group C,
and 0.419 2 +/- 0.038 0 and 0.447 8 +/- 0.033 0 in group A, 0.616 1 +/- 0.025 1
and 0.748 1 +/- 0.034 3 in group B, the difference was significant(all P < 0.05).
CONCLUSIONS: TLR plays an important role of modulation between innate immunity
and adaptive immunity in the pathogenesis of AR. The higher concentration of TLR
doping may activate the higher expression of NF-kappaB then intervene the
development of AR with immune deviation.
PMID- 24931017
TI - [Effects of temporal fine structure stimulation on Mandarin identification in
cochlear implant users].
AB - OBJECTIVE: To assess the contribution of the temporal fine structure cues on
speech recognition, especially tone perception of cochlear implant users whose
native language is Mandarin Chinese. METHODS: Twelve Mandarin speaking users of
the MED-EL COMBI 40+ cochlear implants with the standard COMBI 40+ electrode
array participated in the study. The subjects were followed up and studied using
the longitudinal method for six weeks to compare the difference in speech
identification results between fine structure processing (FSP) and continuous
interleaved sampler (CIS). The test battery comprised two objective speech tests,
including tone identification in quiet as well as a sentence recognition task in
quiet and noise. RESULTS: The scores of acute speech test: after replacing FSP
strategy, the acute tone test results were similar to that of daily used CIS
strategy(male version t = 0.791, P = 0.446;female version t = 0.679, P = 0.511),
but the scores of MHINT were decreased significantly (t = -4.365, P = 0.001). The
scores of long-lasting speech test were as follows the scores of tone
identification test were sharply increased after subjects used the new coding
strategy for six weeks continuously(male version t = 3.023, P = 0.018;female
version t = 3.883, P = 0.003) and the MHIN results were similar to that of daily
used CIS strategy(t = 1.475, P = 0.173). CONCLUSION: The extraction of temporal
fine structure cues supplemented in speech processing strategy of cochlear
implant benefits the improvements in tone perception and the understanding of
speech in cochlear implant recipients, which can further promotes their quality
of life.
PMID- 24931018
TI - [Salvage surgery for neck recurrence or residue of nasopharyngeal carcinoma after
primary radiotherapy].
AB - OBJECTIVE: To investigate the surgical procedures and prognosis for neck
recurrence or residue of nasopharyngeal carcinoma(NPC) after primary
radiotherapy. METHODS: A total of 153 cases with neck recurrence or residue after
radiotherapy in NPC who received salvage neck surgery between January 2001 and
December 2011 were retrospectively analyzed. There were rN1 109 cases, rN2 17
cases and rN3 27 cases. Of them 17 cases received bilateral neck dissection(ND)
simultaneously. The surgical procedures included the modified radical neck
dissection (MRND) in 66 cases, radical neck dissection (RND) in 48 cases,
selective neck dissection(SND) in 28 cases, enlarged radical neck dissection
(ERND) in 16 cases, local excision in 9 cases, and parotidectomy in 3 cases. The
Kaplan-Meier method was used to calculate survival curves, and the differences
between groups were calculated by chi(2) tests. RESULTS: There were 20 cases
(13.1%) with lymph node(LN) metastasis in level I and 7 cases (4.6%) with parotid
gland LN metastasis. The 3-year and 5-year overall survival rates were 57.2% and
40.6% respectively, and the median survival time was 49 months. Cox regression
analysis revealed that rN staging, size of LN and age were the main prognosis
factors for survival. CONCLUSIONS: Salvage surgery was effective for neck
recurrence or residue of NPC after primary treatment, and MRND and SND are
reasonable options so as to improve functionality. Dissection of LN in level I
and parotid gland should be selective. Patients with stage rN3 or LN > 6 cm or
age > 50 years had poor prognosis.
PMID- 24931019
TI - [Clinical analysis of 91 cases of primary parapharyngeal space tumors].
AB - OBJECTIVE: To summarize and analyze the clinical features, diagnosis methods,
surgical approaches and treatment outcomes of patients with primary
parapharyngeal space tumors. METHODS: A retrospective review of 91 cases with
primary parapharyngeal space tumors treated from January 1999 to December 2011
was performed. All patients underwent preoperative enhanced CT scan and
postoperative histopathologic examination. Intraoperative frozen section biopsies
were performed in 36 of 91 cases. The surgical approaches included trans-cervical
approach in 73 cases, trans-parotid approach in 5 cases, trans-oral approach in 5
cases, and mandibulotomy in 8 cases. RESULTS: The postoperative pathological
diagnoses consisted of 29 variants, including 20 for benign tumors and 9 for
malignant tumors. Of the 80 cases with benign tumors, 6 cases were lost to follow
up and 74 cases were followed up for 31-84 months with no recurrence. Eleven
cases with malignant tumor were followed up for 8-51 months (median 29 months),
of them 7 cases died and 1 case was lost to follow-up. The post-operative
complications included Horner syndrome in 3 cases, hoarseness in 2 cases,
hypoglossal nerve palsy in 1 case, accessory nerve palsy in 1 case, upper airway
obstruction in 1 case, and internal carotid artery cavernous sinus fistula in 1
case. CONCLUSIONS: Parapharyngeal space tumors are rare, with atypical clinical
manifestation, and have pathological types of diversification. CT or MRI is
helpful to evaluate the tumor size, location and possible sources, and to make
operation scheme. Surgery is the first choice for parapharyngeal space tumors.
Trans-cervical approach can be applied to most tumors. Parapharyngeal benign
tumors have good prognosis, but malignant tumors have poor prognosis.
PMID- 24931020
TI - [Characterization of scarred vocal fold regeneration after the intervention of
extracellular matrix and human amniotic epithelial cells transplanting].
AB - OBJECTIVE: To investigate the treatment for scarred vocal folds by transplanting
human amniotic epithelial cells (hAECs)and injecting collagenase as well as
hyaluronic acid (HA) for the intervention of the extracellular matrix(EMC), to
observe the growth, distribution of hAECs and to assess the abilities of them for
scarred vocal fold regeneration. METHODS: The lamina propria was injured by
localized resection in thirty-eight vocal folds of twenty rabbits. hAECs were
isolated from human amnion and marked by Lenti-GFP. After the formation of vocal
fold scarring, hAECs were transplanted into ten vocal folds, collagenase and HA
were injected into ten vocal folds, all three were injected into ten vocal folds,
none were injected into eight vocal folds, and two normal vocal folds were used
as control. At 1 month and 2 months after the transplanting, the survival, the
distribution and the cytoactive of hAECs were examined by immunofluorescence
method. Meanwhile, at 1 month, 2 months, 3 months and 6 months after the
operation, HE staining was performed for histopathological research, Masson
trichrome staining and immunohistochemical staining were used for collagen and
fibronectin respectively. RESULTS: After implanted into the scarred vocal folds,
hAECs could survive in vocal fold lamina propria for two months. The
immunofluorescence analysis showed the cytoactive of hAECs.Six months
postoperatively, compared with that in the normal vocal folds, collagen in the
untreated scarred vocal folds more increased and disorderly distributed; the
changes in other three groups were between the two groups above, but the group
injected with all of hAECs, collagenase and HA was better than other two groups.
Besides, the mean density of fibronectin in the scarred untreated control group
was more significantly increased than that in the normal vocal folds; the changes
in other three groups were between the two groups above, but the group injected
with all of hAECs, collagenase and HA was better than other two groups.
CONCLUSION: The transplanting of hAECs and the interventions of EMC by injecting
collagenase as well as HA have better abilities in rabbit scarred vocal fold
reparation and regeneration by promoting ECM secretion, rational distribution and
part ordering arrangement.
PMID- 24931021
TI - [Metformin inhibits the proliferation of hypopharyngeal carcinoma Fadu cells and
enhances the chemotherapeutic sensitivity of cells].
AB - OBJECTIVE: To investigate the role of metformin on the growth inhibition induced
by chemotherapeutic agents in hypopharyngeal carcinoma Fadu cells. METHODS: Fadu
cells were treated with different concentrations of metformin for different time
or treated with different concentrations of cisplatin, 5-fluorouracil or
paclitaxel with or without metformin 5 mmol/L. MTT assay was used to evaluate the
influence of metformin on the proliferation of Fadu cells. Cell-cycle was
analyzed by flow cytometry. The expressions of AMP-dependent/activated protein
kinase (AMPK) and P21 were examined by immunocytochemistry. RESULTS: Metformin
inhibited the proliferation of Fadu cells in a dose-and time-dependent
manner.Flow cytometry showed that cell cycle arrest in G1 phase was induced by
metformin in Fadu cells.Immunocytochemistry showed the expressions of both AMPK
and P21 in cells treated with metformin were higher than those in cells untreated
with metformin. The growth inhibition of cells induced by cisplatin or paclitaxel
but not 5-fluorouracil was enhanced by metformin. The combined indexes of
cisplatin/paclitaxel/5-fluorouracil and metformin for 48 h were 0.43, 0.37, and
1.15, respectively. CONCLUSIONS: Metformin may inhibit the proliferation of Fadu
cells by inducing the cell cycle arrest in G1 phase mediated in part by AMPK and
P21. Metformin enhances the sensitivity of Fadu cells to cisplatin and
paclitaxel.
PMID- 24931022
TI - [Noninvasive measurement of nasal NO and fractional exhaled NO in healthy people
and patients with allergic rhinitis].
AB - OBJECTIVE: To measure the nasal nitric oxide (NNO) and fractional exhaled nitric
oxide (FENO) in healthy people and patients with allergic rhinitis (AR), and to
discuss the clinical significance of the results. METHODS: Ninety-six healthy
volunteers and 51 patients with moderate-severe persistent AR, but without
asthma, were enrolled. NNO and FENO concentrations were measured noninvasively by
using of NIOX MINO (Aerocrine AB, Solna, Sweden).SPSS 13.0 software was used to
analyze the data. RESULTS: The concentration of NNO in healthy people was 245.0
[189.8;331.3] ppb (median [25th percentile; 75th percentile], the followings were
same as). The concentration of FENO was 14.0 [10.0; 18.0] ppb. The concentration
of NNO in patients with AR was 304.0[179.5; 397.5]ppb. The concentration of FENO
was 21.0 [16.0; 40.5] ppb. The concentration of NNO in the AR patients was higher
than that in the healthy persons, but the difference did not reach statistical
significance (Z = 1.349, P = 0.177).On the other hand, FENO concentrations were
significantly increased in patients compared with concentrations in healthy
persons (Z = 5.555, P = 0.000). CONCLUSIONS: FENO concentrations of patients with
moderate-severe persistent AR are increased significantly even though the
patients do not have typical symptoms of asthma. This finding suggests that AR
patients should be treated actively in order to prevent asthma from developing in
them.
PMID- 24931024
TI - [Misdiagnosis of branchio-oto-renal syndrome as preauricular fistula: report of
two cases].
PMID- 24931023
TI - [Inhibition of Hep-2 cell apoptosis after annexin A5 knockdown].
AB - OBJECTIVE: To study the effect of annexin A5 on the apoptosis of laryngeal cancer
cells. METHODS: Special siRNAs were used to knock annexinA5 down in Hep-2 cell,
and RT-PCR and Western blot were applied to identify the efficacy of RNA
interference. The flow cytometry assay was performed to detect the Hep-2 cell
apoptosis. RESULTS: RT-PCR analysis showed that the relative mRNA expression of
annexin A5 in siRNA group, negative control group, Lipofectamine 2000 group and
blank control group were 0.70 +/- 0.03, 1.18 +/- 0.05, 1.17 +/- 0.06 and 1.23 +/-
0.07. The relative mRNA expression of annexin A5 in siRNA group was significantly
decreased than contrast groups(t = -14.77, t = -13.23, t = -12.99, P < 0.05).In
Western blot assay, the trend of protein expression level was consistent with the
mRNA expression levels of annexin A5. The relative levels of proteins in siRNA
group, negative control group, Lipofectamine 2000 group and blank control group
were shown 1.21 +/- 0.03, 3.88 +/- 0.06, 3.87 +/- 0.02 and 3.95 +/- 0.08. The
relative protein expression of annexin A5 in siRNA group was significantly
decreased than contrast groups(t = -70.34, t = -150.62, t = -56.32, P < 0.05). At
the same time in flow cytometry the apoptotic rate of siRNA group, negative
control group, Lipofectamine 2000 group and blank control group were 4.43% +/-
0.12%, 13.67% +/- 0.22%, 13.66% +/- 0.12% and 13.35% +/- 0.13%, the difference
between the siRNA group and contrast groups was statistically significant(t =
62.50, t = -14.16, t = -11.47, P < 0.05).So after RNA interference, expression of
annexin A5 decreased, and the results in the apoptosis inhibition of Hep-2 cell.
CONCLUSION: Annexin A5 promotes apoptosis of Hep-2 cells, and it may be a
potential therapeutic target for the laryngeal cancer.
PMID- 24931025
TI - [Laryngeal chondrosarcoma in a case].
PMID- 24931026
TI - [Right-sided congenital piriform sinus fistulae in two adults].
PMID- 24931027
TI - [The combination therapy for allergic rhinitis].
PMID- 24931028
TI - [Clinical significance of nasal exhaled nitric oxide measurement].
PMID- 24931029
TI - [Pathophysiology, diagnosis and treatment of allergic rhinitis].
PMID- 24931030
TI - [Hemangioma of the bone in inferior nasal concha:a case report].
PMID- 24931031
TI - Pre-transplant immune state defined by serum markers and alloreactivity predicts
acute rejection after living donor kidney transplantation.
AB - Acute rejection (AR) remains a major cause for long-term kidney allograft
failure. Reliable immunological parameters suitable to define the pre-transplant
immune state and hence the individual risk of graft rejection are highly desired
to preferably adapt the immunosuppressive regimen in advance. Donor and third
party alloreactivities were determined by mixed lymphocyte cultures. Soluble
forms of CD25, CD30, and CD44 were detected in patients' serum by ELISA. Various
lymphocyte subpopulations were measured using flow cytometry. All patients
received triple immunosuppression (tacrolimus/mycophenolate mofetil/steroids) and
were grouped according to biopsy results within the first year: rejection-free
(RF, n = 13), borderline (BL, n = 5), or acute rejection (AR, n = 7). Patients
with AR showed the highest pre-transplant alloreactivities and serum levels
(sCD25/sCD30/sCD44) according to the pattern RF < BL < AR. Relying on serum
analysis only, multivariate logistic regression (logit link function) yielded a
prognostic score for prediction of rejection with 75.0% sensitivity and 69.2%
specificity. Patients with rejection showed markedly higher pre-transplant
frequencies of CD4(+) /CD8(+) T cells lacking CD28, but lower numbers of CD8(+)
CD161(bright) T cells and NK cells than RF individuals. Pre-transplant immune
state defined by alloreactivity, serum markers, and particular lymphocyte subsets
seems to correlate with occurrence of graft rejection after kidney
transplantation. A prognostic score based on pre-transplant serum levels has
shown great potential for prediction of rejection episodes and should be further
evaluated.
PMID- 24931032
TI - Brain changes underlying cognitive dysfunction in diabetes: what can we learn
from MRI?
AB - Diabetes is associated with cognitive dysfunction and an increased risk of
dementia. This article addresses findings with brain MRI that may underlie
cognitive dysfunction in diabetes. Studies in adults with type 1 diabetes show
regional reductions in brain volume. In those with a diabetes onset in childhood,
these volume reductions are likely to reflect the sum of changes that occur
during brain development and changes that occur later in life due to exposure to
diabetes-related factors. Type 2 diabetes is associated with global brain atrophy
and an increased burden of small-vessel disease. These brain changes occur in the
context of aging and often also in relation to an adverse vascular risk factor
profile. Advanced imaging techniques detect microstructural lesions in the
cerebral gray and white matter of patients with diabetes that affect structural
and functional connectivity. Challenges are to further unravel the etiology of
these cerebral complications by integrating findings from different imaging
modalities and detailed clinical phenotyping and by linking structural MRI
abnormalities to histology. A better understanding of the underlying mechanisms
is necessary to establish interventions that will improve long-term cognitive
outcomes for patients with type 1 and type 2 diabetes.
PMID- 24931033
TI - Inflammation, defective insulin signaling, and mitochondrial dysfunction as
common molecular denominators connecting type 2 diabetes to Alzheimer disease.
AB - A growing body of evidence supports an intriguing clinical/epidemiological
connection between Alzheimer disease (AD) and type 2 diabetes (T2D). T2D patients
have significantly increased risk of developing AD and vice versa. Recent studies
have begun to reveal common pathogenic mechanisms shared by AD and metabolic
disorders, notably obesity and T2D. In T2D and obesity, low-grade chronic
inflammation is a key mechanism leading to peripheral insulin resistance, which
progressively causes tissue deterioration and overall health decline. In the
brain, proinflammatory signaling was recently found to mediate impaired neuronal
insulin signaling, synapse deterioration, and memory loss. Here, we review
evidence indicating that inflammation, insulin resistance, and mitochondrial
dysfunction are common features in AD and T2D. We further propose the hypothesis
that dementia and its underlying neuronal dysfunction are exacerbated or driven
by peripheral inflammation. Identification of central and peripheral inflammation
as potential mediators of brain dysfunction in AD may lead to the development of
effective treatments for this devastating disease.
PMID- 24931036
TI - Rechargeable batteries with high energy storage activated by in-situ induced
fluorination of carbon nanotube cathode.
AB - High performance rechargeable batteries are urgently demanded for future energy
storage systems. Here, we adopted a lithium-carbon battery configuration. Instead
of using carbon materials as the surface provider for lithium-ion adsorption and
desorption, we realized induced fluorination of carbon nanotube array (CNTA)
paper cathodes, with the source of fluoride ions from electrolytes, by an in-situ
electrochemical induction process. The induced fluorination of CNTA papers
activated the reversible fluorination/defluorination reactions and lithium-ion
storage/release at the CNTA paper cathodes, resulting in a dual-storage
mechanism. The rechargeable battery with this dual-storage mechanism demonstrated
a maximum discharging capacity of 2174 mAh (gcarbon)(-1) and a specific energy of
4113 Wh kg(carbon)(-1) with good cycling performance.
PMID- 24931035
TI - Repurposing diabetes drugs for brain insulin resistance in Alzheimer disease.
AB - A growing body of clinical and epidemiological research suggests that two of the
most common diseases of aging, type 2 diabetes (T2DM) and Alzheimer disease (AD),
are linked. The nature of the association is not known, but this observation has
led to the notion that drugs developed for the treatment of T2DM may be
beneficial in modifying the pathophysiology of AD and maintaining cognitive
function. Recent advances in the understanding of the biology of T2DM have
resulted in a growing number of therapies that are approved or in clinical
development for this disease. This review summarizes the evidence that T2DM and
AD are linked, with a focus on the cellular and molecular mechanisms in common,
and then assesses the various clinical-stage diabetes drugs for their potential
activity in AD. At a time when existing therapies for AD offer only limited
symptomatic benefit for some patients, additional clinical trials of diabetes
drugs are needed to at least advance the care of T2DM patients at risk for or
with comorbid AD and also to determine their value for AD in general.
PMID- 24931037
TI - Fungal biology: ECM fungi and all that JAZz.
PMID- 24931034
TI - Insulin action in brain regulates systemic metabolism and brain function.
AB - Insulin receptors, as well as IGF-1 receptors and their postreceptor signaling
partners, are distributed throughout the brain. Insulin acts on these receptors
to modulate peripheral metabolism, including regulation of appetite, reproductive
function, body temperature, white fat mass, hepatic glucose output, and response
to hypoglycemia. Insulin signaling also modulates neurotransmitter channel
activity, brain cholesterol synthesis, and mitochondrial function. Disruption of
insulin action in the brain leads to impairment of neuronal function and
synaptogenesis. In addition, insulin signaling modulates phosphorylation of tau
protein, an early component in the development of Alzheimer disease. Thus,
alterations in insulin action in the brain can contribute to metabolic syndrome,
and the development of mood disorders and neurodegenerative diseases.
PMID- 24931041
TI - Parasite biology: A tripartite virulence complex in Toxoplasma.
PMID- 24931045
TI - The impact of diagnostic imaging wait times on the prognosis of lung cancer.
AB - OBJECTIVE: This study was performed to determine whether gaps in patient flow
from initial lung imaging to computed tomography (CT) guided lung biopsy in
patients with non-small cell lung cancer (NSCLC) was associated with a change in
tumour size, stage, and thus prognosis. METHODS: All patients who had a CT-guided
lung biopsy in 2009 (phase I) and in 2011 (phase II) with a pathologic diagnosis
of primary lung cancer (NSCLC) at Eastern Health, Newfoundland, were identified.
Dates of initial abnormal imaging, confirmatory CT (if performed), and CT-guided
biopsy were recorded, along with tumour size and resulting T stage at each time
point. In 2010, wait times for diagnostic imaging at Eastern Health were reduced.
The stage and prognosis of NSCLC in 2009 was compared with 2011. RESULTS: In
phase 1, there was a statistically significant increase in tumour size (mean
difference, 0.67 cm; P < .0001) and stage (P < .0001) from initial image to
biopsy. There was a moderate correlation between the time (in days) between the
images and change in size (r = 0.33, P = .008) or stage (r = 0.26, P = .036). In
phase II, the median wait time from initial imaging to confirmatory CT was
reduced to 7.5 days (from 19 days). At this reduced wait time, there was no
statistically significant increase in tumour size (mean difference, 0.02; P >
.05) or stage (P > .05) from initial imaging to confirmatory CT. CONCLUSIONS:
Delays in patient flow through diagnostic imaging resulted in an increase in
tumour size and stage, with a negative impact on prognosis of NSCLC. This
information contributed to the hiring of additional CT technologists and extended
CT hours to decrease the wait time for diagnostic imaging. With reduced wait
times, the prognosis of NSCLC was not adversely impacted as patients navigated
through diagnostic imaging.
PMID- 24931042
TI - Functional long-range RNA-RNA interactions in positive-strand RNA viruses.
AB - Positive-strand RNA viruses are important human, animal and plant pathogens that
are defined by their single-stranded positive-sense RNA genomes. In recent years,
it has become increasingly evident that interactions that occur between distantly
positioned RNA sequences within these genomes can mediate important viral
activities. These long-range intragenomic RNA-RNA interactions involve direct
nucleotide base pairing and can span distances of thousands of nucleotides. In
this Review, we discuss recent insights into the structure and function of these
intriguing genomic features and highlight their diverse roles in the gene
expression and genome replication of positive-strand RNA viruses.
PMID- 24931046
TI - Benign lesions that mimic thyroid malignancy on ultrasound.
PMID- 24931043
TI - Motility and more: the flagellum of Trypanosoma brucei.
AB - Trypanosoma brucei is a pathogenic unicellular eukaryote that infects humans and
other mammals in sub-Saharan Africa. A central feature of trypanosome biology is
the single flagellum of the parasite, which is an essential and multifunctional
organelle that facilitates cell propulsion, controls cell morphogenesis and
directs cytokinesis. Moreover, the flagellar membrane is a specialized subdomain
of the cell surface that mediates attachment to host tissues and harbours
multiple virulence factors. In this Review, we discuss the structure, assembly
and function of the trypanosome flagellum, including canonical roles in cell
motility as well as novel and emerging roles in cell morphogenesis and host
parasite interactions.
PMID- 24931044
TI - The elemental composition of virus particles: implications for marine
biogeochemical cycles.
AB - In marine environments, virus-mediated lysis of host cells leads to the release
of cellular carbon and nutrients and is hypothesized to be a major driver of
carbon recycling on a global scale. However, efforts to characterize the effects
of viruses on nutrient cycles have overlooked the geochemical potential of the
virus particles themselves, particularly with respect to their phosphorus
content. In this Analysis article, we use a biophysical scaling model of intact
virus particles that has been validated using sequence and structural information
to quantify differences in the elemental stoichiometry of marine viruses compared
with their microbial hosts. By extrapolating particle-scale estimates to the
ecosystem scale, we propose that, under certain circumstances, marine virus
populations could make an important contribution to the reservoir and cycling of
oceanic phosphorus.
PMID- 24931047
TI - Intra-abdominal solid organ injuries: an enhanced management algorithm.
AB - The organ injury scale grading system proposed by the American Association for
the Surgery of Trauma provides guidelines for operative versus nonoperative
management in solid organ injuries; however, major shortcomings of the American
Association for the Surgery of Trauma injury scale may become apparent with low
grade injuries, in which conservative management may fail. Nonoperative
management of common intra-abdominal solid organ injuries relies increasingly on
computed tomographic findings and other clinical factors, including patient age,
presence of concurrent injuries, and serial clinical assessments. Familiarity
with characteristic imaging features is essential for the prompt diagnosis and
appropriate treatment of blunt abdominal trauma. In this pictorial essay, the
spectrum of the American Association for the Surgery of Trauma organ injury scale
grading system is illustrated, and a multidisciplinary management algorithm for
common intra-abdominal solid organ injuries is proposed.
PMID- 24931048
TI - Facile base-free in situ generation and palladation of mesoionic and normal N
heterocyclic carbenes at ambient conditions.
AB - Synthesis of normal and mesoionic N-heterocyclic carbene Pd(II) complexes in near
quantitative yields from the corresponding NHC precursor salts under base free
and ambient conditions is reported. A plausible mechanism involving charge
assisted hydrogen bonded intermediates is proposed.
PMID- 24931049
TI - Contrast-dependent OFF-dominance in cat primary visual cortex facilitates
discrimination of stimuli with natural contrast statistics.
AB - Both theoretical and experimental studies suggest that response properties in the
visual system are shaped by signals in the natural environment. Recent studies
showed that, in the primary visual cortex (V1), neurons preferring light
decrements (OFF stimuli) outnumber those preferring light increments (ON
stimuli). However, it is not clear whether the OFF-dominance in V1 neurons is
related to the contrast statistics in natural images. By analysing the
distribution of negative and positive contrasts in natural images at several
spatial scales, we showed that optimal coding of the natural contrast signals
would lead to a contrast-dependent OFF-dominant response, with a stronger degree
of OFF-dominance at a higher contrast. Using bright and dark stimuli at various
contrast levels to measure the receptive fields of neurons in cat V1, we found an
increasing degree of OFF-dominance of the neuronal population as the contrast was
increased. By modeling receptive fields exhibiting OFF- and ON-dominance, we
found that contrast-dependent OFF-dominance facilitated the discrimination of
stimuli with natural contrast distribution. Thus, by matching contrast-dependent
OFF-dominance to the statistics of contrast distribution in natural images, V1
neurons may better discriminate contrast information in natural scenes.
PMID- 24931050
TI - Lyotropic liquid crystal for long-term delivery of peptide drugs.
PMID- 24931051
TI - Estimating the development of the fennel aphid, Hyadaphis foeniculi (Passerini)
(Hemiptera: Aphiididae), using non-linear models.
AB - BACKGROUND: Non-linear models making it possible to predict agricultural pest
outbreaks and optimise control tactics are of primary importance for integrated
pest management. The development period for immature stages of the fennel aphid
Hyadaphis foeniculi (Passerini) (Hemiptera: Aphididae) at constant temperatures
was modelled in order to determine mathematical functions for simulating the
aphid's development. Non-linear models were used to describe the relationship
between temperature and development rates of H. foeniculi subjected to constant
temperatures. RESULTS: The models used were found to be good fits for estimating
H. foeniculi development rates as a function of temperature, with the exception
of the Davidson model. The development time of H. foeniculi nymphs ranged from
2.73 days (first instar) to 6.18 days (fourth instar) at 15 degrees C, from 2.57
days (first instar) to 4.52 days (fourth instar) at 20 degrees C and from 1.53
days (first instar) to 2.05 days (fourth instar) at 28 degrees C. CONCLUSION:
These models provide important tools for better elucidation of the relationship
between temperature and development rates in H. foeniculi. The results could be
used for predicting the occurrence of the various immature stages of H. foeniculi
in the fennel crop in Brazil, making it possible to predict more accurately the
best periods for implementing pest control.
PMID- 24931052
TI - Disposable surface plasmon resonance aptasensor with membrane-based sample
handling design for quantitative interferon-gamma detection.
AB - ELISA and ELISPOT methods are utilized for interferon-gamma (IFN-gamma) release
assays (IGRAs) to detect the IFN-gamma secreted by T lymphocytes. However, the
multi-step protocols of the assays are still performed with laboratory
instruments and operated by well-trained people. Here, we report a membrane-based
microfluidic device integrated with a surface plasmon resonance (SPR) sensor to
realize an easy-to-use and cost effective multi-step quantitative analysis. To
conduct the SPR measurements, we utilized a membrane-based SPR sensing device in
which a rayon membrane was located 300 MUm under the absorbent pad. The basic
equation covering this type of transport is based on Darcy's law. Furthermore,
the concentration of streptavidin delivered from a sucrose-treated glass pad
placed alongside the rayon membrane was controlled in a narrow range (0.81 MUM +/
6%). Finally, the unbound molecules were removed by a washing buffer that was
pre-packed in the reservoir of the chip. Using a bi-functional, hairpin-shaped
aptamer as the sensing probe, we specifically detected the IFN-gamma and
amplified the signal by binding the streptavidin. A high correlation coefficient
(R(2) = 0.995) was obtained, in the range from 0.01 to 100 nM. A detection limit
of 10 pM was achieved within 30 min. Thus, the SPR assay protocols for IFN-gamma
detection could be performed using this simple device without an additional
pumping system.
PMID- 24931054
TI - In vivo administration of TLR9 agonist reduces the severity of experimental
autoimmune encephalomyelitis. The role of plasmacytoid dendritic cells and B
lymphocytes.
PMID- 24931053
TI - Ecological impact of MCB3837 on the normal human microbiota.
AB - MCB3837 is a novel, water-soluble, injectable prodrug that is rapidly converted
to the active substance MCB3681 in vivo following intravenous (i.v.)
administration. Both MCB3837 and MCB3681 are oxazolidinone-quinolone hybrid
molecules. The purpose of the present study was to investigate the effect of
MCB3681 on the human skin, nose, oropharyngeal and intestinal microbiota
following administration of MCB3837. Twelve healthy male subjects received i.v.
MCB3837 (6 mg/kg body weight) once daily for 5 days. Skin, nose, saliva and
faecal samples were collected on Day -1 (pre dose), during administration on Days
2 and 5, and post dose on Days 8, 12 and 19. Micro-organisms were identified to
genus level. No measurable concentrations of MCB3681 were found in any saliva
samples or in the faecal samples on Day -1. On Day 2, 10 volunteers had faecal
MCB3681 concentrations between 16.5 mg/kg faeces and 275.1mg/kg faeces; no
MCB3681 in faeces could be detected in two of the volunteers. On Day 5, all
volunteers had faecal concentrations of MCB3681 ranging from 98.9 to 226.3 mg/kg.
MCB3681 caused no ecological changes in the skin, nasal and oropharyngeal
microbiota. The numbers of enterococci, bifidobacteria, lactobacilli and
clostridia decreased in the intestinal microbiota during administration of the
drug. Numbers of Escherichia coli, other enterobacteria and Candida were not
affected during the study. There was no impact on the number of Bacteroides. The
faecal microbiota was normalised on Day 19. No new colonising aerobic or
anaerobic Gram-positive bacteria with MCB3681 minimum inhibitory concentrations
of >=4 mg/L were found.
PMID- 24931055
TI - Dietary self-monitoring and long-term success with weight management.
AB - OBJECTIVE: To examine the contributions of frequency, consistency, and
comprehensiveness of dietary self-monitoring to long-term weight change. METHODS:
Participants included 220 obese women (mean +/- SD, age = 59.3 +/- 6.1 years; BMI
= 36.8 +/- 4.9 kg/m(2) ) who achieved a mean weight loss of -10.39 +/- 5.28% from
baseline during 6 months of behavioral treatment and regained 2.30 +/- 7.28%
during a 12-month extended-care period. The contributions of cumulative frequency
of self-monitoring (total number of food records), consistency across time
(number of weeks with >=3 records), and comprehensiveness of information recorded
were examined as predictors of weight regain in a hierarchical linear regression
analysis. The mediating role of adherence to daily caloric intake goals was
tested using a bootstrapping analysis. RESULTS: The association between high
total frequency of self-monitoring and reduced weight regain was moderated by
weekly consistency of self-monitoring, P = 0.004; increased frequency produced
beneficial effects on weight change only when coupled with high consistency (>3
days/week). There was no impact of comprehensiveness on weight change, P > 0.05.
The favorable effect of high frequency/high consistency self-monitoring on weight
change was partially mediated by participants' success in meeting daily caloric
intake goals (P < 0.001). CONCLUSION: The combination of high frequency plus high
consistency of dietary self-monitoring improves long-term success in weight
management.
PMID- 24931056
TI - Studies on distribution of alpha1-antitrypsin, lysozyme, lactoferrin, and mast
cell enzymes in diseased middle ear mucosa.
AB - CONCLUSION: Antimicrobial agents (AMAs) and mast cells in chronic otitis media
(COM) may play a protective role in the pathogenesis of COM. Among them, our
results indicated that lysozyme may be the most important parameter of the mucosa
infectivity in COM. OBJECTIVES: AMAs include alpha 1-antitrypsin (alpha1-AT),
lysozyme, and lactoferrin and exhibit innate immune activity that is known to
play a critical role in mucosal defenses. In addition, proteases expressed in
mast cells contribute to mucosal defenses. The aim of this study was to identify
AMAs responsible for COM as well as their association with middle ear mucosal
diseases. METHODS: Middle ear mucosa (MEM) was intraoperatively collected by
biopsy from patients, diagnosed as COM without or with cholesteatoma, and
immediately processed for immunohistochemical study to evaluate expression of
AMAs and mast cell proteases (chymase and tryptase). RESULTS: The AMAs and mast
cell enzymes were observed prominently in the chronic middle ear diseases whereas
immunoreactivity of alpha1-AT and lysozyme was not significantly increased in the
COM with cholesteatoma compared with that in COM without cholesteatoma.
Lactoferrin was not detected in the diseased MEMs.
PMID- 24931057
TI - Prescription opioid abuse and tampering in the United States: results of a self
report survey.
AB - OBJECTIVE: The objective of this study is to estimate the prevalence and impact
of prescription opioid abuse and tampering among US adults. METHODS: Participants
from the US National Health and Wellness Survey were invited to complete an
online survey assessing use, misuse, and abuse of prescription opioid medications
in the preceding 3 months. A total of 25,864 adults were screened for self
reported opioid abuse. Prevalence was calculated using weights based on age,
gender, race, and education. Respondents reporting abuse or medical use of
prescription opioid medication in the prior 3 months (N = 1,242) completed a
questionnaire assessing health care resource use and the Work Productivity and
Activity Impairment questionnaire. RESULTS: The prevalence of prescription opioid
abuse in the 3 months prior to the survey was estimated at 1.31% of US adults,
with approximately half (0.67%) tampering during that time. Opioid abuse
increased with younger age, male sex, minority race, psychiatric illness,
alcoholism, cigarette smoking, being employed, and higher household income.
Respondents abusing opioid medications reported greater impairment in work and
nonwork activities and more health care use than nonusers. Tampering with opioid
medication was associated with greater productivity loss and increased use of
health care (all P < 0.05). CONCLUSIONS: Tampering with opioid medications to get
high is associated with substantial loss of productivity and health care use.
Technologies that reduce users' ability to tamper may reduce the burden of opioid
abuse on the health care system.
PMID- 24931058
TI - The role of the central Fe atom in the N4-macrocyclic structure for the
enhancement of oxygen reduction reaction in a heteroatom nitrogen-carbon
nanosphere.
AB - In the present work, we demonstrated the significance of a central transition
metal, Fe, in a N4-macrocycle for the enhancement of ORR activity and other
electrochemical properties. The catalysts were synthesized by a solution plasma
process. Fe-phthalocyanine/benzene and phthalocyanine/benzene were chosen as the
precursors of Fe-phthalocyanine based mesoporous carbon (FP-MCS) and
phthalocyanine based mesoporous carbon (P-MCS) catalysts, respectively. The
existence of Fe-N4 and N4 macrocyclic structures was confirmed by X-ray
photoelectron spectroscopy. From the chemical bonding structure, FP-MCS
demonstrated that the Me-N peaks increased as the amount of iron-phthalocyanine
introduced in the experiment increased. The dominant active site was shifted from
pyridinic nitrogen to Me-N when iron-phthalocyanine was present. The analysis of
Tof-SIMS indicated that the relative intensity of FeN4Cy(+) ions was
approximately 50% of the total amount of ionized species of ?FeNxCy(+). Both XPS
and Tof-SIMS results confirmed that the Fe-N4 site was the most favourable
structure in the matrix. From CV measurements, the cathodic peak current
corresponding to ORR activity slightly shifted from -0.19 V to -0.17 V when the
active site changed from N4 to Fe-N4 macrocyclic structure. The current density
increased more than 30% in the presence of iron. Based on the calculation of
Koutecky-Levich plots, the electron transfer numbers for ORR reaction in P- and
FP-MCSs were 3.25 and 3.98, respectively. These results clearly demonstrated that
the presence of a Fe central ion in the N4-macrocyclic structure significantly
enhanced the ORR and charge transfer number in ORR activities.
PMID- 24931060
TI - Template-assisted in situ polymerization for forming blue organic light-emitting
nanotubes.
AB - A functional monomer was thermally polymerized inside the anodized aluminum oxide
(AAO) channel into nanotubes, which were isolated and characterized to be
semiconductive and blue fluorescent, and were utilized as nano-containers of
Fe3O4 nanoparticles to form magnetic nanocomposites.
PMID- 24931059
TI - Calling for rapid development of a safe and effective MERS vaccine.
AB - The geographic spread and rapid increase in the cases of Middle East respiratory
syndrome (MERS) caused by a novel coronavirus (MERS-CoV) during the past two
months have raised concern about its pandemic potential. Here we call for the
rapid development of an effective and safe MERS vaccine to control the spread of
MERS-CoV.
PMID- 24931062
TI - Is thrombophilia associated with placenta-mediated pregnancy complications? A
prospective cohort study: reply.
PMID- 24931063
TI - Extensive ecchymosis associated with infective endocarditis.
PMID- 24931061
TI - Metabolic syndrome-like components and prostate cancer risk: results from the
Reduction by Dutasteride of Prostate Cancer Events (REDUCE) study.
AB - OBJECTIVE: To evaluate the relationship between number of metabolic syndrome
(MetS)-like components and prostate cancer diagnosis in a group of men where
nearly all biopsies were taken independent of prostate-specific antigen (PSA)
level, thus minimising any confounding from how the various MetS-like components
may influence PSA levels. SUBJECTS/PATIENTS AND METHODS: We analysed data from
6426 men in the Reduction by Dutasteride of Prostate Cancer Events (REDUCE) study
with at least one on-study biopsy. REDUCE compared dutasteride vs placebo on
prostate cancer risk among men with an elevated PSA level and negative pre-study
biopsy and included two on-study biopsies regardless of PSA level at 2 and 4
years. Available data for MetS-like components included data on diabetes,
hypertension, hypercholesterolaemia, and body mass index. The association between
number of these MetS-like components and prostate cancer risk and low-grade
(Gleason sum <7) or high-grade (Gleason sum >7) vs no prostate cancer was
evaluated using logistic regression. RESULTS: In all, 2171 men (34%) had one MetS
like component, 724 (11%) had two, and 163 (3%) had three or four. Men with more
MetS-like components had lower PSA levels (P = 0.029). One vs no MetS-like
components was protective for overall prostate cancer (P = 0.041) and low-grade
prostate cancer (P = 0.010). Two (P = 0.69) or three to four (P = 0.15) MetS-like
components were not significantly related to prostate cancer. While one MetS-like
component was unrelated to high-grade prostate cancer (P = 0.97), two (P = 0.059)
or three to four MetS-like components (P = 0.02) were associated with increased
high-grade prostate cancer risk, although only the latter was significant.
CONCLUSION: When biopsies are largely PSA level independent, men with an initial
elevated PSA level and a previous negative biopsy, and multiple MetS-like
components were at an increased risk of high-grade prostate cancer, suggesting
the link between MetS-like components and high-grade prostate cancer is unrelated
to a lowered PSA level.
PMID- 24931064
TI - Use of the CRUSADE bleeding risk score in the prediction of major bleeding for
patients with acute coronary syndrome receiving enoxaparin in Thailand.
AB - BACKGROUND: CRUSADE risk score stands out as a simple-to-use bleeding risk model.
However, its use is still doubtful for Thai population. The aim of this study was
to assess the prognostic value of CRUSADE in predicting risk of major bleeding
among Thai patients with acute coronary syndrome (ACS) receiving enoxaparin.
METHODS: A retrospective cohort study was performed using patients with ACS who
were hospitalised at a university hospital in Bangkok between 2006 and 2009 and
had received enoxaparin. The CRUSADE risk score was calculated. The model
validation was tested by using C statistic and Hosmer-Lemeshow goodness-of-fit.
RESULTS: The overall incidence of major bleeding was 18.3%. Median CRUSADE score
for entire study population, unstable angina (UA), non-ST elevation myocardial
infarction (NSTEMI), and ST elevation myocardial infarction (STEMI) were 49, 47,
53, and 39, respectively. Hosmer-Lemeshow goodness of fit revealed no statistical
significance in all groups. The CRUSADE model demonstrated a satisfactory
discriminatory capacity for the entire study population (C = 0.688), UA (C =
0.591), NSTEMI (C = 0.693), and STEMI groups (C = 0.736). CONCLUSIONS: Across the
ACS spectrum, CRUSADE risk score was able to estimate in-hospital major bleeding
of Thai patients with ACS who received treatment with enoxaparin. The application
of these results in Thailand may be helpful in the identification of patients at
high bleeding risk and also may lead to implementation of appropriate prevention.
PMID- 24931065
TI - Post-traumatic injury of the brachiocephalic artery: on-pump beating heart
repair.
AB - We report the case of 54 year-old man who presented with an injury of the
brachiocephalic artery secondary to a violent blunt chest trauma. The patient
underwent urgent open surgical repair. The procedure was achieved on on-pump
beating heart approach. The subsequent course was uneventful.
PMID- 24931066
TI - Differences in exercise capacity in patients with chronic left heart failure and
chronic right heart failure.
AB - BACKGROUND: Exercise impairment is common in chronic left heart failure and
pulmonary arterial hypertension (PAH). Exercise impairment degree is a strong
predictor of clinical outcome. Our purpose was to evaluate differences in
exercise capacity using cardiopulmonary exercise testing (CPX) in patients with
chronic left and right heart failure, and determine which factors were related to
exercise impairment. METHODS: 102 patients with class II/III New York Heart
Association were involved in the study (41 with chronic left heart failure, 61
with chronic right heart failure secondary to PAH). All patients underwent CPX to
evaluate exercise capacity. RESULTS: Patients with right heart failure had
significantly lower peak oxygen uptake (VO2), peak VO2/kg ratio, peak oxygen
uptake/heart rate (VO2/HR) ratio and increases in oxygen uptake/increase in work
rate (DeltaVO2/DeltaWR) slope, and had higher minute ventilation/CO2 production
ratio and peak dead space volume/tidal volume during exercise. In patients with
left heart failure, peak VO2/HR ratio was positively correlated with
DeltaVO2/DeltaWR slope. However, VO2 and VO2/HR ratio were positively correlated
with DeltaVO2/DeltaWR slope in patients with right heart failure. CONCLUSIONS:
Compared with left heart failure, patients with right heart failure showed worse
exercise capacity resulting from worse pulmonary and cardiovascular adaptation to
exercise.
PMID- 24931067
TI - Pulmonary artery stenosis and aneurysm with idiopathic mediastinal fibrosis.
PMID- 24931068
TI - Effects of deep hypothermic circulatory arrest on the blood brain barrier in a
cardiopulmonary bypass model--a pilot study.
AB - BACKGROUND: Neurologic injury is common after cardiac surgery and disruption of
the blood brain barrier (BBB) has been proposed as a contributing factor. We
sought to study BBB characteristics in a rodent model of cardiopulmonary bypass
(CPB) and deep hypothermic circulatory arrest (DHCA). METHODS: Adult rats were
subjected to CPB/DHCA or to sham surgery. Analysis included Western blotting of
relevant BBB proteins in addition to in vivo brain magnetic resonance imaging
(MRI) with a clinically used low-molecular contrast agent. RESULTS: While
quantitative analysis of BBB proteins revealed similar expression levels, MRI
showed evidence of BBB disruption after CPB/DHCA compared to sham surgery.
CONCLUSIONS: Combining molecular BBB analysis and MRI technology in a rodent
model is a highly translatable approach to study adverse neurologic outcomes
following CPB/DHCA.
PMID- 24931070
TI - Prevalence of lower urinary tract symptoms, overactive bladder and urinary
incontinence in western Turkey: results of a population-based survey.
AB - OBJECTIVE: To estimate the prevalence of lower urinary tract symptoms, urinary
incontinence and overactive bladder in western Turkey. METHOD: This cross
sectional, population-based survey was carried out between May and October 2012.
A random sample of 2128 women and men aged >=18 years was selected from the
health registries. A questionnaire including sociodemographic data, comorbid
conditions, lower urinary tract symptoms, overactive bladder and urinary
incontinence symptoms, body mass index, vital signs, and dipstick urinalysis was
developed. The questions were answered by the participants, and remaining data
were provided by the site staff. International Continence Society definitions
were used. RESULTS: A total of 1571 (74%) individuals agreed to participate, and
analysis were carried out on 1555 people (636 men [40.9%] and 919 women [59.1%])
after 16 individuals with a nitrite-positive dipstick test were excluded. Lower
urinary tract symptoms were reported by 71.0% of the study population. The
prevalence of storage, voiding and post-micturition symptoms were 56.1% (44.2%
men, 64.1% women), 39.3% (40.9% men, 37.8% women) and 30.7% (38.6% men, 28.7%
women), respectively. The most prevalent storage symptom was urgency, which was
reported by 29.3% of the study population (20.1% men, 35.6% women). The
prevalence of urge, stress and mixed urinary incontinence were: 6.5% (3.9% men,
8.2% women), 14.1% (3.9% men, 21.2% women) and 5.6% (0.8% men, 9.0% women),
respectively. CONCLUSION: The present study is the first and largest population
based survey evaluating the prevalence of lower urinary tract symptoms, urinary
incontinence and overactive bladder in Turkey. Our findings show these symptoms
are highly prevalent in western Turkey.
PMID- 24931069
TI - Painful macules of hand cholesterol crystal embolization successfully treated
with oral corticosteroid, statin, and sarpogrelate.
PMID- 24931071
TI - Unmet need for contraception: issues and challenges.
AB - Unmet need for contraception has been a central indicator for monitoring the
progress of family planning programs for 25 years. The purpose of this article is
to provide a broad context for the more focused contributions that follow in this
special issue. The validity and measurement of the concept of unmet need are
discussed. We then present regional trends among married women since 1970. Major
reductions in unmet need have been achieved, with the clear exception of sub
Saharan Africa. Less success can be claimed in addressing the needs of sexually
active unmarried women, who contribute nearly 20 percent to overall unmet need in
developing countries. Prominent reasons for unmet need in settings where
contraceptive uptake is low include social resistance and insufficient
information concerning methods. As contraceptive use increases, the importance of
these reasons wanes, but concerns regarding side effects and health impact remain
a barrier, and discontinued users now constitute a large proportion of those with
unmet need. Drawing on these reasons, we outline measures to further reduce unmet
need.
PMID- 24931072
TI - Understanding unmet need: history, theory, and measurement.
AB - During the past two decades, estimates of unmet need have become an influential
measure for assessing population policies and programs. This article recounts the
evolution of the concept of unmet need, describes how demographic survey data
have been used to generate estimates of its prevalence, and tests the sensitivity
of these estimates to various assumptions in the unmet need algorithm. The
algorithm uses a complex set of assumptions to identify women: who are sexually
active, who are infecund, whose most recent pregnancy was unwanted, who wish to
postpone their next birth, and who are postpartum amenorrheic. The sensitivity
tests suggest that defensible alternative criteria for identifying four out of
five of these subgroups of women would increase the estimated prevalence of unmet
need. The exception is identification of married women who are sexually active;
more accurate measurement of this subgroup would reduce the estimated prevalence
of unmet need in most settings.
PMID- 24931073
TI - Reasons for contraceptive nonuse among women having unmet need for contraception
in developing countries.
AB - The level of unmet need for contraception-an important motivator of international
family planning programs and policies-has declined only slightly in recent
decades. This study draws upon data from 51 surveys conducted between 2006 and
2013 in Africa, Asia, and Latin America and the Caribbean to provide an updated
review of the reasons why many married women having unmet need are not practicing
contraception. We examine the reasons for contraceptive nonuse and how these
reasons vary across countries and according to national levels of unmet need and
contraceptive use. We present specific findings regarding the most widespread
reasons for nonuse, particularly infrequent sex and concerns regarding side
effects or health risks. Our findings suggest that access to services that
provide a range of methods from which to choose, and information and counseling
to help women select and effectively use an appropriate method, can be critical
in helping women having unmet need overcome obstacles to contraceptive use.
PMID- 24931074
TI - Do natural methods count? underreporting of natural contraception in urban
Burkina Faso.
AB - Natural methods of contraception were widely used in developed countries until
the late 1960s to space and limit childbirth. In France, when the first
contraceptive surveys were conducted, researchers noticed that the use of natural
methods was underreported, and questions to correct for this bias were
subsequently added. The Demographic and Health Surveys do not currently include
questions specific to natural methods. We added such questions to the standard
DHS question regarding current contraceptive use when we conducted the Health and
Demographic Surveillance System of Ouagadougou (2010 Ouaga HDSS) health survey in
Burkina Faso among 758 women aged 15-49. Doing so enabled us to find a notable
increase in the proportion of women in union who reported practicing
contraception: 58 percent, compared with 38 percent in Ouagadougou in the 2010
Burkina Faso DHS. Thirty-two percent of women reported using modern medical
methods or condoms in both surveys, but use of natural methods was much greater
in the 2010 Ouaga HDSS health survey (26 percent) than in the 2010 Burkina Faso
DHS (5 percent). Many women classified as having unmet need for family planning
in Ouagadougou by the DHS data are in fact users of natural methods. Additional
questions that would measure use of natural methods more completely should be
tested in different settings.
PMID- 24931075
TI - Demand for contraception to delay first pregnancy among young married women in
India.
AB - In India, evidence is sparse regarding the demand for contraception to delay
first pregnancy among married young people. Using data drawn from a study
conducted in six Indian states among 9,572 women aged 15-24 who were married for
five or fewer years, we explore the scope of this demand, the extent to which it
has been satisfied, and, using logistic regression analyses, the factors
correlated with contraceptive use to delay first pregnancy among those reporting
demand. Findings confirm considerable demand for contraception to postpone first
pregnancy (51 percent). Of those with demand, only 10 percent had practiced
contraception. Contraception was more likely to have been practiced by educated
women, those aware of family planning methods before they were married, those
exposed to quality sexuality education, and those who participated in marriage
related decision making. Women who reported feeling pressure to prove their
fertility were less likely to have practiced contraception.
PMID- 24931076
TI - Unmet need for family planning in Ghana: the shifting contributions of lack of
access and attitudinal resistance.
AB - In Ghana, despite a 38 percent decline in the total fertility rate from 1988 to
2008, unmet need for family planning among married women exposed to pregnancy
risk declined only modestly in this period: from 50 percent to 42 percent.
Examining data from the five DHS surveys conducted in Ghana during these years,
we find that the relative contribution to unmet need of lack of access to
contraceptive methods has diminished, whereas attitudinal resistance has grown.
In 2008, 45 percent of women with unmet need experienced no apparent obstacles
associated with access or attitude, 32 percent had access but an unfavorable
attitude, and 23 percent had no access. Concerns regarding health as a reason for
nonuse have been reported in greater numbers over these years and are now the
dominant reason, followed by infrequent sex. An enduring resistance to hormonal
methods, much of it based on prior experience of side effects, may lead many
Ghanaian women, particularly the educated in urban areas, to use periodic
abstinence or reduced coital frequency as an alternative to modern contraception.
PMID- 24931077
TI - Unmet need and fertility decline: a comparative perspective on prospects in sub
Saharan Africa.
AB - This study assesses how changes in unmet need for family planning have
contributed to contemporary fertility declines, and the implications of this
historical record for further fertility decline, especially in sub-Saharan
Africa. We examine joint trends at the national level in fertility, unintended
fertility, and unmet need. We bring unintended fertility into the analysis
because the underlying rationale for reducing unmet need is to avert unintended
pregnancies and births. The association over time between unmet need and
fertility is investigated using survey data from 45 countries in Africa, Asia,
and Latin America and the Caribbean from the mid-1970s to the present. The
empirical analysis finds that reduction in unmet need, especially unmet need for
limiting, is strongly associated with fertility decline in Latin America and the
Caribbean and in Asia and North Africa. Fertility decline in sub-Saharan Africa
is weakly associated with trends in unmet need (and satisfaction of demand). We
propose that the stark regional difference is due to measurement problems and to
the fundamentally different character of fertility decline in sub-Saharan Africa,
itself reflective of basic differences in pretransition reproductive regimes.
PMID- 24931078
TI - The impact of family planning programs on unmet need and demand for
contraception.
AB - Much of the existing literature on the demographic impact of family planning
programs focuses on their role in increasing contraceptive use, which, in turn,
accelerates fertility decline. What is not clear, however, is whether this effect
operates solely through a reduction in unmet need brought about by eliminating
obstacles to use or whether and to what extent the programs also affect demand
for contraception through messages concerning the benefits of family planning.
This article aims to shed additional light on this issue by analyzing data drawn
from recent Demographic and Health Surveys conducted in 63 developing countries.
The first section reviews general levels and trends in unmet need, demand, and
use over the course of the fertility transition. The second section presents
different types of evidence of program effects, including results from a
controlled experiment and from country case studies. The evidence indicates a
program impact on both unmet need and demand.
PMID- 24931079
TI - Using measurements of unmet need to inform program investments for health service
integration.
AB - Demographic and Health Survey (DHS) data could potentially inform optimal
strategies to reach women having unmet need with contraceptive services through
integrated service delivery. Using 2010-11 DHS data from Nepal, Senegal, and
Uganda, we estimate the proportion of married or cohabitating women of
reproductive age (MWRA) having unmet need for family planning (FP) who have
accessed selected health services and therefore could be offered FP services
through integrated service delivery. We find substantial missed opportunities to
reach MWRA having unmet need for family planning (FP) in the three countries
examined. We also find considerable variation within and between countries in the
potential for integrated services to reach women having unmet need. Between 4
percent and 57 percent of MWRA having unmet need in these countries could be
reached through integration of FP into any single-service delivery platform we
explored. This analysis has the potential to provide program managers with an
evidence-based road map indicating which service-delivery platforms offer the
greatest potential to reach the largest number of women having unmet need for
contraception.
PMID- 24931080
TI - Reducing unmet need and unwanted childbearing: evidence from a panel survey in
Pakistan.
AB - Pakistan's high unmet need for contraception and low contraceptive prevalence
remain a challenge, especially in light of the country's expected contribution to
the FP2020 goal of expanding family planning services to an additional 120
million women with unmet need. Analysis of panel data from 14 Pakistani districts
suggests that efforts to reduce unmet need should also focus on empowering women
who are currently practicing contraception to achieve their own reproductive
intentions through continuation of contraceptive use of any method. Providing
women with better quality of care and encouraging method switching would bridge
the gap that exists when women are between methods and thus would reduce unwanted
births. This finding is generalizable to other countries that, like Pakistan, are
highly dependent on short-acting modern and traditional methods. The approach of
preventing attrition among current contraceptive users would be at least as
effective as persuading nonusers to adopt a method for the first time.
PMID- 24931081
TI - What Happened When CrossCheck Was Not Used for a Month in Journal of
Neurogastroenterology and Motility?
PMID- 24931082
TI - Is this the era of interstitial cells of cajal transplantation?
PMID- 24931084
TI - Introduction: Magnetic resonance imaging comes of age in radiation oncology.
PMID- 24931085
TI - The value of magnetic resonance imaging for radiotherapy planning.
AB - The success of highly conformal radiotherapy techniques in the sparing of normal
tissues or in dose escalation, or both, relies heavily on excellent imaging.
Because of its superior soft tissue contrast, magnetic resonance imaging is
increasingly being used in radiotherapy treatment planning. This review discusses
the current clinical evidence to support the pivotal role of magnetic resonance
imaging in radiation oncology.
PMID- 24931086
TI - Magnetic resonance imaging acquisition techniques for radiotherapy planning.
AB - Magnetic resonance imaging (MRI) has a number of benefits for the planning of
radiotherapy (RT), but its uptake into clinical practice has often been
restricted to specialist research sites. There is often a lack of detailed MRI
knowledge within the RT community and an apprehension of geometric distortions,
both of which prevent its best utilization and merit the introduction of a
standardized approach and common guidelines. This review sets out to address some
of the issues involved in acquiring MRI scans for RT planning in the context of a
number of clinical sites of interest and concludes with recommendations for its
best practice in terms of imaging protocol and quality assurance. The article is
of particular interest to the growing number of cancer therapy centers that are
embarking on MRI simulation on either existing systems or their own dedicated
scanners.
PMID- 24931083
TI - Insulin treatment normalizes retinal neuroinflammation but not markers of synapse
loss in diabetic rats.
AB - Diabetic retinopathy is one of the leading causes of blindness in developed
countries, and a majority of patients with type I and type II diabetes will
develop some degree of vision loss despite blood glucose control regimens. The
effects of different insulin therapy regimens on early metabolic, inflammatory
and neuronal retinal disease processes such as retinal neuroinflammation and
synapse loss have not been extensively investigated. This study compared 3 months
non-diabetic and streptozotocin (STZ)-induced diabetic Sprague Dawley rats.
Diabetic rats received either no insulin treatment, systemic insulin treatment
beginning after 1 week uncontrolled diabetes (early intervention, 11 weeks on
insulin), or after 1.5 months uncontrolled diabetes (late intervention, 6 weeks
on insulin). Changes in both whole animal metabolic and retinal inflammatory
markers were prevented by early initiation of insulin treatment. These metabolic
and inflammatory changes were also normalized by the later insulin intervention.
Insulin treatment begun 1 week after diabetes induction ameliorated loss of
retinal synapse markers. Synapse markers and presumably synapse numbers were
equivalent in uncontrolled diabetes and when insulin treatment began at 1.5
months of diabetes. These findings are in agreement with previous demonstrations
that retinal synapses are lost within 1 month of uncontrolled diabetes and
suggest that synapses are not regained with glycemic control and restoration of
insulin signaling. However, increased expression of metabolic and inflammatory
markers associated with diabetes was reversed in both groups of insulin
treatment. This study also emphasizes the need for insulin treatment groups in
diabetic retinopathy studies to provide a more faithful modeling of the human
condition.
PMID- 24931087
TI - Point: Principles of magnetic resonance imaging integration in a computed
tomography-based radiotherapy workflow.
AB - Computed tomography (CT) is the primary imaging modality on which radiation
therapy has been based, leading to a CT-based workflow that is well established.
CT provides a geometrically accurate patient model on which accurate radiation
planning occurs, and it is the basis of the present state-of-the-art image
guidance systems, which are well integrated with the treatment unit. Magnetic
resonance imaging (MRI) is becoming an increasingly important tool in radiation
oncology, as it can provide anatomical and functional information regarding the
tumor and normal tissues, which may be complimentary to information from CT
alone. MRI may be integrated into a CT-based radiotherapy (RT) workflow, using
image registration tools. Such tools are already an inherent part of the RT
workflow, for multimodality and multiphasic image registration for radiation
planning (for MRI, positron emission tomography, and other imaging) and for image
guidance at the treatment unit. The rationale for MRI integration in a CT-based
RT workflow is reviewed here, and technical challenges and solutions regarding
image registration of MRI throughout the entire RT process are discussed.
PMID- 24931088
TI - Counterpoint: Opportunities and challenges of a magnetic resonance imaging-only
radiotherapy work flow.
AB - Magnetic resonance (MR) imaging plays an important role in modern radiotherapy.
The benefits of MR as compared with those of computed tomography for the
definition of target volumes is evident for many soft tissue tumor types. It has
been suggested that for these patient groups, the computed tomography examination
is unnecessary as part of the preparation for radiotherapy. Here, we review the
rationale for an MR-only radiotherapy work flow, as well as the technical
challenges and solutions connected to it.
PMID- 24931090
TI - Introduction: Systems for magnetic resonance image guided radiation therapy.
PMID- 24931089
TI - Magnetic resonance image guided brachytherapy.
AB - The application of magnetic resonance image (MRI)-guided brachytherapy has
demonstrated significant growth during the past 2 decades. Clinical improvements
in cervix cancer outcomes have been linked to the application of repeated MRI for
identification of residual tumor volumes during radiotherapy. This has changed
clinical practice in the direction of individualized dose administration, and
resulted in mounting evidence of improved clinical outcome regarding local
control, overall survival as well as morbidity. MRI-guided prostate high-dose
rate and low-dose-rate brachytherapies have improved the accuracy of target and
organs-at-risk delineation, and the potential exists for improved dose
prescription and reporting for the prostate gland and organs at risk.
Furthermore, MRI-guided prostate brachytherapy has significant potential to
identify prostate subvolumes and dominant lesions to allow for dose
administration reflecting the differential risk of recurrence. MRI-guided
brachytherapy involves advanced imaging, target concepts, and dose planning. The
key issue for safe dissemination and implementation of high-quality MRI-guided
brachytherapy is establishment of qualified multidisciplinary teams and
strategies for training and education.
PMID- 24931091
TI - A facility for magnetic resonance-guided radiation therapy.
AB - Magnetic resonance (MR) imaging is routinely employed in the design of
radiotherapy (RT) treatment plans for many disease sites. It is evident that
tighter integration of MR imaging into the RT process would increase confidence
in dose placement and facilitate the integration of new MR imaging information
(including anatomical and functional imaging) into the therapy process. To this
end, a dedicated MR-guided RT (MRgRT) facility has been created that integrates a
state-of-the-art linear accelerator delivery system, high-dose rate brachytherapy
afterloader, and superconducting MR scanner to allow MR-based online treatment
guidance, adaptive replanning, and response monitoring while maintaining the
clinical functionality of the existing delivery systems. This system is housed
within a dedicated MRgRT suite and operates in a coordinated fashion to assure
safe and efficient MRgRT treatments.
PMID- 24931093
TI - The rotating biplanar linac-magnetic resonance imaging system.
AB - We have successfully built linac-magnetic resonance imaging (MR) systems based on
a linac waveguide placed between open MR planes (perpendicular) or through the
central opening of one of the planes (parallel) to improve dosimetric properties.
It rotates on a gantry to irradiate at any angle. Irradiation during MR imaging
and automatic 2-dimensional MR image-based target tracking and automatic beam
steering to the moving target have been demonstrated with our systems. The
functioning whole-body system (0.6-T MR and 6-MV linac) has been installed in an
existing clinical vault without removing the walls or the ceiling and without the
need of a helium exhaust vent.
PMID- 24931092
TI - The ViewRay system: magnetic resonance-guided and controlled radiotherapy.
AB - A description of the first commercially available magnetic resonance imaging
(MRI)-guided radiation therapy (RT) system is provided. The system consists of a
split 0.35-T MR scanner straddling 3 (60)Co heads mounted on a ring gantry, each
head equipped with independent doubly focused multileaf collimators. The MR and
RT systems share a common isocenter, enabling simultaneous and continuous MRI
during RT delivery. An on-couch adaptive RT treatment-planning system and
integrated MRI-guided RT control system allow for rapid adaptive planning and
beam delivery control based on the visualization of soft tissues. Treatment of
patients with this system commenced at Washington University in January 2014.
PMID- 24931094
TI - The Australian magnetic resonance imaging-linac program.
AB - The Australian magnetic resonance imaging (MRI)-Linac program is a $16-million
government-funded project to advance the science and clinical practice of
exquisite real-time anatomical and physiological adaptive cancer therapy. The
centerpiece of the program is a specifically designed 1-T open-bore MRI/6-MV
linac system that is planned for delivery and completion of installation in 2014.
Current scientific endeavors include engineering discovery in MRI component
design, quantifying MRI and linac interactions, and developing image guidance and
adaptation strategies.
PMID- 24931095
TI - The magnetic resonance imaging-linac system.
AB - The current image-guided radiotherapy systems are suboptimal in the esophagus,
pancreas, kidney, rectum, lymph node, etc. These locations in the body are not
easily accessible for fiducials and cannot be visualized sufficiently on cone
beam computed tomographies, making daily patient set-up prone to geometrical
uncertainties and hinder dose optimization. Additional interfraction and
intrafraction uncertainties for those locations arise from motion with breathing
and organ filling. To allow real-time imaging of all patient tumor locations at
the actual treatment position a fully integrated 1.5-T, diagnostic quality,
magnetic resonance imaging with a 6-MV linear accelerator is presented. This
system must enable detailed dose painting at all body locations.
PMID- 24931096
TI - Magnetic resonance imaging of the tumor microenvironment in radiotherapy:
perfusion, hypoxia, and metabolism.
AB - The tumor microenvironment is characterized by hypoxia, low pH, and high
interstitial fluid pressure. Hypoxic regions in tumors with low partial pressure
of oxygen (pO2) levels can result in resistance to radiotherapy, thus causing
local failure. Therefore, it would be desirable to noninvasively measure pO2
levels in the tumor before, during, and after treatment to better customize
therapy and follow treatment response. Several techniques used in preclinical and
clinical studies to obtain the pO2 status of tissue, such as dynamic contrast
enhanced magnetic resonance imaging, blood oxygen level-dependent imaging, and
electron paramagnetic resonance imaging, are reviewed. Furthermore, the ability
to hyperpolarize specific metabolic substrates that are isotopically labeled with
(13)C coupled with magnetic resonance spectroscopy enables noninvasive imaging of
tissue metabolism, such as glycolysis.
PMID- 24931098
TI - Magnetic resonance-guided adaptive radiotherapy: a solution to the future.
AB - Magnetic resonance imaging-guided adaptive radiotherapy would make available the
best in anatomical and functional imaging during the course of radiation therapy.
The possible methodology of magnetic resonance imaging-guided adapted
radiotherapy and possible clinical applications are discussed.
PMID- 24931099
TI - Crystal structure tuning in GaAs nanowires using HCl.
AB - The use of HCl during growth of nanowires presents new possibilities for
controlling the growth dynamics and resulting nanowire properties. In this paper,
we investigate the effects of in situ HCl on the growth of Au-seeded GaAs
nanowires in a growth regime where both wurtzite and zinc blende crystal
structures are possible to achieve. We find that HCl changes the crystal
structure of the nanowires from pure wurtzite to defect-free zinc blende. By
comparing the growth of wurtzite-zinc blende heterostructures with and without
the addition of HCl, it is deduced that HCl mainly interacts with Ga species
prior incorporation, reducing the amount of Ga available to contribute to the
growth. We conclude that the change in crystal structure is related to the
reduction of Ga adatoms, and demonstrate the realization of wurtzite-zinc blende
heterostructures with atomically sharp interfaces achieved only by adding HCl.
PMID- 24931097
TI - Clinical applications for diffusion magnetic resonance imaging in radiotherapy.
AB - In this article, we review the clinical applications of diffusion magnetic
resonance imaging (MRI) in the radiotherapy treatment of several key clinical
sites, including those of the central nervous system, the head and neck, the
prostate, and the cervix. Diffusion-weighted MRI (DWI) is an imaging technique
that is rapidly gaining widespread acceptance owing to its ease and wide
availability. DWI measures the mobility of water within tissue at the cellular
level without the need of any exogenous contrast agent. For radiotherapy
treatment planning, DWI improves upon conventional imaging techniques, by better
characterization of tumor tissue properties required for tumor grading,
diagnosis, and target volume delineation. Because DWI is also a sensitive marker
for alterations in tumor cellularity, it has potential clinical applications in
the early assessment of treatment response following radiation therapy.
PMID- 24931100
TI - miR-146a and miR-155 expression in PBMCs from patients with Sjogren's syndrome.
AB - BACKGROUND: An increasing number of studies have revealed that microRNA (miRNA)
contributes to the pathogenesis of autoimmune diseases. The objective of this
study is to investigate the miR-146a and miR-155 levels in peripheral mononuclear
blood cells from patients with primary Sjogren's syndrome (pSS) who were not
receiving medications and to examine the correlations between these miRNA levels
and the clinical features of the disease. METHOD: Using real-time polymerase
chain reaction analysis of miRNAs, the miR-146a and miR-155 expression levels
were assessed in peripheral mononuclear blood cells from 27 patients with pSS and
22 healthy controls, and the relationships between these miRNA levels and the
visual analog scale (VAS) scores for dry mouth, dry eyes, and parotid gland
swelling were investigated. RESULTS: Compared with the healthy controls, the miR
146a expression level was significantly increased in the patients with pSS (P =
0.0182) and was positively correlated with the VAS scores for parotid swelling (r
= 0.4475, P = 0.0192) and dry eyes (r = 0.4051, P = 0.0361). Although the miR-155
expression level was significantly decreased in the patients with pSS (P =
0.0131), the miR-155 expression positively correlated with the VAS score for dry
eyes (r = 0.4894, P = 0.0096). CONCLUSION: Our results demonstrated miR-146a
overexpression and miR-155 underexpression in the peripheral mononuclear blood
cells of the patients with pSS. Furthermore, the expression levels of these
miRNAs correlated with the patients' clinical features. Our data suggest that miR
146a and miR-155 might play important roles in the pathogenesis of pSS and that
their expression levels may be useful for diagnosing pSS and for predicting
disease activity and therapeutic responses.
PMID- 24931102
TI - Genetics of lipid traits: Genome-wide approaches yield new biology and clues to
causality in coronary artery disease.
AB - A wealth of novel lipid loci have been identified through a variety of approaches
focused on common and low-frequency variation and collaborative metaanalyses in
multiethnic populations. Despite progress in identification of loci, the task of
determining causal variants remains challenging. This work will undoubtedly be
enhanced by improved understanding of regulatory DNA at a genomewide level as
well as new methodologies for interrogating the relationships between noncoding
SNPs and regulatory regions. Equally challenging is the identification of causal
genes at novel loci. Some progress has been made for a handful of genes and
comprehensive testing of candidate genes using multiple model systems is
underway. Additional insights will be gleaned from focusing on low frequency and
rare coding variation at candidate loci in large populations. This article is
part of a Special Issue entitled: From Genome to Function.
PMID- 24931101
TI - Cigarette smoke alters IL-33 expression and release in airway epithelial cells.
AB - Airway epithelium is a regulator of innate immune responses to a variety of
insults including cigarette smoke. Cigarette smoke alters the expression and the
activation of Toll Like Receptor 4 (TLR4), an innate immunity receptor. IL-33, an
alarmin, increases innate immunity Th2 responses. The aims of this study were to
explore whether mini-bronchoalveolar lavage (mini-BAL) or sera from smokers have
altered concentrations of IL-33 and whether cigarette smoke extracts (CSE) alter
both intracellular expression (mRNA and protein) and release of IL-33 in
bronchial epithelial cells. The role of TLR4 in the expression of IL-33 was also
explored. Mini-BALs, but not sera, from smokers show reduced concentrations of IL
33. The expression of IL-33 was increased also in bronchial epithelium from
smokers. 20% CSE reduced IL-33 release but increased the mRNA for IL-33 by real
time PCR and the intracellular expression of IL-33 in bronchial epithelial cells
as confirmed by flow cytometry, immunocytochemistry and western blot analysis.
The effect of CSE on IL-33 expression was also observed in primary bronchial
epithelial cells. IL-33 expression was mainly concentrated within the cytoplasm
of the cells. LPS, an agonist of TLR4, reduced IL-33 expression, and an inhibitor
of TLR4 increased the intracellular expression of IL-33. In conclusion, the
release of IL-33 is tightly controlled and, in smokers, an altered activation of
TLR4 may lead to an increased intracellular expression of IL-33 with a limited IL
33 release.
PMID- 24931104
TI - Determination of 135Cs and 135Cs/137Cs atomic ratio in environmental samples by
combining ammonium molybdophosphate (AMP)-selective Cs adsorption and ion
exchange chromatographic separation to triple-quadrupole inductively coupled
plasma-mass spectrometry.
AB - Since the Fukushima Daiichi Nuclear Power Plant (FDNPP) accident in 2011, the
activity ratio of (134)Cs/(137)Cs has been widely used as a tracer for
contamination source identification. However, because of the short half-life of
(134)Cs (2.06 y), this tracer will become unavailable in the near future. This
article presents an analytical method for the determination of the long-lived
(135)Cs (t(2/1) = 2 * 10(6) y) and the atomic ratio of (135)Cs/(137)Cs, as a
promising geochemical tracer, in environmental samples. The analytical method
involves ammonium molybdophosphate (AMP)-selective adsorption of Cs and
subsequent two-stage ion-exchange chromatographic separation, followed by
detection of isolated radiocesium isotopes via triple-quadrupole inductively
coupled plasma-mass spectrometry (ICP-MS/MS). The AMP-selective adsorption of Cs
and the chromatographic separation system showed high decontamination factors
(10(4)-10(5)) for interfering elements, such as Ba, Mo, Sb, and Sn. Using ICP
MS/MS, only selected ions enter the collision/reaction cell to react with N2O,
reducing the isobaric interferences ((135)Ba(+) and (137)Ba(+)) and polyatomic
interferences ((95) Mo(40)Ar(+), (97) Mo(40)Ar(+), (119)Sn(16)O(+), and
(121)Sb(16)O(+)) produced by sample matrix ions. The high abundance sensitivity
(10(-9) for the (135)Cs/(133)Cs ratio) provided by ICP-MS/MS allowed reliable
analysis of (135)Cs and (137)Cs isotopes with the lowest detection limits ever
reported by mass counting methods (0.01 pg mL(-1) and 0.006 pg mL(-1),
respectively). The developed analytical method was successfully applied to the
determination of (135)Cs and (137)Cs isotopes in environmental samples (soil,
litter, and lichen) collected after the FDNPP accident for contamination source
identification.
PMID- 24931103
TI - [Prevalence of attention deficit/hyperactivity disorder symptoms in outpatient
adolescents and young adults with other psychiatric disorders refractory to
previous treatments].
AB - INTRODUCTION: The aim of the current study was to assess the prevalence of
symptoms of attention deficit/hyperactivity disorder (ADHD) in adolescents and
young adults diagnosed with other primary psychiatric disorders, who had not
responded to previous treatments. MATERIAL AND METHODS: A total of 795
outpatients aged 15 to 24 years were included. The presence of ADHD was studied
using DSM-IV criteria and the frequency of symptoms using the 18 item DuPaul ADHD
Rating Scale. RESULTS: ADHD (DSM-IV criteria) was present in 48 patients (6%),
none of whom had previously received the diagnosis. A total of 260 patients
(32.7%) met the criteria for moderate ADHD and between them, severity of primary
psychiatric disorder was higher according to the CGI-S (P=.007). Risk factors for
moderate ADHD symptoms were the presence of substance use disorders (SUD) (odds
ratio=1.543, P=.01) and borderline personality disorders (odds ratio =2.173,
p=.0001). CONCLUSION: Unrecognized ADHD was present in 6% of patients; moreover
32.7% of the sample also presented moderate symptoms of the disorder. Screening
for ADHD in young patients with refractory response to primary disorder
treatment, mainly those with substance use disorders, conduct and personality
disorders is highly advisable, due to the high frequency of ADHD comorbidity in
these psychiatric disorders.
PMID- 24931106
TI - Assessment of 120 maxillofacial aneurysmal bone cysts: a nationwide quest to
understand this enigma.
AB - PURPOSE: Compared with other maxillofacial lesions, oral and maxillofacial (OM)
aneurysmal bone cysts (ABCs) are rare, and most studies have been case reports.
Because the features or radiographic findings of 1 case could not be
representative of the whole, conclusions cannot be drawn and data analyses will
not be feasible. Our aim was to assess and describe the clinical and
histopathologic characteristics of a large sample of subjects with ABCs. To our
knowledge, the present case series of OM ABCs is the largest ever reported.
MATERIALS AND METHODS: To address our research purpose, we designed and
implemented a retrospective case series. A multicenter retrospective cohort study
of patient charts dated from 1967 to 2013 (46 years) at 10 major universities in
8 cities was undertaken to assess OM ABCs nationwide. Subjects were included if
they had documented chart data and definitive histopathologic slides confirming
the diagnosis and treatment of ABC. They were excluded if their histopathologic
slides did not confirm the diagnosis of an ABC. Data were collected, and special
forms were completed. Variables such as age, gender, site (ie, maxilla, mandible,
anterior, posterior), histologic type (ie, solid, mixed, vascular), signs,
symptoms, radiographic features (ie, radiolucency, unicystic or multilocular),
and outcomes (ie, treatment modal, recurrence, complications) of the lesion were
evaluated and documented by OM surgeons and confirmed by OM pathologists for
assurance. Data analyses were performed using Statistical Package for Social
Sciences, version 20, software (SPSS, Chicago, IL) (P < .05). RESULTS: We
assessed the medical records and histopathologic slides of 120 patients diagnosed
and treated for OM ABCs nationwide. Of these patients, 69 were male (57.5%) and
51 were female (42.5%), with no predilection found. The key findings were as
follows. The mean age of occurrence was 20.7 +/- 2.5 years (range 4 to 78), and
occurrence was significantly greater in the first 2 decades of life (P < .001).
The incidence was 2.6 cases/year. ABCs were significantly more common in the
mandible (P < .05) and posterior areas (P < .05). The most common histopathologic
type was the mixed type (P < .05). Firm swelling was the most common clinical
presentation (P < .05); all cases were radiolucent and commonly unilateral (P <
.05). Most were treated by excision and curettage. Recurrence was reported in 11
patients (9.2%) during the follow-up period (1 to 45 years). CONCLUSIONS: The
present retrospective cohort found ABCs with a variable presentation, disease
course, and histopathologic type, with no gender predilection. ABCs were
significantly more common in childhood and adolescence and in the mandible and
posterior areas of the jaws. Of the cases reviewed, 90% were treated by excision
and curettage. ABCs had a relatively low recurrence rate (<10%), precluding the
need to perform aggressive surgery primarily.
PMID- 24931105
TI - Low-dose recombinant human bone morphogenetic protein-2 to enhance the osteogenic
potential of the Schneiderian membrane in the early healing phase: in vitro and
in vivo studies.
AB - PURPOSE: The objectives of this study were to confirm the osteogenic potential of
the Schneiderian membrane and to elucidate the early healing pattern of low-dose
recombinant human bone morphogenetic protein-2 (rhBMP-2)-coated biphasic calcium
phosphate (BCP). MATERIALS AND METHODS: The osteogenic potential of the
Schneiderian membrane and enhancement by rhBMP-2 were evaluated by in vitro
analysis. RhBMP-2-coated BCP (experimental group) and BCP soaked with saline
(control group) were applied to the maxillary sinus in rabbits. After 2 weeks,
micro-computed tomographic and histometric analyses were performed. RESULTS:
Enhanced osteogenic potential was found when cells from the Schneiderian membrane
were treated with rhBMP-2. Micro-computed tomographic analysis showed that the
total augmented volume was significantly larger in the experimental group.
Different healing patterns were observed in 3 regions, although the area of new
bone did not differ significantly. Although more newly formed bone appeared,
particularly along the Schneiderian membrane in the experimental group, the
difference was not statistically significant. CONCLUSIONS: RhBMP-2 enhanced the
osteogenic potential of the Schneiderian membrane in vitro. However, low-dose
rhBMP-2-coated BCP failed to exert a statistically significant effect in vivo,
although it appeared to be effective in sinus augmentation specifically for the
volumetric increase in the early phase.
PMID- 24931107
TI - Functional reconstruction of the lower lip with Fujimori flap and long-term
follow-up with clinical and electrophysiologic evaluations.
AB - PURPOSE: The Fujimori gate flap is an innervated flap raised from the nasolabial
area for reconstruction of the lower lip. No electromyographic or clinical long
term studies have analyzed the long-term outcomes of lower lip reconstruction
performed using this method. The aim of the present study was to assess the
outcomes of lower lip reconstruction with the Fujimori gate flap using clinical
and electrophysiologic examinations. PATIENTS AND METHODS: Ten patients who had
been treated with this procedure were evaluated clinically and
electrophysiologically. The follow-up period was 1 year for all patients, and the
patients underwent regular assessments. RESULTS: All flaps survived completely,
and no wound healing problems were encountered. Four patients underwent revision
in the late postoperative period. The electrophysiologic studies revealed the
presence of reinnervation in all 10 patients. CONCLUSIONS: In the present study,
we found that the Fujimori gate flap is a versatile flap for ideal reconstruction
of lower lip defects. Our electrophysiologic assessments showed that the
transferred muscle had undergone reinnervation and that the donor area
innervation had been preserved. The findings from the serial clinical and
electrophysiologic assessments indicated satisfactory results.
PMID- 24931108
TI - A patient with pemphigus foliaceus and myasthenia gravis treated by a cortisol
secreting adrenal adenoma.
PMID- 24931109
TI - Identification of the neural component of torque during manually-applied
spasticity assessments in children with cerebral palsy.
AB - Clinical assessment of spasticity is compromised by the difficulty to distinguish
neural from non-neural components of increased joint torque. Quantifying the
contributions of each of these components is crucial to optimize the selection of
anti-spasticity treatments such as botulinum toxin (BTX). The aim of this study
was to compare different biomechanical parameters that quantify the neural
contribution to ankle joint torque measured during manually-applied passive
stretches to the gastrocsoleus in children with spastic cerebral palsy (CP). The
gastrocsoleus of 53 children with CP (10.9 +/- 3.7 y; females n = 14;
bilateral/unilateral involvement n = 28/25; Gross Motor Functional Classification
Score I-IV) and 10 age-matched typically developing (TD) children were assessed
using a manually-applied, instrumented spasticity assessment. Joint angle
characteristics, root mean square electromyography and joint torque were
simultaneously recorded during passive stretches at increasing velocities. From
the CP cohort, 10 muscles were re-assessed for between-session reliability and 19
muscles were re-assessed 6 weeks post-BTX. A parameter related to mechanical
work, containing both neural and non-neural components, was compared to newly
developed parameters that were based on the modeling of passive stiffness and
viscosity. The difference between modeled and measured response provided a
quantification of the neural component. Both types of parameters were reliable
(ICC > 0.95) and distinguished TD from spastic muscles (p < 0.001). However, only
the newly developed parameters significantly decreased post-BTX (p = 0.012).
Identifying the neural and non-neural contributions to increased joint torque
allows for the development of individually tailored tone management.
PMID- 24931110
TI - History of falls, balance performance, and quality of life in older cancer
survivors.
AB - Older cancer survivors may be predisposed to falls because of the sequalae
associated with cancer and its treatments. This study examined the association
between the fall history, balance performance, and health-related quality of life
(QoL) in older, community-dwelling cancer survivors who had completed primary
cancer treatments. Forty-one cancer survivors (age = 67.9 +/- 8.8 years)
participated in the study. Balance performance was examined using the Activities
specific Balance Confidence Scale (ABC) and the Balance Evaluation Systems Test
(BESTest). Scores from the Physical Component Summary (PCS) and Mental Component
Summary (MCS) of the SF-36v2 were obtained to assess the QoL. The demographics
and health status were comparable between the fallers and non-fallers. While 54%
of the participants had experienced at least one fall in the past 12 months, 30%
had experienced two or more falls. Spearman's correlation analysis revealed a
significant relationship between the outcomes from the ABC and the PCS (p <
0.001), and between the BESTest and the PCS (p < 0.001). Only the PCS
significantly differentiated fallers from non-fallers (p < 0.01). Logistic
regression analysis estimated that a one-unit increase in the PCS score
significantly reduced the odds of falling by 13% (p < 0.01). The results
demonstrate that in older cancer survivors, falls are a significant problem and
balance control is a determinant of perceived physical function and well-being.
Older cancer survivors reporting a poor QoL in the physical health domain may
have higher risks of falling. Future studies are needed to examine the risk
factor profiles of falls and the interventions to prevent falls in older cancer
survivors.
PMID- 24931111
TI - A characteristic pattern in the postural sway of unilateral vestibular impaired
patients.
AB - The statistical properties of the center of pressure (COP) change over time, and
invariant methods of the COP analysis is not sufficient to monitor the changes.
Therefore, dynamic temporal information of the COP signals has been important in
assessing the postural stability. The purpose of this study was to evaluate the
characteristic pattern of time-frequency dynamics during the upright stance in
patients with unilateral vestibular dysfunction (UVD). This study included 20
dizzy patients with UVD and age-matched 20 control subjects without any
vestibular disorder. The COP signals were collected using a single standard force
platform and a spectral analysis including the time-frequency dynamics was
carried out on the basis of the maximum entropy method (MEM) by using a segment
time series analysis. The power spectral density (PSD) analysis exhibited an
exponential decreasing shape (1/f (-)(beta)) when plotted on a double logarithmic
scale. The average value of beta in the low frequency range of less than 1 Hz in
the UVD group was significantly lower than that in the control group (p < 0.05)
in the medial-lateral (ML) direction under eyes closed condition. In the segment
time series analysis, the peak frequency of the COP signals in the patients with
UVD gradually converged to the frequency range of 0.1-0.2 Hz in the ML direction
under EC condition. Our results suggest that the segment time series analysis of
the COP signals can derive a characteristic pattern related to the UVD. It may
indicate an increased reflexivity of the COP fluctuation by the UVD, resulting in
inducing the instability.
PMID- 24931112
TI - Dynamic instability during post-stroke hemiparetic walking.
AB - Falls and fall-related injuries cause extremely costly and potentially fatal
health problems in people post-stroke. However, there is no global indicator of
walking instability for detecting which individuals will have increased risk of
falls. The purposes of this study were to directly quantify walking stability in
stroke survivors and neurologically intact controls and to determine which
stability measures would reveal the changes in walking stability following
stroke. This study thus provided an initial step to establish objective measures
for identifying potential fallers. Nine post-stroke individuals and nine controls
walked on a treadmill at four different speeds. We computed short-term local
divergence exponent (LDE) and maximum Floquet multiplier (maxFM) of the trunk
motion, average and variability of dynamic margins of stability (MOS) and step
spatiotemporal measures. Post-stroke individuals demonstrated larger short-term
LDE (p = 0.002) and maxFM (p = 0.041) in the mediolateral (ML) direction compared
to the controls but remained orbitally stable (maxFM < 1). In addition, post
stroke individuals walked with greater average step width (p = 0.003) but similar
average ML MOS (p = 0.154) compared to the controls. Post-stroke individuals also
exhibited greater variability in all MOS and step measures (all p < 0.005). Our
findings indicate that post-stroke individuals walked with greater local and
orbital instability and gait variability than neurologically intact controls. The
results suggest that short-term LDE of ML trunk motion and the variability of MOS
and step spatiotemporal measures detect the changes in walking stability
associated with stroke. These stability measures may have the potential for
identifying those post-stroke individuals at increased risk of falls.
PMID- 24931113
TI - Extended high cut-off haemodialysis for myeloma cast nephropathy in Auckland,
2008-2012.
AB - Myeloma cast nephropathy contributes to high morbidity and early mortality
associated with the development of end-stage renal disease. Treatment with
extended high cut-off haemodialysis coupled with novel anti-myeloma therapies
enables significant reduction of serum-free light chains and has been shown to
improve renal outcomes. In this case series, medical records of 6 patients who
received high cut-off haemodialysis for biopsy-proven cast nephropathy were
retrospectively reviewed. Patients received a total of 344 hours of high cut-off
haemodialysis and concurrent chemotherapy. Only 50% became dialysis independent
following treatment. One patient who achieved sustained remission remained
dialysis dependent. The added benefit of high cut-off haemodialysis in the light
of novel anti-myeloma therapies requires further evaluation.
PMID- 24931114
TI - Effect of corticosteroid therapy on renal pathology in IgG4-related kidney
disease accompanying glomerulonephritis.
PMID- 24931115
TI - Simultaneous determination of citrulline and arginine in human blood plasma by
capillary electrophoresis with ultraviolet absorption detection.
AB - A new capillary electrophoresis method to measure human blood plasma arginine and
citrulline levels in a single run without derivatization was established. After
adding homoarginine as internal standard, plasma proteins were removed by a 90:10
v/v acetonitrile/ammonia mixture. Arginine and citrulline were detected by an
ultraviolet detector at 190 nm and separated in 11.65 and 20.43 min,
respectively, by using a 75 mmol/L Tris phosphate solution at pH 1.2 as a
background electrolyte. Limits of detection were 0.8 and 5 MUmol/L for arginine
and citrulline, respectively. Precision tests indicated a good repeatability of
migration times and of peak area both for citrulline (CV% = 0.82 and 3.19) and
arginine (CV% = 0.65 and 2.79). The CV% for intra- and interassay tests were,
respectively, 1.84 and 3.23 for citrulline and 1.25 and 1.50 for arginine. Mean
recovery was 101.5 and 98.5% for citrulline and arginine, respectively. The
performance of the developed method was assessed by measuring plasma arginine
levels in 52 subjects and the data were compared with those obtained by our
previous assay. The new method was then applied to assess plasma citrulline and
arginine in ten chronic kidney disease patients under hypolipidemic therapy with
statin.
PMID- 24931116
TI - An exploration of worry content and catastrophic thinking in middle-aged and
older-aged adults with and without Parkinson's disease.
AB - OBJECTIVE: Worry is a common and distressing problem in Parkinson's disease (PD).
However, little is known about the nature and content of worry in PD and how it
might differ to non-PD populations. The study aimed to explore the content and
nature of worry in middle-aged and older-aged adults with and without PD. METHOD:
Four groups of participants, 20 PD patients (10 high worry and 10 low worry) and
19 middle-aged and older-aged adults (10 high worry and nine low worry),
completed the catastrophising interview (CI) for three worry topics. Worriers
were classified (high/low) on the basis of Penn State Worry Questionnaire scores.
Data were analysed using framework analysis. RESULTS: High worriers showed a
greater diversity of worry topics than low worriers. Health worries
differentiated high and low worriers in the non-PD sample but were common across
all PD participants. The CI revealed that the root concern of worry was often
different to that initially described. In particular, PD high worriers were more
likely to express underlying concerns about negative self-perception and
death/severe incapacity. CONCLUSION: The CI was able to identify the root cause
of worry, demonstrating the value of this technique in the exploration and
treatment of worry and psychological distress. Exploring worry content may help
to distinguish patients with problematic worry, with worries about self
perception and death/severe incapacity characteristic of high worriers.
Therapeutic interventions designed to alleviate problematic worry and distress in
PD need to take account of the realities of living with PD and the potentially
realistic nature of worries that may appear catastrophic in a healthy population.
PMID- 24931117
TI - Enzymatic properties and substrate specificity of a bacterial phosphatidylcholine
synthase.
AB - Phosphatidylcholine (PC) is a rare membrane lipid in bacteria, but is crucial for
virulence of the plant pathogen Agrobacterium tumefaciens and various other
pathogens. Agrobacterium tumefaciens uses two independent PC biosynthesis
pathways. One is dependent on the integral membrane protein PC synthase (Pcs),
which catalyzes the conversion of cytidine diphosphate-diacylglycerol (CDP-DAG)
and choline to PC, thereby releasing a cytidine monophosphate (CMP). Here, we
show that Pcs consists of eight transmembrane segments with its N- and C-termini
located in the cytoplasm. A cytoplasmic loop between the second and third
membrane helix contains the majority of the conserved amino acids of a CDP
alcohol phosphotransferase motif (DGX2 ARX12 GX3 DX3 D). Using point mutagenesis,
we provide evidence for a crucial role of this motif in choline binding and
enzyme activity. To study the catalytic features of the enzyme, we established a
purification protocol for recombinant Pcs. The enzyme forms stable oligomers and
exhibits broad substrate specificity towards choline derivatives. The presence of
CDP-DAG and manganese is a prerequisite for cooperative binding of choline. PC
formation by Pcs is reversible and proceeds via two successive reactions. In a
first choline- and manganese-independent reaction, CDP-DAG is hydrolyzed
releasing a CMP molecule. The resulting phosphatidyl intermediate reacts with
choline in a second manganese-dependent step to form PC. STRUCTURED DIGITAL
ABSTRACT: Pcs and Pcs bind by molecular sieving (1, 2, 3).
PMID- 24931119
TI - Brief overview on cellulose dissolution/regeneration interactions and mechanisms.
AB - The development of cellulose dissolution/regeneration strategies constitutes an
increasingly active research field. These are fundamental aspects of many
production processes and applications. A wide variety of suitable solvents for
cellulose is already available. Nevertheless, most solvent systems have important
limitations, and there is an intense activity in both industrial and academic
research aiming to optimize existing solvents and develop new ones. Cellulose
solvents are of highly different nature giving great challenges in the
understanding of the subtle balance between the different interactions. Here, we
briefly review the cellulose dissolution and regeneration mechanisms for some
selected solvents. Insolubility is often attributed to strong intermolecular
hydrogen bonding between cellulose molecules. However, recent work rather
emphasizes the role of cellulose charge and the concomitant ion entropy effects,
as well as hydrophobic interactions.
PMID- 24931118
TI - Characterization, tissue distribution and regulation of neuropeptideY in
Schizothorax prenanti.
AB - In this study, the full-length neuropeptide Y (npy) complementary (c)DNA was
cloned in ya fish Schizothorax prenanti. npy cDNA was composed of 789 nucleotides
with a 288 nucleotide open reading frame encoding a protein of 96 amino acids.
The deduced amino acid sequences contained a 28 amino acids signal peptide
followed by a 36 amino acids mature neuropeptide Y (NPY). The npy mRNA was
expressed mainly in the brain and eye as detected by real-time quantitative
polymerase chain reaction RT-PCR (rt-qPCR). The S. prenanti NPY was detectable
from blastulation to hatch, suggesting that npy might be involved in the late
embryonic development of S. prenanti. An experiment was conducted to determine
the expression profile of npy during feeding of a single meal and during long
term fasting. The expression level of npy in fed fish was significantly decreased
at 0.5, 1.5, 3 and 9 h post-feeding (hpf) than in fasting fish. Fasting for 14
days induced an increase in npy messenger (m)RNA expression in the brain.
Overall, the results suggest that NPY is a conserved peptide that might be
involved in the regulation of feeding and other physiological function in S.
prenanti.
PMID- 24931120
TI - Size-matched alkyne-conjugated cyanine fluorophores to identify differences in
protein glycosylation.
AB - Currently, there are few methods to detect differences in posttranslational
modifications (PTMs) in a specific manner from complex mixtures. Thus, we
developed an approach that combines the sensitivity and specificity of click
chemistry with the resolution capabilities of 2D-DIGE. In "Click-DIGE",
posttranslationally modified proteins are metabolically labeled with azido
substrate analogs, then size- and charge-matched alkyne-Cy3 or alkyne-Cy5 dyes
are covalently attached to the azide of the PTM by click chemistry. The
fluorescently-tagged protein samples are then multiplexed for 2DE analysis.
Whereas standard DIGE labels all proteins, Click-DIGE focuses the analysis of
protein differences to a targeted subset of posttranslationally modified proteins
within a complex sample (i.e. specific labeling and analysis of azido
glycoproteins within a cell lysate). Our data indicate that (i) Click-DIGE
specifically labels azido proteins, (ii) the resulting Cy-protein conjugates are
spectrally distinct, and (iii) the conjugates are size- and charge-matched at the
level of 2DE. We demonstrate the utility of this approach by detecting multiple
differentially expressed glycoproteins between a mutant cell line defective in
UDP-galactose transport and the parental cell line. We anticipate that the
diversity of azido substrates already available will enable Click-DIGE to be
compatible with analysis of a wide range of PTMs.
PMID- 24931121
TI - The parasitophorous vacuole membrane of Toxoplasma gondii is targeted for
disruption by ubiquitin-like conjugation systems of autophagy.
AB - Autophagy is a lysosomal degradation pathway that is important in cellular
homeostasis. Prior work showed a key role for the autophagy related 5 (Atg5) in
resistance to Toxoplasma gondii. Here we show that the cassette of autophagy
proteins involved in the conjugation of microtubule-associated protein 1 light
chain 3 (LC3) to phosphatidylethanolamine, including Atg7, Atg3, and the Atg12
Atg5-Atg16L1 complex play crucial roles in the control of T. gondii in vitro and
in vivo. In contrast, pharmacologic modulation of the degradative autophagy
pathway or genetic deletion of other essential autophagy genes had no substantial
effects. Rather the conjugation system was required for targeting of LC3 and
interferon-gamma effectors onto the vacuolar membrane of T. gondii and its
consequent disruption. These data suggest that the ubiquitin-like conjugation
systems that reorganize intracellular membranes during canonical autophagy are
necessary for proper targeting of immune effectors to the intracellular vacuole
membranes utilized by pathogens.
PMID- 24931124
TI - Light-up fluorescent probes utilizing binding behavior of perylenediimide
derivatives to a hydrophobic pocket within DNA.
AB - Here we study the binding behavior of perylenediimide () derivatives to a
hydrophobic pocket created inside DNA and their photochemical properties capable
of designing a light-up fluorescent sensor for short single-stranded DNA or RNA.
The perylenediimide derivative with alkoxy groups () suppressing electron
transfer quenching was examined. The bound randomly to DNA showed negligible
fluorescence due to the aggregation-induced quenching, whereas the bound to the
pocket as a monomeric form showed more than 100-fold fluorescence enhancement.
Switching the binding states of the corresponded to a change in the fluorescence
response for the hybridization event, which allowed us to design a fluorescent
sensor of nucleic acids with a nanomolar detection limit.
PMID- 24931122
TI - Memory-T-cell-derived interferon-gamma instructs potent innate cell activation
for protective immunity.
AB - Cells of the innate immune system are essential for host defenses against primary
microbial pathogen infections, yet their involvement in effective memory
responses of vaccinated individuals has been poorly investigated. Here we show
that memory T cells instruct innate cells to become potent effector cells in a
systemic and a mucosal model of infection. Memory T cells controlled phagocyte,
dendritic cell, and NK or NK T cell mobilization and induction of a strong
program of differentiation, which included their expression of effector cytokines
and microbicidal pathways, all of which were delayed in nonvaccinated hosts.
Disruption of IFN-gamma signaling in Ly6C+ monocytes, dendritic cells, and
macrophages impaired these processes and the control of pathogen growth. These
results reveal how memory T cells, through rapid secretion of IFN-gamma,
orchestrate extensive modifications of host innate immune responses that are
essential for effective protection of vaccinated hosts.
PMID- 24931126
TI - Dimerization of pyramidalized 3,4,8,9-tetramethyltetracyclo
[4.4.0.0(3,9).0(4,8)]dec-1(6)-ene to a hydrocarbon featuring four cyclohexane
rings in boat conformations.
AB - The synthesis, chemical trapping, and dimerization of a highly pyramidalized
alkene is reported. Its dimer is a unique nonacycle featuring three planar
cyclobutane rings, four cyclopentane rings, and four cyclohexane rings in boat
conformations. The X-ray diffraction analysis showed a H-H distance between the
flagpole hydrogen atoms of 1.999 A and a separation of 2.619 A between the two
flagpole carbon atoms. The three cyclobutane rings of the dimer were thermally
stable.
PMID- 24931123
TI - Antiviral activity of human OASL protein is mediated by enhancing signaling of
the RIG-I RNA sensor.
AB - Virus infection is sensed in the cytoplasm by retinoic acid-inducible gene I (RIG
I, also known as DDX58), which requires RNA and polyubiquitin binding to induce
type I interferon (IFN) and activate cellular innate immunity. We show that the
human IFN-inducible oligoadenylate synthetases-like (OASL) protein has antiviral
activity and mediates RIG-I activation by mimicking polyubiquitin. Loss of OASL
expression reduced RIG-I signaling and enhanced virus replication in human cells.
Conversely, OASL expression suppressed replication of a number of viruses in a
RIG-I-dependent manner and enhanced RIG-I-mediated IFN induction. OASL interacted
and colocalized with RIG-I, and through its C-terminal ubiquitin-like domain
specifically enhanced RIG-I signaling. Bone-marrow-derived macrophages from mice
deficient for Oasl2 showed that among the two mouse orthologs of human OASL,
Oasl2 is functionally similar to human OASL. Our findings show a mechanism by
which human OASL contributes to host antiviral responses by enhancing RIG-I
activation.
PMID- 24931125
TI - The Ca2+ sensor S100A1 modulates neuroinflammation, histopathology and Akt
activity in the PSAPP Alzheimer's disease mouse model.
AB - The contribution of the Ca(2+) sensor S100A1 to in vivo Alzheimer's disease (AD)
pathobiology has not been elucidated although S100A1 regulates numerous cellular
processes linked to AD. This study uses genetic ablation to ascertain the effects
of S100A1 on neuroinflammation, beta-amyloid (Abeta) plaque deposition and Akt
activity in the PSAPP AD mouse model. PSAPP/S100A1(-/-) mice exhibited decreases
in astrocytosis (GFAP burden), microgliosis (Iba1 burden) and plaque load/number
when compared to PSAPP/S100A1(+/+) mice at six and twelve months of age. The
presence of detectable S100A1 staining in human AD specimens is consistent with a
detrimental gain of S100A1 function in AD. S100A1 ablation also reduced plaque
associated and increased non-plaque associated PO4-Akt and PO4-GSK3beta staining.
S100A1.Akt complexes were undetectable in PC12 cells and AD brain tissue
suggesting that S100A1 indirectly modulates Akt activity. In contrast, S100A1.RyR
(ryanodine receptor) complexes were present in human/mouse AD brain and exhibited
Ca(2+)-dependent formation in neuronal cells. This is the first direct
demonstration of an S100A1. target protein complex in tissue/cells and identifies
the RyR as a primary S100A1 target protein in the brain. Collectively, these data
suggest that S100A1 inhibition may be a novel strategy for normalizing aberrant
Ca(2+) signaling in AD.
PMID- 24931127
TI - Medication level variability index predicts rejection, possibly due to
nonadherence, in adult liver transplant recipients.
AB - Nonadherence to immunosuppressants may play a role in late rejection in liver
transplant recipients. In children, emerging data suggest that adherence can be
measured through the computation of the standard deviation (SD) of consecutive
blood levels of tacrolimus, which results in a number that reflects the degree of
variability between individual measures: the medication level variability index
(MLVI). A higher MLVI value means erratic immunosuppression, likely due to less
adherence. Data on this method are limited for adults. We obtained data from the
medical charts of 150 randomly selected adult recipients. The MLVI was
significantly higher for patients who had biopsy-confirmed rejection (mean MLVI =
3.8, SD = 3.2) versus the rest of the cohort (mean MLVI = 2.3, SD = 1.5, P =
0.003), and it was significantly higher for patients who suffered rejection
versus patients whose biopsy sample was not read as rejection (mean MLVI = 2.6,
SD = 1.6, P = 0.008). The MLVI was associated with rejection and predicted its
occurrence. A threshold MLVI of 2.0 resulted in 77% sensitivity and 60%
specificity in predicting rejection; a threshold of 1.8 resulted in a sensitivity
of 92% and a specificity of 48%. The area under the curve in a receiver operating
characteristic curve analysis was 0.71 (95% CI = 0.61-0.81). In conclusion, the
MLVI is associated with and can predict rejection, possibly related to
nonadherence, in adult liver transplant recipients.
PMID- 24931128
TI - Seroprevalence of enteropathogenic Yersinia spp. in pig batches at slaughter.
AB - Enteropathogenic Yersinia spp. are one of the main causes of foodborne bacterial
infections in Europe. Slaughter pigs are the main reservoir and carcasses are
contaminated during a sub-optimal hygienically slaughtering-process. Serology is
potentially an easy option to test for the Yersinia-status of the pig (batches)
before slaughter. A study of the variation in activity values (OD%) of Yersinia
spp. in pigs and pig batches when applying a serological test were therefore
conducted. In this study, pieces of the diaphragm of 7047 pigs, originating from
100 farms, were collected and meat juice was gathered, where after an enzyme
linked immunosorbent assay (ELISA) Pigtype Yopscreen (Labor Diagnostik Leipzig,
Qiagen, Leipzig, Germany) was performed. The results were defined positive if the
activity values exceeded the proposed cut-off value of 30 OD%. Results at pig
level displayed a bimodal-shaped distribution with modes at 0-10% (n=879) and 50
60% (n=667). The average OD% was 51% and 66% of the animals tested positive. The
within-batch seroprevalence ranged from 0 to 100% and also showed a bimodal
distribution with modes at 0% (n=7) and 85-90% (n=16). On 7 farms, no single
seropositive animal was present and in 22 farms, the mean OD% was below 30%.
Based on the results obtained at slaughter, 66% of the pigs had contact with
enteropathogenic Yersinia spp. at farm level. The latter occurred in at least 93%
of the farms indicating that most farms are harboring enteropathogenic Yersinia
spp.
PMID- 24931129
TI - Comparison of time to PRRSv-stability and production losses between two exposure
programs to control PRRSv in sow herds.
AB - To control and eliminate porcine reproductive and respiratory syndrome virus
(PRRSv) from breeding herds, some veterinarians adopt a strategy called load
close-expose which consists of interrupting replacement pig introduction for
several months and exposing the pigs to a replicating PRRSv. This was a
prospective quasi-experiment that followed 61 breeding herds acutely infected
with PRRSv that adopted one of two exposure programs: modified-live virus (MLV)
vaccine or live-resident virus inoculation (LVI). Treatment groups (load-close
expose with MLV or LVI) were compared for: (a) time-to-PRRSv stability (TTS),
defined as time in weeks it took to produce PRRSv negative pigs at weaning; (b)
the time-to-baseline production (TTBP), defined using statistical process control
methods to represent time to recover to the number of pigs weaned per week that
herds had prior to PRRSv-detection; and (c) the total production loss in terms of
number of pigs weaned per week. TTS and TTBP were compared between treatments
using survival analysis. Day 1 of the program was considered to be the day that
treatment was administered. Sampling at herds consisted of bleeding 30 due-to
wean piglets on a monthly basis. Serum was tested for PRRSv RNA by RT-PCR. Herds
in which PRRSv was not detected over a 90-day period were classified as reaching
stability. Multivariate analysis using proportional hazards regression was
performed adjusting the effect of treatment on TTBP and TTS to 'severity of PRRSv
infection', 'number of whole-herd exposures', 'days from PRRSv-detection to
intervention', 'prior PRRSv-infection status' and 'veterinary clinic associated
with the herd'. Total loss was compared between groups using multivariate
regression analysis adjusted by selected covariates. The median TTS among
participating herds was 26.6 weeks (25th to 75th percentile, 21.6-33.0 weeks).
The overall TTBP was 16.5 weeks (range 0-29 weeks). The magnitude of production
losses following whole-herd exposure averaged 2217 pigs not weaned/1000 sows and
was correlated with TTBP. Herds in the MLV group recovered production sooner and
had less total loss than herds in the LVI group. TTBP and TTS were significantly
shorter and the total loss was significantly less in herds assisted by a specific
veterinary clinic and herds that were infected with PRRSv in the 3 years prior to
the study. This study provided new metrics to assist veterinarians to decide
between methods of exposure to control and eliminate PRRSv from breeding herds.
PMID- 24931130
TI - Seroprevalence of Anaplasma marginale in Texas cattle.
AB - To our knowledge the seroprevalence of Anaplasma marginale in Texas has not been
reported. The objective of this study was to estimate the point seroprevalence
and spatial distribution of Texas cattle persistently infected with A. marginale.
This was a cross-sectional observational study examining serum collected from
12,000 adult cattle marketed in 23 selected Texas auction markets during the
second week of July 2011. A random subset of those cattle comprising 1835
individuals was evaluated for persistent infection with A. marginale using a
commercial cELISA for antibody detection. The pooled apparent seroprevalence for
cattle tested at auction markets across the state was 15.02% (95% CI: 11.02
19.53%), with markets in the western portion of the state demonstrating
prevalence => 30%. The winter tick, Dermacentor albipictus is involved in the
biological transfer of A. marginale and is prevalent in west Texas. Producers in
endemic and non-endemic areas should be encouraged to determine the infection
status of replacement cattle in order to implement effective management
strategies for the control bovine anaplasmosis.
PMID- 24931132
TI - Evaluation of new Deflux administration techniques: intraureteric HIT and Double
HIT for the endoscopic correction of vesicoureteral reflux.
AB - Vesicoureteral reflux (VUR) is one of the most common urologic diagnoses
affecting children, and optimal treatment requires an individualized approach
that considers potential risks. Management options include observation with or
without continuous antibiotic prophylaxis and surgical correction via endoscopic,
open or laparoscopic/robotic approaches. Endoscopic correction of VUR is an
outpatient procedure associated with decreased morbidity compared with ureteral
reimplantation. The concept of ureteral hydrodistention and intraluminal
submucosal injection (Hydrodistention Implantation Technique [HIT]) has led to
improved success rates in eliminating VUR compared with the subureteral
transurethral injection technique. Further modifications now include use of
proximal and distal intraluminal injections (Double HIT) that result in
coaptation of both the ureteral tunnel and orifice. Endoscopic injection of
dextranomer/hyaluronic acid copolymer, via the HIT and Double HIT, has emerged as
a highly successful, minimally invasive alternative to open surgical correction,
with minimal associated morbidity.
PMID- 24931131
TI - An acute-phase protein as a regulator of sperm survival in the bovine oviduct:
alpha 1-acid-glycoprotein impairs neutrophil phagocytosis of sperm in vitro.
AB - We have previously shown that polymorphonuclear neutrophils (PMNs) are present in
bovine oviduct fluid under physiological conditions, and that the oviduct
provides a microenvironment that protects sperm from phagocytosis by PMNs. Alpha
1-acid glycoprotein (AGP) is a major acute-phase protein produced mainly in the
liver that has immunomodulatory functions. AGP mRNA is expressed in extrahepatic
organs, such as the lung, kidney, spleen, lymph node, uterus, and ovary.
Therefore, in this study, we investigated, 1) the local production of AGP in the
bovine oviduct, 2) the effect of AGP on the phagocytic activity of PMNs for sperm
and superoxide production and 3) the impact of AGP desialylation on the PMN
phagocytosis of sperm. The AGP gene was expressed in cultured bovine oviduct
epithelial cells (BOECs) and AGP protein was detected in oviduct fluid.
Preexposure of PMNs to AGP at physiological levels impaired PMN phagocytosis for
sperm and superoxide generation. The desialylation of AGP eliminated these
suppressive effects of AGP on PMN. Scanning electron microscopy revealed that AGP
drastically reduced the formation of DNA-based neutrophil extracellular traps
(NETs) for sperm entanglement. Additionally, AGP dose-dependently stimulated
BOECs to produce prostaglandin E2 (PGE2) which has been shown to partially
contribute to the regulation of sperm phagocytosis in the bovine oviduct. AGP and
PGE2 at concentrations detected in the oviducts additively suppressed sperm
phagocytosis by PMNs. These results provide evidence that locally produced AGP
may be involved in protecting sperm from phagocytosis by PMNs in the bovine
oviduct.
PMID- 24931133
TI - [Analysis of the sono-anatomy of the nerve plexus using telemedicine from a
combat zone].
PMID- 24931134
TI - [Prophylactic use of icatibant before tracheal intubation of a patient with
hereditary angioedema type III. (A literature review of perioperative management
of patients with hereditary angioedema type III)].
AB - Type III hereditary angioedema is a rare familial disorder that has recently been
described as a separate condition. Triggers for episodes of angioedema include
surgery, dental procedures, and tracheal intubation maneuvers. Since episodes
affecting the upper airway are potentially life-threatening, prophylactic
treatment is recommended in these situations. The use of icatibant
(Firazyr((r))), for prevention of angioedema prior to tracheal intubation, is
reported in a patient with type iii hereditary angioedema. A literature review on
the anesthetic management of this condition was conducted.
PMID- 24931135
TI - Hepatic and pancreatic resection in patients with end-stage renal disease: a
propensity analysis.
AB - BACKGROUND: Hepatic and pancreatic surgery is rarely performed in patients with
end-stage renal disease (ESRD). The present authors used a national clinical
database to characterize outcomes and perioperative risk in ESRD patients who
require hepatic or pancreatic resection. METHODS: The 2005-2011 National Surgical
Quality Improvement Program database was queried for all patients undergoing
hepatic or pancreatic resection. Patients were classified by the presence or
absence of ESRD. The independent effects of ESRD on outcomes were assessed after
propensity score adjustment and multivariable logistic regression. RESULTS: Of
the 27 376 patients submitted to hepatic or pancreatic procedures identified in
the database, 101 patients were found to have preoperative ESRD. Patients with
ESRD experienced perioperative mortality at a rate similar to that in those
without ESRD (5.0% versus 2.3%; P = 0.08). After risk adjustment, the presence of
ESRD was associated with three-fold higher odds of postoperative sepsis (adjusted
odds ratio: 2.98, P = 0.014), but no significant differences in mortality or
major complication rates. CONCLUSIONS: Hepatic and pancreatic resections can be
performed safely in selected patients with ESRD. These patients may have an
increased risk for the development of postoperative sepsis. Further study is
needed to characterize modifiable risk factors that impact outcomes in patients
with ESRD who require hepatic or pancreatic resection.
PMID- 24931136
TI - Free amino acids in stimulated and unstimulated whole saliva: advantages or
disadvantages.
AB - This study determines the mean concentrations of free amino acids in stimulated
and unstimulated whole saliva in healthy young adults. Standardised salivary
amino acids as a substitute for their counterpart in blood, searched for the
source of free amino acids in saliva, the probable correlation between particular
amino acids with caries experience. Stimulated and unstimulated whole saliva were
collected by the draining method in 31 dental students. Saliva was purified, and
amino acids were separated by high-performance liquid chromatography. DMFT scores
were recorded, and the relation of amino acids to caries experience was explored
by generalised linear model. Almost all amino acids had higher concentration in
unstimulated whole saliva than in stimulated saliva. The normal range of amino
acids (95% CI) and their natural logarithm were defined. There was a significant
relationship between caries experience and threonine (P < 0.008), citrulline (P <
0.023) and ornithine (P < 0.001) as a detrimental factor, whereas serin (P <
0.026), glutamine (P < 0.015) and phenylalanine (P < 0.014) had an inhibiting
effect on caries. However, in comparison, salivary flow rate (P < 0.013) was a
more preventive factor than amino acids. Amino acids in saliva contribute as a
marker, instead of their counterpart in blood. Unstimulated saliva had higher
concentration of amino acids. Amino acids have different impact on caries and may
be one of underlying risk factors for caries experience.
PMID- 24931137
TI - Quantity and diversity of environmental microbial exposure and development of
asthma: a birth cohort study.
AB - BACKGROUND: Early-life exposure to environmental microbial agents may be
associated with the development of allergies. The aim of the study was to
identify better ways to characterize microbial exposure as a predictor of
respiratory symptoms and allergies. METHODS: A birth cohort of 410 children was
followed up until 6 years of age. Bacterial endotoxin, 3-hydroxy fatty acids, N
acetyl-muramic acid, fungal extracellular polysaccharides (EPS) from Penicillium
and Aspergillus spp., beta-D-glucan, ergosterol, and bacterial or fungal
quantitative polymerase chain reactions (qPCRs) were analyzed from dust samples
collected at 2 months of age. Asthma, wheezing, cough, and atopic dermatitis were
assessed using repeated questionnaires. Specific IgEs were determined at the age
of 1 and 6 years. RESULTS: Only few associations were found between single
microbial markers and the studied outcomes. In contrast, a score for the total
quantity of microbial exposure, that is, sum of indicators for fungi
(ergosterol), Gram-positive (muramic acid) bacteria, and Gram-negative
(endotoxin) bacteria, was significantly (inverted-U shape) associated with asthma
incidence (P < 0.001): the highest risk was found at medium levels (adjusted odds
ratio (aOR) 2.24, 95% confidence interval (95% CI) 0.87-5.75 for 3rd quintile)
and the lowest risk at the highest level (aOR 0.34, 95% CI 0.09-1.36 for 5th
quintile). The microbial diversity score, that is, sum of detected qPCRs, was
inversely associated with risk of wheezing and was significantly (inverted-U
shape) associated with sensitization to inhalant allergens. CONCLUSION: Score for
quantity of microbial exposure predicted asthma better than single microbial
markers independently of microbial diversity and amount of dust. Better
indicators of total quantity and diversity of microbial exposure are needed in
studies on the development of asthma.
PMID- 24931139
TI - Protein expression of various hepatic uridine 5'-diphosphate
glucuronosyltransferase (UGT) enzymes and their inter-correlations: a meta
analysis.
AB - Avoiding cytochrome P450 (CYP) related drug interactions in the development of
new drug candidates means that glucuronidation by uridine 5'-diphosphate
glucuronosyltransferase (UGT) enzymes is expected to become a more prominent
pathway in the metabolism of new drug candidates designed by pharmaceutical
companies. Therefore, determining the abundance and activity of these enzymes is
of value in the process of scaling in vitro data to in vivo metabolic parameters.
Many of the studies involving the measurement of UGTs were conducted with too few
samples, which did not provide a good indication of population values and the
level of variability. Meta-analysis is used in the current study to combine all
reported values (eight studies that used LC-MS isotope-labelled standard targeted
quantitative methods), detect inconsistencies between the various datasets and
describe correlations of expression between the quantified UGT enzymes. Some
heterogeneity was observed between studies, especially in the UGT1A4, 2B7 and
2B10 datasets. However, in the absence of information on the inter-laboratory
consistency of assays, it is difficult to assign these differences to the
heterogeneity of the samples. Large inter-individual variability was observed in
the collated data across this family of enzymes. Positive correlations between
the expression levels of certain UGT enzymes were found in the collated data.
These included the pairs: UGT1A4/2B4 (rs=0.71, p<0.0001, n=82), UGT2B4/2B15
(rs=0.63, p<0.0001, n=83), UGT2B7/2B15 (rs=0.81, p<0.0001, n=99). These
correlations can be explained by common regulatory mechanisms involved in the
expression of these proteins.
PMID- 24931140
TI - Significance of longitudinal changes in the default-mode network for cognitive
recovery after stroke.
AB - Although a considerable number of patients suffer from cognitive impairments
after stroke, the neural mechanism of cognitive recovery has not yet been
clarified. Repeated resting-state functional magnetic resonance imaging (fMRI)
was used in this study to examine longitudinal changes in the default-mode
network (DMN) during the 6 months after stroke, and to investigate the
relationship between DMN changes and cognitive recovery. Out of 24 initially
recruited right-hemispheric stroke patients, 11 (eight males, mean age 55.7
years) successfully completed the repeated fMRI protocol. Patients underwent
three fMRI sessions at 1, 3 and 6 months after stroke. Their DMNs were analysed
and compared with those of 11 age-matched healthy subjects (nine males, mean age
56.2 years). Correlations between DMN connectivity and improvement of the
cognitive performance scores were also assessed. The stroke patients were found
to demonstrate markedly decreased DMN connectivity of the posterior cingulate
cortex, precuneus, medial frontal gyrus and inferior parietal lobes at 1 month
after stroke. At 3 months after stroke, the DMN connectivity of these brain areas
was almost restored, suggesting that the period is critical for neural
reorganization. The DMN connectivity of the dorsolateral prefrontal cortex in the
contralesional hemisphere showed a significant correlation with cognitive
function recovery in stroke patients, and should be considered a compensatory
process for overcoming cognitive impairment due to brain lesion. This is the
first longitudinal study to demonstrate the changes in DMN during recovery after
stroke and the key regions influencing cognitive recovery.
PMID- 24931138
TI - Coverage of protein domain families with structural protein-protein interactions:
current progress and future trends.
AB - Protein interactions have evolved into highly precise and regulated networks
adding an immense layer of complexity to cellular systems. The most accurate
atomistic description of protein binding sites can be obtained directly from
structures of protein complexes. The availability of structurally characterized
protein interfaces significantly improves our understanding of interactomes, and
the progress in structural characterization of protein-protein interactions
(PPIs) can be measured by calculating the structural coverage of protein domain
families. We analyze the coverage of protein domain families (defined according
to CDD and Pfam databases) by structures, structural protein-protein complexes
and unique protein binding sites. Structural PPI coverage of currently available
protein families is about 30% without any signs of saturation in coverage growth
dynamics. Given the current growth rates of domain databases and structural PPI
deposition, complete domain coverage with PPIs is not expected in the near
future. As a result of this study we identify families without any protein
protein interaction evidence (listed on a supporting website
http://www.ncbi.nlm.nih.gov/Structure/ibis/coverage/) and propose them as
potential targets for structural studies with a focus on protein interactions.
PMID- 24931141
TI - Unraveling cellular phenotypes of novel TorsinA/TOR1A mutations.
AB - A three-nucleotide (GAG) deletion (DeltaE) in TorsinA (TOR1A) has been identified
as the most common cause of dominantly inherited early-onset torsion dystonia
(DYT1). TOR1A encodes a chaperone-like AAA+-protein localized in the endoplasmic
reticulum. Currently, only three additional, likely mutations have been reported
in single dystonia patients. Here, we report two new, putative TOR1A mutations
(p.A14_P15del and p.E121K) that we examined functionally in comparison with wild
type (WT) protein and two known mutations (DeltaE and p.R288Q). While inclusion
formation is a characteristic feature for DeltaE TOR1A, elevated levels of
aggregates for other mutations were not observed when compared with WT TOR1A. WT
and mutant TOR1A showed preferred degradation through the autophagy-lysosome
pathway, which is most pronounced for p.A14_P15del, p.R288Q, and DeltaE TOR1A.
Notably, blocking of the autophagy pathway with bafilomycin resulted in a
significant increase in inclusion formation in p.E121K TOR1A. In addition, all
variants had an influence on protein stability. Although the p.A14_P15del
mutation affects the proposed oligomerization domain of TOR1A, this mutation did
not disturb the ability to dimerize. Our findings demonstrate functional changes
for all four mutations on different levels. Thus, both diagnostic and research
genetic screening of dystonia patients should not be limited to testing for the
?E mutation.
PMID- 24931143
TI - Key processes in ruthenium-catalysed olefin metathesis.
AB - While the fundamental series of [2+2]cycloadditions and retro[2+2]cycloadditions
that make up the pathways of ruthenium-catalysed metathesis reactions is well
established, the exploration of mechanistic aspects of alkene metathesis
continues. In this Feature Article, modern mechanistic studies of the alkene
metathesis reaction, catalysed by well-defined ruthenium complexes, are
discussed. Broadly, these concern the processes of pre-catalyst initiation,
propagation and decomposition, which all have a considerable impact on the
overall efficiency of metathesis reactions.
PMID- 24931142
TI - Identification of novel therapeutic targets in the PI3K/AKT/mTOR pathway in
hepatocellular carcinoma using targeted next generation sequencing.
AB - Understanding genetic aberrations in cancer leads to discovery of new targets for
cancer therapies. The genomic landscape of hepatocellular carcinoma (HCC) has not
been fully described. Therefore, patients with refractory advanced/metastatic HCC
referred for experimental therapies, who had adequate tumor tissue available, had
targeted next generation sequencing (NGS) of their tumor samples using the
Illumina HiSeq 2000 platform (Foundation One, Foundation Medicine, MA) and their
treatment outcomes were analyzed. In total, NGS was obtained for 14 patients
(median number of prior therapies, 1) with advanced/metastatic HCC. Of these 14
patients, 10 (71%) were men, 4 (29%) women, 6 (43%) had hepatitis B or C-related
HCC. NGS revealed at least 1 molecular abnormality in 12 patients (range 0-8,
median 2). Detected molecular aberrations led to putative activation of the
PI3K/AKT/mTOR pathway (n=3 [mTOR, PIK3CA, NF1]), Wnt pathway (n=6 [CTNNA1,
CTNNB1]), MAPK pathway (n=2 [MAP2K1, NRAS]), and aberrant DNA repair mechanisms,
cell cycle control and apoptosis (n=18 [ATM, ATR, BAP1, CCND1, CDKN2A, CDK4,
FGF3, FGF4, FGF19, MCL1, MDM2, RB1, TP53]). Of the 3 patients with molecular
aberrations putatively activating the PI3K/AKT/mTOR pathway, 2 received therapies
including a mTOR inhibitor and all demonstrated therapeutic benefit ranging from
a partial response to minor shrinkage per RECIST (-30%, -15%; respectively). In
conclusion, genomic alterations are common in advanced HCC. Refractory patients
with alterations putatively activating the PI3K/AKT/mTOR pathway demonstrated
early signals of clinical activity when treated with therapies targeting mTOR.
PMID- 24931144
TI - Validation of the Maryland Aggregate Pathology Index (MAPI), a pre-implantation
scoring system that predicts graft outcome.
AB - Predicting graft outcome after renal transplantation based on donor histological
features has remained elusive and is subject to institutional variability. We
have shown in a retrospective study that the Maryland Aggregate Pathology Index
score reliably predicts graft outcome. We sought to validate the scoring system
in our center and a second transplant center. We analyzed 140 deceased donor
kidneys pre-implantation biopsies from center 1 and 65 from center 2. The
patients had a mean follow-up of 695 +/- 424 and 656 +/- 305 d respectively.
Although MAPI scores were similar, there were significant differences in donor
and recipient parameters between both centers. Despite this, MAPI was predictive
of graft outcome for both centers by Cox univariate, multivariate and time
dependent ROC analysis. For center 1 and 2, three yr graft survival within each
MAPI group was statistically equivalent. The three-yr graft survival at center 1
for low, intermediate, and high MAPI groups were 84.3%, 56.5%, and 50.0%,
respectively, p <= 0.0001, and at center 2 were 83.3%, 33.3%, and 33.3%, p =
0.006. MAPI, which is based on a pre-implantation biopsy, demonstrated similar
predictive and outcome results from both centers. As expanded criteria donors
(ECD) criteria have redefined marginal kidneys, MAPI has the potential to further
define ECD kidneys, increase utilization, and ultimately improve outcomes.
PMID- 24931145
TI - Age-specific reference range of prostate-specific antigen and prostate cancer
detection in population-based screening cohort in Japan: verification of Japanese
Urological Association Guideline for prostate cancer.
AB - OBJECTIVES: To investigate the age-specific reference range of prostate-specific
antigen and clinical characteristics of screening-detected cancer in prostate
specific antigen-based screening, and to verify the age-specific prostate
specific antigen cut-offs in the Japanese Urological Association Guidelines.
METHODS: Prostate-specific antigen distributions were estimated in a total of
69,028 screening tests according to the age of the participants in population
screening from 2000 to 2013. The age-specific reference range of prostate
specific antigen for detection of cancer was investigated by analyzing the
receiver operating characteristic curves. Furthermore, the clinicopathological
features of screening-detected cancer with serum prostate-specific antigen levels
below the age-specific prostate-specific antigen cut-off in the Japanese
Urological Association Guidelines were also investigated. RESULTS: Of all 69,028
screens, 2053 prostate biopsies (2.97%) were carried out and 549 cases of cancer
(0.79%) were diagnosed. The 95th percentiles in all participants aged 54-59, 60
64, 65-69 and 70-75 years old were 2.90, 3.60, 4.10, and 4.70 ng/mL,
respectively. The optimal prostate-specific antigen cut-offs for cancer detection
determined from the receiver operating characteristic curves were 2.3 and 2.6 for
the age ranges 54-69 and 70-75 years, respectively. These values were lower than
the age-specific cut-offs in the Japanese Urological Association Guidelines. Of
all 137 patients with prostate-specific antigen levels below the age-specific cut
offs in the Japanese Urological Association Guidelines, 80 (58.4%) had
unfavorable clinicopathological features as active surveillance criteria.
CONCLUSIONS: The age-specific reference range of prostate-specific antigen might
be lower than that recommended in the Japanese Urological Association Guidelines.
An individualized and natural history-adjusted screening system should be
established for screening participants with low prostate-specific antigen level.
PMID- 24931147
TI - [Rational performance of femtosecond laser assisted corneal refractive surgery
against complication].
AB - Many clinical data have shown that the femtosecond assisted corneal refractive
surgery is a safe and effective method for ammetropia. The perfect visual quality
of post-operation must be achieved due to high price and high expectation from
patients. Therefore, surgeons should select the indication carefully, pay more
attention on procedure carefully and prevent from complications perioperative
period. Some strategies on the key points are stated in this article in order to
avoiding the complications related to femtosecond assisted corneal refractive
surgery, to eliminating the potential medical trap and approaching to the perfect
visual quality.
PMID- 24931146
TI - Chemical composition, antioxidant activities and protein profiling of different
parts of Allamanda cathartica.
AB - The phytochemical screening and protein profiling of Allamanda cathartica was
performed. Biochemical analysis revealed that peroxidase (8730 +/- 307 units/g),
superoxide dismutase (181 +/- 3.79 units/g), catalase (529 +/- 28.9 units/g),
protease (3598 +/- 79.8 units/g), total phenolic contents (19,344 +/- 657 MUM/g),
beta-esterases (342 +/- 46.5 units/g) and the total oxidant status were highest
in the roots as compared to other plant parts. However, total soluble proteins
(128 +/- 1.54 mg/g), lycopene (5.70 +/- 0.61 mg/g), chlorophyll a (161 +/- 24.9
MUg/g), total chlorophyll content (267 +/- 34.3 MUg/g) and total carotenoid
content (12.4 +/- 1.71 mg/g) were found to be highest in leaves. Moreover, total
antioxidant capacity (5.43 +/- 0.29 MUM/g) detected by using ABTS method and
alpha-esterase (714.580 +/- 23.6 units/g) were highest in shoots. The protein
profiling was performed using SDS-PAGE. In leaves, 13 peptides with molecular
weight (M.wt.) from 27 to 168 kDa were detected while in shoots 10 peptides with
M.wt. from 30 to 95 kDa were resolved. Similarly, in roots, 10 peptides of 30-880
kDa and in flower seven peptides of 30-88 kDa were detected.
PMID- 24931148
TI - [Perioperative ocular surface evaluation and management in meibomian gland
dysfunction patients undergoing cataract surgery].
AB - Meibomian gland dysfunction (MGD) is one of the most common conditions in the
elderly. Most of MGD patients undergoing cataract surgery were found to have
significant dry eye symptoms and ocular surface disease. The severe cases with
ocular surface disease are also at higher risk of postoperative complications,
namely infections and corneal ulcers. To improve the operation effect and achieve
the best visual outcome and life quality in cataract patients, it is important to
evaluate the ocular surface and meibomian glands in MGD patients preoperatively,
pay attention to the intraoperative care and detect the postoperative
complications carefully and use medicine properly.
PMID- 24931149
TI - [Evaluation of the diagnostic value for meibomian gland dysfunction
examinations].
AB - OBJECTIVE: To evaluate the sensitivity and specificity of clinical examinations
in meibomian gland dysfunction (MGD). METHODS: A prospective case-controlled
study. Fifty-two patients with MGD and 32 healthy age- and sex-matched control
subjects were included from January to August 2013. All subjects were underwent
the examinations sequentially as follows: evaluation of ocular surface disease
symptoms using the Ocular Surface Disease Index (OSDI); lid margin and ocular
surface examination by slit lamp microscrope; infrared meibomian photography;
cornea sensation testing using the Cochet-Bonnet esthesiometer; tear film break
up time (BUT); corneal and conjunctival staining (Oxford scale); and Schirmer I
test. One eye of each subject was included in the study. The parameters between
MGD group and the control group were compared using the independent-samples T
test. Spearman's correlation analysis was used to analyze the correlation of each
parameter of MGD group. Receiver operating characteristic curve (ROC curve) and
area under the curve (AUC) were used to describe the accuracy of each parameter
to differentiate MGD from normal eyes. RESULTS: OSDI score, lid margin
abnormality score, miss rate of meibomian glands and corneal and conjunctival
staining score were obviously higher in MGD group (36.13 +/- 14.71, 2.37 +/-
0.937, (52.64 +/- 17.23) %, 1.06 +/- 1.75) than those in control group(5.22 +/-
11.97, 0.94 +/- 0.564, (23.97 +/- 6.36) %, 0.03 +/- 0.18) (t = 10.019, 7.808,
8.796, 3.293, respectively; P < 0.01). BUT, schirmer I value and corneal
sensitivity score were significantly lower in MGD group (4.57 +/- 2.13, 6.04 +/-
7.09, 5.77 +/- 0.38) than those in control group (13.31 +/- 2.54, 12.56 +/- 6.99,
5.98 +/- 0.07) (t = -16.97, -4.119, -3.018, respectively; P < 0.01). AUC value of
BUT was the largest (0.995), and the followings were the miss rate of meibomian
(0.944), OSDI (0.925) and lid margin abnormalities (0.811). The sensitivity and
the specificity of BUT were 90.4% and 100.0%; the miss rate of meibomian were
76.9% and 100.0%; OSDI were 97.4% and 87.1%; and lid margin abnormalities were
79.5% and 79.4%. The diagnostic value of these four parameters were better than
the rest ones. CONCLUSION: BUT and the miss rate of meibomian have great value in
diagnosis of MGD. Patients considered MGD can be performed these examinations to
confirm the diagnosis.
PMID- 24931150
TI - [Post operation complications analysis and their treatment of Descemet's
stripping automated endothelium keratoplasty].
AB - OBJECTIVE: To summarize intra and post operation complications and their
treatment of Descemet's Stripping Automated Endothelium Keratoplasty (DSAEK), and
provide our experience for its development in China. METHODS: Retrospective case
study. Forty-seven eyes of 42 patients underwent DSAEK performed by one surgeon.
Indications include: Pseudophakic or aphakic Bullous Keratopathy, 27 eyes
(57.4%); Fuchs Endothelial Dystrophy, 7 eyes (14.9%); endothelial decompensation
post vitrectomy, 3 eyes (6.4%); iridocorneal endothelial syndrome (ICE), 3 eyes
(6.4%); congenital glaucoma, 3 eyes (6.4%); graft failure post endothelial
keratoplasty, 3 eyes (6.4%); endothelial decompensation after open ocular injury
and intraocular foreign body, 1 eye (2.1%). Nineteen eyes underwent single DSAEK
(40.4%); eight combined phacoemulsification and intraocular lens implantation
(17.0%); eight combined anterior chamber IOL (AC-IOL) removal plus anterior
segment vitrectomy and posterior chamber IOL (PC-IOL) implantation (17.0%); eight
combined anterior segment vitrectomy and PC-IOL suspension (17.0%); two combined
cataract extraction and anterior segment vitrectomy (4.3%); two failed in DSAEK
and underwent penetrating keratoplasty (4.3%). RESULTS: Dislocation is the most
common postoperative complications. Ten eyes underwent dislocation in 47 eyes
(21.3%), which was solved by air and viscoelastic agent injection. High
intraocular pressure happened in 12 eyes (25.5%), in 11 of which had a history of
glaucoma and 1 with ICE. After drug treatment, ten patients had stable
intraocular pressure and two patients underwent ciliary body photocoagulation
surgery (1 case with anterior chamber intraocular lens, 1 case with ICE).
Reactions happened in 2 cases (4.3%) patients, including 1 case with anterior
chamber IOL (AC-IOL) rehabilitating after drug treatment and the other with
Fuch's corneal endothelial dysfunction being regrafted. CONCLUSION: Dislocation
is the most common complication after corneal endothelial keratoplasty, and air
injection in anterior chamber is a common and effective method; High intraocular
pressure is the second postoperative complication. Preoperative history of
glaucoma is closely related to postoperative high intraocular pressure.
PMID- 24931152
TI - [The clinical results of femtosecond laser-assisted deep anterior lamellar
keratoplasty in patients with keratoconus].
AB - OBJECTIVE: To evaluate the clinical results and safety of deep anterior lamellar
keratoplasty (DALK) in patients with keratoconus assisted by a femtosecond laser.
METHODS: Six eyes of 5 patients with keratoconus underwent DALK procedures by
using a femtosecond laser. The mean thinnest corneal thickness, evaluated with
ultrasound and measurement of visual acuity by using the standard logarithm
visual chart. A femtosecond laser was used to perform corneal cuts on both donor
and recipient corneas. The data were expressed as mean +/- SD. Mean follow-up was
(8.9 +/- 2.3) month (range, 5-12 months) . RESULTS: Corneal pachymetry was (385
+/- 48) um (range, 380-460 um) . Mean preoperative uncorrected visual acuity was
0.06 +/- 0.06 (range,0.01-0.15) and mean preoperative best spectacle-corrected
visual acuity was 0.41 +/- 0.35 (range,0.12-1.00) . The donor corneal lamella
diameters were 0.20 mm larger and thicker than the recipient to restore a
physiologic corneal thickness and shape. Mean donor diameter was (7.8 +/- 0.2) mm
(range, 7.3-8.0 mm) and mean thickness was (372 +/- 40) um (range, 350-400 um)
.Early postoperative evaluation showed a clear graft in all cases. Mean corneal
thickness was (459 +/- 36) um (range, 389-488 um) . At the last postoperative
examination mean uncorrected visual acuity was 0.32 +/- 0.19 (range, 0.12-0.60) ,
and the mean best corrected visual acuity was 0.58 +/- 0.29 (range,0.20-1.00) .
The UCVA and BCVA improved significantly after surgery (t = -4.433, -3.348, P <
0.05). CONCLUSIONS: Our early results indicate that femtosecond laser-assisted
deep anterior lamellar keratoplasty shows promise as a safe and effective
surgical choice in the treatment of keratoconus.
PMID- 24931151
TI - [Study on the clinical manifestation of 90 cases with scleritis and
episcleritis].
AB - OBJECTIVE: To evaluate the demographic characteristics, clinical features, ocular
complications, and disease associations of patients who were diagnosed as
scleritis and episcleritis in clinic. METHODS: A retrospective case series
study.Ninety patients were diagnosed clinically as scleritis and episcleritis in
Beijing Tongren Hospital from Jan 2010 to Jan 2013. Analyzed the general states
of health, case history, the clinical manifestation, clinical features, ocular
complications (decrease in vision, anterior uveitis, keratitis, ocular
hypertension, cataract, and diseases of retina), and disease associations, to
summarize the clinical characteristics of scleritis and episcleritis. RESULTS:
Ninety patients were recorded, from 4 to 83 years old, and the average was (48 +/
15) years old. 30 cases (33.3%) were male, and 60 (66.7%) were female. There
were no significant difference between male and female (chi2 = 10.000, P <
0.01).In the 90 cases, 36 (40.0%) were episleritis and 54 cases (60.0%) were
scleritis. Children group (0-16 years old) had 2 cases (2.2%), which all were
episleritis.Young adult group (17-44 years old) had 39 cases (43.4%), including
13 were episleritis and 26 cases were scleritis. Middle adult group (45-59 years
old) had 30 cases, including 9 cases episleritis and 21 cases scleritis. There
were 19 cases (21.2%) in aged group ( >= 60 years), including 12 cases
episleritis and 7 scleritis.Young adult group, Middle adult group and Aged group
were significantly more than Children and aged group (chi2 = 33.390, 24.500 and
13.762, P < 0.01).Young group was significantly more than Aged group Children
group (chi2 = 6.889, P < 0.01). Ocular complications were more frequent overall
in patients with scleritis versus in those with episcleritis (chi2 = 30.044, P <
0.01) , including decrease in vision, keratitis, and ocular hypertension (chi2 =
130.200, 67.200, 54.444, P < 0.01) .Nineteen cases (21.1%) had different types of
arthritis, and 10 cases (11.1%) had infection diseases in local. There were
difference between episcleritis and scleritis (chi2 = 145.644, P < 0.01) .
CONCLUSIONS: Scleritis occurs in all ages, but mainly in adults.It is uncommon,
and potentially life-threatening diseases. It is needed pay attention to
diagnosis and treatment of the patients with systemic disease and ocular
complications by Ophthalmologist.
PMID- 24931153
TI - [Construction of corneal endothelium deficiency model by cryo-injury and its
application].
AB - OBJECTIVE: To set up an animal model of corneal endothelium deficiency and test
the bio-safety and pump function of B4G12 cells with this model. METHODS: Thirty
SD rats were divided into cryo-injury group and cryo-injury with B4G12 cell
transplantation group. Models of corneal endothelium deficiency were created by
cryo-injury with liquid nitrogen, and then B4G12 cells were transplanted into the
eyes by anterior chamber injection. Corneas were checked under slit lamp and
confocal microscope observations at some specific time points, pathological
staining was also performed. RESULTS: The corneal endothelial cells were removed
completely from the Descemet's membrane after cryo-injury. Cells transplanted
firmly stuck to the Descemet's membrane. The corneas in the cryo-injury group
were swelling with haze, while in the transplantation groups, the corneas
restored transparence and normal thickness 2 weeks after the surgery. Confocal
microscope and HE staining confirmed that in the cryo-injury group, the
Descemet's membrane was denuded and the cornea stroma layer was in edema, on the
contrary, in the other group, the transplanted cells completely covered the
Descemet's membrane. CONCLUSION: Cryo-injury can be used for building the rat
model of corneal endothelium deficiency, no neoplasm and inflammation reaction
were found during the observation after B4G12 cells transplanted and B4G12 cells
had the pump function in vivo.
PMID- 24931154
TI - [Transplanted vascular endothelial cells to replace corneal endothelial cells by
improved anterior chamber injection].
AB - OBJECTIVE: To observe form and function changes of vascular endothelial cells
(VEC) which were transplanted to the posterior surface of rhesus monkey cornea
without Descemet's Membrane by anterior chamber injection, explore the
feasibility of transplanting VEC to treat corneal endothelial injury, and find
new method of corneal endothelial cell transplantation. METHODS: Cultured VEC to
proliferate in vitro.Rhesus monkeys were randomly divided into two groups:
Experimental group (6) and control group (6) according to a random number table.
The experimental group:transplant the culured VEC to the posterior surface of
rhesus monkey cornea without Descemet's membrane by anterior chamber injection.
The control group:Tear out the Descemet's membrane by capsulotomy needle without
VEC transplantation. A ultrasound apparatus was adopted to measure the
postoperative thicknes of the cornea and Goldman intraocular pressure meter
measuring intraocular pressure in the postoperative 7 days, 14 days, 30 days, 60
days, 90 days, organize the data and entered into the computer , applicated the
software of SPSS 11.5 for data independent samples t-test and analysis of
variance. The eyes were removed respectively in postoperative 30, 60, 90 days to
do pathological HE dyeing and scanning electron microscopy (SEM) observation of
VEC in the posterior surface of cornea graft. RESULTS: Corneal transpareney:In
the experimental observation period (3 months), the experimental group had better
transparency than the control group with normal anterior chamber depth and
without bullous keratopathy. And the corneal neovascularization was exist in the
cornea graft in experimental group in the third month. After 3 months, the
corneal thickness of experimental group (500 +/- 14) um was significantly lower
than the control group (618 +/- 11) um, Corneal thickness values between
experimental and control groups were statistically significant differences in
changes (all P < 0.05, t-values were -3.256, -4.419, -12.896 postoperative 1, 2,
3 months). Changes of intraocular pressure difference between the experimental
group and the control was not statistically significant (all P > 0.05, t-values
were -1.179, -2.166, -2.536 postoperative 1, 2, 3 months). The pathological:the
cell layer was visible in the posterior surface of cornea graft. The control
group:can't find the cell sample structure.SEM:Experimental group showed that VEC
with irregular shape uniformly distributed on the inner surface of cornea and
growing well, a small amount of white blood cells can be seen between VEC, and
part of cellular debris exist in the trabecular meshwork. Control group showed a
fiber material without VEC. CONCLUSIONS: Ultrasonic emulsification can
established a repeatability and simple model of corneal endothelial injury in
Rhesus monkeys.VEC can be transplanted to the corneal surface by Anterior chamber
injection and the cells can grow on the surface and play a barrier role in
maintaining the state of dehydration and transparency of the cornea to a certain
extent. After transplantation, organizational structure and morphology of the
anterior chamber angle does not produce pathological effects in the short term.
Prompt that transplant the culured VEC to the posterior surface of rhesus monkey
cornea without Descemet's Membrane by anterior chamber injection to substitute
the function of the corneal endothelial cells may be a new idea for treatment of
corneal endothelial damage.
PMID- 24931155
TI - [Inhibited experimental mouse corneal neovascularization by CCR3 antagonist].
AB - OBJECTIVE: To explore the effect of CCR3 antagonist on the development of
experimental corneal neovascularization. METHODS: Mouse corneas were burned by
NaOH to induce corneal neovascularization.Fifty four clean male BABL/c mice aged
7-8 weeks were divided into control group, CCR3 antagonist group and VEGF
antibody positive group according to randomized number table. The gene expression
of CCR3 and its ligand eotaxin in burned corneas was examined by Real-time PCR.
CCR3 antagonist was locally administrated after alkali injury and the formation
of corneal neovascular 2 weeks after injury was examined using a digital camera
linked to a slit lamp microscope and corneal whole mount staining with CD31. The
mRNA and protein expression of chemokines in burned corneas was detected by Real
time PCR and western blot. RESULTS: Compared to control group, CCR3 antagonist
treated mice resulted in significantly decreased corneal neovascularization. The
related CNV area was 0.51 +/- 0.03 in the CCR3 antagonist group, and that in the
control group was 0.77 +/- 0.15, with significant difference between them (t =
12.91, P = 0.00).Western blot detection did not show significant difference of
VEGF protein expression between two groups.Expression level of VEGF in the CCR3
antagonist group was 0.91 +/- 0.24, and that in the control group was 1.15 +/-
0.30, showing no significant difference (t = 1.08, P = 0.34). CONCLUSIONS: Alkali
induced corneal neovascularization was inhibited by CCR3 antagonist. The
mechanism that CCR3 pathway plays an important role in corneal neovascularization
needs further exploration.
PMID- 24931156
TI - [Meibomian gland morphology study progression].
AB - The meibomian gland (MG) in the eyelids, which is the largest sebaceous gland
throughout the body, synthesize and secrete lipids to form the superficial tear
film layer. It plays a key role in maintaining the ocular surface health.
Abnormalities in meibomian gland morphology lead to meibomian gland dysfunction,
which is the main cause of evaporative dry eye. Study on meibomian gland
morphology will contribute significantly to the diagnosis and treatment of
meibomian gland dysfunction. This review is just focusing on the current studies
about techniques to visualize the morphology of the MG and changes of meibomian
gland morphology related to diseases.
PMID- 24931157
TI - [Toxicity research status of benzalkonium chloride on ocular surface].
AB - Benzalkonium chloride (BAC) is the most commonly used preservative in ophthalmic
preparations.So far large bodies of clinical and experimental studies have shown
that use of topical drugs containing BAC can induce a series of ocular surface
diseases, such as apoptosis.However, recently, some clinical studies have shown
that ocular toxicity in patients treated with eye drops containing BAC has not
apparent correlated with BAC.Some scholars consider that the limitations of the
research lead people to recognize the BAC toxicity exaggeratedly.Here we
summarize numerous clinical and experimental studies of BAC in the past few
years, and focus on reviewing recent researches of the toxic effect of BAC on
ocular surface.
PMID- 24931158
TI - [The Boston keratoprosthesis].
AB - The Boston Keratoprosthesis (KPro) is one of several types of artificial cornea
manufactured worldwide that are being implanted in increasing numbers in patients
with severe corneal diseases and graft failures. To summerize the advances in
design of the Boston type I keratoprosthesis and in the treatment strategies to
conquer the post operative complications have expanded the indications and
application of this technology. Many modifications to the design of the Boston
type I keratoprosthesis and treatment of the patient in the post operative period
have occurred. Also, the technology has been more widely accepted as a primary
surgical option for patients with a poor preoperative prognosis for traditional
penetrating keratoplasty. The outcomes of visual acuity, retention, and post
operative infection rates have all significantly improved since the technology
has been modified and offers patients an alternative for visual rehabilitation.
This is implanted into a carrier corneal graft or into the patient's own cornea.
The allograft cornea can be the carrier, which may solve the shortage of donor
cornea in China.
PMID- 24931159
TI - All models are wrong.
AB - As the field of phylogeography has continued to move in the model-based
direction, researchers continue struggling to construct useful models for
inference. These models must be both simple enough to be tractable yet contain
enough of the complexity of the natural world to make meaningful inference.
Beyond constructing such models for inference, researchers explore model space
and test competing models with the data on hand, with the goal of improving the
understanding of the natural world and the processes underlying natural
biological communities. Approximate Bayesian computation (ABC) has increased in
recent popularity as a tool for evaluating alternative historical demographic
models given population genetic samples. As a thorough demonstration, Pelletier &
Carstens (2014) use ABC to test 143 phylogeographic submodels given
geographically widespread genetic samples from the salamander species Plethodon
idahoensis (Carstens et al. 2014) and, in so doing, demonstrate how the results
of the ABC model choice procedure are dependent on the model set one chooses to
evaluate.
PMID- 24931160
TI - MiR-146b is a regulator of human visceral preadipocyte proliferation and
differentiation and its expression is altered in human obesity.
AB - Visceral obesity is an independent risk factor for metabolic syndrome, and
abnormal fat accumulation is linked to increases in the number and size of
adipocytes. MiR-146b was a miRNA highly expressed in mature adipocytes while very
lowly expressed in human mesenchymal stem cells (hMSCs) and human visceral
preadipocytes (vHPA). In this paper, we mainly focused on the roles of miR-146b
in adipogenesis. We found miR-146b could inhibit the proliferation of visceral
preadipocytes and promote their differentiation. MiR-146b in human visceral
adipocytes inhibited the expression of KLF7, a member of the Kruppel-like
transcription factors, as demonstrated by a firefly luciferase reporter assay,
indicating that KLF7 is a direct target of the endogenous miR-146b. MiR-146b
expression was significantly altered in visceral and subcutaneous adipose tissues
in human overweight and obese subjects, and in the epididymal fat tissues and
brown fat tissues of diet-induced obese mice. Our data indicates that miR-146b
may be a new therapeutic target against human visceral obesity and metabolic
dysfunction.
PMID- 24931161
TI - CLM29, a multi-target pyrazolopyrimidine derivative, has anti-neoplastic activity
in medullary thyroid cancer in vitro and in vivo.
AB - CLM29 (a pyrazolo[3,4-d]pyrimidine, that inhibits RET, epidermal growth factor
receptor, vascular endothelial growth factor receptor, and has an anti-angiogenic
activity) has anti-neoplastic activity in papillary dedifferentiated thyroid
cancer. Here we tested CLM29 in medullary thyroid cancer (MTC), in primary MTC
cells (P-MTC) obtained at surgery, and in TT cells harboring (C634W) RET
mutation. CLM29 (10, 30, 50 MUM) inhibited significantly (P<0.001) the
proliferation, and increased the percentage of apoptotic P-MTC, TT and human
dermal microvascular endothelial cells. The inhibition of proliferation by CLM29
was similar in P-MTC cells with/without RET mutation. TT cells were injected sc
in CD nu/nu mice, and tumor masses became detectable between 20 and 30 days after
xenotransplantation; CLM29 (50mg/kg/die) reduced significantly tumor growth and
weight, and microvessel density. The anti-tumor activity of CLM29 has been shown
in MTC in vitro, and in vivo, opening the way to a future clinical evaluation.
PMID- 24931162
TI - Tyrosine phosphatase SHP2 increases cell motility in triple-negative breast
cancer through the activation of SRC-family kinases.
AB - Tumor cell migration has a fundamental role in early steps of metastasis, the
fatal hallmark of cancer. In the present study, we investigated the effects of
the tyrosine phosphatase, SRC-homology 2 domain-containing phosphatase 2 (SHP2),
on cell migration in metastatic triple-negative breast cancer (TNBC), an
aggressive disease associated with a poor prognosis for which a targeted therapy
is not yet available. Using mouse models and multiphoton intravital imaging, we
have identified a crucial effect of SHP2 on TNBC cell motility in vivo. Further,
analysis of TNBC cells revealed that SHP2 also influences cell migration,
chemotaxis and invasion in vitro. Unbiased phosphoproteomics and biochemical
analysis showed that SHP2 activates several SRC-family kinases and downstream
targets, most of which are inducers of migration and invasion. In particular,
direct interaction between SHP2 and c-SRC was revealed by a fluorescence
resonance energy transfer assay. These results suggest that SHP2 is a crucial
factor during early steps of TNBC migration to distant organs.
PMID- 24931165
TI - Signal peptide peptidase-mediated nuclear localization of heme oxygenase-1
promotes cancer cell proliferation and invasion independent of its enzymatic
activity.
AB - Heme oxygenase-1 (HO-1) is a heme-degrading enzyme anchored in the endoplasmic
reticulum by a carboxyl-terminal transmembrane segment (TMS). HO-1 is highly
expressed in various cancers and its nuclear localization is associated with the
progression of some cancers. Nevertheless, the mechanism underlying HO-1 nuclear
translocation and its pathological significance remain elusive. Here we show that
the signal peptide peptidase (SPP) catalyzes the intramembrane cleavage of HO-1.
Coexpression of HO-1 with wild-type SPP, but not a dominant-negative SPP,
promoted the nuclear localization of HO-1 in cells. Mass spectrometry analysis of
cytosolic HO-1 isolated from HeLa cells overexpressing HO-1 and SPP revealed two
adjacent intramembrane cleavage sites located after S275 and F276 within the TMS.
Mutations of S275F276 to A275L276 significantly hindered SPP-mediated HO-1
cleavage and nuclear localization. Nuclear HO-1 was detected in A549 and DU145
cancer cell lines expressing high levels of endogenous HO-1 and SPP. SPP
knockdown or inhibition significantly reduced nuclear HO-1 localization in A549
and DU145 cells. The positive nuclear HO-1 stain was also evident in lung cancer
tissues expressing high levels of HO-1 and SPP. Overexpression of a truncated HO
1 (t-HO-1) lacking the TMS in HeLa and H1299 cells promoted cell proliferation
and migration/invasion. The effect of t-HO-1 was not affected by a mutation in
the catalytic site. However, blockade of t-HO-1 nuclear localization abolished t
HO-1-mediated effect. The tumorigenic effect of t-HO-1 was also demonstrated in
the mouse model. These findings disclose that SPP-mediated intramembrane cleavage
of HO-1 promotes HO-1 nuclear localization and cancer progression independent of
HO-1 enzymatic activity.
PMID- 24931163
TI - mTORC1 drives HIF-1alpha and VEGF-A signalling via multiple mechanisms involving
4E-BP1, S6K1 and STAT3.
AB - Recent clinical trials using rapalogues in tuberous sclerosis complex show
regression in volume of typically vascularised tumours including angiomyolipomas
and subependymal giant cell astrocytomas. By blocking mechanistic/mammalian
target of rapamycin complex 1 (mTORC1) signalling, rapalogue efficacy is likely
to occur, in part, through suppression of hypoxia-inducible factors (HIFs) and
vascular endothelial growth factors (VEGFs). We show that rapamycin reduces HIF
1alpha protein levels, and to a lesser extent VEGF-A levels, in renal cystadenoma
cells in a Tsc2+/- mouse model. We established that mTORC1 drives HIF-1alpha
protein accumulation through enhanced transcription of HIF-1alpha mRNA, a process
that is blocked by either inhibition or knockdown of signal transducer and
activation of transcription 3 (STAT3). Furthermore, we demonstrated that STAT3 is
directly phosphorylated by mTORC1 on Ser727 during hypoxia, promoting HIF-1alpha
mRNA transcription. mTORC1 also regulates HIF-1alpha synthesis on a translational
level via co-operative regulation of both initiation factor 4E-binding protein 1
(4E-BP1) and ribosomal protein S6 kinase-1 (S6K1), whereas HIF-1alpha degradation
remains unaffected. We therefore proposed that mTORC1 drives HIF-1alpha synthesis
in a multifaceted manner through 4E-BP1/eIF4E, S6K1 and STAT3. Interestingly, we
observed a disconnect between HIF-1alpha protein levels and VEGF-A expression.
Although both S6K1 and 4E-BP1 regulate HIF-1alpha translation, VEGF-A is
primarily under the control of 4E-BP1/eIF4E. S6K1 inhibition reduces HIF-1alpha
but not VEGF-A expression, suggesting that mTORC1 mediates VEGF-A expression via
both HIF-1alpha-dependent and -independent mechanisms. Our work has important
implications for the treatment of vascularised tumours, where mTORC1 acts as a
central mediator of STAT3, HIF-1alpha, VEGF-A and angiogenesis via multiple
signalling mechanisms.
PMID- 24931164
TI - RECK controls breast cancer metastasis by modulating a convergent, STAT3
dependent neoangiogenic switch.
AB - Metastasis is the primary cause of cancer-related death in oncology patients. A
comprehensive understanding of the molecular mechanisms that cancer cells usurp
to promote metastatic dissemination is critical for the development and
implementation of novel diagnostic and treatment strategies. Here we show that
the membrane protein RECK (Reversion-inducing cysteine-rich protein with kazal
motifs) controls breast cancer metastasis by modulating a novel, non-canonical
and convergent signal transducer and activator of transcription factor 3 (STAT3)
dependent angiogenic program. Neoangiogenesis and STAT3 hyperactivation are known
to be fundamentally important for metastasis, but the root molecular initiators
of these phenotypes are poorly understood. Our study identifies loss of RECK as a
critical and previously unknown trigger for these hallmarks of metastasis. Using
multiple xenograft mouse models, we comprehensively show that RECK inhibits
metastasis, concomitant with a suppression of neoangiogenesis at secondary sites,
while leaving primary tumor growth unaffected. Further, with functional genomics
and biochemical dissection we demonstrate that RECK controls this angiogenic
rheostat through a novel complex with cell surface receptors to regulate STAT3
activation, cytokine signaling, and the induction of both vascular endothelial
growth factor and urokinase plasminogen activator. In accordance with these
findings, inhibition of STAT3 can rescue this phenotype both in vitro and in
vivo. Taken together, our study uncovers, for the first time, that RECK is a
novel regulator of multiple well-established and robust mediators of metastasis;
thus, RECK is a keystone protein that may be exploited in a clinical setting to
target metastatic disease from multiple angles.
PMID- 24931166
TI - Antisense transcription at the TRPM2 locus as a novel prognostic marker and
therapeutic target in prostate cancer.
AB - Overwhelming evidence indicates that cancer is a genetic disease caused by the
accumulation of mutations in oncogenes and tumor suppressor genes. It is also
increasingly apparent, however, that cancer depends not only on mutations in
these coding genes but also on alterations in the large class of non-coding RNAs.
Here, we report that one such long non-coding RNA, TRPM2-AS, an antisense
transcript of TRPM2, which encodes an oxidative stress-activated ion channel, is
overexpressed in prostate cancer (PCa). The high expression of TRPM2-AS and its
related gene signature were found to be linked to poor clinical outcome, with the
related gene signature working also independently of the patient's Gleason score.
Mechanistically, TRPM2-AS knockdown led to PCa cell apoptosis, with a
transcriptional profile that indicated an unbearable increase in cellular stress
in the dying cells, which was coupled to cell cycle arrest, an increase in
intracellular hydrogen peroxide and activation of the sense TRPM2 gene. Moreover,
targets of existing drugs and treatments were found to be consistently associated
with high TRPM2-AS levels in both targeted cells and patients, ultimately
suggesting that the measurement of the expression levels of TRPM2-AS allows not
only for the early identification of aggressive PCa tumors, but also identifies a
subset of at-risk patients who would benefit from currently available, but mostly
differently purposed, therapeutic agents.
PMID- 24931167
TI - An intergenic regulatory region mediates Drosophila Myc-induced apoptosis and
blocks tissue hyperplasia.
AB - Induction of cell-autonomous apoptosis following oncogene-induced
overproliferation is a major tumor-suppressive mechanism in vertebrates. However,
the detailed mechanism mediating this process remains enigmatic. In this study,
we demonstrate that dMyc-induced cell-autonomous apoptosis in the fruit fly
Drosophila melanogaster relies on an intergenic sequence termed the IRER
(irradiation-responsive enhancer region). The IRER mediates the expression of
surrounding proapoptotic genes, and we use an in vivo reporter of the IRER
chromatin state to gather evidence that epigenetic control of DNA accessibility
within the IRER is an important determinant of the strength of this response to
excess dMyc. In a previous work, we showed that the IRER also mediates P53
dependent induction of proapoptotic genes following DNA damage, and the chromatin
conformation within IRER is regulated by polycomb group-mediated histone
modifications. dMyc-induced apoptosis and the P53-mediated DNA damage response
thus overlap in a requirement for the IRER. The epigenetic mechanisms controlling
IRER accessibility appear to set thresholds for the P53- and dMyc-induced
expression of apoptotic genes in vivo and may have a profound impact on cellular
sensitivity to oncogene-induced stress.
PMID- 24931170
TI - Targeting WNT1-inducible signaling pathway protein 2 alters human breast cancer
cell susceptibility to specific lysis through regulation of KLF-4 and miR-7
expression.
AB - The molecular basis for the resistance of tumor cells to cell-mediated
cytotoxicity remains poorly understood and thus poses a major challenge for
cancer immunotherapy. The present study was designed to determine whether the
WNT1-inducible signaling pathway protein 2 (WISP2, also referred to as CCN5), a
key regulator of tumor cell plasticity, interferes with tumor susceptibility to
cytotoxic T-lymphocyte (CTL)-mediated lysis. We found that silencing WISP2
signaling in human breast adenocarcinoma MCF7 cells impairs CTL-mediated cell
killing by a mechanism involving stem cell marker Kruppel-like factor-4 (KLF-4)
induction and microRNA-7 (miR-7) downregulation. Inhibition of transforming
growth factor beta (TGF-beta) signaling using the A83-01 inhibitor in MCF7
shWISP2 cells resulted in a significant reversal of the epithelial-to-mesenchymal
transitioned (EMT) phenotype, the expression of KLF-4 and a partial recovery of
target susceptibility to CTLs. More importantly, we showed that silencing KLF-4
was accompanied by a reduction in MCF7-shWISP2 resistance to CTLs. Using human
breast cancer tissues, we demonstrated the coexpression of KLF-4 with EMT markers
and TGF-beta pathway signaling components. More importantly, we found that KLF-4
expression was accompanied by miR-7 inhibition, which is partly responsible for
impairing CTL-mediated lysis. Thus, our data indicate that WISP2 has a role in
regulating tumor cell susceptibility through EMT by inducing the TGF-beta
signaling pathway, KLF-4 expression and miR-7 inhibition. These studies indicate
for the first time that WISP2 acts as an activator of CTL-induced killing and
suggests that the loss of its function promotes evasion of immunosurveillance and
the ensuing progression of the tumor.
PMID- 24931169
TI - Cellular senescence checkpoint function determines differential Notch1-dependent
oncogenic and tumor-suppressor activities.
AB - Notch activity regulates tumor biology in a context-dependent and complex manner.
Notch may act as an oncogene or a tumor-suppressor gene even within the same
tumor type. Recently, Notch signaling has been implicated in cellular senescence.
Yet, it remains unclear as to how cellular senescence checkpoint functions may
interact with Notch-mediated oncogenic and tumor-suppressor activities. Herein,
we used genetically engineered human esophageal keratinocytes and esophageal
squamous cell carcinoma cells to delineate the functional consequences of Notch
activation and inhibition along with pharmacological intervention and RNA
interference experiments. When expressed in a tetracycline-inducible manner, the
ectopically expressed activated form of Notch1 (ICN1) displayed oncogene-like
characteristics inducing cellular senescence corroborated by the induction of
G0/G1 cell-cycle arrest, Rb dephosphorylation, flat and enlarged cell morphology
and senescence-associated beta-galactosidase activity. Notch-induced senescence
involves canonical CSL/RBPJ-dependent transcriptional activity and the p16(INK4A)
Rb pathway. Loss of p16(INK4A) or the presence of human papilloma virus (HPV)
E6/E7 oncogene products not only prevented ICN1 from inducing senescence but
permitted ICN1 to facilitate anchorage-independent colony formation and xenograft
tumor growth with increased cell proliferation and reduced squamous-cell
differentiation. Moreover, Notch1 appears to mediate replicative senescence as
well as transforming growth factor-beta-induced cellular senescence in non
transformed cells and that HPV E6/E7 targets Notch1 for inactivation to prevent
senescence, revealing a tumor-suppressor attribute of endogenous Notch1. In
aggregate, cellular senescence checkpoint functions may influence dichotomous
Notch activities in the neoplastic context.
PMID- 24931168
TI - Epigenetic mechanisms of tumorigenicity manifesting in stem cells.
AB - One of the biggest roadblocks to using stem cells as the basis for regenerative
medicine therapies is the tumorigenicity of stem cells. Unfortunately, the unique
abilities of stem cells to self-renew and differentiate into a variety of cell
types are also mechanistically linked to their tumorigenic behaviors.
Understanding the mechanisms underlying the close relationship between stem cells
and cancer cells has therefore become a primary goal in the field. In addition,
knowledge gained from investigating the striking parallels between mechanisms
orchestrating normal embryogenesis and those that invoke tumorigenesis may well
serve as the foundation for developing novel cancer treatments. Emerging
discoveries have demonstrated that epigenetic regulatory machinery has important
roles in normal stem cell functions, cancer development and cancer stem cell
(CSC) identity. These studies provide valuable insights into both the shared and
distinct mechanisms by which pluripotency and oncogenicity are established and
regulated. In this review, the cancer-related epigenetic mechanisms found in
pluripotent stem cells and cancer cells will be discussed, focusing on both the
similarities and the differences.
PMID- 24931171
TI - Bortezomib use in a pediatric cardiac transplant center.
AB - Data are limited on the efficacy and safety of bortezomib for the treatment of
AMR following OHT for pediatric acquired or CHD. Retrospective chart review
identified patients who received bortezomib for acute (n = 3, within two wk of
diagnosis) and chronic (n = 1, three months after diagnosis) AMR or as part of a
desensitization regimen (n = 1). Bortezomib was associated with a 3-66% reduction
in class I DSA and a 7-82% reduction in class II DSA. Two of the three acute AMR
cases resolved by the first follow-up biopsy. Two patients with AMR resolution
are currently well. One patient developed a second episode of AMR, which was
unresponsive to bortezomib therapy and required retransplantation for progressive
coronary allograft vasculopathy. One patient died shortly after the third cycle
from multi-organ failure. The desensitization patient showed transient HLA
reduction with two cycles, but died five months after transplant from sepsis.
Complications included infection (3/5), peripheral neuropathy (2/5), AKI (2/5),
and thrombocytopenia (3/5). Adverse events appear more common in critically ill
patients. Bortezomib therapy resulted in variable DSA reduction and AMR
resolution in AMR in OHT secondary to pediatric acquired or CHD.
PMID- 24931172
TI - Downregulation of lipogenesis and fatty acid oxidation in the subcutaneous
adipose tissue of morbidly obese women.
AB - OBJECTIVE: The aim of this study was to analyse the expression of crucial genes
in fatty acid metabolism in visceral (VAT) and subcutaneous (SAT) adipose tissue
samples from morbidly obese women. METHODS: The VAT and SAT expression of key
genes in 145 morbidly obese women (MO, BMI > 40 Kg/m(2) ) and 18 normal weight
control women by RT-PCR and Western Blot was analyzed. RESULTS: In SAT, the
expression levels of the genes related to lipogenesis and fatty acid oxidation
were significantly lower in MO than in controls. In VAT, most of the lipogenic
genes studied had similar expression levels in MO and control cohort. Regarding
inflammation, IL6 was significantly higher in MO in both tissues whereas TNFalpha
mRNA expression was significantly higher only in VAT. CONCLUSIONS: Our results
indicate that in morbidly obese patients, lipogenesis and fatty acid oxidation
are downregulated in SAT, whereas in VAT these pathways are almost unchanged. By
contrast, inflammation is induced in both adipose tissues. It is hypothesized
that, in this type of extreme obesity, SAT works to limit any further development
of fat mass, decreasing the expression of lipogenic and FA oxidative genes
whereas VAT depot might have lost this capability.
PMID- 24931173
TI - Persistent left and absent right superior vena cava: combined functional and
anatomic assessment with transthoracic echocardiography and computed tomography.
PMID- 24931176
TI - Low cost lab-on-a-chip prototyping with a consumer grade 3D printer.
AB - Versatile prototyping of 3D printed lab-on-a-chip devices, supporting different
forms of sample delivery, transport, functionalization and readout, is
demonstrated with a consumer grade printer, which centralizes all critical
fabrication tasks. Devices cost 0.57US$ and are demonstrated in chemical sensing
and micromixing examples, which exploit established principles from reference
technologies.
PMID- 24931175
TI - Single-molecule mechanochemical sensing using DNA origami nanostructures.
AB - While single-molecule sensing offers the ultimate detection limit, its throughput
is often restricted as sensing events are carried out one at a time in most
cases. 2D and 3D DNA origami nanostructures are used as expanded single-molecule
platforms in a new mechanochemical sensing strategy. As a proof of concept, six
sensing probes are incorporated in a 7-tile DNA origami nanoassembly, wherein
binding of a target molecule to any of these probes leads to mechanochemical
rearrangement of the origami nanostructure, which is monitored in real time by
optical tweezers. Using these platforms, 10 pM platelet-derived growth factor
(PDGF) are detected within 10 minutes, while demonstrating multiplex sensing of
the PDGF and a target DNA in the same solution. By tapping into the rapid
development of versatile DNA origami nanostructures, this mechanochemical
platform is anticipated to offer a long sought solution for single-molecule
sensing with improved throughput.
PMID- 24931174
TI - Behavioural screening of zebrafish using neuroactive traditional Chinese medicine
prescriptions and biological targets.
AB - The mechanism of the therapeutic action of antidepressants remains uncertain in
traditional Chinese medicine (TCM). In this study, we selected 7 classical TCM
prescriptions and utilised an automatic video-tracking system to monitor the
rest/wake behaviour of larval zebrafish at 4 days post-fertilisation (dpf) for 48
hours. We found that the curative effects of the prescriptions were dose
dependent. K-means clustering was performed according to the shared behavioural
phenotypes of the zebrafish. The results revealed that the rest/wake behavioural
profiles induced by the same class of prescriptions were similar. A correlation
analysis was conducted between the TCM prescriptions and the known compounds. The
results showed that the TCM prescriptions correlated well with some well-known
compounds. Therefore, we predicted that they may share a similar mechanism of
action. This paper describes the first study to combine TCM research with
zebrafish rest/wake behaviour in vivo and presents a powerful approach for the
discovery of the mechanism of action of TCM prescriptions.
PMID- 24931177
TI - Femoral approach: an exceptional alternative for permanent pacemaker
implantation.
AB - The classic transvenous implantation of a permanent pacemaker in a pectoral
location may be precluded by obstruction of venous access through the superior
vena cava or recent infection at the implant site. When these barriers to the
procedure are bilateral and there are also contraindications or technical
difficulties to performing a thoracotomy for an epicardial approach, the femoral
vein, although rarely used, can be a viable alternative. We describe the case of
a patient with occlusion of both subclavian veins and a high risk for mini
thoracotomy or videothoracoscopy, who underwent implantation of a permanent
single-chamber pacemaker via the right femoral vein.
PMID- 24931178
TI - An asymptomatic case of a giant left atrium.
PMID- 24931179
TI - Epicardial ablation for prevention of ventricular fibrillation in a patient with
Brugada syndrome.
AB - We present the case of a 60-year-old woman with Brugada syndrome, permanent type
1 electrocardiographic pattern, who had previously received an implantable
cardioverter-defibrillator. She suffered frequent syncopal episodes and multiple
appropriate shocks (around five per month) due to polymorphic ventricular
tachycardia/ventricular fibrillation, refractory to quinidine therapy. Combined
epicardial and endocardial electroanatomical mapping was performed with a view to
substrate ablation. An area of abnormal fractionated electrograms, lasting up to
370 ms and up to 216 ms after the end of the surface QRS, was identified in the
epicardium in the lower anterior part of the right ventricular outflow tract.
Extensive epicardial ablation of this area, which eliminated the fractionated
electrograms, led to the disappearance of the Brugada electrocardiographic
pattern six weeks after ablation. Despite discontinuation of quinidine, no
further ventricular arrhythmias occurred during follow-up, which is still of
short duration.
PMID- 24931180
TI - The proarrhythmic effect of cardiac resynchronization therapy: an issue that
should be borne in mind.
AB - The demonstrated benefits of cardiac resynchronization therapy (CRT) in reducing
mortality and hospitalizations for heart failure, improving NYHA functional class
and inducing reverse remodeling have led to its increasing use in clinical
practice. However, its potential contribution to complex ventricular arrhythmias
is controversial.We present the case of a female patient with valvular heart
failure and severe systolic dysfunction, in NYHA class III and under optimal
medical therapy, without previous documented ventricular arrhythmias. After
implantation of a CRT defibrillator, she suffered an arrhythmic storm with
multiple episodes of monomorphic ventricular tachycardia (VT), requiring 12
shocks. Subsequently, a pattern of ventricular bigeminy was observed, as well as
reproducible VT runs induced by biventricular pacing. Since no other vein of the
coronary sinus system was accessible, it was decided to implant an epicardial
lead to stimulate the left ventricle, positioned in the left ventricular mid
lateral wall. No arrhythmias were detected in the following six months. This case
highlights the possible proarrhythmic effect of biventricular pacing with a left
ventricular lead positioned in the coronary sinus venous system.
PMID- 24931181
TI - Post-cardiac injury syndrome following transvenous pacing: case report.
AB - Post-cardiac injury syndrome (PCIS) is an inflammatory process involving the
pericardium secondary to cardiac injury. It can develop after cardiac trauma,
cardiac surgery, myocardial infarction, and, rarely, after certain intravascular
procedures. We report a rare case of an iatrogenic cardiac rupture followed by
PCIS with delayed inflammatory pericardial effusion after pacemaker implantation.
A comprehensive literature review on this topic is provided.
PMID- 24931182
TI - New-onset atrial fibrillation during acute coronary syndromes: predictors and
prognosis.
AB - INTRODUCTION: New-onset atrial fibrillation (AF) frequently complicates
myocardial infarction, with an incidence of 6-21%. OBJECTIVE: To assess the
predictors and prognosis of new-onset AF during acute coronary syndromes (ACS).
METHODS: We performed a retrospective observational cohort study including 902
consecutive patients (mean age 64 years, 77.5% male) admitted to a single center
over a two-year period, with a six-month follow-up. RESULTS: AF rhythm was
identified in 13.8% patients, of whom 73.3% presented new-onset AF and 26.8% pre
existing AF. New-onset AF was more frequent in older (p<0.001) and hypertensive
patients (p=0.001) and in those with previous valvular heart disease (p<0.001)
and coronary artery bypass grafting (p=0.049). During hospitalization, patients
with new-onset AF more often had respiratory infection (p=0.002) and heart
failure (p<0.001), and higher values of NT-proBNP (p=0.007) and peak creatinine
(p=0.001). On echocardiography they had greater left atrial (LA) diameter
(p<0.001) and more frequent significant mitral regurgitation (p<0.001) and left
ventricular ejection fraction (LVEF) <=40% (p<0.001) and were less likely to have
significant coronary lesions (p=0.009) or to have undergone coronary
revascularization (p<0.001). In multivariate analysis, age (OR 1.06, p=0.021),
LVEF <=40% (OR 4.91, p=0.002) and LA diameter (OR 1.14, p=0.008) remained
independent predictors of new-onset AF. Together with age, diabetes and maximum
Killip class, this arrhythmia was an independent predictor of overall mortality
(OR 3.11, p=0.032). CONCLUSIONS: Age, LVEF <=40% and LA diameter are independent
predictors of new-onset AF during ACS. This arrhythmia is associated with higher
overall mortality (in-hospital and in follow-up).
PMID- 24931183
TI - Formulation of sage essential oil (Salvia officinalis, L.) monoterpenes into
chitosan hydrogels and permeation study with GC-MS analysis.
AB - This study deals with the formulation of natural drugs into hydrogels. For the
first time, compounds from the sage essential oil were formulated into chitosan
hydrogels. A sample preparation procedure for hydrophobic volatile analytes
present in a hydrophilic water matrix along with an analytical method based on
the gas chromatography coupled with the mass spectrometry (GC-MS) was developed
and applied for the evaluation of the identity and quantity of essential oil
components in the hydrogels and saline samples. The experimental results revealed
that the chitosan hydrogels are suitable for the formulation of sage essential
oil. The monoterpene release can be effectively controlled by both chitosan and
caffeine concentration in the hydrogels. Permeation experiment, based on a
hydrogel with the optimized composition [3.5% (w/w) sage essential oil, 2.0%
(w/w) caffeine, 2.5% (w/w) chitosan and 0.1% (w/w) Tween-80] in donor
compartment, saline solution in acceptor compartment, and semi-permeable
cellophane membrane, demonstrated the useful permeation selectivity. Here,
(according to lipophilicity) an enhanced permeation of the bicyclic monoterpenes
with antiflogistic and antiseptic properties (eucalyptol, camphor and borneol)
and, at the same time, suppressed permeation of toxic thujone (not exceeding its
permitted applicable concentration) was observed. These properties highlight the
pharmaceutical importance of the developed chitosan hydrogel formulating sage
essential oil in the dermal applications.
PMID- 24931184
TI - [Hepatitis B and C among volunteer non-remunerated blood donors in Eastern
Democratic Republic of Congo].
AB - OBJECTIVE: We aimed to evaluate the global prevalence of hepatitis B and C among
voluntary blood donor. METHODS: In the study, 1079 blood donors were included.
The investigation was carried out from 1 January till 31 December 2010 in the
central Blood Bank of Bukavu in DRC. The median age of sample was 26 years. In
total, 72.4% among them were male sex and 54.5% of new blood donors. RESULTS: The
prevalence of hepatitis B was 4.2% and hepatitis C was found in 3.8% case the
coinfection VHB and VHC with 2.2%. VHB was prevalent in blood donor group of less
than 30 years (5.0%), new blood donor (5.1%), in medical profession (7.1%) and in
the male sex group (5.1%) and was significantly according to the sex (P=0.01) and
the place of residence (P=0.002). A strong association was showed between the
rural medium and hepatitis B OR 3,1 (1.4-6.5) and VHC OR 2.9 (1.3-6.5). After
estimation with logistic regression a higher risk of seropositivity of VHB found
in blood donor sex male group, married group, blood donor coming from the rural
middle and having less than 30 years. CONCLUSION: For blood safety, a particular
attention must be laid in the selection of donor before a blood donation and in
donation in reagent.
PMID- 24931185
TI - Azithromycin improves lung function in patients with post-lung transplant
bronchiolitis obliterans syndrome: a meta-analysis.
AB - INTRODUCTION: Azithromycin has been shown to reverse or halt the decline of
forced expiratory volume in one s (FEV1) in patients with bronchiolitis
obliterans (BOS) syndrome following lung transplant. The overall effect of
azithromycin on the absolute values of FEV1 has not been compared between
reported studies. We studied the effects of azithromycin on lung function in
patients with post-lung transplant BOS syndrome. METHODS: A meta-analysis was
performed using studies identified following an extensive database search. To be
included, studies were published in English or French and explicitly reported
percentage change in FEV1 or hazard ratios. RESULTS: A total of 10 studies were
included in this review. One hundred and forty patients were evaluated after
treatment with azithromycin for an average follow-up period of seven months. The
mean percentage increase in FEV1 was 8.8 (CI 5.1-12.47) p < 0.001. The pooled
hazard ratio was 0.25 (CI 0.06-0.56) p = 0.041 for a mean follow-up period of 2.9
yr. CONCLUSION: This study demonstrated a significant improvement in lung
function in patients with BOS syndrome following lung transplant after seven
months of treatment. It remains uncertain whether this improvement stays after
seven months. We also found that patients on azithromycin were less likely to die
from BOS syndrome compared with patients who were not on azithromycin.
PMID- 24931186
TI - Negative Symptoms in Schizophrenia: Where We have been and Where We are Heading.
AB - This review traces the history of negative symptom profiles in neuropsychiatry
from their earliest emergence in the 19th century to the current psychiatric
concepts and therapeutic approaches. Recent investigations performing exploratory
and confirmatory factor analysis have suggested that negative symptoms are
multidimensional, including evidence for at least two distinct negative symptom
subdomains: diminished expression and amotivation. Preliminary studies have
demonstrated the clinical validity of this distinction. Several potential
pathophysiological validating factors based on brain imaging analysis of
emotional experiences and expressions in individuals with schizophrenia are
examined. Finally, the potential of different treatment strategies, including
medications and various psychotherapeutic techniques, to most favorably treat
each of these subdomains is discussed.
PMID- 24931187
TI - Spontaneously resolving granulomatous tattoo reaction in multiple color regions.
PMID- 24931188
TI - Generation of 1:1 Carbamazepine:Nicotinamide cocrystals by spray drying.
AB - The present study investigates the potential of spray drying as a technique for
generation of pharmaceutical cocrystals. Carbamazepine-Nicotinamide cocrystal
(CNC) was chosen as model cocrystal system for this study. Firstly, CNC was
generated using liquid assisted grinding and used for generation of phase
solubility diagram (PSD) and ternary phase diagram (TPD). Both PSD and TPD were
carefully evaluated for phase behavior of CNC when equilibrated with solvent. The
undersaturated region with respect to CNC, as depicted by TPD, was selected as
target region to initiate cocrystallization experiments. Various points in this
region, representative of different compositions of Carbamazepine, Nicotinamide
and CNC, were selected and spray drying was carried out. The spray dried product
was characterized for solid state properties and was compared with CNC generated
by liquid assisted grinding. Spray drying successfully generated CNC of similar
quality as those generated by liquid assisted grinding. Moreover, there was no
significant impact of process variables on formation of CNC. Spray drying, owing
to its simplicity and industrial scalability, can be a promising method for large
scale cocrystal generation.
PMID- 24931189
TI - Synthesis of Doxorubicin loaded magnetic chitosan nanoparticles for pH responsive
targeted drug delivery.
AB - Targeted drug delivery is a promising alternative to overcome the limitations of
classical chemotherapy. In an ideal targeted drug delivery system carrier
nanoparticles would be directed to the tumor tissue and selectively release
therapeutic molecules. As a novel approach, chitosan coated magnetic
nanoparticles (CS MNPs) maintain a pH dependent drug delivery which provides
targeting of drugs to the tumor site under a magnetic field. Among various
materials, chitosan has a great importance as a pH sensitive, natural,
biodegradable, biocompatible and bioadhesive polymer. The aim of this study was
to obtain an effective targeted delivery system for Doxorubicin, using chitosan
coated MNPs. Different sized CS MNPs were produced by in situ synthesis method.
The anti-cancer agent Doxorubicin was loaded onto CS MNPs which were
characterized previously. Doxorubicin loading was confirmed by FTIR. Drug loading
and release characteristics, and stability of the nanoparticles were
investigated. Our results showed that the CS MNPs have pH responsive release
characteristics. The cellular internalization of Doxorubicin loaded CS MNPs were
visualized by fluorescent microscopy. Doxorubicin loaded CS MNPs are efficiently
taken up by MCF-7 (MCF-7/S) and Doxorubicin resistant MCF-7 (MCF-7/1 MUM) breast
cancer cells, which increases the efficacy of drug and also maintains overcoming
the resistance of Doxorubicin in MCF-7/Dox cells. Consequently, CS MNPs
synthesized at various sizes can be effectively used for the pH dependent release
of Doxorubicin in cancer cells. Results of this study can provide new insights in
the development of pH responsive targeted drug delivery systems to overcome the
side effects of conventional chemotherapy.
PMID- 24931190
TI - Sustained release of PTX-incorporated nanoparticles synergized by burst release
of DOX?HCl from thermosensitive modified PEG/PCL hydrogel to improve anti-tumor
efficiency.
AB - As drug therapies become increasingly sophisticated, the synergistic benefits of
two or more drugs are often required. In this study, we aimed at improving anti
tumor efficiency of paclitaxel (PTX)-incorporated thermo-sensitive injectable
hydrogel by the synergy of burst release of doxorubicin hydrochloride (DOX?HCl).
Thermosensitive injectable hydrogel composed of nanoparticles assembled from
amphiphilic copolymer poly(epsilon-caprolactone-co-1,4,8-trioxa[4.6]spiro-9
undecanone)-poly(ethylene glycol)-poly(epsilon-caprolaone-co-1,4,8
trioxa[4.6]spiro-9-undecanone) (PECT) was fabricated. Hydrophobic PTX and
hydrophilic DOX?HCl were loaded simultaneously in the thermo-sensitive injectable
hydrogel by a two-stage entrapment. Thermosensitive gelling behaviors of drug
loading PECT nanoparticle aqueous dispersions were studied. In vitro release
profiles of PTX and DOX?HCl and in vivo anti-tumor effect by dual drugs from PECT
hydrogel were investigated. The results showed that hydrophilic and hydrophobic
drugs could be successfully entrapped in PECT hydrogel simultaneously without
affecting its thermo-sensitive behavior. In vitro release profiles demonstrated
the burst release of DOX?HCl and the sustained release of PTX. Anti-tumor effect
was improved by a fast and tense attack caused by the burst release of
hydrophilic DOX?HCl from hydrogel, which was continued by the sequent sustained
release of PTX-incorporated nanoparticles and remnant DOX?HCl. Unintentionally,
entrapped in PECT hydrogel, hydrophilic DOX?HCl was observed to have a sustained
releasing pattern in vitro and in vivo.
PMID- 24931192
TI - Constitutive activity in gonadotropin receptors.
AB - Constitutively active mutants (CAMs) of gonadotropin receptors are, in general,
rare conditions. Luteinizing hormone-choriogonadotropin receptor (LHCGR) CAMs
provoke the dramatic phenotype of familial gonadotropin-independent isosexual
male-limited precocious puberty, whereas in females, there is not yet any
identified phenotype. Only one isolated follicle-stimulating hormone receptor
(FSHR) CAM (Asp567Gly) has so far been detected in a single male patient, besides
other FSHR weak CAMs linked to pregnancy-associated ovarian hyperstimulation
syndrome or to impaired desensitization and internalization. Several animal
models have been developed for studying enhanced gonadotropin action; in addition
to unraveling valuable new information about the possible phenotypes of isolated
FSHR and LHCGR CAMs in women, the information obtained from these mouse models
has served multiple translational goals, including the development of new
diagnostic and therapeutic targets as well as the prediction of phenotypes for
mutations not yet identified in humans. Mutagenesis and computational studies
have shed important information on the physiopathogenic mechanisms leading to
constitutive activity of gonadotropin receptors; a common feature in these
receptor CAMs is the release of stabilizing interhelical interactions between
transmembrane domains (TMDs) 3 and 6 leading to an increase, with respect to the
wild-type receptor, in the solvent accessibility at the cytosolic extension of
TMDs 3, 5, and 6, which involves the highly conserved Glu/Asp-Arg-Tyr/Trp
sequence. In this chapter, we summarize the structural features, functional
consequences, and mechanisms that lead to constitutive activation of gonadotropin
receptor CAMs and provide information on pharmacological approaches that might
potentially modulate gonadotropin receptor CAM function.
PMID- 24931193
TI - Constitutive activities in the thyrotropin receptor: regulation and significance.
AB - The thyroid-stimulating hormone receptor (TSHR, or thyrotropin receptor) is a
family A G protein-coupled receptor. It not only binds thyroid-stimulating
hormone (TSH, or thyrotropin) but also interacts with autoantibodies under
pathological conditions. The TSHR and TSH are essential for thyroid growth and
function and thus for all thyroid hormone-associated physiological superordinated
processes, including metabolism and development of the central nervous system. In
vitro studies have found that the TSHR permanently stimulates ligand-independent
(constitutive) activation of Gs, which ultimately leads to intracellular cAMP
accumulation. Furthermore, a vast variety of constitutively activating mutations
of TSHR-at more than 50 different amino acid positions-have been reported to
enhance basal signaling. These lead in vivo to a "gain-of-function" phenotype of
nonautoimmune hyperthyroidism or toxic adenomas. Moreover, many naturally
occurring inactivating mutations are known to cause a "loss-of-function"
phenotype, resulting in resistance to thyroid hormone or hyperthyrotropinemia.
Several of these mutations are also characterized by impaired basal signaling,
and these are designated here as "constitutively inactivating mutations" (CIMs).
More than 30 amino acid positions with CIMs have been identified so far.
Moreover, the permanent TSHR signaling capacity can also be blocked by inverse
agonistic antibodies or small drug-like molecules, which both have a potential
for clinical usage. In this chapter, information on constitutive activity in the
TSHR is described, including up- and downregulation, linked protein
conformations, physiological and pathophysiological conditions, and related
intracellular signaling.
PMID- 24931194
TI - Constitutive activity in cannabinoid receptors.
AB - The cannabinoid receptors are G protein-coupled receptors activated by
endocannabinoids or exogenous agonist such as tetrahydrocannabinol. Upon agonist
binding, cannabinoid receptors will activate G proteins of the Gi family, which
in turn inhibits adenylyl cyclase. Recently, inverse agonists and neutral
antagonist for cannabinoid receptors have been discovered, demonstrating
constitutive activity of the cannabinoid receptors. This chapter will discuss the
current state of the art and provide a framework for evaluating constitutive
receptor activity and distinguishing constitutive receptor activity from
constitutive endogenous agonist tone.
PMID- 24931195
TI - Constitutive activity in melanocortin-4 receptor: biased signaling of inverse
agonists.
AB - The melanocortin-4 receptor (MC4R) is a critical regulator of energy homeostasis,
including both energy intake and energy expenditure. It mediates the actions of a
number of hormones on energy balance. The endogenous ligands for MC4R include
peptide agonists derived from processing of proopiomelanocortin and the
antagonist Agouti-related peptide (AgRP). Wild-type MC4R has some basal
(constitutive) activity. Naturally occurring and laboratory-generated mutations
have been identified, which results in either increased or decreased basal
activities. Impaired basal signaling has been suggested to be a cause of
dysregulated energy homeostasis and early-onset obesity, although several
constitutively active mutations have also been identified from obese patients.
AgRP and several small-molecule antagonists have been shown to be inverse
agonists in the Gs-cAMP pathway. However, in the extracellular signal-regulated
kinase (ERK) 1/2 pathway, we showed that these inverse agonists are potent
agonists, demonstrating convincingly that they are biased ligands. We also showed
that some mutations that do not cause constitutive activation in the Gs-cAMP
pathway cause constitutive activation in the ERK1/2 pathway, suggesting that they
are biased receptors. The physiological and potential pathophysiological
relevance of the biased constitutive signaling in MC4R and therapeutic potential
remain to be investigated.
PMID- 24931191
TI - Constitutively active rhodopsin and retinal disease.
AB - Rhodopsin is the light receptor in rod photoreceptor cells of the retina that
initiates scotopic vision. In the dark, rhodopsin is bound to the chromophore 11
cis retinal, which locks the receptor in an inactive state. The maintenance of an
inactive rhodopsin in the dark is critical for rod photoreceptor cells to remain
highly sensitive. Perturbations by mutation or the absence of 11-cis retinal can
cause rhodopsin to become constitutively active, which leads to the
desensitization of photoreceptor cells and, in some instances, retinal
degeneration. Constitutive activity can arise in rhodopsin by various mechanisms
and can cause a variety of inherited retinal diseases including Leber congenital
amaurosis, congenital night blindness, and retinitis pigmentosa. In this review,
the molecular and structural properties of different constitutively active forms
of rhodopsin are overviewed, and the possibility that constitutive activity can
arise from different active-state conformations is discussed.
PMID- 24931196
TI - Constitutive activity in the angiotensin II type 1 receptor: discovery and
applications.
AB - The pathophysiological actions of the renin-angiotensin system hormone,
angiotensin II (AngII), are mainly mediated by the AngII type 1 (AT1) receptor, a
GPCR. The intrinsic spontaneous activity of the AT1 receptor in native tissues is
difficult to detect due to its low expression levels. However, factors such as
the membrane environment, interaction with autoantibodies, and mechanical stretch
are known to increase G protein signaling in the absence of AngII. Naturally
occurring and disease-causing activating mutations have not been identified in
AT1 receptor. Constitutively active mutants (CAMs) of AT1 receptor have been
engineered using molecular modeling and site-directed mutagenesis approaches
among which substitution of Asn(111) in the transmembrane helix III with glycine
or serine results in the highest basal activity of the receptor. Transgenic
animal models expressing the CAM AT1 receptors that mimic various in vivo disease
conditions have been useful research tools for discovering the pathophysiological
role of AT1 receptor and evaluating the therapeutic potential of inverse
agonists. This chapter summarizes the studies on the constitutive activity of AT1
receptor in recombinant as well as physiological systems. The impact of the
availability of CAM AT1 receptors on our understanding of the molecular
mechanisms underlying receptor activation and inverse agonism is described.
PMID- 24931197
TI - Constitutive activities and inverse agonism in dopamine receptors.
AB - The concept of activation in the absence of agonists has been demonstrated for
many GPCRs and is now solidified as one of the principal aspects of GPCR
signaling. In this chapter, we review how dopamine receptors demonstrate this
ability. Although difficult to prove in vivo due to the presence of endogenous
dopamine and lack of subtype-selective inverse agonists and "pure" antagonists
(neutral ligands), in vitro assays such as measuring intracellular cAMP,
[(35)S]GTPgammaS binding, and [(3)H]thymidine incorporation have uncovered the
constitutive activation of D1- and D2-class receptors. Nevertheless, because of
limited and inconsistent findings, the existence of constitutive activity for D2
class receptors is currently not well established. Mutagenesis studies have shown
that basal signaling, notably by D1-class receptors, is governed by the
collective contributions of transmembrane domains and extracellular/intracellular
loops, such as the third extracellular loop, the third intracellular loop, and C
terminal tail. Furthermore, constitutive activities of D1-class receptors are
subjected to regulation by kinases. Among the dopamine receptor family, the D5
receptor subtype exhibits a higher basal signaling and bears resemblance to
constitutively active mutant forms of GPCRs. The presence of its constitutive
activity in vivo and its pathophysiological relevance, with a brief mention of
other subtypes, are also discussed.
PMID- 24931199
TI - Constitutively active chemokine CXC receptors.
AB - Chemokines are low-molecular-weight, secreted proteins that act as leukocyte
specific chemoattractants. The chemokine family has more than 40 members. Based
on the position of two conserved cysteines in the N-terminal domain, chemokines
can be divided into the CXC, C, CC, and CX3C subfamilies. The interaction of
chemokines with their receptors mediates signaling pathways that play critical
roles in cell migration, differentiation, and proliferation. The receptors for
chemokines are G protein-coupled receptors (GPCRs), and thus far, seven CXC
receptors have been cloned and are designated CXCR1-7. Constitutively active
GPCRs are present in several human immune-mediated diseases and in tumors, and
they have provided valuable information in understanding the molecular mechanism
of GPCR activation. Several constitutively active CXC chemokine receptors include
the V6.40A and V6.40N mutants of CXCR1; the D3.49V variant of CXCR2; the N3.35A,
N3.35S, and T2.56P mutants of CXCR3; the N3.35 mutation of CXCR4; and the
naturally occurring KSHV-GPCR. Here, we review the regulation of CXC chemokine
receptor signaling, with a particular focus on the constitutive activation of
these receptors and the implications in physiological conditions and in
pathogenesis. Understanding the mechanisms behind the constitutive activation of
CXC chemokine receptors may aid in pharmaceutical design and the screening of
inverse agonists and allosteric modulators for the treatment of autoimmune
diseases and cancers.
PMID- 24931198
TI - Receptor conformation and constitutive activity in CCR5 chemokine receptor
function and HIV infection.
AB - The CCR5 chemokine receptor mediates the effects of proinflammatory beta
chemokines that stimulate chemotaxis, activation, and proliferation of
macrophages and T cells. CCR5 is also the major coreceptor that mediates HIV
infection in combination with CD4. Chemokine agonists of CCR5 stimulate the
activation of cellular calcium and protein kinase signaling pathways that depend
on the activation of Galphai and probably also Galphaq in some cells. Chemokines
also stimulate the recruitment of beta-arrestin, which is required for clathrin
dependent receptor internalization and acts as a scaffold protein for the
chemotaxis signaling complex that mobilizes the actin cytoskeleton. CCR5 is
partially constitutively active for the activation of Galphai, but the
physiological significance has not been studied. HIV binding to CCR5 also
activates G protein and protein kinase signaling but, in addition, stimulates the
production of proinflammatory cytokines, including TNF-alpha, and mobilizes the
actin cytoskeleton to form the fusion pore that allows viral entry and
subsequently supports viral replication in the cell. The CCR5 conformation that
mediates the fusion of the viral and cell membranes is unknown, but it is
probably distinct from the conformation that mediates G protein signaling.
Nonpeptide CCR5 blockers are allosteric inverse agonists that increase
dissociation of both chemokines and HIV envelope proteins, but this does not
correlate with their ability to inhibit HIV infection. Nevertheless, the inverse
agonist activity may ameliorate the immune activation that exacerbates AIDS
pathogenesis. Inverse agonists of CCR5 have established efficacy for the
treatment of AIDS, but may also be useful in preventing HIV infection.
PMID- 24931200
TI - Constitutive activity of bitter taste receptors (T2Rs).
AB - G protein-coupled receptors (GPCRs) play a vital role in transmitting an
extracellular stimuli or signal into an intracellular response in various cells.
In some scenarios, GPCRs or their mutants can also signal in the absence of an
agonist or an external stimulus, referred to as basal or constitutive activity,
and those mutants are termed constitutively active mutants (CAMs). Bitter taste
is one of the five basic tastes and is mediated by bitter taste receptors (T2Rs),
which belong to the GPCR superfamily. The 25 T2Rs present in humans do not belong
to any of the major GPCR classes, and their classification is ambiguous. The
characterization of T2Rs in many extraoral tissues including the airways and
upper respiratory tract, where they were shown to cause bronchodilation and
influence host susceptibility to infection, underscores the therapeutic relevance
of these receptors. Recent structure-function and pharmacological studies on T2Rs
led to the identification of CAMs. In this review, we summarize the major
findings on constitutive activity of T2Rs and their diverse roles. We discuss the
usefulness of the T2R CAMs in terms of the discovery of bitter taste blockers,
elucidating the mechanisms of T2R activation and dissecting the physiological
pathways.
PMID- 24931202
TI - Sodium channels, cardiac arrhythmia, and therapeutic strategy.
AB - Cardiac sodium channels are transmembrane proteins distributed in atrial and
ventricular myocytes and Purkinje fibers. A large and rapid Na(+) influx through
these channels initiates action potential and thus excitation-contraction
coupling of cardiac cells. Cardiac sodium channel is composed of a pore-forming
alpha-subunit and one or two accessory beta-subunits. The cardiac alpha-subunit
is encoded by gene SCN5A located on chromosome 3p21. There are four types of beta
subunits identified so far, and beta1 is the primary beta-subunit in cardiac
Na(+) channels. The gene responsible for beta1 subunits is SCNB. The expression
of beta-subunits together with alpha subunits enhances the Na(+) current and
modifies the channel activities. In addition, interactions of the cardiac Na(+)
channel with other proteins may facilitate the channel activity and membrane
expression of the channel. Over the past two decades, molecular genetic studies
have identified the linkage of gene mutations of the Na(+) channel proteins and
other regulatory proteins to many inherited arrhythmogenic diseases. The most
common cardiac arrhythmogenic diseases associated with Na(+) channelopathies are
long QT syndrome (LQT3) and Brugada syndromes (BrSs). This chapter intends to
summarize the current understanding of the normal sodium-channel structure and
function, the gene mutation-associated cardiac arrhythmias, and the current
diagnosis and management of these diseases.
PMID- 24931204
TI - Pharmacology and therapeutics of constitutively active receptors. Preface.
PMID- 24931203
TI - Constitutive activity of the acetylcholine-activated potassium current IK,ACh in
cardiomyocytes.
AB - Stimulation of the vagal nerve slows the heart rate and leads to shorter action
potential duration in the atria. These effects are mainly mediated by binding of
the vagal neurotransmitter acetylcholine to muscarinic type 2 receptors resulting
in dissociation of Gi proteins and subsequent activation of IK,ACh-K(+) channels
due to binding of Gbetagamma-subunits. Even though agonist-independent
(constitutive) IK,ACh activity is considered negligible in the healthy heart,
constitutive IK,ACh activity has been shown to contribute to remodeling processes
associated with cardiac diseases such as atrial fibrillation. In this review, we
summarize possible mechanisms, which may contribute to the development of
constitutively active IK,ACh. For example, an increased availability of
Gbetagamma-subunits within the IK,ACh channel complex could contribute to
receptor-independent IK,ACh activation. Accordingly, reduced expression of Galpha
subunits, which act as Gbetagamma-scavengers within the channel complex, and
increased activity of nucleoside diphosphate kinases, which activate G proteins
in a receptor-independent manner, are likely contributors to constitutively
active IK,ACh. In addition, alterations of the IK,ACh channel composition or
phosphorylation may also be involved in abnormal IK,ACh current activity.
Finally, we discuss possible therapeutic applications of pharmacological IK,ACh
modulators, which may represent future drug targets against cardiac diseases such
as atrial fibrillation.
PMID- 24931201
TI - Constitutive activity of the androgen receptor.
AB - Prostate cancer (PCa) is the most frequently diagnosed cancer in the United
States. The androgen receptor (AR) signaling axis is central to all stages of PCa
pathophysiology and serves as the main target for endocrine-based therapy. The
most advanced stage of the disease, castration-resistant prostate cancer (CRPC),
is presently incurable and accounts for most PCa mortality. In this chapter, we
highlight the mechanisms by which the AR signaling axis can bypass endocrine
targeted therapies and drive progression of CRPC. These mechanisms include
alterations in growth factor, cytokine, and inflammatory signaling pathways,
altered expression or activity of transcriptional coregulators, AR point
mutations, and AR gene amplification leading to AR protein overexpression.
Additionally, we will discuss the mechanisms underlying the synthesis of
constitutively active AR splice variants (AR-Vs) lacking the COOH-terminal ligand
binding domain, as well as the role and regulation of AR-Vs in supporting
therapeutic resistance in CRPC. Finally, we summarize the ongoing development of
inhibitors targeting discrete AR functional domains as well as the status of new
biomarkers for monitoring the AR signaling axis in patients.
PMID- 24931205
TI - Sperm involved in recurrent partial hydatidiform moles cannot induce the normal
pattern of calcium oscillations.
AB - OBJECTIVE: To assess the Ca2+-releasing ability of sperm involved in partial
hydatidiform moles. DESIGN: Analysis of the activating and Ca2+-releasing ability
of human sperm. SETTING: University hospital research laboratory. PATIENT(S):
Patients undergoing intracytoplasmic sperm injection (ICSI) treatment.
INTERVENTION(S): Microinjection of mouse and human oocytes with sperm. MAIN
OUTCOME MEASURE(S): Measurement of the fertilizing and Ca2+-releasing ability of
human sperm. RESULT(S): The mouse oocyte Ca2+ analysis showed that only 19.0%
(4/21) of the mouse oocytes injected with sperm involved in molar pregnancies
exhibited a normal pattern of Ca2+ oscillations versus 63.2% (36/57) of those
injected with control sperm. Further, 83.3% (15/18) of donated in vitro-matured
human oocytes injected with deficient sperm did not exhibit any Ca2+ release,
while 76.9% (10/13) failed to show normal pronuclear development. Yet the sperm
oocyte activation factor phospholipase C zeta (PLCzeta) was present in the
majority (96.6%, n=113) of the analyzed sperm at a normal expression level.
Eventually, fertilization failure was overcome with assisted oocyte activation in
subsequent therapeutic ICSI cycles, which led to normal deliveries.
CONCLUSION(S): Sperm that previously provoked recurrent partial hydatidiform mole
pregnancies due to dispermic fertilization is not able to activate human oocytes
or trigger the normal pattern of Ca2+ oscillations in mouse and human oocytes in
vitro.
PMID- 24931207
TI - Why are we still, 20 years later, depriving human immunodeficiency virus
serodiscordant couples of equal access to fertility care?
PMID- 24931206
TI - Temporal and geospatial trends in male factor infertility with assisted
reproductive technology in the United States from 1999-2010.
AB - OBJECTIVE: To estimate the prevalence of male factor infertility diagnosis within
the context of assisted reproductive technology (ART) clinics and its geographic
and temporal distribution from 1999-2010. DESIGN: Population study based on
patients presenting for care at ART centers. SETTING: Clinics providing ART
services. PATIENT(S): All male patients seeking infertility care at ART clinics.
INTERVENTION(S): Data were obtained from the Centers for Disease Control and
Prevention, analyzed, geocoded, and mapped. MAIN OUTCOME MEASURE(S): Prevalence
of male factor infertility diagnosis in a couple seeking infertility care.
RESULT(S): Between 1999 and 2010, 1,057,402 cycles of ART using nonfrozen,
nondonor eggs were performed, increasing from 62,809 cycles in 1999 to 99,289
cycles in 2010. Nationwide in ART clinics, the period prevalence of isolated male
factor infertility was 17.1% and the prevalence of overall male factor
infertility diagnoses was 34.6%. The highest prevalence was reported in New
Mexico (56.4%) and lowest in Mississippi (24.2%). CONCLUSION(S): The prevalence
of male factor infertility diagnosis varies significantly by time and space
within the United States, whereas its overall prevalence has remained remarkably
stable. This study provides the spatial analytic framework for future research to
explore factors associated with male factor infertility.
PMID- 24931208
TI - Renal denervation in multiple renal arteries.
AB - BACKGROUND: In most previous studies investigating efficacy of renal denervation
(RDN), patients with multiple renal arteries are generally excluded from
treatment. This study was designed to determine the prevalence of multiple renal
arteries in patients referred for RDN, to propose a classification for anatomical
eligibility and to investigate the relation between the presence of multiple
arteries and blood pressure (BP)-lowering effect. MATERIALS AND METHODS: Patients
referred for RDN who underwent noninvasive imaging of the renal arteries before
treatment were included in present analysis. Eligible patients were treated.
Renal function and BP were evaluated 6 months after treatment. RESULTS: Hundred
and twenty-six patients referred for RDN were included in present analysis.
Thirty-four per cent had multiple arteries. Sixty-nine patients underwent RDN.
Office BP significantly reduced from 195 (+/- 26)/106 (+/- 14) mmHg to 165 (+/-
24)/95 (+/- 14) mmHg (P < 0.001). BP reduction in patients with multiple arteries
which were all treated was comparable to patients with solitary arteries.
However, patients with multiple which were not all treated showed a trend towards
a less pronounced effect of RDN (beta: 11.6, P = 0.11). The proposed
classification appeared useful by identifying eligible anatomy. Renal function at
6 months did not differ from baseline in all subgroups. CONCLUSIONS: Based on our
results and the high prevalence of multiple arteries, it seems reasonable not to
exclude patients with multiple renal arteries from RDN. Current analysis suggests
that BP reduction may be less pronounced in patients with multiple renal arteries
of whom not all arteries were treated.
PMID- 24931216
TI - In situ sequencing identifies TMPRSS2-ERG fusion transcripts, somatic point
mutations and gene expression levels in prostate cancers.
AB - Translocations contribute to the genesis and progression of epithelial tumours
and in particular to prostate cancer development. To better understand the
contribution of fusion transcripts and visualize the clonal composition of
multifocal tumours, we have developed a technology for multiplex in situ
detection and identification of expressed fusion transcripts. When compared to
immunohistochemistry, TMPRSS2-ERG fusion-negative and fusion-positive prostate
tumours were correctly classified. The most prevalent TMPRSS2-ERG fusion variants
were visualized, identified, and quantitated in human prostate cancer tissues,
and the ratio of the variant fusion transcripts could for the first time be
directly determined by in situ sequencing. Further, we demonstrate concurrent in
situ detection of gene expression, point mutations, and gene fusions of the
prostate cancer relevant targets AMACR, AR, TP53, and TMPRSS2-ERG. This unified
approach to in situ analyses of somatic mutations can empower studies of intra
tumoural heterogeneity and future tissue-based diagnostics of mutations and
translocations.
PMID- 24931219
TI - Animal models of invasive aspergillosis for drug discovery.
AB - Although Aspergillus infections pose a growing threat to immunocompromised
individuals, the limited range of existing drugs does not allow efficient
management of invasive aspergillosis. Moreover, drug resistance is becoming
increasingly common. Given that drug discovery relies on high-quality animal
studies, careful design of in vivo models for invasive aspergillosis could
facilitate the identification of novel antifungals. In this review, we discuss
key aspects of animal models for invasive aspergillosis, covering laboratory
animal species, immune modulation, inoculation routes, Aspergillus strains,
treatment strategies and efficacy assessment, to enable the reader to tailor
specific protocols for different types of preclinical antifungal evaluation
study.
PMID- 24931217
TI - In vivo enrichment of genetically manipulated platelets corrects the murine
hemophilic phenotype and induces immune tolerance even using a low multiplicity
of infection.
AB - BACKGROUND: Our previous studies have demonstrated that platelet-specific gene
delivery to hematopoietic stem cells can induce sustained therapeutic levels of
platelet factor VIII (FVIII) expression in mice with hemophilia A. OBJECTIVE: In
this study, we aimed to enhance platelet FVIII expression while minimizing
potential toxicities. METHODS: A novel lentiviral vector (LV), which harbors dual
genes, the FVIII gene driven by the alphaIIb promoter (2bF8) and a drug
resistance gene, the MGMT(P140K) cassette, was constructed. Platelet FVIII
expression in mice with hemophilia A was introduced by transduction of
hematopoietic stem cells and transplantation. The recipients were treated with
O(6)-benzylguanine followed by 1,3-bis-2 chloroethyl-1-nitrosourea monthly three
or four times. Animals were analyzed by using polymerase chain reaction (PCR),
quantitative PCR, FVIII:C assays, and inhibitor assays. Phenotypic correction was
assessed by tail clipping tests and rotational thromboelastometry analysis.
RESULTS: Even using a low multiplicity of infection of 1 and a non-myeloablative
conditioning regimen, after in vivo selection, the levels of platelet FVIII
expression in recipients increased to 4.33 +/- 5.48 mU per 10(8) platelets (n =
16), which were 19.7-fold higher than the levels obtained from the recipients
before treatment. Quantitative PCR results confirmed that 2bF8/MGMT-LV-transduced
cells were effectively enriched after drug-selective treatment. Fifteen of 16
treated animals survived tail clipping. Blood loss and whole blood clotting time
were normalized in the treated recipients. Notably, no anti-FVIII antibodies were
detected in the treated animals even after recombinant human B-domain deleted
FVIII challenge. CONCLUSION: we have established an effective in vivo selective
system that allows us to enrich 2bF8LV-transduced cells, enhancing platelet FVIII
expression while reducing the potential toxicities associated with platelet gene
therapy.
PMID- 24931218
TI - New advances in treating thrombotic diseases: GPVI as a platelet drug target.
AB - The recent introduction of highly effective antiplatelet drugs has contributed to
the significant improvement in the treatment of acute coronary syndromes.
However, limitations remain. Recurrence of ischaemic vascular events results in
poor prognosis. Drugs of high antithrombotic efficacy are associated with an
increased risk of bleeding, which is important in patients at risk of stroke. An
attractive target for the development of new antithrombotics is platelet
glycoprotein VI (GPVI) because its blockade seems to combine ideally efficiency
and safety. In this review, we summarise current knowledge on the physiological
role of GPVI in haemostasis and thrombosis. We also discuss evidence regarding
the effectiveness and safety of strategies to inhibit GPVI.
PMID- 24931220
TI - Metallothionein, a marker of antiapoptosis, is associated with clinical forms of
oral lichen planus.
AB - OBJECTIVES: To investigate the expression of anti- and proapoptosis markers,
metallothionein (MT), and caspase-2, in the epithelial and inflammatory cells of
oral lichen planus (OLP) patients, and to investigate the association with
clinical parameters. MATERIALS AND METHODS: Included were biopsies of 70 OLP
patients. The clinical data were collected from patients' charts. The expression
of MT and caspase-2 was immunomorphometrically analyzed in the epithelial and
inflammatory cells, and the results were correlated with the clinical
presentation. RESULTS: The epithelial and inflammatory cells expressed MT (10.2
+/- 5.75 and 0.68 +/- 0.86) and caspase-2 (1.54 +/- 2.6 and 0.98 +/- 1.15) which
show a trend toward an inverse expression. The expression of MT in the epithelium
was significantly higher in patients presenting with keratotic lichen planus than
in patients with the atrophic and erosive forms (P = 0.0008). In the inflammatory
cells, the expression of MT was inversely correlated with increasing age (R =
0.34, P = 0.0069). CONCLUSIONS: The pattern of expression of MT and caspase-2 in
OLP suggests an extensive antiapoptotic response in the keratotic form of the
disease. Symptomatic patients may benefit from therapy targeted to apoptosis in
the future.
PMID- 24931222
TI - Antiferromagnetic Cu-Gd interactions through an oxime bridge.
AB - The copper complex of a polydentate non-symmetrical Schiff base ligand [LCu]2,
prepared by template synthesis, has been reacted with the series of lanthanide
ions. This complex used as a ligand possesses two functions (phenol and oxime)
able to coordinate the Ln ions and, according to the Ln ion, three types of
complexes are obtained. From La to Eu, trinuclear [(LCu)2Ln(NO3)3] complexes with
a double phenoxo-oximato bridge were isolated. From Gd to Ho, the complexes
[(LCu)2Ln(NO3)3(H2O)] are still trinuclear, with a supplementary water molecule
linked to the Ln ion but the Cu(II) and Ln(III) ions are only bridged by the
oximato (N-O) pair, the phenoxo oxygen atom being hydrogen-bridged to the Ln
coordinated water molecule. Then, with heavier Ln ions, dinuclear
[(LCu)Ln(NO3)3(H2O)2] complexes are characterized. The magnetic study
demonstrates that the oximato bridge is responsible for the antiferromagnetic
character of the Cu-Gd interaction, with JCuGd = -0.63 cm(-1) in
[(LCu)2Gd(NO3)3(H2O)], in contrast to the ferromagnetic Cu-Gd interaction induced
by the single oxygen atom phenoxo bridge.
PMID- 24931221
TI - Metabolomic profiles delineate the potential role of glycine in gold nanorod
induced disruption of mitochondria and blood-testis barrier factors in TM-4
cells.
AB - Gold nanorods (GNRs) are commonly used nanomaterials with potential harmful
effects on male reproduction. However, the mechanism by which GNRs affect male
reproduction remains largely undetermined. In this study, the metabolic changes
in spermatocyte-derived cells GC-2 and Sertoli cell line TM-4 were analyzed after
GNR treatment for 24 h. Metabolomic analysis revealed that glycine was highly
decreased in TM-4 cells after GNR-10 nM treatment while there was no significant
change in GC-2 cells. RT-PCR showed that the mRNA levels of glycine synthases in
the mitochondrial pathway decreased after GNR treatment, while there was no
significant difference in mRNA levels of glycine synthases in the cytoplasmic
pathway. High content screening (HCS) showed that GNRs decreased membrane
permeability and mitochondrial membrane potential of TM-4 cells, which was also
confirmed by JC-1 staining. In addition, RT-PCR and Western blot indicated that
the mRNA and protein levels of blood-testis barrier (BTB) factors (ZO-1,
occludin, claudin-5, and connexin-43) in TM-4 cells were also disrupted by GNRs.
After glycine was added into the medium, the GNR-induced harmful effects on
mitochondria and BTB factors were recovered in TM-4 cells. Our results showed
that even low doses of GNRs could induce significant toxic effects on
mitochondria and BTB factors in TM-4 cells. Furthermore, we revealed that glycine
was a potentially important metabolic intermediary for the changes of membrane
permeability, mitochondrial membrane potential and BTB factors after GNR
treatment in TM-4 cells.
PMID- 24931223
TI - Use of biologics in the treatment of hidradenitis suppurativa: a review of the
Henry Ford Hospital experience.
PMID- 24931224
TI - Image-guided intraoperative radiation therapy: current developments and future
perspectives.
AB - Intraoperative electron beam radiation therapy (IOERT) procedures involve the
delivery of radiation to a target area during surgery by means of a specific
applicator. This treatment is currently planned by means of specific systems that
incorporate tools for both surgical simulation and radiation dose distribution
estimation. Although the planning step improves treatment quality and facilitates
follow-up, the actual position of the patient, the applicator and other tools
during the surgical procedure is unknown. Image-guided navigation technologies
could be introduced in IOERT treatments, but an innovative solution that
overcomes the limitations of these systems in complex surgical scenarios is
needed. A recent publication describes a multi-camera optical tracking system
integrated in IOERT workflow. This technology has shown appropriate accuracy in
phantom experiments, and could also be of interest in other surgical
interventions, where the restrictions solved by this system are also present.
PMID- 24931225
TI - Reactive species and oxidative stress in optic nerve vulnerable to secondary
degeneration.
AB - Secondary degeneration contributes substantially to structural and functional
deficits following traumatic injury to the CNS. While it has been proposed that
oxidative stress is a feature of secondary degeneration, contributing reactive
species and resultant oxidized products have not been clearly identified in vivo.
The study is designed to identify contributors to, and consequences of, oxidative
stress in a white matter tract vulnerable to secondary degeneration. Partial
dorsal transection of the optic nerve (ON) was used to model secondary
degeneration in ventral nerve unaffected by the primary injury. Reactive species
were assessed using fluorescent labelling and liquid chromatography/tandem mass
spectroscopy (LC/MS/MS). Antioxidant enzymes and oxidized products were semi
quantified immunohistochemically. Mitophagy was assessed by electron microscopy.
Fluorescent indicators of reactive oxygen and/or nitrogen species increased at 1,
3 and 7days after injury, in ventral ON. LC/MS/MS confirmed increases in reactive
species linked to infiltrating microglia/macrophages in dorsal ON. Similarly,
immunoreactivity for glutathione peroxidase and haem oxygenase-1 increased in
ventral ON at 3 and 7days after injury, respectively. Despite increased
antioxidant immunoreactivity, DNA oxidation was evident from 1day, lipid
oxidation at 3days, and protein nitration at 7days after injury. Nitrosative and
oxidative damage was particularly evident in CC1-positive oligodendrocytes, at
times after injury at which structural abnormalities of the Node of
Ranvier/paranode complex have been reported. The incidence of mitochondrial
autophagic profiles was also significantly increased from 3days. Despite modest
increases in antioxidant enzymes, increased reactive species are accompanied by
oxidative and nitrosative damage to DNA, lipid and protein, associated with
increasing abnormal mitochondria, which together may contribute to the deficits
of secondary degeneration.
PMID- 24931226
TI - Commentary on Kamper et. al., juvenile traumatic brain injury evolves into a
chronic brain disorder: The challenges in longitudinal studies of juvenile
traumatic brain injury.
AB - Juvenile traumatic brain injury (TBI) leaves survivors facing a potential
lifetime of cognitive, somatic and emotional symptoms. A recent study published
in Experimental Neurology (Kamper et al., 2013) explored the chronic consequences
of focal brain injury induced in the juvenile animal, extending their previous
observations out to 6months post-injury. The results demonstrate transient,
persistent, and late onset behavioral dysfunction, which are associated with
subtle evidence for enduring histopathology. In line with investigations about
chronic traumatic encephalopathy from brain injury initiated in the adult,
juvenile TBI establishes signs of a chronic brain disorder, with unique
considerations relative to ongoing developmental processes. This commentary
discusses the challenges in evaluating aging with injury in the juvenile
population, the current methods of juvenile TBI, and what can be anticipated for
the future of the field.
PMID- 24931228
TI - Aspirin combined with mechanical measures to prevent venous thromboembolism after
total knee arthroplasty: a randomized controlled trial.
AB - BACKGROUND: Venous thromboembolism (VTE) is an important complication after major
orthopedic surgery. Pharmaceutical methods represent the main strategy of VTE
prevention. The use of aspirin in VTE prevention is still controversial
worldwide, especially in China. The purpose of this study was to evaluate the
role of aspirin combined with mechanical measures in the prevention of VTE after
total knee arthroplasty (TKA). METHODS: Between January 2012 and May 2013 and in
accordance with the inclusion criteria, 120 patients undergoing TKA were randomly
allocated to two groups. To prevent VTE, patients in group A received aspirin
combined with mechanical measures postoperatively, while patients in group B
received low-molecular-weight heparin (LMWH) sodium and rivaroxaban sequentially
in combination with mechanical measures postoperatively. All surgeries were
performed by one surgeon using a posterior-stabilized cemented prosthesis. The
two groups were followed up and compared for the incidence of deep vein
thrombosis (DVT) by duplex ultrasound scan and clinical VTE events. The adverse
events, the blood loss index, and the cost of VTE prevention were also compared.
RESULTS: DVT was detected in 10 of 60 patients in group A (16.7%, 95% CI: 7.3%
26.1%) compared with 11 of 60 in group B (18.3%, 95% CI: 8.5%-27.8%) (P = 0.500).
There is no statistical evidence supporting the inferior effect of aspirin in
preventing DVT as compared with the other medications. There were no cases of
symptomatic VTE or death during the follow-up period. Area of ecchymosis was
lower in group A than in group B, and the differences were statistically
significant. Patients in group A had the lower blood loss index as compared with
patients in group B. No transfusion cases were found in both groups. The
differences were statistically significant. The cost of VTE prevention analysis
indicated a cost reduction using aspirin in group A compared with using LMWH and
rivaroxaban in group B. CONCLUSION: Aspirin combined with mechanical measures had
a good effect on prevention of VTE after TKA and resulted in lower cost, less
blood loss, and less subcutaneous ecchymosis.
PMID- 24931227
TI - AutoDock4(Zn): an improved AutoDock force field for small-molecule docking to
zinc metalloproteins.
AB - Zinc is present in a wide variety of proteins and is important in the metabolism
of most organisms. Zinc metalloenzymes are therapeutically relevant targets in
diseases such as cancer, heart disease, bacterial infection, and Alzheimer's
disease. In most cases a drug molecule targeting such enzymes establishes an
interaction that coordinates with the zinc ion. Thus, accurate prediction of the
interaction of ligands with zinc is an important aspect of computational docking
and virtual screening against zinc containing proteins. We have extended the
AutoDock force field to include a specialized potential describing the
interactions of zinc-coordinating ligands. This potential describes both the
energetic and geometric components of the interaction. The new force field, named
AutoDock4Zn, was calibrated on a data set of 292 crystal complexes containing
zinc. Redocking experiments show that the force field provides significant
improvement in performance in both free energy of binding estimation as well as
in root-mean-square deviation from the crystal structure pose. The new force
field has been implemented in AutoDock without modification to the source code.
PMID- 24931229
TI - Human infection with a novel avian-origin influenza A (H7N9) virus: serial chest
radiographic and CT findings.
AB - BACKGROUND: Rapidly progressive pneumonia infection with H7N9 virus is a novel
disease, and limited information is available concerning serial chest
radiographic and computed tomography (CT) findings. The aim of this study was to
evaluate the changes in serial radiologic findings in patients with H7N9
pneumonia. METHODS: The two institutional ethics review boards approved this
retrospective study. This study included 10 patients with H7N9 pneumonia. All
patients underwent chest radiologic examinations at different time points. Serial
radiologic images were systematically analyzed. RESULTS: All patients showed
abnormal results on initial chest radiography and CT. The initial radiographic
abnormalities were unilateral (n = 9) and bilateral (n = 1), including ground
glass opacities (GGOs) (n = 5) and consolidation (n = 5). The initial CT findings
consisted of unilateral (n = 6) and bilateral (n = 4), including consolidation (n
= 10), GGOs (n = 10), reticular opacities (n = 2), and pleural effusion (n = 3).
Follow-up radiologic findings showed rapid development of consolidation or GGOs
within two weeks after illness onset. Pneumomediastinum with secondary
subcutaneous emphysema and pneumothorax were noted in two patients. Follow-up
high resolution computed tomography (HRCT) after two weeks showed slow
improvement in both size and opacity of the lesions. On HRCT after discharge,
patients had substantial residual lesions such as irregular linear opacities,
reticular opacities, parenchymal bands, traction bronchiectasis, and cystic
lesions. CONCLUSIONS: The most common radiologic findings at presentation are
multifocal or diffuse areas of consolidation and GGOs in H7N9 pneumonia. HRCT in
sequence can show more changes in rapid progression of disease and a slow
decrease of both size and opacity of the lesions plays an important role in the
evaluation of H7N9 pneumonia.
PMID- 24931230
TI - Inflammation induced-endothelial cells release angiogenesis associated-microRNAs
into circulation by microparticles.
AB - BACKGROUND: Endothelial cells derived microRNAs can be detected in plasma and
serum and there is evidence that inflammatory disease states may affect the
levels of circulating microRNAs. However, there is no direct proof that
inflammation induces endothelial cells to release microRNAs into circulation.
This study aimed to explore whether inflammation could induce endothelial cells
to release microRNAs into circulation and to investigate whether these released
microRNAs derived from endothelial cells were transported in microparticles.
METHODS: Microparticles were isolated from human atherosclerotic plaques with an
active inflammatory phenotype and normal vascular tissue. Flow cytometry and real
time PCR were used to detect the levels of microparticles and microRNAs. Human
umbilical vein endothelial cells (HUVEC) was treated with tumour necrosis factor
a (TNF-alpha, 10 ng/ml) for 24 hours, and then HUVEC and the culture medium were
respectively collected. RESULTS: By comparing microparticles isolated from human
atherosclerotic plaques with an active inflammatory phenotype (n = 9) and those
from normal vascular tissues (n = 9), we found levels of annexin V(+)
microparticles and annexin V(+) CD144(+) microparticles were significantly
increased in plaques and angiogenesis associated microRNAs (106b, 25, 92a and 21)
were also significantly increased in microparticles from plaques. After exposure
to TNF-alpha at a concentration of 10 ng/ml (TNF-alpha group, n = 3) or DMEM
(control group, n = 3) for 24 hours, counts of microparticles and expressions of
microRNAs 106b, 25, 92a and 21 in microparticles isolated from medium
significantly increased. However, there were no differences in the intracellular
levels of microRNAs 25, 92a or 21 isolated from HUVEC between TNF-alpha group and
control group, while microRNA 106b decreased in TNF-alpha group. CONCLUSION:
Inflammation could induce endothelial cells to release angiogenesis associated
microRNAs into circulation, causing higher levels of circulating endothelial
cells derived microRNAs in atherosclerosis.
PMID- 24931231
TI - Soluble CD40 ligand is associated with angiographic severity of coronary artery
disease in patients with acute coronary syndrome.
AB - BACKGROUND: Recently, studies have disclosed soluble CD40 ligand (sCD40L) during
atherosclerosis development and plaque destabilization. The objective of the
present study was to test the hypothesis that sCD40L levels are higher in acute
coronary syndrome (ACS) patients with a greater extent of angiographic coronary
involvement. METHODS: This cross-sectional study examined ACS patients who
underwent coronary angiography by measuring their sCD40L levels. In order to
estimate the serum levels of sCD40L, 10 ml of peripheral venous blood was drawn
within 24 hours of admission. sCD40L levels were measured using an enzyme-linked
immunosorbent assay (ELISA, RapidBio, West Hills, CA, USA). Demographic data,
presence of concomitant diseases, ACS characteristics, and angiographic findings
were evaluated. A review of medical records and patient interviews were conducted
to assess coronary risk factors. And the severity of coronary artery disease was
evaluated using the Gensini score index. RESULTS: Two hundred and eighty-nine
patients were included in the study, of whom 186 were male, with an average age
of 64.1 +/- 10.0 years. Median sCD40L levels were 1.7 ng/ml (0.3-7.3 ng/ml) and
Gensini scores were 50 (0-228). After adjusting for demographic variables and
cardiovascular risk factors, the Gensini score was associated with the natural
logarithm of the sCD40L level (Coefficient b = 0.002, 95% CI 0.000-0.003, P =
0.029). CONCLUSION: sCD40L levels were independently associated with angiographic
severity of coronary artery disease in patients with ACS.
PMID- 24931232
TI - Exploration of approaches to adjusting brand-name drug prices in Mainland of
China: based on comparison and analysis of some brand-name drug prices of
Mainland and Taiwan, China.
AB - BACKGROUND: Under the circumstance of the New Medical Reform in Mainland of
China, lowering drug prices has become an approach to relieving increase of
medical expenses, and lowering brand-name medication price is a key strategy.
This study, by comparing and analyzing brand-name medication prices between
Mainland of China and Taiwan, explores how to adjust brand-name medication prices
in Mainland of China in the consideration of the drug administrative strategies
in Taiwan. METHODS: By selecting brand-name drug with generic name and dose types
matched in Mainland and Taiwan, calculate the average unit price and standard
deviation and test it with the paired t-test. In the mean time, drug
administrative strategies between Mainland and Taiwan are also compared
systematically. RESULTS: Among the 70 brand-name medications with generic names
and matched dose types, 54 are at higher prices in Mainland of China than Taiwan,
which is statistically significant in t-test. Also, among the 47 medications with
all of matched generic names, dose types, and manufacturing enterprises, 38 are
at higher prices in Mainland than Taiwan, and the gap is also statistically
significant in t-test. In Mainland of China, brand-name medication took cost-plus
pricing and price-based price adjustment, while in Taiwan, brand-name medication
took internal and external reference pricing and market-based price adjustment.
CONCLUSIONS: Brand-name drug prices were higher in Mainland of China than in
Taiwan. The adjustment strategies of drug prices are scientific in Taiwan and are
worth reference by Mainland of China.
PMID- 24931233
TI - Genome-wide association study knowledge-driven pathway analysis of alcohol
dependence implicates the calcium signaling pathway.
AB - BACKGROUND: Alcohol dependence (AD) is a serious and common public health
problem. The identification of genes that contribute to the AD variation will
improve our understanding of the genetic mechanism underlying this complex
disease. Previous genome-wide association studies (GWAS) and candidate gene
genetic association studies identified individual genes as candidates for alcohol
phenotypes, but efforts to generate an integrated view of accumulative genetic
variants and pathways under alcohol drinking are lacking. METHODS: We applied
enrichment gene set analysis to existing genetic association results to identify
pertinent pathways to AD in this study. A total of 1 438 SNPs (P < 1.0 * 10(-3))
associated to alcohol drinking related traits have been collected from 31 studies
(10 candidate gene association studies, 19 GWAS of SNPs, and 2 GWAS of copy
number variants). RESULTS: Among all of the KEGG pathways, the calcium signaling
pathway (hsa04020) showed the most significant enrichment of associations (21
genes) to alcohol consumption phenotypes (P = 5.4 * 10(-5)). Furthermore, the
calcium signaling pathway is the only pathway that turned out to be significant
after multiple test adjustments, achieving Bonferroni P value of 0.8 * 10(-3) and
FDR value of 0.6 * 10(-2), respectively. Interestingly, the calcium signaling
pathway was previously found to be essential to regulate brain function, and
genes in this pathway link to a depressive effect of alcohol consumption on the
body. CONCLUSIONS: Our findings, together with previous biological evidence,
suggest the importance of gene polymorphisms of calcium signaling pathway to AD
susceptibility. Still, further investigations are warranted to uncover the role
of this pathway in AD and related traits.
PMID- 24931234
TI - Preparation and characterization of paclitaxel-loaded poly lactic acid-co
glycolic acid coating tracheal stent.
AB - BACKGROUND: In-stent restenosis caused by airway granulation poses a challenge
due to the high incidence of recurrence after treatment. Weekly applications of
anti-proliferative drugs have potential value in delaying the recurrence of
airway obstruction. However, it is not practical to subject patients to repeated
bronchoscopy and topical drug applications. We fabricated novel pacilitaxel
eluting tracheal stents with sustained and slow pacilitaxel release, which could
inhibit the formation of granulation tissue. And we assessed the quality and drug
release behaviors of drug-eluting stents (DESs) in vitro. METHODS: Stents were
dipped vertically into a coating solution prepared by dissolving 0.5 g (2% w/v)
of poly lactic acid-coglycolic acid (PLGA) and 0.025 g (0.1% w/v) of pacilitaxel
in 25 ml of dichloromethane. DES morphology was examined by scanning electron
microscopy (SEM). Pacilitaxel release kinetics from these DESs was investigated
in vitro by shaking in PBS buffer followed by high performance liquid
chromatography (HPLC). RESULTS: Using an orthogonal experimental design, we
fabricated numerous pacilitaxel/PLGA eluting tracheal stents to assess optimum
coating proportions. The optimum coating proportion was 0.1% (w/v) pacilitaxel
and 2% (w/v) PLGA, which resulted in total pacilitaxel loading of (16.380 6 +/-
0.002 1) mg/stent. By SEM the coating was very smooth and uniform. Pacilitaxel
released from DES was at (0.376 3 +/- 0.003 8) mg/d, which is a therapeutic
level. There was a prolonged, sustained release of pacilitaxel of >40 days.
CONCLUSIONS: Paclitaxel-loaded PLGA coating tracheal stents were successfully
developed and evaluated. Quality assessments demonstrated favorable surface
morphology as well as sustained and effective drug release behavior, which
provides an experimental reference for clinical practitioners.
PMID- 24931235
TI - Effect of maternal age on pregnancy: a retrospective cohort study.
AB - BACKGROUND: In the last few decades, there has been a delay in first-time
pregnancies, and the average age of women at the time of delivery has increased
in many countries. Advanced maternal age is associated with adverse pregnancy
outcomes. This study aimed to determine the present trends and pregnancy outcomes
related to maternal age in China. METHODS: Data were collected from 39 hospitals
in mainland of China. All deliveries were performed after 28 completed weeks of
gestation and between January 1 and December 31, 2011. In total, 110 450 of 112
441 cases were included in the study. All enrolled cases were divided into 6 age
groups with 5-year intervals. The chi(2) test or Fisher's exact test and
unadjusted binary-Logistic regression were used for statistical analysis.
RESULTS: The mean age at the time of delivery was 28.18 +/- 4.70 years (range, 14
52 years). The teenage group (15-19 years) had a higher risk than the 25-29-year
old group for anemia (odds ratio (OR), 1.4), preeclampsia (OR, 1.6), preterm
birth (OR, 2.1), low birth weight neonates (OR, 2.3), and perinatal mortality
(OR, 3.6). The 35-39-year old group and >= 40-year-old group had a higher risk
than the 25-29-year-old group for leiomyoma (OR, 4.2 vs. 5.8), pregestational
diabetes (OR, 2.2 vs. 3.8), chronic hypertension (OR, 4.6 vs. 6.5), gestational
diabetes (OR, 2.6 vs. 3.5), preeclampsia (OR, 2.5 vs. 3.6), premature delivery
(OR, 1.8 vs. 2.4), postpartum hemorrhage (OR, 1.5 vs. 1.7), placenta previa (OR,
2.7 vs. 4.0), placental abruption (OR, 1.4 vs. 2.5), cesarean delivery (OR, 2.1
vs. 2.5), macrosomia (OR, 1.2 vs. 1.2), low birth weight neonates (OR, 1.6 vs.
2.3), and perinatal mortality (OR, 1.6 vs. 3.7). CONCLUSION: Maternal and
neonatal risks are higher during the teenage years and at an advanced maternal
age; 20-30 years of age is the lowest risk period for pregnancy and delivery.
PMID- 24931236
TI - Detection of abnormalities in dyspneic patients using a new lung imaging
modality.
AB - BACKGROUND: Although chest radiography is a useful examination tool, it has
limitations. Because not all chest conditions can be detected on a radiograph,
radiography cannot necessarily rule out all irregularities in the chest.
Therefore, further imaging studies may be required to clarify the results of a
chest radiograph, or to identify abnormalities that are not readily visible. The
aim of this study was to compare traditional chest radiography with acoustic
based imaging (vibration response imaging) for the detection of lung
abnormalities in patients with acute dyspnea. METHODS: The current investigation
was a pilot study. Respiratory sounds throughout the respiratory cycle were
captured using an acoustic-based imaging technique. Consecutive patients who
presented to the emergency department with acute dyspnea and a normal chest
radiograph on admission were enrolled and underwent imaging at the time of
presentation. Dynamic and static images of vibration (breath sounds) and a
dynamic image score were generated, and assessments were made using an evaluation
form. RESULTS: In healthy volunteer controls (n = 61), the mean dynamic image
score was 6.3 +/- 1.9. In dyspneic patients with normal chest radiographs (n =
51) and abnormal chest radiographs (n = 48), the dynamic image scores were 4.7 +/
2.7 and 5.1 +/- 2.5, respectively (P < 0.05). The final assessment of the
vibration images indicated abnormal findings in 15%, 86% and 90% of the
participants in the above groups, respectively (P < 0.05). CONCLUSIONS: In
patients with acute dyspnea who present with normal chest radiographs,
respiratory sound analyses often showed abnormal values. Hence, the ability of
acoustic-based recordings to offer objective and noninvasive measurements of
abnormal sound transmission may be useful in the clinical setting for patients
presenting with acute dyspnea.
PMID- 24931237
TI - Three transcription factors and the way immune cells affected by different plasma
change in opposite ways in the development of the syndrome of pre-eclampsia.
AB - BACKGROUND: How the transcriptional factors regulated the innate and adaptive
immune system in pregnancy and pre-eclampsia are less understood. Nevertheless,
what the plasma work in the development of this disease was not sure. The present
study was design to evaluate what the transcriptional factors change in innate
and adaptive immune system and what the plasma do in this filed. METHODS:
Peripheral blood mononuclear cells (PBMC) from non-pregnant women (n = 18), women
with clinically normal pregnancies (n = 23) and women with pre-eclampsia (n = 20)
were separated from peripheral blood to isolate monocytes and T cells. The purity
of monocytes and T cells were analysed by flow cytometry. Monocytes and T cells
were stimulated in either lipopolysaccharides (LPS) or phorbol-myristate-acetate
(PMA), respectively. Transcription Factor Arrays were used to screen the
transcription factors of interest in comparing of different groups. PBMC were
isolated from another 8 non-pregnant samples were co-incubated with different
groups of plasma. Polymerase chain reaction (PCR) was performed using whole cell
extractions of the samples. RESULTS: Nuclear factor of activated T-cells-1 (NFAT
1), signal transducers and activators of transcription-1 (STAT-1) and activator
protein-1 (AP-1) are up-regulated in monocytes in pregnancy and more so in pre
eclampsia. On the the contrary, NFAT-1, STAT-1 and AP-1 are down-regulated in T
cells in pregnancy and more so in pre-eclampsia. A reduction was observed in
interferon (IFN)-gamma, interleukin (IL)-12 and IL-4 expression in T cells
incubated with pre-eclamptic plasma. An elevation was observed in tumor necrosis
factor (TNF)-alpha, IL-1 and IL-12 expression in monocytes incubated with pre
eclamptic plasma. CONCLUSIONS: Innate immunity is over activated and adaptive
immunity is over suppressed in the development of pre-eclampsia. NFAT-1, STAT-1
and AP-1 might be the central transcription factors in the pathogenesis of pre
eclampsia. They induced some changes in plasma and "educate" the monocytes and T
cells for relevant cytokine production. Successful completion of this study will
enhance our understanding of pre-eclampsia and will discover new knowledge beyond
pregnancy. The work will inform future therapies for the treatment of a wide
range of condition such as transplantation immunology and a wide range of immune
and inflammatory conditions.
PMID- 24931239
TI - Correlation between the coverage percentage of prosthesis and postoperative
hidden blood loss in primary total knee arthroplasty.
AB - BACKGROUND: The aim of this study was to determine the relationship between
prosthesis coverage and postoperative hidden blood loss (HBL) in primary total
knee arthroplasty (TKA). METHODS: A total of 120 patients who had undergone
unilateral TKA from August 2012 to May 2013 were retrospectively studied. The
Gross formula was used to calculate the amount of HBL. Routine standard
anteroposterior (AP) and lateral X-ray films of the knee joint were taken
postoperatively and used to measure the percentages of coronal femoral and of
coronal and sagittal tibial prosthetic coverage. Then Pearson's correlation
analysis was performed to assess the correlations between the percentages of
prosthetic coverage for each AP and lateral position and HBL on the first and
third postoperative days. RESULTS: The volumes of HBL on the first and third
postoperative days after TKA were (786.5 +/- 191.6) ml and (1 256.6 +/- 205.1)
ml, respectively, and lateral X-ray film measurements of percentages of coronal
femoral, tibial coronal, and sagittal prosthetic coverage were (87.9 +/- 2.5)%,
(88.5 +/- 2.2)%, and (89.1 +/- 2.3)%, respectively. Pearson's correlation
analysis showed statistically significant correlations between percentages of
total knee prosthetic coverage for each AP and lateral position and volumes of
HBL on the first and third postoperative days (P < 0.05). CONCLUSIONS: HBL after
TKA correlates with degree of prosthetic coverage. To some extent, the size of
the surfaces exposed by osteotomy determines the amount of HBL. Choice of the
appropriate prosthesis can significantly reduce postoperative HBL. Designing
individualized prostheses would be a worthwhile development in joint replacement
surgery.
PMID- 24931238
TI - Feasibility and value of quantitative dynamic contrast enhancement MR imaging in
the evaluation of sinonasal tumors.
AB - BACKGROUND: Quantitative dynamic contrast enhancement MR imaging (DCE-MRI), used
to measure properties of tissue microvasculature and tumor angiogenesis, is a
promising method for distinguishing benign and malignant tumors and
characterizing tumor response to antiangiogenic treatment. The aim of this study
was to assess the feasibility of quantitative parameters derived from clinically
used DCE-MRI for distinguishing benign from malignant tumors in the sinonasal
area, which may be potentially useful for prediction and monitoring of treatment
response to chemoradiotherapy of sinonasal tumors. METHODS: One hundred and forty
three patients with sinonasal tumors, including 78 malignant tumors and 65 benign
tumors and tumor-like lesions, underwent clinically used DCE-MRI. Parametric maps
were obtained for quantitative parameters including K(trans), kep and ve. Two
radiologists reviewed these maps and measured K(trans), kep and ve in the tumor
tissue. Data were analyzed using independent T-test or Mann-Whitney U test
analysis and receiver operating characteristic curves. RESULTS: K(trans), kep and
ve showed significant differences between benign and malignant tumors in the
sinonasal area (P = 0.000 1). The accuracy of K(trans), kep and ve in
differentiation between benign and malignant sinonasal tumors were 72.0%, 76.2%
and 67.1%, respectively. There were significant differences in kep and ve between
malignant epithelial sinonasal tumors and lymphomas (P < 0.05). Using a ve value
of 0.213 as the threshold value differentiated malignant epithelial tumors from
lymphomas with an accuracy of 78.3%, sensitivity of 88.2%, specificity of 68.0%,
positive predictive value of 66.7%, and negative predictive value of 90.9%.
However, no significant difference in K(trans) and kep was found between
malignant epithelial and non-epithelial tumors in the sinonasal area (P > 0.05).
CONCLUSIONS: It is feasible that quantitative parameters of tumors can be derived
from clinically used DCE-MRI in the sinonasal region. Preliminary findings
suggest an increased value for quantitative DCE-MRI in the evaluation of
sinonasal tumors in clinical practice.
PMID- 24931240
TI - Chest radiographic characteristics of community-acquired Legionella pneumonia in
the elderly.
AB - BACKGROUND: Legionella is an important community-acquired pneumonia pathogen.
Although the elderly are especially susceptible to Legionella, few studies have
looked at comparative radiographic features of Legionella pneumonia in this
population. The aim of this study was to explore the chest radiographic
characteristics of community-acquired Legionella pneumonia in the elderly.
METHODS: Serial chest radiographs obtained in 34 patients hospitalized with
serologically proven Legionella pneumonia were retrospectively reviewed. Chest X
ray features of an aged group of >= 65 years were assessed and compared with a
non-aged group of <65 years old with regard to initial patterns and distributions
of pulmonary abnormalities, accompanying signs, and progression. RESULTS: The
most common initial presentation was a patchy alveolar infiltrate involving a
single lobe, most often the lower lobe. There was no middle or lingular lobe
involvement in the aged group patients, but bilateral pleural effusion was
significantly more common in this group. In the aged group patients, radiographic
progression following adequate therapy, despite a clinical response, was more
often noted and the radiographs were less likely to have returned to the
premorbid state at discharge, but the differences were not significant between
the two groups. CONCLUSION: The discrepancy between imaging findings and clinical
symptoms seems more prominent in community-acquired Legionella pneumonia in the
elderly.
PMID- 24931241
TI - Coronary heart disease: incidence, risk factors and interventions in Jiaozhou of
Shandong province.
AB - BACKGROUND: Coronary heart disease (CHD) is the most common type of heart disease
and cause of heart attacks. This study investigated the epidemiological
characteristics of CHD and its risk factors in Jiaozhou, Shandong province, to
ultimately find a way of reducing the prevalence of cardiovascular disease, and
to provide a theoretical basis for establishing a cardiovascular disease
management path under the regional medical collaborative mechanism. METHODS: A
questionnaire survey was performed including 1 952 people aged 35 years or older
who were questioned by means of stratified, cluster, proportional sampling to
investigate the prevalence of CHD and its risk factors. The data were inputted
into SPSS11.0 statistical software for processing and analysis. We advised the
local medical institutions to establish health files for the residents with CHD
and risk factors. They were followed up regularly. Their risk factors and life
style were monitored, and advice was given as to proper medications. Green
channels were established, and the patients were transmitted in a timely manner
to superior hospitals for better treatment if the necessary treatments were not
available in the local hospitals. The control of risk factors was observed after
the follow-up for half a year. RESULTS: In Jiaozhou, the rates of coronary artery
disease, hypertension, diabetes, hyperlipidemia and overweight were 8.15%,
28.54%, 11.43%, 35.46%, and 18.70% respectively. The rates of hypertension,
diabetes, hyperlipidemia and overweight were higher than the data published in
"The report of Chinese cardiovascular disease 2012"; which are 24%, 9.7%, 18.6%,
and 9.7%, respectively. The control of risk factors improved significantly after
the guidance of the residents lifestyle and medication for six months.
CONCLUSIONS: The high prevalence of coronary artery disease in Jiaozhou is
closely related to age, gender, diet structure, family history of cardiovascular
disease, hypertension, diabetes, hyperlipidemia, overweight, and unhealthy
lifestyle. Under the regional medical coordination mechanism, the collaborative
management of cardiovascular disease can provide new management concepts for the
areas short of medical resources, so as to reduce the prevalence of
cardiovascular disease.
PMID- 24931242
TI - Intravitreal ranibizumab therapy versus photodynamic therapy for idiopathic
choroidal neovascularization: a comparative study on visual acuity, retinal and
choroidal thickness.
AB - BACKGROUND: Photodynamic therapy (PDT) has been recommended as a main treatment
for idiopathic choroidal neovascularization (I-CNV). But the visual results of
PDT were inconsistent and variable, and PDT may bring severe damage to the
retinal pigment epithelium and choriocapillaries. In recent years, intravitreal
ranibizumab therapy, showing favorable visual outcomes, has developed as an
advanced treatment for choroidal neovascularization (CNV). Although both methods
have been reported to be effective in treating I-CNV, there is no detailed
comparative report between the two methods. This study aimed to compare visual
outcomes, retinal and choroidal thickness between intravitreal ranibizumab
therapy and PDT in the treatment of I-CNV, and investigate the correlation of
visual outcomes with retinal and choroidal thickness in each of the two groups.
METHODS: Thirty-seven eyes of 37 patients with I-CNV were involved in this study;
19 eyes were treated with intravitreal ranibizumab therapy and 18 eyes were
treated with PDT. The best corrected visual acuity (BCVA) was recorded before and
at each follow-up visit after treatments (logMAR). Enhanced-depth imaging optical
coherence tomography (EDI-OCT) was used to evaluate the retinal structural
changes, and to measure central retinal thickness (CRT) and central choroidal
thickness (CCT). RESULTS: Mean BCVA was 0.64 +/- 0.27 in PDT group and 0.69 +/-
0.22 in ranibizumab group at baseline (P = 0.55). When compared with the
baseline, mean BCVA in PDT group was improved significantly at 3-month after PDT
(0.41 +/- 0.16, P = 0.002), then changed little (0.42+/-0.25 at 12-month, P =
0.88). Whereas mean BCVA in Ranibizumab group was improved significantly at each
follow-up visit. It improved much more obviously in the first month and then
remained stable. The mean BCVA in the ranibizumab group was significantly better
at each follow-up visit than that in PDT (P < 0.05). When compared with the
baseline, mean CRT in PDT group decreased significantly since 3-month visit,
whereas mean CRT in ranibizumab group decreased significantly from 1-month visit.
Mean CRT at 1-month and 3-month decreased much more in ranibizumab group than
that in PDT group, almost in the same period as BCVA improving. When compared
with the baseline, mean CCT did not change significantly at each follow-up visit
in each group (P > 0.05). The CCT difference was not statistically significant
between the two groups at each same time visit (P > 0.05). Mean BCVA was
correlated with CRT, but was not correlated with CCT. CONCLUSIONS: Both
intravitreal ranibizumab therapy and PDT are effective for the treatment of I
CNV. It is obvious that ranibizumab therapy is significantly superior to PDT in
improving BCVA and decreasing CRT. CRT decreases much more rapidly in ranibizumab
group than in PDT group, simultaneously with visual improvement. CRT reduction
has significant correlation with the visual outcomes in the recovery of I-CNV,
whereas BCVA prognosis may have no correlation with CCT. CCT is not changed
significantly after each of the treatments. Both PDT and ranibizumab therapy may
have no significant effect on choroid.
PMID- 24931243
TI - Epidemiological characteristics of thyroid nodules and risk factors for malignant
nodules: a retrospective study from 6,304 surgical cases.
AB - BACKGROUND: The prevalence of thyroid nodules (TN) is increasing rapidly. This
study analyzed the epidemiological and clinical characteristics of TN in
surgically treated patients and identified the risk factors for malignant nodules
(MN) to provide more understanding of the differential diagnosis of TN. METHODS:
A total of 6 304 TN cases who underwent thyroid surgery were included in this
retrospective study. The clinical data were collected to evaluate the clinical
and epidemiological characteristics and related risk factors for MN. The nature
of TN (benign nodules (BN) or MN), medical records, laboratory data, and imaging
data were analyzed. The risk factors for MN were screened using Spearman's rank
correlation analysis and nonconditional binary Logistic regression analysis.
RESULTS: The number of surgically treated TN cases increased yearly. A total of
34.33% of cases were MN and 65.67% were BN. Up to 56.74% of these cases underwent
unnecessary surgery. Among the MN cases, papillary thyroid carcinoma accounted
for 94%, in which 46.71% coexisted with benign thyroid disease and 32.28% with
multiple foci. Single-related factor analysis showed that age, employment,
disease duration, history of breast nodules and/or hypertension, the levels of
serum thyroid-stimulating hormone (TSH), thyroglobulin antibody (TgAb), and
thyroid peroxidase antibody (TPoAb), and ultrasound features of TN were related
to MN. Stepwise nonconditional binary Logistic regression analysis showed that 13
factors may be the independent risk factors for MN, including <40 years old,
previous history of breast nodules and/or hypertension, disease duration <1
month, employment, hypoechoic nodule, irregular nodules, nodule calcification,
solid echo nodule, fuzzy boundary, rich blood flow within nodules, abnormal lymph
nodes around the neck, nodule diameter <1 cm, and abnormally high TgAb.
CONCLUSIONS: Our results demonstrate a rapid increase in surgically treated TN
cases and ratio of MN and indicate unnecessary surgeries in some cases. This
study also suggest that age, duration of thyroid disease, history of breast
disease and/or hypertension, the levels of serum TSH, TgAb, and TPoAb, and
ultrasound features of TN are related to MN, and some of these factors may be the
risk factors for MN.
PMID- 24931244
TI - Aortic rupture during radiofrequency catheter ablation of left ventricular
tachycardia.
PMID- 24931245
TI - Urinary albumin excretion rate: a risk factor for retinal hard exudates in
macular region in type 2 diabetic patients.
AB - BACKGROUND: The various risk factors for retinal hard exudates are still poorly
understood in type 2 diabetic patients. The aim of this study was to determine
the association between urinary albumin excretion rate (UAER) and hard exudates
in macular region in north Chinese patients. METHODS: A total of 272 patients
(272 eyes) were enrolled for this study, including 154 subjects from group 1
(mild hard exudates), 91 subjects from group 2 (moderate hard exudates) and 27
subjects from group 3 (severe hard exudates) confirmed using colour fundus
photography, optical coherence tomography (OCT) as well as slit-lamp
biomicroscopy with 78 diopter (D) lens. Each participant underwent a
comprehensive assessment that included biochemical, clinical characteristics test
and detailed ophthalmic evaluation. One-way analysis of variance (ANOVA) test and
chi-square test were performed to analyze the fasting blood glucose (FBG),
glycated hemoglobin (HbA1c), total cholesterol (TC), low density lipoprotein
(LDL), high density lipoprotein (HDL), triglycerides (TG), full blood counts,
urinary albumin excretion rate (UAER), blood creatinine (CREA), duration of
diabetes, body mass index (BMI), systolic blood pressures (SBP) and diastolic
blood pressures (DBP) between groups. Ordinal logistic regression analysis was
further performed in order to eliminating the possible confounding factors.
RESULTS: Three groups were matched in terms of age and gender. Risk factors which
showed significant difference between groups include FBG (P < 0.001), HbA1c (P <
0.001), LDL (P < 0.001), UAER (P < 0.001), duration of diabetes (P = 0.001), TC
(P = 0.005), SBP (P = 0.026), CREA (P = 0.004) and haemoglobin (Hb) (P = 0.012).
There was no significant difference between groups for the TG, HDL, DBP,
platelet, total white blood cells and BMI. Using ordinal Logistic regression
analyses, of all the variables, HbA1c, LDL and UAER which were independent risk
factor for hard exudates showed a significantly odds ratio of 1.25, 3.07, and
1.39, respectively. There were also significant differences in UAER level between
patients with mild, moderate, severe hard exudates groups (P < 0.001).
CONCLUSIONS: UAER was an independent risk factor associated with retinal hard
exudates in macular region in type 2 diabetic patients. This study highlights the
need for close monitoring and fundus examination for hard exudates in patients
with elevated UAER to prevent irreversible visual loss.
PMID- 24931246
TI - Preoperative prediction of survival in resectable gallbladder cancer by a
combined utilization of CA 19-9 and carcinoembryonic antigen.
AB - BACKGROUND: Currently, all frequently used staging systems in gallbladder cancer
(GBC) are based on postoperative pathological examinations. In patients
undergoing curative operation, there is no effective method to predict survival
preoperatively. In this study, we explored whether a combined utilization of two
tumor biomarkers, namely carbohydrate antigen 19-9 (CA 19-9) and carcinoembryonic
antigen (CEA), could give a preoperative prediction of survival in resectable
GBC. METHODS: Seventy-three patients who underwent radical resection for GBC were
included in this study. A retrospective analysis of clinical-pathological data
was conducted. RESULTS: By multivariate analysis, CA 19-9 elevation (P < 0.05)
and CEA elevation (P < 0.001) were discovered as two individual factors for
postoperative survival. By a combined utilization, patients were divided into
three groups: patients with elevation of CEA (group I), patients with elevation
of CA 19-9 but without CEA (group II), and patients with nonelevations of either
CA 19-9 or CEA (group III). The cumulative 5-year survival rates in groups I, II,
and III were 0, 14.0%, and 42.8%, respectively (P < 0.05). CONCLUSIONS: By a
combined utilization of CA 19-9 and CEA, individualized prediction of survival is
available in resectable GBC before operation. Extended radical operation brings
the most prognostic benefits in patients with nonelevations of either CA 19-9 or
CEA. However, if operation would be in a larger-scale destructive manner, careful
consideration of surgical decisions should be made in patients with elevation of
tumor biomarkers, especially CEA.
PMID- 24931247
TI - Audiological evaluation in Chinese patients with mitochondrial
encephalomyopathies.
AB - BACKGROUND: Hearing impairment has been reported to be common in patients with
mitochondrial disorders, a group of diseases characterized by pleiomorphic
clinical manifestations due to defects in oxidative phosphorylation of
mitochondria. This study aimed to investigate the audiological characteristics in
a large cohort of patients with mitochondrial disease. METHODS: Comprehensive
audiological evaluations, including pure tone audiometry, tympanometry, speech
audiometry, otoacoustic emissions, electrocochleography and auditory brainstem
evoked potentials, were performed in 73 Chinese patients with mitochondrial
encephalomyopathy and with confirmed mitochondrial DNA (mtDNA) defects. RESULTS:
Among the patients, 71% had hearing impairment. However, the incidence rate and
severity of hearing impairment were much less in the chronic progressive external
ophthalmoplegia (CPEO) subtype than in the mitochondrial encephalomyopathy,
lactic acidosis, and stroke-like episodes (MELAS), myoclonic epilepsy with ragged
red fibers (MERRF) and Kearns-Sayre syndrome (KSS) subtypes. While most of our
patients had a predominantly cochlea origin for the hearing deficit, five
patients had an auditory neuropathy spectrum disorder and three patients had
impairment of both cochlea and auditory cortex. CONCLUSIONS: Various portions of
the auditory system could be involved in patients with mitochondrial diseases,
including cochlea, auditory nerve, auditory pathway and cortex. Hearing loss was
more associated with multisystem involvement. Genotype, mutant load of mtDNA and
other unknown factors could contribute to heterogeneity of hearing impairment in
mitochondrial disease.
PMID- 24931248
TI - Fourth-generation ceramic-on-ceramic total hip arthroplasty in patients of 55
years or younger: short-term results and complications analysis.
AB - BACKGROUND: The incidence of total hip replacement in the younger and more active
patients is ever increasing. The ceramic-on-ceramic (COC) bearing was developed
to reduce wear debris-induced osteolysis and loosening and to improve the
longevity of hip arthroplasties. Few studies have reported the clinical results
and complications of the new zirconia-toughened ceramic total hip arthroplasty
(THA). METHODS: A consecutive series of 132 young patients (177 hips) that
underwent primary cementless THAs between January 2010 and December 2012 were
included in this study. These arthroplasties all had fourth-generation COC
bearings performed through a posterolateral approach. The average age was (41.8
+/- 8.3) years (ranging from 22 to 55 years), and the mean follow-up period was
(24.5 +/- 9.4) months (ranging from 12 to 47 months). The results were evaluated
both clinically and radiographically. Harris hip score (HHS) was determined
before surgery and at the time of each follow-up. Presence of postoperative groin
or thigh pain and squeaking were recorded. Other complications such as
dislocations, periprosthetic fractures, and ceramic components fractures were
diagnosed and treated in emergency. RESULTS: The average HHSs improved from
preoperative 60.3 +/- 10.7 (ranging from 29 to 76) to 91.0 +/- 5.1 (ranging from
74 to 100) at the final follow-up (t = 45.064, P < 0.05), and 97.7% of cases were
scored as excellent and good results. At the last follow-up, incidental inguinal
pain was found in three hips (1.7%) and thigh pain in 11 hips (6.2%). Radiographs
showed a high rate of new bone formation around the acetabular and stem
components. No obvious osteolysis or prosthesis loosening was detected.
Complications occurred in six hips (3.4%): posterior dislocation in two hips
(1.1%), periprosthetic femoral fracture in one hip (0.6%), asymptomatic squeaking
in two hips (1.1%), and ceramic liner fracture in one hip (0.6%). CONCLUSIONS:
The fourth-generation COC THA showed excellent clinical results in younger active
patients with no osteolysis-related prosthesis failure at a short-term follow-up
study. Surgeons should still be aware of the potential risks of complications
such as dislocation, periprosthetic fracture, squeaking, and ceramic components
fracture.
PMID- 24931249
TI - Effects of exercises on knee cartilage volume in young healthy adults: a
randomized controlled trial.
AB - BACKGROUND: Acute effects of physical exercise on the deformational behavior of
knee articular cartilage and changes in cartilage volume are definite. However,
conclusive effects of different exercises on the loss of articular cartilage
volume have not been proved. In this parallel-group randomized controlled trial,
we tested whether 12 weeks of swimming, powerstriding, cycling, and running
exercises would decrease the cartilage volume significantly and whether there
would be a difference in the loss of cartilage volume after different types of
exercises. METHODS: From October 2012 to January 2013 we evaluated 120 healthy
volunteer students in Biomechanics Laboratory of Tongji University. Body mass
index (BMI), right lower limb strength, and right knee cartilage magnetic
resonance imaging (MRI) were obtained before exercise. MRI were conducted in East
Hospital. The study was approved by Tongji University Ethical Committee, all
subjects were randomly assigned to the running, powerstriding, cycling, swimming,
and control groups by a drawing of lots. Each group contained 24 samples. At the
end of 12 weeks of regular exercises, the same measurement procedures were
applied. Cartilage volume was calculated with OSIRIS software based on the
quantitative-MRI. Pre- and post-exercise comparisons were carried out using
paired t-tests and one-way analysis of variance (ANOVA) was used to compare
differences of cartilage volume loss between groups with Student-Newman-Keuls
procedure for multiple comparisons. RESULTS: Running, cycling, and swimming
groups resulted in a significant decrease in BMI. The quadriceps peak torque
increased significantly in the swimming and cycling groups. Total cartilage
volume significantly decreased in the running and cycling groups after 12 weeks
of training, without any significant change in the nonimpact swimming, low-impact
powerstriding, and control groups. Loss of total cartilage volume in the running
and cycling groups were 2.21% (3.03) and 1.50% (0.42). CONCLUSIONS: Twelve weeks
of regular physical exercises (i.e., running and cycling) decrease the total knee
cartilage volume. Swimming and powerstriding are recommended for the healthy
youth. This finding suggests that articular cartilage has the functional
adaptation for exercises, and some sports could be the risk factors for the
initiation of osteoarthritis (OA) in young healthy adults.
PMID- 24931250
TI - Uterine artery embolization in cesarean scar pregnancy: safe and effective
intervention.
AB - BACKGROUND: Cesarean scar pregnancy (CSP) is a very rare but life-threatening
entity and there is no optimal management strategy. Here we report a successfully
conservative treatment of CSP. METHODS: We retrospectively analyzed the clinical
data of 54 women with CSP, who underwent uterine artery embolization between
January 2007 and September 2012 at the Peking University People's Hospital. We
evaluated the clinical outcomes, the technique and the complications of uterine
artery embolization. RESULTS: Of the 54 patients, 2 patients with hemorrhage
after induced abortion received bilateral uterine artery embolization treatment
alone, and 52 patients underwent suction curettage after bilateral uterine artery
embolization. All 54 women were successfully cured, without any severe
complications, and uterine function was restored. During the follow-up, one
patient had accidental normal interuterine pregnancy and received induced
abortion during the first trimester. CONCLUSION: [corrected] Uterine artery
embolization combined with suction curettage is an effective and safe
conservative treatment for cesarean scar pregnancy.
PMID- 24931251
TI - Distinct characteristics and new prognostic scoring system for Chinese patients
with Waldenstrom macroglobulinemia.
AB - BACKGROUND: Waldenstrom macroglobulinemia (WM) is an uncommon lymphoid
malignancy. The characteristics and prognosis of WM have never been
systematically studied in the East. METHODS: We analyzed the clinical
characteristics and the prognostic factors of 90 Chinese WM patients, and
compared them with the Western reports. RESULTS: The median age was 62 years old
with a male-to-female ratio of 3.74. The most common symptoms at diagnosis were
fatigue (77.8%) and bleeding (20%), while only 6 patients (6.7%) were
asymptomatic. In the univariate analysis, age >62 years, thrombocytopenia,
leucopenia, cytopenias >= 2, and high risk on the international prognostic
scoring system for WM were the adverse risk factors, but only age >62 years and
>= 2 cytopenias were the independent prognostic factors in the multivariate
analysis. Using age <62 years and >= 2 cytopenias, three significantly different
prognostic groups could been distinguished, with 5-year overall survival of
71.6%, 48.6%, and 17.0% (P < 0.001). CONCLUSION: Distinct characteristics exist
in WM in China compared to the West and we describe a new simple prognostic model
for newly diagnosed WM patients.
PMID- 24931252
TI - Age, estimated glomerular filtration rate and ejection fraction score predicts
contrast-induced acute kidney injury in patients with diabetes and chronic kidney
disease: insight from the TRACK-D study.
AB - BACKGROUND: The occurrence of contrast induced acute kidney injury (CIAKI) has a
pronounced impact on morbidity and mortality. The aim of the present study was to
appraise the diagnostic efficacy of age, estimated glomerular filtration rate
(eGFR) and ejection fraction (AGEF) score (age/EF(%)+1 (if eGFR was <60 ml * min(
1)* 1.73 m(-2))) as an predictor of CIAKI in patients with diabetes mellitus (DM)
and concomitant chronic kidney disease (CKD). METHODS: The AGEF score was
calculated for 2 998 patients with type 2 DM and concomitant CKD who had
undergone coronary/peripheral arterial angiography. CIAKI was defined as an
increase in sCr concentration of 0.5 mg/dl (44.2 mmol/L) or 25% above baseline at
72 hours after exposure to the contrast medium. Post hoc analysis was performed
by stratifying the rate of CIAKI according to AGEF score tertiles. The diagnostic
efficacy of the AGEF score for predicting CIAKI was evaluated with receiver
operating characteristic (ROC) analysis. RESULTS: The AGEF score ranged from 0.49
to 3.09. The AGEF score tertiles were defined as follows: AGEFlow <= 0.92 (n = 1
006); 0.92 1.16 (n = 992). The
incidence of CIAKI was significantly different in patients with low, middle and
high AGEF scores (AGEFlow = 1.1%, AGEFmid = 2.3% and AGEFhigh = 5.8%, P < 0.001).
By multivariate analysis, AGEF score was an independent predictor of CIAKI (odds
ratio = 4.96, 95% CI: 2.32-10.58, P < 0.01). ROC analysis showed that the area
under the curve was 0.70 (95% CI: 0.648-0.753, P < 0.001). CONCLUSION: The AGEF
score is effective for stratifying risk of CIAKI in patients with DM and CKD
undergoing coronary/peripheral arterial angiography. (Clinical Trial identifier:
NCT00786136).
PMID- 24931253
TI - Construction of plasmid vector pAFP-HSVtk-IRES2-EGFP and its effect on the
cytotoxicity of ganciclovir to hepatocellular carcinoma.
AB - BACKGROUND: Herpes simplex virus thymidine kinase phosphorylates ganciclovir to
ganciclovir monophosphate, which is then converted to ganciclovir triphosphate by
endogenous cellular nucleoside kinases. The ganciclovir triphosphate acts as a
DNA chain terminator due to the lack of a functional 3'-OH group and terminates
the process of DNA replication, hence leading to cell apoptosis. At present,
HSVtk gene usually acts as suicide gene to kill tumor cells. The aim of this
study was to investigate the selective cytotoxicity of the herpes simplex virus
thymidine kinase/ganciclovir (HSVtK/GCV) suicide gene system controlled by the a
fetoprotein (AFP) promoter on hepatocellular carcinoma (HCC) cells in vitro.
METHODS: pAFP-HSVtk-IRES2-EGFP recombinant plasmid vectors driven by the AFP
promoter were constructed. HL-7702 liver cells, HUH-7 HCC, and HepG2 HCC were
transfected with the recombinant plasmids. HSVtK gene expression was detected
using Western blotting analysis. HepG2 cells line stably expressing HSVtk gene
was selected by G418 reagent. The cytotoxicity of HSVtK/GCV suicide gene system
on hepatoma cells was measured by CCK-8 reagents when different doses of
ganciclovir were added. RESULTS: Plasmid pAFP-TK-IRES2-EGFP-expressed HSVtk gene
was constructed successfully. HSVtk gene expression level was significantly
higher in AFP-positive hepatoma cells than in AFP-negative liver cells. After
G418 selection, a HepG2 cells line stably expressing HSVtk gene was acquired.
With the increase of the dose of ganciclovir the optical density at 450 nm of
HepG2 cells stably expressing HSVtk gene gradually decreased (P < 0.05).
CONCLUSION: The HSVtK gene-specific expression in hepatoma cells as well as the
cytotoxicity of the suicide gene system in HepG2 cells provided the basis for the
targeted gene therapy of HCC.
PMID- 24931254
TI - Decrease in myostatin by ladder-climbing training is associated with insulin
resistance in diet-induced obese rats.
AB - BACKGROUND: Suppression of myostatin (MSTN) has been associated with skeletal
muscle atrophy and insulin resistance (IR). However, few studies link MSTN
suppression by ladder-climbing training (LCT) and IR. Therefore, we intended to
identify the correlation with IR between LCT and to analyze the signaling
pathways through which MSTN suppression by LCT regulates IR. METHODS: The rats
were randomly assigned to two types of diet: normal pellet diet (NPD, n = 8) and
high-fat diet (HFD, n = 16). After 8 weeks, the HFD rats were randomly re
assigned to two groups (n = 8 for each group): HFD sedentary (HFD-S) and high-fat
diet ladder-climbing training (HFD-LCT). HFD-LCT rats were assigned to LCT for 8
weeks. Western blotting, immunohistochemistry and enzyme assays were used to
measure expression levels and activities of MSTN, GLUT4, PI3K, Akt and Akt
activated targets (mTOR, FoxO1 and GSK-3beta). RESULTS: The LCT significantly
improved IR and whole-body insulin sensitivity in HDF-fed rats. MSTN protein
levels decreased in matching serum (42%, P = 0.007) and muscle samples (25%, P =
0.035) and its receptor mRNA expression also decreased (16%, P = 0.041) from
obese rats after LCT. But the mRNA expression of insulin receptor had no obvious
changes in LCT group compared with NPD and HFD-S groups (P = 0.074). The ladder
climbing training significantly enhanced PI3K activity (1.7-fold, P = 0.024) and
Akt phosphorylation (83.3%, P = 0.022) in HFD-fed rats, significantly increased
GLUT4 protein expression (84.5%, P = 0.036), enhanced phosphorylation of mTOR
(4.8-fold, P < 0.001) and inhibited phosphorylation of FoxO1 (57.7%, P = 0.020),
but did not affect the phosphorylation of GSK-3beta. CONCLUSIONS: The LCT
significantly reduced IR in diet-induced obese rats. MSTN may play an important
role in regulating IR and fat accumulation by LCT via PI3K/Akt/mTOR and
PI3K/Akt/FoxO1 signaling pathway in HFD-fed rats.
PMID- 24931255
TI - Targeting gallbladder carcinoma: bone marrow-derived stem cells as therapeutic
delivery vehicles of myxoma virus.
AB - BACKGROUND: Gallbladder carcinoma (GBC) has a high mortality rate, requiring
synergistic anti-tumor management for effective treatment. The myxoma virus
(MYXV) exhibits a modest clinical value through its oncolytic potential and
narrow host tropism. METHODS: We performed viral replication assays, cell
viability assays, migration assays, and xenograft tumor models to demonstrate
that bone marrow-derived stem cells (BMSCs) may enhance efficiency of intravenous
MYXV delivery. RESULTS: We examined the permissiveness of various GBC cell lines
towards MYXV infection and found two supported single and multiple rounds of MYXV
replication, leading to an oncolytic effect. Furthermore, we found that BMSCs
exhibited tropism for GBC cells within a Matrigel migration system. BMSCs failed
to affect the growth of GBC cells, in terms of tumor volume and survival time.
Finally, we demonstrated in vivo that intravenous injection of MYXV-infected
BMSCs significantly improves the oncolytic effect of MYXV alone, almost to the
same extent as intratumoral injection of MYXV. CONCLUSION: This study indicates
that BMSCs are a promising novel vehicle for MYXV to clinically address
gallbladder tumors.
PMID- 24931256
TI - MiR-503 regulates cisplatin resistance of human gastric cancer cell lines by
targeting IGF1R and BCL2.
AB - BACKGROUND: Studies have shown that the drug resistance of gastric cancer cells
can be modulated by abnormal expression of microRNAs (miRNAs). We investigated
the role of miR-503 in the development of cisplatin resistance in human gastric
cancer cell lines. METHODS: MiR-503 expression was measured by quantitative real
time PCR. MTT (3-(4,5-dimethylthiazol-2-yl)-2,5- diphenyltetrazolium bromide) and
clonogenic assays were used to examine changes in cell viability and the drug
resistance phenotype of cancer cells associated with upregulation or
downregulation of the miRNA. A dual-luciferase activity assay was used to verify
target genes of miR-503. Immunohistochemistry, Western blotting analysis, and a
flow cytometric apoptosis assay were used to elucidate the mechanism by which miR
503 modulates drug resistance in cancer cells. RESULTS: MiR-503 was significantly
downregulated in gastric cancer tissues and several gastric cancer cell lines.
Additionally, downregulation of miR-503 in the cisplatin (DDP)-resistant gastric
cancer cell line SGC7901/DDP was concurrent with the upregulation of insulin-like
growth factor-1 receptor (IGF1R) and B-cell lymphoma 2 (BCL2) expression compared
with the parental SGC7901 cell line. An in vitro drug sensitivity assay showed
that overexpression of miR-503 sensitized SGC7901/DDP cells to cisplatin. The
luciferase activity of reporters driven by IGF1R and BCL2 3'-untranslated regions
in SGC7901/DDP cells suggested that IGF1R and BCL2 were both direct target genes
of miR-503. Enforced miR-503 expression in SGC7901/DDP cells reduced expression
of the target proteins, inhibited proliferation, and sensitized the cells to DDP
induced apoptosis. CONCLUSION: Our findings suggest that hsa-miR-503 modulates
cisplatin resistance of human gastric cancer cells at least in part by targeting
IGF1R and BCL2.
PMID- 24931257
TI - Effect of cigarette smoke extraction on the expression of found in inflammatory
zone 1 in rat lung epithelial L2 cells.
AB - BACKGROUND: Found in inflammatory zone 1 (FIZZ1) protein increased in pulmonary
epithelial cells and in limited amounts of other lung cells. FIZZ1 increased in
murine model of smoke induced chronic obstructive pulmonary disease. However, the
direct role of FIZZ1 produced by pulmonary epithelium stimulated with cigarette
smoke extraction has not been determined. We examined the expression and function
of FIZZ1 in rat lung epithelial L2 cells. METHODS: The rat lung epithelial L2
cells (CCL 149) were exposed to cigarette smoke extraction, expression of FIZZ1
mRNA was investigated by RT-PCR. Levels of FIZZ1 protein were detected by Western
blotting and laser confocal microscope. CCL 149 cells were treated with different
concentrations and for different time of recombinant protein FIZZ1. After
treatment, the expression levels of interleukin 8 (IL-8) were detected by enzyme
linked immunosorbent assay (ELISA). RESULTS: When CCL 149 cells were exposed to
cigarette smoke extraction, FIZZ1 mRNA and protein levels expressed significantly
higher than control group. Recombinant protein FIZZ1 promoted the expression of
IL-8 in a dose and time dependent manner in a certain range. CONCLUSIONS:
Cigarette smoke extraction activates FIZZ1 at mRNA and protein levels in CCL 149
cells. Recombinant protein FIZZ1 induces the expression of IL-8 and may thus
participate in the process of chronic obstructive pulmonary disease airway
inflammation and airflow obstruction. Generally, immune cells such as
macrophages, neutrophils and lymphocytes are unavoidably involved in airway
inflammatory and immune responses to cigarette smoke, but it is still unclear
whether their involvement in the pathogenesis of chronic obstructive pulmonary
disease is based on the specific expression in lung epithelial cells of FIZZ1.
PMID- 24931258
TI - Efficacy of thalidomide on trinitrobenzene sulfonate-induced colitis in young
rats and its mechanism.
AB - BACKGROUND: Thalidomide could relieve clinical symptoms and intestinal mucosal
lesions effectively in children with refractory inflammatory bowel disease (IBD)
from the pre-clinical study. This study aimed to observe the therapeutic effect
of thalidomide by the established animal model of IBD model of 2,4,6
trinitrobenzene sulfonic acid (TNBS)-induced colitis in Sprague-Dawley (SD) rats
and to investigate the possible mechanism of action. METHODS: A total of 82 SD
rats of about 4-5 weeks were randomly divided into three groups: the control
group (25 rats), TNBS-treated group (29 rats), and thalidomide treatment group
(28 rats). Daily activities were recorded. At least eight rats from each group
were killed on the 4th, 7th, and 14th days. Morphological and histological
changes in the colon were individually assessed. Serum was collected and the
levels of TNF-alpha and interleukins (IL-1beta and IL-10) were assayed by ELISA
method. The expression of colonic mucosal nuclear factor (NF)-kappaB was assayed
with the immunohistochemical method. RESULTS: (1) In the control group, diarrhea
and rectal bleeding recovered rapidly and no death was recorded. In the TNBS
treated group, diarrhea and rectal bleeding persisted for a longer time. The
mortality rate was 10.34% during the observation period. In the thalidomide
treatment group, diarrhea and rectal bleeding persisted for a significantly
shorter time than the TNBS-treated group (P < 0.01). The rats of this group also
exhibited faster weight gain on day 7 compared with the TNBS-treated group but
still lower than that of the control group. The mortality rate of the thalidomide
treatment group was 3.57%. (2) Macroscopic and microscopic scores of the
thalidomide-treated group were significantly lower than those of the TNBS model
group on the 14th day (P < 0.01). These results suggested faster and better
colonic recovery in the thalidomide-treated group. (3) NF-kappaB expression in
the colonic mucosa of the control group was lower than in the others, mainly
distributed in the cytoplasm. A large amount of intra-nuclear and cytoplasm
staining was observed (more prominently intra-nuclear) in the TNBS model group
and the thalidomide treatment group. On the 7th and 14th days, intra-nuclear NF
kappaB-containing cells in the thalidomide treatment group were still
significantly lower than those in the TNBS model group (P < 0.01). (4) In the
control group, the cellular inflammatory factors (TNF-alpha, IL-1beta, and IL-10)
were expressed at a low level while in the other two groups they were already
expressed at a significantly higher level on day 4. On day 7 the expressions of
TNF-alpha and IL-1beta in the thalidomide treatment group were lower than in the
TNBS model group. On day 14, the expressions of TNF-alpha and IL-1beta in the
thalidomide treatment group were significantly lower than in the TNBS model group
(P < 0.05). On day 4, the IL-10 levels of the thalidomide treatment group became
significantly elevated. The levels gradually decreased but still remained at a
higher level. In the TNBS model group, the IL-10 expression peaked later than in
the thalidomide treatment group. CONCLUSIONS: Thalidomide was effective in the
management of TNBS-induced colitis in young rats. This may be due to the
suppression and down-regulation of NF-kappaB and the expression of the downstream
inflammatory mediators (TNF-alpha and IL-1beta). There is also indication that
the expression of the anti-inflammatory cytokine (IL-10) is concomitantly up
regulated as well.
PMID- 24931259
TI - Effects of tacrolimus and cyclosporine treatment on metabolic syndrome and
cardiovascular risk factors after renal transplantation: a meta-analysis.
AB - BACKGROUND: The therapeutic success of renal transplantation has been largely
attributable to the development of effective and balanced immunosuppressive
treatment regimens. This study provides a meta-analysis of a series of randomized
controlled trials that compared the effects of tacrolimus and cyclosporine on
metabolic syndrome (MetS) and cardiovascular risk factors after renal
transplantation. METHODS: We searched various electronic databases and
bibliographies, including MEDLINE, the Cochrane Central Register of Controlled
Trials, and EMBASE, for relevant studies published prior to October 2012.
RESULTS: Our meta-analysis included five randomized controlled trials that
examined a total of 923 patients. The tacrolimus group and the cyclosporine group
exhibited no significant differences in MetS incidence after renal
transplantation; risk ratio (RR): 1.06, 95% confidence interval (CI): 0.73-1.55,
P = 0.76. Cyclosporine treatment was associated with a higher incidence of
hyperlipidemia (RR: 0.50, 95% CI: 0.39-0.64, P < 0.01). Although there were no
statistically significant differences, cyclosporine treatment was associated with
a higher incidence of hypertension (RR: 0.91, 95% CI: 0.83-1.00, P = 0.06) after
renal transplantation compared to tacrolimus treatment, and tacrolimus treatment
was associated with a higher incidence of diabetes after renal transplantation
(RR: 1.79, 95% CI: 0.98-3.27, P = 0.06) compared to cyclosporine treatment.
CONCLUSIONS: Compared to tacrolimus treatment, cyclosporine treatment was
associated with a higher incidence of hyperlipidemia. Future large-scale studies
are expected to be conducted to further confirm our findings.
PMID- 24931260
TI - T8590C polymorphism of CYP4A11 is a risk factor for hypertension: a meta
analysis.
AB - BACKGROUND: T8590C polymorphism of CYP4A11 has been associated with hypertension,
though with conflicting results. The aim of this study was to quantitatively
summarize the evidence for CYP4A11 T8590C polymorphism and hypertension risk.
METHODS: Electronic search of PubMed and the Chinese Biomedicine database was
conducted to select studies. Case-control studies containing available genotype
frequencies of T8590C were chosen, and odds ratio (OR) with 95% confidence
interval (CI) was used to assess the strength of this association. RESULTS: Seven
case-control studies, including 3 295 cases and 3 192 controls, were identified.
The meta-analysis, stratified by ethnicity, showed that individuals with the C
allele carriers (CC+CT) had increased risk of hypertension in over all (OR =
1.184, 95% CI: 1.063-1.319, P = 0.002) and in others (OR = 1.217, 95% CI: 1.045
1.419, P = 0.012). The results among Asians did not suggest an association (OR =
1.152, 95% CI: 0.990-1.342, P = 0.068). A symmetric funnel plot, the Egger's test
(P = 0.863), and the Begg test (P = 0.393) were all suggestive of the lack of
publication bias. CONCLUSIONS: This meta-analysis suggests the CYP4A11 T8590C
polymorphism may be a risk factor for hypertension. Future well-designed large
studies might be necessary to validate this association in different populations
incorporated with environmental factors in the susceptibility of hypertension.
PMID- 24931261
TI - Microarray-based analyses of monocytes from Chinese Uygur patients with
Parkinson's disease and cognitive impairment.
PMID- 24931262
TI - Serum levels of suppressor of cytokine signaling 3 and signal transducer and
activator of transcription 3 in childhood atopic dermatitis.
PMID- 24931263
TI - Pityriasis rubra pilaris (PRP) with preceding Epstein-Barr virus infection: a new
type PRP with non-HIV virus infection?
PMID- 24931265
TI - Two episodes of anaphylaxis caused by a chlorhexidine sulfadiazine-coated central
venous catheter.
PMID- 24931264
TI - Coronary artery anomalies: the left main coronary artery or left anterior
descending coronary artery originating from the proximal of right coronary
artery.
PMID- 24931266
TI - Aortic reoperation in a patient with Loeys-Dietz syndrome.
PMID- 24931267
TI - Frameless stereotactic aspiration and thrombolysis of moderate thalamic
hemorrhage.
PMID- 24931268
TI - The role of functional polymorphisms in immune response genes as biomarkers of
bacille Calmette-Guerin (BCG) immunotherapy outcome in bladder cancer:
establishment of a predictive profile in a Southern Europe population.
AB - OBJECTIVE: To evaluate the predictive value of genetic polymorphisms in the
context of bacille Calmette-Guerin (BCG) immunotherapy outcome and create a
predictive profile that may allow discrimination of the risk of recurrence.
PATIENTS AND METHODS: In a dataset of 204 patients treated with BCG, we evaluated
42 genetic polymorphisms in 38 genes involved in the BCG mechanism of action,
using Sequenom MassARRAY((r)) technology. Stepwise multivariate Cox regression
was used for data mining. RESULTS: In agreement with previous studies we found
that gender, age, tumour multiplicity and treatment scheme were associated with
BCG failure. Using stepwise multivariate Cox regression analysis we propose the
first predictive profile of BCG immunotherapy outcome and a risk score based on
polymorphisms in immune system molecules [single nucleotide polymorphisms in
tumour necrosis factor alpha (TNFA)-1031T/C (rs1799964), interleukin 2 receptor
alpha (IL2RA) rs2104286 T/C, IL17A-197G/A (rs2275913), IL17RA-809A/G (rs4819554),
IL18R1 rs3771171 T/C, intercellular adhesion molecule 1 (ICAM-1) K469E (rs5498),
Fas ligand (FASL)-844T/C (rs763110) and TNF-related apoptosis-inducing ligand
receptor 1 (TRAILR1)-397T/G (rs79037040)] in association with clinicopathological
variables. This risk score allows the categorisation of patients into risk
groups: patients within the low-risk group have a 90% chance of successful
treatment, whereas patients in the high-risk group present a 75% chance of
recurrence after BCG treatment. CONCLUSION: We have established the first
predictive score of BCG immunotherapy outcome combining clinicopathological
characteristics and a panel of genetic polymorphisms. Further studies using an
independent cohort are warranted. Moreover, the inclusion of other biomarkers may
help to improve the proposed model.
PMID- 24931269
TI - High-risk prostate cancer: a disease of genomic instability.
AB - OBJECTIVES: In this review, we will discuss the latest advances in our
understanding of the relationship between the cellular DNA damage response and
genomic instability in prostate cancer and the emerging possibilities to exploit
these aberrations as prognostic biomarkers and guides for personalized patient
management. METHODS: Important findings related to genomic instability in
prostate cancer were retrieved from the literature and combined with our own
results and a translational perspective. RESULTS: Prostate cancer is
characterized by a highly altered genomic landscape with a wide spectrum of
genomic alterations, including somatic mutations, copy number alterations (CNAs),
gene fusions, complex chromosomal rearrangements, and aneuploidy. In addition,
massive DNA damaging events, including chromothripsis and chromoplexy, which can
lead to extensive genomic insults in a single step, have been identified. A
number of these genomic aberrations have been found to provide prognostic
information and can therefore help to identify high-risk patients. In addition,
defects in the DNA damage checkpoint and repair machinery can potentially be
harnessed for therapeutic purposes. CONCLUSIONS: Genomic instability plays a
crucial role in the malignant progression of prostate cancer and can be exploited
for the development of novel prognostic biomarkers and innovative therapies.
PMID- 24931270
TI - Micropapillary bladder cancer: current treatment patterns and review of the
literature.
AB - OBJECTIVES: No guidelines exist for the management of micropapillary bladder
cancer (MPBC) and most reports of this variant of urothelial carcinoma are case
series comprising small numbers of patients. We sought to determine current
practice patterns for MPBC using a survey sent to the Society of Urologic
Oncology (SUO) and to present those results in the setting of a comprehensive
review of the existing literature. MATERIALS AND METHODS: A survey developed by
the Translational Science Working Group of the Bladder Cancer Advocacy Network
sponsored Think Tank meeting was distributed to members of the SUO. The results
from 118 respondents were analyzed and presented with a literature review.
RESULTS: Most survey respondents were urologists, with 80% considering bladder
cancer their primary area of interest. Although 78% of the respondents reported a
dedicated genitourinary pathologist at their institution, there were discrepant
opinions on how a pathologic diagnosis of MPBC is determined as well as
variability on the proportion of MPBC that is clinically significant. Among them,
78% treat MPBC differently than conventional urothelial carcinoma, with 81%
reporting that they would treat cT1 MPBC with upfront radical cystectomy.
However, the respondents had split opinions regarding the sensitivity of MPBC to
cisplatin-based chemotherapy, which affected utilization of neoadjuvant
chemotherapy in muscle-invasive disease. CONCLUSIONS: The management of MPBC is
diverse among members of the SUO. Although most favors early cystectomy for cT1
MPBC, there is no consensus on the use of neoadjuvant chemotherapy for muscle
invasive MPBC.
PMID- 24931272
TI - Chiral analysis of amino acid neurotransmitters and neuromodulators in mouse
brain by CE-LIF.
AB - Chiral CE method has been developed for quantitative determination of d-amino
acid modulators of NMDA glutamate receptor; d-serine and d-aspartate along with l
glutamate and l-aspartate in biological samples. These ligands are suggested to
be involved in regulation of NMDA receptor related brain functions, such as
neurogenesis, neuronal plasticity, and memory formation. For sensitive
determination of the amino acids LIF detection was chosen, and a fluorogenic
reagent, 7-fluoro-4-nitro-2,1,3-benzoxadiazole was used for derivatization. An
amino-modified beta-CD, 6-monodeoxy-6-mono(3-hydroxy)propylamino-beta-CD (HPA
beta-CD) was applied as chiral selector. Determinations were accomplished in a
polyacrylamide coated capillary and reverse polarity was used for the analysis of
the negatively charged analytes. The method was optimized and validated; 6 mM HPA
beta-CD in 50 mM HEPES buffer, pH 7 was appropriate to achieve baseline
separation of the analytes. The limit of quantification with acceptable accuracy
is 0.05 MUM for both d-amino acids. The method was used for the determination of
d-aspartate and d-serine content in various brain regions of adult mice.
PMID- 24931271
TI - Amyotrophic lateral sclerosis: impact of pulmonary follow-up and mechanical
ventilation on survival. A study of 114 cases.
AB - OBJECTIVE: To study the impact of ventilatory management and treatment on the
survival of patients with amyotrophic lateral sclerosis (ALS). METHOD:
Retrospective analysis of 114 consecutive patients admitted to a general
hospital, evaluating demographic data, type of presentation, clinical management,
treatment with mechanical ventilation and survival. STATISTICS: descriptive and
Kaplan-Meier estimator. RESULTS: Sixty four patients presented initial bulbar
involvement. Overall mean survival after diagnosis was 28.0 months (95%CI, 21.1
34.8). Seventy patients were referred to the pulmonary specialist (61.4%) and 43
received non-invasive ventilation (NIV) at 12.7 months (median) after diagnosis.
Thirty seven patients continued to receive NIV with no subsequent invasive
ventilation. The mean survival of these patients was 23.3 months (95%CI, 16.7
28.8), higher in those without bulbar involvement, although below the range of
significance. Survival in the 26 patients receiving programmed NIV was higher
than in the 11 patients in whom this was indicated without prior pulmonary
assessment (considered following diagnosis, P<.012, and in accordance with the
start of ventilation, P<.004). A total of 7 patients were treated invasively;
mean survival in this group was 72 months (95%CI, 14.36-129.6), median 49.6+/
17.5 (95%CI, 15.3-83.8), and despite the difficulties involved in home care,
acceptance and tolerance was acceptable. CONCLUSIONS: Long-term mechanical
ventilation prolongs survival in ALS. Programmed pulmonary assessment has a
positive impact on survival of ALS patients and is key to the multidisciplinary
management of this disease.
PMID- 24931273
TI - Chemical modifications of DNA for study of helicase mechanisms.
AB - Helicases are ubiquitous enzymes that are required for virtually all processes in
DNA and RNA metabolism including replication, repair, recombination,
transcription, and translation. The mechanisms for helicase-catalyzed unwinding
of double-stranded DNA or remodeling of RNA have been the subject of intense
investigation for more than two decades. The central function of these enzymes is
to transduce the energy available from ATP binding and hydrolysis to alter the
conformation of nucleic acids. Specific interactions between helicases and
nucleic acids have been investigated by chemical approaches in which the nucleic
acid substrate has been modified in order to provide specific insight into the
enzymatic mechanism.
PMID- 24931274
TI - Synthesis and biological evaluation of novel radioiodinated imidazopyridine
derivatives for amyloid-beta imaging in Alzheimer's disease.
AB - Non-invasive detection for amyloid-beta peptide (Abeta) deposition has important
significance for the early diagnosis and medical intervention for Alzheimer's
disease (AD). In this study, we developed a series of imidazopyridine derivatives
as potential imaging agents for single-photon emission computed tomography
(SPECT). Two of them, compounds DRK092 and DRM106, showed higher affinity for
synthetic human Abeta 1-40 fibrils than did the well-known amyloid-imaging agent
IMPY. A metabolite analysis revealed brain-permeable radioactive metabolites of
(125)I-labeled DRK092 and IMPY; no radioactive metabolites from (125)I-labeled
DRM106 ([(125)I]DRM106) were detected. In addition, in vitro autoradiography
clearly demonstrated specific binding of [(125)I]DRM106 in the hippocampal region
of AD enriched with Abeta plaques. Thus, our results strongly suggested that
compound DRM106 can be used as an imaging agent for SPECT to detect Abeta
deposition in AD brain.
PMID- 24931276
TI - Spectroscopic investigations of the binding mechanisms between antimicrobial
peptides and membrane models of Pseudomonas aeruginosa and Klebsiella pneumoniae.
AB - CD spectroscopy was used to investigate the interactions of a series of synthetic
AMPs with LPS isolated from Pseudomonas aeruginosa and Klebsiella pneumoniae, as
well as with various phospholipids to better approximate the chemical composition
of the membranes of these two strains of Gram-negative bacteria. This
investigation was conducted in order to probe how the contributions of key
physicochemical properties of an AMP vary in different regions of the membranes
of these two bacteria. The conclusions from this study are as follows. (1) The
binding interactions between the AMP and the membranes are defined by the
complementarity of delocalization of positive charge density of the basic amino
side chains (i.e., electrostatics), molecular flexibility of the peptide
backbone, and overall hydrophobicity. (2) The binding interactions of these AMPs
to LPS seem to be predominantly with the lipid A region of the LPS. (3)
Incorporation of phospholipids into the LPS containing SUVs resulted in dramatic
changes in the conformational equilibrium of the bound AMPs. (4) For the LPS
phospholipid models of Pseudomonas aeruginosa, delocalization of the side chain
positive charge plays a major role in determining the number of conformers that
contribute to the binding conformational equilibrium. This relationship was not
observed for the models of the outer and inner membranes of Klebsiella
pneumoniae.
PMID- 24931275
TI - Synthesis and evaluation of N6-substituted apioadenosines as potential adenosine
A3 receptor modulators.
AB - Adenosine receptors (ARs) trigger signal transduction pathways inside the cell
when activated by extracellular adenosine. Selective modulation of the A3AR
subtype may be beneficial in controlling diseases such as colorectal cancer and
rheumatoid arthritis. Here, we report the synthesis and evaluation of beta-D-apio
D-furano- and alpha-D-apio-L-furanoadenosines and derivatives thereof.
Introduction of a 2-methoxy-5-chlorobenzyl group at N(6) of beta-D-apio-D
furanoadenosine afforded an A3AR antagonist (10c, Ki=0.98 MUM), while a similar
modification of an alpha-D-apio-L-furanoadenosine gave rise to a partial agonist
(11c, Ki=3.07 MUM). The structural basis for this difference was examined by
docking to an A3AR model; the antagonist lacked a crucial interaction with Thr94.
PMID- 24931277
TI - Topoisomerase II inhibitors from the roots of Stellera chamaejasme L.
AB - Three new compounds, including one daphnane diterpene (1), one sesquiterpene (6),
and one lignan (7) have been isolated from the Stellera chamaejasme L., together
with five other known compounds, including four daphnane diterpenenoids (2-5) and
one lignan (8). The structures of the new compounds were elucidated by
spectroscopic analysis. The cytotoxicities of compounds 1-8 towards human lung
adenocarcinoma cells (A549 cells) were evaluated using a sulforhodamine B assay.
All of the compounds displayed significant cytotoxicity, with IC50 values in the
ranging of 0.2 nM to 2.0 MUM. Mechanistic studies revealed that the antitumor
activities of compounds 1-3 and 7 were derived from their inhibition of
topoisomerase II (Topo II). Furthermore, as a Topo II inhibitor, compound 1 was
found to effectively induced G2-M phase cell cycle arrest and apoptosis in cancer
cells.
PMID- 24931278
TI - Review article: Emergency department data sharing to reduce alcohol-related
violence: a systematic review of the feasibility and effectiveness of community
level interventions.
AB - The present paper aims to review current evidence for the effectiveness and/or
feasibility of using inter-agency data sharing of ED recorded assault information
to direct interventions reducing alcohol-related or nightlife assaults, injury or
violence. Potential data-sharing partners involve police, local council, liquor
licensing regulators and venue management. A systematic review of the peer
reviewed literature was conducted. The initial search discovered 19,506 articles.
After removal of duplicates and articles not meeting review criteria, n = 8
articles were included in quantitative and narrative synthesis. Seven of eight
studies were conducted in UK EDs, with the remaining study presenting Australian
data. All studies included in the review deemed data sharing a worthwhile
pursuit. All studies attempting to measure intervention effectiveness reported
substantial reductions of assaults and ED attendances post-intervention, with one
reporting no change. Negative logistic feasibility concerns were minimal, with
general consensus among authors being that data-sharing protocols and
partnerships could be easily implemented into modern ED triage systems, with
minimal cost, staff workload burden, impact to patient safety, service and
anonymity, or risk of harm displacement to other licensed venues, or increase to
length of patient stay. However, one study reported a potential harm displacement
effect to streets surrounding intervention venues. In future, data-sharing
systems should triangulate ED, police and ambulance data sources, and assess
intervention effectiveness using randomised controlled trials that account for
variations in venue capacity, fluctuations in ED attendance and population
levels, seasonal variations in assault and injury, and control for concurrent
interventions.
PMID- 24931279
TI - A semimetal bismuth element as a direct plasmonic photocatalyst.
AB - The bismuth element synthesized by a facile chemical solution method exhibited an
admirable and stable photocatalytic activity towards the removal of NO under 280
nm light irradiation due to the surface plasmon resonance mediated direct
photocatalysis, and most strikingly, showed a catalytic "memory" capability
following illumination.
PMID- 24931280
TI - The effect of Mediterranean diet on the development of type 2 diabetes mellitus:
a meta-analysis of 10 prospective studies and 136,846 participants.
AB - OBJECTIVE: The purpose of this work was to meta-analyze prospective studies that
have evaluated the effect of a Mediterranean diet on the development of type 2
diabetes. MATERIALS/METHODS: PubMed, Embase and the Cochrane Central Register of
Controlled Trials databases were searched up to 20 November 2013. English
language publications were allocated; 17 original research studies (1 clinical
trial, 9 prospective and 7 cross-sectional) were identified. Primary analyses
were limited to prospective studies and clinical trials, yielding to a sample of
136,846 participants. A systematic review and a random effects meta-analysis were
conducted. RESULTS: Higher adherence to the Mediterranean diet was associated
with 23% reduced risk of developing type 2 diabetes (combined relative risk for
upper versus lowest available centile: 0.77; 95% CI: 0.66, 0.89). Subgroup
analyses based on region, health status of participants and number of confounders
controlling for, showed similar results. Limitations include variations in
Mediterranean diet adherence assessment tools, confounders' adjustment, duration
of follow up and number of events with diabetes. CONCLUSIONS: The presented
results are of major public health importance, since no consensus exists
concerning the best anti-diabetic diet. Mediterranean diet could, if
appropriately adjusted to reflect local food availability and individual's needs,
constitute a beneficial nutritional choice for the primary prevention of
diabetes.
PMID- 24931282
TI - Pediatric transplantation and tolerance: past, present, and future.
AB - Solid organ transplantation is the treatment of choice in children with end-stage
organ failure. With improving methods of transplant surgery and post-transplant
care, transplantation is more frequently performed worldwide. However, lifelong
and non-specific suppression of the recipient's immune system is a cause of
significant morbidity in children, including infection, diabetes, and cancer.
There is a great need to develop IS minimization/withdrawal and tolerance
induction approaches.
PMID- 24931283
TI - A novel technique to improve the diagnostic yield of negative sestamibi scans.
AB - INTRODUCTION: Minimally invasive parathyroidectomy is successful in achieving
cure for most patients with primary hyperparathyroidism. Most surgeons rely on
preoperative imaging as part of the workup for localization. Ultrasonography and
sestamibi are the 2 most commonly used preoperative imaging studies. When these 2
studies are positive and concordant the preoperative localization is
straightforward. However, when >=1 of these studies is negative, the preoperative
localization is suspect. We hypothesize that the yield of useful localizing
information from "negative" sestamibi scans can be increased in certain
situations. Specifically, in cases where the thyroid lobe length seen on
sestamibi is discordant from the lobe length of the ultrasonography, this often
represents a "hidden" parathyroid adenoma. If our hypothesis is correct, this
could lead to decreased resource utilization in cases of nonlocalized parathyroid
adenomas. METHODS: We retrospectively analyzed our database of patients with
primary hyperparathyroidism who underwent parathyroidectomy from 2005 to 2011.
The anteroposterior views of early phase sestamibi were analyzed for thyroid lobe
lengths. A ratio of the length of the right lobe to left lobe was calculated. The
thyroid lobe lengths on ultrasonography were measured and similar ratios were
calculated. The difference in ratios between sestamibi and ultrasonography was
calculated for each patient. A difference in ratios from sestamibi and
ultrasonography that corresponded with a "hidden" parathyroid on the side of the
additional length on sestamibi at the time of surgery was considered a positive
finding. When the difference in ratios from the 2 images did not correspond with
a "hidden" parathyroid at the time of operation, it was considered a negative
finding. RESULTS: There were 59 patients with single-gland disease, negative
sestamibi, and images available for review. There were 32 patients (54%) with the
positive finding of a "hidden" parathyroid corresponding with a difference in
thyroid lobe length ratios from sestamibi and ultrasonography. The overall mean
difference in ratios between sestamibi and ultrasonography was 0.37 +/- 0.32. The
mean ratio difference in the group of patients with a negative "hidden"
parathyroid was 0.11 +/- 0.02, and the mean ratio difference in the group of
patients with a positive "hidden" parathyroid was 0.58 +/- 0.05 (P < .001). When
a difference in ratios of >=0.23 was obtained, this predicted a "hidden"
parathyroid with a sensitivity of 93.8% and specificity of 85.2%. There were 39
patients with multigland disease, negative sestamibi, and images available for
review. None of these patients had a ratio difference of >=0.23. The mean ratio
difference for patients with multigland disease was significantly lower than that
of the single-gland disease (0.08 +/- 0.06 vs 0.37 +/- 0.32; P < .001).
CONCLUSION: Discordance between thyroid lobe lengths on the early phase sestamibi
compared with ultrasonography has led to successful preoperative identification
of parathyroid adenomas, even though the sestamibi was traditionally read as
negative. This finding has not been previously described, seems to be reliable,
and can lead to improved preoperative localization and decreased resource
utilization in this subset patients.
PMID- 24931281
TI - Lactation intensity and fasting plasma lipids, lipoproteins, non-esterified free
fatty acids, leptin and adiponectin in postpartum women with recent gestational
diabetes mellitus: the SWIFT cohort.
AB - OBJECTIVES: Lactation may influence future progression to type 2 diabetes after
gestational diabetes mellitus (GDM). However, biomarkers associated with
progression to glucose intolerance have not been examined in relation to
lactation intensity among postpartum women with previous GDM. This study
investigates whether higher lactation intensity is related to more favorable
blood lipids, lipoproteins and adipokines after GDM pregnancy independent of
obesity, socio-demographics and insulin resistance. METHODS: The Study of Women,
Infant Feeding, and Type 2 Diabetes (SWIFT) is a prospective cohort study that
recruited 1035 women diagnosed with GDM by the 3-h 100g oral glucose tolerance
tests (OGTTs) after delivery of a live birth in 2008-2011. Research staff
conducted 2-h 75 g OGTTs, and assessed lactation intensity, anthropometry,
lifestyle behaviors and socio-demographics at 6-9 weeks postpartum (baseline). We
assayed fasting plasma lipids, lipoproteins, non-esterified free fatty acids,
leptin and adiponectin from stored samples obtained at 6-9 weeks postpartum in
1007 of the SWIFT participants who were free of diabetes at baseline. Mean
biomarker concentrations were compared among lactation intensity groups using
multivariable linear regression models. RESULTS: Increasing lactation intensity
showed graded monotonic associations with fully adjusted mean biomarkers: 5%-8%
higher high-density lipoprotein cholesterol (HDL-cholesterol), 20%-28% lower
fasting triglycerides, 15%-21% lower leptin (all trend P-values < 0.01), and with
6% lower adiponectin, but only after adjustment for insulin resistance (trend P
value = 0.04). CONCLUSION: Higher lactation intensity was associated with more
favorable biomarkers for type 2 diabetes, except for lower plasma adiponectin,
after GDM delivery. Long-term follow-up studies are needed to assess whether
these effects of lactation persist to predict progression to glucose intolerance.
PMID- 24931284
TI - Physa marmorata (Mollusca: Physidae) as a natural intermediate host of
Trichobilharzia (Trematoda: Schistosomatidae), a potential causative agent of
avian cercarial dermatitis in Brazil.
AB - Species of Trichobilharzia are the main etiological agents of cercarial
dermatitis in humans, which is considered a re-emerging disease. Despite the
diversity and global distribution of species of this genus, studies of
Trichobilharzia are scarce in South America. The goal of our investigations is
better understand the diversity, distribution and life cycle of avian
schistosomes and their likely role in causing dermatitis in Brazil. As part of
this effort, cercariae found in naturally infected Physa marmorata were
identified by morphological and molecular (mitochondrial cox1, nuclear ITS1 and
28S gene regions) methods as Trichobilharzia sp. These cercariae are similar
morphologically to T. jequitibaensis described previously from Brazil and similar
genetically to the North American physid transmitted species T. querquedulae and
T. physellae. This is the first report of a potential agent of cercarial
dermatitis from naturally infected snails from Brazil and first molecular
characterization of a South American species of Trichobilharzia. A discussion
follows concerning the potential role of this species has in outbreaks of
dermatitis in Brazil.
PMID- 24931285
TI - Equine trypanosomosis in central and western Punjab: prevalence, haemato
biochemical response and associated risk factors.
AB - The detection of Trypanosoma evansi in blood is intricate, primarily in chronic
stage of infection, as the parasitaemia is often low and fluctuating. The
climatic conditions of the target area of Punjab (a province of India with a
total of 34,000 horses and ponies used for sports and transport) are conducive
for the parasite propagation. The objective of present investigation was to
assess the prevalence of T. evansi in central and western Punjab by PCR and card
agglutination test (CATT/T. evansi) in relation to clinico-haematobiochemical
alterations and risk factors associated with latent trypanosomosis. A total of
169 equine blood and serum samples tested by CATT/T. evansi revealed 16 cases
positive, with 6.8% from central plain and 13.63% from western zone. To assess
the specificity of serological test, PCR1 was performed using established primer
pair TR3 5'-GCG CGG ATT CTT TGC AGA CGA-3' and TR4 5'-TGC AGA CAC TGG AAT GTT ACT
3' for T. evansi. PCR2 applied with primer pair RoTat1.2F: 5'-ATG TCA ACG ATG CCT
GTT ACA TTA CGC AC-3' and RoTat1.2R: 5'-TAA ATA TCA CTG TCA AGA CCT GCT GCG G-3'
to rule out the consensus between the finding of the two PCR assays and
agglutination test for T. evansi, which displayed results in concordance with
PCR1. PCR assays showed 1.92 and 1.51% positive samples from central plain and
western zone, respectively. With respect to PCR assay, CATT/T. evansi showed 100%
sensitivity and 92.1% specificity. Microscopy showed a very low prevalence rate
of 0.59% with only one sample positive with teaming parasitaemia. Comparison
between sexes revealed higher positivity in mares by the three tests (BSE: 0.95%,
PCR: 2.88%, CATT/T. evansi: 14.42%). The haemato-biochemical factors were found
to be altered in PCR positive cases, while the mean value of vital parameters
lied in normal range in seropositive cases. The female horse (RR=0.0937, 95%
CI=1.388-190.223%) population was found to be at the highest risk of
seropositivity for T. evansi, particularly in the unorganized farms (RR=19.726,
95% CI=2.918-400.221%).
PMID- 24931286
TI - Necrosis targeted combinational theragnostic approach using radioiodinated
Sennidin A in rodent tumor models.
AB - Residual cancer cells and subsequent tumor relapse is an obstacle for curative
cancer treatment. Tumor necrosis therapy (TNT) has recently been developed to
cause residual tumor regression or destruction. Here, we exploited the avidity of
the sennidin A (SA) tracer and radioiodinated SA (131I-SA) to necrotic tumors in
order to further empower TNT. We showed high uptake and prolonged retention of SA
in necrotic tumors and a quick clearance in other non-targeted tissues including
the liver. On SPECT-CT images, tumor mass appeared persistently as a hotspot.
Based on the prominent targetability of 131I-SA to the tumor necrosis, we
designed a combinational theragnostic modality. The vascular disrupting agent
(VDA) combretastatin A4 phosphate (CA4P) was used to cause massive tumor
necrosis, which formed the target of 131I-SA that subsequently killed the
residual tumor cells by cross-fire irradiation of beta particles. Consequently,
131I-SA combined with CA4P significantly inhibited tumor growth, extended tumor
doubling time and prolonged mean animal survival. In conclusion, 131I-SA in
combination with necrosis inducing drugs/therapies may generate synergetic
tumoricidal effects on solid malignancies by means of primary debulking and
secondary cleansing process.
PMID- 24931288
TI - A structured, parsimonious approach to establish the cause of moderate-to-large
pericardial effusion.
AB - The workup of moderate-to-large pericardial effusion should focus on its
hemodynamic impact and potential cause. A structured approach to diagnostic
evaluation of pericardial effusion is needed. We retrospectively studied a
contemporary cohort of 103 patients with moderate-to-large pericardial effusion
hospitalized at St. Luke's Roosevelt Hospital Center from July 2009 till August
2013. Diagnosis of pericardial effusion was independently ascertained by chart
review. We applied a stepwise parsimonious approach to establish the cause of
pericardial effusion. In the studied cohort, the mean age was 61 years, 50% were
men, and 65 patients (63%) underwent pericardial effusion drainage. Using the
structured approach, the cause of the effusion was ascertained in 70 patients
(68%) by noninvasive targeted testing. Malignant effusion was confirmed in 19
patients (19%). All patients with malignant effusion had either history of
malignancy or suggestive noninvasive findings. In conclusion, a structured
approach can help to ascertain the diagnosis in patients with moderate-to-large
pericardial effusion and guide the need for pericardial drainage or sampling.
PMID- 24931287
TI - Factors influencing the attitudes of Chinese cancer patients and their families
toward the disclosure of a cancer diagnosis.
AB - The disclosure of a cancer diagnosis to patients has been a core topic in
oncology departments. Previous studies have demonstrated that Chinese cancer
patients and their families differ in their attitudes toward cancer diagnosis
disclosure. However, the influencing factors regarding their different attitudes
remain unknown. In the present study, a questionnaire was delivered to 266 cancer
patients and 266 matched family members. The results showed that cancer patients
were more likely to desire to be informed of their condition than family members
(85 vs. 18%, P < 0.01). The patients' age had a significant influence on their
attitudes (P < 0.01), while the family members' gender, profession, educational
level, and their relationship with the patients could significantly affect their
attitudes (all P < 0.05). Further multivariate analyses indicated that a family
member's gender (odds ratio (OR) = 2.928, 95% confidence interval (CI) 1.379
6.213, P = 0.005), profession (OR = 2.814, 95% CI 1.548-5.119, P = 0.001), and
educational level (OR = 0.105, 95% CI 0.053-0.211, P < 0.001) remained
significant variables. Due to a lower educational level, only 74% of families
knew about chemotherapy, and 90% of families were not familiar with molecular
targeted therapy. However, 78% of patients expected to be cured of their cancer,
16.5% expected to reduce the severity of their condition, and 4.9% expected to
lengthen their lives. Therefore, physicians have a responsibility to
appropriately provide knowledge regarding cancer to the patients' families if
their educational level is lower and if they have no knowledge of recent
treatments, which may improve their acceptability of a cancer diagnosis for
patients.
PMID- 24931289
TI - Frequency of depression and anxiety before and after insertion of a continuous
flow left ventricular assist device.
AB - Our objective was to investigate the course of depression and anxiety symptoms in
patients treated with continuous flow (CF) left ventricular assist devices
(LVADs). Depression and anxiety are associated with poor outcomes in patients
with heart failure, yet few studies have examined such symptoms in patients with
CF-LVADs. Depression and anxiety were measured as part of routine clinical care
in patients who received a CF-LVAD at our institution from October 2009 to April
2012. Sixty-six patients completed the Patient Health Questionnaire-9, and 48 of
66 patients also completed the Generalized Anxiety Disorder Questionnaire-7.
Nineteen of 66 patients completed measures before implantation. Patients who
completed measures before and after implantation demonstrated significant
improvements in depression and anxiety scores. All patients showed significant
improvements in depression and anxiety scores from implantation to the first time
point after implantation. Pairwise mean comparisons between time intervals after
implantation were not significant. In conclusion, depression and anxiety scores
improve after CF-LVAD implantation and remain stable up to 1 year. The
improvement does not depend on baseline psychotropic medication use and may be
related to improved physical health.
PMID- 24931290
TI - Prevalence of arrhythmias during exercise stress testing in patients with
congenital heart disease and severe right ventricular conduit dysfunction.
AB - The utility of cardiopulmonary exercise testing (CPET) to define the risks of
arrhythmia and sudden death in postoperative patients with congenital heart
disease (CHD) remains uncertain. As part of the US Melody valve trial,
prospective standardized CPET, along with echocardiography, cardiac magnetic
resonance imaging, and cardiac catheterization, were performed in 170 CHD
patients with right ventricular outflow tract conduit dysfunction before Melody
valve implantation. Ventricular premature complexes (VPC) occurred in 75 patients
(44%) and were common during all phases of CPET (13% baseline, 24% exercise, and
23% recovery). Although no subjects had sustained arrhythmias, 2 had nonsustained
ventricular tachycardia and 3 had nonsustained supraventricular tachycardia
during recovery. There were no statistically significant differences between
patients with or without VPCs in echocardiographic, cardiac magnetic resonance
imaging, or catheterization measures of cardiac function. However, clinical
parameters of age, New York Heart Association functional class >=II, and >=3
cardiac surgical procedures were correlated with VPCs. Persistent ventricular
ectopy during all exercise stages was present in 11 patients (6.5%), including 3
of the 4 patients who died during follow-up. In conclusion, VPCs were common
during CPET, although they were not correlated with various measures of
hemodynamic impairment; conversely, increased age, functional class, and number
of surgeries were correlated with an increased prevalence of VPCs. CPET appears
to be of minimal risk for sustained arrhythmia provocation in CHD patients with
right ventricular outflow tract conduits and various degrees of advanced
subpulmonary ventricular dysfunction.
PMID- 24931291
TI - Relation of C-reactive protein levels to instability of untreated vulnerable
coronary plaques (from the PROSPECT Study).
AB - C-reactive protein (CRP) levels predict adverse coronary events, but it is
uncertain if they predict the burden or stability of vulnerable coronary plaques.
In the Providing Regional Observations to Study Predictors of Events in the
Coronary Tree study, 697 patients with acute coronary syndromes underwent
percutaneous coronary intervention followed by 3-vessel angiography, gray-scale
intravascular ultrasound (IVUS), and radiofrequency IVUS. Major adverse cardiac
events (MACE) during 3 years of follow-up were adjudicated to initially treated
culprit lesions or to untreated nonculprit lesions (NCLs). NCLs at greatest risk
of causing subsequent MACE had plaque burden >=70%, minimal luminal area <=4.0
mm(2), and/or thin-cap fibroatheroma morphology. Here, we examine the interaction
of high-risk NCLs with CRP levels, which were measured at presentation, 1 month,
and 6 months, then categorized at each time as normal (<3 mg/L), elevated (3 to
10 mg/L), or very elevated (>10 mg/L). We found that patients with elevated CRP
levels at any time did not have more high-risk NCLs; however, untreated high-risk
NCLs were more likely to cause subsequent MACE in patients with very elevated
compared with normal 6-month CRP levels (for thin-cap fibroatheromas, 13.8% vs
1.9%, p = 0.0003; for lesions with minimal luminal area <=4.0 mm(2), 15.6% vs
2.2%, p <0.0001). As expected, patients with very elevated 6-month CRP levels had
higher rates of subsequent NCL-related MACE (19.0% vs 7.2%, p = 0.039). In
conclusion, the higher rates of NCL-related MACE in post-acute coronary syndrome
patients with very elevated CRP levels may reflect greater instability of high
risk NCLs, rather than a larger burden of such lesions.
PMID- 24931292
TI - Ischemic stroke occurring during intercourse in young women on oral
contraceptives.
AB - Ischemic stroke occurring during intercourse in young patients is exceedingly
rare. We present 2 cases of young women taking oral contraceptives, each
presenting with an ischemic stroke. Transthoracic echocardiography revealed a
patent foramen ovale in one patient and an atrial septal defect in the other. The
most likely cause of stroke in both patients is embolic. Despite conflicting
evidence, young patients presenting with ischemic stroke and found to have a
patent foramen ovale or atrial septal defect should be considered for possible
device-based closure.
PMID- 24931293
TI - Comments on - vaginal dose point reporting in cervical cancer patients treated
with combined 2D/3D external beam radiotherapy and 2D/3D brachytherapy.
PMID- 24931294
TI - Toward a general ontology for digital forensic disciplines.
AB - Ontologies are widely used in different disciplines as a technique for
representing and reasoning about domain knowledge. However, despite the
widespread ontology-related research activities and applications in different
disciplines, the development of ontologies and ontology research activities is
still wanting in digital forensics. This paper therefore presents the case for
establishing an ontology for digital forensic disciplines. Such an ontology would
enable better categorization of the digital forensic disciplines, as well as
assist in the development of methodologies and specifications that can offer
direction in different areas of digital forensics. This includes such areas as
professional specialization, certifications, development of digital forensic
tools, curricula, and educational materials. In addition, the ontology presented
in this paper can be used, for example, to better organize the digital forensic
domain knowledge and explicitly describe the discipline's semantics in a common
way. Finally, this paper is meant to spark discussions and further research on an
internationally agreed ontological distinction of the digital forensic
disciplines. Digital forensic disciplines ontology is a novel approach toward
organizing the digital forensic domain knowledge and constitutes the main
contribution of this paper.
PMID- 24931295
TI - Evaluation of Florida physicians' knowledge and attitudes toward accessing the
state prescription drug monitoring program as a prescribing tool.
AB - OBJECTIVE: The purpose of this study is to assess Florida physicians' attitudes
and knowledge toward accessing the state's prescription drug monitoring program
(PDMP). DESIGN: Five thousand medical doctors and osteopathic physicians licensed
in Florida were randomly selected for a voluntary and anonymous 15-question self
administered survey approved by the Institutional Review Board. Surveys were
distributed through U.S. postal service mail. Likert-scale questions were used to
assess prior knowledge (1 = none to 5 = excellent) and attitudes toward accessing
the PDMP (1 = strongly disagree to 5 = strongly agree). RESULTS: The study
yielded a response rate of 7.8%, 71.5% of whom agreed or strongly agreed that the
PDMP is a useful tool. Among participants that have access and answered the PDMP
usefulness question, 94.8% agree or strongly agree that it is a useful tool.
There were 63 out of 64 physicians (98.4%) who conducted 25 or more searches who
agreed or strongly agreed that the PDMP is a useful tool for monitoring patients'
controlled substance histories. There were 72.5% of participants with access that
answered the "doctor shopping" question who agreed that "doctor shopping" will
decrease. Among the 64 most frequent PDMP users, 69.4% agreed or strongly agreed
that they have prescribed fewer controlled substances after accessing the PDMP.
CONCLUSIONS: The study revealed that a majority of participants believe that the
PDMP is a useful tool for monitoring patients' controlled substance histories.
More continuing education programs should be provided to Florida physicians to
enhance their knowledge regarding PDMPs.
PMID- 24931296
TI - Effect of orexin A on the release of GnRH-stimulated gonadotrophins from cultured
pituitary cells of immature and mature female rats.
AB - Orexin A (OxA), also known as hypocretin 1, is a regulatory neuropeptide involved
in the control of various autonomic and neuroendocrine functions. It appears to
have a significant impact on the regulation of trophic hormones secretion by
influencing the hypothalamus and the pituitary. Orexin A acts through two types
of receptor found in the pituitary. This suggests the possibility of direct
action of OxA at the adenohypophysis level. The aim of this study was to
investigate the direct effect of OxA on GnRH (gonadotrophin-releasing hormone)
stimulated LH and FSH secretion from cultured pituitary cells of sexually
immature and mature female rats. Anterior pituitary cells obtained from immature
and mature female rats (ovariectomized, and ovariectomized and treated with
estradiol) were incubated with 10(-10)M or 10(-7)M orexin A for 1 hour and 4h and
the effect on GnRH-stimulated (10(-9)M or 10(-6)M) LH and FSH release was
examined. The concentrations of secreted gonadotrophins in the culture media were
determined by RIA methods. Orexin A significantly inhibited GnRH-stimulated FSH
release from pituitary cells isolated from immature female rats, whereas in cells
of mature ovariectomized animals, the effect of OxA was dependent on the
stimulatory dose of GnRH. When the cells were stimulated with a low dose of GnRH,
orexin A inhibited the secretion of gonadotrophins, but when a high dose of GnRH
was used, orexin A increased mainly the release of LH. In cultured pituitary
cells from ovariectomized, estrogenized mature rats, orexin A inhibited the
secretion of LH if the cells were stimulated with a high dose of GnRH. In
conclusion, the results of this study revealed that orexin A may modify the
sensitivity of gonadotrophic cells to GnRH, and its effect depends on the
maturity and estrogen status of the rats from which the cells are isolated.
PMID- 24931297
TI - Biochemical and clinical features of hereditary hyperprolinemia.
AB - There are two classifications of hereditary hyperprolinemia: type I (HPI) and
type II (HPII). Each type is caused by an autosomal recessive inborn error of the
proline metabolic pathway. HPI is caused by an abnormality in the proline
oxidizing enzyme (POX). HPII is caused by a deficiency of Delta-1-pyrroline-5
carboxylate (P5C) dehydrogenase (P5CDh). The clinical features of HPI are
unclear. Nephropathy, uncontrolled seizures, mental retardation or schizophrenia
have been reported in HPI, but a benign phenotype without neurological problems
has also been reported. The clinical features of HPII are also unclear. In
addition, the precise incidences of HPI and HPII are unknown. Only two cases of
HPI and one case of HPII have been identified in Japan through a questionnaire
survey and by a study of previous reports. This suggests that hyperprolinemia is
a very rare disease in Japan, consistent with earlier reports in Western
countries. The one case of HPII found in Japan was diagnosed in an individual
with influenza-associated encephalopathy. This suggests that HPII might reduce
the threshold for convulsions, thereby increasing the sensitivity of individuals
with influenza-associated encephalopathy. The current study presents diagnostic
criteria for HPI and HPII, based on plasma proline level, with or without
measurements of urinary P5C. In the future, screening for HPI and HPII in healthy
individuals, or patients with relatively common diseases such as developmental
disabilities, epilepsy, schizophrenia or behavioral problems will be important.
PMID- 24931298
TI - Characterisation of the antidepressant properties of nitric oxide synthase
inhibitors in the olfactory bulbectomised rat model of depression.
AB - Nitric oxide synthase (NOS) inhibitors possess antidepressant-like properties in
preclinical tests and in the current investigation the brain penetrant NOS
inhibitor N(omega)-nitro-L-arginine (l-NA) and the preferential inhibitor of
neuronal NOS (nNOS) 1-(2-trifluoromethylphenyl) imidazole (TRIM) were assessed in
the olfactory bulbectomised (OB) rat, a well-established animal model of
depression. Magnetic resonance imaging (MRI) was employed to assess regional
brain volumes, blood perfusion and T1 and T2 relaxometry times both with and
without drug treatment. l-NA (10 mg/kg, once daily p.o. for 10 days) attenuated
OB-related hyperactivity in the "open field" test in a comparable fashion to the
tricyclic antidepressant imipramine (20 mg/kg, once daily p.o. for 14 days)
indicative of an antidepressant-like response in the model. Treatment with TRIM
(50 mg/kg, once daily s.c.) attenuated OB-related hyperactivity following 7 days
of treatment when compared to vehicle treated controls. OB is associated with
enlarged ventricular volume, increased periventicular perfusion and a decrease in
T2 relaxation times in cortical and hippocampal regions, with enhanced perfusion
and reduced T2 times attenuated by L-NA treatment. L-NA treatment was also
associated with an increase in T1 relaxation times in limbic and cortical regions
and found to reduce resting state hippocampal blood perfusion in OB animals.
Behavioural observations are consistent with an antidepressant action of NOS
inhibitors where associated changes in perfusion and T2 relaxation times may be
related to the antidepressant action of L-NA in the model.
PMID- 24931299
TI - Bos indicus introgression into (peri-)alpine cattle breeds - evidence from the
analysis of bovine whey protein variants.
AB - The major bovine whey proteins, alpha-lactalbumin (alpha-LA) and beta
lactoglobulin (beta-LG), exhibit breed-specific genetic variation. The aim of
this study was to identify possible new protein variants and determine the
distribution of variants across a variety of 18 taurine and indicine cattle
breeds applying a DNA-based sequencing approach. To this end, the open reading
frames of the respective genes (LALBA and LGB) were sequenced in 476 animals.
Within the LALBA gene, a previously unknown synonymous and a previously
undesignated non-synonymous nucleotide exchange were identified. Furthermore, two
known alpha-LA variants (A and B) and four known beta-LG variants (A, B, C and W)
were determined. The occurrence of typical indicine variants in some taurine
cattle breeds, such as Suisse Eringer, German Hinterwalder and Hungarian Grey
Steppe, further supports the hypothesis of ancient Bos indicus introgression into
(peri-)alpine cattle breeds.
PMID- 24931300
TI - The Health and Functioning ICF-60: development and psychometric properties.
AB - BACKGROUND: This paper describes the development and psychometric properties of
the Health and Functioning ICF-60 (HF-ICF-60) measure, based on the World Health
Organization (WHO) 'International Classification of Functioning, Disability and
Health: ICF' (2001). The aims of the present study were to test psychometric
properties of the HF-ICF-60, developed as a measure that would be responsive to
change in functioning through changes in health and nutritional status, as a
prospective measure to monitor health and nutritional status of populations and
to explore the relationship of the HF-ICF-60 with quality of life measures such
as the World Health Organization WHOQOL-BREF quality of life assessment in
relation to non-communicable diseases. METHODS: The HF-ICF-60 measure consists of
60 items selected from the ICF by an expert panel, which included 18 items that
cover Body Functions, 21 items that cover Activities and Participation, rated on
five-point scales, and 21 items that cover Environmental Factors (seven items
cover Individual Environmental Factors and 14 items cover Societal Environmental
Factors), rated on nine-point scales. The HF-ICF-60 measure was administered to
the Russian nationally representative sample within the Russian National
Population Quality of Life, Health and Nutrition Survey, in 2004 (n = 9807) and
2005 (n = 9560), as part of the two waves of the Russian Longitudinal Monitoring
Survey (RLMS). The statistical analyses were carried out with the use of both
classical and modern psychometric methods, such as factor analysis, and based on
Item Response Theory, respectively. RESULTS: The HF-ICF-60 questionnaire is a new
measure derived directly from the ICF and covers the ICF components as follows:
Body Functions, Activities and Participation, and Environmental Factors
(Individual Environmental Factors and Societal Environmental Factors). The
results from the factor analyses (both Exploratory Factor Analyses and
Confirmatory Factor Analyses) show good support for the proposed structure
together with an overall higher-order factor for each scale of the measure. The
measure has good reliability and validity, and sensitivity to change in the
health and nutritional status of respondents over time. Normative values were
developed for the Russian adult population. CONCLUSIONS: The HF-ICF-60 has shown
good psychometric properties in the two waves of the nationally representative
RLMS, which provided considerable support to using the HF-ICF-60 data as the
normative health and functioning values for the Russian population. Similarly,
the administration of the WHOQOL-BREF in the same two waves of the nationally
representative RLMS has allowed the normative quality of life values for the
Russian population to be obtained. Therefore, the objective assessment of health
and functioning of the HF-ICF-60 could be mapped onto the subjective evaluation
of quality of life of the WHOQOL-BREF to increase the potential usefulness of the
surveys in relation to non-communicable diseases. KEY PRACTITIONER MESSAGE: The
HF-ICF-60 offers a new perspective in measuring change in functioning through
changes in lifestyle and diet. The HF-ICF-60 can be combined with the WHOQOL-BREF
to map the objective assessment of health and functioning onto the subjective
evaluation of quality of life. Combined use of the HF-ICF-60 and the WHOQOL-BREF
can be especially useful for national and global monitoring and surveillance of
implementation of measures to reduce risk factors of non-communicable diseases
and to promote healthy lifestyles and healthy diets.
PMID- 24931302
TI - Ageing populations and changing worlds of work.
AB - Population ageing has reshaped the notion of retirement. The changes carry
important implications for aspirations to extend working life. Cultural
expectations regarding work and retirement must adapt to the reality posed by
longer lives. The modern world is characterised by perpetual - and sometime rapid
- change. Transformation throughout the second half of the 20th century brought
about substantial shifts in the health and longevity of people in societies
across the world. Since the beginning of the 21st century, the impacts of
population ageing have gathered greater awareness in public consciousness and
within the policy arena. Notions of old age, retirement, and later life have been
fundamentally transformed, presenting stark challenges alongside novel
opportunities for individuals, communities, and governments. Many of the topics
of interest with respect to ageing populations are themselves the result of
shifts that were unforeseen.
PMID- 24931301
TI - Photodegradable hydrogels for capture, detection, and release of live cells.
AB - Cells may be captured and released using a photodegradable hydrogel (photogel)
functionalized with antibodies. Photogel substrates were used to first isolate
human CD4 or CD8 T-cells from a heterogeneous cell suspension and then to release
desired cells or groups of cells by UV-induced photodegradation. Flow cytometry
analysis of the retrieved cells revealed approximately 95% purity of CD4 and CD8
T-cells, suggesting that this substrate had excellent specificity. To demonstrate
the possibility of sorting cells according to their function, photogel substrates
that were functionalized with anti-CD4 and anti-TNF-alpha antibodies were
prepared. Single cells captured and stimulated on such substrates were identified
by the fluorescence "halo" after immunofluorescent staining and could be
retrieved by site-specific exposure to UV light through a microscope objective.
Overall, it was demonstrated that functional photodegradable hydrogels enable the
capture, analysis, and sorting of live cells.
PMID- 24931303
TI - Self-compassion weakens the association between hot flushes and night sweats and
daily life functioning and depression.
AB - OBJECTIVES: Some women find hot flushes and night sweats (HFNS) to interfere more
in daily life and mood than others. Psychological resources may help to explain
these individual differences. The aim of this study was to investigate the role
of self-compassion, defined as healthy way of relating toward the self when
dealing with difficult experiences, as a potential moderator of the relationship
between HFNS and daily life activities, which in turn influences symptoms of
depression. STUDY DESIGN: This was a cross-sectional study using questionnaire
data from 206 women aged 40-60 who were currently experiencing hot flushes and/or
night sweats. Path analysis was used to model relationships among menopausal
factors (HFNS frequency and daily interference ratings), self-compassion and
mood. MAIN OUTCOME MEASURE: Hot flush interference in daily activities and
depressive symptoms. RESULTS: On average, women experienced 4.02 HFNS per day,
and HFNS frequency was moderately correlated with interference ratings (r=0.38).
In the path analytic model, self-compassion made significant direct contribution
to hot flush interference ratings (beta=-0.37) and symptoms of depression (beta=
0.42), and higher self-compassion was associated with lower interference and
depressive symptoms. Self-compassion also moderated the relationship between HFNS
frequency and hot flush interference. Higher self-compassion was associated with
weaker effects of HFNS frequency on daily interference. CONCLUSIONS: Self
compassion may weaken the association between HFNS and daily life functioning,
which in turn, could lead to less HFNS-related mood problems. These findings
imply that self-compassion may be a resilience factor to help women manage hot
flushes and night sweats.
PMID- 24931304
TI - Depression and dementia: cause, consequence or coincidence?
AB - The relationship between depression and dementia is complex and still not well
understood. A number of different views exist regarding how the two conditions
are linked as well as the underlying neurobiological mechanisms at work. This
narrative review examined longitudinal and cross sectional studies in the
existing literature and determined the evidence supporting depression being a
risk factor, a prodrome, a consequence, or an independent comorbidity in
dementia. Overall there is convincing evidence to support both the notion that
early life depression can act as a risk factor for later life dementia, and that
later life depression can be seen as a prodrome to dementia. There is also
evidence to support both conditions showing similar neurobiological changes,
particularly white matter disease, either indicating shared risk factors or a
shared pattern of neuronal damage. These findings highlight the need to examine
if effective treatment of depressive episodes has any effect in reducing the
prevalence of dementia, as well as clinicians being vigilant for late life
depression indicating the incipient development of dementia, and therefore
carefully following up these individuals for future cognitive impairment.
PMID- 24931305
TI - Comparison of three modifications of fused-silica capillaries and untreated
capillaries for protein profiling of maize extracts by capillary electrophoresis.
AB - In this work, capillary electrophoresis was applied to protein profiling of
fractionated extracts of maize. A comparative study on the application of
uncoated fused-silica capillaries and capillaries modified with
hydroxypropylmethylcellulose, omega-iodoalkylammonium salt and a commercially
available neutral capillary covalently coated with polyacrylamide is presented.
The coating stability, background electrolyte composition, and separation
efficiency were investigated. It was found that for zeins separation, the most
stable and efficient was the capillary coated with polyacrylamide. Finally, the
usefulness of these methods was studied for the differentiation of zein fraction
in transgenic and nontransgenic maize. Zeins extracted from maize standards
containing 0 and 5% m/m genetic modification were successfully separated, but
slight differences were observed in terms of the zein content. Albumin and
globulin fractions were analyzed with the use of unmodified fused-silica
capillary with borate buffer pH 9 and the capillary coated with polyacrylamide
with phosphate buffer pH 3. In the albumin fraction, additional peaks were found
in genetically modified samples.
PMID- 24931307
TI - Genetic and metabolic analysis of the carbofuran catabolic pathway in
Novosphingobium sp. KN65.2.
AB - The widespread agricultural application of carbofuran and concomitant
contamination of surface and ground waters has raised health concerns due to the
reported toxic effects of this insecticide and its degradation products. Most
bacteria that degrade carbofuran only perform partial degradation involving
carbamate hydrolysis without breakdown of the resulting phenolic metabolite. The
capacity to mineralize carbofuran beyond the benzofuran ring has been reported
for some bacterial strains, especially sphingomonads, and some common
metabolites, including carbofuran phenol, were identified. In the current study,
the catabolism of carbofuran by Novosphingobium sp. KN65.2 (LMG 28221), a strain
isolated from a carbofuran-exposed Vietnamese soil and utilizing the compound as
a sole carbon and nitrogen source, was studied. Several KN65.2 plasposon mutants
with diminished or abolished capacity to degrade and mineralize carbofuran were
generated and characterized. Metabolic profiling of representative mutants
revealed new metabolic intermediates, in addition to the initial hydrolysis
product carbofuran phenol. The promiscuous carbofuran-hydrolyzing enzyme Mcd,
which is present in several bacteria lacking carbofuran ring mineralization
capacity, is not encoded by the Novosphingobium sp. KN65.2 genome. An alternative
hydrolase gene required for this step was not identified, but the constitutively
expressed genes of the unique cfd operon, including the oxygenase genes cfdC and
cfdE, could be linked to further degradation of the phenolic metabolite. A third
involved oxygenase gene, cfdI, and the transporter gene cftA, encoding a TonB
dependent outer membrane receptor with potential regulatory function, are located
outside the cfd cluster. This study has revealed the first dedicated carbofuran
catabolic genes and provides insight in the early steps of benzofuran ring
degradation.
PMID- 24931308
TI - Proteomics of arsenic stress in the gram-positive organism Exiguobacterium sp. PS
NCIM 5463.
AB - The general responses of microorganisms to environmental onslaughts are modulated
by altering the gene expression pattern to reduce damage in the cell and produce
compensating stress responses. The present study attempts to unravel the response
of the Gram-positive Exiguobacterium sp. PS NCIM 5463 in the presence of
[As(III)] and arsenate [As(V)] using comparative proteomics via two-dimension gel
electrophoresis (2-DE) coupled with identification of proteins using matrix
assisted laser desorption/ionisation (MALDI-TOF/MALDI-TOF/TOF). Out of 926
Coomassie-stained proteins, 45 were differentially expressed (p < 0.05).
Considering the resolution and abundance level, 24 spots (peptides) were
subjected to MALDI analysis, identified and categorised into several functional
categories, viz., nitrogen metabolism, energy and stress regulators, carbohydrate
metabolism, protein synthesis components and others. A functional role of each
protein is discussed in Exiguobacterium sp. PS 5463 under arsenic stress and
validated at their transcript level using a quantitative real-time polymerase
chain reaction. Unlike previous reports that unravel the responses toward arsenic
stress in Gram-negative organisms, the present study identified new proteins
under arsenic stress in a Gram-positive organism, Exiguobacterium sp. PS NCIM
5463, which could elucidate the physiology of organisms under arsenic stress.
PMID- 24931309
TI - Genes commonly involved in acid tolerance are not overexpressed in the plant
microsymbiont Mesorhizobium loti MAFF303099 upon acidic shock.
AB - Rhizobia are legume bacterial symbionts that fix nitrogen in the root nodules of
plants. The aim of the present study was to investigate the global
transcriptional response of rhizobia upon an acidic shock. Changes in the
transcriptome of cells of Mesorhizobium loti strain MAFF303099 upon an acidic
shock at pH 3 for 30 min were analysed. From a total of 7,231 protein-coding
genes, 433 were found to be differentially expressed upon acidic shock, of which
322 were overexpressed. Although most of the overexpressed genes encode
hypothetical proteins, the two most represented Cluster of Orthologous Group
(COG) categories are 'defence mechanisms' and 'transcription'. Differentially
expressed genes are dispersed throughout the chromosome, with the exception of
the symbiosis island, where most genes remain unchanged. A significant number of
transcriptional regulators and ABC transporter genes are overexpressed. No
overexpression of genes typically associated to acid tolerance in rhizobia, such
as act and exo genes, was detected. Overall, this study suggests a
transcriptional response to acidic shock of M. loti distinct from other rhizobia.
Additional studies are in course to explore the role of some of the highly
overexpressed genes and to further elucidate the molecular bases of acid stress
response.
PMID- 24931310
TI - Calcineurin modulates growth, stress tolerance, and virulence in Metarhizium
acridum and its regulatory network.
AB - Calcineurin is highly conserved and regulates growth, conidiation, stress
response, and pathogenicity in fungi. However, the functions of calcineurin and
its regulatory network in entomopathogenic fungi are not clear. In this study,
calcineurin was functionally analyzed by deleting the catalytic subunit MaCnA
from the entomopathogenic fungus Metarhizium acridum. The DeltaMaCnA mutant had
aberrant, compact colonies and blunt, shortened hyphae. Conidia production was
reduced, and phialide differentiation into conidiogenous cells was impaired in
the DeltaMaCnA mutant. DeltaMaCnA had thinner cell walls and greatly reduced
chitin and beta-1,3-glucan content compared to the wild type. The DeltaMaCnA
mutant was more tolerant to cell wall-perturbing agents and elevated or decreased
exogenous calcium but less tolerant to heat, ultraviolet irradiation, and
caspofungin than the wild type. Bioassays showed that DeltaMaCnA had decreased
virulence. Digital gene expression profiling revealed that genes involved in cell
wall construction, conidiation, stress tolerance, cell cycle control, and calcium
transport were downregulated in DeltaMaCnA. Calcineurin affected some components
of small G proteins, mitogen-activated protein kinase, and cyclic AMP (cAMP)
protein kinase A signaling pathways in M. acridum. In conclusion, our results
gave a global survey of the genes downstream of calcineurin in M. acridum,
providing molecular explanations for the changes in phenotypes observed when
calcineurin was deleted.
PMID- 24931311
TI - GlnR-mediated regulation of nitrogen metabolism in the actinomycete
Saccharopolyspora erythraea.
AB - Nitrogen source sensing, uptake, and assimilation are central for growth and
development of microorganisms which requires the participation of a global
control of nitrogen metabolism-associated genes at the transcriptional level. In
soil-dwelling antibiotic-producing actinomycetes, this role is played by GlnR, an
OmpR family regulator. In this work, we demonstrate that SACE_7101 is the
ortholog of actinomycetes' GlnR global regulators in the erythromycin producer
Saccharopolyspora erythraea. Indeed, the chromosomal deletion of SACE_7101
severely affects the viability of S. erythraea when inoculated in minimal media
supplemented with NaNO3, NaNO2, NH4Cl, glutamine, or glutamate as sole nitrogen
source. Combination of in silico prediction of cis-acting elements, subsequent in
vitro (through gel shift assays) and in vivo (real-time reverse transcription
polymerase chain reaction) validations of the predicted target genes revealed a
very large GlnR regulon aimed at adapting the nitrogen metabolism of S.
erythraea. Indeed, enzymes/proteins involved in (i) uptake and assimilation of
ammonium, (ii) transport and utilization of urea, (iii) nitrite/nitrate, (iv)
glutamate/glutamine, (v) arginine metabolism, (vi) nitric oxide biosynthesis, and
(vii) signal transduction associated with the nitrogen source supplied have at
least one paralog gene which expression is controlled by GlnR. Our work
highlights a GlnR-binding site consensus sequence (t/gna/cAC-n6-GaAAc) which is
similar although not identical to the consensus sequences proposed for other
actinomycetes. Finally, we discuss the distinct and common features of the GlnR
mediated transcriptional control of nitrogen metabolism between S. erythraea and
the model organism Streptomyces coelicolor.
PMID- 24931312
TI - The combination of microneedles with electroporation and sonophoresis to enhance
hydrophilic macromolecule skin penetration.
AB - The objective of the present work was to investigate the effects of 3
combinatorial techniques (microneedle (MN), electroporation (EP), and
sonophoresis (SN)) on the in vitro skin permeation of the hydrophilic
macromolecular compound fluorescein isothiocyanate-dextran (FD-4; molecular
weight (MW) 4.4 kDa). Assessment of the in vitro skin permeation of FD-4 was
performed in porcine skin. MN, EP, and SN were used as physical enhancement
methods, given the potential of their various mechanisms. The total cumulative
amount of FD-4 that permeated through treated skin using 2 or 3 combined methods,
i.e., MN+EP, MN+SN, EP+SN, and MN+EP+SN, was investigated. Microconduits created
by MN alone and in combination with the other techniques were observed under
confocal laser scanning microscopy (CLSM). The histology of the treated skin was
examined. In vitro skin permeation experiments revealed that the total cumulative
amount of FD-4 that permeated porcine skin using 3 combined techniques (MN+EP+SN)
was greater than the amount observed using a single method or 2 combinations
(MN+EP, MN+SN, SN+EP). The histological images indicate no noticeable damage in
the skin treated with all of the enhancement methods. These results suggest that
MN+EP+SN may serve as a potentially effective combination strategy to
transdermally deliver various hydrophilic macromolecules without causing
structural alterations or skin damage.
PMID- 24931313
TI - Creation of cisplatin-adsorbing regenerative-medicine gelatin sponge and its
Cisplatin release pattern.
AB - The purpose of this study was to clarify the adsorption of cisplatin on
regenerative-medicine (RM) gelatin sponge, and to verify the relationship between
the cisplatin release pattern of cisplatin-adsorbed RM gelatin sponge and the
dissolving time of RM gelatin sponge. We tested various RM gelatin sponges, one
with a molecular weight of 50000 Daltons (RM-50 gelatin sponge) that is 100%
saline soluble at 24 h, RM-50-120 (heated at 120 degrees C) that is 54.3% saline
soluble at 24 h, and RM-50-140 (heated at 140 degrees C) that is 15.8% saline
soluble at 24 h. We investigated the production of cisplatin-adsorbed RM gelatin
sponge and measured free cisplatin released from cisplatin-adsorbed RM gelatin
sponge. There was no significant difference in the weight of adsorbed cisplatin
among the RM-50, RM-50-120, and RM-50-140. The results mean that cisplatin
adsorbs onto RM gelatin sponge irrespective of heating temperature. The average
adsorbed weight of cisplatin per gram of RM gelatin sponge was 29.3 mg, which was
approximately five times more than that per g previously reported for Gelpart
(non-soluble gelatin sponge, clinically available). Cisplatin release in the RM
50 gelatin was the most rapid at only 1 h after incubation; it was released
gradually and increasingly in the RM-50-120 gelatin, and released slowly in the
RM-50-140 gelatin for 24 h incubation. Cisplatin-adsorbed RM gelatin sponge
released cisplatin proportional to the dissolving time of RM gelatin sponge,
indicating that the cisplatin release time can be controlled by heating for
sterilization of RM gelatin sponge.
PMID- 24931315
TI - Socioeconomic evaluation of well-characterized allergy to staple foods in adults.
AB - BACKGROUND: The aim of the present study was to evaluate if total, direct,
indirect, and intangible costs differ between a cohort of adults with well
characterized allergy to staple foods ('cases') and controls. METHODS: Swedish
adults with objectively diagnosed food allergy to cow's milk, hen's egg, and/or
wheat were recruited at an outpatient allergy clinic. Controls age- and sex
matched to cases were recruited from the same geographic area. For assessing the
household costs of food allergy, a disease-specific socioeconomic questionnaire,
developed within EuroPrevall, was utilized. RESULTS: Overall annual total costs
at the household level were significantly higher among adults with food allergy
compared with controls (the difference amounted to 8164 ?), whereas direct costs
did not differ between cases and controls. However, household healthcare costs
and costs for medicines were significantly higher for cases vs controls.
Furthermore, indirect costs were significantly higher for households with food
allergic adults vs households without food-allergic adults. Specifically, more
time was spent on performing domestic tasks due to a family member's food-allergy
related illness, as well as shopping and preparing food, and seeking food-allergy
related information. Presence of food allergy also affected intangible costs.
Adults with food allergy experienced overall lower health status compared with
controls. CONCLUSIONS: Swedish adults with allergy to staple foods have higher
total costs determined as direct, indirect, and intangible costs using the
disease-specific socioeconomic questionnaire. Thus, total costs were 8164 ?
higher per year in households with at least one adult allergic to staple foods
compared with controls.
PMID- 24931314
TI - Cost comparison analysis of open versus laparoscopic distal pancreatectomy.
AB - BACKGROUND: In comparison with open distal pancreatectomy (ODP), laparoscopic
distal pancreatectomy (LDP) is associated with fewer complications and shorter
hospital stays, but comparative cost data for the two approaches are limited.
METHODS: Records of all distal pancreatectomies carried out from January 2009 to
June 2013 were reviewed and stratified according to operative complexity. Patient
factors and outcomes were recorded. Total variable costs (TVCs) were tabulated
for each patient, and stratified by category [e.g. 'floor', 'operating room'
(OR), 'radiology']. Costs for index admissions and 30-day readmissions were
compared between LDP and ODP groups. RESULTS: Of 153 procedures, 115 (70 LDP, 45
ODP) were selected for analysis. The TVC of the index admission was US$3420 less
per patient in the LDP group (US$10 480 versus US$13 900; P = 0.06). Although OR
costs were significantly greater in the LDP cohort (US$5756 versus US$4900; P =
0.02), the shorter average hospitalization in the LDP group (5.2 days versus 7.7
days; P = 0.01) resulted in a lower overall cost. The total cost of index
hospitalization combined with readmission was significantly lower in the LDP
cohort (US$11 106 versus US$14 803; P = 0.05). CONCLUSIONS: In appropriately
selected patients, LDP is more cost-effective than ODP. The increased OR cost
associated with LDP is offset by the shorter hospitalization. These data clarify
targets for further cost reductions.
PMID- 24931317
TI - Missing data sensitivity analysis for recurrent event data using controlled
imputation.
AB - Statistical analyses of recurrent event data have typically been based on the
missing at random assumption. One implication of this is that, if data are
collected only when patients are on their randomized treatment, the resulting de
jure estimator of treatment effect corresponds to the situation in which the
patients adhere to this regime throughout the study. For confirmatory analysis of
clinical trials, sensitivity analyses are required to investigate alternative de
facto estimands that depart from this assumption. Recent publications have
described the use of multiple imputation methods based on pattern mixture models
for continuous outcomes, where imputation for the missing data for one treatment
arm (e.g. the active arm) is based on the statistical behaviour of outcomes in
another arm (e.g. the placebo arm). This has been referred to as controlled
imputation or reference-based imputation. In this paper, we use the negative
multinomial distribution to apply this approach to analyses of recurrent events
and other similar outcomes. The methods are illustrated by a trial in severe
asthma where the primary endpoint was rate of exacerbations and the primary
analysis was based on the negative binomial model.
PMID- 24931316
TI - Incremental value of three-dimensional echocardiography over the two-dimensional
technique in the assessment of combined sinus of valsalva rupture into the right
ventricle and adjacent perimembranous ventricular septal defect.
AB - We describe the incremental value of live/real time three-dimensional (3D)
echocardiography over the 2D technique in the assessment of ruptured right sinus
of Valsalva into the right ventricle associated with an adjacent perimembranous
ventricular septal defect in an elderly patient.
PMID- 24931318
TI - Beneficial cardiovascular remodeling following arterio-venous fistula ligation
post-renal transplantation: a longitudinal magnetic resonance imaging study.
AB - Despite improvements in survival following renal transplantation, high rates of
cardiovascular morbidity and mortality remain. Persistence of arterio-venous
fistulae (AVF) may contribute to maladaptive cardiovascular remodeling and poor
health outcomes in this cohort. Utilizing recent advances in cardiovascular
magnetic resonance imaging (CMR), we prospectively evaluated alterations in
cardiac and vascular structure and function six months after elective ligation of
AVF, following stable, successful renal transplantation. Eighteen subjects
underwent CMR evaluation of cardiac structure and function, aortic distensibility
and endothelial function prior to AVF ligation and at six months. At follow-up,
while left ventricular ejection fraction was unchanged, mean cardiac output
decreased by 15.6% (9.6 +/- 2.9 L/min vs. 8.1 +/- 2.3 L/min, p = 0.004) and left
ventricular mass had regressed by 10% (166 +/- 56 g vs. 149 +/- 51 g, p =
0.0001). Significant improvements were also noted in right ventricular and
biatrial structure and function. Aortic distensibility was unchanged at follow
up, but endothelial dependent vasodilatation had improved (2.5 +/- 6.5% vs. 8.0
+/- 5.9%, p = 0.04). Elective AVF ligation following successful renal
transplantation is associated with improvements in left ventricular mass, right
ventricular, and biatrial structure and function. Further randomized studies are
warranted to determine the potential clinical improvement following AVF ligation
in this cohort.
PMID- 24931320
TI - [Congenital Mullerian anomalies and delivery: analysis of 304 cases between 2000
and 2010 at the University Hospital of Lille].
AB - OBJECTIVES: This study aimed to determine the conditions and way of delivery in
women with congenital uterine malformations. PATIENTS AND METHODS: This
retrospective study included a cohort of patients with malformed uterus giving
birth in Lille University Hospital between 2000 and 2010, features such as way of
delivery, labour, foetal presentation were compared with those patients without
uterine malformation. RESULTS: Patients with uterine anomalies had significantly
higher rates of breech presentation (36.51% vs 4.52%; P<0.01) and cesarean
section (55.26% vs 18.70%; P<0.01) compared to the group of women with a normal
uterus. DISCUSSION AND CONCLUSION: Our results suggest that women with uterine
malformation should be informed that they have an increased risk of caesarean
section due to more frequent malpresentations.
PMID- 24931319
TI - Demonstration of fast and accurate discrimination and quantification of
chemically similar species utilizing a single cross-selective chemiresistor.
AB - Performance characteristics of gas-phase microsensors will determine the ultimate
utility of these devices for a wide range of chemical monitoring applications.
Commonly employed chemiresistor elements are quite sensitive to selected
analytes, and relatively new methods have increased the selectivity to specific
compounds, even in the presence of interfering species. Here, we have focused on
determining whether purposefully driven temperature modulation can produce faster
sensor-response characteristics, which could enable measurements for a broader
range of applications involving dynamic compositional analysis. We investigated
the response speed of a single chemiresitive In2O3 microhotplate sensor to four
analytes (methanol, ethanol, acetone, 2-butanone) by systematically varying the
oscillating frequency (semicycle periods of 20-120 ms) of a bilevel temperature
cycle applied to the sensing element. It was determined that the fastest response
(~ 9 s), as indicated by a 98% signal-change metric, occurred for a period of 30
ms and that responses under such modulation were dramatically faster than for
isothermal operation of the same device (>300 s). Rapid modulation between 150
and 450 degrees C exerts kinetic control over transient processes, including
adsorption, desorption, diffusion, and reaction phenomena, which are important
for charge transfer occurring in transduction processes and the observed response
times. We also demonstrate that the fastest operation is accompanied by excellent
discrimination within a challenging 16-category recognition problem (consisting
of the four analytes at four separate concentrations). This critical finding
demonstrates that both speed and high discriminatory capabilities can be realized
through temperature modulation.
PMID- 24931321
TI - [Premature delivery: a traumatic birth? Posttraumatic stress symptoms and
associated features].
AB - OBJECTIVES: While researches focusing on posttraumatic stress disorder (PTSD)
symptoms following childbirth tend to develop, few studies have been conducted on
French samples. The aim of the current study was to explore the prevalence rate
of women developing postpartum PTSD following preterm birth and highlighting
associated features. PATIENTS AND METHOD: In the 4weeks following the preterm
infant's hospital discharge, a sample of 110 French women (mean age [SD]=29.5
[4.3]years) who delivered prematurely completed questionnaires assessing PTSD
symptoms (Impact of Event Scale-Revised) postpartum depressive symptomatology
(Edinburgh Postnatal Depression Scale), quality of marital relationship (Dyadic
Adjustment Scale) and partner support (Multidimensional Scale of Perceived Social
Support). Sociodemographic and gynecologic data were also gathered. RESULTS:
Thirty percent of our sample reported a score on the IES-R highlighting a
probable posttraumatic stress disorder. Increased postpartum depressive symptoms
(beta=0.47, P<0.05), having undergone a caesarian section (beta=0.24, P<0.05),
and prior traumatic event (beta=0.20, P<0.05), were independently associated with
the intensity of PTSD symptoms. DISCUSSION AND CONCLUSION: Given the traumatic
impact of preterm birth on mothers, further studies focusing on the trauma of
premature delivery are warranted.
PMID- 24931324
TI - Oxygen supplementation in the neonatal period: changing the paradigm.
AB - Oxygen is one of the most widely used drugs in the neonatal period. A lack of
knowledge of oxygen metabolism and toxicity has prompted guidelines to fluctuate
from liberal use to treat respiratory distress to restriction to avoid
retinopathy of prematurity. In recent years, studies performed in the immediate
postnatal period have revealed that newly born infants achieve a stable
saturation only several minutes after birth. Moreover, the time needed to reach a
saturation plateau is inversely proportional to a newborn's gestational age. As a
consequence, guidelines have changed and recommend an individualized
supplementation in the first minutes after birth with the inspiratory fraction of
oxygen titrated against preductal pulse oximetry. However, randomized controlled
trials have concluded that, after postnatal stabilization, keeping preterm babies
within a low-saturation target range (85-89%) may lead to increased mortality
while keeping them in a higher saturation range (91-95%) increases the risk of
retinopathy of prematurity. The present state of the art in the management of
oxygen supplementation recommends that caregivers in the delivery room allow
preductal oxygen saturation to spontaneously increase in the first minutes of
life; however, if supplemented, it should be titrated according to pulse oximeter
readings and kept within the safe margins of the nomogram. Thereafter, if oxygen
is still needed, it should be kept within stringent security margins (90-95%) to
avoid deleterious consequences. Importantly, in babies with chronic lung disease,
oxygen should be supplemented to allow the patient to grow and develop.
PMID- 24931323
TI - More about surfactant, oxygen, caffeine and chronic lung disease.
PMID- 24931325
TI - Caffeine for apnea of prematurity: a neonatal success story.
AB - Caffeine, a methylxanthine and nonspecific inhibitor of adenosine receptors, is
an example of a drug that has been in use for more than 40 years. It is one of
the most commonly prescribed drugs in neonatal medicine. However, until 2006, it
had only a few relatively small and short-term studies supporting its use. It is
thanks to the efforts of Barbara Schmidt and the Caffeine for Apnea of
Prematurity (CAP) Trial Group that we now have high-quality and reliable data not
only on short-term but also long-term outcomes of caffeine use for apnea of
prematurity. CAP was an international, multicenter, placebo-controlled randomized
trial designed to determine whether survival without neurodevelopmental
disability at a corrected age of 18 months is improved if apnea of prematurity is
managed without methylxanthines in infants at a high risk of apneic attacks. CAP
was kept simple and pragmatic in order to allow for maximum generalizability and
applicability. Infants with birth weights of 500-1,250 g were enrolled during the
first 10 days of life if their clinicians considered them to be candidates for
methylxanthine therapy. The most frequent indication for therapy reported in CAP
was treatment of documented apnea, followed by the facilitation of the removal of
an endotracheal tube. Only about 20% of the neonatologists in the trial started
caffeine for the prevention of apnea and the findings of CAP cannot automatically
be extrapolated to an exclusive prophylactic indication. However, recent data
suggest that the administration of prophylactic methylxanthine by neonatologists
is now common practice.
PMID- 24931322
TI - Recombinant factor VIIa analog in the management of hemophilia with inhibitors:
results from a multicenter, randomized, controlled trial of vatreptacog alfa.
AB - BACKGROUND: Vatreptacog alfa, a recombinant factor VIIa (rFVIIa) analog with
three amino acid substitutions and 99% identity to native FVIIa, was developed to
improve the treatment of hemophilic patients with inhibitors. OBJECTIVES: To
confirm the safety and assess the efficacy of vatreptacog alfa in treating
bleeding episodes in hemophilic patients with inhibitors. PATIENTS AND METHODS:
In this international, multicenter, randomized, double-blind, active-controlled,
crossover, confirmatory phase III trial (adept(TM) 2) in patients with hemophilia
A or B and inhibitors, bleeds were randomized 3 : 2 to treatment with vatreptacog
alfa (one to three doses at 80 MUg kg(-1) ) or rFVIIa (one to three doses at 90
MUg kg(-1) ). Treatment failures after three doses of trial product (TP) were
managed according to the local standard of care. RESULTS: In the 72 patients
enrolled, 567 bleeds were treated with TP. Both vatreptacog alfa and rFVIIa gave
93% effective bleeding control at 12 h. Vatreptacog alfa was superior to rFVIIa
in secondary efficacy outcomes, including the number of doses used to treat a
bleed and sustained bleeding control 24-48 h after the first dose. Eight patients
(11%) developed antibodies against vatreptacog alfa, including four with cross
reactivity against rFVIIa and one with an in vitro neutralizing effect to
vatreptacog alfa. CONCLUSIONS: This large randomized controlled trial confirmed
the well-established efficacy and safety profile of rFVIIa, and showed that
vatreptacog alfa had similar or better efficacy than rFVIIa. However, because of
the development of anti-drug antibodies, a positive benefit-risk profile is
unlikely to be achieved with vatreptacog alfa.
PMID- 24931328
TI - Ethical pitfalls in neonatal comparative effectiveness trials.
AB - Evidence-based medicine has been embraced wholeheartedly, and rightly so, as the
best approach for reducing clinical uncertainty and ensuring that patients
receive treatment and care that are efficacious (i.e. they work) and effective
(i.e. they work in real life). High-quality evidence comes from high-quality
clinical research. It would hence be reasonable to assume that these two would
form a closely integrated partnership. Alas, this is not yet the case. So many
uncertainties in medical care relate to treatments and practices already widely
in use. In neonatal medicine, for example, some of us use protein-carbohydrate
fortification of human milk and some of us do not, some of us stop enteral feeds
during blood transfusions whereas some of us do not, some of us reach for
dopamine when blood pressure falls while some of us use dobutamine. For our
patients, these uncertainties represent a lottery, the throw of the dice that
determines whether they receive the treatment advocated by Dr. A or Dr. B. They
deserve better than this. Randomization is considered the gold standard approach
to eliminating the clinician bias that very often dominates the choice of
treatments. Randomization reduces the influence on outcomes of confounding by
unknown factors, and ensures that every patient has a fair and equal chance of
receiving the best possible treatment when this is, in fact, not known. In an
ideal world, every medical uncertainty would be addressed in this way. The
evaluation of treatments that are in accepted use has been termed 'comparative
effectiveness research', i.e. the comparison of existing healthcare interventions
to determine which works best, for whom and under which circumstances. Recently a
long-standing uncertainty, the optimum saturation target for preterm babies
receiving oxygen was put to the test of randomization. The accepted standard-of
care saturation range of 85-95% has been used for a considerable time and its use
is intended to avoid both levels of oxygen that are too low or too high.
Investigators in the UK, Australia, New Zealand and the USA designed randomized
controlled trials to provide more precise guidance, by determining whether
targeting the lower end of the accepted range (85-89%) resulted in reduced
retinopathy of prematurity when compared with the upper end of the accepted range
(91-95%). Between 2004 and 2009, the US SUPPORT trial (Surfactant, Positive
Pressure and Oxygenation Randomized Trial) recruited approximately 1,300 infants
and showed that babies at the higher end of the recommended oxygen saturation
range had a greater incidence of retinopathy of prematurity, but that,
unexpectedly, babies at the lower end had a higher risk of death [1]. The data
monitoring committees of the BOOST II (Oxygen Saturation and Outcomes in Preterm
Infants) trials in the UK, Australia and New Zealand reviewed their interim data,
confirmed the higher risk of death in babies randomized to the lower saturation
range, and halted further recruitment [2]. Without the trials, the lower
saturation target would have continued to be applied to many babies, and many
would have died as a result. Though many uncertainties remain, the trials
facilitated advances in care. However, in March 2013, the lead investigators for
the SUPPORT trial were informed by the US 'Office for Human Research Protections'
that they were 'in violation of the regulatory requirements for informed consent,
stemming from the failure to describe the reasonably foreseeable risks of
blindness, neurological damage and death' [3]. This extraordinary conclusion
indicates that the US regulators considered the researchers to be at fault for
failing to foresee an unexpected trial result, and for randomizing babies to
receive oxygen within the accepted standard-of-care limits. The ruling further
implies that the regulators consider that clinicians are acting ethically when
they deliver an accepted but non-evidence-based treatment based upon their
personal bias, but are acting unethically when they make the selection by
randomization. Clearly, there is a gulf between the view of the medical
profession and that of the regulators regarding the ethical and scientific
validity of randomization as a means to select treatments in comparative
effectiveness research aimed at reducing uncertainties in care. What are the ways
forward? I suggest that, in order for medicine to advance, a paradigm shift is
necessary, involving a deeper public (and regulator) understanding of
randomization as the fairest approach to allocating treatments that are in wide
and accepted use, but where the evidence base is actually uncertain, so that the
chance of receiving the as yet unknown best treatment is unaffected by clinician
bias, and where care is delivered along a clearly designed, closely monitored
pathway. In practice, peer review, regulatory approval, patient involvement and
the delivery of explanation and information would be the same as for research
involving experimental treatments. The key difference would be that randomization
would be the recommended default and patients would be offered the opportunity to
opt out, rather than be invited to opt in. For neonatal medicine, this would
reduce the risk of 'injurious misconception', where trial entry is
inappropriately rejected by parents because of an exaggerated and
disproportionate perception of risk [4] that is brought on or magnified by the
burden of making decisions at this difficult and stressful time. Randomization to
treatments that fall within accepted practice and are considered standard-of-care
involves no research-related risks to participants, and as trial data can
increasingly be extracted from electronic clinical records [5], the costs and
burden of data collection placed upon clinical teams will be minimized and,
ultimately, the resolution of uncertainties about treatment can be hastened. It
should also be noted that this approach fulfils the four cardinal principles of
research ethics, namely: autonomy, justice, beneficence and nonmaleficence as
well as upholding the responsibility of all doctors to strive to reduce
uncertainty in the care they provide to their patients [6].
PMID- 24931326
TI - The molecular era of surfactant biology.
AB - Advances in the physiology, biochemistry, molecular and cell biology of the
pulmonary surfactant system transformed the clinical care and outcome of preterm
infants with respiratory distress syndrome. The molecular era of surfactant
biology provided genetic insights into the pathogenesis of pulmonary disorders,
previously termed 'idiopathic', that affect newborn infants, children and adults.
Knowledge related to the structure and function of the surfactant proteins and
their roles in alveolar homeostasis has provided new diagnostic, prognostic and
therapeutic tools to advance our understanding of the causes and treatments of
acute and chronic lung diseases. Severe lung disease in newborn infants and older
patients is caused by mutations in genes regulating alveolar epithelial cells and
surfactant homeostasis. Mutations in genes encoding the surfactant proteins,
transcription factors critical for alveolar morphogenesis and surfactant
clearance, are now known to play important roles in the pathogenesis of chronic
lung diseases. Identification of the genes underlying the diseases of alveolar
homeostasis is useful for the diagnosis of lung disease before and after birth.
PMID- 24931327
TI - Clinical pharmacology in neonates: small size, huge variability.
AB - Drug therapy is a powerful tool for improving neonatal outcome. Despite this,
neonatologists still routinely prescribe off-label compounds developed for adults
and extrapolate doses from those used for children or adults. Knowledge
integration through pharmacokinetic modeling is a method that could improve the
current situation. Such predictive models may convert neonatal pharmacotherapy
from explorative to confirmatory. This can be illustrated by research projects
related to the prediction of neonatal renal clearance and neonatal
glucuronidation. This type of model will also improve the current knowledge of
neonatal (patho)physiology. In the meanwhile, the fields of clinical pharmacology
(e.g. pharmacokinetic/pharmacodynamic modeling and pharmacogenetics) and
neonatology (e.g. whole-body cooling and the lower limit of viability) have both
matured, resulting in new research topics. However, in order for the modeling and
the newly emerging topics to become effective tools, they need to be tailored to
the specific characteristics of neonates. Consequently, the field of neonatal
pharmacotherapy needs dedicated neonatologists who continue to raise the
awareness that off-label practices, eminence-based dosing regimens and the
absence of neonatal drug formulations all reflect suboptimal care.
PMID- 24931330
TI - Abstracts of the 29th. International Workshop on Surfactant Replacement, May 30
31, 2014, Valencia, Spain.
PMID- 24931329
TI - Chronic lung disease of prematurity: long-term respiratory outcome.
AB - Chronic respiratory morbidity is a common adverse outcome of preterm birth,
especially in infants who develop bronchopulmonary dysplasia (BPD), which is
still a major cause of long-term lung dysfunction with a heavy burden on health
care services and medical resources throughout childhood. The most severely
affected patients remain symptomatic even in adulthood, and this may be
influenced also by environmental variables (e.g. smoking), which can contribute
to persistent obstruction of airflow. Of all obstructive lung diseases in humans,
BPD has the earliest onset and probably lasts the longest. Since the prevention
of BPD is an elusive goal, minimizing neonatal lung injury and closely monitoring
survivors remain the best courses of action. This review describes the clinical
and functional changes characteristic of the long-term pulmonary sequelae of
preterm birth, focusing particularly on BPD.
PMID- 24931331
TI - Pregnancy-associated plasma protein A regulates mitosis and is epigenetically
silenced in breast cancer.
AB - Aberrant mitosis is a common feature of cancer, yet little is known about the
altered genes causing mitotic defects. We screened human tumours for cells with
morphological signatures of highly specific mitotic defects previously assigned
to candidate genes in a genome-wide RNA interference screen carried out in HeLa
cells (www.mitocheck.org). We discovered a striking enrichment of early mitotic
configurations indicative of prophase/prometaphase delay in breast cancer.
Promoter methylation analysis of MitoCheck candidate genes assigned to the
corresponding 'mitotic delay' class linked this defect to epigenetic silencing of
the gene encoding pregnancy-associated plasma protein-A (PAPPA), a secreted
protease. PAPPA silencing was highly prevalent in precursor lesions and invasive
breast cancer. Experimental manipulation of PAPPA protein levels in human mammary
epithelial cells and in breast cancer cell lines demonstrates that progression
through early mitosis is dependent on PAPPA function, and that breast cancer
cells become more invasive after down-regulation of this protease. PAPPA
regulates mitotic progression through modulating the IGF-1 signalling pathway
resulting in activation of the forkhead transcription factor FoxM1, which drives
a transcriptional cluster of essential mitotic genes. Our results show that PAPPA
has a critical function in normal cell division and is targeted early in breast
cancer development.
PMID- 24931332
TI - Antiobesity medication use across the veterans health administration: patient
level predictors of receipt.
AB - OBJECTIVE: Pharmacotherapy is an effective adjunct to behavioral interventions to
treat obesity; although it is unclear how often medications are integrated into
obesity treatment plans and for which patients in the Veterans Health
Administration (VHA). METHODS: A retrospective cohort study was conducted that
examined variation in and predictors of antiobesity medication receipt (orlistat)
among > 2 million obese Veterans within 140 facilities nationwide. RESULTS: One
percent of all obese patients using VHA services filled a prescription for
orlistat. Veterans were more likely to be treated with orlistat if they had a
higher BMI, were female, unmarried, younger, a minority, had home instability,
prescribed obesogenic psychiatric medications, had a psychiatric or obesity
related comorbidity, and used MOVE! weight management services. Among those who
likely met the criteria for use, 2.5% had at least one orlistat prescription.
Facility-level prescription rates varied from 0 to 1% of all obese patients in a
VA facility receiving a prescription and 0 to 21% among those who met the
criteria for use. CONCLUSIONS: Consistent with guidelines recommending that
obesity pharmacotherapy be prescribed in conjunction with behavioral therapy, the
strongest predictor of receiving orlistat was being enrolled in the MOVE! weight
loss management program.
PMID- 24931333
TI - Treatment with human insulin does not increase thyroid cancer risk in patients
with type 2 diabetes.
AB - BACKGROUND: Whether human insulin therapy may increase thyroid cancer risk in
patients with type 2 diabetes mellitus (T2DM) has not been investigated.
MATERIALS AND METHODS: The reimbursement databases of all Taiwanese diabetic
patients from 1996 to 2009 were retrieved from the Bureau of National Health
Insurance. The entry date was set at 1 January 2004, and 968,384 patients with
T2DM were followed up for thyroid cancer incidence until the end of 2009. Ever
users, never-users and subgroups of human insulin exposure (using tertile cut
offs of time since starting insulin, duration of therapy and cumulative dose) at
entry date were calculated for thyroid cancer incidence. Insulin glargine was not
marketed until after the entry date. Therefore, to exclude the potential
contamination of insulin glargine, patients who happened to use insulin glargine
were censored at the time of its initiation when calculating the period of follow
up. Hazard ratios were estimated by Cox regression. RESULTS: There were 111,121
ever-users and 857,263 never-users of human insulin, with respective numbers of
incident thyroid cancer of 118 (0.11%) and 1047 (0.12%), and respective
incidences of 23.9 and 23.8 per 100,000 person-years. The overall hazard ratios
(95% confidence intervals) did not show a significant association with human
insulin in either the age-sex-adjusted or the fully adjusted model: 0.942 (0.778
1.141) and 1.096 (0.888-1.353), respectively. When categorized into tertiles of
the dose-response parameters, none of the hazard ratios was significant.
CONCLUSIONS: This study does not support the role of human insulin therapy in
increasing the risk of thyroid cancer in patients with T2DM.
PMID- 24931335
TI - Helichrysum arenarium subsp. arenarium: phenolic composition and antibacterial
activity against lower respiratory tract pathogens.
AB - The aim of this study was to investigate the phenolic content and antibacterial
activity of the methanol extract from Helichrysum arenarium (L.) Moench subsp.
arenarium inflorescences against lower respiratory tract pathogens (standard
strains and clinical isolates). The extract was characterised by a total phenolic
content of 160.17 mg/g. Several caffeic acid conjugates (chlorogenic acid and
dicaffeoylquinic acids) and flavonoids (apigenin, naringenin, apigenin-7-O
glucoside and naringenin-O-hexosides) were identified as major constituents by
HPLC-DAD-ESI-MS. Staphylococcus aureus ATCC 25923 was more susceptible to
Helichrysum extract than Streptococcus pneumoniae ATCC 49619 (minimum inhibitory
concentration [MIC] = 0.62 and 1.25 mg/mL, respectively). The extract exhibited
similar antibacterial effects against methicillin-resistant S. aureus and
penicillin-resistant S. pneumoniae clinical isolates (MIC = 2.5 mg/mL) displaying
a higher activity against ampicillin-resistant Moraxella catarrhalis isolate (MIC
= 0.15 mg/mL). The combination with ciprofloxacin exhibited additivity against
both standard strains (fractional inhibitory concentration [FIC] index = 0.75 and
0.73) and S. aureus isolates (FIC index = 0.62) and synergy against S. pneumoniae
isolates (FIC index = 0.5).
PMID- 24931334
TI - Effectiveness and limitations of local structural entropy optimization in the
thermal stabilization of mesophilic and thermophilic adenylate kinases.
AB - Local structural entropy (LSE) is a descriptor for the extent of conformational
heterogeneity in short protein sequences that is computed from structural
information derived from the Protein Data Bank. Reducing the LSE of a protein
sequence by introducing amino acid mutations can result in fewer conformational
states and thus a more stable structure, indicating that LSE optimization can be
used as a protein stabilization method. Here, we describe a series of LSE
optimization experiments designed to stabilize mesophilic and thermophilic
adenylate kinases (AKs) and report crystal structures of LSE-optimized AK
variants. In the mesophilic AK, thermal stabilization by LSE reduction was
effective but limited. Structural analyses of the LSE-optimized mesophilic AK
variants revealed a strong correlation between LSE and the apolar buried surface
area. Additional mutations designed to introduce noncovalent interactions between
distant regions of the polypeptide resulted in further stabilization.
Unexpectedly, optimizing the LSE of the thermophilic AK resulted in a decrease in
thermal stability. This destabilization was reduced when charged residues were
excluded from the possible substitutions during LSE optimization. These
observations suggest that stabilization by LSE reduction may result from the
optimization of local hydrophobic contacts. The limitations of this process are
likely due to ignorance of other interactions that bridge distant regions in a
given amino acid sequence. Our results illustrate the effectiveness and
limitations of LSE optimization as a protein stabilization strategy and highlight
the importance and complementarity of local conformational stability and global
interactions in protein thermal stability.
PMID- 24931336
TI - Geometrical confinement of Gd(DOTA) molecules within mesoporous silicon
nanoconstructs for MR imaging of cancer.
AB - Porous silicon has been used for the delivery of therapeutic and imaging agents
in several biomedical applications. Here, mesoporous silicon nanoconstructs
(SiMPs) with a discoidal shape and a sub-micrometer size (1000*400nm) have been
conjugated with gadolinium-tetraazacyclododecane tetraacetic acid Gd(DOTA)
molecules and proposed as contrast agents for Magnetic Resonance Imaging. The
surface of the SiMPs with different porosities - small pore (SP: ~5nm) and huge
pore (HP: ~40nm) - and of bulk, non-porous silica beads (1000nm in diameter) have
been modified with covalently attached (3-aminopropyl)triethoxysilane (APTES)
groups, conjugated with DOTA molecules, and reacted with an aqueous solution of
GdCl3. The resulting Gd(DOTA) molecules confined within the small pores of the Gd
SiMPs achieve longitudinal relaxivities r1 of ~17 (mMs)(-)(1), which is 4 times
greater than for free Gd(DOTA). This enhancement is ascribed to the confinement
and stable chelation of Gd(DOTA) molecules within the SiMP mesoporous matrix. The
resulting nanoconstructs possess no cytotoxicity and accumulate in ovarian tumors
up to 2% of the injected dose per gram tissue, upon tail vein injection. All
together this data suggests that Gd-SiMPs could be efficiently used for MR
vascular imaging in cancer and other diseases.
PMID- 24931337
TI - Titanium-bone-anchored penile epithesis: preoperative planning and immediate
postoperative results.
AB - The principle of osseointegration is accepted and used in reconstructive surgery.
This study presents the first series of five patients where titanium implants
have been implanted into the pubic bones of female-to-male (FTM) transsexual
patients, in order to attach a "bone-anchored" penile epithesis. Following
patient selection based on patients' wishes, pubic bones of 10 FTM transsexuals
were analysed by CT-scan and a virtual planning was made. A surgical plan was
also developed. To date, five FTM transsexuals have undergone the two-stage
surgery. During stage-1, two titanium implants ("fixtures") are implanted onto
the pubic bone. Four weeks postop, a new CT scan is performed to analyze
osseointegration and the final implant position. During stage-2, the soft tissue
of the pubic area is reduced; abutments are inserted and passed through the skin.
A few weeks after stage 2 surgery, a penile epithesis is connected to the skin
penetrating titanium implants. Two out of 10 patients who received preoperative
CT scan presented with smaller pubic bones, not able to accommodate the fixtures
as chosen originally. Preoperative virtual planning is crucial for the selection
of the appropriate implants size. The stage-1 and stage-2 surgery occurred
uneventfully in all five patients. One patient presented with a wound infection 1
week after stage-2 surgery. Postoperative CT scan demonstrates implant
osseointegration in all cases. This experimental clinical study demonstrates that
titanium osseointegration is feasible onto the pubic bone. This new approach for
penile reconstruction constitutes another alternative for both transsexual
patients and cases following genital development disorders, post-trauma and
surgery.
PMID- 24931338
TI - Simvastatin treatment exerts antidepressant-like effect in rats exposed to
chronic mild stress.
AB - Hyperlipidemia is associated with increased risk of coronary artery disease and
stroke, both of which, in turn, are risk factors of old-age depression. Statins
are extensively used for decreasing cholesterol levels. Clinical investigations
revealed that long-term use of statins appeared to be associated with a lower
risk of anxiety and depression. However, the antidepressant property of statins
has not been well examined. This study aimed at examining the antidepressant-like
effects of statins in rats exposed to chronic mild stress (CMS). We found that
animals exposed to CMS for 4 weeks developed depressive-like state, shown by
forced swim test and sucrose preference test. However, these CMS-induced
behavioral changes were reversed by simvastatin (5 or 10mg/kg/day) for 14 days,
comparable to imipramine (10mg/kg/day) treatment. Locomotor activity and anxiety
like behaviors were not altered by CMS or these treatments. These results
demonstrated antidepressant-like effects of statin in CMS model of rats and
suggested the potential that statins could be used to facilitate antidepressant
treatment in clinical setting.
PMID- 24931339
TI - Editorial comment from Dr Simonato and Dr Romagnoli to impact of obesity on the
predictive accuracy of prostate-specific antigen density and prostate-specific
antigen in native Korean men undergoing prostate biopsy.
PMID- 24931340
TI - In situ gelling polyvalerolactone-based thermosensitive hydrogel for sustained
drug delivery.
AB - Biodegradable poly(ethyleneglycol)-poly(valerolactone)-poly(ethyleneglycol) [PEG
PVL-PEG] copolymers were synthesized through ring opening polymerization of delta
valerolactone (VL) followed by the coupling of monomethoxy poly(ethyleneglycol
poly(valerolactone) (mPEG-PVL) with hexamethylene diisocyanate (HDI). The
copolymers were characterized by (1)H NMR, FT-IR, and GPC. Block copolymers of
PEG and PVL with different VL/PEG molar ratios were successfully synthesized. One
of the copolymers (Copolymer 2, PEG550-PVL6768-PEG550) displayed a sol-gel
transition at a physiological temperature based on the test tube inverting method
and rheological studies. The thermogelling copolymer demonstrated a
characteristic crystalline peak for PVL block as determined by DSC and XRD
analysis. In vitro release from the copolymer hydrogel matrix indicated that
dexamethasone (DEX), a hydrophobic model drug, released comparatively slower than
5-fluoruracil (5-FU), a hydrophilic model drug, due to the potential partitioning
of DEX into the PVL core. 5-FU in vitro release from copolymer 2 was 86% in 22 h,
whereas only 14% of DEX was released in 24h. Cell viability studies confirmed
that hydrogels composed of block copolymers are biocompatible. Copolymer 2 showed
more than 80% relative cell viability at all concentrations, including
concentrations greater than 200 fold CMC. In vivo gel formation studies indicate
that gel integrity was maintained for 7 days upon subcutaneous injection into
mice. These results indicate that PEG-PVL-PEG copolymers are suitable for drug
delivery applications.
PMID- 24931341
TI - Development and bioevaluation of nanofibers with blood-derived growth factors for
dermal wound healing.
AB - The aim of our work was to produce a modern nanomaterial with incorporated blood
derived growth factors, produced by electrospinning, applicable in treatment of
chronic wounds. Platelet-rich plasma was chosen as a natural source of growth
factors. Results showed that platelet-rich plasma stimulates keratinocyte and
fibroblast cell growth in vitro. Its optimal concentration in growth medium was
2% (v/v) for both types of skin cells, while higher concentrations caused
alterations in cell morphology, with reduced cell mobility and proliferation. In
the next step hydrophilic nanofibers loaded with platelet-rich plasma were
produced from chitosan and poly(ethylene oxide), using electrospinning. The
morphology of nanofibers was stable in aqueous conditions for 72 h. It was shown
that electrospinning does not adversely affect the biological activity of
platelet-rich plasma. The effects of nanofibers with incorporated platelet-rich
plasma on cell proliferation, survival, morphology and mobility were examined.
Nanofibers limited cell mobility, changed morphology and stimulated cell
proliferation. Despite of the small amount of blood-derived growth factors
introduced in cell culture via platelet-rich plasma-loaded nanofibers, such
nanofibrillar support significantly induced cell proliferation, indicating
synergistic effect of nanotopography and incorporated growth factors. The overall
results confirm favorable in vitro properties of produced nanofibers, indicating
their high potential as a nanomaterial suitable for delivery of platelet-rich
plasma in wound healing applications.
PMID- 24931342
TI - Long-term doxorubicin release from multiple stimuli-responsive hydrogels based on
alpha-amino-acid residues.
AB - We have developed a series of pH- and temperature-stimuli-sensitive vinyl
hydrogels, bearing alpha-amino acid residues (L-phenylalanine, L-valine) and
incorporating magnetic nanoparticles of different chemical compositions (CoFe2O4
and Fe3O4). The goal was to study the potential applications of these
nanocomposites in the controlled release of doxorubicin (DOXO), a potent
anticancer drug. The strength of the electrostatic interaction between the
protonated nitrogen of the DOXO molecule and the ionized carboxylic groups of the
hydrogel allowed effective control of the drug release rate in saline solutions.
The embedded magnetic nanoparticles were an additional remote control of the drug
release under the stimulus of an appropriate external alternating magnetic field
(AMF). Data showed that the controlled release of DOXO proceeded for months and
followed a diffusion-controlled release mechanism, while maintaining the amount
of released drug within acceptable therapeutic windows. The amount of the
released DOXO was found in all cases substantially higher than the "control"
because the application of the AMF augments in stimulating the nanoparticles
within the DOXO-loaded hydrogel. In vitro experiments have shown that the
released DOXO is able to induce cell death to cervix adenocarcinoma cells (HeLa
cells).
PMID- 24931343
TI - Pancreatic intraepithelial neoplasia in the background of invasive ductal
carcinoma of the pancreas as a prognostic factor.
AB - AIMS: Of the recognized precursor lesions of pancreatic adenocarcinoma,
pancreatic intraepithelial neoplasia (PanIN) is the most common form. However,
little is known about the relationship between the grade of PanIN and prognosis
for patients with invasive ductal carcinoma. METHODS AND RESULTS: In 124 patients
with invasive ductal carcinoma, we examined the grade and number of PanIN lesions
in all slides of resected pancreas. The prevalence rates of PanIN-1A, PanIN-1B,
PanIN-2 and PanIN-3 were 86%, 84%, 57% and 30%, respectively. We allocated PanIN
2 and PanIN-3 cases into a PanIN-high group, and cases showing PanIN-1A, PanIN-1B
or absence of PanIN into a PanIN-low group. In clinicopathological analysis,
PanIN-high status was significantly correlated with the number of PanIN lesions
(P < 0.0001). Disease-free and overall survival were statistically better in the
PanIN-high group than in the PanIN-low group (P = 0.0005 and P = 0.0003).
Univariate and multivariate analyses revealed that tumour size and PanIN-low
status were statistically significant factors for a poorer prognosis (P = 0.042
and P = 0.007). CONCLUSIONS: In a pathological examination, it is important to
evaluate the grade and number of PanINs in assessing the prognosis of pancreatic
cancer.
PMID- 24931345
TI - What is the clinical significance of isolated subsegmental pulmonary embolism?
PMID- 24931344
TI - A computational model unifies apparently contradictory findings concerning
phantom pain.
AB - Amputation often leads to painful phantom sensations, whose pathogenesis is still
unclear. Supported by experimental findings, an explanatory model has been
proposed that identifies maladaptive reorganization of the primary somatosensory
cortex (S1) as a cause of phantom pain. However, it was recently found that BOLD
activity during voluntary movements of the phantom positively correlates with
phantom pain rating, giving rise to a model of persistent representation. In the
present study, we develop a physiologically realistic, computational model to
resolve the conflicting findings. Simulations yielded that both the amount of
reorganization and the level of cortical activity during phantom movements were
enhanced in a scenario with strong phantom pain as compared to a scenario with
weak phantom pain. These results suggest that phantom pain, maladaptive
reorganization, and persistent representation may all be caused by the same
underlying mechanism, which is driven by an abnormally enhanced spontaneous
activity of deafferented nociceptive channels.
PMID- 24931348
TI - Endocrine surgical conditions of childhood. Preface.
PMID- 24931347
TI - Inhibition of budding/release of porcine endogenous retrovirus.
AB - PERV is integrated into the genome of all pigs. PERV-A and PERV-B are polytropic
and can productively infect human cell lines, whereas PERV-C is ecotropic.
Recombinant PERV-A/C can infect human cells and exhibits high titer replication.
Therefore, use of pigs for human xenotransplantation raises concerns about the
risks of transfer of this infectious agent from donors to xenotransplantation
recipients. To establish strategies to inhibit PERV production from cells, in the
present study, we investigated the mechanism of PERV budding and anti-PERV
activity of Tetherin/BST-2. The results showed that DN mutants of WWP-2, Tsg101,
and Vps4A/B markedly reduced PERV production in human and porcine cell lines,
suggesting that PERV budding uses these cellular factors and the cellular MVB
sorting pathway as well as many other retroviruses. Moreover, PERV production was
also reduced by human and porcine Tetherin/BST-2. These data are useful for
developing strategies to inhibit PERV production and may reduce the risk of PERV
infection in xenotransplantation.
PMID- 24931346
TI - MicroRNA-33b knock-in mice for an intron of sterol regulatory element-binding
factor 1 (Srebf1) exhibit reduced HDL-C in vivo.
AB - MicroRNAs (miRs) are small non-protein-coding RNAs that bind to specific mRNAs
and inhibit translation or promote mRNA degradation. Recent reports, including
ours, indicated that miR-33a located within the intron of sterol regulatory
element-binding protein (SREBP) 2 controls cholesterol homeostasis and can be a
possible therapeutic target for treating atherosclerosis. Primates, but not
rodents, express miR-33b from an intron of SREBF1. Therefore, humanized mice, in
which a miR-33b transgene is inserted within a Srebf1 intron, are required to
address its function in vivo. We successfully established miR-33b knock-in (KI)
mice and found that protein levels of known miR-33a target genes, such as ABCA1,
ABCG1, and SREBP-1, were reduced compared with those in wild-type mice. As a
consequence, macrophages from the miR-33b KI mice had a reduced cholesterol
efflux capacity via apoA-I and HDL-C. Moreover, HDL-C levels were reduced by
almost 35% even in miR-33b KI hetero mice compared with the control mice. These
results indicate that miR-33b may account for lower HDL-C levels in humans than
those in mice and that miR-33b is possibly utilized for a feedback mechanism to
regulate its host gene SREBF1. Our mice will also aid in elucidating the roles of
miR-33a/b in different genetic disease models.
PMID- 24931349
TI - Thyroid surgery in children.
AB - Although surgical conditions of the thyroid gland are uncommon in children, the
increased incidence of thyroid cancer, combined with the fact that children's
hospitals are increasingly treating older adolescents, means that it is important
that all pediatric surgeons have a knowledge of these conditions. Abnormalities
of the thyroid can be associated with abnormalities of thyroid function
(hyperthyroidism or hypothyroidism) and/or can be associated with symmetrical or
asymmetrical enlargement of the gland.
PMID- 24931350
TI - Parathyroid conditions in childhood.
AB - This review of parathyroid surgery in children will briefly discuss parathyroid
gland embryology and anatomy before focusing on the pathophysiology, clinical
presentation, and treatment of hyperparathyroidism in children.
Hyperparathyroidism (HPT) is the overproduction of PTH and it is rare in
children, with an incidence of 2-5 per 100,000. This rarity means that the
principles of caring for children with parathyroid disease are largely
extrapolated from the richer adult experience; however, the unique pediatric
aspects of parathyroid problems and their surgical treatment, including
presentation, imaging, operative approach, and complications, will be considered.
PMID- 24931351
TI - Rare adrenal tumors in children.
AB - Apart from neuroblastomas, adrenal tumors are exceedingly rare in children and
young adults. In this age group, the vast majority of patients present with
clinical signs associated with excess hormone production. The most common tumor
to arise from the adrenal cortex is an adrenocortical carcinoma (ACC). Similar to
the situation in adults, this tumor is frequently diagnosed at a late stage and
carries a very poor prognosis. ACCs require extensive/aggressive local resection
followed by mitotane chemotherapy. A multidisciplinary approach is essential, and
these children should be referred to units that have previous experience in
managing ACCs. International registries are an invaluable source for evidence
based care, and such collaborations should be further developed in the future.
Pheochromocytomas are derived from the adrenal medulla and present with symptoms
caused by high secretion of catecholamines. At least one-third of these children
will be found to carry genetic mutations, most commonly the RET gene (MEN2
syndrome) or the VHL gene. Open radical adrenalectomy should be offered to
children with adrenocortical cancers. For all other cases, laparoscopic
adrenalectomy is the treatment of choice. It is possible that the
retroperitoneoscopic approach will gain increasing favor. The role of robotic
adrenalectomy remains controversial.
PMID- 24931352
TI - Persistent hyperinsulinaemic hypoglycaemia in infancy.
AB - Persistent hyperinsulinaemic hypoglycaemia in infancy (PHHI) is a heterogeneous
condition characterised by unregulated insulin secretion in response to a low
blood glucose level. It is the most common cause of severe and persistent
hypoglycaemia in neonates. It is extremely important to recognise this condition
early and institute appropriate management to prevent significant brain injury
leading to complications like epilepsy, cerebral palsy and neurological
impairment. Histologically, PHHI is divided mainly into three types-diffuse,
focal and atypical disease. Fluorine-18-l-3,4-dihydroxyphenylalanine positron
emission tomography (18F-DOPA-PET/CT) scan allows differentiation between diffuse
and focal diseases. The diffuse form is inherited in an autosomal recessive (or
dominant) manner whereas the focal form is sporadic in inheritance and is
localised to a small region of the pancreas. The molecular basis of PHHI involves
defects in key genes (ABCC8, KCNJ11, GCK, SLC16A1, HADH, UCP2, HNF4A and GLUD1)
that regulate insulin secretion. Focal lesions are cured by lesionectomy whereas
diffuse disease (unresponsive to medical therapy) will require a near-total
pancreatectomy with a risk of developing diabetes mellitus and pancreatic
exocrine insufficiency. Open surgery is the traditional approach to pancreatic
resection. However, recent advances in laparoscopic surgery have led to
laparoscopic near-total pancreatectomy for diffuse lesions and laparoscopic
distal pancreatectomy for focal lesions distal to the head of the pancreas.
PMID- 24931353
TI - Islet cell transplantation.
AB - Islet transplantation has become a promising treatment for selected patients with
type 1 diabetes. Here we provide an overview of the procedure including its
history, the process of donor selection, and the techniques and procedures
involved in a successful transplant. A brief overview of the current
immunosuppressive regimens, the long-term follow-up and the reported outcomes
will also be discussed. While islet transplantation is currently generally
reserved for adults with type 1 diabetes with severe hypoglycemia or glycemic
lability, we herein consider the possibility of its application to the pediatric
population.
PMID- 24931354
TI - Gastroenteropancreatic neuroendocrine (carcinoid) tumors in children.
AB - Neuroendocrine tumors (NETs) (previously termed carcinoids) are slow-growing
tumors of the neuroendocrine system. They can occur anywhere within the body but
are most commonly found in the midgut. This review is therefore confined to a
discussion of gastroenteropancreatic NETS (GEP-NETS). GEP-NETS may be
asymptomatic and are found incidentally (eg, during appendicectomy) or can
present with symptoms attributable to either the site of the primary tumor or the
secretion of serotonin and other substances from metastatic carcinoid disease
(carcinoid syndrome). Symptoms of carcinoid syndrome include facial flushing,
diarrhea, wheezing, colicky abdominal pain, and edema. Surgical resection offers
the only curative treatment for neuroendocrine tumors, although peptide hormone
analogues can be used to control carcinoid symptoms. Guidelines exist to
determine when further surgical resection is required when NETs (carcinoids) are
found incidentally during appendicectomy. A multi-disciplinary approach is
essential for the management of all children with these rare and challenging
tumors.
PMID- 24931355
TI - Multiple endocrine neoplasia (MEN) syndromes.
AB - Multiple endocrine neoplasia (MEN) syndromes are characterised by the combined
occurrence of two or more endocrine tumours in a patient. These autosomal
dominant conditions occur in four types: MEN1 due to inactivating MEN1 mutations;
MEN2A and MEN2B (MEN3) due to activating mutations of RET and MEN4 due to
inactivating cyclin-dependent kinase inhibitor 1B (CDKN1B) mutations. Each MEN
syndrome exhibits different combinations of pancreatic islet, anterior pituitary,
parathyroid, medullary thyroid and adrenal tumours. This article provides an
overview of the clinical features, treatments and molecular genetics of each
endocrine tumour syndrome.
PMID- 24931356
TI - Do statins reduce the cardiovascular risk in patients with rheumatoid arthritis?
AB - OBJECTIVE: Patients with rheumatoid arthritis (RA) are at significantly higher
risk of cardiovascular (CV) morbidity and mortality compared with the general
population. Traditional CV risk factors cannot explain the total excess of CV
morbidity and mortality in RA patients. At present, it is not clear whether
treatment with statins might be of benefit in RA patients. The aim of the present
systematic literature review is to summarize the published evidence concerning
treatment with statins and its impact on CV events in RA patients. METHODS: A
systematic literature review of studies on RA and statins was carried out in the
database PubMed. Search terms were 'simvastatin OR atorvastatin OR fluvastatin OR
lovastatin OR pravastatin OR rosuvastatin OR statin AND arthritis'. Papers were
included in this review when the reported outcome was on CV events in RA
patients. After exclusion of the studies not fulfilling our inclusion criteria
four studies were finally analyzed. The total number of RA patients included in
these studies was 4896. RESULTS: Statins were associated with reduced CV events
and mortality in RA in primary prevention but not in secondary prevention. In
secondary prevention after myocardial infarction (MI) there was no statistically
significant difference between RA or non-RA patients either receiving
atorvastatin 80 mg or simvastatin 20-40 mg daily. Treatment with atorvastatin 80
mg led to a reduction in overall risk of CV disease in both patients with and
without inflammatory joint disease compared to patients receiving the
conventional/low-dose statin treatment. Statin discontinuation in RA patients was
associated with an increased risk of acute myocardial infarction or CV mortality.
Myalgia, diarrhoea, abdominal pain and nausea may be more frequent in RA patients
than in controls. CONCLUSION: The published evidence shows that in RA patients
statin treatment appears to reduce CV risk in primary prevention and that statin
discontinuation is associated with an increased risk for CV events. However, the
significance of statin treatment in RA patients still remains unclear as only
very little evidence has been published. Whether all RA patients would benefit
from treatment with statins still needs to be investigated.
PMID- 24931357
TI - Systemic toxic effects during early phases of topical 4-NQO-induced oral
carcinogenesis in rats.
AB - BACKGROUND: Most studies have demonstrated 4-NQO toxicity to oral epithelium
during oral carcinogenesis induction, but systemic toxicity has been poorly
addressed. The aim of this study was to describe the systemic effect of 4-NQO
topical application during early phases of oral cancer induction. METHODS: A 4
NQO propylene glycol ointment was topically applied on the rat tongue three times
a week for 16 weeks. Local and systemic 4-NQO toxicity was evaluated by body
weight gain, hematology, and serum chemistry analyses, histopathology, and
proliferating cell nuclear antigen (PCNA) immunohistochemistry. RESULTS:
Significant reduction in body weight gain and in white blood cell count as well
as significant increase in serum ALT and AST was observed after 16 weeks of 4-NQO
topical application. Focal hepatic lobular necrosis, renal tubular degeneration,
and decreased cellularity in the splenic white pulp were also detected.
CONCLUSIONS: 4-NQO topical application on the tongue of rats for 16 weeks seems
to have caused hepatic, renal, and splenic toxicity. Potential systemic toxicity
should be considered to monitor for variables that could interfere in topical
oral carcinogenesis experiments.
PMID- 24931359
TI - Multiple exciton generation in cluster-free alloy Cd(x)Hg(1-x)Te colloidal
quantum dots synthesized in water.
AB - A number of different composition CdxHg1-xTe alloy quantum dots have been
synthesized using a modified aqueous synthesis and ion exchange method. The
benefits of good stoichiometric control and high emission quantum yield were
retained whilst also ensuring that the tendency to form gel-like clusters and
adsorb excess cations in the stabilizing ligand shells was mitigated using a
sequestering method to remove excess ionic material during and after the
synthesis. This was highly desirable for ultrafast carrier dynamics measurements,
avoiding strong photocharging effects which may mask fundamental carrier signals.
Transient grating measurements revealed a composition dependent carrier
multiplication process which competes with phonon mediated carrier cooling to
deplete the initial hot carrier population. The interplay between these two
mechanisms is strongly dependent on the electron effective mass which in these
alloys has a marked composition dependence and may be considerably lower than the
hole effective mass. For a composition x = 0.52 we measured a maximum carrier
multiplication quantum yield of 199 +/- 19% with pump photon energy 3 times the
bandgap energy, Eg, whilst the threshold energy is calculated to be just 2.15Eg.
There is some evidence to suggest an impact ionization process analogous to the
inverse Auger S mechanism seen in bulk CdxHg1-xTe.
PMID- 24931358
TI - The health status of people claiming compensation for musculoskeletal injuries
following road traffic crashes is not altered by an early intervention programme:
a comparative study.
AB - OBJECTIVE: To compare health outcomes among claimants compared to those who were
ineligible or choose not to lodge a compensation claim. We also evaluated the
effect of an early intervention programme on the health outcomes of the
participants. DESIGN: Prospective comparative study using sequential cohorts.
SUBJECTS: People presenting to hospital emergency departments with mild to
moderate musculoskeletal injuries following road traffic crashes. INTERVENTION:
referral to an early intervention programme for assessment by musculoskeletal
physician, pain management education, promotion of self-management and
encouragement of early activity. MAIN OUTCOMES: The 36-Item Short-Form Survey (SF
36); Hospital Anxiety and Depression Scale (HADS) and Functional Rating Index
(FRI) scores were assessed at post-crash and at 12 months. RESULTS: At 12 months,
mean scores in six and five of the SF-36 domains were significantly lower among
participants who claimed compensation versus those who chose not to claim or were
ineligible, respectively. Differences in mean SF-36 scores ranged from 3.0
('general health perception') to 8.0 units ('role limitations due to physical
problems'). Participants who claimed compensation had 6.3- and 4.6-units lower SF
36 physical component score compared to those who were ineligible (p=0.001) or
chose not to claim (p=0.01), respectively. Participants who claimed compensation
reported a worse HADS-depression score of 6.46 versus 4.97 and 4.69 observed in
those who were ineligible (p=0.04) or did not claim (p=0.01). Claimants had worse
FRI scores compared to non-claimants (p=0.01) and those who were ineligible
(p=0.01). The early intervention did not improve health outcomes, 12 months after
injury. CONCLUSIONS: Claiming compensation was associated with a worse health
status for people with soft tissue injuries caused by road traffic crashes. The
health status in people claiming compensation was not altered by an early
intervention programme.
PMID- 24931360
TI - 1,2,3-Triazolylidene ruthenium(II)(eta6-arene) complexes: synthesis, metallation
and reactivity.
AB - Three bis(1,2,3-triazolylidene) silver(I) complexes were synthesized, and the
ruthenium complexes ([RCH2N2(NMe)C2Ph)]RuCl2(p-cymene) (R = C6H2Me3 4a1, C6H2iPr3
4b1) were isolated as major products with the minor C(sp(2))-H activated products
([RCH2N2(NMe)C2C6H4)]RuCl(p-cymene) (R = C6H2Me3 4a2, C6H2iPr3 4b2). In the
related case where R = Ph, the species ([PhCH2N2(NMe)C2Ph)]RuCl2(p-cymene) 4c1
was obtained with two C(sp(2))-H activated products [PhCH2N2(NMe)C2C6H4)]RuCl(p
cymene) 4c2 and [(C6H4)CH2N2(NMe)C2Ph)]RuCl(p-cymene) 4c3 derived from
metallation of the N and C-bound arene rings. Heating a solution of 4a1 at 45
degrees C over three weeks resulted in a ruthenium(II)(1,2,3-triazolylidene)
complex [(C6H2Me3)CH2N2(NMe)C2Ph)]RuCl2 5a, where the pendant mesityl group on
the triazolylidene moiety displaced the p-cymene ligand. The complexes 4a1, 4b1,
4c1 and 5a displayed moderate catalytic activities in base-free oxidation of
benzyl alcohols to benzaldehydes and oxidative homocoupling of benzyl amines to
imines using oxygen as oxidant.
PMID- 24931361
TI - Activation of integrin beta1 mediates the increased malignant potential of
ovarian cancer cells exerted by inflammatory cytokines.
AB - Epithelial ovarian cancer (EOC) is a highly lethal gynecological malignancy since
it could not be discovered until at late stage. Identifying the molecular
phenotype alteration during the development and progression of ovarian cancer is
an urgent demand for the targeted intervention therapy. Recently, inflammation
and Integrin beta 1(ITGB1), a subunit of heterodimeric transmembrane receptors
family, had been pointed out to be involved in promoting ovarian tumorigenesis
and cancer progression, respectively. However, the relationship between ITGB1 and
the inflammatory mediators in ovarian cancer progression remains obscure. In the
present study, ITGB1 was observed to be frequently upregulated in ovarian cancer,
overexpression of ITGB1 led to a more invasive and mesenchymal phenotype.
Furthermore, our results also provided evidence concerning the role of
inflammatory cytokines (IL-6, TGF-beta1 and SDF-1) in ITGB1 expression as well as
in the malignant potential of ovarian cancer cells. Consistently, sh-RNA mediated
knocking down of ITGB1 evidently reduced tumor growth and peritoneal
dissemination in in vivo Nod-scid SKOV3 orthotopic xenograft mice. Overall, the
present data suggested that ITGB1 upregulation was involved in the regulation of
tumorigenesis and disease exacerbation exerted by inflammatory cytokines as IL-6,
TGF-beta1 and SDF-1, and suggested that targeting ITGB1 and the underlying
inflammatory modulator was an attractive strategy for therapeutic intervention
during ovarian carcinogenesis.
PMID- 24931362
TI - Oocyte vitrification in the 21st century and post-warming fertility outcomes: a
systematic review and meta-analysis.
AB - Oocyte cryopreservation is a rapidly developing technology, which is increasingly
being used for various medical, legal and social reasons. There are
inconsistencies in information regarding survival rate and fertility outcomes.
This systematic review and meta-analysis provides evidence-based information
about oocyte survival and fertility outcomes post warming to help women to make
informed choices. All randomized and non-randomized, controlled and prospective
cohort studies using oocyte vitrification were included. The primary outcome
measure was ongoing pregnancy rate/warmed oocyte. Sensitivity analysis for donor
and non-donor oocyte studies was performed. Proportional meta-analysis of 17
studies, using a random-effects model, showed pooled ongoing pregnancy and
clinical pregnancy rates per warmed oocyte of 7%. Oocyte survival, fertilization,
cleavage, clinical pregnancy and ongoing pregnancy rates per warmed oocyte were
higher in donor versus non-donor studies. Comparing vitrified with fresh oocytes,
no statistically significant difference was observed in fertilization, cleavage
and clinical pregnancy rates, but ongoing pregnancy rate was reduced in the
vitrified group (odds ratio 0.74), with heterogeneity between studies.
Considering the age of women and the reason for cryopreservation, reasonable
information can be given to help women to make informed choices. Future studies
with outcomes from oocytes cryopreserved for gonadotoxic treatment may provide
more insight.
PMID- 24931363
TI - Large-scale solution-phase production of Bi2Te3 and PbTe nanowires using Te
nanowire templates.
AB - We report the first demonstration of large scale (>10 g) and high yield (>80%)
production of ultrathin (<15 nm) PbTe and Bi2Te3 nanowires in a low-cost solution
based process using Te nanowire templates. The PbTe or Bi2Te3 nanowires can be
compressed into high relative density disks with nanoscale grains.
PMID- 24931364
TI - Dermoscopic features of congenital acral melanocytic naevi in children: a
prospective comparative and follow-up study.
AB - BACKGROUND: Acral naevi are a peculiar subtype of naevus with specific
dermoscopic patterns. Little is known about congenital melanocytic naevi
affecting acral volar skin in children. OBJECTIVES: To determine the dermoscopic
features of acquired and congenital acral melanocytic naevi in children and to
assess their key differences in this age group. METHODS: This was a prospective
observational controlled study conducted in two outpatient dermatology university
hospitals in Nice, France. We recruited 24 children with 24 congenital acral
melanocytic naevi (CAMNs) and 26 children with 33 acquired acral melanocytic
naevi (AAMNs), and determined the clinical and dermoscopic features of both.
Images were evaluated and compared by two dermatologists. Fourteen patients with
CAMN were followed up. RESULTS: CAMNs were larger, and more asymmetrical and
comma shaped than AAMNs. The parallel furrow pattern was predominant in CAMN
(75%) and AAMN (79%). Globules were more frequent in CAMN (88%) than in AAMN
(61%) (P = 0.026), often with a 'pearl necklace' distribution along skin
markings. Central blue-grey pigmentation was present in 50% of CAMNs vs. 9% of
AAMNs (P = 0.001). A new dermoscopic feature of central enlarged pink ridges was
observed in 54% of CAMNs vs. 6% of AAMNs (P < 0.001). The follow-up of CAMNs did
not reveal the appearance of dermoscopic features of melanoma. CONCLUSIONS: CAMNs
in children have specific features compared with AAMNs. Our results suggest that
small CAMNs need not be excised, but should be followed up.
PMID- 24931365
TI - Eosinophilic esophagitis in children following cardiac transplantation:
association with post-transplant lymphoproliferative disorder and other
transplant outcomes.
AB - Although cardiac transplantation is life-saving, morbidities from
immunosuppression are significant. EoE is a complication of calcineurin
inhibitors following liver transplant causing feeding intolerance, weight loss,
vomiting, and dysphagia. There are limited reports of EoE following heart
transplantation. We performed a retrospective single-center review of pediatric
cardiac transplant patients from 2000 to 2010. A case-control analysis of
patients with and without EoE was performed evaluating heart transplantation
outcomes such as rates of rejection, CAV, PTLD, and graft loss. Eighty-six
transplants were performed in 84 patients; 34 (40%) underwent diagnostic
endoscopy, and 10 (12%) had EoE. Median time to diagnosis of EoE was 3.7 yr (IQR:
2.0-5.2). There were no differences in demographics or use of induction
medications between patients with or without EoE. Patients with EoE had fewer
episodes of treated rejection (1.0 vs. 2.5; p = 0.04). Four of 10 (40%) EoE
patients had PTLD compared with only 2/24 (8%) of those without EoE (p = 0.048;
OR 7.33 [95% CI: 1.1-50.2]). There were no differences in CAV or graft loss
between groups. EoE should be considered as a cause of GI symptoms in children
after cardiac transplantation and may be associated with fewer rejection episodes
and increased rates of PTLD, thus representing a marker of over
immunosuppression.
PMID- 24931366
TI - A new model of the role of psychological and emotional distress in promoting
obesity: conceptual review with implications for treatment and prevention.
AB - The lack of significant treatment and prevention progress highlights the need for
a more expanded strategy. Given the robust association between socioeconomic
factors and obesity, combined with new insights into how socioeconomic
disadvantage affects both behaviour and biology, a new causal model is proposed.
The model posits that psychological and emotional distress is a fundamental link
between socioeconomic disadvantage and weight gain. At particular risk are
children growing up in a disharmonious family environment, mainly caused by
parental socioeconomic disadvantage, where they are exposed to parental
frustrations, relationship discord, a lack of support and cohesion, negative
belief systems, unmet emotional needs and general insecurity. Without adequate
resilience, such experiences increase the risk of psychological and emotional
distress, including low self-esteem and self-worth, negative emotions, negative
self-belief, powerlessness, depression, anxiety, insecurity and a heightened
sensitivity to stress. These inner disturbances eventually cause a psycho
emotional overload, triggering a cascade of weight gain-inducing effects
including maladaptive coping strategies such as eating to suppress negative
emotions, chronic stress, appetite up-regulation, low-grade inflammation and
possibly reduced basal metabolism. Over time, this causes obesity, circular
causality and further weight gain. Tackling these proposed root causes of weight
gain could potentially improve both treatment and prevention outcomes.
PMID- 24931367
TI - Pctaire1/Cdk16 promotes skeletal myogenesis by inducing myoblast migration and
fusion.
AB - The Cdk-related protein kinase Pctaire1/Cdk16 is abundantly expressed in brain,
testis and skeletal muscle. Functional roles of Pctaire1 such as regulation of
neuron migration and neurite outgrowth thus far have been mainly elucidated in
the field of nervous system development. Although these regulations based on
cytoskeletal rearrangements evoke a possible role of Pctaire1 in the development
of skeletal muscle, little is known in this regard. In this study, we
demonstrated that myogenic differentiation and subsequent fusion is promoted in
Pctaire1 overexpressing cells, and conversely, is inhibited in the knockdown
cells. Furthermore, our findings suggest that Pctaire1 exerts promyogenic effects
by regulating myoblast migration and process formation during skeletal
myogenesis.
PMID- 24931368
TI - Paris. Editorial.
PMID- 24931369
TI - Activation of TRPV1 mediates thymic stromal lymphopoietin release via the
Ca2+/NFAT pathway in airway epithelial cells.
AB - The airway epithelium is exposed to a range of irritants in the environment that
are known to trigger inflammatory response such as asthma. Transient receptor
potential vanilloid 1 (TRPV1) is a Ca(2+)-permeable cation channel critical for
detecting noxious stimuli by sensory neurons. Recently increasing evidence
suggests TRPV1 is also crucially involved in the pathophysiology of asthma on
airway epithelium in human. Here we report that in airway epithelial cells TRPV1
activation potently induces allergic cytokine thymic stromal lymphopoietin (TSLP)
release. TSLP induction by protease-activated receptor (PAR)-2 activation is also
partially mediated by TRPV1 channels.
PMID- 24931370
TI - miR-96/HBP1/Wnt/beta-catenin regulatory circuitry promotes glioma growth.
AB - We found that miR-96 is overexpressed in glioma, and its level inversely
correlates with the survival of patients. The reduction in miR-96 abundance
suppresses the proliferation and colony formation of glioma cells. The
tumorigenicity of U-87 MG cells is reduced by miR-96 silencing. miR-96
contributes to the activation of Wnt/beta-catenin pathway in glioma cells. HMG
box transcription factor 1 (HBP-1), a Wnt/beta-catenin pathway inhibitor, is
suppressed by miR-96. The reactivation of Wnt/beta-catenin signaling causes an
increase in the proliferation of glioma cells, and a decrease in miR-96
expression. On the other hand, HBP1 silencing promotes miR-96 expression.
Collectively, miR-96 contributes to the progression of glioma by enhancing the
activation of the Wnt/beta-catenin pathway, and the miR-96/HBP1/Wnt/beta-catenin
regulatory circuitry promotes the proliferation of glioma cells.
PMID- 24931372
TI - Insight into the molecular interaction between the cyclic nucleotide-binding
homology domain and the eag domain of the hERG channel.
AB - The gating of the hERG channel is regulated by its eag domain through molecular
interaction with either the cyclic nucleotide-binding homology domain (CNBHD) or
the linker between transmembrane segments 4 and 5. Our NMR study on the purified
CNBHD demonstrated that it contains nine beta-strands and does not bind cAMP. We
show that the eag domain binds to the CBND through an interface containing
several disease-associated mutations. The N-terminal cap domain and R56 in the
eag domain are important for the interaction with the CNBHD. Residues from the
CNBHD that were affected by the interaction with the eag domain were also
identified. A R56Q mutation does not cause major structural changes in the eag
domain and showed reduced interaction with the CNBHD.
PMID- 24931371
TI - Membrane protein synthesis in cell-free systems: from bio-mimetic systems to bio
membranes.
AB - When taking up the gauntlet of studying membrane protein functionality,
scientists are provided with a plethora of advantages, which can be exploited for
the synthesis of these difficult-to-express proteins by utilizing cell-free
protein synthesis systems. Due to their hydrophobicity, membrane proteins have
exceptional demands regarding their environment to ensure correct functionality.
Thus, the challenge is to find the appropriate hydrophobic support that
facilitates proper membrane protein folding. So far, various modes of membrane
protein synthesis have been presented. Here, we summarize current state-of-the
art methodologies of membrane protein synthesis in biomimetic-supported systems.
The correct folding and functionality of membrane proteins depend in many cases
on their integration into a lipid bilayer and subsequent posttranslational
modification. We highlight cell-free systems utilizing the advantages of
biological membranes.
PMID- 24931373
TI - A possible iron delivery function of the dinuclear iron center of HcgD in [Fe]
hydrogenase cofactor biosynthesis.
AB - HcgD, a homolog of the ubiquitous Nif3-like protein family, is found in a gene
cluster involved in the biosynthesis of the iron-guanylylpyridinol (FeGP)
cofactor of [Fe]-hydrogenase. The presented crystal structure and biochemical
analyses indicated that HcgD has a dinuclear iron-center, which provides a
pronounced binding site for anionic ligands. HcgD contains a stronger and a
weaker bound iron; the latter being removable by chelating reagents
preferentially in the oxidized state. Therefore, we propose HcgD as an iron
chaperone in FeGP cofactor biosynthesis, which might also stimulate
investigations on the functionally unknown but physiologically important
eukaryotic Nif3-like protein family members.
PMID- 24931374
TI - Wheat germ systems for cell-free protein expression.
AB - Cell-free protein expression plays an important role in biochemical research.
However, only recent developments led to new methods to rapidly synthesize
preparative amounts of protein that make cell-free protein expression an
attractive alternative to cell-based methods. In particular the wheat germ system
provides the highest translation efficiency among eukaryotic cell-free protein
expression approaches and has a very high success rate for the expression of
soluble proteins of good quality. As an open in vitro method, the wheat germ
system is a preferable choice for many applications in protein research including
options for protein labeling and the expression of difficult-to-express proteins
like membrane proteins and multiple protein complexes. Here I describe wheat germ
cell-free protein expression systems and give examples how they have been used in
genome-wide expression studies, preparation of labeled proteins for structural
genomics and protein mass spectroscopy, automated protein synthesis, and
screening of enzymatic activities. Future directions for the use of cell-free
expression methods are discussed.
PMID- 24931376
TI - Single-enzyme kinetics with fluorogenic substrates: lessons learnt and future
directions.
AB - Single-molecule fluorescence techniques have developed into powerful tools for
studying the kinetics of biological reactions at the single-molecule level. Using
fluorogenic substrates, enzymatic reactions can be observed in real-time with
single-turnover resolution. The turnover sequence contains all kinetic
information, giving access to reaction substeps and dynamic processes such as
fluctuations in the reaction rate. Despite their clearly proven potential, the
accuracy of current measurements is limited by the availability of substrates
with 1:1 stoichiometry and the signal-to-noise ratio of the measurement. In this
review we summarize the state-of-the-art and discuss these limitations using
experiments performed with alpha-chymotrypsin as an example. We are further
providing an overview of recent efforts aimed at the improvement of fluorogenic
substrates and the development of new detection schemes. These detection schemes
utilize nanophotonic structures such as zero mode waveguides or nanoantennas.
Nanophotonic approaches reduce the size of the effective detection volume and are
a powerful strategy to increase the signal-to-noise ratio. We believe that a
combination of improved substrates and novel detection schemes will pave the way
for performing accurate single-enzyme experiments in biologically relevant
conditions.
PMID- 24931375
TI - The architect who never sleeps: tumor-induced plasticity.
AB - Tumor cell plasticity is an event that has been observed in several malignancies.
In fact, most of the solid tumors are characterized by cellular heterogeneity and
undergo constant changes as the tumor develops. The increased plasticity
displayed by these cells allows them to acquire additional properties, enabling
epithelial-mesenchymal transitions, dedifferentiation and the acquisition of stem
cell-like properties. Here we discuss the particular importance of an
inflammatory microenvironment for the bidirectional control of cellular
plasticity and the potential for therapeutic intervention.
PMID- 24931377
TI - An inhibition model of BPTI to unlinked dengue virus NS2B-NS3 protease.
AB - One approach to treating the dengue virus infection is to inhibit its NS2B-NS3
protease that plays a vital role in virus maturation. However, the lack of
structural information on the active conformation of the protease hindered
related drug design. With a co-expression system, we obtained the active two
component protease in its unlinked form. BPTI shows strong competitive inhibitory
activity (Ki = 6.5 nM) against this unlinked protease, which adopts a closed
conformation. Based on the biochemical and NMR perturbation information, an
inhibition model of BPTI to NS2B-NS3 protease is proposed.
PMID- 24931378
TI - The emerging age of cell-free synthetic biology.
AB - The engineering of and mastery over biological parts has catalyzed the emergence
of synthetic biology. This field has grown exponentially in the past decade. As
increasingly more applications of synthetic biology are pursued, more challenges
are encountered, such as delivering genetic material into cells and optimizing
genetic circuits in vivo. An in vitro or cell-free approach to synthetic biology
simplifies and avoids many of the pitfalls of in vivo synthetic biology. In this
review, we describe some of the innate features that make cell-free systems
compelling platforms for synthetic biology and discuss emerging improvements of
cell-free technologies. We also select and highlight recent and emerging
applications of cell-free synthetic biology.
PMID- 24931379
TI - Conformable GORE TAG thoracic endoprosthesis.
AB - US FDA approval of the conformable Gore TAG thoracic endoprosthesis for multiple
indications including aneurysm, traumatic transection and Type B dissection marks
significant progress in minimally invasive therapies for the treatment of
complex, life-threatening thoracic aortic pathology. This second generation
device, with its enhanced flexibility and conformability, is providing
improvements in both short- and long-term outcomes for many patients who may
otherwise be denied life-saving treatment for thoracic aortic pathology.
PMID- 24931380
TI - Prehospital management of supraventricular tachycardia in Victoria, Australia:
epidemiology and effectiveness of therapies.
AB - OBJECTIVE: The present study examined patient demographics, characteristics and
the effectiveness of current prehospital supraventricular tachycardia (SVT)
management by ambulance paramedics in Victoria, Australia. METHOD: We conducted a
retrospective study of all Victorian patients attended by paramedics between 14
February 2012 and 13 February 2013, where SVT was the initial or final diagnosis.
Patients were excluded if SVT was not captured on ECG, incomplete data were
recorded, or SVT began after initial assessment. Data were extracted from the
VACIS((r)) clinical data warehouse. Accuracy of paramedic SVT diagnosis was
examined. RESULTS: Nine hundred and thirty-three patients were enrolled,
including 882 (94.5%) adults and 564 (60.5%) women. Mean adult and paediatric
(<18 years) patient ages were 57.5 (SD 18.1) and 10.0 (SD 4.5) years,
respectively. Median ambulance response time was 11.0 (IQR 8.0) min. Paramedics
correctly identified SVT in 119/123 (96.7%, 95% CI: 91.5, 99.0) of adult ECG
strips examined. There were 273/882 (31.0%) patients who spontaneously reverted
while in paramedic care. Valsalva manoeuvre was undertaken by 212/882 (24.0%)
patients and reverted the SVT in 99/358 (27.7%) attempts. Verapamil was
administered to 38/882 (4.3%) patients and reverted 33 (86.8%). Aramine was
administered to 43/882 (4.9%) patients and 35 reverted following administration
(81.4%). Synchronised cardioversion (70 J) reverted four patients at first
attempt. Ultimately, 438 (49.7%) patients remained in SVT on arrival at hospital.
CONCLUSION: Patient characteristics associated with SVT are more likely to be
middle-aged women with a history of hypertension and hypercholesterolaemia.
Therapies were underutilised leading to reduced clinical guideline effectiveness.
Where therapies were instigated, reversion rates are greater than previously
reported.
PMID- 24931381
TI - Re. 'Abdominal hypertension and decompression: the effect on peritoneal
metabolism in an experimental porcine study'.
PMID- 24931382
TI - Response to letter to the editor Re "Abdominal hypertension and decompression:
the effect on peritoneal metabolism in an experimental porcine study".
PMID- 24931383
TI - Computed tomography for the diagnosis of solitary thin-walled cavity lung cancer.
AB - BACKGROUND AND AIM: Lung cancer is the most commonly diagnosed neoplasm and the
leading cause of cancer-related death worldwide. Despite the high incidence of
lung cancer, the diagnosis of solitary thin-walled cavity lung cancer is rare.
The aim of this review is to explore the potentials of computed tomography (CT)
as diagnostic tool for solitary thin-walled cavity lung cancer. METHOD: The
literature search was made in electronic databases including PudMed, Ovid SP,
Embase, Web of Sciences, EBSCO and Wiley online by using relevant key terms.
Because of the rarity of the subject, no precise exclusion or inclusion criteria
were used for article selection and the outcome dissemination was decided to be
more descriptive rather than quantitative. RESULTS: The detection of cavitation
in lungs is frequently done utilizing chest radiographs CT scans. However, the
diagnostic challenge remains the accurate detection of solitary thin-walled
cavity lung cancer among the prevalence of cavitary lung lesions in multiple
thoracic disorders including benign disorders, infectious disease and malignant
tumors. Moreover, an accurate diagnosis of solitary thin-walled cavity lung
cancer is further complicated by its subjective classification within the
literature. In order to facilitate early diagnosis of this disease and circumvent
the need for more invasive tests that may not be warranted, the overarching goal
is to establish definitive radiological features of lung cavities that are
indicative of malignancy. Herein, we describe the benefits of using CT to
identify and diagnose solitary thin-walled cavity lung cancer, as well as explore
the underlying mechanisms that contribute to thin-walled cavity formation in
oncology patients. CONCLUSION: CT is the best modality for the noninvasive
differentiation between malignant and nonmalignant cavities as it provides
reliable information regarding the morphology and density of lesions. Besides, CT
densitometry can efficiently detect the calcifications in lesions.
PMID- 24931384
TI - Discovery of 4-aryl-7-hydroxyindoline-based P2Y1 antagonists as novel
antiplatelet agents.
AB - Adenosine diphosphate (ADP)-mediated platelet aggregation is signaled through two
distinct G protein-coupled receptors (GPCR) on the platelet surface: P2Y12 and
P2Y1. Blocking P2Y12 receptor is a clinically well-validated strategy for
antithrombotic therapy. P2Y1 antagonists have been shown to have the potential to
provide equivalent antithrombotic efficacy as P2Y12 inhibitors with reduced
bleeding in preclinical animal models. We have previously reported the discovery
of a potent and orally bioavailable P2Y1 antagonist, 1. This paper describes
further optimization of 1 by introducing 4-aryl groups at the hydroxylindoline in
two series. In the neutral series, 10q was identified with excellent potency and
desirable pharmacokinetic (PK) profile. It also demonstrated similar
antithrombotic efficacy with less bleeding compared with the known P2Y12
antagonist prasugrel in rabbit efficacy/bleeding models. In the basic series, 20c
(BMS-884775) was discovered with an improved PK and liability profile over 1.
These results support P2Y1 antagonism as a promising new antiplatelet target.
PMID- 24931385
TI - Zeolites are no longer a challenge: atomic resolution data by aberration
corrected STEM.
AB - Transmission electron microscopy is undoubtedly an indispensable tool for
materials characterization, which can currently reach sub-angstrom resolution
down to the elemental building blocks of matter, isolated single atoms of most
elements. In addition to the phenomenal image resolution, if the material is
strong enough, it can be accompanied with chemical information, converting
electron microscopy into a unique method for the analysis of a great variety of
materials. Unfortunately, extracting all this valuable information is not simple
as most materials in one way or another are affected by the strong and localized
electron beam. Radiolysis is one kind of reaction between electrons and matter
than can cause irreversible structural transformations in our materials. This
effect is the predominant factor in zeolites, zeotypes and the majority of
molecular sieves. In the present work some results, taken at high voltage (300
kV) and minimizing the exposure to the beam, are presented proving the
feasibility of the technique to obtain unprecedented atomic resolution
information of different zeolites and microporous solids.
PMID- 24931386
TI - Electron-induced Ti-rich surface segregation on SrTiO3 nanoparticles.
AB - Atomic surface structures of nanoparticles are of interest in catalysis and other
fields. Aberration-corrected HREM facilitates direct imaging of the surfaces of
nanoparticles. A remaining concern of surface imaging arises from beam damage. It
is important to identify the intrinsic surface structures and the ones created by
electron beam irradiation in TEM. In this study, we performed aberration
corrected HREM and EELS to demonstrate that TiO and bcc type Ti islands form due
to intense electron irradiation. The formation of Ti-rich islands is in agreement
with previous high temperature annealing experiments on the surfaces of SrTiO3
single crystals.
PMID- 24931387
TI - Uncovering alternate charge transfer mechanisms in Escherichia coli chemically
functionalized with conjugated oligoelectrolytes.
AB - Conjugated oligoelectrolytes integrated in Escherichia coli have been proposed to
act as 'molecular wires', inducing extracellular electron transfer. This is
monitored through reduction of HAuCl4 to form gold nanoparticles. In contrast to
direct electron transfer, the reduction mechanism was traced to the release of
electroactive cytosolic components during oligoelectrolyte incorporation.
PMID- 24931388
TI - Improved high-speed capillary electrophoresis system using a short capillary and
picoliter-scale translational spontaneous injection.
AB - Here, we describe an improved high-speed CE (HSCE) system using a short capillary
and translational spontaneous sample injection. Several important factors for
consideration in system design as well as various factors influencing the
performance of the HSCE system were investigated in detail. The performance of
this HSCE system was demonstrated in electrophoretic separation of FITC-labeled
amino acids. Under optimized conditions, baseline separation of eight amino acids
and FITC were achieved in 21 s with the plate heights ranging from 0.20 to 0.31
MUm, corresponding to a separation rate up to 20 700 theoretical plates per
second. The separation speed and efficiency of the optimized high-speed CE system
are comparable to or even better than those reported in microchip-based CE
systems.
PMID- 24931389
TI - Insulin regulates primordial-follicle assembly in vitro by affecting germ-cell
apoptosis and elevating oestrogen.
AB - Insulin is a protein secreted by pancreatic beta-cells, which plays an important
role in the regulation of ovarian function. However, the specific molecular
mechanism of its function remains largely unknown. This study aimed to assess the
effect of insulin on mouse folliculogenesis using an in vitro ovary-culture
model. The results demonstrated that insulin promoted the proliferation of
ovarian granulosa cells in vitro, and thereby accelerated the progress of
folliculogenesis (the percentage of oocytes in cysts declined from 42.6% to
29.3%); however, the percentage of apoptotic oocytes increased after insulin
treatment. Further investigation indicated that apoptosis occurred mainly in germ
cell cysts. After 3 days of insulin treatment, oestrogen in the culture medium of
mouse ovaries significantly increased (P<0.01), while the lower dose of oestrogen
promoted primordial-follicle assembly in vitro. In conclusion, insulin promoted
folliculogenesis by facilitating germ-cell apoptosis within the cysts and
upregulating oestrogen levels.
PMID- 24931390
TI - Theoretical and experimental investigation of fluid rheology effects on modulated
ultrasound propagation.
AB - A mathematical model is developed and presented to capture the effect of
viscoelastic nature of a material on modulated ultrasound (US) pulses. The model
is established by considering perturbation of material elements subject to
modulated US pulses and by introducing the exponential relaxation of the
perturbed fluid elements with a spectrum of time constants. Both the model and
experimental findings revealed that consecutive perturbation of a material via
the modulated US pulses enabled to probe the relaxation times of similar order of
magnitudes to the frequency of the US modulation while filtering out the impact
of other relaxation times on the US measurement. The US experimental results were
verified by those of a conventional rheometer. Hence carrying out measurements at
different US modulation frequencies in the Hz ranges seems to allow one to obtain
the relaxation time spectrum of the investigated material in the time scales of
milliseconds to seconds.
PMID- 24931392
TI - Narcolepsy: let the patient's voice awaken us!
PMID- 24931391
TI - 17beta-estradiol regulates giant vesicle formation via estrogen receptor-alpha in
human breast cancer cells.
AB - A significant proportion of the genes regulated by 17-beta-estradiol (E2) via
estrogen receptor alpha (ERalpha) have roles in vesicle trafficking in breast
cancer. Intracellular vesicle trafficking and extracellular vesicles have
important roles in tumourigenesis. Here we report the discovery of giant (3
42MUm) intracellular and extracellular vesicles (GVs) and the role of E2 on
vesicle formation in breast cancer (BC) cell lines using three independent live
cell imaging techniques. Large diameter vesicles, GVs were also identified in a
patient-derived xenograft BC model, and in invasive breast carcinoma tissue.
ERalpha-positive (MCF-7 and T47D) BC cell lines demonstrated a significant
increase in GV formation after stimulation with E2 which was reversed by
tamoxifen. ERalpha-negative (MDA-MB-231 and MDA-MB-468) BC cell lines produced
GVs independently of E2 and tamoxifen. These results indicate the existence of
both intracellular and extracellular vesicles with considerably larger dimensions
than generally recognised with BC cells and suggest that the GVs are regulated by
E2 via ERalpha in ERalpha-positive BC but by E2-independent mechanisms in ER-ve
BC.
PMID- 24931393
TI - New-onset atrial fibrillation predicts heart failure progression.
AB - BACKGROUND: Atrial fibrillation and heart failure with reduced left ventricular
ejection fraction have interrelated pathophysiologies. New-onset atrial
fibrillation in heart failure patients has been associated with increased
mortality, but has not been definitively related to clinical heart failure
progression. METHODS: To test the hypothesis that new-onset atrial fibrillation
is related to clinical heart failure progression, in 2392 patients without atrial
fibrillation at randomization in the Beta-blocker Evaluation of Survival Trial we
measured clinical endpoints in patients who did (Group 1, n = 190) or did not
(Group 2, n = 2202) develop new-onset atrial fibrillation. Results were also
compared with the 303 patients who entered the trial in atrial fibrillation
(Baseline/chronic group), and in Group 1/2 patients we conducted a multivariate
analysis of covariates potentially related to time to first heart failure
hospitalization. RESULTS: Compared with Group 2, Group 1 patients post atrial
fibrillation onset had a ~2-fold increase in mortality (P < .0001) and a ~4.5
fold increase in all-cause or heart failure hospitalization days/patient
(hospitalization burden, both P < .0001). In Group 1, both types of
hospitalization burden were 2.9-fold greater than in the Baseline/chronic group
(P < .001), and hospitalization burden increased ~6-fold (P < .0001) compared
with the pre-event period. On multivariate analysis, new-onset atrial
fibrillation was a highly significant (P < .00001) predictor of heart failure
hospitalization. CONCLUSIONS: In addition to being a discrete electrophysiologic
event, in heart failure patients, new-onset atrial fibrillation is a predictor of
and trigger for clinical heart failure progression.
PMID- 24931395
TI - Risk factors for serious prescription opioid-related toxicity or overdose among
Veterans Health Administration patients.
AB - OBJECTIVE: Prescription opioid use and deaths related to serious toxicity,
including overdose, have increased dramatically in the United States since 1999.
However, factors associated with serious opioid-related respiratory or central
nervous system (CNS) depression or overdose in medical users are not well
characterized. The objective of this study was to examine the factors associated
with serious toxicity in medical users of prescription opioids. DESIGN:
Retrospective, nested, case-control analysis of Veterans Health Administration
(VHA) medical, pharmacy, and health care resource utilization administrative
data. SUBJECTS: Patients dispensed an opioid by VHA between October 1, 2010 and
September 30, 2012 (N=8,987). METHODS: Cases (N=817) experienced life-threatening
opioid-related respiratory/CNS depression or overdose. Ten controls were randomly
assigned to each case (N=8,170). Logistic regression was used to examine
associations with the outcome. RESULTS: The strongest associations were maximum
prescribed daily morphine equivalent dose (MED)>= 100 mg (odds ratio [OR]=4.1,
95% confidence interval [CI], 2.6-6.5), history of opioid dependence (OR=3.9, 95%
CI, 2.6-5.8), and hospitalization during the 6 months before the serious toxicity
or overdose event (OR=2.9, 95% CI, 2.3-3.6). Liver disease, extended-release or
long-acting opioids, and daily MED of 20 mg or more were also significantly
associated. CONCLUSIONS: Substantial risk for serious opioid-related toxicity and
overdose exists at even relatively low maximum prescribed daily MED, especially
in patients already vulnerable due to underlying demographic factors, comorbid
conditions, and concomitant use of CNS depressant medications or substances.
Screening patients for risk, providing education, and coprescribing naloxone for
those at elevated risk may be effective at reducing serious opioid-related
respiratory/CNS depression and overdose in medical users of prescription opioids.
PMID- 24931396
TI - Are we altering the natural history of primary myelofibrosis?
AB - Primary myelofibrosis (PMF) is a clonal hematologic malignancy with a variable
disease course; survival ranges from months to years. Historically, only
allogeneic hematopoietic stem cell transplantation (alloHSCT) has demonstrated an
ability to alter the natural history of PMF, but high treatment-related mortality
risks limit the utility of alloHSCT to a minority of patients with PMF or
myelofibrosis secondary to other myeloproliferative neoplasms. The recent
development of therapies that regulate the Janus kinase-signal transducer and
activator of transcription signaling pathway has changed the treatment landscape
from primarily palliative treatment to potential disease modification.
PMID- 24931397
TI - The role of proteoglycans in the reactive stroma on tumor growth and progression.
AB - The stroma surrounding tumors can either restrict or promote tumor growth and
progression, and both the cellular and non-cellular components of the stroma play
an active role. The cellular components in the surrounding stroma include tumor
associated fibroblasts, host tissue cells and immune cells. The non-cellular
components, which form the extracellular matrix (ECM) scaffold, include
proteoglycans, collagen, proteinases, growth factors and cytokines. For
tumorigenesis to occur it is necessary for tumor cells to modify the surrounding
stroma. Tumor cells have mechanisms for achieving this, such as co-opting
fibroblasts and modifying the ECM they produce, degrading the surrounding ECM
and/or synthesizing a favorable ECM to support invasion. Proteoglycans are an
important component of the ECM and play an active role in tumor growth and
progression. The expression and glycosylation patterns of proteoglycans are
altered in the stroma surrounding tumors and these molecules may support or
restrict tumor growth and progression depending on the type and stage of tumor.
In the present review we discuss the difference between the tumor promoting and
restricting stromal reactions surrounding tumors and the role proteoglycans play.
PMID- 24931398
TI - Intraframework migration of tetrahedral atoms in a zeolite.
AB - The transformation from a disordered into an ordered version of the zeolite
natrolite occurs on prolonged heating of this material in the crystallizing
medium, but not if the mother liquor is replaced by water or an alkaline
solution. This process occurs for both aluminosilicate and gallosilicate
analogues of natrolite. In cross experiments, the disordered Al-containing (or Ga
containing) analogue is heated while in contact with the mother liquor of the
opposite analogue, that is, the Ga-containing (or Al-containing) liquor.
Therefore, strong evidence for the mechanism of the ordering process was
obtained, which was thus proposed to proceed by intraframework migration of
tetrahedral atoms without diffusion along the pores. Migration is first
triggered, then fuelled by surface rearrangement through reactions with the
mother liquor, and stops when an almost fully ordered state is attained.
Classical dissolution-recrystallization and Ostwald ripening processes do not
appear to be relevant for this phase transformation.
PMID- 24931394
TI - PGM3 mutations cause a congenital disorder of glycosylation with severe
immunodeficiency and skeletal dysplasia.
AB - Human phosphoglucomutase 3 (PGM3) catalyzes the conversion of N-acetyl
glucosamine (GlcNAc)-6-phosphate into GlcNAc-1-phosphate during the synthesis of
uridine diphosphate (UDP)-GlcNAc, a sugar nucleotide critical to multiple
glycosylation pathways. We identified three unrelated children with recurrent
infections, congenital leukopenia including neutropenia, B and T cell
lymphopenia, and progression to bone marrow failure. Whole-exome sequencing
demonstrated deleterious mutations in PGM3 in all three subjects, delineating
their disease to be due to an unsuspected congenital disorder of glycosylation
(CDG). Functional studies of the disease-associated PGM3 variants in E. coli
cells demonstrated reduced PGM3 activity for all mutants tested. Two of the three
children had skeletal anomalies resembling Desbuquois dysplasia: short stature,
brachydactyly, dysmorphic facial features, and intellectual disability. However,
these additional features were absent in the third child, showing the clinical
variability of the disease. Two children received hematopoietic stem cell
transplantation of cord blood and bone marrow from matched related donors; both
had successful engraftment and correction of neutropenia and lymphopenia. We
define PGM3-CDG as a treatable immunodeficiency, document the power of whole
exome sequencing in gene discoveries for rare disorders, and illustrate the
utility of genomic analyses in studying combined and variable phenotypes.
PMID- 24931399
TI - Predictors of early introduction of complementary feeding: longitudinal study.
AB - BACKGROUND: Early introduction of complementary foods prior to 6 months of age
may shorten breast-feeding duration and expose the infant to increased risk of
morbidity and mortality. The aims of this study were to document the ages of
complementary food introduction and to identify the determinants associated with
early introduction of complementary feeding in Sichuan Province. METHODS: A
prospective study on infant feeding practices was conducted during 2010-2011 in
Jiangyou, China. A total of 695 mothers were recruited and interviewed at
discharge. The follow-up interviews were carried out by telephone at 1, 3 and 6
months postpartum. Cox regression analysis was performed to determine factors
related to early introduction of complementary feeding. RESULTS: The median age
at start of complementary feeding was 4.5 months (95% confidence interval [CI]:
4.4-4.6 months). Mothers who perceived that most of their friends breast-fed
(adjusted hazard ratio [HR], 0.70; 95%CI: 0.58-0.84) and those who returned to
work when the infants were at least 6 months old were less likely to initiate
complementary feeding early (adjusted HR, 0.73; 95%CI: 0.58-0.91), while infants
who had been introduced to infant formula regularly before 6 months of age were
at a higher risk of receiving complementary foods prematurely (adjusted HR, 1.81;
95%CI: 1.42-2.31). CONCLUSIONS: Introducing complementary feeding before 6 months
postpartum was widely practiced in Jiangyou. The appropriate age at which to
introduce complementary foods and its benefits need to be emphasized in the
future breast-feeding education strategies in Sichuan.
PMID- 24931400
TI - What is your diagnosis? Urine sediment changes in a dog with hemorrhagic shock
and disseminated intravascular coagulation after pyometra surgery.
PMID- 24931403
TI - Morphological approach to assess the involvement of astrocytes in prion
propagation.
AB - Transmissible Spongiform Encephalopathies (TSEs) are a group of neurodegenerative
disorders affecting animals and humans and for which no effective treatment is
available to date. Vacuolation, neuronal/neurite degeneration, deposition of
pathological prion protein (PrPsc) and gliosis are changes typically found in
brains from TSE affected individuals. However, the actual role of this last
feature, microgliosis and astrocytosis, has not been precisely determined. The
overall objective of this work is to assess the involvement of glial cells as
components of the host protective system in prion propagation; specifically, to
analyze the behavior of astroglial cells in prion progression. To achieve this
aim, histopathological and immunohistochemical techniques were carried out on
samples from cerebella using Scrapie as the prototype of natural TSEs as this
made it possible to assess different stages of the disease; specifically, ages
and genotypes from Scrapie-affected animals corresponding to different sources,
by using optical, confocal and electron microscopy. The results provided in the
present study demonstrate the indisputable involvement of astroglia in prion
progression by showing specific changes of this glial population matching up to
the evolution of the disease. Moreover, cerebellar lesions mainly associated to
Purkinje cells that have not previously been reported in animal prion diseases in
natural transmission are described here. The close relationship between PrPsc and
GFAP hiperimmunoreactivity and Purkinje cells, alongside the evident thickening
of their neurites at terminal stages demonstrated in this study, suggest that
these neurons are the main target of this neurodegenerative disease.
PMID- 24931404
TI - Defining the post-operative morbidity index for distal pancreatectomy.
AB - BACKGROUND: Accurate assessment of complications is critical in analysing
surgical outcomes. The post-operative morbidity index (PMI), derived from the
Modified Accordion Severity Grading System and American College of Surgeons
National Surgical Quality Improvement Program (ACS-NSQIP), is a quantitative
measure of post-operative morbidity. This study utilizes PMI to establish the
complication burden for a distal pancreatectomy (DP). METHODS: From 2005-2011,
nine centres contributed ACS-NSQIP complication data for 655 DPs. Each
complication was assigned an Accordion severity weight ranging from 0.11 for
grade 1 to 1.00 for grade 6 (death). The PMI is the sum of complication severity
weights divided by the total number of patients. RESULTS: ACS-NSQIP complications
occurred in 177 patients (27.0%). The non risk-adjusted PMI for DP is 0.087.
Bleeding/Transfusion and Organ Space Infection were the most common
complications. Frequency and burden differed across Accordion grades. While grade
4-6 complications represented only 15.4% of complication occurrences, they
accounted for 30.4% of the burden. Subgroup analysis demonstrates that the PMI
did not vary based on laparoscopic versus open approach or the performance of a
splenectomy. DISCUSSION: This study uses two validated systems to quantitatively
establish the morbidity of a DP. The PMI allows estimation of both the frequency
and severity of complications and thus provides a more comprehensive assessment
of risk.
PMID- 24931401
TI - Same task, different strategies: how brain networks can be influenced by memory
strategy.
AB - Previous functional neuroimaging studies demonstrated that different neural
networks underlie different types of cognitive processing by engaging
participants in particular tasks, such as verbal or spatial working memory (WM)
tasks. However, we report here that even when a WM task is defined as verbal or
spatial, different types of memory strategies may be used to complete it, with
concomitant variations in brain activity. We developed a questionnaire to
characterize the type of strategy used by individual members in a group of 28
young healthy participants (18-25 years) during a spatial WM task. A cluster
analysis was performed to differentiate groups. We acquired functional
magnetoencephalography and structural diffusion tensor imaging measures to
characterize the brain networks associated with the use of different strategies.
We found two types of strategies were used during the spatial WM task, a
visuospatial and a verbal strategy, and brain regions and time courses of
activation differed between participants who used each. Task performance also
varied by type of strategy used with verbal strategies showing an advantage. In
addition, performance on neuropsychological tests (indices from Wechsler Adult
Intelligence Scale-IV, Rey Complex Figure Test) correlated significantly with
fractional anisotropy measures for the visuospatial strategy group in white
matter tracts implicated in other WM and attention studies. We conclude that
differences in memory strategy can have a pronounced effect on the locations and
timing of brain activation and that these differences need further investigation
as a possible confounding factor for studies using group averaging as a means for
summarizing results.
PMID- 24931406
TI - A critical component of meiotic drive in Neurospora is located near a chromosome
rearrangement.
AB - Neurospora fungi harbor a group of meiotic drive elements known as Spore killers
(Sk). Spore killer-2 (Sk-2) and Spore killer-3 (Sk-3) are two Sk elements that
map to a region of suppressed recombination. Although this recombination block is
limited to crosses between Sk and Sk-sensitive (Sk(S)) strains, its existence has
hindered Sk characterization. Here we report the circumvention of this obstacle
by combining a classical genetic screen with next-generation sequencing
technology and three-point crossing assays. This approach has allowed us to
identify a novel locus called rfk-1, mutation of which disrupts spore killing by
Sk-2. We have mapped rfk-1 to a 45-kb region near the right border of the Sk-2
element, a location that also harbors an 11-kb insertion (Sk-2(INS1)) and part of
a >220-kb inversion (Sk-2(INV1)). These are the first two chromosome
rearrangements to be formally identified in a Neurospora Sk element, providing
evidence that they are at least partially responsible for Sk-based recombination
suppression. Additionally, the proximity of these chromosome rearrangements to
rfk-1 (a critical component of the spore-killing mechanism) suggests that they
have played a key role in the evolution of meiotic drive in Neurospora.
PMID- 24931405
TI - Testing models of the APC tumor suppressor/beta-catenin interaction reshapes our
view of the destruction complex in Wnt signaling.
AB - The Wnt pathway is a conserved signal transduction pathway that contributes to
normal development and adult homeostasis, but is also misregulated in human
diseases such as cancer. The tumor suppressor adenomatous polyposis coli (APC) is
an essential negative regulator of Wnt signaling inactivated in >80% of
colorectal cancers. APC participates in a multiprotein "destruction complex" that
targets the proto-oncogene beta-catenin for ubiquitin-mediated proteolysis;
however, the mechanistic role of APC in the destruction complex remains unknown.
Several models of APC function have recently been proposed, many of which have
emphasized the importance of phosphorylation of high-affinity beta-catenin
binding sites [20-amino-acid repeats (20Rs)] on APC. Here we test these models by
generating a Drosophila APC2 mutant lacking all beta-catenin-binding 20Rs and
performing functional studies in human colon cancer cell lines and Drosophila
embryos. Our results are inconsistent with current models, as we find that beta
catenin binding to the 20Rs of APC is not required for destruction complex
activity. In addition, we generate an APC2 mutant lacking all beta-catenin
binding sites (including the 15Rs) and find that a direct beta-catenin/APC
interaction is also not essential for beta-catenin destruction, although it
increases destruction complex efficiency in certain developmental contexts.
Overall, our findings support a model whereby beta-catenin-binding sites on APC
do not provide a critical mechanistic function per se, but rather dock beta
catenin in the destruction complex to increase the efficiency of beta-catenin
destruction. Furthermore, in Drosophila embryos expressing some APC2 mutant
transgenes we observe a separation of beta-catenin destruction and Wg/Wnt
signaling outputs and suggest that cytoplasmic retention of beta-catenin likely
accounts for this difference.
PMID- 24931408
TI - A simple regression-based method to map quantitative trait loci underlying
function-valued phenotypes.
AB - Most statistical methods for quantitative trait loci (QTL) mapping focus on a
single phenotype. However, multiple phenotypes are commonly measured, and recent
technological advances have greatly simplified the automated acquisition of
numerous phenotypes, including function-valued phenotypes, such as growth
measured over time. While methods exist for QTL mapping with function-valued
phenotypes, they are generally computationally intensive and focus on single-QTL
models. We propose two simple, fast methods that maintain high power and
precision and are amenable to extensions with multiple-QTL models using a
penalized likelihood approach. After identifying multiple QTL by these
approaches, we can view the function-valued QTL effects to provide a deeper
understanding of the underlying processes. Our methods have been implemented as a
package for R, funqtl.
PMID- 24931407
TI - Operons are a conserved feature of nematode genomes.
AB - The organization of genes into operons, clusters of genes that are co-transcribed
to produce polycistronic pre-mRNAs, is a trait found in a wide range of
eukaryotic groups, including multiple animal phyla. Operons are present in the
class Chromadorea, one of the two main nematode classes, but their distribution
in the other class, the Enoplea, is not known. We have surveyed the genomes of
Trichinella spiralis, Trichuris muris, and Romanomermis culicivorax and
identified the first putative operons in members of the Enoplea. Consistent with
the mechanism of polycistronic RNA resolution in other nematodes, the mRNAs
produced by genes downstream of the first gene in the T. spiralis and T. muris
operons are trans-spliced to spliced leader RNAs, and we are able to detect
polycistronic RNAs derived from these operons. Importantly, a putative
intercistronic region from one of these potential enoplean operons confers
polycistronic processing activity when expressed as part of a chimeric operon in
Caenorhabditis elegans. We find that T. spiralis genes located in operons have an
increased likelihood of having operonic C. elegans homologs. However, operon
structure in terms of synteny and gene content is not tightly conserved between
the two taxa, consistent with models of operon evolution. We have nevertheless
identified putative operons conserved between Enoplea and Chromadorea. Our data
suggest that operons and "spliced leader" (SL) trans-splicing predate the
radiation of the nematode phylum, an inference which is supported by the
phylogenetic profile of proteins known to be involved in nematode SL trans
splicing.
PMID- 24931411
TI - Current status and future direction of cardiac resynchronization therapy for
congenital heart disease and pediatric patients.
PMID- 24931409
TI - Neuronal remodeling during metamorphosis is regulated by the alan shepard (shep)
gene in Drosophila melanogaster.
AB - Peptidergic neurons are a group of neuronal cells that synthesize and secrete
peptides to regulate a variety of biological processes. To identify genes
controlling the development and function of peptidergic neurons, we conducted a
screen of 545 splice-trap lines and identified 28 loci that drove expression in
peptidergic neurons when crossed to a GFP reporter transgene. Among these lines,
an insertion in the alan shepard (shep) gene drove expression specifically in
most peptidergic neurons. shep transcripts and SHEP proteins were detected
primarily and broadly in the central nervous system (CNS) in embryos, and this
expression continued into the adult stage. Loss of shep resulted in late pupal
lethality, reduced adult life span, wing expansion defects, uncoordinated adult
locomotor activities, rejection of males by virgin females, and reduced neuropil
area and reduced levels of multiple presynaptic markers throughout the adult CNS.
Examination of the bursicon neurons in shep mutant pharate adults revealed
smaller somata and fewer axonal branches and boutons, and all of these cellular
phenotypes were fully rescued by expression of the most abundant wild-type shep
isoform. In contrast to shep mutant animals at the pharate adult stage, shep
mutant larvae displayed normal bursicon neuron morphologies. Similarly, shep
mutant adults were uncoordinated and weak, while shep mutant larvae displayed
largely, although not entirely, normal locomotor behavior. Thus, shep played an
important role in the metamorphic development of many neurons.
PMID- 24931410
TI - Nucleoporin FG domains facilitate mRNP remodeling at the cytoplasmic face of the
nuclear pore complex.
AB - Directional export of messenger RNA (mRNA) protein particles (mRNPs) through
nuclear pore complexes (NPCs) requires multiple factors. In Saccharomyces
cerevisiae, the NPC proteins Nup159 and Nup42 are asymmetrically localized to the
cytoplasmic face and have distinct functional domains: a phenylalanine-glycine
(FG) repeat domain that docks mRNP transport receptors and domains that bind the
DEAD-box ATPase Dbp5 and its activating cofactor Gle1, respectively. We
speculated that the Nup42 and Nup159 FG domains play a role in positioning mRNPs
for the terminal mRNP-remodeling steps carried out by Dbp5. Here we find that
deletion (Delta) of both the Nup42 and Nup159 FG domains results in a cold
sensitive poly(A)+ mRNA export defect. The nup42DeltaFG nup159DeltaFG mutant also
has synthetic lethal genetic interactions with dbp5 and gle1 mutants. RNA cross
linking experiments further indicate that the nup42DeltaFG nup159DeltaFG mutant
has a reduced capacity for mRNP remodeling during export. To further analyze the
role of these FG domains, we replaced the Nup159 or Nup42 FG domains with FG
domains from other Nups. These FG "swaps" demonstrate that only certain FG
domains are functional at the NPC cytoplasmic face. Strikingly, fusing the Nup42
FG domain to the carboxy-terminus of Gle1 bypasses the need for the endogenous
Nup42 FG domain, highlighting the importance of proximal positioning for these
factors. We conclude that the Nup42 and Nup159 FG domains target the mRNP to Gle1
and Dbp5 for mRNP remodeling at the NPC. Moreover, these results provide key
evidence that character and context play a direct role in FG domain function and
mRNA export.
PMID- 24931412
TI - Perioperative plasma neutrophil gelatinase-associated lipocalin measurement in
patients who undergo left ventricular assist device implantation surgery.
AB - BACKGROUND: Perioperative complication of end-organ injury including acute kidney
injury (AKI) is a frequent and severe problem for patients undergoing left
ventricular assist device (LVAD) implantation. This study evaluated an emerging
AKI biomarker, plasma neutrophil gelatinase-associated lipocalin (NGAL), in a
LVAD implantation cohort. METHODS AND RESULTS: Of 31 LVAD implantation patients
enrolled to this study, 17 (55%) patients were diagnosed as having AKI. Six AKI
patients showed severe AKI requiring renal replacement therapy (RRT). Plasma NGAL
values in the AKI-with-RRT group (n=6) were significantly higher than that in
other patients, although the AKI-without-RRT (n=11) group showed a similar level
of plasma NGAL to that of the non-AKI group (n=14). Multiple logistic regression
analysis revealed that plasma NGAL measured at pre-operation and central venous
pressure at pre-operation and 12 h after surgery independently discriminated
against postoperative RRT requirement. In the AKI-with-RRT group, plasma NGAL
decreased before termination of RRT in 4 patients who eventually showed renal
recovery, although no decline of plasma NGAL was observed in 2 patients who
showed no recovery of renal function. Removal of blood NGAL by continuous
hemodiafiltration was shown to be 70-75% lower than that of creatinine.
CONCLUSIONS: Measurement of perioperative plasma NGAL is useful for predicting
severe AKI requiring RRT and renal recovery in patients who have had LVAD
implantation surgery. Further investigation is necessary to confirm these
findings because this study examined a low number of patients.
PMID- 24931413
TI - Implementation of a low-cost laparoscopic skills curriculum in a third-world
setting.
AB - BACKGROUND: Training outside the operating room has become a mainstay of surgical
education. Laparoscopic training often takes place in a simulation setting.
Advanced laparoscopic procedures are now commonplace, even in third-world
countries with minimal hospital resources. We sought to implement a low-cost
laparoscopic skills curriculum in a general surgery residency program in East
Africa. STUDY DESIGN: The laparoscopic skills curriculum created and validated at
the University of Kentucky was presented to the 10 general surgery residents at
Tenwek Hospital. The curriculum and all materials were purchased for
approximately $50 (USD). The residents in Kenya had access to laparoscopic
trainer boxes and personal laptops to perform the simulations. Residents were
timed on their performance at the initiation of the project and after 3 weeks of
practice. RESULTS: Residents were tested on 3 separate tasks (cannulation drill,
peg board, and rope pass). At the initiation of the project, residents were
unable to complete the 3 tasks chosen for timing without a critical error (i.e.,
dropping a peg out of view). After 3 weeks of independent practice, residents
were able to successfully complete the tasks, nearing the time limits established
in the curriculum manual. Additional practice and testing sessions are scheduled
for the remainder of the year. CONCLUSIONS: Implementation of a low-cost
laparoscopic skills curriculum in a third-world setting is feasible. This
approach offers much-needed exposure and opportunities for residents with
extremely limited resources and promises to be a vital aspect of the growing
surgical residency training in third-world settings.
PMID- 24931415
TI - Do medical students in the operating room affect patient care? An analysis of one
institution's experience over the past five years.
AB - BACKGROUND: Medical students are active learners in operating rooms during
medical school. This observational study seeks to investigate the effect of
medical students on operative time and complications. METHODS: Data from the
American College of Surgeons National Surgical Quality Improvement Program was
linked to operative records for nonemergent, inpatient general surgery cases at
our institution from 1 January 2009 to 1 January 2013. Cases were grouped into 13
distinct procedure groups. Hospital records provided information on the presence
of medical students. Demographics, comorbidities, intraoperative variables, and
postoperative complications were analyzed. RESULTS: Overall, 2481 cases were
included. Controlling for wound class, procedure group, and surgeon, medical
students were associated with an additional 14 minutes of operative time. No
association between medical students and postoperative complications was
observed. CONCLUSIONS: The educational benefits gained by the presence of medical
students do not appear to jeopardize the quality of patient care.
PMID- 24931414
TI - Surgeon as educator: bedside ultrasound in hypertrophic pyloric stenosis.
AB - OBJECTIVE: Our institution has demonstrated the diagnostic accuracy of surgeon
performed ultrasound (US) in the diagnosis of hypertrophic pyloric stenosis
(HPS). Moreover, we have also shown this modality to be accurate and reproducible
through surgeon-to-surgeon instruction. The purpose of this study was to
determine whether a surgical resident with experience in diagnosing HPS can teach
pediatric emergency medicine (PEM) fellows, with little experience in sonography,
to accurately measure the pyloric channel with bedside US. METHODS: A surgical
resident with experience in diagnosing HPS with US-proctored 4 emergency medicine
fellows for 5 bedside US examinations each. A PEM fellow, who was blinded to the
results from the radiology department US, then performed bedside US and measured
the pyloric channel in patients presenting to the emergency department with HPS.
Results between the radiology department and the fellows were compared using the
Student t test. RESULTS: In total, 18 USs were performed on 17 patients. There
were no false-negative or false-positive results. There was no statistical
difference between the radiology department and fellow measurement when
evaluating muscle width (p = 0.21, mean deviation = 0.2 mm) or channel length (p
= 0.47, mean deviation = 0.6 mm). CONCLUSION: Bedside-performed US technique for
measuring the pylorus length and width in patients with HPS is reproducible and
accurate when taught to PEM providers. The learning curve for this technique is
short.
PMID- 24931416
TI - Do residents receive the same OR guidance as surgeons report? Difference between
residents' and surgeons' perceptions of OR guidance.
AB - PURPOSE: Operating room (OR) guidance is important for surgical residents'
performance and, ultimately, for the development of independence and autonomy.
This study explores the differences in surgical residents' and attending
surgeons' perceptions of OR guidance in prerecorded surgical cases. METHODS: A
total of 9 attending surgeons and 8 surgical residents observed 8 prerecorded
surgical cases and were asked to identify both the presence and the type of
attending surgeons' OR guidance. Each recorded case was observed by 2 attending
surgeons and 1 resident. A previously developed taxonomy for types of OR guidance
was applied to analyze the data to explore the difference. Agreement by both
attending surgeons on the presence and the type of OR guidance served as the
concordant guidance behaviors to which the responses of the residents were
compared. RESULTS: Overall, 116 OR guidance events were identified. Attending
surgeons agreed on the presence of guidance in 80 of 116 (69.8%) events and
consistently identified the type of OR guidance in 91.4% (73/80, Cohen kappa =
0.874) of them. However, surgical residents only agreed with attending surgeons
on the presence of guidance in 61.25% (49/80) of the events. In addition, there
was significant disagreement (Cohen kappa = 0.319) between surgical residents and
attending surgeons in the type of OR guidance; the residents only identified
54.8% (40/73) of concordant guidance behaviors in the same guidance category as
both the surgeons. Among the types of OR guidance, residents and attending
surgeons were most likely to agree on the teaching guidance (66.67%) and least
likely to agree on the assisting guidance (36.84%). CONCLUSIONS: Surgical
residents and attending surgeons have different perceptions of both the presence
and the type of OR guidance. This difference in perception of OR guidance has
important implications for the efficiency of training surgical residents in the
OR, and, ultimately on residents' development of independence and autonomy.
PMID- 24931418
TI - Molecular modeling study of the recognition mechanism and enantioseparation of 4
hydroxypropranolol by capillary electrophoresis using carboxymethyl-beta
cyclodextrin as the chiral selector.
AB - The purpose of this paper was to study at the molecular level the
enantioseparation mechanism of 4-hydroxypropranolol (4-OH-Prop) with
carboxymethyl-beta-cyclodextrin (CM-beta-CD) using a sequential methodology which
included molecular dynamics simulations (MD), and Parametric Model 3 (PM3)
semiempirical and density functional theory (DFT) calculations. A systematic
structural analysis indicated that hydrogen bonds formed between the host and
guests play a major role in the complex stabilization. The inclusion complex (+)
(R)-4-OH-Prop/CM-beta-CD showed three strong intermolecular hydrogen bonds.
Moreover, the guest inclusion process made from a wider CD rim presented lower
energies (interaction and Gibbs free energy) in comparison to the inclusion made
by a narrower CD rim in both gas and aqueous phases. This difference in energies
of drug/CM-beta-CD inclusion complexes is probably a measure of chiral
discrimination, which results in the separation of the enantiomers and the
distinct separation factors as observed in previous experimental findings.
Comparing the experimental results of the separation of 4-OH-Prop enantiomers by
capillary electrophoresis (CE), the proposed theoretical model demonstrated good
capability to predict chiral separation of 4-OH-Prop enantiomers as well as the
qualitative estimative of chiral recognition mechanism.
PMID- 24931417
TI - CCL2 release by airway smooth muscle is increased in asthma and promotes
fibrocyte migration.
AB - BACKGROUND: Asthma is characterized by variable airflow obstruction, airway
inflammation, airway hyper-responsiveness and airway remodelling. Airway smooth
muscle (ASM) hyperplasia is a feature of airway remodelling and contributes to
bronchial wall thickening. We sought to investigate the expression levels of
chemokines in primary cultures of ASM cells from asthmatics vs healthy controls
and to assess whether differentially expressed chemokines (i) promote fibrocyte
(FC) migration towards ASM and (ii) are increased in blood from subjects with
asthma and in sputum samples from those asthmatics with bronchial wall
thickening. METHODS: Chemokine concentrations released by primary ASM were
measured by MesoScale Discovery platform. The chemokine most highly expressed by
ASM from asthmatics compared with healthy controls was confirmed by ELISA, and
expression of its cognate chemokine receptor by FCs was examined by
immunofluorescence and flow cytometry. The role of this chemokine in FC migration
towards ASM was investigated by chemotaxis assays. RESULTS: Chemokine (C-C motif)
ligand 2 (CCL2) levels were increased in primary ASM supernatants from asthmatics
compared with healthy controls. CCR2 was expressed on FCs. Fibrocytes migrated
towards recombinant CCL2 and ASM supernatants. These effects were inhibited by
CCL2 neutralization. CCL2 levels were increased in blood from asthmatics compared
with healthy controls, and sputum CCL2 was increased in asthmatics with bronchial
wall thickening. CONCLUSIONS: Airway smooth muscle-derived CCL2 mediates FC
migration and potentially contributes to the development of ASM hyperplasia in
asthma.
PMID- 24931419
TI - Statistical considerations associated with a comprehensive regulatory framework
to address the unmet need for new antibacterial therapies.
AB - At present, there are situations in antibiotic drug development where the low
number of enrollable patients with key problem pathogens makes it impossible to
conduct fully powered non-inferiority trials in the traditional way. Recent
regulatory changes have begun to address this situation. In parallel, statistical
issues regarding the application of alternative techniques, balancing the unmet
need with the level of certainty in the approval process, and the use of
additional sources of data are critical areas to increase development
feasibility. Although such approaches increase uncertainty compared with a
traditional development program, this will be necessary to allow new agents to be
made available. Identification of these risks and explicit discussion around
requirements in these areas should help clarify the situation, and hence, the
feasibility of developing drugs to treat the most concerning pathogens before the
unmet need becomes even more acute than at present.
PMID- 24931420
TI - A novel F8 -/- rat as a translational model of human hemophilia A.
AB - BACKGROUND: In preclinical hemophilia research, an animal model that reflects
both the phenotype and the pathology of the disease is needed. OBJECTIVES: Here,
we describe the generation and characterization of a novel genetically engineered
F8(-/-) rat model. METHODS: The rats were produced on a Sprague Dawley background
with the zinc finger nuclease technique. A founder with a 13-bp deletion in exon
16 causing a premature translational stop in the C-terminal part of the A3 domain
of factor VIII was selected, and a breeding colony was established. RESULTS:
Seventy per cent of the homozygous rats had clinically manifest spontaneous
hemorrhagic episodes that needed treatment. The F8(-/-) rats had no detectable
FVIII activity, and had a significantly prolonged activated partial
thromboplastin time (APTT) and clot formation time as compared with wild-type
(WT)/WT rats. In vitro spiking of rat plasma with human recombinant FVIII
resulted in dose-dependent normalization of the APTT. CONCLUSION: On the basis of
the targeted deletion in F8, and the distinct physical and analytic
characteristics of the rat, we conclude that an FVIII-deficient rat strain has
been generated that has the potential to contribute greatly to translational
research.
PMID- 24931421
TI - Tacrolimus dosage requirements in lung transplant recipients receiving antifungal
prophylaxis with voriconazole followed by itraconazole: a preliminary prospective
study.
AB - BACKGROUND: Concomitant administration of the triazole antifungals, voriconazole
or itraconazole, with tacrolimus can result in significant drug interaction in
the transplant recipient. Limited published information exists regarding
tacrolimus dosing when transitioning from voriconazole to itraconazole. The
objective of this study was to evaluate the extent of the drug interaction with
antifungal prophylaxis using voriconazole followed by a change to itraconazole in
lung transplant recipients receiving tacrolimus. METHODS: This prospective study
included lung transplant recipients receiving antifungal prophylaxis with
voriconazole followed by a switch to itraconazole. Patients were followed from
the time of transplant until two months after converting to itraconazole. All
patients received standard immunosuppression with tacrolimus, mycophenolate
mofetil, and a corticosteroid. Tacrolimus dose normalized concentrations using
concentration/dose ratio were compared while receiving voriconazole versus
itraconazole. RESULTS: Twenty lung transplant recipients were included in the
final analysis. No difference was found with the tacrolimus dose normalized
concentrations on voriconazole 254 +/- 28 (ng/mL)/(mg/kg) compared with
itraconazole 234 +/- 34 (ng/mL)/(mg/kg), p = 0.65. CONCLUSION: Tacrolimus dosage
adjustments were not necessary when converting from voriconazole to itraconazole.
Validation in a larger population is needed to confirm these findings.
PMID- 24931422
TI - Metal ion-mediated assembly of DNA nanostructures for cascade fluorescence
resonance energy transfer-based fingerprint analysis.
AB - Contamination of heavy metal ions in an aquatic environment poses a serious
threat to human health. More seriously, heavy metal ions are usually present in
the environment in a mixture, and the synergetic toxicity of multiple heavy metal
ions is revealed (Aragay et al. Chem. Rev. 2011, 111, 3433; Chu et al. Aquat.
Toxicol. 2002, 61, 53). Unfortunately, most of the existing methods based on DNA
sequences are focusing on the detection of one type of metal ions. Simple and
multiplexed detection of multiple metal ions has been poorly investigated and
remains challenging. Here, we re-engineered the DNA sequences for Pb(2+), Hg(2+),
and Ag(+), through which the binding of multiple metal ions initiated the self
assembly of these DNA sequences. On the basis of our rationally designed
multicolor fluorescent labeling of the DNA sequences, cascade fluorescence
resonance energy transfer (FRET) occurred. As a result, a fingerprint fluorescent
spectrum was produced to indicate the presence of a single type of metal ions or
multiple metal ions. The major advantages of our cascade FRET fingerprint
technology include the following: (1) the "mix and read" detection mode in
homogeneous solution is simple without the need of complicated instruments; (2)
only single excitation is required to provide the cascade FRET fingerprint
spectrum; (3) multiplexed detection capability can be realized intuitively and
sensitively.
PMID- 24931424
TI - Sonochemical synthesis of Pr-doped ZnO nanoparticles for sonocatalytic
degradation of Acid Red 17.
AB - Undoped and Pr-doped ZnO nanoparticles were prepared using a simple sonochemical
method, and their sonocatalytic activity was investigated toward degradation of
Acid Red 17 (AR17) under ultrasonic (US) irradiation. Synthesized nanoparticles
were characterized by X-ray diffraction (XRD), Fourier transform infrared
spectroscopy (FT-IR), scanning electron microscopy (SEM), transmission electron
microscopy (TEM) and X-ray photoelectron spectroscopy (XPS) techniques. The
extent of sonocatalytic degradation was higher compared with sonolysis alone. The
decolorization efficiency of sonolysis alone, sonocatalysis with undoped ZnO and
5% Pr-doped ZnO was 24%, 46% and 100% within reaction time of 70min,
respectively. Sonocatalytic degradation of AR17 increased with increasing the
amount of dopant and catalyst dosage and decreasing initial dye concentration.
Natural pH was favored the sonocatalytic degradation of AR17. With the addition
of chloride, carbonate and sulfate as radical scavengers, the decolorization
efficiency was decreased from 100% to 65%, 71% and 89% at the reaction time of
70min, respectively, indicating that the controlling mechanism of sonochemical
degradation of AR17 is the free radicals (not pyrolysis). The addition of
peroxydisulfate and hydrogen peroxide as enhancer improved the degradation
efficiency from 79% to 85% and 93% at the reaction time of 50min, respectively.
The result showed good reusability of the synthesized sonocatalyst.
PMID- 24931423
TI - Differential activation of placental unfolded protein response pathways implies
heterogeneity in causation of early- and late-onset pre-eclampsia.
AB - Based on gestational age at diagnosis and/or delivery, pre-eclampsia (PE) is
commonly divided into early-onset (<34 weeks) and late-onset (>=34 weeks) forms.
Recently, the distinction between 'placental' and 'maternal' causation has been
proposed, with 'placental' cases being more frequently associated with early
onset and intrauterine growth restriction. To test whether molecular placental
pathology varies according to clinical presentation, we investigated stress
signalling pathways, including unfolded protein response (UPR) pathways, MAPK
stress pathways, heat-shock proteins and AMPKalpha in placentae delivered by
caesarean section for clinical indications at different gestational ages.
Controls included second-trimester, pre-term and normal-term placentae. BeWo
cells were used to investigate how these pathways react to different severities
of hypoxia-reoxygenation (H/R) and pro-inflammatory cytokines. Activation of
placental UPR and stress-response pathways, including P-IRE1alpha, ATF6, XBP-1,
GRP78 and GRP94, P-p38/p38 and HSP70, was higher in early-onset PE than in both
late-onset PE and normotensive controls (NTCs), with a clear inflection around 34
weeks. Placentae from >= 34 weeks PE and NTC were indistinguishable. Levels of
UPR signalling were similar between second-trimester and term controls, but were
significantly higher in pre-term 'controls' delivered vaginally for
chorioamnionitis and other conditions. Severe H/R (1/20% O2 ) induced equivalent
activation of UPR pathways, including P-eIF2alpha, ATF6, P-IRE1alpha, GRP78 and
GRP94, in BeWo cells. By contrast, the pro-inflammatory cytokines TNFalpha and IL
1beta induced only mild activation of P-eIF2alpha and GRP78. AKT, a central
regulator of cell proliferation, was reduced in the < 34 weeks PE placentae and
severe H/R-treated cells, but not in other conditions. These findings provide the
first molecular evidence that placental stress may contribute to the
pathophysiology of early-onset pre-eclampsia, whereas that is unlikely to be the
case in the late-onset form of the syndrome.
PMID- 24931425
TI - Rapid and efficient one-pot synthesis of spiro[indoline-3,4'-pyrano[2, 3
c]pyrazole] derivatives catalyzed by l-proline under ultrasound irradiation.
AB - A rapid and efficient ultrasound-promoted one-pot synthesis of spiro[indoline
3,4'-pyrano[2,3-c]pyrazole] derivatives is described here. The reaction of
substituted phenylhydrazine and dialkyl acetylenedicarboxylate with substituted
isatin and malononitrile is catalyzed by l-proline to give good-to-excellent
yields in water/ethanol (v/v, 1:1) at room temperature.
PMID- 24931426
TI - Bromate oxidized from bromide during sonolytic ozonation.
AB - Sonolytic ozonation (US/O3) is an effective way to degrade many pollutants in
drinking water as the elevated mass transfer rate of ozone gas and the enhanced
forming of hydroxyl radicals (OH). This work investigated the formation of
bromate (BrO3(-)) from bromide (Br(-)) in sonolytic ozonation. At neutral pH, the
bromate conversion rate ([BrO3(-)]/[Br(-)]0) was increased to 60% by ultrasound
at continuous ozone flow (0-0.2Lmin(-1)), much higher than that without
ultrasound or without bubbling. This indicates that the promoting effect of
sonolysis on BrO3(-) formation is mainly due to the sonolytic decomposition of
ozone and the enhancement of gas-liquid transfer. The [BrO3(-)]/[Br(-)]0 was
increased with increasing pH. In addition, the reduction of HOBr/OBr(-) with
ultrasound demonstrates that bromate may be inhibited as the bromide was formed
with the H2O2 generation under ultrasound. This suggests the competition between
bromate and bromide during the US/O3 led to the inhibition of bromate formation
at high ozone flow. Therefore, our result reveals that the bromate formation
under ultrasound is improved remarkably in US/O3 in quick treatment with proper
ozone flow (<0.2Lmin(-1)).
PMID- 24931427
TI - Maturation of membrane properties of neurons in the rat deep cerebellar nuclei.
AB - Patch clamp recordings of neurons in the adult rat deep cerebellar nuclei have
been limited by the availability of viable brain slices. Using a new slicing
technique, this study was designed to explore the maturation of membrane
properties of neurons in the deep cerebellar nuclei (DCN)-an area involved in rat
eyeblink conditioning. Compared to whole-cell current-clamp recordings in DCN in
rat pups at postnatal day 16 (P16) to P21, recordings from weanling rats at P22
P40 revealed a number of significant changes including an increase in the
amplitude of the afterhyperpolarization (AHP)-an index of membrane excitability
which has been shown to be important for eyeblink conditioning-a prolonged
interval between the first and second evoked action potential, and an increase in
AHP amplitude for hyperpolarization-induced rebound spikes. This is the first
report of developmental changes in membrane properties of DCN which may
contribute to the ontogeny of eyeblink conditioning in the rat.
PMID- 24931428
TI - Chronic aluminum intoxication in rat induced both serotonin changes in the dorsal
raphe nucleus and alteration of glycoprotein secretion in the subcommissural
organ: Immunohistochemical study.
AB - Aluminum (Al) causes multiple impairments in several body systems including the
central nervous system. In fact, Al exposure has been mostly associated with
neurological dysfunctions that occur in some brain diseases. The effect of Al
neurotoxicity on the dopaminergic system is well documented, but this effect on
the serotoninergic system is poorly studied. The aim of this work is to evaluate
the effect of chronic Al intoxication (0.3% of aluminum chloride exposure from
the intra-uterine age until 4 months of adult age) on dorsal raphe nucleus (DRN)
which is the main source of serotonin, and also on the glycoprotein secretion of
subcomissural organ (SCO), receiving important serotoninergic innervation. This
will be executed using immunohistochemistry procedure, with both the anti
serotonin and the anti Reissner's fiber antibodies in the rat. Our results showed
a significant increase of serotonin immunoreactivity in the DRN, accompanied by a
noticeable decrease of RF immunoreactivity in the SCO ependymocytes. This study
provides further evidence confirming the toxic effect of Al exposure on serotonin
neurotransmission in the brain likely through increased synthesis or decreased
release. Al exposure was also shown to decrease RF glycoprotein which is involved
in the detoxification of cerebrospinal fluid.
PMID- 24931429
TI - Measurement of rivaroxaban and apixaban in serum samples of patients.
AB - BACKGROUND: The determination of rivaroxaban and apixaban from serum samples of
patients may be beneficial in specific clinical situations when additional blood
sampling for plasma and thus the determination of factor Xa activity is not
feasible or results are not plausible. MATERIALS AND METHODS: The primary aim of
this study was to compare the concentrations of rivaroxaban and apixaban in serum
with those measured in plasma. Secondary aims were the performance of three
different chromogenic methods and concentrations in patients on treatment with
rivaroxaban 10 mg od (n = 124) or 20 mg od (n = 94) or apixaban 5 mg bid (n = 52)
measured at different time. RESULTS: Concentrations of rivaroxaban and apixaban
in serum were about 20-25% higher compared with plasma samples with a high
correlation (r = 0.79775-0.94662) using all assays (all P < 0.0001). The
intraclass correlation coefficients were about 0.90 for rivaroxaban and 0.55 for
apixaban. Mean rivaroxaban concentrations were higher at 2 and 3 h compared with
1 and 12 h after administration measured from plasma and serum samples (all P
values < 0.05) and were not different between 1 vs. 12 h (plasma and serum).
CONCLUSIONS: The results indicate that rivaroxaban and apixaban concentrations
can be determined specifically from serum samples.
PMID- 24931430
TI - Comorbidities and causes of death in the management of localized T1a kidney
cancer.
AB - OBJECTIVE: The objectives of the present study were analyze specific
comorbidities associated with survival and actual causes of death for patients
with small renal masses, and to suggest a simplified measure associated with
decreased overall survival specific to this population. METHODS: The
Surveillance, Epidemiology and End Results-Medicare database (1995-2007) was
queried to identify patients with localized T1a kidney cancer undergoing partial
nephrectomy, radical nephrectomy or deferring therapy. We explored independent
associations of specific comorbidities with causes of death, and developed a
simplified cardiovascular index. Cox proportional hazards, and Fine and Gray
competing risks regression were used. RESULTS: Of 7177 Medicare beneficiaries in
the study population, 754 (10.5%) deferred therapy, 1849 (25.8%) underwent
partial nephrectomy and 4574 (63.7%) underwent radical nephrectomy with none of
the selected comorbidities identified in 3682 (51.3%) patients. Congestive heart
failure, chronic kidney disease, peripheral vascular disease, chronic obstructive
pulmonary disease, diabetes and cerebrovascular disease were associated with
decreased overall survival. The cardiovascular index provided good survival risk
stratification, and reclassified 1427 (41%) patients with a score >=1 on the
Charlson Comorbidity Index to a 0 on the cardiovascular index with minimal
concession of 5-year survival. CONCLUSIONS: Congestive heart failure, chronic
kidney disease, peripheral vascular disease, chronic obstructive pulmonary
disease, diabetes and cerebrovascular disease were associated with decreased
overall survival among Medicare beneficiaries with small renal masses. The
cardiovascular index could serve as a clinically useful prognostic aid when
advising older patients that are borderline candidates for surgery or active
surveillance.
PMID- 24931432
TI - Associations between physical activity, sedentary time, sleep duration and
daytime sleepiness in US adults.
AB - OBJECTIVE: To examine the associations between objectively measured physical
activity (PA) or sedentary behavior and self-reported sleep duration or daytime
sleepiness in a nationally representative sample of healthy US adults (N=2128).
METHODS: We report analyses of four aspects of sedentary behavior and PA derived
from accelerometry data (minutes of sedentary time, activity counts/minute,
Minutes of Moderate and Vigorous PA [MVPA], and MVPA in 10-minute bouts) versus
self-report of sleep duration and frequency of daytime sleepiness from the 2005
2006 National Health and Nutrition Examination Survey. RESULTS: Age and sex
dependence of associations between PA and sleep were observed. Aspects of PA were
significantly lower in adults reporting more frequent daytime sleepiness in
younger (20-39) and older (>= 60) age groups, but not in middle-aged (40-59),
respondents. In younger respondents, PA increased with sleep duration, but in
middle aged and older respondents PA was either unrelated to sleep duration or
lower in those reporting >= 8 h of sleep. Objectively measured sedentary time
showed limited evidence of associations with sleep duration. CONCLUSIONS: Further
research delineating the relationships between sleep and PA is important because
both activities have been implicated in diverse health outcomes as well as in the
etiology of obesity.
PMID- 24931431
TI - Juvenile hormone regulates Aedes aegypti Kruppel homolog 1 through a conserved E
box motif.
AB - Juvenile hormone (JH) plays important roles in regulation of many physiological
processes including development, reproduction and metabolism in insects. However,
the molecular mechanisms of JH signaling pathway are not completely understood.
To elucidate the molecular mechanisms of JH regulation of Kruppel homolog 1 gene
(Kr-h1) in Aedes aegypti, we employed JH-sensitive Aag-2 cells developed from the
embryos of this insect. In Aag-2 cells, AaKr-h1 gene is induced by nanomolar
concentration of JH III, its expression peaked at 1.5 h after treatment with JH
III. RNAi studies showed that JH induction of this gene requires the presence of
Ae. aegypti methoprene-tolerant (AaMet). A conserved 13 nucleotide JH response
element (JHRE, TGCCTCCACGTGC) containing canonical E box motif (underlined)
identified in the promoter of AaKr-h1 is required for JH induction of this gene.
Critical nucleotides in the JHRE required for JH action were identified by
employing mutagenesis and reporter assays. Reporter assays also showed that basic
helix loop helix (bHLH) domain of AaMet is required for JH induction of AaKr-h1.
5' rapid amplification of cDNA ends method identified two isoforms of AaKr-h1,
AaKr-h1alpha and AaKr-h1beta, the expression of both isoforms is induced by JH
III, but AaKr-h1alpha is the predominant isoform in both Aag-2 cells and Ae.
aegypti larvae.
PMID- 24931433
TI - Dog ownership and physical activity in later life: a cross-sectional
observational study.
AB - OBJECTIVE: To examine whether dog ownership amongst community dwelling older
adults (>= 65 years) is associated with objectively measured physical activity
(PA). METHODS: We used data from the Physical Activity Cohort Scotland (PACS)
which consists of 547 people aged 65 and over, resident in the community in
Tayside, Scotland. The data was collected in 2009-2011. We assessed whether dog
ownership is associated with objectively measured physical activity
(accelerometry counts). RESULTS: The physical activity (PA) counts of 547 older
people (mean age 79 (standard deviation (SD) 8 years, 54% female) were analysed.
Linear mixed models showed that dog ownership was positively related to higher PA
levels. This positive relationship remained after controlling for a large number
of individual and contextual variables, including attitude towards exercise,
physical activity intention and history of physical activity. Dog owners were
found to be 12% more active (21,875 counts, 95% Confidence Interval (CI): 2810 to
40,939, p<0.05) than non-dog owners. CONCLUSION: Dog ownership is associated with
physical activity in later life. Interventions to increase activity amongst older
people might usefully attempt to replicate elements of the dog ownership
experience.
PMID- 24931434
TI - Technical desiderata for the integration of genomic data with clinical decision
support.
AB - The ease with which whole genome sequence (WGS) information can be obtained is
rapidly approaching the point where it can become useful for routine clinical
care. However, significant barriers will inhibit widespread adoption unless
clinicians are able to effectively integrate this information into patient care
and decision-making. Electronic health records (EHR) and clinical decision
support (CDS) systems may play a critical role in this integration. A previously
published technical desiderata focused primarily on the integration of genomic
data into the EHR. This manuscript extends the previous desiderata by
specifically addressing needs related to the integration of genomic information
with CDS. The objective of this study is to develop and validate a guiding set of
technical desiderata for supporting the clinical use of WGS through CDS. A panel
of domain experts in genomics and CDS developed a proposed set of seven
additional requirements. These desiderata were reviewed by 63 experts in genomics
and CDS through an online survey and refined based on the experts' comments.
These additional desiderata provide important guiding principles for the
technical development of CDS capabilities for the clinical use of WGS
information.
PMID- 24931435
TI - Source misattributions and false recognition errors: examining the role of
perceptual resemblance and imagery generation processes.
AB - In three experiments, we examine the extent to which participants' memory errors
are affected by the perceptual features of an encoding series and imagery
generation processes. Perceptual features were examined by manipulating the
features associated with individual items as well as the relationships among
items. An encoding instruction manipulation was included to examine the effects
of explicit requests to generate images. In all three experiments, participants
falsely claimed to have seen pictures of items presented as words, committing
picture misattribution errors. These misattribution errors were exaggerated when
the perceptual resemblance between pictures and images was relatively high
(Experiment 1) and when explicit requests to generate images were omitted from
encoding instructions (Experiments 1 and 2). When perceptual cues made the
thematic relationships among items salient, the level and pattern of
misattribution errors were also affected (Experiments 2 and 3). Results address
alternative views about the nature of internal representations resulting in
misattribution errors and refute the idea that these errors reflect only
participants' general impressions or beliefs about what was seen.
PMID- 24931436
TI - Longitudinal magnetic resonance imaging of pseudotumors following metal-on-metal
total hip arthroplasty.
AB - The purpose of the study was to determine the natural history of pseudotumors
following metal-on-metal total hip arthroplasty (THA) using magnetic resonance
imaging (MRI). Initial MRI was conducted at a mean of 36months postoperatively.
Follow-up MRI was performed at a mean of 20months after the detection of 24
asymptomatic pseudotumors. Pseudotumor size was determined on MRI. The mean
pseudotumor size changed from 729mm(2) to 877mm(2). Pseudotumors increased in
size in eight and decreased in six. Ten hips showed no changes. The bigger the
pseudotumor size, the more likely the size would increase. In conclusion,
pseudotumors frequently change in size. A single MRI study in the clinical
decision-making process should be avoided and a longitudinal study should be
performed.
PMID- 24931437
TI - Computational analysis of enhanced magnetic bioseparation in microfluidic systems
with flow-invasive magnetic elements.
AB - A microfluidic design is proposed for realizing greatly enhanced separation of
magnetically-labeled bioparticles using integrated soft-magnetic elements. The
elements are fixed and intersect the carrier fluid (flow-invasive) with their
length transverse to the flow. They are magnetized using a bias field to produce
a particle capture force. Multiple stair-step elements are used to provide
efficient capture throughout the entire flow channel. This is in contrast to
conventional systems wherein the elements are integrated into the walls of the
channel, which restricts efficient capture to limited regions of the channel due
to the short range nature of the magnetic force. This severely limits the channel
size and hence throughput. Flow-invasive elements overcome this limitation and
enable microfluidic bioseparation systems with superior scalability. This
enhanced functionality is quantified for the first time using a computational
model that accounts for the dominant mechanisms of particle transport including
fully-coupled particle-fluid momentum transfer.
PMID- 24931438
TI - B-cell-activating factor code and human cytomegalovirus infection in renal
transplant recipients.
AB - The objective of the present study was to explore the correlation between the
BAFF signal and HCMV-TLR activation in RTx recipients complicated by HCMV.
Peripheral blood (anticoagulated by EDTA-Na2 ) and urine of 113 RTx recipients
were collected; healthy volunteers were controlled. Urine HCMV-DNA was detected
by real-time PCR. Recipients were classified into a positive group (>10,000
copies/mL urine) and a negative group (<10,000 copies/mL urine). ELISA results
showed that sBAFF, sera anti-HCMV pp65 immunoglobulin (Ig)G antibody, and total
IgG all significantly increased in recipients with positive HCMV-DNA (>10,000
copies/mL urine) (P < 0.05) compared with negative recipients (<10,000 copies/mL
urine). In the positive group, HCMV-DNA copies and total IgG positively
correlated with sBAFF (r = 0.988 and 0.625, respectively) (P < 0.05). Luminex
assay results suggested that the incidence of anti-HLA I and II and MICA antibody
obviously increased in positive recipients. The expression level of BAFF and BAFF
R increased in positive recipients. A total of 88 particular genes-involved in
TLR signaling pathways, NF-kappaB signaling pathways, and cytokine-cytokine
receptor signaling pathways-were detected in real-time PCR chip assay. A total of
46 genes were differentially expressed greater than two-fold, and the expression
characteristic of BAFF-R was concordant with FACS results. Our findings are that
activation of HCMV would induce or enhance the activation of BAFF code in RTx
recipients, which may independently or cooperatively participate in renal
allograft injury and decrease the long-term outcome of renal allografts.
PMID- 24931440
TI - Open Source Patient-Controlled Analgesic Pump Requirements Documentation.
AB - The dynamic nature of the medical domain is driving a need for continuous
innovation and improvement in techniques for developing and assuring medical
devices. Unfortunately, research in academia and communication between academics,
industrial engineers, and regulatory authorities is hampered by the lack of
realistic non-proprietary development artifacts for medical devices. In this
paper, we give an overview of a detailed requirements document for a Patient
Controlled Analgesic (PCA) pump developed under the US NSF's Food and Drug
Administration (FDA) Scholar-in-Residence (SIR) program. This 60+ page document
follows the methodology outlined in the US Federal Aviation Administrations (FAA)
Requirements Engineering Management Handbook (REMH) and includes a domain
overview, use cases, statements of safety & security requirements, and formal top
level system architectural description. Based on previous experience with release
of a requirements document for a cardiac pacemaker that spawned a number of
research and pedagogical activities, we believe that the described PCA
requirements document can be an important research enabler within the formal
methods and software engineering communities.
PMID- 24931441
TI - Variant Influenza Associated with Live Animal Markets, Minnesota.
AB - Variant influenza viruses are swine-origin influenza A viruses that cause illness
in humans. Surveillance for variant influenza A viruses, including
characterization of exposure settings, is important because of the potential
emergence of novel influenza viruses with pandemic potential. In Minnesota, we
have documented variant influenza A virus infections associated with swine
exposure at live animal markets.
PMID- 24931439
TI - Recent advances using zebrafish animal models for muscle disease drug discovery.
AB - INTRODUCTION: Animal models have enabled great progress in the discovery and
understanding of pharmacological approaches for treating muscle diseases like
Duchenne muscular dystrophy. AREAS COVERED: With this article, the author
provides the reader with a description of the zebrafish animal model, which has
been employed to identify and study pharmacological approaches to muscle disease.
In particular, the author focuses on how both large-scale chemical screens and
targeted drug treatment studies have established zebrafish as an important model
for muscle disease drug discovery. EXPERT OPINION: There are a number of
opportunities arising for the use of zebrafish models for further developing
pharmacological approaches to muscle diseases, including studying drug
combination therapies and utilizing genome editing to engineer zebrafish muscle
disease models. It is the author's particular belief that the availability of a
wide range of zebrafish transgenic strains for labeling immune cell types,
combined with live imaging and drug treatment of muscle disease models, should
allow for new elegant studies demonstrating how pharmacological approaches might
influence inflammation and the immune response in muscle disease.
PMID- 24931443
TI - Dynamic analysis of oral Candida carriage, distribution, and antifungal
susceptibility in HIV-infected patients during the first year of highly active
antiretroviral therapy in Guangxi, China.
AB - BACKGROUND: Highly active antiretroviral therapy (HAART) as an effective therapy
for immune reconstruction among patients with HIV/AIDS might have influence on
oral Candida status. We investigated oral Candida carriage, distribution, and
antifungal susceptibility dynamically during the first year of HAART among adult
HIV-infected patients in Guangxi, China. METHODS: Forty-five adult HIV-infected
patients who received their first year HAART in the AIDS clinic of the Guangxi
Center for Disease Control (CDC) and 31 healthy individuals were recruited.
Clinical information and oral examinations were obtained. Oral rinses taken from
patients at baseline, 3, 6, 12 months during HAART, respectively, were cultured,
and Candida species were identified following standard microbiological
techniques. In vitro antifungal susceptibilities were tested by the broth
microdilution method. RESULTS: The oral Candida load decreased gradually in the
45 patients with HIV/AIDS during the first year of HAART (P < 0.050). Among 176
Candida isolates, Candida albicans (114/176) was the predominant species, and
Candida parapsilosis (23/62) was the most common non-albicans species. We found
the frequency of resistance to fluconazole and itraconazole of Candida isolated
from our samples increased (P < 0.05) after 12 months of HAART. In addition, the
frequency of C. albicans isolates resistant to fluconazole and itraconazole was
on the rise (P < 0.05). CONCLUSIONS: The Candida load decreased with increased
CD4(+) T cell counts, and C. albicans was still the prevailing species. Further,
a trend toward more frequent in vitro resistance to fluconazole and itraconazole
was observed. Our results provide reference for treatment and prevention of oral
candidiasis among this population.
PMID- 24931442
TI - Prevalence of atherogenic dyslipidemia in primary care patients at moderate-very
high risk of cardiovascular disease. Cardiovascular risk perception.
AB - INTRODUCTION: Atherogenic dyslipidemia is an important risk factor for
cardiovascular disease. We aim to determine atherogenic dyslipidemia prevalence
in primary care patients at moderate-very high cardiovascular risk and its
associated cardiovascular risk perception in Spain. METHODS: This cross-sectional
study included 1137 primary care patients. Patients had previous cardiovascular
disease, diabetes mellitus, SCORE risk >= 3, severe hypertension or dyslipidemia.
Atherogenic dyslipidemia was defined as low HDL-C (<40 mg/dL [males], <50 mg/dL
[females]) and elevated triglycerides (>= 150 mg/dL). A visual analog scale was
used to define a perceived cardiovascular disease risk score. RESULTS: Mean age
was 63.9 +/- 9.7 years (64.6% males). The mean BMI was 29.1 +/- 4.3 kg/m(2), and
mean waist circumference 104.2 +/- 12.7 cm (males), and 97.2 +/- 14.0 cm
(females). 29.4% were smokers, 76.4% had hypertension, 48.0% were diabetics,
24.7% had previous myocardial infarction, and 17.8% peripheral arterial disease.
European guidelines classified 83.6% at very high cardiovascular risk.
Recommended HDL-C levels were achieved by 50.1% of patients and 37.3% had
triglycerides in the reference range. Target LDL-C was achieved by 8.8%. The
overall atherogenic dyslipidemia prevalence was 27.1% (34.1% in diabetics). This
prevalence in patients achieving target LDL-C was 21.4%. Cardiovascular risk
perceived by patients was 4.3/10, while primary care physicians scored 5.7/10.
CONCLUSIONS: When LDL-C levels are controlled, atherogenic dyslipidemia is more
prevalent in those patients at highest cardiovascular risk and with diabetes.
This highlights the importance of intervention strategies to prevent the residual
vascular risk in this population. Both patients and physicians underestimated
cardiovascular risk.
PMID- 24931444
TI - Investigation of plateau methods for adsorption isotherm determination in
supercritical fluid chromatography.
AB - The Perturbation Peak (PP) method and Frontal analysis (FA) are considered as the
most accurate methods for adsorption isotherms determination in liquid
chromatography. In this study we investigate and explain why this is not the case
in Supercritical Fluid Chromatography (SFC), where the PP method does not work at
all, using a modern analytical system. The main reason was found to be that the
solute to be studied must be dissolved in the MeOH reservoir before it is mixed
with CO2. Since the solute occupies a certain partial volume in the reservoir,
the larger the solute content the larger this fractional volume will be, and the
final MeOH fraction in the mobile phase will then be smaller compared to the bulk
mobile phase without solute in the modifier. If the retention of small injections
on the concentration plateaus, i.e., "analytical-size" perturbation peaks, is
sensitive to small variations of MeOH in the eluent, this will seriously decrease
the accuracy of the PP method. This effect was verified and compensated for and
we also demonstrated that the same problem will occur in frontal analysis,
another concentration plateau method.
PMID- 24931445
TI - Inter-instrumental method transfer of chiral capillary electrophoretic methods
using robustness test information.
AB - Capillary electrophoresis (CE) is an electrodriven separation technique that is
often used for the separation of chiral molecules. Advantages of CE are its
flexibility, low cost and efficiency. On the other hand, the precision and
transfer of CE methods are well-known problems of the technique. Reasons for the
more complicated method transfer are the more diverse instrumental differences,
such as total capillary lengths and capillary cooling systems; and the higher
response variability of CE methods compared to other techniques, such as liquid
chromatography (HPLC). Therefore, a larger systematic change in peak resolutions,
migration times and peak areas, with a loss of separation and efficiency may be
seen when a CE method is transferred to another laboratory or another type of
instrument. A swift and successful method transfer is required because
development and routine use of analytical methods are usually not performed in
the same laboratory and/or on the same type of equipment. The aim of our study
was to develop transfer rules to facilitate CE method transfers between different
laboratories and instruments. In our case study, three beta-blockers were
chirally separated and inter-instrumental transfers were performed. The first
step of our study was to optimise the precision of the chiral CE method. Next, a
robustness test was performed to identify the instrumental and experimental
parameters that were most influencing the considered responses. The precision-
and the robustness study results were used to adapt instrumental and/or method
settings to improve the transfer between different instruments. Finally, the
comparison of adapted and non-adapted transfers allowed deriving some rules to
facilitate CE method transfers.
PMID- 24931446
TI - Hydrophilic interaction liquid chromatography as second dimension in
multidimensional chromatography with an anionic trapping strategy: application to
prostate-specific antigen quantification.
AB - Liquid chromatography (LC) coupled with tandem mass spectrometry (MS-MS) in
selected reaction monitoring mode (SRM) has become a widely used technique for
the quantification of protein biomarkers in plasma and has already proven to give
similar results compared to the conventional immunoassays. To improve the lack of
insufficient sensitivity for quantification of low abundance protein, we propose
a new two dimensional liquid chromatography (2D-LC-SRM) method for the
quantitation of prostate specific antigen (PSA) in human plasma. The method
centers on anion exchange cartridge between reversed-phase chromatography and
hydrophilic interaction liquid chromatography (HILIC) in an on-line arrangement.
The use of the anionic cartridge allows an easier online transfer of the analytes
between both dimensions. Moreover, it provides an additional selectivity since
the more basic peptides are not retained on this support. This setup has been
applied to the quantification of prostate specific antigen (PSA) protein in
plasma on a previous generation of mass spectrometer, which enabled a limit of
quantification (LOQ) of 1ng/mL without any upfront immuno-depletion or intense
off-line fractionation before the SRM analysis. The obtained LOQ is compatible
with the required sensitivity for the clinically relevant plasma-based PSA tests.
PMID- 24931447
TI - Information and complexity measures in molecular reactivity studies.
AB - The analysis of the information and complexity measures as tools for the
investigation of the chemical reactivity has been done in the spin-position and
the position spaces, for the density and shape representations. The concept of
the transferability and additivity of atoms or functional groups were used as
"checkpoints" in the analysis of obtained results. The shape function as an
argument of various measures reveals less information than the spinor density.
Use of the shape function can yield wrong conclusions when the information
measures such as the Shannon entropy (SE, S), the Fisher information (FI, I), the
Onicescu information (OI, D), and complexities based on them are used for the
systems with different electron numbers. Results obtained in the spinor-density
representation show the transferability and additivity (while lacking in the case
of the shape representation). The group transferability is well illustrated in
the example of the X-Y molecules and their benzene derivatives. Another example
is the methyl group transferability presented on the alkane-alkene-alkyne set.
Analysis of the results displayed on planes between the three information
theoretical (IT) based measures has shown that the S-I plane provides "richer"
information about the pattern, organization, similarity of used molecules than
the I-D and D-S planes. The linear relation of high accuracy is noted between the
kinetic energy and the FI and the OI measures. Another interesting regression was
found between the atomization total energy and the atomization entropy.
Unfortunately, the lack of the group electronic energy transferability indicates
that no general relations between the IT measures and the chemical reactivity
indices are observed. The molecular set chosen for the study includes different
types of molecules with various functional groups (19 groups). The used set is
large enough (more than 700 molecules) and diverse to improve the previous
understating of molecular complexities and to generalize obtained conclusions.
PMID- 24931448
TI - Biodegradation of marine surface floating crude oil in a large-scale field
simulated experiment.
AB - Biodegradation of marine surface floating crude oil with hydrocarbon degrading
bacteria, rhamnolipid biosurfactants, and nutrients was carried out by a large
scale field simulated experiment in this paper. After a 103 day experiment, for n
alkanes, the maximum biodegradation rate reached 71% and the results showed
hydrocarbon degrading bacteria, rhamnolipid biosurfactants, and nutrients have a
comprehensive effect. It also showed that rhamnolipid biosurfactants could
shorten the biodegradation time through an emulsifying function; the nutrients
could greatly increase the biodegradation rate by promoting HDB production. For
PAHs, the chrysene series had higher weathering resistance. For the same series,
the weathering resistance ability is C1- < C2- < C3- < C4-. After 53 days, no
comprehensive effect occurred and more biodegradation was found for different n
alkanes in two pools which only had added rhamnolipid biosurfactants or
nutrients, respectively. Except for C14, C15 and C16 sesquiterpanes, most of the
steranes and terpanes had high antibiodegradability.
PMID- 24931449
TI - SWNT nano-engineered networks strongly increase charge transport in P3HT.
AB - We demonstrate the formation of arrays of 3D nanosized networks of interconnected
single-wall carbon nanotubes (SWNTs) with well defined dimensions in a poly-3
hexylthiophene (P3HT) thin film. These novel nanotube nano-networks produce
efficient ohmic charge transport, even at very low nanotube loadings and low
voltages. An increase in conductivity between one and two orders of magnitude is
observed compared to a random network. The formation of these nano-engineered
networks is compatible with large area imprinting and roll to roll processes,
which makes it highly desirable for opto-electronic and energy conversion
applications using carbon nanotubes.
PMID- 24931450
TI - The acute cognitive effects of zopiclone, zolpidem, zaleplon, and eszopiclone: a
systematic review and meta-analysis.
AB - The "z-drugs" zopiclone, zolpidem, eszopiclone, and zaleplon were introduced in
the 1980s for the treatment of insomnia, as it was observed that the side effect
profile associated with these medications were more benign than those related to
the benzodiazepines. This meta-analysis set out to ascertain which domains of
cognitive function, if any, were affected by the ingestion of these medications.
A total of 20 studies met the study inclusion criteria. Results revealed medium
effect sizes for zopiclone and zolpidem on measures of verbal memory. An
additional medium effect size was observed for zolpidem on attention. Finally,
smaller effect sizes were observed for zolpidem speed of processing and for
zopiclone on working memory. It is clear from these data that the use of a single
dose of the z-drugs in healthy adults as measured in the morning following the
exposure does produce a specific rather than a generalized negative effect on
cognitive function. However, there were only enough studies to evaluate the
individual cognitive effects of the zolpidem and zopiclone medications; the
specific effects of zaleplon and eszopiclone cannot be ascertained because only
one study met the inclusion and exclusion criteria for the review.
PMID- 24931451
TI - Diagnostic performance of the Xpert MTB/RIF assay for tuberculous lymphadenitis
on fine needle aspirates from Ethiopia.
AB - The Xpert MTB/RIF (Xpert) test is a novel automated molecular diagnostic recently
endorsed by the World Health Organization for rapid diagnosis of tuberculosis
(TB). Nevertheless, performance related data from high TB prevalence regions to
investigate clinically suspected TB lymphadenitis are limited. To evaluate the
performance of Xpert test for direct detection of the Mycobacterium tuberculosis
complex (MTBC) and rifampicin (RIF) resistance in lymph node aspirates, a cross
sectional study was conducted at four main hospitals in northern Ethiopia.
Culture served as a reference standard for growth of MTBC and phenotypic and
MTBDRplus drug susceptibility testing for detecting RIF resistance. Two-hundred
thirty-one fine needle aspirate (FNAs) specimens were processed simultaneously
for smear, culture, and Xpert test. When compared to culture, the Xpert test
correctly identified 29 out of 32 culture positive cases, 5 out of 11
contaminated cases, and 56 out of 188 culture negative cases. The overall
sensitivity of the test was 93.5% [95% CI, 78.3-98.9%] and specificity 69.2% [95%
CI, 66.4-70.0%]. The Xpert test identified the rpoB mutations associated with RIF
resistance concordant with GenoType MTBDRplus and phenotypic drug susceptibility
testing. In conclusion, the Xpert assay was found to perform well in detecting
MTBC and RIF resistance in TB lymphadenitis patients. Furthermore, the test is
simple and suitable to use in remote and rural areas for the diagnosis of TB
lymphadenitis directly from FNAs in Ethiopia where TB/MDR-TB is rampant.
PMID- 24931454
TI - Umbilical cord prolapse--changing patterns and improved outcomes: a retrospective
cohort study.
AB - OBJECTIVE: Umbilical cord prolapse is an acute obstetrical emergency requiring
rapid identification and intervention. Its management has undergone significant
changes over the past century. This study aims to document the changes in
incidence, morbidity, and perinatal mortality over a 69-year period. DESIGN: A
retrospective review of the annual clinical reports of the National Maternity
Hospital, Dublin, Ireland, was performed. SETTING: The National Maternity
hospital was founded in 1894 and has nearly 10,000 deliveries each year.
POPULATION: All deliveries in the hospital for each year are included in each
annual report. METHODS: We reviewed the reports from a 69-year period (1940
2009). Information from the reports was collated into a database and analysed
using Microsoft excel 2007. MAIN OUTCOME MEASURES: Incidence and outcome of all
cases of umbilical cord prolapse were recorded, along with the neurological
outcome of all neonatal survivors (available since 1970). RESULTS: The incidence
of cord prolapse has decreased from 6.4/1000 live births in the 1940s to 1.7/1000
[corrected] live births in the last decade. Perinatal survival increased from 46
to 94% in the same period of time. This is inversely related to the use of
caesarean section as the recommended method of delivery in this emergency. Short-
and long-term neurological impairment remains rare. CONCLUSIONS: There has been a
large reduction in the incidence of cord prolapse over a period of 69 years. A
reduction in grand multiparity and use of caesarean section as the gold standard
for delivery are likely to have accounted for the changes seen. Neurological
impairment remains unusual.
PMID- 24931452
TI - Class II human leucocyte antigen DRB1*11 in hairy cell leukaemia patients with
and without haemolytic uraemic syndrome.
AB - Frequencies of human leucocyte antigens (HLA) were determined in 287 classic
hairy cell leukaemia (HCL) patients. With respect to both population (n = 287)
and allele (2n = 574) frequency respectively, the most common HLA class I and II
antigens expressed were HLA-A*02 (49.1% and 28.6%), HLA-B*07 (21.3% and 11.1%),
HLA-C*07 (46.7 and 28.2%), HLA-DQB1*03 (62.7% and 37.3%), HLA-DRB1*11 (30.0% and
16.0%) and HLA-DRB4*01 (45.3% and 29.6%). In comparing 6-14 databases of control
Caucasians to 267 Caucasian HCL patients, only HLA-DRB1*11 was consistently over
represented in HCL, 31.1% of patients vs. 17-19.9% of controls (P = 0.0055 to
<0.0001) and 16.5% of alleles vs. 6.5-12.3% of control alleles (P = 0.022 to
<0.0001). HLA-DRB1*11 is a known risk factor for acquired thrombotic
microangiopathy. Anti-CD22 recombinant immunotoxin BL22 in HCL was associated
with a 12% incidence of completely reversible grade 3-4 haemolytic uraemic
syndrome (HUS), mainly during the second or third retreatment cycle. Of 49 HCL
patients receiving >=2 cycles of BL22, 7 (14%) had HUS and HLA-DRB1*11 was
expressed in 71% of 7 with HUS compared with only 21% of 42 without (P = 0.015).
These data suggest that DBR1*11 may be a marker for increased susceptibility to
HCL and, among HCL patients, could be a risk factor for BL22-induced HUS.
PMID- 24931455
TI - Extracorporeal membrane oxygenation in pediatric organ donation.
PMID- 24931457
TI - Variants in nicotinamide adenine dinucleotide phosphate oxidase complex
components determine susceptibility to very early onset inflammatory bowel
disease.
AB - BACKGROUND & AIMS: The colitis observed in patients with very early onset
inflammatory bowel disease (VEOIBD; defined as onset of disease at younger than 6
years of age) often resembles that of chronic granulomatous disease (CGD) in
extent and features of colonic inflammation observed by endoscopy and histology.
CGD is a severe immunodeficiency caused by defects in the genes that encode
components of the nicotinamide adenine dinucleotide phosphate (NADPH) oxidase
complex. We investigated whether variants in genes that encode NADPH oxidase
components affect susceptibility to VEOIBD using independent approaches. METHODS:
We performed targeted exome sequencing of genes that encode components of NADPH
oxidases (cytochrome b light chain and encodes p22(phox) protein; cytochrome b
245 or NADPH oxidase 2, and encodes Nox2 or gp91(phox); neutrophil cytosol factor
1 and encodes p47 (phox) protein; neutrophil cytosol factor 2 and encodes p67
(phox) protein; neutrophil cytosol factor 4 and encodes p40 (phox) protein; and
Ras-related C3 botulinum toxin substrate 1 and 2) in 122 patients with VEOIBD
diagnosed at The Hospital for Sick Children, University of Toronto, from 1994
through 2012. Gene variants were validated in an independent International Early
Onset Pediatric IBD Cohort Study cohort of patients with VEOIBD. In a second
approach, we examined Tag single nucleotide polymorphisms in a subset of patients
with VEOIBD in which the NOX2 NADPH oxidase genes sequence had been previously
analyzed. We then looked for single nucleotide polymorphisms associated with the
disease in an independent International Early Onset Pediatric IBD Cohort Study
cohort of patients. We analyzed the functional effects of variants associated
with VEOIBD. RESULTS: Targeted exome sequencing and Tag single nucleotide
polymorphism genotyping identified 11 variants associated with VEOIBD; the
majority of patients were heterozygous for these variants. Expression of these
variants in cells either reduced oxidative burst or altered interactions among
proteins in the NADPH oxidase complex. Variants in the noncoding regulatory and
splicing elements resulted in reduced levels of proteins, or expression of
altered forms of the proteins, in blood cells from VEOIBD patients. CONCLUSIONS:
We found that VEOIBD patients carry heterozygous functional hypomorphic variants
in components of the NOX2 NADPH oxidase complex. These do not cause overt
immunodeficiency, but instead determine susceptibility to VEOIBD. Specific
approaches might be developed to treat individual patients based on their genetic
variant.
PMID- 24931456
TI - A microRNA 221- and 222-mediated feedback loop maintains constitutive activation
of NFkappaB and STAT3 in colorectal cancer cells.
AB - BACKGROUND & AIMS: Constitutive activation of the transcription factors nuclear
factor kappaB (NF-kappaB) and STAT3 is involved in the development and
progression of human colorectal cancer (CRC). Little is known about how these
factors become activated in cancer cells. We investigated whether microRNA miR
221 and miR-222 regulate NF-kappaB and signal transducer and activator of
transcription 3 (STAT3) activation in human CRC cell lines. METHODS: CRC cell
lines (HCT116 and RKO) were transfected with miR-221 or miR-222 mimics or
inhibitors. The activity levels of NF-kappaB and STAT3 were measured in dual
luciferase reporter assays. We used immunoblot and real-time polymerase chain
reaction analyses to measure protein and messenger RNA (mRNA) levels. Cells were
analyzed by proliferation, viability, and flow cytometry analyses. Mice were
given injections of azoxymethane, followed by dextran sodium sulfate, along with
control lentivirus or those expressing mRNAs that bind miR-221 and miR-222 (miR
221/miR-222 sponge). The levels of miR-221 and miR-222 as well as RelA, STAT3,
and PDLIM2 mRNAs were measured in 57 paired CRC and adjacent nontumor tissues
from patients. RESULTS: In CRC cell lines, mimics of miR-221 and miR-222
activated NF-kappaB and STAT3, further increasing expression of miR-221 and miR
222. miR-221 and miR-222 bound directly to the coding region of RelA mRNA,
increasing its stability. miR-221 and miR-222 also reduced the ubiquitination and
degradation of the RelA and STAT3 proteins by binding to the 3' untranslated
region of PDLIM2 mRNA (PDLIM2 is a nuclear ubiquitin E3 ligase for RelA and
STAT3). Incubation of CRC cells with miR-221 and miR-222 inhibitors reduced their
proliferation and colony formation compared with control cells. In mice with
colitis, injection of lentiviruses expressing miR-221/miR-222 sponges led to
formation of fewer tumors than injection of control lentiviruses. Human CRC
tissues had higher levels of miR-221 and miR-222 than nontumor colon tissues;
increases correlated with increased levels of RelA and STAT3 mRNAs. Levels of
PDLIM2 mRNA were lower in CRC than nontumor tissues. CONCLUSIONS: In human CRC
cells, miR-221 and miR-222 act in a positive feedback loop to increase expression
levels of RelA and STAT3. Antagonism of miR-221 and miR-222 reduces growth of
colon tumors in mice with colitis.
PMID- 24931459
TI - Demand for emergency department services in the elderly: an 11 year analysis of
the Greater Sydney Area.
AB - OBJECTIVE: To describe trends in population-based rates of ED presentations in
the Greater Sydney Area (GSA) and compare these between the elderly and non
elderly age groups. METHODS: This was a retrospective study using ED presentation
data from all public hospitals in the GSA and population data from the Australian
Bureau of Statistics between 2001 and 2011. Age-specific ED presentation rates
stratified by age group (<65 years, 65-79 years and >=80 years of age) were
presented and compared using negative binomial regression and generalised linear
regression models. RESULTS: Over 11 million ED presentations were analysed. The
annualised rate of increase in ED presentations per 1000 population was 1.8% per
annum. The adjusted incidence rate ratio for patients aged 80 years and over was
3.6 times higher than patients younger than 65 years (95% CI 2.8, 4.7, P < 0.001)
and 1.6 times higher for patients between 65 and 79 years of age (95% CI 1.4,
1.8, P < 0.001). There was an increase of 40 patients per 1000 population per
year admitted to hospital from ED (beta = 40, 95% CI 29, 52 P < 0.001) in
patients aged 80 years or older compared with those aged less than 65 years of
age. CONCLUSIONS: A disproportionate increase in ED presentation rates and in
patient admission rates in patients aged 80 years and over was demonstrated over
11 years in the GSA. ED models of care and system wide strategies to address
these demographic changes are required.
PMID- 24931458
TI - Etiology of leukocyte adhesion deficiency-associated periodontitis revisited: not
a raging infection but a raging inflammatory response.
AB - In leukocyte adhesion deficiency type I, neutrophils fail to adhere to blood
vessel walls and thus cannot transmigrate to peripheral tissues. Leukocyte
adhesion deficiency type I patients invariably experience an aggressive form of
generalized periodontitis, which has been historically attributed to defective
neutrophil surveillance of the periodontal infection. This time-honored notion
has now been challenged by a recent study, which showed that the underlying
etiology involves a dysregulated host response that leads to overexpression of
the proinflammatory and bone-resorptive cytokine IL-17.
PMID- 24931460
TI - Loeffler's syndrome: an interesting case report.
AB - Loeffler's syndrome is an acute pneumonia with an unclear cause. One fourth of
Loeffler's syndrome patients are idiopathic, although the most common etiologic
causes include parasites. Asymptomatic form is usually a reversible, self-limited
disease, which does not require a specific treatment regimen. We presented a 17
year-old young man with diagnosis of Loeffler syndrome.
PMID- 24931461
TI - Pilot study using tacrolimus rather than cyclosporine plus antithymocyte globulin
as an immunosuppressive therapy regimen option for severe aplastic anemia in
adults.
AB - Severe aplastic anemia (SAA), which is considered to be an immune-mediated
destruction of bone marrow stem cells with pancytopenia and hypoplasia, can be
successfully treated with immunosuppressive therapy or hematopoietic stem cell
transplantation (HSCT). Between January 2009 and December 2012, thirteen patients
diagnosed with SAA were treated with tacrolimus plus rabbit antithymocyte
globulin (ATG)-based immunosuppressive therapy (IST). The outcomes were then
compared with our previous data for twenty-four patients administered with
cyclosporine (CsA) plus rabbit ATG-based IST. All 37 cases accepted
methylpredenisolone and recombinant human granulocyte colony-stimulating factor
(rhG-CSF) from the first day that rabbit ATG was initiated. A total of 7 (54%) of
the 13 patients in the tacrolimus group and 10 (42%) of the 24 cases in the
ATG+CsA group achieved the criteria for complete response (CR); the partial
response (PR) rate was 31% in the tacrolimus group and 33% in the ATG+CsA group.
The median follow-up duration of the tacrolimus group and ATG+CsA group patients
was 28 months and 27 months, respectively. Two patients in the tacrolimus group
who were red blood cell- and platelet transfusion-dependent died, one of sepsis
and the other of cerebral hemorrhage, whereas one patient died from serious
infection on the 5th day after ATG was initiated in the ATG+CsA group. No clonal
transformation to paroxysmal nocturnal hemoglobinuria (PNH) was observed in
either group. Our data provide a possibility of using tacrolimus as part of an
IST regimen for SAA in adults who have no opportunity of HSCT from human
leukocyte antigen (HLA)-matched sibling donors.
PMID- 24931463
TI - Intramolecular halogen bonding supported by an aryldiyne linker.
AB - Intramolecular halogen bonds between aryl halide donors and suitable acceptors,
such as carbonyl or quinolinyl groups, held in proximity by 1,2-aryldiyne
linkers, provide triangular structures in the solid state. Aryldiyne linkers
provide a nearly ideal template for intramolecular halogen bonding as minor
deviations from alkyne linearity can accommodate a variety of halogen bonding
interactions, including O...Cl, O...Br, O...I, N...Br, and N...I. Halogen bond
lengths for these units, observed by single crystal X-ray crystallography, range
from 2.75 to 2.97 A. Internal bond angles of the semirigid bridge between halogen
bond donor and acceptor are responsive to changes in the identity of the halogen,
the identity of the acceptor, and the electronic environment around the halogen,
with the triangles retaining almost perfect co-planarity in even the most
strained systems. Consistency between experimental results and structures
predicted by M06-2X/6-31G* calculations demonstrates the efficacy of this
computational method for modeling halogen-bonded structures of this type.
PMID- 24931462
TI - Rhodium-catalyzed direct coupling of biaryl pyridine derivatives with internal
alkynes.
AB - Axially chiral biaryls were synthesized by an isoquinoline or 2-pyridine-directed
Rh(III)-catalyzed dual C-H cleavage and coupling with internal alkynes in good to
excellent yields. Oxidation of isoquinoline derivatives with m-CPBA furnished
their corresponding N-oxides, which could be utilized as Lewis base catalysts in
asymmetric reactions.
PMID- 24931464
TI - Low expression of microRNA-146b-5p and microRNA-320d predicts poor outcome of
large B-cell lymphoma treated with cyclophosphamide, doxorubicin, vincristine,
and prednisone.
AB - Although diffuse large B-cell lymphoma (DLBCL) encompasses a biologically and
clinically diverse set of diseases, increasing evidence has pointed to an
important role of microRNAs (miRs) in the pathogenesis of DLBCL. We report here
that low expression of miR-146b-5p and miR-320d is associated with poor prognosis
of DLBCL patients treated with the standard cyclophosphamide, doxorubicin,
vincristine, and prednisone (CHOP) regimen and that this is related to the
inhibitory effect of these miRs on DLBCL cell proliferation. Analysis of a
retrospective cohort of 106 primary nodal DLBCL samples from patients who were
treated with CHOP showed that, when the median survival period (40.8 months) was
used as the cutoff point, miR-146b-5p and miR-320d were expressed at lower levels
in DLBCLs with poor prognosis. Indeed, whereas low expression of miR-146b-5p was
correlated with reduced progression-free survival, low expression of miR-320d was
associated with decreases in both progression-free survival and overall survival.
Moreover, miR-146b-5p and miR-320d were expressed at significantly lower levels
in DLBCLs with the MYC t(8;14) translocation. Functional studies demonstrated
that overexpression of miR-146b-5p or miR-320d inhibited DLBCL cell
proliferation, wheareas knockdown of miR-146b-5p or miR-320d promoted
proliferation of DLBCL cells. Taken together, these results suggest that low
expression of miR-146b-5p and miR-320d may be predictive of compromised responses
of a subset of DLBCL patients to treatment with the CHOP regimen and that
restoration of these miRs may be useful to improve the therapeutic efficacy of
CHOP.
PMID- 24931465
TI - Multifocal kaposiform hemangioendothelioma in multiple visceral organs: an
autopsy of 9-day-old female baby.
AB - Kaposiform hemangioendothelioma is a vascular tumor categorized as intermediate
malignancy. We experienced an autopsy of a female baby with kaposiform
hemangioendothelioma with Kasabach-Merritt syndrome. She died of systemic
bleeding tendency following disseminated intravascular coagulation at the age of
9 days. At autopsy, a huge main tumor, histologically kaposiform
hemangioendothelioma, was discovered in the mediastinum between the right chest
cavity and pericardium. Furthermore, kaposiform hemangioendothelioma with the
same histology involved the lungs, heart, liver, subserosa of cardial part of the
stomach, retroperitoneum around the right adrenal gland, broad ligament of the
uterus, and muscular tissue around the thyroid. To date, a few previously
reported cases of multifocal kaposiform hemangioendothelioma have demonstrated
locally aggressive distributions mainly in bone and soft tissues. The present
case with extensive distribution including visceral organs implies that
kaposiform hemangioendothelioma may have higher potential to spread than
considered before.
PMID- 24931466
TI - Changing prognostic factors in osteosarcoma: analysis of 381 cases from two
institutions.
AB - Osteosarcoma occurs most commonly in children and young adults, with a historic
second incidence peak in the elderly. Most studies have focused on those
occurring in adolescence. Detailed information on descriptive features and
prognostic factors in patients of different age groups is lacking. We analyzed
381 osteosarcomas diagnosed between 1973 and 2012 to identify factors
significantly associated with survival in various age groups. The peak incidence
was seen in patients age <25, followed by a steady incidence rate thereafter
until the sixth decade, when it started to decline. In the early onset diseases,
significant factors for recurrence-free survival (RFS) were tumor site and size;
whereas those for overall survival (OS) were gender, tumor site, type, grade and
size. In patients age 25 to 54, tumor type and grade were significant for RFS,
and the pathologic type was significant for OS. In those age >=55, race and tumor
size were significant for RFS; tumor site and size were significant for OS. In
multivariate analysis, tumor size remained significant for RFS; gender, tumor
site and size maintained their significance for OS in patients age <25. While no
independent factor was identified in patients age 25 to 54, tumor size remained
significant for RFS in those age >=55. Chemotherapy-induced tumor necrosis was a
prognosticator for RFS in patients age 25 to 54 by univariate analysis, but not
as an independent factor in any stratified age group. Our data indicate that the
distinctive prognostic factors differed significantly among different age groups,
thus providing a rationale for age-based management strategies.
PMID- 24931467
TI - Spontaneous self-assembly of engineered armadillo repeat protein fragments into a
folded structure.
AB - Repeat proteins are built of modules, each of which constitutes a structural
motif. We have investigated whether fragments of a designed consensus armadillo
repeat protein (ArmRP) recognize each other. We examined a split ArmRP consisting
of an N-capping repeat (denoted Y), three internal repeats (M), and a C-capping
repeat (A). We demonstrate that the C-terminal MA fragment adopts a fold similar
to the corresponding part of the entire protein. In contrast, the N-terminal YM2
fragment constitutes a molten globule. The two fragments form a 1:1 YM2:MA
complex with a nanomolar dissociation constant essentially identical to the
crystal structure of the continuous YM3A protein. Molecular dynamics simulations
show that the complex is structurally stable over a 1 MUs timescale and reveal
the importance of hydrophobic contacts across the interface. We propose that the
existence of a stable complex recapitulates possible intermediates in the early
evolution of these repeat proteins.
PMID- 24931468
TI - Internal lipid architecture of the hetero-oligomeric cytochrome b6f complex.
AB - The role of lipids in the assembly, structure, and function of hetero-oligomeric
membrane protein complexes is poorly understood. The dimeric photosynthetic
cytochrome b6f complex, a 16-mer of eight distinct subunits and 26 transmembrane
helices, catalyzes transmembrane proton-coupled electron transfer for energy
storage. Using a 2.5 A crystal structure of the dimeric complex, we identified 23
distinct lipid-binding sites per monomer. Annular lipids are proposed to provide
a connection for super-complex formation with the photosystem-I reaction center
and the LHCII kinase enzyme for transmembrane signaling. Internal lipids mediate
crosslinking to stabilize the domain-swapped iron-sulfur protein subunit,
dielectric heterogeneity within intermonomer and intramonomer electron transfer
pathways, and dimer stabilization through lipid-mediated intermonomer
interactions. This study provides a complete structure analysis of lipid-mediated
functions in a multi-subunit membrane protein complex and reveals lipid sites at
positions essential for assembly and function.
PMID- 24931471
TI - Implementation of nuclear gradients of range-separated hybrid density functionals
and benchmarking on rotational constants for organic molecules.
AB - We have implemented the nuclear gradient for several range-separated hybrid
density functionals in the general quantum chemistry code ORCA. To benchmark the
performance, we have used a recently published set of back-corrected gas phase
rotational constants, which we extended by three molecules. In our evaluation,
CAM-B3LYP-D3 and omegaB97X-D3 show great accuracy, and are surpassed by B2PLYP-D3
only. Lower-cost alternatives to quadruple-zeta basis set-based calculations,
among them a smaller basis set and the use of resolution-of-the-identity
approaches, are assessed and shown to yield acceptable deviations. In addition,
the Hartree-Fock-based back-correction method is compared to a density functional
theory alternative, which largely shows consistency between the two. A new, well
performing, spin-component scaled MP2 variant is designed and discussed, as well.
PMID- 24931469
TI - Molecular basis of substrate recognition and degradation by human presequence
protease.
AB - Human presequence protease (hPreP) is an M16 metalloprotease localized in
mitochondria. There, hPreP facilitates proteostasis by utilizing an ~13,300-A(3)
catalytic chamber to degrade a diverse array of potentially toxic peptides,
including mitochondrial presequences and beta-amyloid (Abeta), the latter of
which contributes to Alzheimer disease pathogenesis. Here, we report crystal
structures for hPreP alone and in complex with Abeta, which show that hPreP uses
size exclusion and charge complementation for substrate recognition. These
structures also reveal hPreP-specific features that permit a diverse array of
peptides, with distinct distributions of charged and hydrophobic residues, to be
specifically captured, cleaved, and have their amyloidogenic features destroyed.
SAXS analysis demonstrates that hPreP in solution exists in dynamic equilibrium
between closed and open states, with the former being preferred. Furthermore,
Abeta binding induces the closed state and hPreP dimerization. Together, these
data reveal the molecular basis for flexible yet specific substrate recognition
and degradation by hPreP.
PMID- 24931472
TI - Editorial: phytochemicals, intracellular signalling pathways and anti-cancer
effects.
PMID- 24931470
TI - CD4-induced activation in a soluble HIV-1 Env trimer.
AB - The HIV envelope glycoprotein (Env) trimer undergoes receptor-induced
conformational changes that drive fusion of the viral and cellular membranes. Env
conformational changes have been observed using low-resolution electron
microscopy, but only large-scale rearrangements have been visible. Here, we use
hydrogen-deuterium exchange and oxidative labeling to gain a more precise
understanding of the unliganded and CD4-bound forms of soluble Env trimers
(SOSIP.664), including their glycan composition. CD4 activation induces the
reorganization of bridging sheet elements, V1/V2 and V3, much of the gp120 inner
domain, and the gp41 fusion subunit. Two CD4 binding site-targeted inhibitors
have substantially different effects: NBD-556 partially mimics CD4-induced
destabilization of the V1/V2 and V3 crown, whereas BMS-806 only affects regions
around the gp120/gp41 interface. The structural information presented here
increases our knowledge of CD4- and small molecule-induced conformational changes
in Env and the allosteric pathways that lead to membrane fusion.
PMID- 24931473
TI - Endothelial Delta-like 4 (DLL4) promotes renal cell carcinoma hematogenous
metastasis.
AB - The Notch ligand Delta-like 4 (DLL4) plays an important role in tumor
angiogenesis, which is required for tumor invasion and metastasis. Here we showed
that DLL4 was elevated in endothelium and Notch signaling was activated in renal
cell carcinoma (RCC). Exogenous DLL4 induced RCC cell migration and invasion by
activating intercellular Notch signaling. Importantly, the DLL4/Notch/Hey1/MMP9
cascades connecting the endothelium to the cancer cells in metastasis were
identified. Knockdown of Hey1 decreased expression of MMP9 and attenuated tumor
invasion. The clinical investigation on 120 cases of RCC specimens indicated that
expressions of Hey1 and MMP9 correlated with DLL4 density. Moreover, univariate
and multivariate analyses showed that tumor hematogenous metastasis not only was
depended on microvessel density but was also associated with tumor size and DLL4
density. During 4-year surveillance, high-level of DLL4 density was associated
with a higher probability of developing metastasis and being sensitive to target
therapies. Our data suggest that RCC progression is caused in part by activated
DLL4/Notch signaling, interaction of endothelium and cells, which can be
therapeutically targeted.
PMID- 24931474
TI - Maternal adaptations in preparation for parturition predict uncomplicated
spontaneous delivery outcome.
AB - OBJECTIVE: The objective of the study was to define maternal tissue adaptations
in pregnancy associated with uncomplicated spontaneous vaginal delivery using
anatomical and biological outcomes. STUDY DESIGN: Nulliparous gravidas were
prospectively enrolled in the first trimester at 2 institutions. Demographic and
delivery data were chart abstracted. Vaginal elastase activity (units per
milligram of protein) and Pelvic Organ Prolapse Quantification measurements of
pelvic organ support were obtained in the first and third trimesters. A subset
underwent 3-dimensional ultrasound measures of levator hiatus. Uncomplicated
spontaneous vaginal delivery (VD) was defined as no cesarean, forceps, vacuum,
shoulder dystocia, third- or fourth-degree perineal laceration, or prolonged
second stage labor. RESULTS: We enrolled 173 women in their first trimester, 50
of whom had ultrasounds. Mean age was 25.5 +/- 5.5 years with a body mass index
of 28.0 +/- 7.3 kg/m(2). Sixty-seven percent were white/Caucasian, 27%
black/African American, and 6% Hispanic/Latina. Mean delivery gestational age was
38.5 +/- 2.9 weeks, with 23% delivering by cesarean and 59% achieving
uncomplicated spontaneous VD. Vaginal support changed significantly over
trimesters with posterior vaginal and hiatal relaxation, vaginal lengthening, and
increased levator hiatus area during strain. Women achieving uncomplicated
spontaneous VD demonstrated significantly greater relaxation on third-trimester
Pelvic Organ Prolapse Quantification for anterior, apical, and hiatal measures
than those without uncomplicated spontaneous VD. Higher first-trimester vaginal
elastase activity was strongly associated with uncomplicated spontaneous VD
(geometric mean activity 0.289 +/- 0.830 U/mg vs -0.029 +/- 0.585 U/mg, P =
.009). Higher first-trimester elastase, younger age, lower first-trimester body
mass index, and more third-trimester vaginal support laxity in points C and GH
were predictive of VD success. CONCLUSION: Significant maternal adaptations occur
in the vagina during pregnancy, presumably in preparation for vaginal delivery.
Greater adaptation, including vaginal descent and higher first-trimester elastase
activity, is associated with an increased likelihood of uncomplicated spontaneous
VD.
PMID- 24931475
TI - A comparison of Doppler and biophysical findings between liveborn and stillborn
growth-restricted fetuses.
AB - OBJECTIVE: The purpose of this study was to evaluate the surveillance
characteristics that precede stillbirth in growth-restricted fetuses that receive
integrated Doppler and biophysical profile scoring (BPS). STUDY DESIGN: Nine
hundred eighty-seven singleton pregnancies that were complicated by fetal growth
restriction had multivessel Doppler scans (umbilical and middle cerebral arteries
[MCA], ductus venosus, and umbilical vein) and BPS. Surveillance findings were
compared between live births and stillbirths. RESULTS: Forty-seven stillbirths
occurred in 2 clusters, 37 at <34 weeks of gestation and 10 thereafter. Before 34
weeks of gestation, stillbirths had parallel escalation of umbilical artery and
ductus venosus Doppler findings followed by abnormal BPS. At >=34 weeks of
gestation, only a decline in MCA pulsatility index was observed, and 75% of
stillbirths were unanticipated by the BPS. CONCLUSION: Before 34 weeks of
gestation, multivessel Doppler abnormality anticipates an abnormal BPS and
subsequent stillbirth. After 34 weeks of gestation, stillbirths occur after MCA
brain-sparing in a shorter interval than predicted by a normal BPS. Recognition
of these differences in clinical behavior requires consideration for the planning
of monitoring intervals in preterm and term fetal growth restriction.
PMID- 24931476
TI - Declaration on mental health in Africa: moving to implementation.
AB - Urgent action is needed to address mental health issues globally. In Africa,
where mental health disorders account for a huge burden of disease and
disability, and where in general less than 1% of the already small health budgets
are spent on these disorders, the need for action is acute and urgent. Members of
the World Health Organization, including African countries, have adopted a
Comprehensive Mental Health Action Plan. Africa now has an historic opportunity
to improve the mental health and wellbeing of its citizens, beginning with
provision of basic mental health services and development of national mental
health strategic plans (roadmaps). There is need to integrate mental health into
primary health care and address stigma and violations of human rights. We
advocate for inclusion of mental health into the post-2015 Sustainable
Development Goals, and for the convening of a special UN General Assembly High
Level Meeting on Mental Health within three years.
PMID- 24931477
TI - Sex differences in lifting strategies during a repetitive palletizing task.
AB - Forty-five manual material handlers (15 females, 15 expert males and 15 novice
males) performed series of box transfers under conditions similar to those of
large distribution centers. The objective of the study was to verify whether sex
differences in joint motions and in back loading variables (L5/S1 moments) exist
during multiple box transfers. The task consisted in transferring 24 15-kg boxes
from one pallet to another (4 layers of boxes; 6 boxes/layer: 3 in the front row,
3 in the back) at a self-determined pace and then at an imposed pace of 9
lifts/min. Full-body 3D kinematic data were collected as well as external foot
forces. A dynamic 3D linked segment model was used to estimate the net moments at
L5/S1. The results show that the peak L5/S1 moment during lifting for females was
significantly lower than for males, but once normalized to body size the
difference disappeared. In general, the female workers were very close to the
posture adopted by the novice males at the instant of the peak resultant moment.
However, females were closer to the box than the male workers. One major sex
difference was seen when lifting from the ground, with the use of interjoint
coordination analyses. Female workers showed a sequential motion initiated by the
knees, followed by the hip and the back, while expert males showed a more
synchronized motion. The lifting strategy of females likely stretches lumbar
spine passive tissues, which in turn put them at greater risk of back injuries.
As observed in our previous studies, these differences between expert males,
novice males and females are especially notable when the box is lifted from the
ground.
PMID- 24931478
TI - Psychometric properties evaluation of a new ergonomics-related job factors
questionnaire developed for nursing workers.
AB - The objectives of this study were to develop a questionnaire that evaluates the
perception of nursing workers to job factors that may contribute to
musculoskeletal symptoms, and to evaluate its psychometric properties.
Internationally recommended methodology was followed: construction of domains,
items and the instrument as a whole, content validity, and pre-test. Psychometric
properties were evaluated among 370 nursing workers. Construct validity was
analyzed by the factorial analysis, known-groups technique, and convergent
validity. Reliability was assessed through internal consistency and stability.
Results indicated satisfactory fit indices during confirmatory factor analysis,
significant difference (p < 0.01) between the responses of nursing and office
workers, and moderate correlations between the new questionnaire and Numeric Pain
Scale, SF-36 and WRFQ. Cronbach's alpha was close to 0.90 and ICC values ranged
from 0.64 to 0.76. Therefore, results indicated that the new questionnaire had
good psychometric properties for use in studies involving nursing workers.
PMID- 24931479
TI - Conserved evolutionary units in the heme-copper oxidase superfamily revealed by
novel homologous protein families.
AB - The heme-copper oxidase (HCO) superfamily includes HCOs in aerobic respiratory
chains and nitric oxide reductases (NORs) in the denitrification pathway. The
HCO/NOR catalytic subunit has a core structure consisting of 12 transmembrane
helices (TMHs) arranged in three-fold rotational pseudosymmetry, with six
conserved histidines for heme and metal binding. Using sensitive sequence
similarity searches, we detected a number of novel HCO/NOR homologs and named
them HCO Homology (HCOH) proteins. Several HCOH families possess only four TMHs
that exhibit the most pronounced similarity to the last four TMHs (TMHs 9-12) of
HCOs/NORs. Encoded by independent genes, four-TMH HCOH proteins represent a
single evolutionary unit (EU) that relates to each of the three homologous EUs of
HCOs/NORs comprising TMHs 1-4, TMHs 5-8, and TMHs 9-12. Single-EU HCOH proteins
could form homotrimers or heterotrimers to maintain the general structure and
ligand-binding sites defined by the HCO/NOR catalytic subunit fold. The remaining
HCOH families, including NnrS, have 12-TMHs and three EUs. Most three-EU HCOH
proteins possess two conserved histidines and could bind a single heme. Limited
experimental studies and genomic context analysis suggest that many HCOH proteins
could function in the denitrification pathway and in detoxification of reactive
molecules such as nitric oxide. HCO/NOR catalytic subunits exhibit remarkable
structural similarity to the homotrimers of MAPEG (membrane-associated proteins
in eicosanoid and glutathione metabolism) proteins. Gene duplication, fusion, and
fission likely play important roles in the evolution of HCOs/NORs and HCOH
proteins.
PMID- 24931480
TI - Treatment of medically refractory cancer pain with a combination of intrathecal
neuromodulation and neurosurgical ablation: case series and literature review.
AB - OBJECTIVE: Up to 90% of patients with advanced cancer experience intractable
pain. For these patients, oral analgesics are the mainstay of therapy, often
augmented with intrathecal drug delivery. Neurosurgical ablative procedures have
become less commonly used, though their efficacy has been well-established.
Unfortunately, little is known about the safety of ablation in the context of
previous neuromodulation. Therefore, the aim of this study is to present the
results from a case series in which patients were treated successfully with a
combination of intrathecal neuromodulation and neurosurgical ablation. DESIGN:
Retrospective case series and literature review. SETTING: Three institutions with
active cancer pain management programs in the United States. METHODS: All
patients who underwent both neuroablative and neuromodulatory procedures for
cancer pain were surveyed using the visual analog scale prior to the first
procedure, before and after a second procedure, and at long-term follow-up. Based
on initial and subsequent presentation, patients underwent intrathecal morphine
pump placement, cordotomy, or midline myelotomy. RESULTS: Five patients (2 male,
3 female) with medically intractable pain (initial VAS = 10) were included in the
series. Four subjects were initially treated with intrathecal analgesic
neuromodulation, and 1 with midline myelotomy. Each patient experienced
recurrence of pain (VAS >= 9) following the initial procedure, and was therefore
treated with another modality (intrathecal, N = 1; midline myelotomy, N = 1;
percutaneous radiofrequency cordotomy, N = 3), with significant long-term benefit
(VAS 1-7). CONCLUSION: In cancer patients with medically intractable pain,
intrathecal neuromodulation and neurosurgical ablation together may allow for
more effective control of cancer pain.
PMID- 24931481
TI - Towards mapping the brain connectome in depression: functional connectivity by
perfusion SPECT.
AB - Several studies have demonstrated altered brain functional connectivity in the
resting state in depression. However, no study has investigated interregional
networking in patients with persistent depressive disorder (PDD). The aim of this
study was to assess differences in brain perfusion distribution and connectivity
between large groups of patients and healthy controls. Participants comprised 91
patients with PDD and 65 age- and sex-matched healthy controls. Resting state
perfusion was investigated by single photon emission computed tomography, and
group differences were assessed by Statistical Parametric Mapping. Brain
connectivity was explored through a voxel-wise interregional correlation analysis
using as covariate of interest the normalized values of clusters of voxels in
which perfusion differences were found in group analysis. Significantly increased
regional brain perfusion distribution covering a large part of the cerebellum was
observed in patients as compared with controls. Patients showed a significant
negative functional connectivity between the cerebellar cluster and caudate,
bilaterally. This study demonstrated inverse relative perfusion between the
cerebellum and the caudate in PDD. Functional uncoupling may be associated with a
dysregulation between the role of the cerebellum in action control and of the
caudate in action selection, initiation and decision making in the patients. The
potential impact of the resting state condition and the possibility of
mitochondrial impairment are discussed.
PMID- 24931482
TI - Association between fluid balance and survival in critically ill patients.
AB - OBJECTIVE: Although the consequences of chronic fluid retention are well known,
those of iatrogenic fluid retention that occurs during critical illness have not
been fully determined. Therefore, we investigated the association between fluid
balance and survival in a cohort of almost 16,000 individuals who survived an
intensive care unit (ICU) stay in a large, urban, tertiary medical centre.
DESIGN: Longitudinal analysis of fluid balance at ICU discharge and 90-day post
ICU survival. MEASUREMENTS: Associations between fluid balance during the ICU
stay, determined from the electronic bedside record, and survival were tested
using Cox proportional hazard models adjusted for severity of critical illness.
RESULTS: There were 1827 deaths in the first 90 days after ICU discharge.
Compared with the lowest quartile of discharge fluid balance [median
(interquartile range) -1.5 (-3.1, -0.7) L], the highest quartile [7.6 (5.7, 10.8)
L] was associated with a 35% [95% confidence interval (CI) 1.13-1.61)] higher
adjusted risk of death. Fluid balance was not associated with outcome amongst
individuals without congestive heart failure or renal dysfunction. Amongst
patients with either comorbidity, however, fluid balance was strongly associated
with outcome, with the highest quartile having a 55% (95% CI 1.24-1.95) higher
adjusted risk of death than the lowest quartile. Isotonic fluid balance, defined
as the difference between intravenous isotonic fluid administration and urine
output, was similarly associated with 90-day outcomes. CONCLUSION: Positive fluid
balance at the time of ICU discharge is associated with increased risk of death,
after adjusting for markers of illness severity and chronic medical conditions,
particularly in patients with underlying heart or kidney disease. Restoration of
euvolaemia prior to discharge may improve survival after acute illness.
PMID- 24931483
TI - Editorial comment from Dr Yokomizo to efficacy of traditional and alternative
sunitinib treatment schedules in Japanese patients with metastatic renal cell
carcinoma.
PMID- 24931484
TI - Existence of glia mitigated ketamine-induced neurotoxicity in neuron-glia mixed
cultures of neonatal rat cortex and the glia-mediated protective effect of 2
PMPA.
AB - The present study compared ketamine-induced neurotoxicity in the neuron-glia
mixed cultures and neuronal cultures and further explored the neuroprotective
effect of the NAAG peptidase inhibitor 2-(phosphonomethyl) pentanedioic acid (2
PMPA). Firstly, Rosenfeld's staining and immunofluorescence staining of
microtubule-associated protein 2 (MAP2) and glial fibrillary acidic protein
(GFAP) were used to address the difference of morphology in the mixed cultures
and neuronal cultures. Our results showed that neurons and astrocytes grew in
good conditions. The ratio of neurons and astrocytes in the mixed cultures was
around 1:1, and the purity of neurons in the neuronal cultures is 91.3%.
Furthermore, ketamine was used to test the hypothesis that the presence of a
higher proportion of glia in the mixed cultures would be protective against
ketamine-induced neurotoxicity in the mixed cultures compared with neuronal
cultures. The results showed that ketamine-induced morphological changes, cell
viability decrease and lactate dehydrogenase (LDH) levels increase were
significantly mitigated in neuron-glia mixed cultures compared with neuronal
cultures. Furthermore, 2-PMPA was included to further explore efficient
protective drug for ketamine-induced neurotoxicity. Our results showed that 2
PMPA reduced ketamine-induced decrease of cell viability and increase of LDH
levels in the mixed cultures but not in the neuronal cultures. Further
morphological changes of neurons and astrocytes also indicated that 2-PMPA could
improve ketamine damaged neurons in the mixed cultures instead of neuronal
cultures. These results indicate that glia protect neurons from ketamine-induced
neurotoxicity. These data further suggest that glia mediate the neuroprotective
effect of 2-PMPA and 2-PMPA has the potential to treat ketamine-induced
neurotoxicity in vivo. Delineating the mechanisms underlying the communication
between neurons and glia and the neuroprotective effects of 2-PMPA in the mixed
cultures to ketamine-induced neurotoxicity require further investigation.
PMID- 24931485
TI - A strap strategy for construction of an excited-state intramolecular proton
transfer (ESIPT) system with dual fluorescence.
AB - An amine-embedded flexible alkyl strap has been incorporated into an emissive
boryl-substituted dithienylpyrrole skeleton as a new entity of excited-state
intramolecular proton transfer (ESIPT) chromophores. The pi-electron system shows
a dual emission, which covers a wide range of the visible region depending on the
solvent polarity. The incorporation of the aminoalkyl strap as well as the
terminal boryl groups efficiently stabilize the zwitterionic excited-state
species resulting from the ESIPT even in an aqueous medium.
PMID- 24931486
TI - Pathophysiology and Japanese clinical characteristics in Marfan syndrome.
AB - Marfan syndrome is an autosomal dominant heritable disorder of the connective
tissue, caused by mutations of the gene FBN1, which encodes fibrillin-1, a major
component of the microfibrils of the extracellular matrix. Fibrillin-1 interacts
with transforming growth factor-beta (TGF-beta), and dysregulated TGF-beta
signaling plays a major role in the development of connective tissue disease and
familial aortic aneurysm and dissection, including Marfan syndrome. Losartan, an
angiotensin II blocker, has the potential to reduce TGF-beta signaling and is
expected to be an additional therapeutic option. Clinical diagnosis is made using
the Ghent nosology, which requires comprehensive patient assessment and has been
proven to work well, but evaluation of some of the diagnostic criteria by a
single physician is difficult and time-consuming. A Marfan clinic was established
at the University of Tokyo Hospital in 2005, together with cardiologists, cardiac
surgeons, pediatricians, orthopedists, and ophthalmologists in one place, for the
purpose of speedy and accurate evaluation and diagnosis of Marfan syndrome. In
this review, we discuss the recent progress in diagnosis and treatment of Marfan
syndrome, and the characteristics of Japanese patients with Marfan syndrome.
PMID- 24931488
TI - Anaphylaxis to diclofenac: nine cases reported to the Allergy Vigilance Network
in France.
AB - Nine cases of diclofenac hypersensitivity recorded by the Allergy Vigilance
Network in France from 2002 to 2012 were studied. Data from history, symptoms,
skin tests, basophil activation tests, and oral challenge (OC) were recorded.
Grade 3 severe anaphylactic reactions occurred in seven cases of nine. IgE
dependent anaphylaxis was confirmed in six cases: positive intradermal tests (n =
4), a syndromic reaction during skin tests (n = 1), and one case with grade 1
reaction and negative skin tests had an anaphylactic shock to the OC. A nonimmune
reaction was suspected in one case. An IgE-dependent mechanism may be the
predominant cause of adverse reactions to diclofenac. Allergy skin tests must be
carried out sequentially at the recommended concentrations. BATs may be helpful
because they can support the diagnosis of anaphylaxis. Given the risks of a
direct challenge to diclofenac, OC to aspirin should be performed first to
exclude a nonimmunologic hypersensitivity to NSAIDs. Tests for specific IgEs to
most frequently used NSAIDs such as diclofenac and ibuprofen are urgently needed.
PMID- 24931487
TI - Use of hormonal contraceptives among immigrant and native women in Norway: data
from the Norwegian Prescription Database.
AB - OBJECTIVE: To examine the use of hormonal contraceptives among immigrant and
native women in Norway. DESIGN: Nationwide registry-based study based on merged
data from the Norwegian Prescription Database, the Norwegian Population Registry,
the Regular General Practitioner Database and the Medical Birth Registry.
SETTING: Norway. SAMPLE: All women born abroad to two foreign-born parents
(immigrants), or born in Norway to two Norwegian-born parents (natives) aged 16
45 years, who lived in Norway in 2008. METHODS: Data on all collected supplies of
hormonal contraceptives in 2008 were merged with demographic, socio-economic and
immigration data, information on any delivery and women's general practitioners.
MAIN OUTCOME MEASURES: User rates of hormonal contraception and predictors of
contraceptive use. RESULTS: A total of 893,073 women were included, of whom
130,080 were immigrants. More native women (38%) used hormonal contraceptives
compared with all immigrant groups (15-24%). The odds ratios for any use of
hormonal contraceptives for immigrants compared with Norwegian-born women were;
Nordic countries 0.53, South and Central America 0.53, Western countries 0.39,
Asia 0.30, Eastern Europe 0.29, Africa 0.29. Work, education, long stay in Norway
and young age of immigration predicted the use of hormonal contraceptives among
immigrants. CONCLUSIONS: The use of hormonal contraceptives varies between
natives and immigrant groups. Further work is needed to ascertain whether these
differences can be explained by higher desires for fertility, preferential use of
non-hormonal contraceptives or other reasons identified through qualitative
research.
PMID- 24931490
TI - Subgroup analyses in cost-effectiveness analyses to support health technology
assessments.
AB - 'Success' in drug development is bringing to patients a new medicine that has an
acceptable benefit-risk profile and that is also cost-effective. Cost
effectiveness means that the incremental clinical benefit is deemed worth paying
for by a healthcare system, and it has an important role in enabling
manufacturers to obtain new medicines to patients as soon as possible following
regulatory approval. Subgroup analyses are increasingly being utilised by
decision-makers in the determination of the cost-effectiveness of new medicines
when making recommendations. This paper highlights the statistical considerations
when using subgroup analyses to support cost-effectiveness for a health
technology assessment. The key principles recommended for subgroup analyses
supporting clinical effectiveness published by Paget et al. are evaluated with
respect to subgroup analyses supporting cost-effectiveness. A health technology
assessment case study is included to highlight the importance of subgroup
analyses when incorporated into cost-effectiveness analyses. In summary, we
recommend planning subgroup analyses for cost-effectiveness analyses early in the
drug development process and adhering to good statistical principles when using
subgroup analyses in this context. In particular, we consider it important to
provide transparency in how subgroups are defined, be able to demonstrate the
robustness of the subgroup results and be able to quantify the uncertainty in the
subgroup analyses of cost-effectiveness.
PMID- 24931491
TI - Tapping the wisdom of the crowd: new initiatives are engaging the public as
active participants in biomedical research.
PMID- 24931489
TI - An iCRISPR platform for rapid, multiplexable, and inducible genome editing in
human pluripotent stem cells.
AB - Human pluripotent stem cells (hPSCs) offer a unique platform for elucidating the
genes and molecular pathways that underlie complex traits and diseases. To
realize this promise, methods for rapid and controllable genetic manipulations
are urgently needed. By combining two newly developed gene-editing tools, the
TALEN and CRISPR/Cas systems, we have developed a genome-engineering platform in
hPSCs, which we named iCRISPR. iCRISPR enabled rapid and highly efficient
generation of biallelic knockout hPSCs for loss-of-function studies, as well as
homozygous knockin hPSCs with specific nucleotide alterations for precise
modeling of disease conditions. We further demonstrate efficient one-step
generation of double- and triple-gene knockout hPSC lines, as well as stage
specific inducible gene knockout during hPSC differentiation. Thus the iCRISPR
platform is uniquely suited for dissection of complex genetic interactions and
pleiotropic gene functions in human disease studies and has the potential to
support high-throughput genetic analysis in hPSCs.
PMID- 24931492
TI - Label-free detection of folate receptor (+) cells by molecular recognition
mediated electrochemiluminescence of CdTe nanoparticles.
AB - Molecular recognition based rapid and simple techniques for identifying subtypes
of cancer cells are essential in molecular medicine. In this work, we have
designed a molecular recognition mediated electrochemiluminescent (ECL) strategy
for label-free and sensitive detection of folate receptor (FR) (+) cells (HeLa
cell as a model) on folic acid-functionalized and red emitting CdTe/GSH
nanoparticle-modified indium-tin oxide (ITO) electrodes. The ECL emission
selectively responses to the rapid binding of FR (+) cells on the modified ITO
electrodes due to the block of electron exchange between CdTe nanoparticles and
coreacted dissolved oxygen. Microscopic observation verifies that the binding of
HeLa cells is more favored than that for HepG2 cells [FR (-) type], resulting in
a great difference in ECL intensity. The proposed platform allows the detection
of ~35 cells from 10 MUL of cell suspension. This study has laid the foundation
for building rapid and low-cost ECL diagnostic devices for specific detection of
FR (+) cancer cells, with potential applications in profiling of cancer cell
subtypes.
PMID- 24931493
TI - Screening of obstructive sleep apnea with empirical mode decomposition of pulse
oximetry.
AB - Detection of desaturations on the pulse oximetry signal is of great importance
for the diagnosis of sleep apneas. Using the counting of desaturations, an index
can be built to help in the diagnosis of severe cases of obstructive sleep apnea
hypopnea syndrome. It is important to have automatic detection methods that
allows the screening for this syndrome, reducing the need of the expensive
polysomnography based studies. In this paper a novel recognition method based on
the empirical mode decomposition of the pulse oximetry signal is proposed. The
desaturations produce a very specific wave pattern that is extracted in the modes
of the decomposition. Using this information, a detector based on properly
selected thresholds and a set of simple rules is built. The oxygen desaturation
index constructed from these detections produces a detector for obstructive sleep
apnea-hypopnea syndrome with high sensitivity (0.838) and specificity (0.855) and
yields better results than standard desaturation detection approaches.
PMID- 24931494
TI - The cell composition of infused donor lymphocyte has different impact in
different types of allogeneic hematopoietic stem cell transplantation.
AB - Donor lymphocyte infusion (DLI) is often used to enhance the graft-versus
leukemia (GVL) effect after allogeneic hematopoietic stem cell transplantation
(allo-HSCT). In this study, we first evaluated the impact of the cell composition
of a modified DLI (mDLI) on the prognoses of patients. A total of 194 patients
undergoing allo-HSCT were enrolled and received mDLI for various clinical
reasons. The infused cellular components of the mDLI were examined by flow
cytometry. The results showed that infusion with a lower dose of CD14(+) cells
(<0.33 * 10(8) /kg) was an independent risk factor for the occurrence of II-IV
acute graft-versus-host disease (aGVHD) (HR = 0.104, p = 0.032) in human
leukoctye antigen-identical transplant patients. In addition, a dose of CD14(+)
cells greater than the 50th percentile was associated with a lower incidence of
hematological relapse and longer disease-free survival (DFS) after the mDLI
(relapse: HR = 0.193, p = 0.007; DFS: HR = 0.259, p = 0.016). However, we also
found that a greater number of CD14(+) cells were an independent risk factor for
II-IV aGVHD (HR = 1.758, p = 0.034) in haploidentical allo-HSCT. In conclusion,
our data were the first to demonstrate that the cell composition of a 56 mDLI
played a distinct role in different types of allo-HSCT. This finding provided a
novel approach for the development of cellular therapies by manipulating the
components of infused cells.
PMID- 24931496
TI - Methods for identifying subject-specific abnormalities in neuroimaging data.
AB - Algorithms that are capable of capturing subject-specific abnormalities (SSA) in
neuroimaging data have long been an area of focus for diverse neuropsychiatric
conditions such as multiple sclerosis, schizophrenia, and traumatic brain injury.
Several algorithms have been proposed that define SSA in patients (i.e.,
comparison group) relative to image intensity levels derived from healthy
controls (HC) (i.e., reference group) based on extreme values. However, the
assumptions underlying these approaches have not always been fully validated, and
may be dependent on the statistical distributions of the transformed data. The
current study evaluated variations of two commonly used techniques ("pothole"
method and standardization with an independent reference group) for identifying
SSA using simulated data (derived from normal, t and chi-square distributions)
and fractional anisotropy maps derived from 50 HC. Results indicated substantial
group-wise bias in the estimation of extreme data points using the pothole
method, with the degree of bias being inversely related to sample size.
Statistical theory was utilized to develop a distribution-corrected z-score
(DisCo-Z) threshold, with additional simulations demonstrating elimination of the
bias and a more consistent estimation of extremes based on expected
distributional properties. Data from previously published studies examining SSA
in mild traumatic brain injury were then re-analyzed using the DisCo-Z method,
with results confirming the evidence of group-wise bias. We conclude that the
benefits of identifying SSA in neuropsychiatric research are substantial, but
that proposed SSA approaches require careful implementation under the different
distributional properties that characterize neuroimaging data.
PMID- 24931497
TI - Evolution of divergent female mating preference in response to experimental
sexual selection.
AB - Sexual selection is predicted to drive the coevolution of mating signals and
preferences (mating traits) within populations, and could play a role in
speciation if sexual isolation arises due to mating trait divergence between
populations. However, few studies have demonstrated that differences in mating
traits between populations result from sexual selection alone. Experimental
evolution is a promising approach to directly examine the action of sexual
selection on mating trait divergence among populations. We manipulated the
opportunity for sexual selection (low vs. high) in populations of Drosophila
pseudoobscura. Previous studies on these experimental populations have shown that
sexual selection manipulation resulted in the divergence between sexual selection
treatments of several courtship song parameters, including interpulse interval
(IPI) which markedly influences male mating success. Here, we measure female
preference for IPI using a playback design to test for preference divergence
between the sexual selection treatments after 130 generations of experimental
sexual selection. The results suggest that female preference has coevolved with
male signal, in opposite directions between the sexual selection treatments,
providing direct evidence of the ability of sexual selection to drive the
divergent coevolution of mating traits between populations. We discuss the
implications in the context sexual selection and speciation.
PMID- 24931498
TI - Expression, purification and characterization of soluble recombinant peptidyl
prolyl cis/trans isomerase from Vibrio anguillarum.
AB - Vibrio anguillarum, a causative agent of vibriosis in finfish, crustaceans, and
bivalves, is a Gram-negative, motile marine bacterium. Most bacteria have
developed survival strategies in various environments. The aim of this study was
to investigate the changes in protein expression of V. anguillarum O1 incubated
under different conditions using two dimensional electrophoresis and MALDI-TOF
MS/MS analysis. Result indicated that peptidyl-prolyl cis/trans isomerase
(PPIase) expression was increasingly appeared when incubated at low temperature
(15 degrees C) and alkaline conditions (pH 10). Subsequently, the ppi gene from
V. anguillarum O1 was isolated and overexpressed in Escherichia coli to
characterize the biochemical properties. The cloned ppi gene encoded 206 amino
acids containing the conserved regions identified in FK506 binding pocket. To
determine the optimal conditions of the purified recombinant PPIase protein
(VaFKBP22), we used Succinyl-Ala-Phe-Pro-Phe-p nitroanilide as substrate and the
highest enzymatic activity was found at 5 degrees C and pH 6. VaFKBP22 was
detected in the cytoplasm and periplasm of V. anguillarum O1. In addition,
VaFKBP22 also showed chaperone activity and did not show cytotoxic activity.
PMID- 24931499
TI - Inducing the oxidative stress response in Escherichia coli improves the quality
of a recombinant protein: magnesium chelatase ChlH.
AB - The ~150kDa ChlH subunit of magnesium chelatase from Oryza sativa, Hordeum
vulgare and Chlamydomonas reinhardtii have been heterologously expressed in
Escherichiacoli. The active soluble protein is found as both a multimeric and a
monomeric form. The multimeric ChlH appears to be oxidatively damaged but monomer
production is favoured in growth conditions that are known to cause an oxidative
stress response in E.coli. Inducing an oxidative stress response may be of
general utility to improve the quality of proteins expressed in E. coli. The
similar responses of ChlH's from the three different species suggest that
oligomerization of oxidatively damaged ChlH may have a functional role in the
chloroplast, possibly as a signal of oxidative stress or damage.
PMID- 24931500
TI - Purification and identification of a novel antifungal protein secreted by
Penicillium citrinum from the Southwest Indian Ocean.
AB - A novel antifungal protein produced by the fungal strain Penicillium citrinum W1,
which was isolated from a Southwest Indian Ocean sediment sample, was purified
and characterized. The culture supernatant of P. citrinum W1 inhibited the
mycelial growth of some plant pathogenic fungi. After saturation of P. citrinum
W1 culture supernatants with ammonium sulfate and ion-exchange chromatography, an
antifungal protein (PcPAF) was purified. The N-terminal amino acid sequence
analysis showed that PcPAF might be an unknown antifungal protein. PcPAF
displayed antifungal activity against Trichoderma viride, Fusarium oxysporum,
Paecilomyces variotii, and Alternaria longipes at minimum inhibitory
concentrations of 1.52, 6.08, 3.04, and 6.08 ug/disc, respectively. PcPAF
possessed high thermostability and had a certain extent of protease and metal ion
resistance. The results suggested that PcPAF may represent a novel antifungal
protein with potential application in controlling plant pathogenic fungal
infection.
PMID- 24931501
TI - GST2 is required for nitrogen starvation-induced filamentous growth in Candida
albicans.
AB - Candida albicans, the major human fungal pathogen, undergoes morphological
transition from the budding yeast form to filamentous growth in response to
nitrogen starvation. In this study, we identified a new function of GST2, whose
expression was required for filamentous growth of C. albicans under nitrogen
limiting conditions. The DeltaGst2p showed Gst activity and required response to
oxidative stress. The Deltagst2 mutant displayed predominantly yeast phase growth
in low ammonium media. Such morphological defect of Deltagst2 mutants was not
rescued by overexpression of Mep2p, Cph1p, or Efg1p, but was rescued by either
overexpression of a hyperactive RAS1(G13V) allele or through exogenous addition
of cyclic AMP. In addition, the Deltagst2 mutants had lower levels of RAS1
transcripts than wild-type cells under conditions of nitrogen starvation. These
results were consistent with the Ras1-cAMP pathway as a possible downstream
target of Gst2p. These findings suggest that Gst2p is a significant component of
nitrogen starvation-induced filamentation in C. albicans.
PMID- 24931502
TI - Functional characterization of 5-enopyruvylshikimate-3-phosphate synthase from
Alkaliphilus metalliredigens in transgenic Arabidopsis.
AB - Although a large number of AroA enzymes (EPSPS: 5-enopyruvylshikimate-3-phosphate
synthase) have been identified, cloned, and tested for glyphosate resistance,
only two AroA variants, derived from Agrobacterium tumefaciens strain CP4 and Zea
mays, have been utilized to produce the commercial glyphosate-resistant crops.
Here, we have used a PCR-based twostep DNA synthesis method to synthesize an aroA
gene (aroAA. metalliredigens) from Alkaliphilus metalliredigens, encoding a new
EPSPS. Furthermore, transgenic Arabidopsis with the new aroAA. metalliredigens
gene was obtained to confirm the potential of the novel aroA gene in developing
glyphosate-resistant crops.
PMID- 24931503
TI - Development of novel microsatellite markers for strain-specific identification of
Chlorella vulgaris.
AB - A strain-specific identification method is required to secure Chlorella strains
with useful genetic traits, such as a fast growth rate or high lipid
productivity, for application in biofuels, functional foods, and pharmaceuticals.
Microsatellite markers based on simple sequence repeats can be a useful tool for
this purpose. Therefore, this study developed five novel microsatellite markers
(mChl-001, mChl-002, mChl-005, mChl-011, and mChl-012) using specific loci along
the chloroplast genome of Chlorella vulgaris. The microsatellite markers were
characterized based on their allelic diversities among nine strains of C.
vulgaris with the same 18S rRNA sequence similarity. Each microsatellite marker
exhibited 2~5 polymorphic allele types, and their combinations allowed
discrimination between seven of the C. vulgaris strains. The two remaining
strains were distinguished using one specific interspace region between the mChl
001 and mChl-005 loci, which was composed of about 27 single nucleotide
polymorphisms, 13~15 specific sequence sites, and (T)n repeat sites. Thus, the
polymorphic combination of the five microsatellite markers and one specific locus
facilitated a clear distinction of C. vulgaris at the strain level, suggesting
that the proposed microsatellite marker system can be useful for the accurate
identification and classification of C. vulgaris.
PMID- 24931504
TI - Protein-poly(amino acid) complex precipitation for high-concentration protein
formulation.
AB - A method for concentration of protein solutions is required for high-dosage
protein formulation. Here, we present a precipitation-redissolution method by
poly(amino acid) for proteins, including therapeutic enzymes, antibodies, and
hormones. The proteins were fully precipitated by the addition of poly-L-lysine
or poly-L-glutamic acid at low ionic strength, after which precipitate was
dissolved at physiological ionic strength. The activities and secondary
structures of redissolved proteins, especially antibodies, were almost identical
to the native state. The precipitation-redissolution method is a simple and rapid
technique for concentration of protein formulations.
PMID- 24931505
TI - Metallic resist for phase-change lithography.
AB - Currently, the most widely used photoresists in optical lithography are organic
based resists. The major limitations of such resists include the photon
accumulation severely affects the quality of photolithography patterns and the
size of the pattern is constrained by the diffraction limit. Phase-change
lithography, which uses semiconductor-based resists such as chalcogenide
Ge2Sb2Te5 films, was developed to overcome these limitations. Here, instead of
chalcogenide, we propose a metallic resist composed of Mg58Cu29Y13 alloy films,
which exhibits a considerable difference in etching rate between amorphous and
crystalline states. Furthermore, the heat distribution in Mg58Cu29Y13 thin film
is better and can be more easily controlled than that in Ge2Sb2Te5 during
exposure. We succeeded in fabricating both continuous and discrete patterns on
Mg58Cu29Y13 thin films via laser irradiation and wet etching. Our results
demonstrate that a metallic resist of Mg58Cu29Y13 is suitable for phase change
lithography, and this type of resist has potential due to its outstanding
characteristics.
PMID- 24931506
TI - Detection of Candida albicans ADH1 and ADH2 mRNAs in human archival oral biopsy
samples.
AB - OBJECTIVES: The aim of this study was to investigate the relationship between
expression of Candida albicans alcohol dehydrogenases (ADH) genes in archival
formalin-fixed paraffin-embedded (FFPE) samples from biopsies of leukoplakia.
MATERIALS AND METHODS: Archival FFPE samples were obtained from four sample
groups: normal oral mucosa, non-dysplastic leukoplakia, chronic hyperplastic
candidosis (CHC), and non-CHC dysplastic leukoplakia. The presence of C. albicans
was determined by periodic acid Schiff staining and by immunocytochemistry. C.
albicans ADH1 and ADH2 mRNAs were detected using reverse transcription PCR.
RESULTS: Candida albicans was detected in FFPE samples diagnosed as CHC (the
histological diagnoses had been made by specialist oral pathologists, using
uniform criteria), but not in any other sample group, including the non
dysplastic leukoplakias. RT-PCR confirmed a significant correlation between the
expression of CaADH1 mRNA (P = 0.000), but not for CaADH2 mRNA (P = 0.056) in
archival FFPE samples (n = 31) from biopsies of leukoplakia. CONCLUSIONS: Candida
albicans was the predominant species in the lesions diagnosed as CHC, and the
presence of C. albicans in CHC lesions was associated with a high expression of
C. albicans ADH1 mRNA. There was no association between the presence of Candida
and malignant transformation in the cases examined; however, the number of cases
was limited and further studies are needed to further elucidate the role of C.
albicans ADH1 in the pathogenesis of oral squamous cell carcinoma.
PMID- 24931507
TI - Japan Clinical Oncology Group (JCOG) prognostic index and characterization of
long-term survivors of aggressive adult T-cell leukaemia-lymphoma (JCOG0902A).
AB - This study evaluated the clinical features of 276 patients with aggressive adult
T-cell leukaemia-lymphoma (ATL) in 3 Japan Clinical Oncology Group (JCOG) trials.
We assessed the long-term survivors who survived >5 years and constructed a
prognostic index (PI), named the JCOG-PI, based on covariates obtained by Cox
regression analysis. The median survival time (MST) of the entire cohort was 11
months. In 37 patients who survived >5 years, no disease-related deaths in 10
patients with lymphoma-type were observed in contrast to the 10 ATL-related
deaths in other types. In multivariate analysis of 193 patients, the JCOG-PI
based on corrected calcium levels and performance status identified moderate and
high risk groups with an MST of 14 and 8 months respectively (hazard ratio,
1.926). The JCOG-PI was reproducible in an external validation. Patients with
lymphoma-type who survived >5 years might have been cured. The JCOG-PI is
valuable for identifying patients with extremely poor prognosis and will be
useful for the design of future trials combining new drugs or investigational
treatment strategies.
PMID- 24931508
TI - Quantitative measurement and mechanisms for CH4 production from hydrates with the
injection of liquid CO2.
AB - The recovery of gas from natural gas hydrates under the permafrost and in oceanic
sediments is of particular interest in energy and environmental fields because of
the attractive process to release methane gas through the injection of CO2. The
sequestration of CO2, a notorious greenhouse gas, in hydrates has the potential
to be used in enhanced gas recovery techniques, while simultaneously releasing
CH4 locked within the gas bearing hydrates. In this study, we present
quantitative experiments to investigate results of possible CH4-CO2 exchange
kinetics from injection of liquid CO2 through CH4 hydrates. The experiments
performed use CH4 hydrate formed from ice particles (75-90 or 125-150 microns in
diameter) at approximately 10.34 MPa and 263 K. In order to reduce unexpected
errors, nearly full conversion (>95%) of ice particles to hydrates is achieved.
Liquid CO2 is injected into the pressure cell to sweep the residual CH4
atmosphere, ensuring no free CH4 is left in the gas phase. After soaking the
hydrate for several hours, CH4 is produced from the hydrates by injecting liquid
CO2. The final composition and analysis of the produced CH4 is measured by using
in-line gas chromatography. We also measure the CH4 moles after hydrate
dissociation to confirm the closure of the total mass balance of the experiment.
From these data, we infer the mechanism for CH4 production, identify the
penetration depth of the dissociation/exchange on the hydrate particles, and
propose physical models describing the mechanism for CH4 production. These
experiments are essential in the quantification of the production of CH4 from CH4
hydrates with the injection of CO2.
PMID- 24931509
TI - Outcomes of children after esophagogastroduodenoscopy for chronic abdominal pain.
PMID- 24931510
TI - Enantiospecific analysis of 8-prenylnaringenin in biological fluids by liquid
chromatography-electrospray ionization mass spectrometry: application to
preclinical pharmacokinetic investigations.
AB - 8-Prenylnaringenin (8PN) is a naturally occurring bioactive chiral
prenylflavonoid found most commonly in the female flowers of hops (Humulus
lupulus L.). A stereospecific method of analysis for 8PN in biological fluids is
necessary to study the pharmacokinetic disposition of each enantiomer. A novel
and simple liquid chromatographic-electrospray ionization-mass spectrometry (LC
ESI-MS) method was developed for the simultaneous determination of R- and S-8PN
in rat serum and urine. Carbamazepine was used as the internal standard (IS).
Enantiomeric resolution of 8PN was achieved on a Chiralpak((r)) AD-RH column with
an isocratic mobile phase consisting of 2-propanol and 10 mM ammonium formate (pH
8.5) (40:60, v/v) and a flow rate of 0.7 mL/min. Detection was achieved using
negative selective ion monitoring (SIM) of 8PN at m/z 339.15 for both enantiomers
and positive SIM m/z at 237.15 for the IS. The calibration curves for urine were
linear over a range of 0.01-75 ug/mL and 0.05-75 ug/mL for serum with a limit of
quantification of 0.05 ug/mL in serum and 0.01 ug/mL in urine. The method was
successfully validated showing that it was sensitive, reproducible, and accurate
for enantiospecific quantification of 8PN in biological matrices. The assay was
successfully applied to a preliminary study of 8PN enantiomers in rat.
PMID- 24931512
TI - Comparative phenotypic and genotypic analyses of Salmonella Rissen that
originated from food animals in Thailand and United States.
AB - Salmonella enterica serovar Rissen has been recognized as one of the most common
serovar among humans and pork production systems in different parts of the world,
especially Asia. In the United States, this serovar caused outbreaks but its
epidemiologic significance remains unknown. The objectives of this study were to
compare the phenotypic (antimicrobial susceptibility) and genotypic attributes of
Salmonella Rissen isolated in Thailand (Thai) and the United States (US). All the
Thai isolates (n = 30) were recovered from swine faecal samples. The US isolates
(n = 35) were recovered from swine faecal samples (n = 29), cattle (n = 2),
chicken (n = 2), dog (n = 1) and a ready-to-eat product (n = 1). The
antimicrobial susceptibility of isolates was determined using the Kirby-Bauer
disk diffusion method with a panel of 12 antimicrobials. Pulse-field gel
electrophoresis (PFGE) was used to determine the genotypic diversity of isolates.
All Thai isolates showed multidrug resistance (MDR) with the most frequent
antibiotic resistance shown against ampicillin (100%), sulfisoxazole (96.7%),
tetracycline (93.3%), streptomycin (90%) and chloramphenicol (30%). About half of
the isolates of USA origin were pan-susceptible and roughly 30% were resistant to
only tetracycline (R-type: Te). Salmonella Rissen isolated from Thailand and the
USA in this study were found to be clonally unrelated. Genotypic analyses
indicated that isolates were clustered primarily based on the geographic origin
implying the limited clonality among the strains. Clonal relatedness among
different host species within the same geography (USA) was found. We found
genotypic similarity in Thai and US isolates in few instances but with no
epidemiological link. Further studies to assess propensity for increased inter
regional transmission and dissemination is warranted.
PMID- 24931511
TI - Ischaemic cardiovascular risk and prescription of non-steroidal anti-inflammatory
drugs for musculoskeletal complaints.
AB - OBJECTIVE: To determine the influence of ischaemic cardiovascular (CV) risk on
prescription of non-steroidal anti-inflammatory drugs (NSAIDs) by general
practitioners (GPs) in patients with musculoskeletal complaints. DESIGN: Cohort
study. SETTING: A healthcare database containing the electronic GP medical
records of over one million patients throughout the Netherlands. PATIENTS: A
total of 474 201 adults consulting their GP with a new musculoskeletal complaint
between 2000 and 2010. Patients were considered at high CV risk if they had a
history of myocardial infarction, angina pectoris, stroke, transient ischaemic
attack, or peripheral arterial disease, and at low CV risk if they had no CV risk
factors. MAIN OUTCOME MEASURES: Frequency of prescription of non-selective
(ns)NSAIDs and selective cyclooxygenase-2 inhibitors (coxibs). RESULTS: Overall,
24.4% of patients were prescribed an nsNSAID and 1.4% a coxib. Of the 41,483
patients with a high CV risk, 19.9% received an nsNSAID and 2.2% a coxib. These
patients were more likely to be prescribed a coxib than patients with a low CV
risk (OR 1.9, 95% CI 1.8-2.0). Prescription of nsNSAIDs decreased over time in
all risk groups and was lower in patients with a high CV risk than in patients
with a low CV risk (OR 0.8, 95% CI 0.7-0.8). CONCLUSION: Overall, patients with a
high CV risk were less likely to be prescribed an NSAID for musculoskeletal
complaints than patients with a low CV risk. Nevertheless, one in five high CV
risk patients received an NSAID, indicating that there is still room for
improvement.
PMID- 24931514
TI - Do homoarginine and asymmetric dimethylarginine act antagonistically in the
cardiovascular system?
PMID- 24931513
TI - Polo-like kinase 1 regulates the stability of the mitotic centromere-associated
kinesin in mitosis.
AB - Proper bi-orientation of chromosomes is critical for the accurate segregation of
chromosomes in mitosis. A key regulator of this process is MCAK, the mitotic
centromere-associated kinesin. During mitosis the activity and localization of
MCAK are regulated by mitotic key kinases including Plk1 and Aurora B. We show
here that S621 in the MCAK's C-terminal domain is the major phosphorylation site
for Plk1. This phosphorylation regulates MCAK's stability and facilitates its
recognition by the ubiquitin/proteasome dependent APC/C(Cdc20) pathway leading to
its D-box dependent degradation in mitosis. While phosphorylation of S621 does
not directly affect its microtubule depolymerising activity, loss of Plk1
phosphorylation on S621 indirectly enhances its depolymerization activity in vivo
by stabilizing MCAK, leading to an increased level of protein. Interfering with
phosphorylation at S621 causes spindle formation defects and chromosome
misalignments. Therefore, this study suggests a new mechanism by which Plk1
regulates MCAK: by regulating its degradation and hence controlling its turnover
in mitosis.
PMID- 24931515
TI - Do homoarginine and asymmetric dimethylarginine act antagonistically in the
cardiovascular system?
PMID- 24931516
TI - Assessment of plaque composition by intravascular ultrasound and near-infrared
spectroscopy: from PROSPECT I to PROSPECT II.
AB - Atherosclerosis is the main cause of coronary artery disease (CAD), which is
today the leading cause of death worldwide and will continue to be the first in
the world in 2030. Vulnerable coronary plaques are usually characterized by a
high content of necrotic core, a thin inflamed fibrous cap (intense accumulation
of macrophages) and scarce presence of smooth muscle cells. None of these
characteristics can be estimated by coronary angiography, which on the contrary
underestimates the magnitude of atherosclerotic burden, particularly in earlier
stage disease when positive vascular remodeling may allow "normal" lumen caliber
despite substantial vascular wall plaque. The recognition of the ubiquity of
substantial but non-flow limiting lesions that may be at high risk for subsequent
plaque rupture has resulted in a paradigm shift in thinking about the
pathophysiology of CAD, with the focus no longer solely on the degree of arterial
luminal narrowing. This growing need for more information about coronary
atherosclerosis in order to identify patients and lesions at risk for
complications during PCI and for future adverse cardiac events has been the
primary impetus for the development of novel intracoronary imaging methods able
to detect plaque composition, in particular presence of a necrotic core/lipid
pool, such as intravascular ultrasound virtual histology and near-infrared
spectroscopy. These imaging technologies and their clinical and clinical/research
applications are discussed in detail.
PMID- 24931517
TI - Mortality and survival in systemic sclerosis: systematic review and meta
analysis.
AB - OBJECTIVE: To determine the mortality, survival, and causes of death in patients
with systemic sclerosis (SSc) through a meta-analysis of the observational
studies published up to 2013. METHODS: We performed a systematic review and meta
analysis of the observational studies in patients with SSc and mortality data
from entire cohorts published in MEDLINE and SCOPUS up to July 2013. RESULTS: A
total of 17 studies were included in the mortality meta-analysis from 1964 to
2005 (mid-cohort years), with data from 9239 patients. The overall SMR was 2.72
(95% CI: 1.93-3.83). A total of 43 studies have been included in the survival
meta-analysis, reporting data from 13,529 patients. Cumulative survival from
onset (first Raynaud's symptom) has been estimated at 87.6% at 5 years and 74.2%
at 10 years, from onset (non-Raynaud's first symptom) 84.1% at 5 years and 75.5%
at 10 years, and from diagnosis 74.9% at 5 years and 62.5% at 10 years. Pulmonary
involvement represented the main cause of death. CONCLUSIONS: SSc presents a
larger mortality than general population (SMR = 2.72). Cumulative survival from
diagnosis has been estimated at 74.9% at 5 years and 62.5% at 10 years. Pulmonary
involvement represented the main cause of death.
PMID- 24931519
TI - Effect of HIF1alpha on Foxp3 expression in CD4+ CD25- T lymphocytes.
AB - The aim of the present study was to investigate the effect of HIF1alpha on Foxp3
expression in CD4(+) CD25(-) T lymphocytes. CD4(+) CD25(-) T lymphocytes were
sorted from PBMC using a CD4(+) CD25(+) regulatory T cell isolation kit.
Lentivirus containing lentiviral vector that overexpressed HIF1alpha (HIF-lenti)
and those containing empty expression vector (control-lenti) were produced.
Meanwhile, lentivirus that contained lentiviral vector that suppressed HIF1alpha
expression (siHIF-lenti) and those containing control vector (sicontrol-lenti)
were also generated. The sorted CD4(+) CD25(-) T lymphocytes were infected with
HIF-lenti, control-lenti, siHIF-lenti, and sicontrol-lenti, respectively.
Approximately 72 hr after transduction, real-time PCR and Western blot were
carried out to analyze the RNA and protein expression level of HIF1alpha and
Foxp3. CD4(+) CD25(-) T lymphocytes cultured under 21% O2 , 5% CO2 (normoxia) and
1% O2 , 5% CO2 (hypoxia) were used as control. Our results showed that
overexpression of HIF1alpha increased both mRNA and protein expression of Foxp3
and, meanwhile, suppression of HIF1alpha expression by RNAi could reverse high
Foxp3 expression in CD4(+) CD25(-) T lymphocytes caused by hypoxic culture. These
results suggested that hypoxia could stimulate Foxp3 expression by increasing
HIF1alpha expression in CD4(+) T lymphocytes which may promote CD4(+) T
lymphocytes to convert to Treg.
PMID- 24931518
TI - The role of additional radiotherapy for primary central nervous system lymphoma.
AB - BACKGROUND: Prior to the introduction of the chemotherapeutic agent methotrexate,
radiotherapy was the sole, first-line option for the treatment of individuals
with primary central nervous system lymphoma (PCNSL), Now that methotrexate is
available, the role of radiotherapy in the treatment of PCNSL has been called
into question. Although various studies suggest promising results with regard to
overall and progression-free survival with the use of chemotherapeutic regimens
alone as well as in combination with radiotherapy, no evidence-based standard
regimen has yet been defined. OBJECTIVES: The objective of this review was to
assess and summarise the evidence available regarding the efficacy and
tolerability of radiotherapy in addition to chemotherapy in the treatment of
immunocompetent individuals with PCNSL. SEARCH METHODS: We searched the Cochrane
Central Register of Controlled Trials (CENTRAL) (issue 01.2014), MEDLINE from
January 1950 to February 2014 and conference proceedings from 2005 to 2013.
SELECTION CRITERIA: We included randomised controlled trials (RCTs) comparing
chemotherapy plus radiotherapy with chemotherapy alone in individuals with PCNSL.
Outcomes defined in this review were overall survival, progression-free survival,
response to treatment, adverse events, treatment related mortality and quality of
life. We excluded trials in which the chemotherapy regimen differed between
treatment arms, trials in which fewer than 80% of participants had PCNSL or those
recruiting immunocompromised individuals with PCNSL. DATA COLLECTION AND
ANALYSIS: Two review authors independently screened the results of the search
strategies for eligibility for this review. Both assessed risk of bias. Where
relevant data was unavailable, we contacted the investigator by email. MAIN
RESULTS: Of the 556 potentially relevant studies only two met the inclusion
criteria. One of those was excluded as the trial was abandoned prematurely and
reported only preliminary results. The only analysed trial enrolled 551
participants receiving first-line chemotherapy (methotrexate) followed by whole
brain radiotherapy (WBR) or receiving chemotherapy only (methotrexate followed by
cytarabine in case of incomplete response). In this non-inferiority trial, the
intention-to-treat (ITT) population consisted of 411 participants and the per
protocol (PP) population of 318 participants. We judged the potential for risk of
bias in this open-label study as moderate.The estimated effect of chemotherapy
plus WBR on survival was similar to that with chemotherapy alone but due to a
wide CI we could not rule out the superiority of either therapy. This applied to
both the ITT population (HR 1.01, 95% CI 0.79 to 1.30; P = 0.94) and the PP
population (HR 1.06, 95% CI 0.80 to 1.40; P = 0.71) (moderate-quality evidence).
Due to the low number of participants and a risk of detection bias we found low
quality evidence for an improvement in progression-free survival in participants
in the ITT population receiving WBR in addition to chemotherapy (HR 0.79, 95% CI
0.63 to 0.99; P = 0.041). An improvement in PFS was also observed with WBR plus
chemotherapy in participants in the PP population, but the CI was slightly wider
and the result not significant (HR 0.82,95% CI 0.64 to 1.07; P = 0.14). Treatment
related mortality and health-related quality of life were not evaluated.
Treatment-related neurotoxicity was assessed clinically in 79 participants,
revealing signs of neurotoxicity in 49% of those receiving chemotherapy plus
radiotherapy and in 26% of those receiving chemotherapy only (RR 1.85, 95% CI
0.98 to 3.48; P = 0.054) (very-low-quality evidence). AUTHORS' CONCLUSIONS: In
summary, the currently available evidence (one RCT) is not sufficient to conclude
that WBR plus chemotherapy and chemotherapy alone have similar effects on overall
survival in people with PCNSL. The findings suggest that the addition of
radiotherapy (WBR) to chemotherapy may increase progression-free survival, but
may also increase the incidence of neurotoxicity compared to chemotherapy only
(methotrexate monotherapy). As the role of chemoradiotherapy in the treatment of
PCNSL remains unclear, further prospective, randomised trials are needed before
definitive conclusions can be drawn.
PMID- 24931520
TI - Effects of feeding lauric acid or coconut oil on ruminal protozoa numbers,
fermentation pattern, digestion, omasal nutrient flow, and milk production in
dairy cows.
AB - The objectives of this study were to evaluate the feeding of coconut oil (CO), in
which lauric acid (La) comprises about 50% of the fatty acid composition, as a
practical rumen protozoa (RP) suppressing agent, to assess whether the source of
La affects ruminal fermentation and animal performance and to test whether
suppressing RP improves N utilization, nutrient digestion, nutrient flow at the
omasal canal, and milk production. Fifteen multiparous Holstein cows (3 fitted
with ruminal cannulas) and 15 primiparous Holstein cows (3 fitted with ruminal
cannulas) were used in a replicated 3*3 Latin square experiment with 14d of
adaptation and 14d of sample collection. Diets were fed as total mixed ration and
contained (dry matter basis) 10% corn silage, 50% alfalfa silage, and 40%
concentrate. The control diet contained 3% (dry matter basis) calcium soaps of
palm oil fatty acids (Megalac, Church & Dwight Co. Inc., Princeton, NJ) as a
ruminally inert fat source and had no added La or CO. Diets with La and CO were
formulated to contain equal amounts of La (1.3%, dry matter basis). Dry matter
intake was not affected by treatment. Both CO and La reduced RP numbers by about
40%. Lauric acid reduced yield of milk and milk components; however, CO did not
affect yield of milk and yields of milk components. Both La and CO caused small
reductions in total VFA concentration; CO increased molar proportion of ruminal
propionate, reduced ruminal ammonia and branched-chain volatile fatty acids,
suggesting reduced protein degradation, and reduced milk urea N and blood urea N
concentrations, suggesting improved protein efficiency. Lauric acid reduced total
tract apparent digestibility of neutral detergent fiber and acid detergent fiber
as well as ruminal apparent digestibility of neutral detergent fiber and acid
detergent fiber as measured at the omasal canal; however, CO did not alter fiber
digestion. Microbial protein flow at the omasal canal, as well as the flow of N
fractions at the omasal canal, did not differ among treatments. Results from this
experiment have confirmed that dietary La is not a practical agent for
suppressing RP population in dairy cows, mainly because of its negative effects
on fiber digestion and ruminal fermentation. Intake of CO appeared to reduce
ruminal and improve protein efficiency, but did not improve milk production, milk
composition, or increase microbial outflow from the rumen. Based on the results
of this study, a 40% reduction of RP population is not sufficient to improve N
utilization in dairy cows.
PMID- 24931521
TI - Hepatic patatin-like phospholipase domain-containing protein 3 sequence, single
nucleotide polymorphism presence, protein confirmation, and responsiveness to
energy balance in dairy cows.
AB - Patatin-like phospholipase domain-containing protein 3 (PNPLA3), commonly known
as adiponutrin, is part of a novel subfamily of triglyceride lipase enzymes with
potential effects on triglyceride metabolism in adipose and hepatic tissues. The
predicted bovine PNPLA3 sequence has been identified, but expression of the gene
had not been examined. The objectives of this study were to confirm the predicted
bovine PNPLA3 gene sequence, determine expression of the bovine PNPLA3 gene in
response to whole-animal energy balance, identify single nucleotide polymorphisms
present in dairy cows, and verify the presence of the protein in the liver. Using
liver biopsy samples collected from cows at +28d relative to calving (DRTC), RNA
was isolated and used to generate a cDNA template for amplification of the entire
predicted coding sequence of PNPLA3 via PCR. To determine if energy balance
alters the expression of PNPLA3, RNA was isolated and mRNA expression quantified
in liver samples from mid-lactation cows after a 5-d ad libitum period (n=5) and
after a subsequent 5-d 50% feed restriction period (n=5), and in samples
collected from cows at -14, +1, +14, and +28 DRTC (n=16). The presence of PNPLA3
protein was detected by Western blot in liver protein samples collected at +28
DRTC. Expression of hepatic PNPLA3 was decreased after a period of feed
restriction (8.14 vs. 1.08+/-2.17 arbitrary units, ad libitum vs. fasted).
Expression of PNPLA3 mRNA was decreased at +1 and +14 DRTC compared with -14 DRTC
(23.35, 7.28, 10.17, and 14.5+/-4.9 arbitrary units, -14, +1, +14, and +28 DRTC,
respectively). The presence of PNPLA3 protein was detected as a 55-kDa band in
hepatic protein isolations from liver tissue collected at +28 DRTC. These data
confirm the presence and sequence of the bovine hepatic PNPLA3 gene and single
nucleotide polymorphisms. Furthermore, these data indicate responsiveness of
bovine hepatic PNPLA3 to energy balance.
PMID- 24931523
TI - Free and immobilized Lactobacillus casei ATCC 393 on whey protein as starter
cultures for probiotic Feta-type cheese production.
AB - The use of free and immobilized Lactobacillus casei ATCC 393 on whey protein as
starter culture in probiotic Feta-type cheese production was evaluated. The
probiotic cultures resulted in significantly higher acidity; lower pH; reduced
counts of coliforms, enterobacteria, and staphylococci; and improved quality
characteristics compared with cheese with no culture. Microbiological and strain
specific multiplex PCR analysis showed that both free and immobilized L. casei
ATCC 393 were detected in the novel products at levels required for conferring a
probiotic effect at the end of the ripening. The effect of starter culture on
production of volatile compounds was investigated by the solid-phase
microextraction gas chromatography-mass spectrometry analysis technique. The
immobilized cells resulted in an improved profile of aroma-related compounds and
the overall high quality of the novel products was ascertained by the preliminary
sensory test. Finally, the high added value produced by exploitation of whey,
which is an extremely polluting industrial waste, was highlighted and assessed.
PMID- 24931522
TI - Immune response against Treponema spp. and ELISA detection of digital dermatitis.
AB - The objective of this longitudinal study was to evaluate the immune response
against Treponema spp. infection in dairy heifers affected with digital
dermatitis (DD). In addition, the accuracy of an indirect ELISA detecting anti
Treponema IgG antibodies in identifying clinical DD status has been assessed. A
cohort of 688 pregnant Holstein heifers was evaluated at least 3 times before
calving during a period of 6 mo. Complete clinical assessment of DD presence on
the back feet of each heifer and blood extraction were performed in a stand-up
chute. Digital dermatitis cases were characterized by the M-stage classification
system and size and level of skin proliferation. An ELISA was performed on blood
serum samples obtained from a subcohort of 130 heifers. For description purposes,
the animals were classified by the number of clinical cases experienced during
the study period as type I (no clinical cases were observed), type II (only 1
acute clinical case diagnosed), and type III (at least 2 acute clinical cases
diagnosed). Multivariable repeated-measures models were used to evaluate the
immune response against Treponema spp. infection. A binormal Bayesian model for
the ELISA data without cut-point values was used to assess the accuracy of the
ELISA as a diagnostic tool. Animals that never experienced a DD event throughout
the study kept a constant low level of antibody titer. A 56% increase in mean
ELISA titer was observed in heifers upon a first clinical DD case diagnosis.
After topical treatment of an acute DD case with oxytetracycline, the antibody
titer decreased progressively in type II heifers, achieving mean levels of those
observed in healthy cows after a mean of 223 d. Surprisingly, antibody titer was
not increased in the presence of M1 (DD lesion <20mm in diameter surrounded by
healthy skin) and M4.1 (DD lesion <20mm in diameter embedded in a circumscribed
dyskeratotic or proliferative skin alteration) DD stages. Type III cows showed a
slight increase in antibody levels. The presence of skin proliferation at first
DD diagnosis was found to be associated with an odds ratio of 2.04 of becoming a
type III heifer in relation to heifers presenting first lesions without skin
proliferation. The ELISA validity was estimated by an area under the curve of
0.88. Predicted probabilities of infection are provided for a range of ELISA
values and prevalence of infection. Early detection and treatment is essential to
control DD and the ELISA can be used in understanding the immunopathology of DD
and shows great promise for prescreening purposes during DD management programs
in combination with traditional clinical inspection.
PMID- 24931524
TI - Association of coagulase-negative staphylococcal species, mammary quarter milk
somatic cell count, and persistence of intramammary infection in dairy cattle.
AB - This study was conducted to evaluate the association between subclinical
intramammary infection (IMI) with coagulase-negative staphylococci (CNS), mammary
quarter milk somatic cell count (SCC), and persistence of IMI in dairy cattle.
Convenience samples of CNS isolates harvested from milk samples of subclinically
infected mammary quarters collected between 4 and 2wk before drying-off, between
2wk before drying-off and the day of drying-off, within 24h after calving,
between 1 and 2wk after calving, and during lactation were evaluated. Isolates
were obtained from the Canadian Bovine Mastitis Research Network culture bank and
were identified to the species level using rpoB gene sequencing. Cow and quarter
level data were obtained from the Canadian Bovine Mastitis Research Network
database and used for statistical analyses. In addition, for mammary quarters
that had more than one isolation of the same CNS species at different time
points, the isolates were evaluated using pulsed-field gel electrophoresis to
identify persistent IMI. Milk SCC was compared between mammary quarters infected
with different CNS species and to a cohort of uninfected mammary quarters. A
total of 877 isolates from 643 mammary quarters of 555 cows on 89 Canadian dairy
farms were identified to the species level. Twenty different species were
identified, with Staphylococcus chromogenes being the most common species
identified (48% of isolates), followed by Staphylococcus simulans (19%) and
Staphylococcus xylosus (10%). Of the 20 species identified, only 9 species were
found in persistently infected quarters. Milk SCC was significantly higher in the
CNS-infected mammary quarters than in the uninfected control quarters for 8 of
the 20 species studied. Also, mean SCC differed significantly between mammary
quarters infected with different CNS species. Within a given species, a high
degree of variability was noted in milk SCC. These data corroborate recent data
from Europe with regard to the predominance of certain species of CNS (e.g.,
Staph. chromogenes). In addition, some species of CNS appear to have a greater
effect on milk SCC. Finally, some CNS species are associated with persistent IMI
suggesting that some species (e.g., Staph. chromogenes and Staph. simulans) are
better host-adapted, whereas others may have an environmental reservoir.
PMID- 24931525
TI - Short communication: effect of trans-10,cis-12 conjugated linoleic acid on
activation of lipogenic transcription factors in bovine mammary epithelial cells.
AB - The objective of this study was to examine the effect of trans-10,cis-12
conjugated linoleic acid (t10c12CLA) on the activation of transcription factors
that potentially regulate lipid synthesis in a bovine mammary epithelial cell
line (MAC-T). Cells were transfected with luciferase reporter constructs
containing sterol response element (SRE and SRE complex) for sterol regulatory
element binding protein-1, peroxisome proliferator response element for
peroxisome proliferator-activated receptor gamma, or liver X receptor response
element for liver X receptor. Different concentrations of t10c12CLA (0, 25, 50,
75, or 100MUM) were applied to cells to determine the activation of transcription
factors. The influence of t10c12CLA bond structure on transcription factor
activation was also investigated by treating cells with different 18:1 fatty acid
isomers (trans-10 18:1 or cis-12 18:1) at 100MUM. Cells were harvested for
luciferase assay after 24h of treatment. Compared with linoleic acid and cis
9,trans-11 CLA controls, the SRE reporters had significantly lower activity in
t10c12CLA-treated cells at 50 and 75MUM for SRE complex and SRE, respectively.
Lower SRE and SRE complex activation was observed in t10c12CLA treatment at 25,
50, and 75MUM compared with 0MUM. The peroxisome proliferator response element
and liver X receptor response element reporters did not respond differently
between the t10c12CLA treatment and controls. Compared with t10c12CLA, both trans
10 18:1 and cis-12 18:1 increased the activities of SRE and SRE complex reporters
by 1.3- to 4.2-fold. In conclusion, t10c12CLA has an inhibitory role in lipogenic
transcription factor activation of SRE, and this negative effect is due to the
conjugation of trans-10 and cis-12 double bonds in the fatty acid. Furthermore,
we found no support for a regulatory role of response elements for peroxisome
proliferator-activated receptor gamma or liver X receptor in the t10c12CLA
inhibition of mammary lipid synthesis.
PMID- 24931526
TI - Lactose electroisomerization into lactulose: effect of the electrode material,
active membrane surface area-to-electrode surface area ratio, and interelectrode
membrane distance.
AB - The aim of the present work was to study and develop an innovative, clean, and
environmentally friendly process for lactulose synthesis by electroactivation of
lactose. In this work, the electrode material (type 304 stainless steel,
titanium, and copper), dimensionless interelectrode-membrane distance at the
cathodic compartment (0.36, 0.68, and 1), and the membrane:electrode surface area
ratio (0.23, 0.06, and 0.015) were considered to be the factors that could affect
the kinetic conversion of lactose into lactulose. The reactions were conducted
under an initial lactose concentration of 0.15mol/L at 10 degrees C, Froude
number (mixing speed) of 2.05*10(-2), and electric current intensity of 300mA for
30min. The highest lactulose formation yield of 32.50% (0.05mol/L) was obtained
by using a copper electrode, interelectrode-membrane distance of 0.36, and
membrane:electrode surface area ratio of 0.23. The 2-parameter Langmuir,
Freundlich, and Temkin isotherm models were used for the prediction of the
lactose isomerization kinetics as well as the 3-parameter Langmuir-Freundlich
isotherm model. It was shown that the lactose isomerization kinetics into
lactulose followed the Temkin and Langmuir-Freundlich models with coefficients of
determination of 0.99 and 0.90 and a relative error of 1.42 to 1.56% and 4.27 to
4.37%, respectively.
PMID- 24931527
TI - Comparison of analytical and predictive methods for water, protein, fat, sugar,
and gross energy in marine mammal milk.
AB - Mammalian milks may differ greatly in composition from cow milk, and these
differences may affect the performance of analytical methods. High-fat, high
protein milks with a preponderance of oligosaccharides, such as those produced by
many marine mammals, present a particular challenge. We compared the performance
of several methods against reference procedures using Weddell seal (Leptonychotes
weddellii) milk of highly varied composition (by reference methods: 27-63% water,
24-62% fat, 8-12% crude protein, 0.5-1.8% sugar). A microdrying step preparatory
to carbon-hydrogen-nitrogen (CHN) gas analysis slightly underestimated water
content and had a higher repeatability relative standard deviation (RSDr) than
did reference oven drying at 100 degrees C. Compared with a reference macro
Kjeldahl protein procedure, the CHN (or Dumas) combustion method had a somewhat
higher RSDr (1.56 vs. 0.60%) but correlation between methods was high (0.992),
means were not different (CHN: 17.2+/-0.46% dry matter basis; Kjeldahl 17.3+/
0.49% dry matter basis), there were no significant proportional or constant
errors, and predictive performance was high. A carbon stoichiometric procedure
based on CHN analysis failed to adequately predict fat (reference: Rose-Gottlieb
method) or total sugar (reference: phenol-sulfuric acid method). Gross energy
content, calculated from energetic factors and results from reference methods for
fat, protein, and total sugar, accurately predicted gross energy as measured by
bomb calorimetry. We conclude that the CHN (Dumas) combustion method and
calculation of gross energy are acceptable analytical approaches for marine
mammal milk, but fat and sugar require separate analysis by appropriate analytic
methods and cannot be adequately estimated by carbon stoichiometry. Some other
alternative methods-low-temperature drying for water determination; Bradford,
Lowry, and biuret methods for protein; the Folch and the Bligh and Dyer methods
for fat; and enzymatic and reducing sugar methods for total sugar-appear likely
to produce substantial error in marine mammal milks. It is important that
alternative analytical methods be properly validated against a reference method
before being used, especially for mammalian milks that differ greatly from cow
milk in analyte characteristics and concentrations.
PMID- 24931528
TI - Bovine colostrum: changes in lipid constituents in the first 5 days after
parturition.
AB - Despite the great interest paid to protein components in colostrum, fat also
plays an important role in the supply of essential nutrients to provide energy,
increase metabolism, and protect the newborn calf against microbial infections.
This work aimed to elucidate levels of different fat components in colostrum, in
particular fatty acid (FA), triglyceride (TG), cholesterol, and phospholipid
contents. Colostrum samples from primiparous and multiparous (3-5 lactations)
Holstein dams, fed the same ration indoors, were collected on the first 5d after
parturition, analyzed, and compared with milk samples from the same cows
collected at 5mo of lactation. Fat content during the first 5d of milking did not
vary. However, the proportion of short-chain saturated FA increased and that of
long-chain FA decreased. The concentration of n-3 FA was higher on the first day
of calving than on the other days, with clear differences in the number and type
of n-3 FA. Conjugated linoleic isomers and trans FA slowly increased from d 1 to
5, reaching a maximum at 5mo of lactation. Changes in the distribution profile of
TG were observed as lactation progressed, with a shift from a prevalence of high
carbon-number TG (C48-50) on d 1 to a bimodal distribution (maxima at C38 and
C50) on d 5, characteristic of mid-lactation milk. Cholesterol content was high
in the first hours after calving and rapidly decreased within 48h. Colostrum
sampled on d 1 also had a high content of phospholipids. Phosphatidylethanolamine
and sphingomyelin were, respectively, lower and higher in the first 5d than in
mid-lactation milk. The influence of lactation number on colostrum fat
composition was also considered and significant results were obtained for all FA
groups (except for polyunsaturated and n-6 FA) and TG content.
PMID- 24931529
TI - Short communication: effects of milk removal on teat tissue and recovery in
Murciano-Granadina goats.
AB - The aim of this work was to study how machine milking (MM) carried out in
appropriate conditions affects teat wall thickness and canal length and their
return after milking to premilking conditions compared with other milk removal
methods considered biological referents: kid suckling (KS), catheter removal
(CATH), and hand milking (HM). Three Latin square experiments were designed, each
divided into 2 periods. In the first period, the left glands of each animal were
machine milked and the KS, CATH, and HM treatments were applied to the right
glands in experiments 1, 2, and 3, respectively. Subsequently, in the second
period, the removal methods were interchanged. Teat wall thickness, teat wall
area, teat end wall area, and teat canal length were measured from the ultrasound
images. Milk removal using the reference methods (KS, CATH, and HM) and by MM
caused increases in teat wall thickness and teat canal length, which were greater
with MM. The time needed for the teat walls and canal to return to their
physiological conditions before milk removal was greater than 10h in the
reference methods and following machine milking.
PMID- 24931530
TI - The effect of routine hoof trimming on locomotion score, ruminating time,
activity, and milk yield of dairy cows.
AB - The objective of this study was to quantify the effect of hoof trimming on cow
behavior (ruminating time, activity, and locomotion score) and performance (milk
yield) over time. Data were gathered from a commercial dairy farm in Israel where
routine hoof trimming is done by a trained hoof trimmer twice per year on the
entire herd. In total, 288 cows spread over 6 groups with varying production
levels were used for the analysis. Cow behavior was measured continuously with a
commercial neck activity logger and a ruminating time logger (HR-Tag, SCR
Engineers Ltd., Netanya, Israel). Milk yield was recorded during each milking
session with a commercial milk flow sensor (Free Flow, SCR Engineers Ltd.). A
trained observer assigned on the spot 5-point locomotion scores during 19
nighttime milking occasions between 22 October 2012 and 4 February 2013.
Behavioral and performance data were gathered from 1wk before hoof trimming until
1wk after hoof trimming. A generalized linear mixed model was used to
statistically test all main and interactive effects of hoof trimming, parity,
lactation stage, and hoof lesion presence on ruminating time, neck activity, milk
yield, and locomotion score. The results on locomotion scores show that the
proportional distribution of cows in the different locomotion score classes
changes significantly after trimming. The proportion of cows with a locomotion
score >=3 increases from 14% before to 34% directly after the hoof trimming. Two
months after the trimming, the number of cows with a locomotion score >=3 reduced
to 20%, which was still higher than the baseline values 2wk before the trimming.
The neck activity level was significantly reduced 1d after trimming (380+/-6
bits/d) compared with before trimming (389+/-6 bits/d). Each one-unit increase in
locomotion score reduced cow activity level by 4.488 bits/d. The effect of hoof
trimming on ruminating time was affected by an interaction effect with parity.
The effect of hoof trimming on locomotion scores was affected by an interaction
effect with lactation stage and tended to be affected by interaction effects with
hoof lesion presence, indicating that cows with a lesion reacted different to the
trimming than cows without a lesion did. The results show that the routine hoof
trimming affected dairy cow behavior and performance in this farm.
PMID- 24931531
TI - Effect of supplementing essential fatty acids to pregnant nonlactating Holstein
cows and their preweaned calves on calf performance, immune response, and health.
AB - The objective was to evaluate the effect of supplementing saturated or
unsaturated fatty acids (FA) during late gestation of cows and during the
preweaning period of calves on growth, health, and immune responses of calves.
During the last 8wk of pregnancy, Holstein cattle (n=96) were fed no fat
supplement (control), a saturated FA (SFA) supplement enriched in C18:0, or an
unsaturated FA supplement enriched in the essential FA linoleic acid. Newborn
calves were fed a milk replacer (MR) with either low linoleic acid (LLA; coconut
oil) or high linoleic acid (HLA; coconut oil and porcine lard) concentration as
the sole feedstuff during the first 30d. A grain mix with minimal linoleic acid
was offered between 31 and 60d of life. At 30 and 60d of life, concentrations of
linoleic acid in plasma were increased in calves born from dams supplemented with
essential FA compared with SFA (44.0 vs. 42.5% of total FA) and in calves
consuming HLA compared with LLA MR (46.3 vs. 40.8% of total FA). Total n-3 FA
concentration was increased in plasma of calves fed HLA compared with LLA MR
(1.44 vs. 1.32%) primarily due to increased alpha-linolenic acid. Prepartum
supplementation with SFA tended to improve dry matter intake (48.8 vs. 46.7kg)
and improved average daily gain (0.50 vs. 0.46kg/d) by calves without affecting
efficiency of gain or circulating concentrations of anabolic metabolites or
hormones. Increasing mean intake of linoleic acid from approximately 4.6 to
11.0g/d during the first 60d of life increased average daily gain (0.50 vs.
0.45kg/d) without a change in dry matter intake, thus improving feed efficiency
(0.63 vs. 0.59kg of gain/kg of dry matter intake). Improved weight gain in calves
fed HLA MR was accompanied by increased or tendency to increase plasma
concentrations of glucose (92.7 vs. 89.9g/dL) and insulin-like growth factor I
(59.5 vs. 53.2g/dL), increased hematocrit (36.0 vs. 34.4%) and concentration of
blood lymphocytes (4.61 vs. 4.21*10(3)/MUL), lowered plasma concentrations of
acid-soluble protein (78.8 vs. 91.3mg/L) and blood platelets (736 vs.
822*10(3)/MUL), and increased production of IFN-gamma by peripheral blood
mononuclear cells at 30d of age (48.1 vs. 25.6pg/mL), possibly indicating an
earlier development of the immune system. Partial replacement of coconut oil with
porcine lard in MR improved calf performance and some aspects of immunity.
PMID- 24931532
TI - Effect of early or late resynchronization based on different methods of pregnancy
diagnosis on reproductive performance of dairy cows.
AB - The aim of this study was to compare the reproductive performance of dairy cows
subjected to early (ER) or late (LR) resynchronization programs after
nonpregnancy diagnoses based on either pregnancy-associated glycoproteins (PAG)
ELISA or transrectal palpation, respectively. In addition, the accuracy of the
PAG ELISA for early pregnancy diagnosis was assessed. Lactating Holstein cows
were subjected to a Presynch-Ovsynch protocol with timed artificial insemination
(AI) performed between 61 and 74 DIM. On the day of the first postpartum AI,
1,093 cows were blocked by parity and assigned randomly to treatments; however,
because of attrition, 452 ER and 520 LR cows were considered for the statistical
analyses. After the first postpartum AI, cows were observed daily for signs of
estrus and inseminated on the same day of detected estrus. Cows from ER that were
not reinseminated in estrus received the first GnRH injection of the Ovsynch
protocol for resynchronization 2d before pregnancy diagnosis. On d 28 after the
previous AI (d 27 to 34), pregnancy status was determined by PAG ELISA, and
nonpregnant cows continued on the Ovsynch protocol for reinsemination. Pregnant
cows had pregnancy status reconfirmed on d 46 after AI (d 35 to 52) by
transrectal palpation, and those that lost the pregnancies were resynchronized.
Cows assigned to LR had pregnancy diagnosed by transrectal palpation on d 46
after AI (d 35 to 52) and nonpregnant cows were resynchronized with the Ovsynch
protocol. Blood was sampled on d 28 after AI (d 27 to 34) from cows in both
treatments that had not been reinseminated on estrus and again on d 46 after AI
(d 35 to 52) for assessment of PAG ELISA to determine the accuracy of the test.
Cows were subjected to treatments for 72d after the first insemination. Pregnancy
per AI (P/AI) at first postpartum timed AI did not differ between treatments and
averaged 28.9%. The proportion of nonpregnant cows that were resynchronized and
received timed AI was greater for ER than for LR (30.0 vs. 7.6%). Cows in ER had
a shorter interval between inseminations when inseminated following spontaneous
estrus (21.7+/-1.1 vs. 27.8+/-0.8d) or after timed AI (35.3+/-1.2 vs. 55.2+/
1.4d). Nevertheless, the ER did not affect the rate of pregnancy (adjusted hazard
ratio=1.23; 95% confidence interval=0.94 to 1.61) or the median days postpartum
to pregnancy (ER=132 vs. LR=140). A total of 2,129 PAG ELISA were evaluated.
Overall, sensitivity, specificity, and positive and negative predictive values
averaged 95.1, 89.0, 90.1, and 94.5%, respectively, and the accuracy was 92.1%.
In conclusion, PAG ELISA for early diagnosis of pregnancy had acceptable
accuracy, but early resynchronization after nonpregnancy diagnosis with PAG ELISA
did not improve the rate of pregnancy or reduce days open in dairy cows
continuously observed for estrus.
PMID- 24931533
TI - Cellular proliferation rate and insulin-like growth factor binding protein
(IGFBP)-2 and IGFBP-3 and estradiol receptor alpha expression in the mammary
gland of dairy heifers naturally infected with gastrointestinal nematodes during
development.
AB - Mammary ductal morphogenesis during prepuberty occurs mainly in response to
insulin-like growth factor-1 (IGF-1) and estradiol stimulation. Dairy heifers
infected with gastrointestinal nematodes have reduced IGF-1 levels, accompanied
by reduced growth rate, delayed puberty onset, and lower parenchyma-stroma
relationship in their mammary glands. Immunohistochemical studies were undertaken
to determine variations in cell division rate, IGF-1 system components, and
estradiol receptors (ESR) during peripubertal development in the mammary glands
of antiparasitic-treated and untreated Holstein heifers naturally infected with
gastrointestinal nematodes. Mammary biopsies were taken at 20, 30, 40, and 70 wk
of age. Proliferating cell nuclear antigen immunolabeling, evident in nuclei,
tended to be higher in the parenchyma of the glands from treated heifers than in
those from untreated. Insulin-like growth factor binding proteins (IGFBP) type 2
and type 3 immunolabeling was cytoplasmic and was evident in stroma and
parenchyma. The IGFBP2-labeled area was lower in treated than in untreated
heifers. In the treated group, a maximal expression of this protein was seen at
40 wk of age, whereas in the untreated group the labeling remained constant. No
differences were observed for IGFBP3 between treatment groups or during
development. Immunolabeling for alpha ESR (ESR1) was evident in parenchymal
nuclei and was higher in treated than in untreated heifers. In the treated group,
ESR1 peaked at 30 wk of age and then decreased. These results demonstrate that
the parasite burden in young heifers negatively influence mammary gland
development, affecting cell division rate and parameters related to estradiol and
IGF-1 signaling in the gland.
PMID- 24931534
TI - Diets rich in starch increase the posthepatic availability of amino acids in
dairy cows fed diets at low and normal protein levels.
AB - Five mid-lactation multicatheterized Jersey cows were used in a 4*4 Latin square
design to investigate whether the increase in milk N yield associated with diets
rich in starch versus fiber could originate from changes in the splanchnic AA
metabolism and if these changes depended upon the dietary crude protein (CP)
content. Four isoenergetic diets were formulated to provide 2 different
carbohydrate compositions [diets rich in starch (350g of starch and 310g of
neutral detergent fiber/kg of dry matter) versus rich in fiber (45g of starch and
460g of neutral detergent fiber/kg of dry matter)] crossed by 2 different CP
contents (12.0 vs. 16.5% CP). At the end of each treatment period, 6 hourly blood
samples were collected from the portal and hepatic veins as well as the
mesenteric artery to determine net nutrient fluxes across the portal-drained
viscera (PDV), liver, and total splanchnic tissues. Dry matter and calculated
energy intake as well as total absorbed energy were similar across treatments.
However, the net portal appearance (NPA) of acetate, total volatile fatty acids,
and beta-hydroxybutyrate were higher with diets rich in fiber versus starch,
whereas that of oxygen, glucose, butyrate, and insulin were lower. Concomitant to
these changes, the percentage of N intake recovered as total AA (TAA) in the
portal vein was lower for diets rich in fiber versus starch (42.3 vs. 51.4%,
respectively), without, however, any difference observed in the NPA of the main
AA used as energy fuels by the PDV (Glu, Gln, and Asp). Despite a higher NPA of
TAA with starch versus fiber diets, no differences in the net hepatic flux of
TAA, essential and nonessential AA were observed, resulting in a higher (+22%)
net splanchnic release of AA and, hence, a greater (+7%) milk N yield. The net
hepatic flux and hepatic fractional removal of none of the individual AA was
affected as the main carbohydrate changed from fiber to starch, except for Gly
and Lys, which were higher for the latter. After correcting for differences in
NPA of TAA, the net hepatic uptake of TAA tended to be lower with starch versus
fiber diets. The higher transfer of N from feed to milk with diets rich in starch
is not the consequence of a direct sparing AA effect of glucogenic diets but
rather the result of lower energy requirements by the PDV along with a higher
microbial N flow to the duodenum. A better AA use by peripheral tissues with
starch versus fiber diets was also hypothesized but more studies are warranted to
clarify this issue.
PMID- 24931535
TI - Influence of geochemical properties and land-use types on the microbial reduction
of Fe(III) in subtropical soils.
AB - Microbial Fe(III) reduction significantly impacts the geochemical processes and
the composition of most subsurface soils. However, up to now, the factors
influencing the efficiency of Fe(III) reduction in soils have not been fully
described. In this study, soil Fe(III) reduction processes related to geochemical
properties and land-use types were systematically investigated using iron-rich
soils. The results showed that microbial Fe(III) reduction processes were
efficient and their rates varied significantly in different types of soils.
Fe(III) reduction rates were 1.1-5.6 times as much in soils with glucose added as
in those without glucose. Furthermore, Fe(III) reduction rates were similar in
soils from the same parent materials, while they were highest in soils developed
from sediments, with a mean rate of 1.87 mM per day when supplemented with
glucose. In addition, the Fe(III) reduction rates, reaching 0.99 and 0.59 mM per
day on average with and without glucose added, respectively, were higher in the
paddy soils affected heavily by human activities than those in the forest soils
(average rates of 0.38 and 0.15 mM per day when with and without glucose,
respectively). All the soil weathering indices correlated linearly with Fe(III)
reduction rates, even though the reduction of iron in soils with higher
weathering degrees was partly inhibited by a higher soil protonation trend and
fewer available iron reduction sites in the soils, which gives lower reduction
rates. These results clearly illustrate that soil Fe(III) reduction rates are
greatly dependent on soil geochemical properties and land-use types and help
define which soil types exhibit similar degrees of Fe(III) reduction under field
conditions.
PMID- 24931536
TI - Editorial.
PMID- 24931537
TI - Anxiety disorders: under-diagnosed and insufficiently treated.
AB - Anxiety disorders have a high prevalence, particularly specific phobia, social
anxiety disorder (SAD), post-traumatic stress disorder (PTSD) and generalized
anxiety disorder (GAD). The lifetime prevalence for any anxiety disorder is
almost 30%. Also, many anxiety disorders have a high rate of comorbid depression,
which is generally secondary to the anxiety. Despite high prevalence, anxiety
disorders are under-diagnosed, misdiagnosed and inappropriately treated. There is
a hierarchy in the lifetime probability of treatment contact, with panic and GAD
being diagnosed and treated earlier - possibly as a result of these disorders
being the most "visible", unlike SAD, which is characteristically discreet. Under
diagnosis is linked with under-treatment: less than one in five patients with an
anxiety disorder receive appropriate medication, which improves to almost one in
three for subjects with comorbid depression. For the majority of people with
undiagnosed or untreated anxiety disorder, there are many negative consequences,
for both the individual and society. These include disability, reduced ability to
work leading to loss of productivity, and a high risk of suicide. All of these
factors contribute to a reduced quality of life. The economic costs associated
with anxiety disorders are large - ?48 billion over 28 European countries - and
similar to those for dementia. Almost half of the costs are indirect, due to loss
of productivity and earnings; the remainder being direct medical and non-medical
costs. Current first-line therapies are the antidepressive selective serotonin
reuptake inhibitors (SSRIs), with cognitive behavioural therapy a first- or
second-line treatment. However, benzodiazepines continue to be prescribed more
often than antidepressants. Recent studies have shown that a new type of SSRI,
escitalopram (the S-enantiomer of the racemic citalopram), with a unique mode of
action, is effective and offers potential as a new treatment for anxiety
disorders.
PMID- 24931538
TI - Generalized anxiety disorder: how to treat, and for how long?
AB - Generalized anxiety disorder (GAD) is a common, chronic and disabling anxiety
disorder with considerable comorbidity with depression as well as with other
anxiety disorders. Although tricyclic antidepressants and benzodiazepines have
been found to be efficacious in patients with GAD, tolerability problems and
other risks limit their use in clinical practice. In placebo-controlled, acute
(<8 weeks) trials, several medications, including the selective serotonin
reuptake inhibitors ([SSRIs] escitalopram, paroxetine, and sertraline) and others
(venlafaxine, buspirone, pregabalin), have demonstrated efficacy in patients with
GAD. Indeed, current guidelines for the treatment of GAD recommend SSRIs as first
line pharmacological therapy because of their efficacy and tolerability profiles.
Although GAD is a chronic condition that is usually present for years, with
symptoms typically fluctuating in intensity over time, there have been few
randomized, controlled trials of pharmacotherapy beyond the acute phase of
treatment. However, data from recent relapse-prevention studies and longer-term
maintenance studies with paroxetine, venlafaxine and escitalopram strongly
support the value of continued treatment for at least a further 6 months. This
article focuses on pharmacological treatment, and reviews recently available data
from acute, long-term and relapse-prevention trials in patients with GAD. In
addition, issues relating to the natural course of GAD are highlighted as
important considerations to guide selection of pharmacotherapy.
PMID- 24931539
TI - Evidence-based treatment of anxiety disorders.
AB - Evidence-based medicine combines the best currently available evidence from
systematic medical research, together with clinical expertise, in order to
provide the best available care for patients. In conjunction with systematic
reviews (meta-analyses), a critical review of evidence-based literature forms the
basis for the development of clinical treatment guidelines. Current treatment
guidelines for generalized anxiety disorder (GAD) advocate the use of selective
serotonin reuptake inhibitors (SSRIs) or serotonin-noradrenaline reuptake
inhibitors (SNRIs) as the first line of pharmacotherapy. The safety and
tolerability profiles of other medications, such as the benzodiazepines, limit
their use, especially for long-term treatment. Since data comparing the efficacy
in GAD of different SSRIs are limited, selection of one SSRI over another is
generally based on consideration of tolerability profiles. Treatment guidelines
for patients with social anxiety disorder (SAD) often recommend SSRIs as the
first line of medication treatment, as this class has the largest evidence-base
in support of efficacy. Less consistent evidence of efficacy exists for other
agents, such as reversible inhibitors of monoamine oxidase inhibitor A (RIMAs),
and issues of safety are a concern when considering the use of benzodiazepines.
Again, there are few head-to-head studies of the SSRIs, and treatment selection
is usually made on the basis of tolerability issues. The efficacy and
tolerability of the SSRI, escitalopram, has been evaluated in patients with GAD
and with SAD. In long-term studies of SAD, escitalopram demonstrated superior
efficacy to placebo and paroxetine. It also exhibited a better tolerability
profile, as assessed by discontinuation emergent signs and symptoms (DESS), in
both patient groups. Furthermore, in relapse prevention trials of SAD,
escitalopram conferred a significant benefit relative to placebo.
PMID- 24931540
TI - Current treatment options: relief of symptoms and ultimate recovery.
AB - The inadequate outcome of existing antidepressant therapies has led to a shift in
the expectations of optimal treatment toward remission rather than response.
Important benchmarks for newer antidepressant treatments in the management of
major depressive disorder (MDD) include early response and remission leading to
recovery, regardless of severity. Preliminary data from a pooled analysis of
trials, in which escitalopram was compared with selective serotonin reuptake
inhibitors (SSRIs) and the selective serotonin noradrenaline reuptake inhibitor
(SNRI) venlafaxine, suggest a faster onset of action compared with other SSRIs.
In a further pooled analysis, a significant advantage in response and remission
in favor of escitalopram against comparator SSRIs and venlafaxine was
demonstrated. Studies appear to suggest that not only is escitalopram effective
in depression, its superiority over comparators increases with baseline severity.
Thus, escitalopram may satisfy many of the goals for the new generation of
antidepressants in the management of MDD.
PMID- 24931541
TI - Quality of life in depression and anxiety: does it matter?
AB - Quality of life (QoL) is an assessment going beyond symptom control that
investigates positive effects, life satisfaction, well-being, impairment and
functioning of a patient. Compared with patients with other common medical
disorders, patients with mood (and to a lesser extent) anxiety disorders exhibit
an impairment that pervades all dimensions of the QoL scale. There are function-
and needs-based approaches to QoL measurement. The availability of numerous QoL
scales also prompt careful selection, as different scales stem from varying
notions of QoL. Although the effect size of pharmacological treatment on QoL
scales is usually smaller than on symptom scales, it is nevertheless clinically
significant. Newer antidepressants, such as escitalopram, have been shown to
improve QoL in patients with depression and anxiety disorders. Increased
assessment of QoL should be encouraged in future trials, to improve the
understanding of treatment impact on overall well-being, in patients with
depression and anxiety disorders.
PMID- 24931542
TI - The importance of long-term tolerability in achieving recovery.
AB - Many patients with depression require long-term treatment to provide optimal
clinical outcomes. Long-term tolerability is therefore important in facilitating
adherence to treatment and thereby maintaining control over depressive symptoms.
While the newer selective serotonin reuptake inhibitors (SSRIs) and serotonin
noradrenaline reuptake inhibitors (SNRIs) are generally better tolerated than
older treatments, such as tricyclic antidepressants and monoamine oxidase
inhibitors, they can still cause nausea, headache, sleep disturbances, dry mouth,
weight gain and sexual dysfunction. However, SSRIs and SNRIs differ in the extent
to which they are associated with adverse events. Available data suggest that
treatment of depression with escitalopram (an SSRI) may result in fewer side
effects than citalopram or paroxetine (other SSRIs), or venlafaxine (an SNRI).
Adverse events decrease with time during long-term escitalopram treatment, and in
one study, after a 52-week escitalopram treatment, fewer than 10% of patients had
withdrawn due to adverse events. Escitalopram is well tolerated during long-term
treatment and appears better tolerated than some other SSRIs and venlafaxine.
This improved tolerability is particularly important when selecting an
antidepressant for long-term use.
PMID- 24931543
TI - Sleep problems in depression: how do they impact treatment and recovery?
AB - Chronic insomnia is common, although its prevalence in the general population is
quite variable, depending on definitions used and the populations surveyed.
Studies indicate that as many as 85% of people with serious insomnia remain
untreated. Insomnia is frequently comorbid with depression, and observational
studies suggest that insomnia is a high risk factor for depression. Disturbed
sleep is of particular concern in patients with depression, as it can aggravate
the symptoms of depression and influence the treatment response to
antidepressants. Many antidepressants, including some selective serotonin
reuptake inhibitors (SSRIs), can disrupt sleep architecture, particularly in the
acute phase of treatment. Although this undesirable effect may not be immediately
apparent in patients with depression, because of their high level of sleep
disruption at baseline, it may nevertheless contribute to reduced compliance with
therapy. In some patients, persistent insomnia may be a valuable clue to
treatment resistance. Thus, it is essential to monitor sleep patterns in patients
being treated for depression and to adequately address any sleep problems as they
arise during the whole depressive episode. Optimal therapy for depression-related
insomnia has not yet been established. Co-administration of a hypnotic drug such
as zolpidem may help some patients avoid the sleep-disrupting adverse effect of
some antidepressants. Selection of an antidepressant with sedating effects is
another alternative that may be beneficial for some patients, although daytime
somnolence may limit use in some cases. New SSRIs, such as escitalopram, which
may be less disruptive to sleep and possibly preserve sleep continuity without
sedation, may be the preferred option for some patients.
PMID- 24931544
TI - Chondroma of the diaphragm mimicking a giant liver tumor with calcification:
report of a case.
AB - Extraskeletal chondroma is an unusual benign tumor, which rarely arises in the
diaphragm. We report a case of chondroma of the diaphragm in a 31-year-old woman.
Initially, a benign liver tumor with calcification was suspected, based on pre
and intraoperative examination findings. Although parts of the tumor were
contiguous with the diaphragm, its connections with the diaphragm were much
narrower than its connection with the liver, which suggested a liver tumor.
Pathological examination subsequently revealed that the chondroma was contiguous
with the diaphragm and that there was a distinct border between the tumor and the
liver; thus, the tumor was diagnosed as a chondroma of the diaphragm.
PMID- 24931545
TI - Histological analysis of appendices removed during interval appendectomy after
conservative management of pediatric patients with acute appendicitis with an
inflammatory mass or abscess.
AB - BACKGROUND/PURPOSE: To clarify the role of interval appendectomy (IA) in
pediatric patients with acute appendicitis with an appendiceal inflammatory mass
or abscess, we histologically analyzed the appendices removed during IA. PATIENTS
AND METHODS: We treated 355 consecutive pediatric patients with acute
appendicitis and reviewed the admission charts of patients who started
conservative management (CM). The histology of the appendix removed during IA was
also examined. The relationships among the clinical features, appendicolith
formation at the time of IA and histological findings were analyzed by stepwise
regression analyses. RESULTS: (1) CM was started in 48 patients (13.5 %).
Recurrence or a remaining abscess was observed in nine patients (18.8 %). (2)
Histopathological changes, particularly foreign body reaction with fibrosis and
infiltration of inflammatory cells, were observed in about half of the specimens.
(3) In a stepwise regression analysis, the presence of an appendicolith at IA was
correlated with an appendicolith at diagnosis, foreign body reaction in the
appendix and a decrease in the inflammatory reaction at diagnosis. CONCLUSION:
More than half the patients had strong histopathological changes in the appendix,
suggesting a high possibility of recurrence. The presence of appendicolith
formation at IA, which is a risk factor for recurrence, was influenced by the
presence of an appendicolith at diagnosis, foreign body reaction in the appendix
and the inflammatory status of patients at diagnosis. These clinical findings are
indications for IA.
PMID- 24931546
TI - Differentiating nocturnal leg cramps and restless legs syndrome.
AB - Leg pain and discomfort are common complaints in any primary physician's clinic.
Two common causes of pain or discomfort in legs are nocturnal leg cramps (NLC)
and restless leg syndrome (RLS). NLC present as painful and sudden contractions
mostly in part of the calf. Diagnosis of NLC is mainly clinical and sometimes
involves investigations to rule out other mimics. RLS is a condition
characterized by the discomfort or urge to move the lower limbs, which occurs at
rest or in the evening/night. The similarity of RLS and leg cramps poses the
issue of errors in diagnosing and differentiating the two. In this paper we
review the pathopysiology of each entity and their diagnosis as well as
treatment. The two conditions are then compared to appreciate the differences and
similarities. Finally, suggestions are recommended for complete assessment.
PMID- 24931547
TI - Nanomechanical sensors for single microbial cell growth monitoring.
AB - A nanomechanical technique for rapid real time detection and monitoring of
microorganism growth will significantly reduce costs and diagnosis times in
industrial and clinical settings. Owing to their label free detection mechanism
and unprecedented sensitivity to the mass and elastic modulus of biological
structures, dynamically operated cantilever arrays provide an opportunity to
rapidly detect and track the evolution of microbial growth. Here we report the
monitoring of the growth of single Aspergillus niger spores via the multimode
response of microcantilevers. The fungal hyphal structure affects the
cantilevers' nanomechanical properties as it propagates along the sensor. We
demonstrate, for the first time, the mapping of cellular events with great
accuracy using a cantilever frequency response. Imaging of growth conditions on
the cantilever, which is performed in parallel, allows for verification of these
results. Theoretical comparison and finite element modelling confirm experimental
findings and allow for determination of the hyphal elastic modulus.
PMID- 24931548
TI - Preceding human metapneumovirus infection increases adherence of Streptococcus
pneumoniae and severity of murine pneumococcal pneumonia.
AB - BACKGROUND: Coinfection with respiratory virus and Streptococcus pneumoniae has
been frequently reported in several epidemiologic studies. The aim of this study
was to explore the effect of preceding human metapneumovirus (hMPV) inoculation
on subsequent pneumococcal infection. METHODS: Hep-2 and A549 cells were infected
with hMPV then inoculated with S. pneumoniae. Bacterial adhesion was measured
using colony forming unit and cytometric-fluorescence assays. In vivo bacterial
adhesion was examined in hMPV-infected mice after inoculation of fluorescence
conjugated S. pneumoniae. Pulmonary inflammation (bacterial titers, cytokine
levels, and histopathology) of hMPV-infected mice was investigated after
inoculation with S. pneumoniae. RESULTS: In vitro results of bacterial infection
with S. pneumoniae on A549 and Hep-2 monolayer cells showed that even though
cellular adherence was variable among different serotypes, there was
significantly enhanced bacterial adherence in A549 cells with preceding hMPV
infection. In addition, in vivo study of hMPV-infected mice showed increased
adhesion of S. pneumoniae on the bronchial epithelium with delayed bacterial
clearance and exacerbated histopathology. Furthermore, mice with preceding hMPV
infection showed repressed recruitment of airway neutrophils with decreased
expression of neutrophil chemoattractants during pneumococcal infection.
CONCLUSION: These results suggest that hMPV-infected airway cells, especially the
lower airway epithelium, express increased adherence with S. pneumoniae.
Furthermore, hMPV-infected mice showed impaired recruitment of airway
neutrophils, possibly leading to delayed bacterial clearance and exacerbated
pulmonary inflammation, after secondary infection with pneumococcal isolates.
PMID- 24931549
TI - A heterogeneous biotin-streptavidin-amplified enzyme-linked immunosorbent assay
for detecting tris(2,3-dibromopropyl) isocyanurate in natural samples.
AB - Tris(2,3-dibromopropyl) isocyanurate (TBC) is a novel brominated flame retardant
(BFR) that is widely used to substitute the prohibited BFRs throughout the world.
With the development of research, the potential environmental and ecological
harms of TBC have been revealed. For sensitive and selective detecting TBC, an
indirect competitive biotin-streptavidin-amplified enzyme-linked immunosorbent
assay (BA-ELISA) has been established in this study. The small molecular TBC
hapten was synthesized first; it mimicked the chemical structure of TBC and
possessed a secondary amine group. The as-obtained hapten was then conjugated
with carrier proteins to prepare artificial antigen. After immunization, the anti
TBC polyclonal antibody was obtained from separating rabbit serum. The procedures
of this BA-ELISA were optimized. Under the optimal conditions, the limit of
detection (IC10) was 0.0067 ng/ml and the median inhibitory concentration (IC50)
was 0.66 ng/ml. Cross-reactivity values of the BA-ELISA with the tested TBC
analogues were ?5%. This immunoassay was successfully applied to determine the
TBC residue in river water samples that were collected near a BFR manufacturing
plant. Satisfactory recoveries (92.1-109.2%) were obtained. The results indicated
that this proposed BA-ELISA is suitable for the rapid and sensitive determining
of TBC in environmental monitoring.
PMID- 24931550
TI - Conformational dynamics of Thermus aquaticus DNA polymerase I during catalysis.
AB - Despite the fact that DNA polymerases have been investigated for many years and
are commonly used as tools in a number of molecular biology assays, many details
of the kinetic mechanism they use to catalyze DNA synthesis remain unclear.
Structural and kinetic studies have characterized a rapid, pre-catalytic open-to
close conformational change of the Finger domain during nucleotide binding for
many DNA polymerases including Thermus aquaticus DNA polymerase I (Taq Pol), a
thermostable enzyme commonly used for DNA amplification in PCR. However, little
has been performed to characterize the motions of other structural domains of Taq
Pol or any other DNA polymerase during catalysis. Here, we used stopped-flow
Forster resonance energy transfer to investigate the conformational dynamics of
all five structural domains of the full-length Taq Pol relative to the DNA
substrate during nucleotide binding and incorporation. Our study provides
evidence for a rapid conformational change step induced by dNTP binding and a
subsequent global conformational transition involving all domains of Taq Pol
during catalysis. Additionally, our study shows that the rate of the global
transition was greatly increased with the truncated form of Taq Pol lacking the N
terminal domain. Finally, we utilized a mutant of Taq Pol containing a de novo
disulfide bond to demonstrate that limiting protein conformational flexibility
greatly reduced the polymerization activity of Taq Pol.
PMID- 24931552
TI - Agenesis of ductus venosus in sequential first and second trimester screening.
AB - OBJECTIVE: The goal of this study is to evaluate the potential of first trimester
(FT) screening in the diagnosis of agenesis of the ductus venosus (ADV) and to
study its prevalence in a low-risk population, the associated conditions, and
pregnancy outcome. METHOD: Prospective, sequential screening study at two
tertiary units with morpho-functional evaluation of the ductus venosus during the
first and second trimester screening. We quantified the FT detection rate,
prevalence, and associated conditions: umbilical shunting type, concomitant
anomalies, and outcome. RESULTS: In 6114 consecutive pregnancies, we identified
11 cases of ADV. Ten (91%) were identified during the FT examination. The
prevalence was 1 in 556, similar for both centers (1/478 vs. 1/691). Major
structural defects and fetal effusions were detected in 8 (73%). twenty two
percent had a major chromosomal abnormality. In 3 cases, the anomaly was isolated
and had normal outcome, independent of liver by-pass, caliber of the shunt, and
NT thickness. CONCLUSIONS: Agenesis of the ductus venosus can be detected during
FT. The early detection of ADV is important given its high association with major
abnormalities.
PMID- 24931551
TI - Imatinib activates pathological hypertrophy by altering myocyte calcium
regulation.
AB - BACKGROUND: Imatinib mesylate is a selective tyrosine-kinase inhibitor used in
the treatment of multiple cancers, most notably chronic myelogenous leukemia.
There is evidence that imatinib can induce cardiotoxicity in cancer patients. Our
hypothesis is that imatinib alters calcium regulatory mechanisms and can
contribute to development of pathological cardiac hypertrophy. METHODS AND
RESULTS: Neonatal rat ventricular myocytes (NRVMs) were treated with clinical
doses (low: 2 MUM; high: 5 MUM) of imatinib and assessed for molecular changes.
Imatinib increased peak systolic Ca(2+) and Ca(2+) transient decay rates and
Western analysis revealed significant increases in phosphorylation of
phospholamban (Thr-17) and the ryanodine receptor (Ser-2814), signifying
activation of calcium/calmodulin-dependent kinase II (CaMKII). Imatinib
significantly increased NRVM volume as assessed by Coulter counter, myocyte
surface area, and atrial natriuretic peptide abundance seen by Western. Imatinib
induced cell death, but did not activate the classical apoptotic program as
assessed by caspase-3 cleavage, indicating a necrotic mechanism of death in
myocytes. We expressed AdNFATc3-green fluorescent protein in NRVMs and showed
imatinib treatment significantly increased nuclear factor of activated T cells
translocation that was inhibited by the calcineurin inhibitor FK506 or CaMKII
inhibitors. CONCLUSION: These data show that imatinib can activate pathological
hypertrophic signaling pathways by altering intracellular Ca(2+) dynamics. This
is likely a contributing mechanism for the adverse cardiac effects of imatinib.
PMID- 24931554
TI - Mother-adolescent monitoring dynamics and the legitimacy of parental authority.
AB - This multi-informant longitudinal study aimed to understand whether the family
dynamics that underlie adolescent voluntary disclosure regarding their leisure
time behavior differs when adolescents strongly or weakly endorse the legitimacy
of parental authority. Longitudinal linkages between parental monitoring
behaviors and adolescents' secrecy and disclosure were tested among youths with
strong and weak legitimacy beliefs. The sample included 197 adolescents (51%
female, M age 12 years) and their mothers. Mothers reported on several of their
own monitoring efforts (i.e., solicitation, active involvement, observing and
listening, and obtaining information from spouses, siblings, and others).
Adolescents reported their disclosure, secrecy, and legitimacy beliefs. Only
among youths reporting strong legitimacy beliefs, more mother engagement and
supervision (indexed by mother-reported active involvement and observing and
listening) predicted more adolescent disclosure and less secrecy over time, and
more mother solicitation predicted less secrecy.
PMID- 24931553
TI - The associations between self-consciousness and internalizing/externalizing
problems among Chinese adolescents.
AB - Self-consciousness is considered as a multifaceted and hierarchical construct
that includes self-evaluation, self-experience, and self-control. This study
assumes that self-consciousness is a preventative factor of internalizing and
externalizing problems among Chinese adolescents. 1202 Chinese adolescents from
grade 7 to grade 12 participated in this study by completing a battery of
questionnaires that assessed self-consciousness and internalizing/externalizing
problems. The results showed that, after controlling demographic variables, some
lower-order factors (i.e., sense of satisfaction, sense of anxiety, social self,
self-restraint, self-esteem, and self-monitoring) and higher-order subscales
(i.e., self-evaluation and self-experience) of self-consciousness significantly
predicted internalizing problems, while externalizing problems were predicted by
several lower-order factors (i.e., self-restraint, sense of satisfaction, and
self-monitoring) and higher-order subscales (i.e., self-control and self
experience). In conclusion, this study demonstrates that Chinese adolescents'
internalizing and externalizing problems are related with different aspects of
self-consciousness, which sheds light on the prevention into adolescents' problem
behaviors.
PMID- 24931555
TI - Brief report: value priorities of early adolescents.
AB - Although adolescence is considered to be the formative period of values,
relatively few studies have addressed values held by adolescents. The present
short-term longitudinal study explores value priorities of early adolescents from
two social groups (among ethnic Estonians and Russian-speaking minority) in terms
of the 10 value types defined by Schwartz, and the question whether values change
during one year. 575 early adolescents filled out a 21-item version of the
Portrait Values Questionnaire. Adolescents' value priorities differed from the
pan-cultural value hierarchy of adults (Bardi, Lee, Hoffmann-Towfigh, & Soutar,
2009) by attributing more importance to hedonism and stimulation, and less
importance to benevolence and conformity. Although Russian-speaking students
rated Self-Enhancement and Openness to Change more highly than Estonians, the
value hierarchy of adolescents from two social groups was rather similar. Boys
considered Self-Enhancement more important than girls. More value change was
observable in Russian-speaking students, and boys.
PMID- 24931556
TI - The health diagnoses of homeless adolescents: a systematic review of the
literature.
AB - BACKGROUND: Homelessness during adolescence impacts negatively upon young
people's physical and mental wellbeing. To be effective, programs aimed at
addressing the health needs of this population must include knowledge of both the
presenting and underlying acute and chronic conditions that characterise this
high risk group of youth. METHODS: We undertook a systematic review of the
international literature for studies that used validated instruments and
techniques to diagnose prevalence rates of physical and mental health disorders
in homeless adolescents. RESULTS: Twenty-one studies fulfilled the selection
criteria. Of these, nine studies examined mental health diagnoses including
depression, post-traumatic stress disorder, anxiety and substance abuse
disorders. With one exception, the remaining twelve studies all related to
sexually transmitted infections. CONCLUSION: Homeless adolescents are diagnosed
with widely varying rates of mental health disorders and high rates of sexually
transmitted infection. Other likely chronic and acute physical conditions appear
to be neglected in the published research.
PMID- 24931557
TI - Social control and strenuous exercise among late adolescent college students:
parents versus peers as influence agents.
AB - In the context of a model of health-related social control, we compared the
associations among social control strategies, affective and behavioral reactions,
and exercise for parental and peer influence agents. Late adolescent college
students (n = 227) completed questionnaires that focused on social control from a
parent or a peer who attempted to increase their exercising. Results from this
cross-sectional study revealed that most relationships in the model were similar
for parent and peer influence agents, however, (a) negative social control was a
stronger predictor of reactance among parents than peers; (b) positive affect was
a stronger predictor of attempts to change among peers than parents; and (c)
positive affect predicted frequency of strenuous exercise only among parents.
Decreasing parents' use of negative social control strategies and increasing
adolescents' positive affective reactions to parental social control agents may
be keys to promoting positive lifestyle changes in late adolescence.
PMID- 24931558
TI - Connection to parents and healthy separation during adolescence: a longitudinal
perspective.
AB - The purpose of our study was to investigate how connection between parents and
children influences the child's healthy separation during adolescence. We
analyzed 3 waves of panel data from a study (Flourishing Families Project) of 500
families with children who were 10-13 years old at Time 1. This study includes
information from the perspective of the child and his/her mother over a 4 year
period for two-parent families and mother-headed households. Findings supported
our hypothesis that a mutual sense of connection between mothers and adolescents
supported the adolescent's healthy separation over time, with differences due to
sex of the child, ethnicity and income. Pubertal maturation was negatively
associated with the sense of connection between adolescents and mothers in our
sample of young adolescents. We discuss these findings in relation to previous
literature.
PMID- 24931559
TI - Early adolescent Body Mass Index and the constructed environment.
AB - Previous research has shown that macro-level environmental features such as
access to walking trails and recreational facilities are correlated with
adolescent weight. Additionally, a handful of studies have documented
relationships between micro-level environmental features, such as the presence
(or absence) of a television in the bedroom, and adolescent weight. In this
exploratory study we focus exclusively on features of the micro-level environment
by examining objects that are found within adolescent personal bedrooms in
relation to the adolescent occupant's Body Mass Index score (BMI). Participants
were 234 early adolescents (eighth graders and ninth graders) who lived with both
biological parents and who had their own private bedroom. Discriminant analyses
were used to identify the bedrooms belonging to adolescents with below and above
average BMI using objects contained within the micro-level environment as
discriminating variables. Bedrooms belonging to adolescents with above average
BMI were more likely to contain objects associated with sedentary behavior (e.g.,
magazines, electronic games, dolls), whereas the bedrooms belonging to the
average and below average BMI adolescents were more likely to contain objects
that reflect past physical activity (e.g., trophies, souvenirs, pictures of
places that they had visited). If causal connections between micro-environmental
variables and adolescent BMI can be established in future longitudinal research,
environmental manipulations may affect adolescent BMI.
PMID- 24931560
TI - Dominance as part of self-concept mediates the intergenerational transmission of
social anxiety among adolescents under residential care.
AB - According to Rapee (1997), maternal social anxiety (SA) is directly associated
with adolescent SA because maternal SA causes overprotective and controlling
parental behavior. A total of 127 adolescents who were in the process of
transitioning to a boarding school for at-risk youth as well as their mothers
participated in the current study, 30% of the adolescents had experienced at
least one depressive episode; 17.5% had been diagnosed with SA. We analyzed an
expanding model of mediation, of maternal SA and depression in which
specifically, adolescent self-perception was constructed as a latent factor that
was formed by self-reported dominance and self-criticism. The results supported
our hypotheses that maternal SA is not directly associated with adolescent SA.
Rather, these relationships are mediated by adolescents' self-perception (i.e.,
dominance and self-criticism). The results call into question Rapee's theoretical
arguments and support Gilbert's evolutionary theory.
PMID- 24931561
TI - Prevalence of sleep disorders by sex and ethnicity among older adolescents and
emerging adults: relations to daytime functioning, working memory and mental
health.
AB - The study determined the prevalence of sleep disorders by ethnicity and sex, and
related daytime functioning, working memory, and mental health among older
adolescent to emerging adult college students. Participants were U.S.A.
undergraduates (N = 1684), aged 17-25, recruited from 2010 to 2011. Participants
completed online questionnaires for all variables. Overall, 36.0% of the sample
screened positive for sleep disorders with insomnia, restless legs syndrome, and
periodic limb movement disorder being the most prevalent. Women reported more
insomnia and daytime impairment. African-Americans reported more early morning
awakenings and less daytime impairment. Students with insomnia symptoms or
restless legs syndrome tended to have lower working memory capacities. Students
with nightmares or parasomnias had greater odds for mental disorders. In an older
adolescent to emerging adult college student sample, sleep disorders may be a
common source of sleep disturbance and impairment. Certain sleep disorders may be
associated with lower working memory capacity and poor mental health.
PMID- 24931562
TI - Brief report: identity processes in Filipino late adolescents and young adults:
parental influences and mental health outcomes.
AB - This study focused on a process-oriented approach to identity formation using a
sample of Filipino late adolescents and young adults (17-30 years; N = 779).
Indirect relations between parenting and mental health via identity formation
processes were examined. Two parenting dimensions (psychological control and
support), two types of mental health outcomes (depression and psychological well
being), and five identity dimensions (commitment making (CM), identification with
commitment (IC), exploration in breadth (EB), exploration in depth (ED), and
ruminative exploration (RE)) were assessed. Recursive path analysis showed
indirect relations between parenting and mental health via EB, ED, RE, and IC.
Model differences between late adolescents (17-21 year olds) and young adults (22
30 year olds) were examined using multigroup path analysis. Results showed that
the direct effect of psychological control on RE, and its indirect effect on
depression through RE differed between the age groups. Implications and
suggestions for future research are provided.
PMID- 24931563
TI - The adolescent emotional coping after an earthquake: a risk factor for suicidal
ideation.
AB - The study aims to investigate the relationship of suicidal ideation with coping
and resilience in a sample of adolescents who survived an earthquake. Three
hundred forty-three adolescents who had experienced the L'Aquila earthquake were
investigated for a screening distinguishing Suicidal Screen-Negative (SSN) from
the Positive (SSP) subjects. Resilience Scale for Adolescents (READ) and Brief
Cope were administered. Emotion-focused coping score was significantly higher in
SSP subjects. In the SSN but not in the SSP sample the READ total score
correlated with problem-focused total score. A positive correlation was seen
between emotion-focused and problem-focused scores in both samples, with a higher
coefficient in SSP sample. Externalising problems and maladaptive behaviours can
arise in adolescents exposed to traumatic events. Attention should be paid in
reducing risk factors and in the development of psychological abilities,
improving the coping strategies that can protect from emotional despair and
suicidal ideation.
PMID- 24931564
TI - Understanding the relationship between actual:ideal discrepancies and depressive
symptoms: a developmental examination.
AB - Self-discrepancy theory (SDT) is one framework for understanding how goal failure
is associated with depressive symptoms. The present studies sought to examine the
variance in depressive symptoms explained by actual:ideal discrepancies, beyond
what is accounted for by actual-self ratings. Additionally, gender and grade were
examined as potential moderators in the relationship. In Study 1 (N = 228),
discrepancies accounted for additional variance in the level of depressive
symptoms beyond what was explained by actual-self ratings in a college sample. In
Study 2 (N = 192), while similar global patterns were found, gender and grade
differences emerged. For boys, the relationship between actual:ideal
discrepancies and depressive symptoms was due to actual-self ratings. For girls,
a developmental pattern suggested that actual:ideal discrepancies become more
important to the prediction of depressive symptoms among older girls.
Implications for the emergence of the discrepancy-depression association are
discussed.
PMID- 24931565
TI - Cognitive styles in depressed children with and without comorbid conduct
disorder.
AB - Little is known about patterns of cognitive impairment in depression comorbid
with conduct disorder. The study included clinically depressed children with (N =
23) or without conduct disorder (N = 29), and controls without psychiatric
disorder (N = 37). Cognitive biases typical of depression and patterns of social
information processing were assessed. Both depressed groups had substantially
higher rates of negative cognitive distortions, attributional biases and
ruminative responses than non-depressed children. Children in the comorbid group
made more hostile attributions and suggested more aggressive responses for
dealing with threatening social situations, whilst children with depression only
were more likely to be unassertive. Depression has a number of similar
depressotypic cognitive biases whether or not complicated by conduct disorder,
and may be potentially susceptible to similar interventions. The results also
highlight the importance of recognising social information processing deficits
when they occur and targeting those too, especially in comorbid presentations.
PMID- 24931566
TI - Adolescents' expected civic participation: the role of civic knowledge and
efficacy beliefs.
AB - In the present study we examined the role of civic knowledge and efficacy beliefs
as factors that can promote adolescents' expectations to participate in civic
activities, while also taking into consideration the influences of socio-economic
background and gender differences. Structural equation modelling techniques were
used to examine data from the International Civic and Citizenship Study,
collected from 3352 eighth grade Italian students. Gender was found to
significantly moderate some relationships between the variables, while efficacy
beliefs, rather than civic knowledge, positively influenced expected civic
participation. Socio-economic background influenced all the variables included in
the study, but it had a very small direct influence on adolescents' expected
civic participation. It therefore appears that adolescents' expected civic
participation can be encouraged by making them more confident about their civic
and political abilities. These results extend our understanding of civic
engagement in adolescents, and can inform policies aiming to promote it.
PMID- 24931568
TI - Reduced intraepidermal nerve fiber density in patients with chronic ischemic pain
in peripheral arterial disease.
AB - Chronic ischemic pain in peripheral arterial disease (PAD) is a leading cause of
pain in the lower extremities. A neuropathic component of chronic ischemic pain
has been shown independent of coexisting diabetes. We aimed to identify a
morphological correlate potentially associated with pain and sensory deficits in
PAD. Forty patients with symptomatic PAD (Fontaine stages II-IV), 20 with
intermittent claudication (CI), and 20 with critical limb ischemia (CLI) were
enrolled; 12 volunteers served as healthy controls. All patients were examined
using pain scales and questionnaires. All study participants underwent
quantitative sensory testing (QST) at the distal calf and skin punch biopsy at
the distal leg for determination of intraepidermal nerve fiber density (IENFD).
Additionally, S100 beta serum levels were measured as a potential marker for
ischemic nerve damage. Neuropathic pain questionnaires revealed slightly higher
scores and more pronounced pain-induced disability in CLI patients compared to CI
patients. QST showed elevated thermal and mechanical detection pain thresholds as
well as dynamic mechanical allodynia, particularly in patients with advanced
disease. IENFD was reduced in PAD compared to controls (P<0.05), more pronounced
in the CLI subgroup (CLI: 1.3 +/- 0.5 fibers/mm, CI: 2.9 +/- 0.5 fibers/mm,
controls: 5.3 +/- 0.6 fibers/mm). In particular, increased mechanical and heat
pain thresholds negatively correlated with lower IENFD. Mean S100 beta levels
were in the normal range but were higher in advanced disease. Patients with
chronic ischemic pain had a reduced IENFD associated with impaired sensory
functions. These findings support the concept of a neuropathic component in
ischemic pain.
PMID- 24931567
TI - An evaluation of MSDC-0160, a prototype mTOT modulating insulin sensitizer, in
patients with mild Alzheimer's disease.
AB - Alzheimer's disease (AD) is associated with insulin resistance and specific
regional declines in cerebral metabolism. The effects of a novel mTOT modulating
insulin sensitizer (MSDC-0160) were explored in non-diabetic patients with mild
AD to determine whether treatment would impact glucose metabolism measured by FDG
PET in regions that decline in AD. MSDC-0160 (150 mg once daily; N=16) compared
to placebo (N=13) for 12 weeks did not result in a significant difference in
glucose metabolism in pre-defined regions when referenced to the pons or whole
brain. However, glucose metabolism referenced to cerebellum was maintained in
MSDC-0160 treated participants while it significantly declined for placebo
patients in anterior and posterior cingulate, and parietal, lateral temporal,
medial temporal cortices. Voxel-based analyses showed additional differences in
FDG-PET related to MSDC-0160 treatment. These exploratory results suggest central
effects of MSDC-0160 and provide a basis for further investigation of mTOT
modulating insulin sensitizers in AD patients.
PMID- 24931569
TI - What is your diagnosis? Blood smear from a cat.
PMID- 24931570
TI - Fluorescent chemosensors for anions and contact ion pairs with a cavity-based
selectivity.
AB - The association of a concave macrocyclic compound to one or multiple fluorophores
is an appealing strategy for the design of chemosensors. Indeed, as with
biological systems, a cavity-based selectivity can be expected with such
fluorescent receptors. Examples of calix[6]arene-based systems using this
strategy are rare in the literature, and to our knowledge, no examples of
fluorescent receptors that can bind organic contact ion pairs have been reported.
This report describes the straightforward synthesis of fluorescent calix[6]arene
based receptors 4a and 4b bearing three pyrenyl subunits and the study of their
binding properties toward anions and ammonium salts using different
spectroscopies. It was found that receptor 4a exhibits a remarkable selectivity
for the sulfate anion in DMSO, enabling its selective sensing by fluorescence
spectroscopy. In CDCl3, the receptor is able to bind ammonium ions efficiently
only in association with the sulfate anion. Interestingly, this cooperative
binding of ammonium sulfate salts was also evidenced in a protic environment.
Finally, a cavity-based selectivity in terms of size and shape of the guest was
observed with both receptors 4a and 4b, opening interesting perspectives on the
elaboration of fluorescent cavity-based systems for the selective sensing of
biologically relevant ammonium salts such as neurotransmitters.
PMID- 24931571
TI - Interruption of KLF5 acetylation converts its function from tumor suppressor to
tumor promoter in prostate cancer cells.
AB - KLF5 possesses both tumor suppressing and tumor promoting activities, though the
mechanism controlling these opposing functions is unknown. In cultured
noncancerous epithelial cells, KLF5 converts from proproliferative to
antiproliferative activity upon TGFbeta-induced acetylation, which sequentially
alters the KLF5 transcriptional complex and the expression of genes such as p15
and MYC. In this study, we tested whether the acetylation status of KLF5 also
determines its opposing functions in tumorigenesis using the PC-3 and DU 145
prostate cancer cell lines, whose proliferation is inhibited by TGFbeta. KLF5
inhibited the proliferation of these cancer cells, and the inhibition was
dependent on KLF5 acetylation. MYC and p15 showed the same patterns of expression
change found in noncancerous cells. In nude mice, KLF5 also suppressed tumor
growth in an acetylation-dependent manner. Furthermore, deacetylation switched
KLF5 to tumor promoting activity, and blocking TGFbeta signaling attenuated the
tumor suppressor activity of KLF5. RNA sequencing and comprehensive data analysis
suggest that multiple molecules, including RELA, p53, CREB1, MYC, JUN, ER, AR and
SP1, mediate the opposing functions of AcKLF5 and unAcKLF5. These results provide
novel insights into the mechanism by which KLF5 switches from antitumorigenic to
protumorigenic function and also suggest the roles of AcKLF5 and unAcKLF5,
respectively, in the tumor suppressing and tumor promoting functions of TGFbeta.
PMID- 24931573
TI - High-dose intravenous vancomycin therapy and the risk of nephrotoxicity.
AB - PURPOSE: National guidelines recommend higher serum trough concentrations when
using vancomycin to treat certain clinical conditions, but there is concern that
higher-dose vancomycin therapy causes nephrotoxicity. We evaluated risk factors
associated with nephrotoxicity in patients receiving high-dose intravenous
vancomycin. METHODS: This retrospective cohort study evaluated the clinical
outcome of 80 hospitalized adult patients with normal baseline renal function who
received >=4 g/d of intravenous vancomycin for >=48 hours between January 1,
2011, and December 31, 2011. After abstracting clinical risk factors, we used an
analysis by methods of best clinical subsets to develop a multivariable model
predicting nephrotoxicity in patients receiving high-dose vancomycin. FINDINGS:
The overall rate of nephrotoxicity in the study population was 6%. Trough
concentrations >20 mg/L were identified in a similar proportion of patients who
did and did not develop nephrotoxicity. Patients who developed nephrotoxicity
trended toward having a lower body mass index, higher daily dose, longer duration
of therapy, and greater exposure to intravenous contrast and nephrotoxic
medications. In a multivariable model, the combination of intravenous contrast
and nephrotoxic medications was a significant predictor of nephrotoxicity, and
duration of high-dose vancomycin was a significant confounder. IMPLICATIONS:
Administration of high-dose intravenous vancomycin may have less associated
nephrotoxicity than previously reported, although duration of vancomycin therapy
may play a role. Concomitant exposure to intravenous contrast and other
nephrotoxic medications is a more significant predictor of developing
nephrotoxicity than vancomycin dose or trough.
PMID- 24931574
TI - Perceived learning needs of rural doctors: what they want or what they need?
PMID- 24931572
TI - Outpatient glycemic control with a bionic pancreas in type 1 diabetes.
AB - BACKGROUND: The safety and effectiveness of automated glycemic management have
not been tested in multiday studies under unrestricted outpatient conditions.
METHODS: In two random-order, crossover studies with similar but distinct
designs, we compared glycemic control with a wearable, bihormonal, automated,
"bionic" pancreas (bionic-pancreas period) with glycemic control with an insulin
pump (control period) for 5 days in 20 adults and 32 adolescents with type 1
diabetes mellitus. The automatically adaptive algorithm of the bionic pancreas
received data from a continuous glucose monitor to control subcutaneous delivery
of insulin and glucagon. RESULTS: Among the adults, the mean plasma glucose level
over the 5-day bionic-pancreas period was 138 mg per deciliter (7.7 mmol per
liter), and the mean percentage of time with a low glucose level (<70 mg per
deciliter [3.9 mmol per liter]) was 4.8%. After 1 day of automatic adaptation by
the bionic pancreas, the mean (+/-SD) glucose level on continuous monitoring was
lower than the mean level during the control period (133+/-13 vs. 159+/-30 mg per
deciliter [7.4+/-0.7 vs. 8.8+/-1.7 mmol per liter], P<0.001) and the percentage
of time with a low glucose reading was lower (4.1% vs. 7.3%, P=0.01). Among the
adolescents, the mean plasma glucose level was also lower during the bionic
pancreas period than during the control period (138+/-18 vs. 157+/-27 mg per
deciliter [7.7+/-1.0 vs. 8.7+/-1.5 mmol per liter], P=0.004), but the percentage
of time with a low plasma glucose reading was similar during the two periods
(6.1% and 7.6%, respectively; P=0.23). The mean frequency of interventions for
hypoglycemia among the adolescents was lower during the bionic-pancreas period
than during the control period (one per 1.6 days vs. one per 0.8 days, P<0.001).
CONCLUSIONS: As compared with an insulin pump, a wearable, automated, bihormonal,
bionic pancreas improved mean glycemic levels, with less frequent hypoglycemic
episodes, among both adults and adolescents with type 1 diabetes mellitus.
(Funded by the National Institute of Diabetes and Digestive and Kidney Diseases
and others; ClinicalTrials.gov numbers, NCT01762059 and NCT01833988.).
PMID- 24931575
TI - NiSb alloy hollow nanospheres as anode materials for rechargeable lithium ion
batteries.
AB - NiSb alloy hollow nanospheres (HNSs) obtained by galvanic replacement were
firstly applied as anode materials for lithium ion batteries, giving the best
electrochemical performances for NiSb alloy materials so far with a high
reversible capacity of 420 mA h g(-1) after 50 cycles, close to its theoretical
capacity (446 mA h g(-1)).
PMID- 24931577
TI - Intravitreal drug delivery in retinal disease: are we out of our depth?
AB - INTRODUCTION: With the ever-increasing global burden of retinal disease, there is
an urgent need to vastly improve formulation strategies that enhance posterior
eye delivery of therapeutics. Despite intravitreal administration having
demonstrated notable superiority over other routes in enhancing retinal drug
availability, there still exist various significant physical/biochemical barriers
preventing optimal drug delivery into the retina. A further complication lies
with an inability to reliably translate laboratory-based retinal models into a
clinical setting. Several formulation approaches have recently been evaluated to
improve intravitreal therapeutic outcomes, and our aim in this review is to
highlight strategies that hold the most promise. AREAS COVERED: We discuss the
complex barriers faced by the intravitreal route and examine how formulation
strategies including implants, nanoparticulate carriers, viral vectors and
sonotherapy have been utilized to attain both sustained delivery and enhanced
penetration through to the retina. We conclude by highlighting the advances and
limitations of current in vitro, ex vivo and in vivo retinal models in use by
researchers globally. EXPERT OPINION: Various nanoparticle compositions have
demonstrated the ability to overcome the retinal barriers successfully; however,
their utility is limited to the laboratory setting. Optimization of these
formulations and the development of more robust experimental retinal models are
necessary to translate success in the laboratory into clinically efficacious
outcomes.
PMID- 24931576
TI - Mpl traffics to the cell surface through conventional and unconventional routes.
AB - Myeloproliferative neoplasms (MPNs) are often characterized by JAK2 or
calreticulin (CALR) mutations, indicating aberrant trafficking in pathogenesis.
This study focuses on Mpl trafficking and Jak2 association using two model
systems: human erythroleukemia cells (HEL; JAK2V617F) and K562 myeloid leukemia
cells (JAK2WT). Consistent with a putative chaperone role for Jak2, Mpl and Jak2
associate on both intracellular and plasma membranes (shown by proximity ligation
assay) and siRNA-mediated knockdown of Jak2 led to Mpl trapping in the
endoplasmic reticulum (ER). Even in Jak2 sufficient cells, Mpl accumulates in
punctate structures that partially colocalize with ER-tracker, the ER exit site
marker (ERES) Sec31a, the autophagy marker LC3 and LAMP1. Mpl was fused to
miniSOG, a genetically encoded tag for correlated light and electron microscopy.
Results suggest that a fraction of Mpl is taken up into autophagic structures
from the ER and routed to autolyososomes. Surface biotinylation shows that both
immature and mature Mpl reach the cell surface; in K562 cells Mpl is also
released in exosomes. Both forms rapidly internalize upon ligand addition, while
recovery is primarily attributed to immature Mpl. Mpl appears to reach the plasma
membrane via both conventional ER-Golgi and autolysosome secretory pathways, as
well as recycling.
PMID- 24931578
TI - Impact of peri-transplant vancomycin and fluoroquinolone administration on rates
of bacteremia in allogeneic hematopoietic stem cell transplant (HSCT) recipients:
a 12-year single institution study.
AB - BACKGROUND: We analyzed the effect of peri-transplant prophylaxis on the
epidemiology of bacteremia in a 12-year contemporary cohort of allogeneic HSCT
recipients at our center. METHODS: This was an observational study of 1052
consecutive adult HSCT from 2000 to 2011. Formal prophylaxis with vancomycin
only, fluoroquinolone (FQ) only, or vancomycin + FQ was implemented in 2006. The
cumulative incidence of day 100 bacteremia was compared between the Early Period
(2000-2005) and the Recent Period (2006-2011). Predictors for pre-engraftment
bacteremia were analyzed with Cox-proportional hazard models in a subcohort of
821 HSCT who received myeloablative or reduced intensity conditioning (MA/RIC).
RESULTS: The incidence of bacteremia decreased in the Recent Period (32% vs 27%;
P = 0.002), whereas the rates of resistance in gram-negative rods (GNR) and
vancomycin-resistant enterococci (VRE) were similar between the two Periods (P
values are not statistically significant.) In multivariate analyses, prophylaxis
with vancomycin only or vancomycin + FQ was protective (HR = 0.5; CI = 0.30-0.72)
and (HR = 0.3; CI = 0.12-0.52, P < 0.01). Vancomycin or vancomycin + FQ
eliminated viridans streptococcal bacteremia (VSB); vancomycin + FQ decreased GNR
bacteremia (HR = 0.35; CI = 0.15-0.85). CONCLUSIONS: Vancomycin-based prophylaxis
peri-transplant in MA/RIC HSCT was associated with elimination of VSB and may be
considered at centers with high incidence of this infection.
PMID- 24931579
TI - No evidence for a protective effect of naturally induced HPV antibodies on
subsequent anogenital HPV infection in HIV-negative and HIV-infected MSM.
AB - OBJECTIVES: To assess whether HPV serum antibodies detected after natural
infection protect against subsequent anal or penile infection with the same HPV
type in HIV-negative and HIV-infected men who have sex with men (MSM). METHODS:
MSM aged >=18 years were recruited in Amsterdam, the Netherlands (2010-2011), and
followed-up semi-annually. Antibodies against 7 high-risk HPV types in baseline
serum samples were tested using a multiplex immunoassay; baseline, 6-, and 12
month anal and penile samples were tested for HPV DNA and genotyped using the
SPF10-PCR DEIA/LiPA25 system (version 1). Statistical analyses were performed
using the Wei-Lin-Weissfeld method. RESULTS: 719 MSM (median age 40 years; IQR 35
48) with baseline and follow-up data were included in these analyses; 287 (40%)
were HIV-infected. HPV seropositivity at baseline was not significantly
associated with subsequent type-specific HPV infection at 6 or 12 months in
multivariable analyses (for anal infection adjusted hazard ratio (aHR) 1.2; 95%
CI 0.9-1.6; for penile infection aHR 0.8; 95% CI 0.6-1.2). High antibody
concentrations showed no protective effect against subsequent infection either.
CONCLUSIONS: In a population of highly sexually active, adult MSM, naturally
induced HPV antibodies may not protect MSM against subsequent anal or penile HPV
infection within one year.
PMID- 24931580
TI - Fragility of epidermis and its consequence in dermatology.
AB - The skin is the largest organ of the body, providing a protective barrier against
bacteria, chemicals and physical insults while maintaining homeostasis in the
internal environment. Such a barrier function the skin ensures protection against
excessive water loss. The skin's immune defence consists of several facets,
including immediate, non-specific mechanisms (innate immunity) and delayed,
stimulus-specific responses (adaptive immunity), which contribute to fending off
a wide range of potentially invasive microorganisms. This article is an overview
of all known data about 'fragile skin'. Fragile skin is defined as skin with
lower resistance to aggressions. Fragile skin can be classified into four
categories up to its origin: physiological fragile skin (age, location),
pathological fragile skin (acute and chronic), circumstantial fragile skin (due
to environmental extrinsic factors or intrinsic factors such as stress) and
iatrogenic fragile skin. This article includes the epidemiologic data, pathologic
description of fragile skin with pathophysiological bases (mechanical and
immunological role of skin barrier) and clinical description of fragile skin in
atopic dermatitis, in acne, in rosacea, in psoriasis, in contact dermatitis and
other dermatologic pathologies. This article includes also clinical cases and
differential diagnosis of fragile skin (reactive skin) in face in adult
population. In conclusion, fragile skin is very frequent worldwide and its
prevalence varies between 25% and 52% in Caucasian, African and Asian population.
PMID- 24931586
TI - An unprovoked attack by a blue shark, Prionace glauca (Chondrichthyes:
Carcharhinidae) on a spear fisherman in Terceira Island, Azores, Northeast
Atlantic.
PMID- 24931585
TI - In reply to 'Spine protection in the austere environment'.
PMID- 24931587
TI - Exercise limitation of acetazolamide at altitude (3459 m).
AB - OBJECTIVE: To assess the effect of acetazolamide (Az) on exercise performance
during early acclimatization to altitude. METHODS: Az (250 mg twice daily) or
placebo was administered for 3 days in a double-blind, randomized manner followed
by a rapid ascent to 3459 m in the Italian Alps. Twenty healthy adults (age
range, 18-67 years) were tested at 60% of sea-level peak power output for 15
minutes on a bicycle ergometer after 16 to 27 hours of altitude exposure.
Exercise performance was measured in relation to peripheral oxygen saturations
measured from pulse oximetry (Spo2), Lake Louise acute mountain sickness (AMS)
score, and perceived difficulty. RESULTS: At altitude, resting Spo2 was higher in
the Az group compared with placebo (P < .001). The highest AMS scores were in 4
of the placebo individuals with the lowest resting Spo2 (P < .05). During the
exercise test, Spo2 fell in all but 1 subject (P < .001) and was reduced more in
the Az group (P < .01). Four Az and 1 placebo subject were unable to complete the
exercise test; 4 of these 5 had the largest fall in Spo2. The perception of
exercise difficulty was higher in the Az subjects compared with those taking the
placebo (P < .01). There was an age relationship with exercise limitation; 4 of
the 9 older than 50 years failed to complete the test whereas only 1 of 11
younger than 50 years failed, and there were no failures in the 6 younger than 30
years (P < .05). CONCLUSIONS: In this study group, and despite higher resting
Spo2, Az may have compromised exercise at 3459 m altitude during early
acclimatization, particularly in older subjects.
PMID- 24931588
TI - Wilderness Medical Society practice guidelines for basic wound management in the
austere environment.
AB - In an effort to produce best-practice guidelines for wound management in the
austere environment, the Wilderness Medical Society convened an expert panel
charged with the development of evidence-based guidelines for the management of
wounds sustained in an austere (dangerous or compromised) environment.
Recommendations are made about several parameters related to wound management.
These recommendations are graded based on the quality of supporting evidence and
the balance between the benefits and risks or burdens for each parameter
according to the methodology stipulated by the American College of Chest
Physicians.
PMID- 24931589
TI - Spine protection in the austere environment.
PMID- 24931590
TI - The impact of an ultramarathon on hormonal and biochemical parameters in men.
AB - OBJECTIVE: To examine circulating hormonal responses in men competing in the
Western States Endurance Run (WSER, June 23 to 24, 2012): a 161-km trail run that
starts in Squaw Valley, CA, and concludes in Auburn, CA. METHODS: We examined 12
men who completed the WSER. Blood samples were obtained the morning before the
race, immediately postrace (IP), and 1 (D1) and 2 (D2) days after the conclusion
of the WSER. The hypothalamic-pituitary-testicular (HPT) axis was assessed by
measuring testosterone and luteinizing hormone (LH). We also examined sex hormone
binding globulin (SHBG) and cortisol. Biochemical and muscle damage markers were
also measured. RESULTS: Relative to prerace, there were significant (P <= .05)
decreases in testosterone, LH, and SHBG, whereas cortisol showed a significantly
marked elevation at IP. Testosterone, LH, SHBG, and cortisol remained
significantly different from prerace at D1. Additionally, the testosterone to
cortisol (T:C) ratio, a marker of anabolism, was decreased at IP and D1. Serum
total protein, albumin, and globulin significantly decreased at IP, and remained
decreased at D1 and D2. Bilirubin increased significantly IP and D1, whereas
alkaline phosphatase decreased at D1 and D2. Creatine kinase, myoglobin,
aspartate aminotransferase, and alanine aminotransferase increased at IP, and
continued to be significantly elevated at D1 and D2. CONCLUSIONS: Training for
and completing the WSER produced a significant suppression in the HPT axis as
seen by decreased levels of testosterone and LH. Additionally, running the WSER
continued to influence endocrine function until 2 days after the race.
Furthermore, the stress caused by the WSER produced severe muscle damage.
PMID- 24931591
TI - Sleeping in moderate hypoxia at home for prevention of acute mountain sickness
(AMS): a placebo-controlled, randomized double-blind study.
AB - OBJECTIVE: Acclimatization at natural altitude effectively prevents acute
mountain sickness (AMS). It is, however, unknown whether prevention of AMS is
also possible by only sleeping in normobaric hypoxia. METHODS: In a placebo
controlled, double-blind study 76 healthy unacclimatized male subjects, aged 18
to 50 years, slept for 14 consecutive nights at either a fractional inspired
oxygen (Fio2) of 0.14 to 0.15 (average target altitude 3043 m; treatment group)
or 0.209 (control group). Four days later, AMS scores and incidence of AMS were
assessed during a 20-hour exposure in normobaric hypoxia at Fio2 = 0.12
(equivalent to 4500 m). RESULTS: Because of technical problems with the nitrogen
generators, target altitude was not achieved in the tents and only 21 of 37
subjects slept at an average altitude considered sufficient for acclimatization
(>2200 m; average, 2600 m). Therefore, in a subgroup analysis these subjects were
compared with the 21 subjects of the control group with the lowest sleeping
altitude. This analysis showed a significantly lower AMS-C score (0.38; 95% CI,
0.21 to 0.54) vs 1.10; 95% CI, 0.57 to 1.62; P = .04) and lower Lake Louise Score
(3.1; 95% CI, 2.2 to 4.1 vs 5.1; 95% CI, 3.6 to 6.6; P = .07) for the treatment
subgroup. The incidence of AMS defined as an AMS-C score greater than 0.70 was
also significantly lower (14% vs 52%; P < .01). CONCLUSIONS: Sleeping 14
consecutive nights in normobaric hypoxia (equivalent to 2600 m) reduced symptoms
and incidence of AMS 4 days later on exposure to 4500 m.
PMID- 24931592
TI - A nanobody-based electrochemiluminescent immunosensor for sensitive detection of
human procalcitonin.
AB - The development of a nanobody-based electrochemiluminescent immunosensor for
procalcitonin quantification is described. A highly specific and enhanced
sensitivity of target detection was achieved by CdTe quantum dot encapsulated
silica nanoparticle-assisted signal amplification.
PMID- 24931593
TI - Significance of physical performance tests for patients with low back pain.
AB - OBJECTIVES: Several research groups established functional tests to obtain
performance data for mobility-related activities in patients with low back pain
(LBP). In our study we aimed to assess the construct validity and associations
with other measures of a battery of functional tests in relationship to physical
performance on the one hand and physical capacity and variables of pain,
disability and psychological variables on the other. DESIGN: Eight physical
performance tests of everyday activities such as climbing stairs, picking up an
object from the floor, bending forward, and sitting up from supine position etc.
were evaluated in 106 patients with LBP and 106 control subjects. RESULTS:
Distribution of results in each test demonstrated a marked overlap in a number of
tests between those with and those without back pain. In a factor analysis we
found pronounced differences in the factorial structure of the data between
patients and control subjects as well in the bivariate correlations between
functional test results. There was a strong relationship between self-report
disability rating (FFbH-R) and physical performance tests especially in patients
whereas physical capacity tests had less relationship in patients and not at all
in the control subjects. CONCLUSION: In contrast to the past and present
literature, our results suggest that physical performance tests have an inherent
problem to sharply differentiate patients with back pain from healthy controls
and problems with inter-rater reliability. Physical performance seems to be more
a matter of patients' perception of generalized disability than of restricted
function.
PMID- 24931594
TI - Dynamic contrast-enhanced magnetic resonance imaging: applications in oncology.
AB - Dynamic contrast-enhanced magnetic resonance imaging (DCE MRI) allows functional
characterisation of tissue perfusion characteristics and acts as a biomarker for
tumour angiogenesis. It involves serial acquisition of MRI images before and
after injection of contrast, as such, tissue perfusion and permeability can be
assessed based on the signal enhancement kinetics. The ability to evaluate whole
tumour volumes in a non-invasive manner makes DCE MRI especially attractive for
potential oncological applications. Here we provide an overview of the current
research involving DCE MRI as a biomarker for the diagnosis and characterisation
of malignancies, prediction of the therapeutic response and survival outcomes, as
well as radiation therapy planning.
PMID- 24931595
TI - Stress and photosynthesis.
PMID- 24931596
TI - Contextual considerations in implementing problem-based learning approaches in a
Brazilian medical curriculum: the UNAERP experience.
AB - BACKGROUND: Despite being a well-established pedagogical approach in medical
education, the implementation of problem-based learning (PBL) approaches hinges
not only on educational aspects of the medical curriculum but also on the
characteristics and necessities of the health system and the medical labor market
within which it is situated. AIM: To report our experiences implementing a PBL
based approach in a region of Brazil where: 1) all pre-university education and
the vast majority of medical courses are based on traditional, lecture-based
instructions; and 2) students' career interests in primary care, arguably the
prototypical PBL trainee, are heavily disfavored because of economics. RESULTS:
Brazilian guidelines require that clinical training take place during the last 2
years of the medical program and include intensive, supervised, inpatient and
outpatient rotations in pediatrics, family medicine, obstetrics and gynecology,
internal medicine, and surgery. Throughout the pre-clinical curriculum, then,
students learn to deal with progressively more difficult and complex cases-
typically through the use of PBL tutors in a primary care context. However,
because of curricular time constraints in the clerkships, and students' general
preoccupation with specialty practice, the continuation of PBL-based approaches
in the pre-clinical years--and the expansion of PBL into the clerkships--has
become exceedingly difficult. DISCUSSION AND CONCLUSION: Our experience
illustrates the importance of context (both cultural and structural) in
implementing certain pedagogies within one Brazilian training program. We plan to
address these barriers by: 1) integrating units, whenever possible, within a
spiral curriculum; 2) introducing real patients earlier in students' pre-clinical
coursework (primarily in a primary care setting); and 3) using subject experts as
PBL tutors to better motivate students.
PMID- 24931598
TI - Rational design and identification of a non-peptidic aggregation inhibitor of
amyloid-beta based on a pharmacophore motif obtained from cyclo[-Lys-Leu-Val-Phe
Phe-].
AB - Inhibition of pathogenic protein aggregation may be an important and
straightforward therapeutic strategy for curing amyloid diseases. Small-molecule
aggregation inhibitors of Alzheimer's amyloid-beta (Abeta) are extremely scarce,
however, and are mainly restricted to dye- and polyphenol-type compounds that
lack drug-likeness. Based on the structure-activity relationship of cyclic
Abeta16-20 (cyclo-[KLVFF]), we identified unique pharmacophore motifs comprising
side-chains of Leu(2), Val(3), Phe(4), and Phe(5) residues without involvement of
the backbone amide bonds to inhibit Abeta aggregation. This finding allowed us to
design non-peptidic, small-molecule aggregation inhibitors that possess potent
activity. These molecules are the first successful non-peptidic, small-molecule
aggregation inhibitors of amyloids based on rational molecular design.
PMID- 24931597
TI - Local increase in IgE and class switch recombination to IgE in nasal polyps in
chronic rhinosinusitis.
AB - BACKGROUND: Chronic rhinosinusitis with nasal polyps is generally characterized
by local Th2 inflammation and is categorized into two subtypes in Japan:
eosinophilic chronic rhinosinusitis (similar to chronic rhinosinusitis with nasal
polyps in western countries) and non-eosinophilic chronic rhinosinusitis
(characterized by Th1-dominant inflammation). OBJECTIVE: To investigate local IgE
production and class switch recombination to IgE in these two subtypes of chronic
rhinosinusitis with nasal polyps. METHODS: The identity of IgE-positive cells was
determined using double-immunofluorescent staining for IgE and cell-type-specific
molecular markers. To investigate the local class switch recombination to IgE and
IgE synthesis in the mucosa, we performed real-time polymerase chain reaction to
examine the mRNA expression of Th2 cytokines and class-switch-related molecules,
including IL-4, IL-5, IL-13, epsilon germline gene transcripts, IgE mature
transcript, IgG mature transcript, RAG1, RAG2 and activation-induced cytidine
deaminase in eosinophilic polyps, non-eosinophilic polyps and controls. RESULTS:
The concentrations of total IgE and number of IgE-positive cells were
significantly higher in the eosinophilic polyps compared with control and non
eosinophilic polyps. IgE-positive cells were predominantly mast cells in
eosinophilic polyps and significantly correlated with the number of FcepsilonR1
positive cells in the subepithelial layer. IL-5 and IL-13 mRNA and epsilon
germline gene transcripts expression levels were significantly higher in
eosinophilic polyps compared with control and non-eosinophilic polyps. In
contrast, the number of plasma cells and the expression of IgG mature transcripts
were increased in non-eosinophilic polyps compared with eosinophilic polyps. RAG2
mRNA was significantly increased in both eosinophilic and non-eosinophilic polyps
compared with control mucosa. CONCLUSION AND CLINICAL RELEVANCE: The current
study suggests local class switching to IgE, production of IgE and IgE
localization to the surface of mast cells in eosinophilic chronic rhinosinusitis
in the Japanese population. The difference in the IgE-related profiles between
eosinophilic chronic rhinosinusitis and non-eosinophilic chronic rhinosinusitis
suggests heterogeneity in the pathogenesis of chronic rhinosinusitis with nasal
polyps.
PMID- 24931599
TI - A quantitative and diametral analysis of human dentinal tubules at pulp chamber
ceiling and floor under scanning electron microscopy.
AB - The purposes of this study are (i) to evaluate and compare the dentinal tubule
density, tubule diameter and percentage area of dentin occupied by tubules at the
pulp chamber ceiling and floor; and (ii) to evaluate the effects of age on the
number and dimensions of tubule openings. Twelve intact, human mandibular third
molars were recruited. Six teeth belonged to patients up to 30 years of age and
six teeth belonged to patients over 50 years. Scanning electron microscopic
evaluations were made at two different locations: the pulp chamber ceiling and
floor. The pulp chamber ceiling presented higher tubule density (P < 0.0001) and
greater percentage area of dentin occupied by tubule openings (P < 0.0001)
compared to the pulp chamber floor. Also, younger age group had greater tubule
diameter (P < 0.0001), higher tubule density (P < 0.0001) and greater percentage
area of dentin occupied by tubule openings (P < 0.0001) compared to older age
group. Pulp chamber ceiling presents higher tubule density and greater area of
exposed tubules. In younger people, the pulp chamber floor presents considerably
high tubule diameter. The number and dimensions of dentinal tubule openings
significantly decrease with age.
PMID- 24931600
TI - Fertility treatments, maternal intelligence, and child cognition.
PMID- 24931601
TI - Sphingosine-1-phosphate mediates AKT/ERK maintenance of dental pulp homoeostasis.
AB - AIM: To investigate the cell status of dental pulp cells (DPCs) in a sphingosine
1-phosphate (S1P)-induced microinflammation environment and the possible
mechanisms of cell homoeostasis maintenance by S1P. METHODOLOGY: Sphingosine-1
phosphate receptor (S1PR) expression was examined in DPCs within a local S1P
induced microinflammation model established using 1 MUmol L(-1) S1P. U0126
[extracellular signal-regulated kinase (ERK) inhibitor], LY294002 (AKT inhibitor)
and Y27632 (ROCK inhibitor) were used to inhibit corresponding signalling
pathways of DPCs. CCK8 and cell cycle analysis tested cell proliferation.
Immunofluorescence staining JC-1 detected changes of mitochondrial membrane
potential (DeltaPsim). Tests for apoptosis and the apoptosis-related proteins Bax
and Bcl-2 were assessed by flow cytometry and western blot analysis,
respectively. Expressions of ERK and AKT were evaluated by western blot analysis.
The results were analysed using the Student's t-test and the significance level
set at P < 0.05. RESULTS: Expressions of S1PR1, S1PR2 and S1PR3 in DPCs differed
amongst individuals. DPCs maintained self-homoeostasis in response to S1P-induced
microinflammation via S1PRs. During this repair process, ERK, AKT and ROCK had a
short-term complementary interaction at 60 min, but then AKT and ERK gradually
played decisive roles after 24 h in proliferation enhancement and apoptosis
inhibition, respectively (P > 0.05). CONCLUSIONS: The AKT-ERK balance may
determine whether DPC homoeostasis in S1P-induced microinflammation is maintained
by synergistic regulation of cell growth and apoptosis.
PMID- 24931602
TI - Slit/Robo signaling regulates cell fate decisions in the intestinal stem cell
lineage of Drosophila.
AB - In order to maintain tissue homeostasis, cell fate decisions within stem cell
lineages have to respond to the needs of the tissue. This coordination of lineage
choices with regenerative demand remains poorly characterized. Here, we identify
a signal from enteroendocrine cells (EEs) that controls lineage specification in
the Drosophila intestine. We find that EEs secrete Slit, a ligand for the Robo2
receptor in intestinal stem cells (ISCs) that limits ISC commitment to the
endocrine lineage, establishing negative feedback control of EE regeneration.
Furthermore, we show that this lineage decision is made within ISCs and requires
induction of the transcription factor Prospero in ISCs. Our work identifies a
function for the conserved Slit/Robo pathway in the regulation of adult stem
cells, establishing negative feedback control of ISC lineage specification as a
critical strategy to preserve tissue homeostasis. Our results further amend the
current understanding of cell fate commitment within the Drosophila ISC lineage.
PMID- 24931604
TI - A rapid genome-wide microRNA screen identifies miR-14 as a modulator of Hedgehog
signaling.
AB - MicroRNAs (miRNAs) are small noncoding RNAs that regulate gene expression by
binding to sequences within the 3' UTR of mRNAs. Because miRNAs bind to short
sequences with partial complementarity, target identification is challenging. To
complement the existing target prediction algorithms, we devised a systematic
"reverse approach" screening platform that allows the empirical prediction of
miRNA-target interactions. Using Drosophila cells, we screened the 3'
untranslated regions (3' UTRs) of the Hedgehog pathway genes against a genome
wide miRNA library and identified both predicted and many nonpredicted miRNA
target interactions. We demonstrate that miR-14 is essential for maintaining the
proper level of Hedgehog signaling activity by regulating its physiological
target, hedgehog. Furthermore, elevated levels of miR-14 suppress Hedgehog
signaling activity by cotargeting its apparent nonphysiological targets, patched
and smoothened. Altogether, our systematic screening platform is a powerful
approach to identifying both physiological and apparent nonphysiological targets
of miRNAs, which are relevant in both normal and diseased tissues.
PMID- 24931603
TI - Translation of small open reading frames within unannotated RNA transcripts in
Saccharomyces cerevisiae.
AB - High-throughput gene expression analysis has revealed a plethora of previously
undetected transcripts in eukaryotic cells. In this study, we investigate >1,100
unannotated transcripts in yeast predicted to lack protein-coding capacity. We
show that a majority of these RNAs are enriched on polyribosomes akin to mRNAs.
Ribosome profiling demonstrates that many bind translocating ribosomes within
predicted open reading frames 10-96 codons in size. We validate expression of
peptides encoded within a subset of these RNAs and provide evidence for
conservation among yeast species. Consistent with their translation, many of
these transcripts are targeted for degradation by the translation-dependent
nonsense-mediated RNA decay (NMD) pathway. We identify lncRNAs that are also
sensitive to NMD, indicating that translation of noncoding transcripts also
occurs in mammals. These data demonstrate transcripts considered to lack coding
potential are bona fide protein coding and expand the proteome of yeast and
possibly other eukaryotes.
PMID- 24931605
TI - A conditional system to specifically link disruption of protein-coding function
with reporter expression in mice.
AB - Conditional gene deletion in mice has contributed immensely to our understanding
of many biological and biomedical processes. Despite an increasing awareness of
nonprotein-coding functional elements within protein-coding transcripts, current
gene-targeting approaches typically involve simultaneous ablation of noncoding
elements within targeted protein-coding genes. The potential for protein-coding
genes to have additional noncoding functions necessitates the development of
novel genetic tools capable of precisely interrogating individual functional
elements. We present a strategy that couples Cre/loxP-mediated conditional gene
disruption with faithful GFP reporter expression in mice in which Cre-mediated
stable inversion of a splice acceptor-GFP-splice donor cassette concurrently
disrupts protein production and creates a GFP fusion product. Importantly,
cassette inversion maintains physiologic transcript structure, thereby ensuring
proper microRNA-mediated regulation of the GFP reporter, as well as maintaining
expression of nonprotein-coding elements. To test this potentially generalizable
strategy, we generated and analyzed mice with this conditional knockin reporter
targeted to the Hmga2 locus.
PMID- 24931606
TI - Alpha-synuclein immunotherapy blocks uptake and templated propagation of
misfolded alpha-synuclein and neurodegeneration.
AB - Accumulation of misfolded alpha-synuclein (alpha-syn) into Lewy bodies (LBs) and
Lewy neurites (LNs) is a major hallmark of Parkinson's disease (PD) and dementia
with LBs (DLB). Recent studies showed that synthetic preformed fibrils (pffs)
recruit endogenous alpha-syn and induce LB/LN pathology in vitro and in vivo,
thereby implicating propagation and cell-to-cell transmission of pathological
alpha-syn as mechanisms for the progressive spread of LBs/LNs. Here, we
demonstrate that alpha-syn monoclonal antibodies (mAbs) reduce alpha-syn pff
induced LB/LN formation and rescue synapse/neuron loss in primary neuronal
cultures by preventing both pff uptake and subsequent cell-to-cell transmission
of pathology. Moreover, intraperitoneal (i.p.) administration of mAb specific for
misfolded alpha-syn into nontransgenic mice injected intrastriatally with alpha
syn pffs reduces LB/LN pathology, ameliorates substantia nigra dopaminergic
neuron loss, and improves motor impairments. We conclude that alpha-syn
antibodies could exert therapeutic effects in PD/DLB by blocking entry of
pathological alpha-syn and/or its propagation in neurons.
PMID- 24931607
TI - Otx2 and Oct4 drive early enhancer activation during embryonic stem cell
transition from naive pluripotency.
AB - Embryonic stem cells (ESCs) are unique in that they have the capacity to
differentiate into all of the cell types in the body. We know a lot about the
complex transcriptional control circuits that maintain the naive pluripotent
state under self-renewing conditions but comparatively less about how cells exit
from this state in response to differentiation stimuli. Here, we examined the
role of Otx2 in this process in mouse ESCs and demonstrate that it plays a
leading role in remodeling the gene regulatory networks as cells exit from ground
state pluripotency. Otx2 drives enhancer activation through affecting chromatin
marks and the activity of associated genes. Mechanistically, Oct4 is required for
Otx2 expression, and reciprocally, Otx2 is required for efficient Oct4
recruitment to many enhancer regions. Therefore, the Oct4-Otx2 regulatory axis
actively establishes a new regulatory chromatin landscape during the early events
that accompany exit from ground state pluripotency.
PMID- 24931608
TI - Rapamycin prevents the development and progression of mutant epidermal growth
factor receptor lung tumors with the acquired resistance mutation T790M.
AB - Lung cancer in never-smokers is an important disease often characterized by
mutations in epidermal growth factor receptor (EGFR), yet risk reduction measures
and effective chemopreventive strategies have not been established. We identify
mammalian target of rapamycin (mTOR) as potentially valuable target for EGFR
mutant lung cancer. mTOR is activated in human lung cancers with EGFR mutations,
and this increases with acquisition of T790M mutation. In a mouse model of EGFR
mutant lung cancer, mTOR activation is an early event. As a single agent, the
mTOR inhibitor rapamycin prevents tumor development, prolongs overall survival,
and improves outcomes after treatment with an irreversible EGFR tyrosine kinase
inhibitor (TKI). These studies support clinical testing of mTOR inhibitors in
order to prevent the development and progression of EGFR mutant lung cancers.
PMID- 24931609
TI - NUCKS is a positive transcriptional regulator of insulin signaling.
AB - Although much is known about the molecular players in insulin signaling, there is
scant information about transcriptional regulation of its key components. We now
find that NUCKS is a transcriptional regulator of the insulin signaling
components, including the insulin receptor (IR). Knockdown of NUCKS leads to
impaired insulin signaling in endocrine cells. NUCKS knockout mice exhibit
decreased insulin signaling and increased body weight/fat mass along with
impaired glucose tolerance and reduced insulin sensitivity, all of which are
further exacerbated by a high-fat diet (HFD). Genome-wide ChIP-seq identifies
metabolism and insulin signaling as NUCKS targets. Importantly, NUCKS is
downregulated in individuals with a high body mass index and in HFD-fed mice, and
conversely, its levels increase upon starvation. Altogether, NUCKS is a
physiological regulator of energy homeostasis and glucose metabolism that works
by regulating chromatin accessibility and RNA polymerase II recruitment to the
promoters of IR and other insulin pathway modulators.
PMID- 24931612
TI - MicroRNAs establish robustness and adaptability of a critical gene network to
regulate progenitor fate decisions during cortical neurogenesis.
AB - Over the course of cortical neurogenesis, the transition of progenitors from
proliferation to differentiation requires a precise regulation of involved gene
networks under varying environmental conditions. In order to identify such
regulatory mechanisms, we analyzed microRNA (miRNA) target networks in
progenitors during early and late stages of neurogenesis. We found that cyclin D1
is a network hub whose expression is miRNA-dosage sensitive. Experimental
validation revealed a feedback regulation between cyclin D1 and its regulating
miRNAs miR-20a, miR-20b, and miR-23a. Cyclin D1 induces expression of miR-20a and
miR-20b, whereas it represses miR-23a. Inhibition of any of these miRNAs
increases the developmental stage-specific mean and dynamic expression range
(variance) of cyclin D1 protein in progenitors, leading to reduced neuronal
differentiation. Thus, miRNAs establish robustness and stage-specific
adaptability to a critical dosage-sensitive gene network during cortical
neurogenesis. Understanding such network regulatory mechanisms for key
developmental events can provide insights into individual susceptibilities for
genetically complex neuropsychiatric disorders.
PMID- 24931610
TI - SETD2-dependent histone H3K36 trimethylation is required for homologous
recombination repair and genome stability.
AB - Modulating chromatin through histone methylation orchestrates numerous cellular
processes. SETD2-dependent trimethylation of histone H3K36 is associated with
active transcription. Here, we define a role for H3K36 trimethylation in
homologous recombination (HR) repair in human cells. We find that depleting SETD2
generates a mutation signature resembling RAD51 depletion at I-SceI-induced DNA
double-strand break (DSB) sites, with significantly increased deletions arising
through microhomology-mediated end-joining. We establish a presynaptic role for
SETD2 methyltransferase in HR, where it facilitates the recruitment of C-terminal
binding protein interacting protein (CtIP) and promotes DSB resection, allowing
Replication Protein A (RPA) and RAD51 binding to DNA damage sites. Furthermore,
reducing H3K36me3 levels by overexpressing KDM4A/JMJD2A, an oncogene and
H3K36me3/2 demethylase, or an H3.3K36M transgene also reduces HR repair events.
We propose that error-free HR repair within H3K36me3-decorated transcriptionally
active genomic regions promotes cell homeostasis. Moreover, these findings
provide insights as to why oncogenic mutations cluster within the H3K36me3 axis.
PMID- 24931611
TI - ADAM17-dependent c-MET-STAT3 signaling mediates resistance to MEK inhibitors in
KRAS mutant colorectal cancer.
AB - There are currently no approved targeted therapies for advanced KRAS mutant
(KRASMT) colorectal cancer (CRC). Using a unique systems biology approach, we
identified JAK1/2-dependent activation of STAT3 as the key mediator of resistance
to MEK inhibitors in KRASMT CRC in vitro and in vivo. Further analyses identified
acute increases in c-MET activity following treatment with MEK inhibitors in
KRASMT CRC models, which was demonstrated to promote JAK1/2-STAT3-mediated
resistance. Furthermore, activation of c-MET following MEK inhibition was found
to be due to inhibition of the ERK-dependent metalloprotease ADAM17, which
normally inhibits c-MET signaling by promoting shedding of its endogenous
antagonist, soluble "decoy" MET. Most importantly, pharmacological blockade of
this resistance pathway with either c-MET or JAK1/2 inhibitors synergistically
increased MEK-inhibitor-induced apoptosis and growth inhibition in vitro and in
vivo in KRASMT models, providing clear rationales for the clinical assessment of
these combinations in KRASMT CRC patients.
PMID- 24931614
TI - Benefit-risk assessment in pharmaceutical research and development.
PMID- 24931613
TI - Synaptic control of secretory trafficking in dendrites.
AB - Localized signaling in neuronal dendrites requires tight spatial control of
membrane composition. Upon initial synthesis, nascent secretory cargo in
dendrites exits the endoplasmic reticulum (ER) from local zones of ER complexity
that are spatially coupled to post-ER compartments. Although newly synthesized
membrane proteins can be processed locally, the mechanisms that control the
spatial range of secretory cargo transport in dendritic segments are unknown.
Here, we monitored the dynamics of nascent membrane proteins in dendritic post-ER
compartments under regimes of low or increased neuronal activity. In response to
activity blockade, post-ER carriers are highly mobile and are transported over
long distances. Conversely, increasing synaptic activity dramatically restricts
the spatial scale of post-ER trafficking along dendrites. This activity-induced
confinement of secretory cargo requires site-specific phosphorylation of the
kinesin motor KIF17 by Ca(2+)/calmodulin-dependent protein kinases (CaMK). Thus,
the length scales of early secretory trafficking in dendrites are tuned by
activity-dependent regulation of microtubule-dependent transport.
PMID- 24931615
TI - Avoidance of truncated proteins from unintended ribosome binding sites within
heterologous protein coding sequences.
AB - Genetic sequences ported into non-native hosts for synthetic biology applications
can gain unexpected properties. In this study, we explored sequences functioning
as ribosome binding sites (RBSs) within protein coding DNA sequences (CDSs) that
cause internal translation, resulting in truncated proteins. Genome-wide
prediction of bacterial RBSs, based on biophysical calculations employed by the
RBS calculator, suggests a selection against internal RBSs within CDSs in
Escherichia coli, but not those in Saccharomyces cerevisiae. Based on these
calculations, silent mutations aimed at removing internal RBSs can effectively
reduce truncation products from internal translation. However, a solution for
complete elimination of internal translation initiation is not always feasible
due to constraints of available coding sequences. Fluorescence assays and Western
blot analysis showed that in genes with internal RBSs, increasing the strength of
the intended upstream RBS had little influence on the internal translation
strength. Another strategy to minimize truncated products from an internal RBS is
to increase the relative strength of the upstream RBS with a concomitant
reduction in promoter strength to achieve the same protein expression level.
Unfortunately, lower transcription levels result in increased noise at the single
cell level due to stochasticity in gene expression. At the low expression regimes
desired for many synthetic biology applications, this problem becomes
particularly pronounced. We found that balancing promoter strengths and upstream
RBS strengths to intermediate levels can achieve the target protein concentration
while avoiding both excessive noise and truncated protein.
PMID- 24931617
TI - Iron deficiency anemia--bridging the knowledge and practice gap.
AB - Despite its high prevalence, anemia often does not receive proper clinical
attention, and detection, evaluation, and management of iron deficiency anemia
and iron-restricted erythropoiesis can possibly be an unmet medical need. A
multidisciplinary panel of clinicians with expertise in anemia management
convened and reviewed recent published data on prevalence, etiology, and health
implications of anemia as well as current therapeutic options and available
guidelines on management of anemia across various patient populations and made
recommendations on the detection, diagnostic approach, and management of anemia.
The available evidence confirms that the prevalence of anemia is high across all
populations, especially in hospitalized patients. Anemia is associated with worse
clinical outcomes including longer length of hospital stay, diminished quality of
life, and increased risk of morbidity and mortality, and it is a modifiable risk
factor of allogeneic blood transfusion with its own inherent risks. Iron
deficiency is usually present in anemic patients. An algorithm for detection and
management of anemia was discussed, which incorporated iron study (with primary
emphasis on transferrin saturation), serum creatinine and glomerular filtration
rate, and vitamin B12 and folic acid measurements. Management strategies included
iron therapy (oral or intravenous), erythropoiesis-stimulating agents, and
referral as needed.
PMID- 24931616
TI - Nesprin-1 and nesprin-2 regulate endothelial cell shape and migration.
AB - Nesprins are large multi-domain proteins that link the nuclear envelope to the
cytoskeleton and nucleoskeleton. Here we show that nesprin-1 and nesprin-2 play
important roles in regulating cell shape and migration in endothelial cells.
Nesprin-1 or nesprin-2 depletion by RNAi increased endothelial cell spread area
and the length of cellular protrusions, as well as stimulating stress fibre
assembly which correlated with an increase in F-actin levels. Nuclear area was
also increased by nesprin depletion, and localization of the inner nuclear
membrane protein emerin to the nuclear envelope was reduced. Depletion of nesprin
1 or nesprin-2 reduced migration of endothelial cells into a cell-free area, and
decreased loop formation in an in vitro angiogenesis assay. Taken together, our
results indicate that nesprin-1 and nesprin-2 both regulate nuclear and
cytoplasmic architecture, which we propose leads to their effects on endothelial
cell migration and angiogenic loop formation.
PMID- 24931620
TI - Synthesis, characterization, and biological activity of poly(arginine)-derived
cancer-targeting peptides in HepG2 liver cancer cells.
AB - The solid-phase synthesis, structural characterization, and biological evaluation
of a small library of cancer-targeting peptides have been determined in HepG2
hepatoblastoma cells. These peptides are based on the highly specific Pep42
motif, which has been shown to target the glucose-regulated protein 78 receptors
overexpressed and exclusively localized on the cell surface of tumors. In this
study, Pep42 was designed to contain varying lengths (3-12) of poly(arginine)
sequences to assess their influence on peptide structure and biology. Peptides
were effectively synthesized by 9-fluorenylmethoxycarbonyl-based solid-phase
peptide synthesis, in which the use of a poly(ethylene glycol) resin provided
good yields (14-46%) and crude purities >95% as analyzed by liquid chromatography
mass spectrometry. Peptide structure and biophysical properties were investigated
using circular dichroism spectroscopy. Interestingly, peptides displayed
secondary structures that were contingent on solvent and length of the
poly(arginine) sequences. Peptides exhibited helical and turn conformations,
while retaining significant thermal stability. Structure-activity relationship
studies conducted by flow cytometry and confocal microscopy revealed that the
poly(arginine) derived Pep42 sequences maintained glucose-regulated protein 78
binding on HepG2 cells while exhibiting cell translocation activity that was
contingent on the length of the poly(arginine) strand. In single dose (0.15 mM)
and dose-response (0-1.5 mM) cell viability assays, peptides were found to be
nontoxic in human HepG2 liver cancer cells, illustrating their potential as safe
cancer-targeting delivery agents.
PMID- 24931618
TI - Paroxysmal nocturnal hemoglobinuria with copy number-neutral 6pLOH in GPI (+) but
not in GPI (-) granulocytes.
AB - Paroxysmal nocturnal hemoglobinuria (PNH) is an acquired bone marrow disorder
caused by expansion of a clone of hematopoietic cells lacking
glycosylphosphatidylinositol (GPI)-anchored membrane proteins. Multiple lines of
evidence suggest immune attack on normal hematopoietic stem cells provides a
selective growth advantage to PNH clones. Recently, frequent loss of HLA alleles
associated with copy number-neutral loss of heterozygosity in chromosome 6p (CN
6pLOH) in aplastic anemia (AA) patients was reported, suggesting that AA
hematopoiesis 'escaped' from immune attack by loss of HLA alleles. We report here
the first case of CN-6pLOH in a Japanese PNH patient only in GPI-anchored protein
positive (59%) granulocytes, but not in GPI-anchored protein negative (41%)
granulocytes. CN-6pLOH resulted in loss of the alleles A*02:06-DRB1*15:01
DQB1*06:02, which have been reported to be dominant in Japanese PNH patients. Our
patient had maintained nearly normal blood count for several years. Our case
supports the hypothesis that a hostile immune environment drives selection of
resistant hematopoietic cell clones and indicates that clonal evolution may occur
also in normal phenotype (non-PNH) cells in some cases.
PMID- 24931621
TI - Multivariate adaptation but no increase in competitive ability in invasive
Geranium carolinianum L. (Geraniaceae).
AB - Adaptive evolution can affect the successful establishment of invasive species,
but changes in selective pressures, loss of genetic variation in relevant traits,
and/or altered trait correlations can make adaptation difficult to predict. We
used a common-garden experiment to assess trait correlations and patterns of
adaptation in the invasive plant, Geranium carolinianum, sampled across 20
populations in its native (United States) and invasive (China) ranges. We used
multivariate QST - FST tests to determine if phenotypic differences between
countries are attributable to adaptation. We also compared population-level
variation within each country to assess whether local adaptation resulted in
similar multivariate phenotypes in the United States and China. Between
countries, most phenotypic differences are indistinguishable from genetic drift,
although we detected a signature of adaptation to the colder, drier winters in
China. There was no evidence for increases in invasive traits in China. Within
countries, strong multivariate adaptation appears to be driven by latitudinal
climatic variation in the United States, but not in China. Additionally, adaptive
trait combinations as well as their underlying correlations differ between the
two countries, indicating that adaptation in invasive populations does not
parallel patterns in native populations due to differences in selection
pressures, genetic constraints, or both.
PMID- 24931623
TI - Effects of sequential mixed cultures of Wickerhamomyces anomalus and
Saccharomyces cerevisiae on apple cider fermentation.
AB - The fermentation of cider by mixed cultures of Wickerhamomyces anomalus and
Saccharomyces cerevisiae was carried out to study their effect on the cider
quality. The results showed that growth of W. anomalus and S. cerevisiae was
affected by each other during co-fermentation process. All the mixed cultures
produced statistically the same level of ethanol as S. cerevisiae monoculture.
The mixed fermentation could produce more variety and higher amounts of acetate
esters, ethyl esters, higher alcohols, aldehydes, and ketones. Sensory evaluation
demonstrated that ciders obtained from co-fermentation with W. anomalus gained
higher scores than ciders fermented by pure S. cerevisiae, especially the co
fermentation cultures WS3, WS4, WS6, and WS8. Only 3 days of fermentation with W.
anomalus in sequential mixtures were enough to improve the quality of cider.
Wickerhamomyces anomalus could be used in association with S. cerevisiae to
improve the quality of cider. The modulation of inoculation time may provide an
effective means of manipulating cider aroma for different characteristics.
PMID- 24931622
TI - Cytoreductive nephrectomy in patients with synchronous metastases from renal cell
carcinoma: results from the International Metastatic Renal Cell Carcinoma
Database Consortium.
AB - BACKGROUND: The benefit of cytoreductive nephrectomy (CN) for overall survival
(OS) is unclear in patients with synchronous metastatic renal cell carcinoma
(mRCC) in the era of targeted therapy. OBJECTIVE: To determine OS benefit of CN
compared with no CN in mRCC patients treated with targeted therapies. DESIGN,
SETTING, AND PARTICIPANTS: Retrospective data from patients with synchronous mRCC
(n=1658) from the International Metastatic Renal Cell Carcinoma Database
Consortium (IMDC) were used to compare 982 mRCC patients who had a CN with 676
mRCC patients who did not. OUTCOME MEASUREMENTS AND STATISTICAL ANALYSIS: OS was
compared and hazard ratios (HRs) adjusted for IMDC poor prognostic criteria.
RESULTS AND LIMITATIONS: Patients who had CN had better IMDC prognostic profiles
versus those without (favorable, intermediate, or poor in 9%, 63%, and 28% vs 1%,
45%, and 54%, respectively). The median OS of patients with CN versus without CN
was 20.6 versus 9.5 mo (p<0.0001). When adjusted for IMDC criteria to correct for
imbalances, the HR of death was 0.60 (95% confidence interval, 0.52-0.69;
p<0.0001). Patients estimated to survive <12 mo may receive marginal benefit from
CN. Patients who have four or more of the IMDC prognostic criteria did not
benefit from CN. Data were collected retrospectively. CONCLUSIONS: CN is
beneficial in synchronous mRCC patients treated with targeted therapy, even after
adjusting for prognostic factors. Patients with estimated survival times <12 mo
or four or more IMDC prognostic factors may not benefit from CN. This information
may aid in patient selection as we await results from randomized controlled
trials. PATIENT SUMMARY: We looked at the survival outcomes of metastatic renal
cell carcinoma patients who did or did not have the primary tumor removed. We
found that most patients benefited from tumor removal, except for those with four
or more IMDC risk factors.
PMID- 24931624
TI - Modulation of proteome expression by F-type lectin during viral hemorrhagic
septicemia virus infection in fathead minnow cells.
AB - Lectins found in fish tissues play an important role in the innate immune
response against viral infection. A fucose-binding type lectin, RbFTL-3, from
rock bream (Oplegnathus fasciatus) was identified using expressed sequence tag
(EST) analysis. The expression of RbFTL-3 mRNA was higher in intestine than other
tissues of rock bream. To determine the function of RbFTL-3, VHSV-susceptible
fathead minnow (FHM) cells were transfected with pcDNA3.1(+) or pcDNA3.1(+)-RbFTL
3 and further infected with VHSV. The results show that the viability of FHM
cells transfected with pcDNA3.1(+)-RbFTL-3 is higher than that of cells
transfected with pcDNA3.1(+) (relative cell viability: 28.9% vs 56.2%). A
comparative proteomic analysis, performed to explore the proteins related to the
protective effect of RbFTL-3 in the cells during VHSV infection, identified 90
proteins differentially expressed in VHSV-infected FHM cells transfected with
pcDNA3.1(+) or pcDNA3.1(+)-RbFTL-3. The expression of RbFTL-3 inhibits a vascular
sorting protein (SNF8) and diminishes the loss of prothrombin, which are closely
associated with controlling viral budding and hemorrhage in fish cells,
respectively. Subsequent Ingenuity Pathways Analysis enabled prediction of their
biofunctional groupings and interaction networks. The results suggest RbFTL-3
modulates the expression of proteins related to viral budding (SNF8, CCT5 and
TUBB) and thrombin signaling (F2) to increase the viability of VHSV infected
cells.
PMID- 24931625
TI - Himasthla elongata: Implantation of rediae to the specific iteroparous long
living host, Littorina littorea, results in the immune rejection.
AB - All semelparous short-lived gastropods studied so far for the experimental
transplantation of trematode parthenitae, from one specific host to another,
showed more or less successful acceptance of implanted parasites. We implanted
echinostomatid rediae, Himasthla elongata, to the specific iteroparous long
living host, coenogastropod Littorina littorea. Using simple and low-invasive
implantation techniques we have tested 680 snails injected with 75 redia
microhemipopulations (MHP) harvested from naturally infected snails. Neither
young nor mature rediae were able to survive in the recipient periwinkles in the
course of 30 days post-implantation. A strong immune response from the host was
already evident within the first week after implantation: initial inactivation of
motile rediae with toxic humoral immune factors, following encapsulation of the
implants and increased hemocyte counts. In contrast, rediae from the same MHPs
showed perfect survival rates in primary in vitro axenic cultures. The failure of
the transplantation experiments is explained in terms of the compatibility
matching phenotype model. In the studied host-parasite combination all
periwinkles are potentially susceptible and all rediae MHPs are potentially
infective, however the probability of the compatible phenotypes matching is
virtually low. Low investment in L. littorea annual reproduction would result in
increased investment in self maintenance and immune mechanisms, causing the
general resistance to the trematode infestation. Presumably, this resistance is
relatively higher in long-lived iteroparous gastropods compare to semelparous
short-lived mollusks such as pulmonates.
PMID- 24931626
TI - In vitro antibacterial analysis of phenoloxidase reaction products from the sea
cucumber Apostichopus japonicus.
AB - Three phenoloxidases (POs) of Apostichopus japonicus, AjPOs (AjPO1, AjPO2 and
AjPO3), were partially purified from the coelomocytes with an electrophoretic
method, and then employed for the in vitro antibacterial analysis. Using L-3,4
dihydroxyphenylalanine (L-DOPA) as a substrate, AjPO1 and AjPO2-derived compounds
inhibited the growth of Vibrio splendidus and Staphylococcus aureus, while AjPO3
derived compounds only inhibited the growth of V. splendidus. When dopamine was
used as a substrate, AjPO1 and AjPO3-derived compounds inhibited the growth of V.
splendidus and Vibrio harveyi, while AjPO2-derived compounds only inhibited the
growth of V. splendidus. Moreover, AjPO1-derived compounds showed stronger
inhibition in V. harveyi than AjPO3-derived compounds did. However, all of the
three AjPO reaction products showed no inhibitions on the growth of
Pseudoalteromonas nigrifaciens, Shewanella baltica, Micrococcus lysodeikticus,
Streptococcus dysgalactiae and Nocardiopsis sp. with L-DOPA or dopamine as a
substrate. Scanning electron microscope (SEM) observation of V. harveyi treated
by AjPOs and dopamine showed that AjPO1-derived compounds resulted in massive
bacteriolysis, AjPO2-derived compounds caused no obvious alteration on bacterial
morphology, and AjPO3-derived compounds increased the ratio of spheroidal
bacteria. All these results suggested that AjPO reaction products derived by L
DOPA and dopamine had different but limited antibacterial spectrum, and the
different antibacterial effects observed among three AjPOs resulted from the
different reaction products generated by AjPOs with the same substrate.
PMID- 24931627
TI - Controlled production of poly (3-hydroxybutyrate-co-3-hydroxyhexanoate) (PHBHHx)
nanoparticles for targeted and sustained drug delivery.
AB - The ability to control the size and quality of nanoparticles (NPs) during
production is critical for their success as a commercial product for clinical
applications. Here, we employed a statistical design of experiment approach to
identify the key process variables affecting the size of poly(3-hydroxybutyrate
co-3-hydroxyhexanoate) (PHBHHx) NPs during production via the solvent evaporation
method. The number of sonication cycles had a standardzed effect on NP size of
55, with sonication power at 25, and PHBHHx concentration at 27 with a
combination of these variables having a lower yet significant effect on NP size
(p < 0.05). The PHBHHx NPs were stable for at least 7 days with an average
polydispersity index of 0.18, a zeta potential of -10 to -40 mV, and an
encapsulation efficiency of 63.5 +/- 2%. These data were utilized to produce a
prediction graph whereby particles could be produced with sizes ranging from 90
to 205 nm with a low mean curve prediction error of 1.96% for Haperzine-A-loaded
NPs. Furthermore, a range of drug encapsulates NPs were produced and showed a
sustained release of the encapsulated drug. This study demonstrates the ability
to control the size of drug-loaded particles by manipulation of the production
variables, which will allow targeted and controlled drug release to fit a variety
of applications.
PMID- 24931628
TI - Endoscopic single-handed septoplasty with batten graft for caudal septum
deviation.
AB - OBJECTIVE: Septoplasty is usually performed with a Killian incision in Japan.
However, the major drawback of the Killian incision is the inability to correct
deviations of the caudal part of the septum. For patients with mild deviation of
the caudal part of the septum but with a straight caudal end, we performed a
modified Killian incision and used a batten graft to correct the deviated
cartilage under endoscopy. The aim of this study was to evaluate the usefulness
of our surgical technique for these cases. METHODS: We prospectively collected
data of 17 patients who underwent endoscopic septoplasty with a batten graft.
Objective assessment by computed tomography and subjective assessment by
questionnaire were performed before and 3 months after the surgery. RESULTS: On
computed tomography, the ratio of the area of the convex side to that of the
concave side in the anterior portion of the nasal cavity was significantly
improved after surgery (p<0.001). Subjective assessment revealed a significant
improvement in nasal obstruction (p=0.002). There were no complications in this
study. CONCLUSION: The herein-described septoplasty with a batten graft is
technically easy and considered to be useful for deviation of the caudal part of
the septum in selected cases.
PMID- 24931629
TI - Topical morphine gel for pain management in head and neck cancer patients.
AB - Pain is common in head and neck cancer patients. Regardless of the cause, pain
management is essential in supportive care. Recent research has suggested that
opioid receptors on peripheral nerve terminals may play an important role in pain
modulation. A number of publications have reported the use of topical morphine
for painful ulcers that occur because of a variety of medical conditions. To the
best of our knowledge, there are no reports in the literature regarding the use
of morphine gel in head and neck cancer patients. We present two cases treated
with morphine gel therapy for cutaneous pain resulting from radiation-induced
dermatitis and tumor infiltration. We obtained good pain control in both cases
without side effects. In one case, the use of the gel allowed a decrease in
systemic opioid medication, and adverse effects of systemic opioid administration
were resolved. Our experience suggests that this treatment presents great
potential for selected head and neck cancer patients, especially those with
prominent pain limited to the body surface.
PMID- 24931630
TI - Photodynamic therapy (PDT) resistance by PARP1 regulation on PDT-induced
apoptosis with autophagy in head and neck cancer cells.
AB - BACKGROUND: Photodynamic therapy (PDT) is an anticancer treatment that generates
excessive reactive oxygen species after photosensitizer treatments following
specific wavelength irradiation. In another reports, PDT was regulated with
autophagic cell death and apoptotic cell death. However, the mechanism of PDT
resistance in PDT-stimulated cell death is unclear. In this study, we determined
PDT resistance by autophagy and apoptosis in HP-PDT-treated oral cancer cells.
MATERIALS & METHODS: Cells were treated hematoporphyrin and then irradiation with
or without inhibitor. Cell lysates were checked protein expression with specific
antibody. PDT resistance cells were generated with PDT repeated treatments.
RESULTS: In HP-PDT, PDT induced autophagy through mTOR, ATG5, and LC3 in dose
dependent manners. Also, PDT at high dose induced apoptosis through caspase
activation and PARP-1. Moreover, PARP-1 inhibitor protected cells against HP-PDT
induced cell death, but not by caspase inhibitor. At low dose of HP, autophagy
inhibitor partially protected from HP-PDT-induced cell death. In autophagy
phases, at low doses, HP-PDT regulated autophagic cell death through the
inhibition of LC3II. Although autophagy inhibitor did not alter cell death
directly, autophagy has associated with HP-PDT-induced apoptotic cell death by
PARP-1 regulation. CONCLUSION: Taken together, HP-PDT induces apoptotic cell
death with autophagy in oral cancer cells. PDT resistance is related to autophagy
by PARP-1 regulation in oral cancer cells.
PMID- 24931631
TI - The spectrum of somatic mutations in high-risk acute myeloid leukaemia with
7/del(7q).
AB - -7/del(7q) occurs in half of myeloid malignancies with adverse-risk cytogenetic
features and is associated with poor survival. We identified the spectrum of
mutations that co-occur with -7/del(7q) in 40 patients with de novo or therapy
related myeloid neoplasms. -7/del(7q) leukaemias have a distinct mutational
profile characterized by low frequencies of alterations in genes encoding
transcription factors, cohesin and DNA-methylation-related proteins. In contrast,
RAS pathway activating mutations occurred in 50% of cases, a significantly higher
frequency than other acute myeloid leukaemias and higher than previously
reported. Our data provide guidance for which pathways may be most relevant in
the treatment of adverse-risk myeloid leukaemia.
PMID- 24931632
TI - Bulk metallic glass composite with good tensile ductility, high strength and
large elastic strain limit.
AB - Bulk metallic glasses exhibit high strength and large elastic strain limit but
have no tensile ductility. However, bulk metallic glass composites reinforced by
in-situ dendrites possess significantly improved toughness but at the expense of
high strength and large elastic strain limit. Here, we report a bulk metallic
glass composite with strong strain-hardening capability and large elastic strain
limit. It was found that, by plastic predeformation, the bulk metallic glass
composite can exhibit both a large elastic strain limit and high strength under
tension. These unique elastic mechanical properties are attributed to the
reversible B2<->B19' phase transformation and the plastic-predeformation-induced
complicated stress state in the metallic glass matrix and the second phase. These
findings are significant for the design and application of bulk metallic glass
composites with excellent mechanical properties.
PMID- 24931633
TI - Excited state proton transfer dynamics of an eminent anticancer drug,
ellipticine, in octyl glucoside micelle.
AB - Photophysics and proton transfer dynamics of an eminent anticancer drug,
ellipticine (EPT), have been investigated inside a biocompatible octyl-beta-D
glucoside (OBG) micellar medium using steady state and time-resolved fluorescence
spectroscopic techniques. EPT exists as protonated form in aqueous solution of pH
7. When EPT molecules are encapsulated in OBG micelles, protonated form is
converted to neutral form in the ground state due to the hydrophobic effect of
the micellar environment. Interestingly, steady state fluorescence results
indicate the existence of both neutral and protonated forms of EPT in the excited
state, even though neutral molecules are selectively excited, and it is
attributed to the conversion of neutral to protonated form of EPT by the excited
state proton transfer (ESPT) process. A clear isoemissive point in the time
resolved area normalized emission spectra (TRANES) further supports the excited
state conversion of neutral to protonated form of EPT. Notably, this kind of
proton transfer dynamics is not observed in other conventional micelles, such as,
SDS, Triton-X and CTAB. Therefore, the observed ESPT dynamics is believed to be
an outcome of combined effects of the local dielectric constant felt by EPT and
the local proton concentration at the OBG micellar surface.
PMID- 24931634
TI - Adenosine-insensitive right ventricular tachycardia: novel variant of idiopathic
outflow tract tachycardia.
AB - BACKGROUND: A hallmark of idiopathic right ventricular outflow tract (RVOT)
tachycardia is its sensitivity to adenosine (ADO), which is consistent with a
triggered mechanism. We have identified a novel group of patients with ADO
insensitive, non-reentrant RVOT tachycardia. OBJECTIVE: This study aimed to
identify the clinical and electrophysiologic characteristics of ADO-insensitive
RVOT tachycardia. METHODS: The response of ventricular tachycardia (VT) to ADO
was evaluated in 46 consecutive patients with inducible sustained idiopathic RVOT
tachycardia. The clinical and electrophysiologic characteristics of patients with
ADO-insensitive RVOT tachycardia were compared with patients with ADO-sensitive
VT and arrhythmogenic right ventricular cardiomyopathy (ARVC) VT. RESULTS:
Sustained RVOT tachycardia terminated with ADO in 41 patients (89%), while 5
patients (11%) had ADO-insensitive VT. The electrophysiology study findings of
patients with ADO-sensitive and ADO-insensitive RVOT tachycardia were similar.
Compared with a group of 10 patients with ARVC, patients with ADO-insensitive
RVOT tachycardia had no ARVC-associated electrocardiographic or right ventricular
morphologic findings, as well as fewer inducible VT morphologies. Analysis of
myocardial biopsies at VT origin sites from 3 of 5 patients with ADO-insensitive
RVOT tachycardia demonstrated somatic mutations in the A1 ADO receptor (R296C) in
1 patient and in the inhibitory G protein (F200L) in another patient, as
described previously. These mutations were not identified at remote myocardial
sites. Over a median follow-up period of 4.8 years, no patients insensitive to
ADO developed an ARVC phenotype. CONCLUSION: Although most forms of idiopathic
RVOT tachycardia are characterized by ADO sensitivity, we described a variant of
ADO-insensitive VT that, in some cases, can be linked to somatic myocardial
mutations involving the A1 ADO receptor-associated cyclic adenosine monophosphate
mediated pathway.
PMID- 24931635
TI - Catastrophic failure of Durata ICD lead due to high-voltage short during shock
delivery.
PMID- 24931636
TI - Magnetic resonance post-contrast T1 mapping in the human atrium: validation and
impact on clinical outcome after catheter ablation for atrial fibrillation.
AB - BACKGROUND: The impact of diffuse atrial fibrosis detected by T1 mapping on the
clinical outcome after atrial fibrillation (AF) ablation is unknown. OBJECTIVE:
This study aimed to validate and assess the impact of post-contrast cardiac
magnetic resonance (CMR) imaging atrial T1 mapping on the clinical outcome after
catheter ablation for AF. METHODS: CMR imaging was performed in 3 groups by using
a clinical 1.5-T scanner: controls, patients with paroxysmal AF, and patients
with persistent AF. A T1 mapping sequence was used to calculate the post-contrast
T1 relaxation time (T1 time) at the interatrial septum as an index of diffuse
atrial fibrosis. A subset underwent left atrial endocardial bipolar voltage
mapping for electrophysiologic correlation. After AF ablation, patients underwent
clinical review and 7-day Holter monitoring at 6-month intervals. RESULTS: One
hundred thirty-two patients (20 controls, 71 (63%) patients with paroxysmal AF,
and 41 (37%) patients with persistent AF) underwent CMR imaging. Post-contrast
atrial T1 time was significantly shorter in AF groups (237 +/- 42 ms) than in
controls (280 +/- 37 ms) (P < .001). Post-contrast atrial T1 time correlated with
mean septal voltage (R2 = .48; P < .001) and global left atrial voltage (R(2) =
.41; P < .001). A diagnosis of AF, AF duration, and left ventricular end
diastolic volume independently predicted shortened post-contrast atrial T1 time.
The single procedure success rate was 74% at 12 +/- 5 months postablation. Post
contrast atrial T1 time was the only predictor of arrhythmia recurrence in
multivariate analysis (P = .015). A post-contrast atrial T1 time of >230 ms was
associated with freedom from AF in 85% relative to 62% with a post-contrast
atrial T1 time of <230 ms (P = .01). CONCLUSION: Post-contrast atrial T1 time as
measured using CMR imaging provides an index of atrial fibrosis that correlates
with tissue voltage, presence of AF, and clinical outcomes after catheter
ablation.
PMID- 24931637
TI - Congenital long QT syndrome and concomitant early repolarization pattern: a
benign association or an ICD-worthy one?
PMID- 24931638
TI - Different characteristics and electrophysiological properties between early and
late recurrences after acute successful catheter ablation of idiopathic right
ventricular outflow tract arrhythmias during long-term follow-up.
AB - BACKGROUND: Radiofrequency catheter ablation (RFCA) is an effective therapeutic
strategy in eliminating drug-refractory idiopathic right ventricular outflow
tract ventricular arrhythmias (RVOT VAs). It remains unclear what factors affect
early and late VA recurrences after ablation. OBJECTIVE: The aim of our study was
to elucidate the differences between early and late recurrences after acute
successful RFCA of RVOT VAs in a long-term follow-up. METHODS: A total of 220
patients with acute successful RFCA of RVOT VAs were enrolled. Detailed clinical
characteristics and assessments by noninvasive and invasive electrophysiology
study were explored to predict the overall, early (<=1 year), and late VA (>1
year) recurrences. RESULTS: During a mean follow-up of 34.15 +/- 33.74 months, 45
of 220 patients (20.5%) documented recurrence of RVOT VAs after the initial RFCA.
Of these patients, 26 patients (57.8%) with recurrent VAs showed similar
morphology, and 19 (42.2%) were different. Patients with recurrent VAs were
associated with a higher incidence of hypertension, higher systolic blood
pressure, identification of foci by pace mapping alone, shorter earliest
activation time, more radiofrequency pulses required, and VA originating from the
anterior free wall. Multivariate analysis demonstrated that mapping strategy and
shorter earliest activation time preceding VA were associated with early
recurrences (hazard ratio [HR] 2.26; 95% confidence interval [CI] 1.49-3.42; P <
.001; and HR 0.91; 95% CI 0.85-0.98; P = .008, respectively), whereas
hypertension was associated with late recurrence (HR 3.48; 95% CI 1.34-9.07; P =
.001). CONCLUSION: RFCA is an effective strategy in the elimination of RVOT VAs.
However, early and late recurrences occur commonly. Patients with early and late
VA recurrences demonstrated nonuniform patterns of clinical characteristics and
electrophysiological properties.
PMID- 24931639
TI - Increased primary health care use in the first year after colorectal cancer
diagnosis.
AB - OBJECTIVE: The view that the general practitioner (GP) should be more involved
during the curative treatment of cancer is gaining support. This study aimed to
assess the current role of the GP during treatment of patients with colorectal
cancer (CRC). DESIGN: Historical prospective study, using primary care data from
two cohorts. SETTING: Registration Network Groningen (RNG) consisting of 18 GPs
in three group practices with a dynamic population of about 30,000 patients.
SUBJECTS: Patients who underwent curative treatment for CRC (n = 124) and matched
primary care patients without CRC (reference population; n = 358). MAIN OUTCOME
MEASURES: Primary healthcare use in the period 1998-2009. FINDINGS: Patients with
CRC had higher primary healthcare use in the year after diagnosis compared with
the reference population. After correction for age, gender, and consultation
behaviour, CRC patients had 54% (range 23-92%) more face-to-face contacts, 68%
(range 36-108%) more drug prescriptions, and 35% (range -4-90%) more referrals
compared with reference patients. Patients consulted their GP more often for
reasons related to anaemia, abdominal pain, constipation, skin problems, and
urinary infections. GPs also prescribed more acid reflux drugs, laxatives, anti
anaemic preparations, analgesics, and psycholeptics for CRC patients.
CONCLUSIONS: The GP plays a significant role in the year after CRC diagnosis.
This role may be associated with treatment-related side effects and psychological
problems. Formal guidelines on the involvement of the GP during CRC treatment
might ensure more effective allocation and communication of care between primary
and secondary healthcare services.
PMID- 24931641
TI - Is medicine a profession or a business?
PMID- 24931640
TI - Acute and probable chronic Q fever during anti-TNFalpha and anti B-cell
immunotherapy: a case report.
AB - BACKGROUND: Q fever is caused by the intracellular bacterium Coxiella burnetii.
Initial infection can present as acute Q fever, while a minority of infected
individuals develops chronic Q fever endocarditis or vascular infection months to
years after initial infection. Serology is an important diagnostic tool for both
acute and chronic Q fever. However, since immunosuppressive drugs may hamper the
humoral immune response, diagnosis of Q fever might be blurred when these drugs
are used. CASE PRESENTATION: A 71-year-old Caucasian male was diagnosed with
symptomatic acute Q fever (based on positive C. burnetii PCR followed by
seroconversion) while using anti-tumor necrosis factor-alpha (anti-TNFalpha)
drugs for rheumatoid arthritis (RA). He was treated for two weeks with
moxifloxacin. After 24 months of follow-up, the diagnosis of probable chronic Q
fever was established based on increasing anti-C. burnetii phase I IgG antibody
titres in a immunocompromised patient combined with clinical suspicion of
endocarditis. At the time of chronic Q fever diagnosis, he had been treated with
anti B-cell therapy for 16 months. Antibiotic therapy consisting of 1.5 years
doxycycline and hydroxychloroquine was started and successfully completed and no
signs of relapse were seen after more than one year of follow-up. CONCLUSION: The
use of anti-TNFalpha agents for RA in the acute phase of Q fever did not hamper
the C. burnetii-specific serological response as measured by immunofluorescence
assay. However, in the presented case, an intact humoral response did not prevent
progression to probable chronic C. burnetii infection, most likely because
essential cellular immune responses were suppressed during the acute phase of the
infection. Despite the start of anti-B-cell therapy with rituximab after the
acute Q fever episode, an increase in anti-C. burnetii phase I IgG antibodies was
observed, supporting the notion that C. burnetii specific CD20-negative memory B
cells are responsible for this rise in antibody titres.
PMID- 24931642
TI - Treatment of facial telangiectasia with a small spot of intense pulsed light: a
case series of three patients.
AB - Intense pulsed light (IPL) technology has long been used in the treatment of
facial telangiectasia. While the large spot size of traditional IPL devices
offers rapid coverage, it has limitations in terms of visibility and uniform
contact with the skin in contoured areas of the face. The novel IPL used in this
study had a small spot size (6.35 mm) and shorter wavelength (500-635 nm),
allowing the use of high fluence without burning the normal epidermal tissue
surrounding the lesion, thus providing better efficacy. Treatment of facial
telangiectasia using small-spot IPL is effective with a low risk of
dermatological damage, and its uses for medical care are expected to diversify.
PMID- 24931643
TI - Immunoglobulin free light chains are biomarkers of poor prognosis in basal-like
breast cancer and are potential targets in tumor-associated inflammation.
AB - Inflammation is an important component of various cancers and its inflammatory
cells and mediators have been shown to have prognostic potential. Tumor
infiltrating mast cells can promote tumor growth and angiogenesis, but the
mechanism of mast cell activation is unclear. In earlier studies, we demonstrated
that immunoglobulin free light chains (FLC) can trigger mast cells in an antigen
specific manner. Increased expression of FLC was observed within stroma of
various human cancers including those of breast, colon, lung, pancreas, kidney
and skin, and FLC expression co-localized with areas of mast cell infiltration.
In a large cohort of breast cancer patients, FLC expression was shown associated
with basal-like cancers with an aggressive phenotype. Moreover, lambda FLC was
found expressed in areas of inflammatory infiltration and its expression was
significantly associated with poor clinical outcome. Functional importance of
FLCs was shown in a murine B16F10 melanoma model, where inhibition of FLC
mediated mast cell activation strongly reduced tumor growth. Collectively, this
study identifies FLCs as a ligand in the pro-tumorigenic activation of mast
cells. Blocking this pathway may open new avenues for the inhibition of tumor
growth, while immunohistochemical staining of FLC may be helpful in the diagnosis
and prognosis of cancer.
PMID- 24931644
TI - The use of a plastic guide improves the safety and reduces the duration of
endotracheal intubation in the pig.
AB - The successful endotracheal intubation of pigs using the standard orotracheal
method is challenging and technically difficult, because of the pig's oral
anatomy and the presence of excess tissue in the oropharyngeal region. Hence, the
operator, who is usually an anesthetist, requires extensive training in order to
successfully perform the procedure in pigs. In this report, we describe a safe
and quick method of successful endotracheal intubation in the pig using an 80-cm
blunt-tipped plastic vascular catheter, when the pig is placed in ventral
recumbency. Specifically, the use of this plastic guide wire shortened the
duration of the procedure and reduced the risks of the procedure. Since the use
of the guide wire also improves the ease of the procedure, its use will also
enable inexperienced operators to perform successful first-time endotracheal
intubation of pigs without causing injury.
PMID- 24931645
TI - Preliminary study characterizing the use of sitagliptin for glycemic control in
healthy Beagle dogs with normal gluco-homeostasis.
AB - Sitagliptin is a dipeptidyl peptidase-4 inhibitor aimed at treating Type 2
diabetes mellitus (T2DM) and T1DM, by increasing blood levels of Glucagon-like
peptide 1 (GLP-1) and insulin. The objective of this preliminary study is to
characterize Sitagliptin's ability for glycemic control, in healthy dogs under an
oral glucose tolerance test (OGTT) environment. Overall, Sitagliptin did not
result in any significant changes to temporal glucose and insulin concentrations.
However, a ~55% increase in median total GLP-1 AUC0-120 min was observed, as
compared to baseline control in healthy dogs (n=5), thus indicating a similar
mode of action of Sitagliptin between healthy dogs and humans. Future studies to
validate the use of Sitagliptin with dogs suffering from insulin independent
diabetes are warranted.
PMID- 24931646
TI - The relationship between clinicopathological features and expression of
epithelial and mesenchymal markers in spontaneous canine mammary gland tumors.
AB - It is known that epithelial mesenchymal transition (EMT) contributes to the
acquisition of malignant property in human cancers. However, the role of EMT in
canine tumors remains to be elucidated. To evaluate the correlation between
expression levels of protein markers involved in EMT and clinicopathological
characteristics in canine mammary gland tumors, immunohistochemistry using
antibodies against ZO-1, E-cadherin, vimentin, N-cadherin and fibronectin was
performed on 119 clinical tissue samples. Consequently, loss of ZO-1 and E
cadherin, and gain of vimentin and N-cadherin were more frequently observed in
malignant tumors than in benign tumors. However, there was no correlation among
expression of these molecules. Univariate and multivariate analysis identified
that loss of E-cadherin independently had a low one-year survival rate (adjusted
odds ratio: 2.3, P=0.02). These results suggested that EMT might relate to
acquisition of malignancy, and additionally, E-cadherin was strongly correlated
with malignant behavior in canine mammary gland tumors.
PMID- 24931649
TI - PIV and CFD studies on analyzing intragastric flow phenomena induced by
peristalsis using a human gastric flow simulator.
AB - This study quantitatively analyzed the flow phenomena in model gastric contents
induced by peristalsis using a human gastric flow simulator (GFS). Major
functions of the GFS include gastric peristalsis simulation by controlled
deformation of rubber walls and direct observation of inner flow through parallel
transparent windows. For liquid gastric contents (water and starch syrup
solutions), retropulsive flow against the direction of peristalsis was observed
using both particle image velocimetry (PIV) and computational fluid dynamics
(CFD). The maximum flow velocity was obtained in the region occluded by
peristalsis. The maximum value was 9 mm s(-1) when the standard value of
peristalsis speed in healthy adults (UACW = 2.5 mm s(-1)) was applied. The
intragastric flow-field was laminar with the maximum Reynolds number (Re = 125).
The viscosity of liquid gastric contents hardly affected the maximum flow
velocity in the applied range of this study (1 to 100 mPa s). These PIV results
agreed well with the CFD results. The maximum shear rate in the liquid gastric
contents was below 20 s(-1) at UACW = 2.5 mm s(-1). We also measured the flow
field in solid-liquid gastric contents containing model solid food particles
(plastic beads). The direction of velocity vectors was influenced by the presence
of the model solid food particle surface. The maximum flow velocity near the
model solid food particles ranged from 8 to 10 mm s(-1) at UACW = 2.5 mm s(-1).
The maximum shear rate around the model solid food particles was low, with a
value of up to 20 s(-1).
PMID- 24931648
TI - QRS, ST and T changes of acute transmural myocardial ischemia: overview
editorial.
PMID- 24931647
TI - Levamisole enhances immunity in ducklings vaccinated against Riemerella
anatipestifer.
AB - Oil-adjuvant-inactivated vaccine is one of the most cost-effective vaccines used
to protect ducklings against RA infection; however, it does not provide complete
protection in very young ducklings with immature immune systems. In the current
study, LMS was used as an immunopotentiator to improve the immune system in
ducklings. Serum immunoglobulin (Ig)G titers and the secretions of both Th1-type
(IFN-gamma and IL-2) and Th2-type (IL-4 and IL-10) cytokines were higher in
ducklings that had been vaccinated with LMS. In addition, a significantly higher
T-lymphocyte proliferation rate was obtained with the addition of LMS.
Furthermore, all of the ducklings vaccinated with LMS were protected against RA
on the 9th day post-vaccination, whereas only 69.2% of the ducklings were
protected in the group that did not receive LMS. These results suggest that LMS
might be a useful adjuvant to enhance the immune response of ducklings. The use
of LMS may also alleviate local injection lesions, caused by the oil-emulsion
vaccine, by reducing the dose of the vaccine.
PMID- 24931651
TI - A unified picture of adsorption on transition metals through different atoms.
AB - A key issue in catalyst design is understanding how adsorption energies of
surface intermediates vary across both different surfaces and various types of
adsorbing atoms. In this work, we examine trends in adsorption energies of a wide
variety of adsorbates that attach to transition metal surfaces through different
atoms (H, C, N, O, F, S, etc.). All adsorption energies, as calculated by density
functional theory, have nearly identical dependence on the metal bands (the d
band center and the number of p electrons) and the adsorbates' highest occupied
molecular orbital (HOMO) energies. However, the dependence on the adsorbate
surface coupling and the d-band filling varies with the energy of the HOMO.
Adsorbates with low HOMOs experience a higher level of Pauli repulsion than those
with higher HOMOs. This leads to a classification of adsorbates into two groups,
where adsorption energies in each group correlate. Even across the groups,
adsorbates with similar HOMO energies are likely to have correlated adsorption
energies.
PMID- 24931650
TI - Bilateral papillomacular retinoschisis and macular detachment accompanied by
focal lamina cribrosa defect in glaucomatous eyes.
AB - PURPOSE: We report here a patient with bilateral papillomacular retinoschisis
with an enlarged glaucomatous optic nerve head cup and a focal lamina cribrosa
defect, the findings of our clinical investigations of this case, and the chosen
treatment and outcome. DESIGN: This is an observational case report. METHODS:
Clinical examinations were performed using simultaneous confocal scanning laser
ophthalmoscopy and optical coherence tomography (OCT). The patient was treated by
pars plana vitrectomy (PPV). RESULTS: The left eye had a macular detachment with
a presumed acquired pit of the optic nerve, while the right eye did not have an
obvious optic nerve pit. Enhanced depth imaging OCT showed focal lamina cribrosa
defects in both eyes. PPV was performed on the left eye, which resulted in a re
attachment of the macula and improvement of the visual acuity. CONCLUSIONS: Our
findings suggest that the pathological changes were most likely due to focal
lamina cribrosa defects in both glaucomatous eyes. This type of maculopathy can
be successfully treated with PPV.
PMID- 24931652
TI - Learning style impact on knowledge gains in human patient simulation.
AB - INTRODUCTION: Human patient simulation (HPS) is a widely used method of teaching
in nursing education. While it is believed that a student's learning style
impacts knowledge gains in HPS, there is little evidence to support this. This
study sought to determine the impact of learning style on knowledge gains after a
heart failure (HF) simulation experience in pre-licensure nursing students.
METHODS: A convenience sample of four cohorts of prelicensure nursing students
(n=161) were recruited from three Baccalaureate Schools of Nursing at the same
point in their curriculum (age 25.7+/-6.6 years; gender=85.5% female) and
participated in HPS using a HF simulation on a high-fidelity manikin. Learning
style was assessed by the Kolb Learning Style Inventory (LSI) and pre- and post
HPS knowledge measured by parallel, validated, knowledge tests. The LSI
identifies 4 learning styles, (Assimilating Diverging, Accommodating, and
Converging). In some cases, learners present a balanced learning profile-an
emphasis of all four equally. Statistical analysis consisted of t-tests and
ANOVA. RESULTS: HF knowledge scores post-HPS compared to pre-HPS scores revealed
a mean improvement of 7 points (p<0.001) showing evidence of learning. Within
group score increases between the pre-test and post-test were seen for the
Assimilating (66.68+/-20.87 to 83.35+/-12.59; p=0.07), Diverging (61.95+/-11.08
to 69.86+/-12.33; p<0.01) and balanced profiles (64.4+/-12.45 to 71.8+/-10.14;
p<0.01), but not for Converging or Accommodating profiles (73% of sample). Post
hoc paired t-tests revealed a large effect size for the Assimilators (0.91) and
moderate effect sizes for both the Divergers and balanced profiles (0.67 and
0.65, respectively). CONCLUSION: These findings confirm that knowledge gains
occur with HPS and provide evidence that HPS is an effective teaching methodology
for nursing students identifying with most types of learning styles.
PMID- 24931653
TI - Editorial.
PMID- 24931654
TI - Attitudes of patients with schizophrenia to psychiatric research.
AB - Objective. To examine how patients with schizophrenia spectrum disorders view
psychiatric research and what influences their readiness to participate. Methods.
A sample of 83 patients with schizophrenia spectrum disorders was examined using
a specific self-report questionnaire designed for the purpose of the study.
Results. Most patients (97%) approved at least "a little" of psychiatric research
in general. There was a tendency to approve of psychosocial rather than
biological research subjects (e.g., research on the role of traumatic life events
was approved by 95% of patients as compared to 72% in the case of genetics and
76% in the case of medication studies). Reasons to participate were mainly
altruistic, only a minority found that monetary incentives were important.
Another important reason was to improve individual chances of recovery. A large
majority (95%) found it important to get sufficient information prior to the
start but also to receive the results of the study. Conclusion. Patients'
capability of critically appraising psychiatric research as well as their role in
the research process should inform future research to ensure a more cooperative
practice.
PMID- 24931655
TI - Clinical manifestations of mental disorders among Sesotho speakers.
AB - Objective. The goal of this study was to compare four Sesotho-speaking clinical
groups in South Africa concerning the manifestation of mental disorders. Methods.
The participants were Sesotho speakers suffering from major depressive disorder,
schizophrenia, anxiety disorders and substance abuse. The participants (N=407)
were assessed and diagnosed by a multi-professional team. A clinical interview
was then conducted with each participant and the symptomatology compared with the
DSM-IV-TR criteria. Results. Differences among the four clinical groups were
found regarding age, gender, marital status, substance abuse and aggressive
behavior. Although the symptomatology of all four groups overlapped with that of
their counterparts in Western cultures, some differences were noted. For example,
delusions and hallucinations seem to be more prevalent in the Sesotho speakers
with major depressive disorder and anxiety disorders than in Westernized groups.
Traditional medicine remains the first treatment of choice for a significant
number of the participants. It is recommended that traditional medicine should be
incorporated into the national health system. Conclusion. In multicultural
societies such as South Africa, further research regarding cultural differences
in the clinical manifestation of mental disorders is urgently needed in order to
make the necessary provision for cultural uniqueness.
PMID- 24931656
TI - Effects of amisulpride on the cognitive function of patients with schizophrenia
who switched from risperidone.
AB - Objectives. The aims of this 13-week study were to examine the efficacy and
safety of amisulpride, and effects on cognitive function in patients with
schizophrenia after they switched from risperidone. Methods. Twenty-three
patients with schizophrenia whose antipsychotic was switched from risperidone to
amisulpride were recruited. The efficacy, safety, and cognitive function were
assessed. Results. Significant improvements were noted in the PANSS, CGI-S, and
MADRS. The prolactin level, but not any of the remaining laboratory variables,
increased significantly. The cognitive function improved significantly,
particularly in memory subtests. Conclusions. Switching antipsychotic from
risperidone to amisulpride in schizophrenia might have significantly improved not
only the efficacy, but also various domains of cognitive function. However,
hyperprolactinemia existed and was sometimes even worse.
PMID- 24931657
TI - Awareness of the metabolic syndrome in patients with bipolar disorder: A
comparison of US and European psychiatrists.
AB - Introduction. The metabolic syndrome is a relatively new concept still being
debated in the US and Europe; little is known about how it is factored into
psychiatric treatment decisions. Method. Practicing psychiatrists in the US
(n=500) and five European countries (UK, France, Italy, Germany, Spain; n=718)
participated in brief online surveys in 2005 and 2006. Results. US psychiatrists
were more likely than EU psychiatrists surveyed to view metabolic syndrome as a
significant health risk; US respondents reported significantly more bipolar
patients who were overweight or obese, but similar percentages of bipolar
patients who met criteria for the metabolic syndrome. More US than EU
psychiatrists reported monitoring metabolic parameters before and during
treatment, and generally were more concerned about bipolar medication adverse
effects (AEs). Significantly more US psychiatrists indicated that they were
extremely or very concerned about weight gain as an AE. Conclusions. For most
surveyed US and EU psychiatrists, metabolic health is an important concern in the
treatment of bipolar disorder. US psychiatrists reported more often monitoring
metabolic parameters and adjusting treatment if abnormalities become evident, but
practices are evolving in the US and EU.
PMID- 24931658
TI - Effect of risperidone on serum lipids and cerebrovascular mortality among elderly
dementia patients with associated behavioral disturbances.
AB - Background. Concern exists about safety of risperidone in glucose and lipid
metabolism as well as cerebrovascular mortality. Our aim is to evaluate the
safety of low-dose risperidone in elderly dementia patients with associated
behavioral disturbances on glucose, serum lipids and cerebrovascular mortality.
Material and methods. Thirty-one patients entered the study. Fasting glucose,
total cholesterol, LDL, HDL, BMI, waist circumference, and triglycerides were
measured at baseline and after 3 months. Mortality was reported after 6 months of
starting the study. Risk factors for cerebrovascular disease were reported. A
control group with 30 subjects was included. Results. Eighteen women and 13 men
were included. Mean age was 80.6 years. After analyzing the different variables
no significant differences between baseline and after 3 months of follow-up were
found. During the study seven (22.58%) patients died, one from stroke. The most
frequent associated cerebrovascular risk factors were smoking history, valvular
heart disease and atrial fibrillation. Conclusion. In our study, low-dose
risperidone administered in patients with behavioral symptoms associated dementia
does not affect significantly the lipid profile, fasting glucose, BMI or waist
circumference and is not associated with an increased risk for cerebrovascular
mortality.
PMID- 24931659
TI - Olanzapine in the treatment of depression with psychotic features: A prospective
open-label study.
AB - Objective. Depression with psychotic features is a severe subtype of major
depression associated with the presence of delusions, hallucinations and specific
neurobiological features. Despite clinical consensus and guideline
recommendations, data comparing the efficacy of combining antipsychotics with
antidepressants compared to antidepressants alone remain inconclusive. The aim of
the study was to investigate effectiveness and tolerability of the atypical
antipsychotic olanzapine in acute depression with psychotic features. Methods.
Seventeen inpatients with major depressive disorder with psychosis (MDDp) were
treated with a combination of an antidepressant and olanzapine for 6 weeks in a
prospective open-label study. Depressive and psychotic symptoms, extrapyramidal
and general side effects were assessed every 2 weeks. Sixteen patients were
eligible for final analysis. Results. The Brief Psychiatric Rating Scale (BPRS)
showed a 30% symptom reduction after week 2, a 45% symptom reduction after week 4
and no considerable improvement thereafter. Depressive symptoms (Bech-Rafaelsen
Melancholia Scale, BRMS) receded by 37% after week 2 and 50% after week 4. No
extrapyramidal side effects occurred. Conclusion. Olanzapine is effective and
tolerable in combination with an antidepressant in an MDDp inpatient sample. The
results concur with data supporting good efficacy in negative and depressive
symptoms of patients with schizophrenic and schizoaffective diseases.
PMID- 24931660
TI - The use of academic detailing to improve evidence based prescribing of
risperidone long acting injection.
AB - Objective. It takes 6 weeks for plasma levels of risperidone long-acting
injection (RLAI) to reach steady state, and randomised controlled trials
demonstrate a flat dose-response curve. In clinical practice, the dose of RLAI is
often increased rapidly at the start of treatment and many patients receive a
dose above 25 mg/2 weeks. We sought to understand why and to use academic
detailing as a catalyst for change. Method. (1) Semi-structured interview of and
academic detailing visit to psychiatrists. (2) Number of pharmacy issues or each
strength of RLAI issues before and after the academic detailing visit. Results.
Understanding of the pharmacokinetics of RLAI and the flat dose-response curve
were poor. After a single visit from an academic detailer, the proportion of 50
mg doses issued decreased from 44 to 31%. Conclusion. Academic detailing was
effective in changing prescribing practice; patients are likely to benefit
through receiving treatment that has a better risk-benefit ratio, and the
healthcare organization is likely to benefit, in terms of more cost-effective
prescribing.
PMID- 24931661
TI - Long-term, naturalistic treatment with olanzapine, risperidone, quetiapine, or
haloperidol monotherapy: 24-month results from the Intercontinental Schizophrenia
Outpatient Health Outcomes (IC-SOHO) study.
AB - Objective. To compare the effectiveness of olanzapine, risperidone, quetiapine,
or haloperidol monotherapy in patients with schizophrenia who were treated in
routine clinical practice settings for a period of 2 years. The incidence and
persistence of adverse events encountered during long-term therapy are also
reported. Method. Outpatients with schizophrenia who entered this 3-year,
prospective, observational study were classified according to their initially
prescribed antipsychotic monotherapy: olanzapine (n=3222), risperidone (n=1116),
quetiapine (n=189), or haloperidol (n=256). Patients were included in the
analysis for as long as this treatment was maintained. Results. Over 2 years,
olanzapine recipients had significantly (P<=0.001) greater reduction in overall
CGI-S score (and the negative, depressive, and cognitive symptoms domains), lower
incidence of sexual and motor dysfunction, and greater odds of response compared
to risperidone or haloperidol-treated patients. However, olanzapine patients
gained more weight than patients in other treatment groups. The incidence of
motor dysfunction was significantly (P<=0.001) greater in haloperidol-treated
patients, relative to the atypical treatment groups. Conclusion. The results of
this observational study indicate that, in these patients with schizophrenia,
long-term monotherapy with olanzapine may offer benefits over risperidone and
haloperidol, but the potential for weight gain should be considered in the
clinical management of these patients.
PMID- 24931662
TI - The clinical use of plasma clozapine levels in a maximum security setting.
AB - Background. Research suggests a correlation between clozapine dose, plasma level
and therapeutic response. Plasma clozapine levels may, therefore, be useful in
practice. Little evidence exists, however, on the indications for, and outcome
of, levels being undertaken or their use in maximum security settings. Objective.
To determine if plasma clozapine levels are useful in clinical practice by
analysing their use at the maximum-security State Hospital, Carstairs. Methods.
All plasma clozapine levels (until March 2004) undertaken at the State Hospital,
clinical indication and outcome were analysed by retrospective case note
analysis. These results were compared with the published literature. Results. A
total of 140 plasma clozapine levels were analysed. The average level was 0.61
mg/l and dose was 622.9 mg/day. The indications for and consequences of levels
are demonstrated. A positive correlation of 0.217 was calculated. Conclusion.
This paper shows that plasma clozapine levels are most useful in managing side
effects and suspected non-compliance. Plasma clozapine levels are, therefore,
useful in clinical practice.
PMID- 24931663
TI - Improving ECT practice with a care pathway: hits and misses.
AB - Objective. To evaluate the effectiveness of a care pathway for the use of
electroconvulsive therapy (ECT) in a UK psychiatric inpatient unit. Methods. A
completed clinical audit cycle of the care pathway and variance from it. Results.
Sixty courses of treatment were reviewed. All were given for severe depressive
disorder. Consent was recorded for all but one course. Clinical assessments were
completed for 96% during and 50% after treatment. Conclusions. Use of a care
pathway enhanced aspects of the clinical practice of ECT, although the overall
effect was inconsistent. ECT was not used to treat schizophrenia. Maintenance ECT
continues to be used despite the recent NICE guidance on this subject. The care
pathway ensured regular clinical assessment of patients during their courses of
ECT.
PMID- 24931664
TI - Effectiveness of a structured diet program in antipsychotic-induced weight gain
in patients with schizophrenia.
AB - Objective.The aim of this study was to evaluate the effectiveness of a structured
diet program in weight loss in patients with schizophrenia. Methods. A total of
38 outpatients diagnosed with schizophrenia according to DSM-IV and who had
complaints of weight gain during treatment with various antipsychotic drugs were
invited to participate in a 3-month structured diet program. Thirty-two patients
and another 40 patients were included as the control group. At the beginning of
the diet program, the patients were given a form in order to evaluate their
eating habits, and blood samples were taken to measure plasma lipid profile, and
fasting blood glucose (FBG) level. Patients' baseline weight, body mass index
(BMI), and basal metabolism rate (BMR) were recorded. Results. Thirty-two
patients with schizophrenia, who attended a 3-month structured diet program had
mean weight loss of 6.19 kg, whereas patients in the control group gained 1.6 kg.
Conclusion. Our findings show that a diet program is effective in managing
antipsychotic-induced weight gain. The degree of weight loss seems to be
correlated with the duration in which the patient is on the diet program.
However; younger patients had less benefit from the diet program.
PMID- 24931665
TI - Concepts and controversies of juvenile myoclonic epilepsy: still an enigmatic
epilepsy.
AB - Juvenile myoclonic epilepsy (JME) is a clinically and genetically heterogenous,
generalized epilepsy syndrome usually starting in adolescence. An age-related,
predominantly frontocortical-subcortical network dysfunction is likely to be the
substrate of bilateral myoclonic seizures occurring at full consciousness within
hours after awakening, which are the clinical hallmark of JME. Although essential
features of JME were recognized by Herpin more than 140 years ago, it is still an
enigmatic epilepsy syndrome in many ways; advanced imaging techniques reveal
multi-focal abnormalities in this paradigmatic generalized epilepsy syndrome;
clinical studies reveal a major role of genetics in etiology, but the underlying
molecular changes are likely to be highly heterogeneous; many JME patients have
psycho-social issues, even though their intelligence is normal; antiepileptic
drugs (AEDs), notably valproic acid, achieve seizure remission in two thirds of
patients, but more patients seem to relapse after stopping AEDs than in any other
epilepsy syndrome. This pessimistic outlook has been challenged in recent
population-based studies and needs to be assessed in randomized AED withdrawal
trials. This review summarizes recent focus neuroimaging, genetic, and behavioral
aspects of JME and re-appraises the entrenched view that remission off AEDs is
exceptionally rare in JME.
PMID- 24931666
TI - Enzymatically induced motion at nano- and micro-scales.
AB - In contrast to adenosine triphosphate (ATP)-dependent motor enzymes, other
enzymes are little-known as "motors" or "pumps", that is, for their ability to
induce motion. The enhanced diffusive movement of enzyme molecules, the self
propulsion of enzyme-based nanomotors, and liquid pumping with enzymatic
micropumps were indeed only recently reported. Enzymatically induced motion can
be achieved in mild conditions and without the use of external fields. It is thus
better suited for use in living systems (from single-cell to whole-body) than
most other ways to achieve motion at small scales. Enzymatically induced motion
is thus not only new but also important. Therefore, the present work reviews the
most significant discoveries in enzymatically induced motion. As we will learn,
freely diffusing enzymes enhance their diffusive movement by nonreciprocal
conformational changes which parallel their catalytic cycles. Meanwhile, enzyme
modified nano- and micro-objects turn chemical energy into kinetic energy through
mechanisms such as bubble recoil propulsion, self-electrophoresis, and self
diffusiophoresis. Enzymatically induced motion of small objects ranges from
enhanced diffusive movement to directed motion at speeds as high as 1 cm s(-1).
In spite of the progress made in understanding how the energy of enzyme reactions
is turned into motion, most enzymatically powered devices remain inefficient and
need improvements before we will witness their application in real world
environments.
PMID- 24931668
TI - p38alpha mitogen-activated kinase mediates cardiomyocyte apoptosis induced by
palmitate.
AB - RATIONALE: The mechanisms underlying lipotoxic/diabetic cardiomyopathy remain
poorly understood. Saturated fatty acid (SFA) levels, elevated in obesity and
type 2 diabetes, induce apoptosis in many cell types including cardiomyocytes.
Signaling pathways, including the p38alpha mitogen-activated kinase (MAPK)
dependent pathway, have been implicated in apoptosis due to a diverse range of
insults. OBJECTIVE: We tested the hypothesis that SFA-induced cardiomyocyte
apoptosis is dependent on p38alpha activation. METHODS AND RESULTS: Human adult
ventricular cardiomyocytes (AC16 cells) were exposed to high physiological levels
of palmitate (PA), a SFA. The apoptotic response was measured using annexin-V by
flow cytometry, and the p38alpha-dependent pathway was evaluated using a p38
inhibitor PD169316, and by p38alpha small interfering RNA (siRNA) knockdown. PA
exposure for 16 h dose-dependently increased apoptosis in AC16 cardiomyocytes
(control: 2.6+/-0.6%, 150 MUM PA: 3.5+/-0.9%, 300 MUM PA: 11.5+/-1.6%, n=4,
p<0.01). PA did not change total p38alpha protein levels, but increased p38alpha
phosphorylation dose-dependently (n=5, p<0.01). PD169316 tended to reduce PA
induced apoptosis (n=4, p=0.05). Specific p38alpha siRNA markedly reduced the
expression of p38alpha but not p38beta (n=3, p<0.0001), and dose-dependently
attenuated PA-induced apoptosis (control siRNA: 7.7+/-1.0%, 300 MUM PA: 34.4+/
5.0%, 300 MUM PA+30 pmol siRNA: 23.7+/-4.4%, 300 MUM PA+60 pmol siRNA: 19.7+/
2.6%, 300 MUM PA+120 pmol siRNA: 17.3+/-2.8%, n=4, p<0.0001). CONCLUSIONS: These
results demonstrate that PA induces p38alpha activation, and reducing p38alpha
expression attenuates PA-induced cardiomyocyte apoptosis. Our results support a
potential mechanism by which high plasma SFA levels through p38alpha activation
may lead to the development of lipotoxic/diabetic cardiomyopathy.
PMID- 24931667
TI - CEACAM1 promotes melanoma cell growth through Sox-2.
AB - The prognostic value of the carcinoembryonic antigen cell adhesion molecule 1
(CEACAM1) in melanoma was demonstrated more than a decade ago as superior to
Breslow score. We have previously shown that intercellular homophilic CEACAM1
interactions protect melanoma cells from lymphocyte-mediated elimination. Here,
we study the direct effects of CEACAM1 on melanoma cell biology. By employing
tissue microarrays and low-passage primary cultures of metastatic melanoma, we
show that CEACAM1 expression gradually increases from nevi to metastatic
specimens, with a strong dominance of the CEACAM1-Long tail splice variant. Using
experimental systems of CEACAM1 knockdown and overexpression of selective
variants or truncation mutants, we prove that only the full-length long tail
variant enhances melanoma cell proliferation in vitro and in vivo. This effect is
not reversed with a CEACAM1-blocking antibody, suggesting that it is not mediated
by intercellular homophilic interactions. Downstream, CEACAM1-Long increases the
expression of Sox-2, which we show to be responsible for the CEACAM1-mediated
enhanced proliferation. Furthermore, analysis of the CEACAM1 promoter reveals two
single-nucleotide polymorphisms (SNPs) that significantly enhance the promoter's
activity compared with the consensus nucleotides. Importantly, case-control
genetic SNP analysis of 134 patients with melanoma and matched healthy donors
show that patients with melanoma do not exhibit the Hardy-Weinberg balance and
that homozygous SNP genotype enhances the hazard ratio to develop melanoma by
35%. These observations shed new mechanistic light on the role of CEACAM1 in
melanoma, forming the basis for development of novel therapeutic and diagnostic
technologies.
PMID- 24931669
TI - Ribozyme-independent replication of a defective hepatitis D virus RNA derived
from hepatitis B/D patients receiving antiviral therapy.
AB - Novel hepatitis D virus (HDV) RNA mutants carrying large fragment deletions were
identified in the serum samples of two hepatitis B/D patients receiving antiviral
therapy. Sequence analysis revealed that the deleted regions encompassed both
ribozyme domains. The mutant persisted in the serum samples for at least 2 and 10
months, respectively in the two patients, raising the question of whether such
mutants could replicate in the absence of ribozyme domains. Thirty anti-HDV
antibody-positive serum samples derived from 17 patients receiving antiviral
therapy were submitted for RT-PCR detection of HDV RNA deletion mutants. Large
fragment HDV RNA deletions were found in 4 patients. Of them, two had liver
biopsy samples available. Northern blot analysis revealed high molecular weight
HDV RNA replication intermediates, genomic and anti-genomic senses, in the liver
tissues. Transfection of an in vitro transcribed HDV RNA deletion mutant (1.28
kb) into Huh7 and HepG2 cells also resulted in generation of high molecular
weight HDV RNA species in the hepatoma cells (>6.5 kb) with secretion of a 6.5 kb
HDV RNA species into the medium. In conclusion, we discovered novel large
fragment deletion mutants of HDV RNA in hepatitis B/D patients receiving
antiviral therapy. Such mutants did not contain ribozyme domains but could
replicate in the liver cells to generate high molecular weight but not unit
length HDV RNA.
PMID- 24931671
TI - Two novel mutations in COII and tRNA(His) mitochondrial genes in
asthenozoospermic infertiles men.
AB - In this study we performed a systematic sequence analysis of 7 mitochondrial
genes (cytochrome oxidase I, cytochrome oxidase II, cytochrome oxidase III,
adenosine triphosphate synthase6, ATP synthase8, cytochrome b and tRNA(His)) in
64 infertile men suffering from asthenospermia (n=31) in comparison to
normospermic infertile men (n=33) from Tunisian population. A total of 92
nucleotide substitutions in sperm mitochondrial DNA were found; 88 of them were
previously identified and reported in the human mitochondrial DNA database
(www.mitomap.org) and 4 were novel. We also detected in 4 asthenospermic patients
a double novels mutations, the first was found in COXII gene (m.8021 G/A) that
was absent in normospermic infertile men. This mutation substituting the
Isoleucine at position 146 to Valine in a conserved amino acid in the
transmembrane functional domain of the protein. And the second was detected in
the tRNA(His) gene (m.12187C>A) this mutation was found in homoplasmic state and
was absent in normospermic patients. It was conserved throughout evolution and
affects a wobble adenine in the T-loop region at the 54 codon of mitochondrial
tRNA(His) .
PMID- 24931672
TI - 14,15-EET promotes mitochondrial biogenesis and protects cortical neurons against
oxygen/glucose deprivation-induced apoptosis.
AB - 14,15-Epoxyeicosatrienoic acid (14,15-EET), a metabolite of arachidonic acid, is
enriched in the brain cortex and exerts protective effect against neuronal
apoptosis induced by ischemia/reperfusion. Although apoptosis has been well
recognized to be closely associated with mitochondrial biogenesis and function,
it is still unclear whether the neuroprotective effect of 14,15-EET is mediated
by promotion of mitochondrial biogenesis and function in cortical neurons under
the condition of oxygen-glucose deprivation (OGD). In this study, we found that
14,15-EET improved cell viability and inhibited apoptosis of cortical neurons.
14,15-EET significantly increased the mitochondrial mass and the ratio of
mitochondrial DNA to nuclear DNA. Key makers of mitochondrial biogenesis,
peroxisome proliferator activator receptor gamma-coactivator 1 alpha (PGC
1alpha), nuclear respiratory factor 1 (NRF-1) and mitochondrial transcription
factor A (TFAM), were elevated at both mRNA and protein levels in the cortical
neurons treated with 14,15-EET. Moreover, 14,15-EET markedly attenuated the
decline of mitochondrial membrane potential, reduced ROS, while increased ATP
synthesis. Knockdown of cAMP-response element binding protein (CREB) by siRNA
blunted the up-regulation of PGC-1alpha and NRF-1 stimulated by 14,15-EET, and
consequently abolished the neuroprotective effect of 14,15-EET. Our results
indicate that 14,15-EET protects neurons from OGD-induced apoptosis by promoting
mitochondrial biogenesis and function through CREB mediated activation of PGC
1alpha and NRF-1.
PMID- 24931670
TI - Mannose metabolism: more than meets the eye.
AB - Mannose is a simple sugar with a complex life. It is a welcome therapy for
genetic and acquired human diseases, but it kills honeybees and blinds baby mice.
It could cause diabetic complications. Mannose chemistry, metabolism, and
metabolomics in cells, tissues and mammals can help explain these multiple
systemic effects. Mannose has good, bad or ugly outcomes depending on its steady
state levels and metabolic flux. This review describes the role of mannose at
cellular level and its impact on organisms.
PMID- 24931673
TI - Determination of personalized diabetes treatment plans using a two-delay model.
AB - Diabetes cases worldwide have risen steadily over the past few decades, lending
urgency to the search for more efficient, effective, and personalized ways to
treat the disease. Current treatment strategies, however, may fail to maintain
oscillations in blood glucose concentration that naturally occur multiple times
per day, an important element of normal human physiology. Building upon recent
successes in mathematical modeling of the human glucose-insulin system, we show
that both food intake and insulin therapy likely demand increasingly precise
control over insulin sensitivity if oscillations at a healthy average glucose
concentration are to be maintained. We then model and describe personalized
treatment options for patients with diabetes that maintain these oscillations. We
predict that for a person with type II diabetes, both blood glucose levels can be
controlled and healthy oscillations maintained when the patient gets an hour of
daily exercise and is placed on a combination of Metformin and sulfonylurea
drugs. We note that insulin therapy and an additional hour of exercise will
reduce the patient's need for sulfonylureas. Results of a modeling analysis
suggest that, with constant nutrition and controlled exercise, the blood glucose
levels of a person with type I diabetes can be properly controlled with insulin
infusion between 0.45 and 0.7MUU/mlmin. Lastly, we note that all suggested
strategies rely on existing clinical techniques and established treatment
measures, and so could potentially be of immediate use in the design of an
artificial pancreas.
PMID- 24931674
TI - A survey on computational approaches to identifying disease biomarkers based on
molecular networks.
AB - The disease biomarkers can help make accurate diagnosis and therefore give
appropriate interventions. In the past years, the accumulation of various kinds
of 'omics' data, e.g. genomics and transcriptomics, makes it possible to identify
disease biomarkers in a more efficient way. In particular, the molecular networks
that describe the functional relationships among molecules enable the
identification of disease biomarkers from a systematic perspective. In this
paper, we surveyed the recent progress on the computational approaches that have
been developed to identify disease biomarkers based on molecular networks. In
addition, we introduced the popular resources about human interactomes and
regulatomes as well as human diseasomes, whose availability makes it possible to
predict the disease biomarkers with the utility of networks.
PMID- 24931676
TI - EdgeMarker: Identifying differentially correlated molecule pairs as edge
biomarkers.
AB - Biomarker discovery is one of the major topics in translational biomedicine study
based on high-throughput biological data analysis. Traditional methods focus on
differentially expressed genes (or node-biomarkers) but ignore non-differentials.
However, non-differentially expressed genes also play important roles in the
biological processes and the rewired interactions / edges among non-differential
genes may reveal fundamental difference between variable conditions. Therefore,
it is necessary to identify relevant interactions or gene pairs to elucidate the
molecular mechanism of complex biological phenomena, e.g. distinguish different
phenotypes. To address this issue, we proposed a new method based on a new vector
representation of an edge, EdgeMarker, to (1) identify edge-biomarkers, i.e. the
differentially correlated molecular pairs (e.g., gene pairs) with optimal
classification ability, and (2) transform the 'node expression' data in node
space into the 'edge expression' data in edge space and classify the phenotype of
each single sample in edge space, which generally cannot be achieved in
traditional methods. Unlike the traditional methods which analyze the node space
(i.e. molecular expression space) or higher dimensional space using arbitrary
kernel methods, this study provides a mathematical model to explore the edge
space (i.e. correlation space) for classification of a single sample. In this
work, we show that the identified edge-biomarkers indeed have strong ability in
distinguishing normal and disease samples even when all involved genes are not
significantly differentially expressed. The analysis of human cholangiocarcinoma
dataset and diabetes dataset also suggested that the identified edge-biomarkers
may cast new biological insights into the pathogenesis of human complex diseases.
PMID- 24931675
TI - Derivation and experimental comparison of cell-division probability densities.
AB - Experiments have shown that, even in a homogeneous population of cells, the
distribution of division times is highly variable. In addition, a homogeneous
population of cells will exhibit a heterogeneous response to drug therapy. We
present a simple stochastic model of the cell cycle as a multistep stochastic
process. The model, which is based on our conception of the cell cycle
checkpoint, is used to derive an analytical expression for the distribution of
cell cycle times. We demonstrate that this distribution provides an accurate
representation of cell cycle time variability and show how the model relates drug
induced changes in basic biological parameters to variability in response to drug
treatment.
PMID- 24931677
TI - Evolution of the first genetic cells and the universal genetic code: a hypothesis
based on macromolecular coevolution of RNA and proteins.
AB - A qualitative hypothesis based on coevolution of protein and nucleic acid
macromolecules was developed to explain the evolution of the first genetic cells,
from the likely organic chemical-rich environment of early earth, through to the
Last Universal Common Ancestor (LUCA). The evolution of the first genetic cell
was divided into three phases, proto-genetic cells I, II and III, and the
transition to each milestone is described, based on development of chemical cross
catalysis, bio-cross-catalysis, and the universal genetic code, respectively.
Selection of macromolecular properties of both peptides and nucleic acids, in
response to environmental factors, was likely to be a key aspect of early
evolution. The development of hereditable nucleic acids with various key
functions; translation, transcription and replication, is described. These
functions are envisaged to have coevolved with protein enzymes, from simple
organic precursors. Genetically heritable nucleotides may have developed after
the local earth environment had cooled below 63 degrees C. Around this
temperature G-C bases would have been preferentially utilized for nucleotide
synthesis. Under these conditions RNA type nucleotides were then likely selected
from a range of different types of nucleotide backbones through template-based
synthesis. Initial development of the genetic coding system was simplified by the
availability of proto-messenger RNA sequences that contained only G and C bases,
and the need to encode only four amino acids. The step-wise addition of further
amino acids to the code was predicted to parallel the growing metabolic
complexity of the proto-genetic cell. On completion of this evolutionary process
the proto-genetic cell is envisaged to have become the LUCA, the last common
ancestor of bacteria, eukaryote and archaea domains. Key issues addressed by the
model include: (a) the transition from non-hereditable random sequences of
peptides and nucleic acids to specific proteins coded by hereditable nucleotide
sequences, (b) the origin of homochiral amino acids and sugars, and (c) the
mutation limits on the sizes of early nucleic acid genomes. The first genome was
limited to a size of about 200 base pairs.
PMID- 24931678
TI - Thank you Pernille!
PMID- 24931680
TI - The World (fizzy drink) Cup 2014.
PMID- 24931681
TI - The UK Medical Innovation Bill: hype and hope.
PMID- 24931683
TI - Can Coca Cola promote physical activity?
PMID- 24931684
TI - Top 15 UK research priorities for preterm birth.
PMID- 24931685
TI - Metabolic mediators of body-mass index and cardiovascular risk.
PMID- 24931686
TI - Metabolic mediators of body-mass index and cardiovascular risk.
PMID- 24931687
TI - Metabolic mediators of body-mass index and cardiovascular risk--authors' reply.
PMID- 24931688
TI - Delirium in elderly people.
PMID- 24931689
TI - Tobacco in China.
PMID- 24931690
TI - Delirium in elderly people--authors'reply.
PMID- 24931691
TI - Dentists should be at the forefront in the fight against sugar.
PMID- 24931692
TI - Severe hypercalcaemia, nephrocalcinosis, and multiple paraffinomas caused by
paraffin oil injections in a young bodybuilder.
PMID- 24931693
TI - Accuracy of cytology in distinguishing adrenocortical tumors from
pheochromocytoma in companion animals.
AB - BACKGROUND: The distinction between adrenocortical tumors and pheochromocytoma
can be challenging using clinical findings, diagnostic imaging and laboratory
tests. Cytology might be a simple, minimally invasive method to reach a correct
diagnosis. OBJECTIVES: The purpose of this study was to assess the accuracy of
cytology in differentiating cortical from medullary tumors of the adrenal glands
in dogs and cats. METHODS: Cytologic key features of adrenocortical tumors and
pheochromocytoma were defined by one reference author. Cytologic specimens from
primary adrenal tumors were submitted to 4 cytopathologists who were asked to
classify the tumors based on the previously defined key features without
knowledge of previous classification. RESULTS: Twenty specimens from
histologically confirmed adrenal tumors (Group 1) and 4 specimens from adrenal
tumors causing adrenal-dependent Cushing's syndrome (Group 2) were evaluated by
the 4 cytopathologists. Accuracy in differentiating cortical from medullary
origin ranged from 90% to 100%, with a Kappa coefficient of agreement between
cytopathologists of 0.95. CONCLUSIONS: The origin of an adrenal tumor can be
easily determined by cytology alone in many cases. However, cytology was not
reliable in distinguishing benign from malignant neoplasia. Additional studies
are needed to assess possible risks and complications associated with fine-needle
biopsy of adrenal tumors in dogs and cats.
PMID- 24931694
TI - Activation of the carbohydrate response element binding protein (ChREBP) in
response to anoxia in the turtle Trachemys scripta elegans.
AB - BACKGROUND: ChREBP (carbohydrate response element binding protein) is a glucose
responsive transcription factor that is known to be an important regulator of
glycolytic and lipogenic genes in response to glucose. We hypothesized that
activation of ChREBP could be relevant to anoxia survival by the anoxia-tolerant
turtle, Trachemys scripta elegans. METHODS: Expression of ChREBP in response to 5
and 20h of anoxia was examined using RT-PCR and Western immunoblotting. In
addition, subcellular localization and DNA-binding activity of ChREBP protein
were assessed and transcript levels of liver pyruvate kinase (LPK), a downstream
gene under ChREBP control were quantified using RT-PCR. RESULTS: ChREBP was
anoxia-responsive in kidney and liver, with transcript levels increasing by 1.2
1.8 fold in response to anoxia and protein levels increasing by 1.8-1.9 fold.
Enhanced nuclear presence under anoxia was also observed in both tissues by 2.2
2.8 fold. A 4.2 fold increase in DNA binding activity of ChREBP was also observed
in liver in response to 5h of anoxia. In addition, transcript levels of LPK
increased by 2.1 fold in response to 5h of anoxia in the liver. CONCLUSIONS: The
results suggest that activation of ChREBP in response to anoxia might be a
crucial factor for anoxia survival in turtle liver by contributing to elevated
glycolytic flux in the initial phases of oxygen limitation. GENERAL SIGNIFICANCE:
This study provides the first demonstration of activation of ChREBP in response
to anoxia in a natural model of anoxia tolerance, further improving our
understanding of the molecular nature of anoxia tolerance.
PMID- 24931695
TI - Stabilization of VEGF G-quadruplex and inhibition of angiogenesis by quindoline
derivatives.
AB - BACKGROUND: Angiogenesis is thought to be important in tumorigenesis and tumor
progress. Vascular endothelial growth factor (VEGF) is a pluripotent cytokine and
angiogenic growth factor that plays crucial roles in embryonic development and
tumor progression. In many types of cancer, VEGF is overexpressed and is
generally associated with tumor progression and survival rate. The
polypurine/polypyrimidine sequence located upstream of the promoter region in the
human VEGF gene can form specific parallel G-quadruplex structures, raising the
possibility for transcriptional control of VEGF through G-quadruplex ligands.
METHODS: PCR stop assay, circular dichroism (CD) spectra, RNA extraction and RT
PCR, enzyme-linked immunosorbent assay (ELISA), luciferase Assays, cell scrape
test, xCELLigence real-time cell analysis (RTCA), and chick embryo
chorioallantoic membrane (CAM) assay. RESULTS AND CONCLUSIONS: We found that
quindoline derivatives can interact with the G-rich DNA sequences of the VEGF
promoter to stabilize this G-quadruplex and suppress the transcription and
expression of the VEGF protein. We also demonstrated that these derivatives
exhibit potential anti-angiogenic activity in chick embryos and antitumor
activity, including the inhibition of cell proliferation and migration. GENERAL
SIGNIFICANCE: Our new findings have significances not only for understanding the
mechanism of the G-quadruplex ligands mediating the VEGF transcription
inhibition, but also for exploring a new anti-tumor strategy to blocking the
transcription of VEGF to inhibit the angiogenesis in cancer cells.
PMID- 24931696
TI - Reflux composition influences the level of NF-kappaB activation and upstream
kinase preference in oesophageal adenocarcinoma cells.
AB - Oesophageal adenocarcinoma (OA) incidence is rising and prognosis is poor.
Understanding the molecular basis of this malignancy is key to finding new
prevention and treatment strategies. Gastroesophageal reflux disease is the
primary cause of OA, usually managed with acid suppression therapy. However, this
often does little to control carcinogenic bile acid reflux. The transcription
factor nuclear factor kappa B (NF-kappaB) plays a key role in the pathogenesis of
OA and its activity is associated with a poor response to chemotherapy, making it
an attractive therapeutic target. We sought to decipher the role of different
bile acids in NF-kappaB activation in oesophageal cell lines using short,
physiologically relevant exposure times. The effect of an acidic or neutral
extracellular pH was investigated concurrently, to mimic in vivo conditions
associated with or without acid suppression. We found that some bile acids
activated NF-kappaB to a greater extent when combined with acid, whereas others
did so in its absence, at neutral pH. The precise composition of an individual's
reflux, coupled with whether they are taking acid suppressants may therefore
dictate the extent of NF-kappaB activation in the oesophagus, and hence the
likelihood of histological progression and chemotherapy success. Regardless of
pH, the kinase inhibitor of kappaB kinase was pivotal in mediating reflux induced
NF-kappaB activation. Its importance was confirmed further as its increased
activation was associated with histological progression in patient samples. We
identified further kinases important in acid or bile induced NF-kappaB signalling
in oesophageal cells, which may provide suitable targets for therapeutic
intervention.
PMID- 24931697
TI - Efficacy and safety of vertebral stenting for painful vertebral compression
fractures in patients with metastatic disease.
AB - BACKGROUND AND PURPOSE: Painful vertebral compression fractures in cancer
patients reduce quality of life and may limit survival. We assessed pain relief,
vertebral height restoration, and kyphosis correction following vertebral
augmentation using a novel expandable titanium stent implant in cancer patients
with painful vertebral compression fractures. MATERIALS AND METHODS: Patients >18
years of age with metastatic disease who presented symptomatic compression
fractures of vertebral bodies T5-L5, with or without a history of osteoporosis,
were included in the study. Back pain at presentation, immediately after
vertebral stenting, and at 1-, 3-, 6-, and 12-month follow-up was estimated using
the visual analog scale (VAS). Vertebral height and local kyphotic angle (alpha
angle) were measured on lateral standing X-ray before and 1-3 months after
stenting. RESULTS: Forty-one cancer patients with painful vertebral compression
fractures underwent vertebral stenting procedures at 55 levels. There was no
perioperative mortality and no significant complication. Median preoperative VAS
was 8.0 (range 8-10), falling to 2.0 immediately postop (range 1-6, P = 0.000)
and 0 at all subsequent follow-up (P <= 0.012). Mean preoperative vertical height
loss was 25.8% (range 0-84.0%) versus a postoperative mean of 18.0% (range 0
66.0%, P = 0.000). Median pre- and postoperative kyphotic angle improved from
8.3 degrees (range 0.2 degrees -54.0 degrees ) to 7.1 degrees (range 0.2
degrees -25.0 degrees , P = 0.000). Wilcoxon signed rank test or student's t
test was used for comparisons. CONCLUSIONS: Vertebral augmentation using a novel
vertebral stenting system provided immediate and enduring pain relief and
improved vertebral height loss and kyphotic angle.
PMID- 24931698
TI - Exceptional symmetric anterior brainstem involvement in leptomeningeal
carcinomatosis.
PMID- 24931699
TI - Mild traumatic brain injury in children: management practices in the acute care
setting.
AB - OBJECTIVE: Accurate diagnosis, treatment and follow up of children suffering mild
traumatic brain injury (MTBI) is important as post-concussive symptoms and long
term disability might occur. This research explored the decisions clinicians make
in their assessment and management of children with MTBI in acute care settings,
and identified barriers and enablers to the delivery of best-practice care.
METHODS: A purposeful sample of 29 clinicians employed in two metropolitan
paediatric EDs and one Urgent Care clinic was surveyed using a vignette-based
questionnaire that also included domains of guideline awareness, attitudes to
MTBI care, use of clinical decision support systems, and knowledge and skills for
practising evidence-based healthcare. RESULTS: Overall, the evaluation and
management of children presenting acutely with MTBI generally followed best
practice guidelines, particularly in relation to identifying intracranial
injuries that might require surgical intervention, observation for potential
deterioration, adequate pain management and the provision of written head injury
advice on discharge. Larger variation emerged in regard to follow-up care and
referral pathways. Potential barriers to best- practice were lack of guideline
awareness, attitudes to MTBI, and lack of time or other priorities. CONCLUSIONS:
Opportunities exist to improve care for children who present in acute care
settings following mild traumatic brain injury. These include having up-to-date
guidelines that are consistent across acute care settings; providing clearer
pathways for referral and follow up; targeting continuing medical education
towards potential complications; and providing computerised decision support so
that assessment and management are conducted systematically.
PMID- 24931700
TI - A series of blue supramolecular polymers with different counterions for polymer
light-emitting diodes.
AB - A series of blue supramolecular polymers with different counterions based on host
guest interactions were developed for polymer light-emitting diodes. It was found
that the counterions play important roles in the resulting materials'
supramolecular interactions as well as the device performance.
PMID- 24931701
TI - Prevalence and predictors of postpartum glucose intolerance in Italian women with
gestational diabetes mellitus.
AB - AIMS: To determine the prevalence of both prediabetes and type 2 diabetes
mellitus (T2DM) by postpartum oral glucose tolerance test (ppOGTT) in Italian
women diagnosed with gestational diabetes mellitus (GDM), and identify antepartum
predictors of glucose intolerance. METHODS: Retrospective study of 454 Caucasian
women that underwent a 75g OGTT between 6 and 12 weeks postpartum in Calabria
(Southern Italy) between 2004 and 2012. Prediabetes and T2DM were diagnosed
according to the American Diabetes Association (ADA) criteria. Data were examined
by univariate analysis and multiple regression analysis. RESULTS: 290 women
(63.9%) were normal, 146 (32.1%) had prediabetes (85 impaired fasting glycemia;
61 impaired glucose tolerance), and 18 (4.0%) had T2DM. Of the continuous
variables, pre-pregnancy body mass index (BMI), age at pregnancy, fasting plasma
glucose (FPG) at gravid OGTT, and week at diagnosis of GDM were associated with
prediabetes and T2DM, whereas the parity was associated with T2DM only. For
categorical traits, pre-pregnancy BMI >= 25 and previous diagnosis of polycystic
ovary syndrome (PCOS) emerged as the strongest predictors of prediabetes whereas
the strongest predictors of T2DM were FPG >= 100 mg/dl (5.6 mmol/l) at GDM
diagnosis and pre-pregnancy BMI >= 25. Moreover, FPG at GDM screening was a good
predictor of T2DM after receiver-operating-characteristic analysis. CONCLUSIONS:
Our findings confirm the high prevalence of glucose intolerance in the early
postpartum period in women with previous GDM. PCOS emerges as a new strong
antepartum predictor of prediabetes.
PMID- 24931702
TI - Relationships between adipokines, biomarkers of endothelial function and
inflammation and risk of type 2 diabetes.
AB - AIMS: Identification of novel biomarkers of diabetes risk help to understand
mechanisms of pathogenesis and improve risk prediction. Our objectives were to
examine the relationships between adipokines, biomarkers of inflammation and
endothelial function and development of type 2 diabetes; and to assess the
relevance of including these biomarkers in type 2 diabetes prediction risk
models. METHODS: 1345 subjects from the SU.VI.MAX study, who were free of
diabetes at baseline and who completed 13 years of follow-up were included in the
present analyses. Odds ratios (OR) with 95% confidence intervals (95% CI) of
incident type 2 diabetes associated with a 1-SD increase in adiponectin, leptin,
C-reactive protein (CRP), soluble intracellular adhesion modecule-1 (sICAM-1),
soluble vascular cell adhesion molecule 1 (sVCAM-1), E-selectin and monocyte
chemoattractant protein-1 (MCP-1) were estimated. Predicitive performances of
models including biomarkers were assessed with area under the receiver operating
curves (AUC) and integrated discrimination improvement (IDI) statistics. RESULTS:
82 subjects developed type 2 diabetes during follow-up. The risk of developing
type 2 diabetes increased with increasing concentrations of leptin (2.04
(1.28;3.26)), sICAM-1 (1.39 (1.08;1.78)) and sVCAM-1 (1.29 (1.01;1.64)). Type 2
diabetes associations with leptin remained significant after adjusting for a
combination of biomarkers. Models adjusted for novel biomarkers had improved
performance compared to models adjusted for classical risk factors as assessed by
IDI, but not by AUC. CONCLUSIONS: Adipokines, biomarkers of inflammation and
endothelial function were significantly associated to onset of type 2 diabetes.
However their inclusion in predictive scores is not supported by the present
study.
PMID- 24931703
TI - Editorial comment.
PMID- 24931706
TI - Interaction of early life stress and corticotropin-releasing hormone receptor
gene: effects on working memory.
AB - BACKGROUND: Early life stress (ELS) experience is associated with persisting
working memory (WM) deficits; changes to the corticotropin-releasing hormone
(CRH) system; and structural, functional, and epigenetic changes in the
hippocampus. Single nucleotide polymorphisms in the CRH receptor 1 (CRHR1) gene
interact with ELS experience to predict depression as well as neuroendocrine and
neuronal reactivity. Although these findings indicate that vulnerable genotypes
might also show impaired WM performance after ELS experience, no previous study
investigated whether there is an interaction effect of CRHR1 polymorphisms and
ELS experience on WM performance. METHODS: Subjects (N = 451) were genotyped for
rs110402 and rs242924 within the CRHR1 gene. We used an n-back task to
investigate the hypothesis that WM performance in healthy subjects may be subtly
influenced by functional differences in CRHR1 and represents an early marker of
increased vulnerability after exposure to ELS. RESULTS: Exposure to ELS had a
particularly strong impact on WM performance in subjects with the common
homozygous GG GG genotype, whereas only severe exposure to ELS interfered with WM
accuracy in AT carriers. CONCLUSIONS: Our data indicate that specific CRHR1
polymorphisms moderate the effect of ELS experience on WM performance. Exposure
to ELS in combination with a vulnerable genotype results in subtle memory
deficits in adulthood, which might develop before psychopathological symptoms.
PMID- 24931705
TI - Restoring mood balance in depression: ketamine reverses deficit in dopamine
dependent synaptic plasticity.
AB - BACKGROUND: One of the most novel and exciting findings in major depressive
disorder research over the last decade is the discovery of the fast-acting and
long-lasting antidepressant effects of ketamine. Indeed, the therapeutic effects
of classic antidepressants, such as selective serotonin reuptake inhibitors,
require a month or longer to be expressed, with about a third of major depressive
disorder patients resistant to treatment. Clinical studies have shown that a low
dose of ketamine exhibits fast-acting relatively sustained antidepressant action,
even in treatment-resistant patients. However, the mechanisms of ketamine action
at a systems level remain unclear. METHODS: Wistar-Kyoto rats were exposed to
inescapable, uncontrollable footshocks. To evaluate learned helplessness
behavior, we used an active avoidance task in a shuttle box equipped with an
electrical grid floor. After helplessness assessment, we performed in vivo
electrophysiological recordings first from ventral tegmental area dopaminergic
(DA) neurons and second from accumbens neurons responsive to fimbria stimulation.
Ketamine was injected and tested on helpless behavior and electrophysiological
recordings. RESULTS: We show that ketamine is able to restore the integrity of a
network by acting on the DA system and restoring synaptic dysfunction observed in
stress-induced depression. We show that part of the antidepressant effect of
ketamine is via the DA system. Indeed, injection of ketamine restores a decreased
dopamine neuron population activity, as well as synaptic plasticity (long-term
potentiation) in the hippocampus-accumbens pathway, via, in part, activation of
D1 receptors. CONCLUSIONS: This work provides a unique systems perspective on the
mechanisms of ketamine on a disrupted limbic system.
PMID- 24931707
TI - The large GTPase Mx1 is involved in apical transport in MDCK cells.
AB - In epithelial cells apical proteins are transported by specific transport
carriers to the correct membrane domain. The composition of these carriers is
heterogeneous and comprises components such as motor proteins, annexins, lectins,
Rab GTPases and cargo molecules. Here, we provide biochemical and fluorescence
microscopic data to show that the dynamin-related large GTPase Mx1 is a component
of post-Golgi vesicles carrying the neurotrophin receptor p75(NTR) . Moreover,
siRNA-mediated depletion of Mx1 significantly decreased the transport efficiency
of apical proteins in MDCK cells. In conclusion, Mx1 plays a crucial role in the
delivery of cargo molecules to the apical membrane of epithelial cells.
PMID- 24931708
TI - Effects of multiple collections on spermatozoa quality of Persian sturgeon,
Acipenser persicus: motility, density and seminal plasma composition.
AB - In this study, we investigated the effects of multiple collections of sperm on
the endangered Persian sturgeon, Acipenser persicus, in terms of a number of
sperm functional parameters (percentage of motile spermatozoa, total time period
of motility and sperm concentration) as well as on the ionic composition, protein
concentration and osmolality of seminal plasma. Semen samples were collected from
12 induced male fish in three experimental groups that had been injected
intramuscularly with LHRH-A2, at dosages of 5 MUg/kg body weight, at a number of
time regimes: at 12 h, 17 h and 24 h after spawning induction (1); at 24, 29 and
34 h after spawning induction (2); and at 36, 41 and 46 h after spawning
induction (3). The percentage of motile spermatozoa and the period of sperm
motility decreased significantly (p < 0.05) after the second and third
collections. The concentration of spermatozoa decreased after the third
collection, but this decline was not significant. No significant effect of
multiple collections on protein concentration and ionic content (with exception
of the Cl(-) ion) of seminal plasma was observed. In all experimental groups, a
moderate impact of sequential collection on the osmolality (p < 0.05) of seminal
plasma was observed. This study provides new data on the effects of multiple
collections on spermatological characteristics in the Persian sturgeon. Our
results confirm that sequential stripping after the third collections has a
negative effect on a number of functional parameters associated with sperm.
PMID- 24931709
TI - Reliability of visual orienting response measures in children with and without
visual impairments.
AB - BACKGROUND: From the need for a quantitative method to examine visual processing
in young children, we measured ocular orienting responses to visual stimuli
(form, motion, expansion, color, contrast, cartoons). Reliability and
applicability of this method were assessed. NEW METHOD: 80 children (1-12 years)
with visual impairments and a reference group of 118 typically developing
children (1-6 years) completed two sessions. Test-retest reliability was measured
by calculating differences in reaction time and fixation accuracy between the two
sessions. For applicability, the cumulative percentage of children that fell
within a pre-defined reliability interval was plotted against the mean number of
responses. RESULTS: In typically developing children none of the outcome measures
significantly differed between sessions. In the children with visual impairments
similar results were obtained, except for motion. This stimulus elicited
significantly faster reaction times in the second session. In at least 80% of the
children reliable reaction times could be calculated if 4 responses to a cartoon
stimulus and 1 or 2 responses to the other stimuli were measured. COMPARISON WITH
EXISTING METHOD(S): The existing method to quantify visual information processing
has been refined: the range of visual functions was extended and a criterion for
reliable assessment of orienting response times was established. CONCLUSIONS:
Objective measurement of orienting responses is a reliable method to test the
initial stage of visual processing in children with and without visual
impairments. A set minimum number of responses for each stimulus warrants the
reliability of measurements obtained with this functional method in clinical
practice.
PMID- 24931710
TI - A wavelet based algorithm for the identification of oscillatory event-related
potential components.
AB - Event related potentials (ERPs) are very feeble alterations in the ongoing
electroencephalogram (EEG) and their detection is a challenging problem. Based on
the unique time-based parameters derived from wavelet coefficients and the
asymmetry property of wavelets a novel algorithm to separate ERP components in
single-trial EEG data is described. Though illustrated as a specific application
to N170 ERP detection, the algorithm is a generalized approach that can be easily
adapted to isolate different kinds of ERP components. The algorithm detected the
N170 ERP component with a high level of accuracy. We demonstrate that the
asymmetry method is more accurate than the matching wavelet algorithm and t-CWT
method by 48.67 and 8.03 percent, respectively. This paper provides an off-line
demonstration of the algorithm and considers issues related to the extension of
the algorithm to real-time applications.
PMID- 24931711
TI - High-density lipoprotein subfractions: current views and clinical practice
applications.
AB - High-density lipoprotein (HDL) is astonishingly complex, but the de facto
standard for its measurement has been remarkably simple: total cholesterol
content. It is time to prioritize higher-resolution HDL measurement techniques
that capture better the biologically and clinically important characteristics of
HDL. Scientific advances have ushered in a new era in which we view HDL in terms
of its subfractions, particle structure, metabolism, and functional integration
of its proteome and lipidome. HDL subfractions appear to be associated with
function. In general, smaller, denser HDL3 is more tightly linked to favorable
atheroprotective functions and clinical outcomes. Techniques to measure the
cholesterol content or particle concentrations of HDL subfractions are available
clinically. In the future, we anticipate subfractionating HDL based on its
functional properties.
PMID- 24931712
TI - Pre-clinical functional Magnetic Resonance Imaging Part I: The kidney.
AB - The prevalence of chronic kidney disease (CKD) is increasing worldwide. In Europe
alone, at least 8% of the population currently has some degree of CKD. CKD is
associated with serious comorbidity, reduced life expectancy, and high economic
costs; hence, the early detection and adequate treatment of kidney disease is
important. Pre-clinical research can not only give insights into the mechanisms
of the various kidney diseases but it also allows for investigating the outcome
of new drugs developed to treat kidney disease. Functional magnetic resonance
imaging provides non-invasive access to tissue and organ function in animal
models. Advantages over classical animal research approaches are numerous: the
same animal might be repeatedly imaged to investigate a progress or a treatment
of disease over time. This has also a direct impact on animal welfare and the
refinement of classical animal experiments as the number of animals in the
studies might be reduced. In this paper, we review current state of the art in
functional magnetic resonance imaging with a focus on pre-clinical kidney
imaging.
PMID- 24931713
TI - Osmotically driven formation of double emulsions stabilized by amphiphilic block
copolymers.
AB - Double emulsions are valuable for the formation of multi-compartmental
structures. A variety of pathways to prepare double emulsions have been
developed, but high-throughput routes to droplets of controlled size and
architecture remain scarce. A new single-step process is introduced for
preparation of water-in-oil-in-water double emulsions by a previously unexplained
process of self-emulsification. We show that the origin of this process is the
osmotic stress resulting from the presence of salt impurities within the
amphiphilic block copolymers used for emulsion stabilization. Further, we utilize
osmotically driven emulsification to tailor the structures of multiple emulsions,
which upon solvent evaporation can yield multi-compartmental capsules or
hierarchically structured porous films.
PMID- 24931714
TI - Early diagnosis of amyotrophic lateral sclerosis--a way forward?
PMID- 24931716
TI - Chronic alcohol consumption alters periodontal health in rats.
AB - BACKGROUND: The aim of this study was to assess the effects of chronic alcohol
consumption on periodontitis development in rats. METHODS: Periodontal disease
was experimentally induced by lipopolysaccharide (LPS; 2 mg/ml) injections into
the gingival tissue around first upper and lower molar's neck, and into the
interdental space between first and second molars. This protocol was repeated for
6 weeks on days 1, 3, and 5 of each week. Chronic alcohol consumption was induced
by 20% ethanol (EtOH) as the only liquid source during 4 months. RESULTS: Chronic
alcohol consumption by itself increased alveolar bone loss and biological
mediators of periodontal disease such as prostaglandin E2 (PGE2 ) content on
gingival tissue, and inducible nitric oxide synthase activity plus PGE2 content
in submandibular gland. Unexpectedly, alcohol consumption did not increase the
damage evoked by the proved model of LPS injections for periodontitis induction.
CONCLUSIONS: Results suggest 20% alcohol consumption during 4 months generates
differential effects on oral health of rats, depending on its pathophysiological
state: It would exacerbate the inflammatory condition when periodontal damage is
absent, but it would not when damage is installed.
PMID- 24931717
TI - Cardiovascular disease risk factors associated with depression among Korean
adults with coronary artery disease and cerebrovascular disease.
AB - OBJECTIVE: This study investigated the cardiovascular risk factors associated
with depression among Korean adults with coronary artery disease (CAD) and
cerebrovascular disease (CBVD). METHODS: A total of 487 coronary artery disease
and 434 cerebrovascular disease subjects from the Fourth Korean National Health
and Nutrition Examination Survey were analyzed according to sex using multiple
logistic regression. RESULTS: The prevalence of depression was 21.7% in those
with CAD and 25.5% in those with CBVD. The associated risk factors for depression
were female sex (odds ratio [OR] 15.77; 95% confidence interval [CI], 2.44
102.03, P = 0.004), less education (OR 7.09 and 10.36, 95% CI, 1.24-40.75, 1.86
57.70 for an elementary and middle school education, respectively), and
hypertension (OR 5.35; 95% CI, 1.48-19.40) in those with CAD and were female sex
(OR 4.06, 95% CI, 1.12-14.76) and diabetes mellitus (OR 3.31, 95% CI, 1.36-8.05)
in CBVD. These significant associations remained in males, but not in females in
those with both CAD and CBVD. CONCLUSIONS: This study found the associations
between hypertension and depression in those with CAD and diabetes mellitus and
depression in those with CBVD in males, but not in females. Further studies are
needed to explore the associated psychosocial factors of depression in those with
different CAD kinds in females.
PMID- 24931715
TI - SRT2104 extends survival of male mice on a standard diet and preserves bone and
muscle mass.
AB - Increased expression of SIRT1 extends the lifespan of lower organisms and delays
the onset of age-related diseases in mammals. Here, we show that SRT2104, a
synthetic small molecule activator of SIRT1, extends both mean and maximal
lifespan of mice fed a standard diet. This is accompanied by improvements in
health, including enhanced motor coordination, performance, bone mineral density,
and insulin sensitivity associated with higher mitochondrial content and
decreased inflammation. Short-term SRT2104 treatment preserves bone and muscle
mass in an experimental model of atrophy. These results demonstrate it is
possible to design a small molecule that can slow aging and delay multiple age
related diseases in mammals, supporting the therapeutic potential of SIRT1
activators in humans.
PMID- 24931718
TI - Abstracts of the 2014 AWHONN Annual Convention, June 14-18, 2014, Orlando,
Florida.
PMID- 24931719
TI - STAT transcription factors in normal and cancer stem cells.
AB - Signal transducer and activator of transcription proteins (STATs) play vital
roles in the regulation of cellular proliferation and survival in normal
hematopoietic cells, including hematopoietic stem cells. However, aberrant
activation of STATs is commonly observed in a number of hematologic malignancies,
and recent studies indicate that targeting of STATs may have therapeutic benefit
in these diseases. Additional studies have provided greater understanding of the
cells responsible for leukemia initiation, referred to as leukemia stem cells.
Emerging evidence indicates that STATs are important in maintaining leukemia stem
cells and represent a promising target for eradication of this dangerous cell
population. Here we summarize what is known about normal hematopoietic stem cells
and the origin of leukemic stem cells. We further describe the roles of STAT
proteins in these cell populations, as well as current progress toward the
development of novel agents and strategies for targeting the STAT proteins.
PMID- 24931721
TI - Radicular pain followed by epidural blood patch.
PMID- 24931720
TI - Midline craniofacial malformations with a lipomatous cephalocele are associated
with insufficient closure of the neural tube in the tuft mouse.
AB - BACKGROUND: Genetic variations affecting neural tube closure along the head
result in malformations to the face and brain, posing a significant impact on
health care costs and the quality of life. METHODS: We have established a mouse
line from a mutation that arose spontaneously in our wild-type colony that we
called tuft. Tuft mice have heritable midline craniofacial defects featuring an
anterior lipomatous cephalocele. RESULTS: Whole-mount skeletal stains indicated
that affected newborns had a broader interfrontal suture where the cephalocele
emerged between the frontal bones. Mice with a cephalocele positioned near the
rostrum also presented craniofacial malformations such as ocular hypertelorism
and midfacial cleft of the nose. Gross and histological examination revealed that
the lipomatous cephalocele originated as a fluid filled cyst no earlier than
E14.5 while embryos with a midfacial cleft was evident during craniofacial
development at E11.5. Histological sections of embryos with a midfacial cleft
revealed the cephalic neuroectoderm remained proximal or fused to the frontonasal
ectoderm about the closure site of the anterior neuropore, indicating a defect to
neural tube closure. We found the neural folds along the rostrum of E9 to E10.5
embryos curled inward and failed to close as well as embryos with exencephaly and
anencephaly at later stages. Whole-mount in situ hybridization of anterior
markers Fgf8 and Sonic hedgehog indicated closure of the rostral site was
compromised in severe cases. CONCLUSION: We present a model demonstrating how
anterior cranial cephaloceles are generated following a defect to neural tube
closure and relevance to subsequent craniofacial morphogenesis in the tuft mouse.
PMID- 24931723
TI - Microfluidic generation of composite biopolymer microgels with tunable
compositions and mechanical properties.
AB - To develop an understanding of the nature of complex, spatiotemporal interactions
between cells and the extracellular matrix (ECM), artificial ECMs formed from
hydrogels with a particular spectrum of properties are being developed at a rapid
pace. We report the microfluidic generation of small, monodisperse composite
agarose-gelatin hydrogel modules (microgel particles) that can be used for cell
encapsulation and can serve as instructive cellular microenvironments. The
agarose component of the microgels gelled under reduced temperature, while
gelatin modified with phenolic hydroxyl groups underwent peroxidase-catalyzed
gelation. Microgel composition, structure, morphology, and rigidity were tuned in
a high-throughput manner. The results of this work are important for the
generation of libraries of cell-laden polymer microgels for single-cell analysis,
tissue engineering, and fundamental studies of the role of local
microenvironments in cell fate.
PMID- 24931722
TI - Expression of two parental imprinted miRNAs improves the risk stratification of
neuroblastoma patients.
AB - Age at diagnosis, stage, and MYCN amplification are the cornerstones of the risk
stratification score of neuroblastoma that enables defining patients at low- and
high risk. Refinement of this stratification is needed to optimize standard
treatment and to plan future clinical trials. We investigated whether two
parental imprinted miRNAs (miR-487b and miR-516a-5p) may lead to a risk score
with a better discrimination. Expression levels of maternal miR-487b and paternal
miR-516a-5p were determined using quantitative RT-PCR both for 231 neuroblastoma
tumors (derivation set) and 101 independent neuroblastoma tumors (validation
set). Survival outcomes were overall survival (OS) and disease-free survival
(DFS). Multivariable Cox models were developed from derivation set and their
performance evaluated using Akaike's information criterion (AIC) (goodness-of
fit) and time-dependent area under curves (discrimination). The selected model
was validated using internal and external validation. The prognostic model
including current prognostic factors plus miR-487b, miR-516a-5p, and interaction
between two miRNAs was selected. Performance of this model was better in terms of
both predictive ability (smallest AIC) and discrimination power (AUC close to
0.70). This model identifies three risk groups: high (3), intermediate (2), and
low (1). Hazard ratios (HR) across risk groups were HR2/1 = 6.3 (2.7-14.6), HR3/1
= 14.8 (7.2-30.2) for OS and HR2/1 = 2.8 (1.5-5.4), HR3/1 = 7.2 (3.9-13.4) for
DFS. The rank between these three risk groups was maintained and validated when
performing internal and external validation. Expression of maternal miR-487b and
paternal miR-516a-5p improves the risk stratification. This better discrimination
at diagnosis is of clinical utility both for current and future treatments of
neuroblastoma patients.
PMID- 24931724
TI - Inhibitory effects of bortezomib on platelet aggregation in patients with
multiple myeloma.
AB - INTRODUCTION: Multiple myeloma (MM) therapy affects prothrombotic and
anticoagulant processes. Patients receiving thalidomide, especially in
combination with steroids, are at increased risk of venous thromboembolism (VTE),
while the incidence of VTE on bortezomib is low. In vitro studies indicate that
bortezomib causes a reduction in ADP-induced platelet aggregation. OBJECTIVES: To
analyse the influence of bortezomib on platelet aggregation induced by various
agonists in patients with MM. PATIENTS AND METHODS: A total of 30 patients
(median age 57.5years) with relapsed/refractory MM receiving bortezomib-based
regimens were analysed. Optical platelet aggregometry was performed with the
agonists collagen, ADP and ristocetin and measured over two 21-day cycles. The
results from two groups: those treated with bortezomib and thalidomide (BT group,
n=11) and those without thalidomide (B group, n=19) were analysed. RESULTS:
During the second cycle, significantly decreased platelet aggregation was
observed in the B group: 5MUM ADP (p=0.0285, day 1 versus 8); 3.5MUM ADP
(p=0.0005, day 1 versus 8 and day 1 versus 11), collagen (p=0.0014, day 4 versus
8, day 4 versus 11), 1.25mg/ml ristocetin (p=0.0017, day 1 versus 8 and day 1
versus 11). Agonist-induced platelet aggregation tended to be reduced over time
during the 1st cycle in group B. In the thalidomide group, significant platelet
aggregation inhibition by collagen only was found. Transient reduction in
platelet count was observed in all patients, but more prominently in group B.
CONCLUSION: The inhibitory effects of prolonged exposure of bortezomib on
platelet aggregation were demonstrated in relapsed/refractory MM patients, but
antithrombotic activity of bortezomib should be clarified in further prospective
studies.
PMID- 24931725
TI - An integrated molecular dynamics, principal component analysis and residue
interaction network approach reveals the impact of M184V mutation on HIV reverse
transcriptase resistance to lamivudine.
AB - The emergence of different drug resistant strains of HIV-1 reverse transcriptase
(HIV RT) remains of prime interest in relation to viral pathogenesis as well as
drug development. Amongst those mutations, M184V was found to cause a complete
loss of ligand fitness. In this study, we report the first account of the
molecular impact of M184V mutation on HIV RT resistance to 3TC (lamivudine) using
an integrated computational approach. This involved molecular dynamics
simulation, binding free energy analysis, principle component analysis (PCA) and
residue interaction networks (RINs). Results clearly confirmed that M184V
mutation leads to steric conflict between 3TC and the beta branched side chain of
valine, decreases the ligand (3TC) binding affinity by ~7 kcal mol(-1) when
compared to the wild type, changes the overall conformational landscape of the
protein and distorts the native enzyme residue-residue interaction network. The
comprehensive molecular insight gained from this study should be of great
importance in understanding drug resistance against HIV RT as well as assisting
in the design of novel reverse transcriptase inhibitors with high ligand efficacy
on resistant strains.
PMID- 24931726
TI - Costly infidelity: low lifetime fitness of extra-pair offspring in a passerine
bird.
AB - Extra-pair copulation (EPC) is widespread in socially monogamous species, but its
evolutionary benefits remain controversial. Indirect genetic benefit hypotheses
postulate that females engage in EPC to produce higher quality extra-pair
offspring (EPO) than within-pair offspring (WPO). In contrast, the sexual
conflict hypothesis posits that EPC is beneficial to males but not to females.
Thus, under the sexual conflict hypothesis, EPO are predicted to be no fitter
than WPO. We tested these two hypotheses in a 12-year dataset with complete life
history and pedigree information from an isolated island population of house
sparrows (Passer domesticus). We compared fitness components of EPO and two types
of WPO: (1) WPO from genetically polyandrous "unfaithful" mothers, and (2) WPO
from genetically monogamous mothers. We found that all three groups of offspring
had similar probabilities of hatching and nestling survival. Unexpectedly, EPO
had the lowest probability of recruiting into the breeding population and the
lowest lifetime reproductive output. Our results indicate that EPO incurred
indirect genetic costs, rather than benefits, which is contrary to indirect
benefit models. Importantly, the indirect costs we observed are also
underappreciated in current sexual conflict models. Our results call for improved
theoretical frameworks that incorporate indirect costs by extending current
sexual conflict models.
PMID- 24931727
TI - A newly identified fatty alcohol oxidase gene is mainly responsible for the
oxidation of long-chain omega-hydroxy fatty acids in Yarrowia lipolytica.
AB - Nine potential (fatty) alcohol dehydrogenase genes and one alcohol oxidase gene
were identified in Yarrowia lipolytica by comparative sequence analysis. All
relevant genes were deleted in Y. lipolytica H222DeltaP which is lacking beta
oxidation. Resulting transformants were tested for their ability to accumulate
omega-hydroxy fatty acids and dicarboxylic acids in the culture medium. The
deletion of eight alcohol dehydrogenase genes (FADH, ADH1-7), which may be
involved in omega-oxidation, led only to a slightly increased accumulation of
omega-hydroxy fatty acids, whereas the deletion of the fatty alcohol oxidase gene
(FAO1), which has not been described yet in Y. lipolytica, exhibited a
considerably higher effect. The combined deletion of the eight (fatty) alcohol
dehydrogenase genes and the alcohol oxidase gene further reduced the formation of
dicarboxylic acids. These results indicate that both (fatty) alcohol
dehydrogenases and an alcohol oxidase are involved in omega-oxidation of long
chain fatty acids whereby latter plays the major role. This insight marks the
first step toward the biotechnological production of long-chain omega-hydroxy
fatty acids with the help of the nonconventional yeast Y. lipolytica. The
overexpression of FAO1 can be further used to improve existing strains for the
production of dicarboxylic acids.
PMID- 24931728
TI - Near-infrared and fourier transform infrared chemometric methods for the
quantification of crystalline tacrolimus from sustained-release amorphous solid
dispersion.
AB - The objective of the present research was to study the feasibility of using near
infrared (NIR) and Fourier transform infrared (FTIR)-based chemometric models in
quantifying crystalline and amorphous tacrolimus from its sustained-release
amorphous solid dispersion (ASD). ASD contained ethyl cellulose, hydroxypropyl
methyl cellulose, and lactose monohydrate as carriers, and amorphous form of
tacrolimus in it was confirmed by X-ray powder diffraction. Crystalline physical
mixture was mixed with ASD in various proportions to prepare sample matrices
containing 0%-100% amorphous/crystalline tacrolimus. NIR and FTIR of the samples
were recorded, and data were mathematically pretreated using multiple scattering
correction, standard normal variate, or Savitzky-Golay before multivariate
analysis, partial-least-square regression (PLSR), and principle component
regression (PCR). The PLSR models were more accurate than PCR for NIR and FTIR
data as indicated by low value of root-mean-squared error of prediction, standard
error of prediction and bias, and high value of R(2). Additionally, NIR data
based models were more accurate and precise than FTIR data models. In conclusion,
NIR chemometric models provide simple and fast method to quantitate crystalline
tacrolimus in the ASD formulation.
PMID- 24931729
TI - Multilocus assessment of phylogenetic relationships in Alytes (Anura, Alytidae).
AB - With the advent of large multilocus datasets, molecular systematics is
experiencing very rapid progress, but important challenges remain regarding data
analysis and interpretation. Midwife toads (genus Alytes) exemplify two of the
most widespread problems for accurate phylogenetic reconstruction: discerning the
causes of discordance between gene trees, and resolving short internodes produced
during rapid, successive splitting events. The three species in subgenus
Baleaphryne (A. maurus, A. dickhilleni and A. muletensis), the sister group to A.
obstetricans, have disjunct and highly restricted geographical ranges, which are
thought to result from old vicariant events affecting their common ancestor, but
their phylogenetic relationships are still unresolved. In this study we re
address the phylogeny of Alytes with a special focus on the relationships in
Baleaphryne with a multilocus dataset including >9000 base pairs of mitochondrial
DNA and four nuclear markers (3142bp) in all recognized taxa, including all
subspecies of A. obstetricans. Both concatenation and species tree analyses
suggest that A. muletensis, endemic to the Balearic island of Mallorca, is the
sister taxon to a clade comprising the southeastern Iberian endemic A.
dickhilleni and the North African A. maurus. This scenario is consistent with
palaeogeological evidence associated with the fragmentation of the Betic-Rifean
Massif, followed by the opening of the Strait of Gibraltar. On the other hand,
analyses of intraspecific variation in A. obstetricans are inconclusive regarding
relationships between major clades and conflict with current subspecific
taxonomy.
PMID- 24931730
TI - How many genera and species of woolly monkeys (Atelidae, Platyrrhine, Primates)
are there? The first molecular analysis of Lagothrix flavicauda, an endemic
Peruvian primate species.
AB - We sequenced COI and COII mitochondrial genes of 141 Neotropical woolly monkeys
to provide new insights concerning their phylogeography and phylogenetic
relationships. For the first time, eight individuals of the endemic and extremely
rare Peruvian yellow-tailed woolly monkey (flavicauda) were sequenced at these
genes and compared with other Lagothrix taxa (poeppigii, lagotricha, lugens and
cana). There were four main results. (1) L. flavicauda showed a gene diversity of
zero, whereas poeppigii and lugens showed high levels of gene diversity and
lagotricha and cana showed more modest levels of gene diversity. The absence of
gene diversity found for L. flavicauda strongly supports that it is one of the 25
more endangered primates on earth; (2) Our genetic distance and phylogenetic
analyses, which included many cases of genetic introgression and recent
hybridization, suggest that all woolly monkeys could be included in one unique
genus, Lagotrix, divided into two species: L. flavicauda and L. lagotricha. The
last species is divided into at least four subspecies. Our molecular results
agree with Fooden's (1963) classification, but do not support the classification
proposed by Groves (2001). (3) Poeppigii was the first taxon within L. lagotricha
to experience a mitochondrial haplotype diversification, while cana and
lagotricha experienced more recent mitochondrial haplotype diversification; (4)
Poeppigii and lagotricha were the taxa which showed the greatest evidence of
population expansions in different Pleistocene periods, whereas lugens
experienced a population declination in the last 25,000 YA.
PMID- 24931731
TI - Phylogenetic position of Guihaiothamnus (Rubiaceae): its evolutionary and
ecological implications.
AB - Guihaiothamnus (Rubiaceae) is an enigmatic, monotypic genus endemic to
southwestern China. Its generic status has never been doubted because it is
morphologically unique by having rosette habit, showy, long-corolla-tubed
flowers, and multi-seeded indehiscent berry-like fruits. The genus has been
postulated to be a relict in the broad-leaved forests of China, and to be related
to the genus Wendlandia, which was placed in the subfamily Cinchonoideae and
recently classified in the tribe Augusteae of the subfamily Dialypetalanthoideae.
Using combined evidence from palynology, cytology, and DNA sequences of nuclear
ITS and four plastid markers (rps16, trnT-F, ndhF, rbcL), we assessed the
phylogenetic position of Guihaiothamnus in Rubiaceae. Our molecular phylogenetic
analyses placed the genus deeply nested within Wendlandia. This relationship is
corroborated by evidence from palynology and cytology. Using a relaxed molecular
clock method based on five fossil records, we dated the stem age of Wendlandia to
be 17.46 my and, the split between G. acaulis and related Wendlandia species in
southwestern China to be 2.11mya. This young age, coupled with the derived
position in Wendlandia, suggests an evolutionary derivation rather than an
evolutionary relict of G. acaulis. Its rosette habit and large showy flowers,
which are very distinctive from other Wendlandias, are interpreted as a result of
recent rapid adaptation to rock and cliff habitats.
PMID- 24931732
TI - In situ expression and serum level of thymic stromal lymphopoietin in oral lichen
planus.
AB - BACKGROUND: Oral lichen planus (OLP) is a chronic inflammatory disease of oral
mucosa in which the CD8(+) T cell-mediated cytotoxicity is regarded as a major
mechanism of pathogenesis. The main objective of this study is to investigate in
situ expression and secretion of thymic stromal lymphopoietin (TSLP) in specimens
and sera from patients with oral lichen planus. METHODS: Thirty-six patients with
OLP and 35 donors enrolled in specimen and serum collection. Immunohistochemical
method and immunofluorescence double-staining method were used to detect the
expression of thymic stromal lymphopoietin and its receptor (TSLPR) together with
CD8 in OLP specimens. Enzyme-linked immunosorbent assay (ELISA) was used to
detect TSLP secretion. RESULTS: More TSLP- or TSLPR-positive cells showed in OLP
specimens than in normal controls, and TSLP-positive cells were mainly in the
epithelium, while TSLPR-positive cells mainly in the lamina propria. Furthermore,
the number of TSLP-positive cells in the stratum basal was associated with the
amount of mononuclear cells infiltrating in the lamina propria of OLP specimens.
Among infiltrating mononuclear cells in the lamina propria, some CD8-positive
cells also expressed TSLPR. The TSLP serum level of patients with OLP was
significantly higher than of healthy donors, but there was no statistically
difference between two clinical subtypes of OLP. CONCLUSIONS: Our findings
provided the first evidence that TSLP may enroll in the pathology of OLP and the
TSLP-TSLPR interaction may play an important role in it.
PMID- 24931733
TI - A severity score for acute necrotizing encephalopathy.
AB - OBJECTIVE: To develop a score that predicts the prognosis of children with acute
necrotizing encephalopathy (ANE). METHOD: We retrospectively evaluated clinical
variables and neurological outcome in two cohorts of children with ANE. Firstly,
we developed the ANE severity score (ANE-SS) according to the clinical variables
that correlated with neurological outcome in 41 children who were included in our
previous reports in 2009. We then applied the scoring system to a second cohort
of 32 patients who were newly collected in 2011. We investigated the correlation
between the ANE-SS and neurological outcome in all 73 patients. RESULTS: In the
first cohort, brain stem lesions on MRI and state of shock at onset were
significantly correlated with outcome. Age over 48 months, elevated CSF protein,
and low platelet counts tended to be correlated with outcome. No types of
treatment were correlated with outcome. The developed ANE-SS ranged from 0 to 9
points, with 3 points for existence of shock, 2 points for brain stem lesions, 2
points for age over 48 months, 1 point for platelet count below 100,000/MUL, and
1 point for CSF protein above 60 mg/dl. Patients were classed as low risk (ANE-SS
0-1 points), medium risk (ANE-SS 2-4 points), or high risk (ANE-SS 5-9 points).
ANE-SS was significantly correlated with outcome in the group of 73 patients.
CONCLUSION: ANE-SS can be used to predict outcome in patients with ANE. More
effective treatments need to be developed for high-risk patients.
PMID- 24931734
TI - Uncovering the roles of oxygen vacancies in cation migration in lithium excess
layered oxides.
AB - A novel oxygen vacancy assisted transition metal (TM) diffusion mechanism is
proposed for the first time to explain the near-surface phase transformation in
lithium excess transition metal layered oxides. Oxygen vacancies and TM migration
have been observed at nm scale spatial resolution by Scanning Transmission
Electron Microscopy and Electron Energy Loss Spectroscopy. Formation of (dilute)
oxygen vacancies and their roles in assisting transition metal ion diffusion were
further investigated using first principles calculations. The activation barriers
of TM diffusion in the presence of oxygen vacancies are drastically reduced and
consistently in a reasonable range for room temperature diffusion.
PMID- 24931735
TI - Is ostension any more than attention?
AB - According to natural pedagogy theory, infants are sensitive to particular
ostensive cues that communicate to them that they are being addressed and that
they can expect to learn referential information. We demonstrate that 6-month-old
infants follow others' gaze direction in situations that are highly attention
grabbing. This occurs irrespective of whether these situations include
communicative intent and ostensive cues (a model looks directly into the child's
eyes prior to shifting gaze to an object) or not (a model shivers while looking
down prior to shifting gaze to an object). In contrast, in less attention
grabbing contexts in which the model simply looks down prior to shifting gaze to
an object, no effect is found. These findings demonstrate that one of the central
pillars of natural pedagogy is false. Sensitivity to gaze following in infancy is
not restricted to contexts in which ostensive cues are conveyed.
PMID- 24931736
TI - Papain-induced experimental pulmonary emphysema in male and female mice.
AB - In papain-induced models of emphysema, despite the existing extensive description
of the cellular and molecular aspects therein involved, sexual hormones may play
a complex and still not fully understood role. Hence, we aimed at exploring the
putative gender-related differences in lung mechanics, histology and oxidative
stress in papain-exposed mice. Thirty adult BALB/c mice received intratracheally
either saline (50 MUL) or papain (10 U/50 MUL saline) once a week for 2 weeks. In
males papain increased lung resistive and viscoelastic/inhomogeneous pressures,
static elastance, and viscoelastic component of elastance, while females showed
higher static elastance and resistive pressure only. Both genders presented
similar higher parenchymal cellularity and mean alveolar diameter, and less
collagen-elastic fiber content and body weight gain than their respective
controls. Increased functional residual capacity was more prominent in males.
Female papain-treated mice were more susceptible to oxidative stress. Thus, male
and female papain-exposed mice respond differently, which should be carefully
considered to avoid confounding results.
PMID- 24931738
TI - A patient with lupus miliaris disseminatus faciei treated successfully with a
combination of oral metronidazole and topical tacrolimus.
PMID- 24931739
TI - The utility of dual bioelectrical impedance analysis in detecting intra-abdominal
fat area in obese patients during weight reduction therapy in comparison with
waist circumference and abdominal CT.
AB - An increase in intra-abdominal fat area (IAFA) is an essential component of
metabolic syndrome (MetS). Waist circumference (WC) is not a precise measure of
IAFA, and computed tomography (CT) is unsuitable for frequent monitoring. Here,
we examined utility of a dual bioelectrical impedance analysis (Dual BIA) for
measuring IAFA in obese patients during weight reduction. Fat distribution was
measured by Dual BIA and CT in 100 obese outpatients. All fat areas including
total, IAFA, and subcutaneous fat by Dual BIA were more closely correlated with
those by CT than WC. Estimated IAFA by Dual BIA was significantly correlated with
number of MetS components as well as CT, but WC was not. Furthermore, in 61 obese
patients who received 6-month weight reduction therapy, estimated IAFA by Dual
BIA showed an earlier and greater decrease as well as that by CT than WC and BMI.
In addition, decrease in estimated IAFA by Dual BIA through weight reduction had
a higher correlation with decrease in IAFA by CT, than WC. This study is the
first to demonstrate that the change in estimated IAFA by Dual BIA was highly
correlated with that in IAFA by CT during weight reduction therapy. Our findings
also indicate that estimated IAFA by Dual BIA is, potentially, a better indicator
of severity of MetS, cardiovascular risk factors, and effectiveness of weight
reduction than WC, and equal to IAFA by CT. Estimated IAFA by Dual BIA may be
useful for monitoring the effectiveness of weight reduction therapy in obese
patients.
PMID- 24931737
TI - Src-homology 2 domain-containing tyrosine phosphatase 2 promotes oral cancer
invasion and metastasis.
AB - BACKGROUND: Tumor invasion and metastasis represent a major unsolved problem in
cancer pathogenesis. Recent studies have indicated the involvement of Src
homology 2 domain-containing tyrosine phosphatase 2 (SHP2) in multiple
malignancies; however, the role of SHP2 in oral cancer progression has yet to be
elucidated. We propose that SHP2 is involved in the progression of oral cancer
toward metastasis. METHODS: SHP2 expression was evaluated in paired oral cancer
tissues by using immunohistochemical staining and real-time reverse transcription
polymerase chain reaction. Isogenic highly invasive oral cancer cell lines from
their respective low invasive parental lines were established using a Boyden
chamber assay, and changes in the hallmarks of the epithelial-mesenchymal
transition (EMT) were assessed to evaluate SHP2 function. SHP2 activity in oral
cancer cells was reduced using si-RNA knockdown or enforced expression of a
catalytically deficient mutant to analyze migratory and invasive ability in vitro
and metastasis toward the lung in mice in vivo. RESULTS: We observed the
significant upregulation of SHP2 in oral cancer tissues and cell lines. Following
SHP2 knockdown, the oral cancer cells markedly attenuated migratory and invasion
ability. We observed similar results in phosphatase-dead SHP2 C459S mutant
expressing cells. Enhanced invasiveness was associated with significant
upregulation of E-cadherin, vimentin, Snail/Twist1, and matrix metalloproteinase
2 in the highly invasive clones. In addition, we determined that SHP2 activity is
required for the downregulation of phosphorylated ERK1/2, which modulates the
downstream effectors, Snail and Twist1 at a transcript level. In lung tissue
sections of mice, we observed that HSC3 tumors with SHP2 deletion exhibited
significantly reduced metastatic capacity, compared with tumors administered
control si-RNA. CONCLUSIONS: Our data suggest that SHP2 promotes the invasion and
metastasis of oral cancer cells. These results provide a rationale for further
investigating the effects of small-molecule SHP2 inhibitors on the progression of
oral cancer, and indicate a previously unrecognized SHP2-ERK1/2-Snail/Twist1
pathway that is likely to play a crucial role in oral cancer invasion and
metastasis.
PMID- 24931740
TI - Reference standard of penile size and prevalence of buried penis in Japanese
newborn male infants.
AB - The present study set forth the reference values for penile size and determined
the prevalence of buried penis in Japanese full-term newborns. The stretched
penile length was measured and the presence of buried penis was assessed at 1-7
days of age in 547 Japanese full-term newborn infants born between 2008 and 2012
in Tokyo. The stretched penile lengths were compared at 1-12 hours and 1-7 days
of age in 63 infants and by two observers in 73 infants to estimate postnatal
changes and interobserver variation, respectively. The mean stretched penile
length was 3.06 cm (SD, 0.26; 95% confidence interval [CI], 3.04-3.08) and the
mean ratio of penile length to body length was 6.24 * 100(-1) (SD, 0.55 * 100(
1)), both of which were significantly smaller than those in Caucasian newborn
infants. Buried penis was identified in 20 of 547 infants (3.7%; 95% CI, 2.1
5.2%). The first measurements of penile length at 1-12 hours were significantly
smaller than the next measurements at 1-7 days (95% CI of the difference, 0.22
0.34). The 95% CI for the limits of agreement in the penile lengths measured by
the two observers was -0.58 to -0.40 for the lower limit and 0.33 to 0.51 for the
upper limit. These findings indicate that the penile length should be assessed
after 24 hours of age by the reference standard of the same ethnicity for
identifying micropenis and that buried penis is not uncommon in Japanese full
term newborns.
PMID- 24931741
TI - Sarcoidosis with bone marrow involvement.
PMID- 24931742
TI - Lamellar cationic lipid-DNA complexes from lipids with a strong preference for
planar geometry: A Minimal Electrostatic Model.
AB - We formulate and analyze a minimal model, based on condensation theory, of the
lamellar cationic lipid (CL)-DNA complex of alternately charged lipid bilayers
and DNA monolayers in a salt solution. Each lipid bilayer, composed by a random
mixture of cationic and neutral lipids, is assumed to be a rigid uniformly
charged plane. Each DNA monolayer, located between two lipid bilayers, is formed
by the same number of parallel DNAs with a uniform separation distance. For the
electrostatic calculation, the model lipoplex is collapsed to a single plane with
charge density equal to the net lipid and DNA charge. The free energy difference
between the lamellar lipoplex and a reference state of the same number of free
lipid bilayers and free DNAs, is calculated as a function of the fraction of CLs,
of the ratio of the number of CL charges to the number of negative charges of the
DNA phosphates, and of the total number of planes. At the isoelectric point the
free energy difference is minimal. The complex formation, already favoured by the
decrease of the electrostatic charging free energy, is driven further by the free
energy gain due to the release of counterions from the DNAs and from the lipid
bilayers, if strongly charged. This minimal model compares well with experiment
for lipids having a strong preference for planar geometry and with major features
of more detailed models of the lipoplex.
PMID- 24931743
TI - Clinical outcome and prognostic factors after salvage surgery for isolated
regional squamous cell carcinoma recurrences.
AB - BACKGROUND: The purpose of this study was to evaluate the outcome and predictive
factors for salvage surgery of isolated regional recurrences of head and neck
squamous cell carcinoma. METHODS: A retrospective study was conducted with 55
patients who were treated with surgery-based treatment. RESULTS: The 5-year
overall survival (OS) and disease-free survival (DFS) rates were 61.8% and 60%,
respectively. Extracapsular spread (ECS) was an independent factor associated
with worse disease-specific survival. The patients who had advanced N
classification, ECS, and in-field recurrence had a significantly worse OS rate,
whereas those with an initial DFS time of 6 months or more experienced better
outcomes. CONCLUSION: Salvage surgery for isolated regional recurrence resulted
in an acceptable oncologic outcome and mortality. Successful surgical salvage is
most probable in late recurrence (>=6 months) patients with recurrent N1 stage
tumors (no evidence of ECS) outside of the previous treatment field.
PMID- 24931744
TI - Comparing primiparous and multiparous mothers in a nurse home visiting prevention
program.
AB - BACKGROUND: An important yet overlooked feature of prominent prevention programs
serving expectant mothers is the exclusion of women with children. This study
examines mothers (n = 3,260) participating in a program without parity exclusion
criteria, and compares demographic characteristics, risk status, service use, and
child maltreatment outcomes. METHODS: A longitudinal, prospective study comparing
primiparous (n = 1,890) and multiparous (n = 1,370) mothers participating in a
nurse home visiting program. Patient groups are compared using bivariate and
multivariate methods. RESULTS: Comparison by parity shows multiparous mothers had
higher cumulative risk scores and individual risk factors related to maternal and
child health, behavioral health, and violence exposure. Multiparous mothers were
more likely to seek out services themselves and to initiate services later in the
postnatal period. A significant trend exists among more children and greater
caregiver stress, maternal depression, and child maltreatment. Multivariate
models indicate infants of multiparous mothers have a higher risk (hazard ratio =
1.49) for later reports of child maltreatment. CONCLUSIONS: As compared with
primiparous mothers, multiparous mothers were at higher risk but had similar
levels of service use. Programs limited to primiparous mothers are missing a
critical opportunity for prevention. Programs serving multiparous mothers should
incorporate strategies to directly address caregiver stress and postpartum
depression.
PMID- 24931745
TI - Keteniminium ion-initiated cascade cationic polycyclization.
AB - A novel and efficient keteniminium-initiated cationic polycyclization is
reported. This reaction, which only requires triflic acid or bistriflimide as
promoters, affords a straightforward entry to polycyclic nitrogen heterocycles
possessing up to three contiguous stereocenters and seven fused cycles. These
complex, polycyclic molecules can be obtained in a single operation from readily
available ynamides which were shown to be remarkable building blocks for
multiple, consecutive cationic transformations.
PMID- 24931746
TI - Malaria in pregnancy and irritable bowel syndrome.
PMID- 24931747
TI - China rubra for side-effects of quinine: a prospective, randomised study in
pregnant women with malaria in Cotonou, Benin.
AB - BACKGROUND: In endemic areas, gestational malaria is responsible for low birth
weight and maternal anaemia. Quinine is the reference treatment for acute malaria
in pregnant women, irrespective of term. However, quinine administration is
associated with various side-effects. We evaluated the impact of the homeopathic
medicine China rubra 7CH on the side-effects of quinine used as treatment for
acute malaria in pregnant women in Cotonou, Benin. METHODS: This prospective,
comparative, randomised study was carried out between June and December 2007 in
the Saint Jean-Baptiste Medical Centre, Cotonou. Women were included if they were
>3 months pregnant and had a clinical diagnosis of malaria confirmed by a
positive thick blood smear. The study population was divided into two groups: (i)
patients who presented between the 1st and 15th of each month and who received
China rubra 7CH plus quinine (China group); and (ii) patients who presented from
the 16th to the end of each month and who received treatment with quinine only
(Standard group). The aim was to compare the frequency of side-effects of quinine
in the two groups until day 6 after the start of treatment. Neither the patients
nor the care givers were blinded to study treatment. Statistical comparison of
the two groups was carried out with an alpha risk fixed at 5%. RESULTS: 211 women
were recruited: 105 received quinine plus China rubra 7CH (China group) and 106
received quinine only (Standard group). A decrease in proportion of patients
presenting with side-effects was observed in the China group from day 0 to day 6
of follow-up (53.9%-23.3%) whereas the proportion of patients with side-effects
in the Standard group did not change significantly (85.9% on day 0 vs. 82.5% on
day 6). Ninety-six (72.4%) patients in the China group and 103 (97.2%) in the
Standard group reported at least one side-effect during follow-up (p < 0.0001).
The most frequently reported side-effects were tinnitus, dizziness and asthenia.
CONCLUSIONS: This preliminary study shows the interest of China rubra 7CH in
limiting the side-effects of quinine used for the treatment of acute malaria in
pregnant women.
PMID- 24931748
TI - Interim results of a randomised controlled trial of homeopathic treatment for
irritable bowel syndrome.
AB - Irritable bowel syndrome (IBS) is a chronic condition for which there is no
consensus on the optimum treatment. Gastroenterology problems are some of the
most common conditions treated by homeopaths, yet few trials have explored the
effectiveness of individualised homeopathic treatment for IBS. A three-armed
trial was conducted which compared: usual care, homeopathic treatment plus usual
care and supportive listening plus usual care. The primary outcome was change in
irritable bowel symptom severity score between baseline and 26 weeks, calculated
using ANCOVA. An interim ANCOVA adjusted for baseline IBS severity, age and
employment status found no statistically significant difference between the three
arms. However, a post-hoc test comparing homeopathic treatment plus usual care to
usual care alone found a statistically significant difference in favour of
homeopathic treatment. In addition, 62.5 percent of patients in the homeopathic
treatment arm (compared to 25.0 percent of those in the usual care arm), achieved
a clinically relevant change in irritable bowel symptom severity score, which
indicates a promising effect for homeopathic treatment, though these results
should be interpreted with caution due to the low number of participants in the
study.
PMID- 24931749
TI - Effect of an homeopathic complex on fatty acids in muscle and performance of the
Nile tilapia (Oreochromis niloticus).
AB - BACKGROUND: The homeopathic complex HomeoAqua Mega 3((r)) was designed to
stimulate fish liver function resulting in enhanced lipid metabolism and improved
overall performance. The effect of the complex in fatty acid compounds in the
muscle tissues and the performance of Nile tilapia (O. niloticus) were evaluated.
METHODS: A control diet with 40 mL of alcohol solution (30 degrees Gay Lussac
(GL) alcohol) per kg of feed and another diet with 40 mL/kg of the homeopathic
complex were analysed in sex-reversed juvenile male Nile tilapia with mean
initial weights of 89.54 g (+/-7.97) and 89.74 g (+/-8.83) and initial total mean
lengths of 16.93 cm (+/-0.56) and 16.85 cm (+/-0.56) for the control and
homeopathy-treated fish, respectively. Overall, 200 fish were distributed into 10
water tanks, with 20 specimens in each 600 L tank, they were kept for 63 days.
Monitoring of the water's physical and chemical parameters was performed.
Additionally, the percentage chemical composition and the composition of the
muscle tissue fatty acids were determined, and fish performance was evaluated.
RESULTS: No significant differences (p > 0.05) were found between treatments with
regard to the water's physical and chemical parameters, moisture, ashes, proteins
of the Nile tilapia muscular tissue and mean rates of total weight and length of
the animals in the final period of the assay. The total lipid (TL) rates of the
tilapia muscle tissue were 1.133 +/- 0.2% at the start (control and homeopathy)
and 0.908 +/- 0.14% (control) and 0.688 +/- 0.14% (homeopathy) at the end of the
experiment (p < 0.06). The sum total of the SFAs (saturated fatty acids) was
338.50 +/- 0.15 at the start (control and homeopathy) and 271.49 +/- 0.34 mg/g of
the TLs for the control and 226.12 +/- 0.77 mg/g of TL for the homeopathy at the
end of the experiment (p < 0.05). The n-6/n-3 ratio was also lower for the
homeopathy-treated fish (8.45 +/- 0.40) compared with the control fish (9.60 +/-
0.14), (p < 0.05) at the end of the 63-day period. CONCLUSION: Nile tilapia
juveniles that received the HomeoAqua Mega-3((r)) in their diets had a decrease
in the TL rates, mainly for the SFAs, and the n-6/n-3 ratio, compared with the
control group, without any changes in the animal performance during the
experimental period.
PMID- 24931751
TI - Geographical and temporal distribution of basic research experiments in
homeopathy.
AB - The database HomBRex (Homeopathy Basic Research experiments) was established in
2002 to provide an overview of the basic research already done on homeopathy
(http://www.carstens-stiftung.de/hombrex). By this means, it facilitates the
exploration of the Similia Principle and the working mechanism of homeopathy.
Since 2002, the total number of experiments listed has almost doubled. The
current review reports the history of basic research in homeopathy as evidenced
by publication dates and origin of publications. In July 2013, the database held
1868 entries. Most publications were reported from France (n = 267), followed by
Germany (n = 246) and India (n = 237). In the last ten years, the number of
publications from Brazil dramatically increased from n = 13 (before 2004) to n =
164 (compared to n = 251 published in France before 2004, and n = 16 between 2004
and 2013). The oldest database entry was from Germany (1832).
PMID- 24931750
TI - Diverse biological effects of electromagnetic-treated water.
AB - The effects of water treated with an electromagnetic field (EMF) were
investigated on two biological systems, humans and plants. Purified de-ionised
water was treated by (1) boiling, (2) exposure to microwave radiation, and (3)
low frequency electromagnetic oscillation molecular resonance effect technology
(MRET), before being used to prepare media for culturing human peripheral blood
mononuclear cells (PBMC) from three healthy females. Our results indicated that
PBMC culture in MRET-activated medium showed significantly less oxidative
metabolism when compared to media prepared from other types of water. As for the
effects on soybean, our results indicated that both MRET- and microwave-treated
water greatly enhanced the length of the root. These results suggested that
electromagnetic-treated water can have diverse biological effects on both animal
and plant cells. Since these effects are related to the 'Memory of Water',
hypothesis which has been suggested as an explanation of the action of high
homeopathic dilutions, our finding warrant a further investigation on the
mechanisms of various types of physically conditioned water on specific cellular
activities.
PMID- 24931752
TI - Observations on the effects of odours on the homeopathic response.
AB - Samuel Hahnemann described incidences where the homeopathic response was
disrupted by noxious smells in the environment. An earlier paper proposed that
homeopathic medicines may be sensed by vomeronasal cells (VNCs) i.e. microvillus
or brush cells in the vomeronasal organ (VNO), the taste buds and associated with
the trigeminal nerve and nervus terminalis. This paper proposes an extension to
the theory and suggests that a subset of solitary chemosensory cells (SCCs) in
the diffuse chemosensory system (DCS) that is morphologically similar to VNCs
might also be receptive to homeopathic medicines. The types of odours that may
interfere with this process are described. Two clinical cases of disruption of
the homeopathic response are given as examples, showing that successful re
establishment of remedy action can be produced by timely repetition of the
medicine. The ramifications on clinical homeopathic practice are discussed.
PMID- 24931753
TI - Observational study of Arctium lappa in the treatment of acne vulgaris.
AB - INTRODUCTION: Arctium lappa (Lappa) is used in traditional Western and Chinese
medicine for acne. It is mentioned in homeopathic literature for acne, but its
effect has not previously been evaluated. OBJECTIVE: To determine the
effectiveness of homeopathic medicine Lappa in treatment of acne vulgaris.
METHODS: An uncontrolled observational interventional study was conducted on
human subjects who fulfilled the inclusion criteria and gave written informed
consent. Lappa was prescribed in potencies starting from 6c rising to 1M as
required, over a period of 6 months. Objective assessment was change in acne
lesion counts supplemented with Global Acne Grading System (GAGS) and subjective
assessment by using Acne-Specific Quality of Life questionnaire (Acne-QoL).
RESULTS: Out of 34 human subjects, 32 completed the follow-up. Statistical
significant results were seen in lesion counts, GAGS and Acne-QoL score (p value
<0.001). CONCLUSION: Lappa has shown positive effects in the treatment of acne
especially of inflammatory type. Further controlled, randomized studies with
larger sample size are desirable. Trial is registered at ClinicalTrials.gov
Identifier: NCT01040390.
PMID- 24931754
TI - Hahnemann and placebo.
AB - Samuel Hahnemann (1755-1843) known today as the founder of homoeopathy, was - as
far as we know - the first physician who administrated placebos to his patient on
a systematic and regular basis. This study is based upon unpublished documents
(e.g. patients' letters) in the Archives of the Institute for the History of
Medicine of the Robert Bosch Foundation in Stuttgart. It also profited from the
critical edition of Hahnemann's case journals and the editorial comments which
have also been published in this series. Hahnemann differentiated clearly between
homeopathic drugs and pharmaceutical substances which he considered as sham
medicine (e.g. milk sugar). A close look at Hahnemann's case journals reveals
that the percentage of placebo prescriptions was very high (between 54 and 85
percent). In most instances Hahnemann marked placebos with the paragraph symbol
(S). The rationale behind this practice was that Hahnemann had encountered the
well-known problem that patients were used to taking medicine on a daily basis as
it was typical for the age of heroic medicine. The main reason for giving placebo
was therefore to please the impatient patient who was used to frequent
medications in allopathic medicine, not only every day but sometimes also hourly.
PMID- 24931755
TI - Parenteral immunonutrition in patients with acute pancreatitis: a systematic
review and meta-analysis.
AB - BACKGROUND & AIMS: Acute pancreatitis is a systemic immunoinflammatory response
to auto-digestion of the pancrease and peri-pancreatic organs. Patients with
acute pancreatitis can rapidly develop nutritional deficiency; hence nutritional
support is important and critical. Sometimes parenteral nutrition (PN) is
inevitable in acute pancreatitis. Due to immunosuppressive and inflammatory
nature of the disease, it seems that immunonutrients like glutamine and omega-3
fatty acids (omega-3 FAs) added to parenteral formulas may improve the
conditions. We conducted a meta-analysis to evaluate the effects of parenteral
immunonutrition on clinical outcomes (infectious complications, length of
hospital stay (LOS) and mortality) in patients with acute pancreatitis. METHODS:
A computerized literature search on four databases (PubMed, Cochrane, ISI Web of
Science, and Iran Medex) was performed to find all the randomized controlled
trials (RCTs) assessed the effects of parenteral immunonutrition in acute
pancreatitis. Necessary data were extracted and quality assessment of RCTs was
performed with consensus in the study team. Fixed effects model was used to
conduct the meta-analysis. RESULTS: One hundred and ninety four references were
found via our search in which 7 articles matched our criteria for enrolling the
meta-analysis. Parenteral immunonutrition significantly reduced the risk of
infectious complications (RR = 0.59; 95% CI, 0.39-0.88; p <= 0.05) and mortality
(RR = 0.26; 95% CI, 0.11-0.59; p <= 0.001). LOS was also shorter in patients who
received immunonutrition (MD = -2.93 days; 95% CI, -4.70 to -1.15; p <= 0.001).
CONCLUSION: Immunonutrients like glutamine and omega-3 FAs added to parenteral
formulas can improve prognoses in patients with acute pancreatitis.
PMID- 24931757
TI - The role of Black fathers in the lives of children in South Africa: child
protection for Black South Africans is often a collective responsibility.
PMID- 24931756
TI - The influence of parenteral glutamine supplementation on glucose homeostasis in
critically ill polytrauma patients--A randomized-controlled clinical study.
AB - BACKGROUND & AIMS: Rapid onset of resistance to insulin is a prominent component
of stress metabolism in multiple trauma patients. Recent studies have clarified
the role of amino acids (especially glutamine) in glucose transportation and the
benefits of parenteral alanyl-glutamine supplementation (0.3-0.6 g/kg/day) in
glucose homeostasis. The aims of this study are to evaluate the incidence of
hyperglycemic episodes and the need for exogenous insulin to maintain stable
glucose levels in critically ill polytrauma patients supplemented with parenteral
glutamine dipeptide (Dipeptiven((r))) versus standard nutritional support.
METHODS: This was an open-label randomized-controlled trial of 82 polytrauma
patients aged 20-60 years old, randomly assigned into two equal groups
independent of sex, age and Injury Severity Score. We excluded patients with
diabetes mellitus, or renal or hepatic failure. One group received parenteral
Dipeptiven((r)) supplementation of 0.5 g/kg/day and the other received standard
isocaloric isoproteinic nutritional support. RESULTS: We found that 63% of
patients in the glutamine-supplemented group had no hyperglycemic episodes; only
37% required exogenous insulin (mean daily requirement of 44 units/day). In the
control group, 51% of patients required insulin (mean daily requirement 63
unit/day; p = 0.0407). CONCLUSIONS: The effect of glutamine supplementation on
glucose homeostasis is associated with a lower incidence of hyperglycemia among
critically ill polytrauma patients, and leads to a lower mean daily dose of
insulin. Controlled-trials.com Identifier: ISRCTN71592366 (http://www.controlled
trials.com/ISRCTN71592366/ISRCTN71592366).
PMID- 24931758
TI - Working with fathers to safeguard children: failure to work with fathers around
the child occurs regularly.
PMID- 24931759
TI - Byars two-stage procedure for hypospadias after urethral plate transection.
AB - OBJECTIVE: To report on the outcomes of primary hypospadias repaired with the
Byars two-stage procedure. MATERIALS AND METHODS: Primary hypospadias repairs
with the Byars two-stage procedure, between 2009 and 2012, were retrospectively
reviewed. Medical charts were reviewed and analyzed. Follow up was at two weeks,
three months, six months and one year after surgery. Complications, which
included fistula, glans dehiscence, meatal stenosis, urethral stricture,
diverticulum, recurrent penile curvature and others, were documented and
analyzed. RESULTS: One hundred and twenty-eight cases were included in the
present study. The median follow up was 30 months (range 13-44 months). All flaps
took successfully after the first stage. Overall complication rates were 11.8%.
Complications included: seven cases of fistula; five glans dehiscence; two
urethral strictures, which developed after fistula repair; and one concealed
penis. No recurrent penile curvature was recorded. CONCLUSIONS: The Byars two
stage procedure is an option for primary hypospadias when the urethral plate is
transected. It had an 11.8% complication rate in this present study.
PMID- 24931760
TI - Cytoarchitecture of the olfactory bulb in the laggard mutant mouse.
AB - The laggard (lag) mutant mouse, characterized by hypomyelination and cerebellar
ataxia, is a spontaneously occurring mutant mouse caused by mutation in the Kif14
gene. In this mutant mouse, the laminated structures such as the cerebral and
cerebellar cortices and the dentate gyrus are cytoarchitecturally abnormal.
Macroscopically, the olfactory bulb of the lag mutant mouse is smaller in size
and more transparent than the normal counterpart. Hematoxylin-eosin staining
reveals that the mutant olfactory bulb has normal lamination in general, but
detailed analysis has demonstrated that olfactory periglomerular cells and
granule cells are reduced in number. In the mutant, olfactory glomeruli are
cytoarchitecturally disorganized and mitral cells are arranged in multiple cell
layers instead of being arranged in a single layer. The rostral migratory stream
in the mutant becomes gradually thinner or obliterated during early postnatal
days. Some of mitral cells and periglomerular cells are multinucleated,
suggesting that Kif14 mutation leads to an abnormal cell division. In the mutant,
terminal deoxynucleotidyl transferase dUTP nick end labeling (TUNEL)-positive
cells in the subventricular zone of the lateral ventricle are increased in
number, especially at perinatal age, suggesting that the decreased population of
granule cells in the lag mutant mouse is caused by the increased apoptotic cell
death. The olfactory input appears to be intact, as indicated by anterograde
labeling of olfactory nerves with an injection of wheat germ agglutinin
horseradish peroxidase (WGA-HRP) into the olfactory mucosa. In conclusion, the
olfactory bulb of the lag mutant mouse is cytoarchitecturally affected,
suggesting that the causal gene for lag mutation, i.e., Kif14, has multiple
effects on the development of laminated structures in the central nervous system
in addition to the myelin formation.
PMID- 24931761
TI - Temporal modulation of the canonical clockwork in the suprachiasmatic nucleus and
olfactory bulb by the mammary pheromone 2MB2 in pre-visual rabbits.
AB - During the early stages of development, the olfactory system plays a vital role
in the survival of altricial mammals. One remarkable example is the Oryctolagus
cuniculus, whose mother-young interaction greatly depends on the 2-methylbut-2
enal (2MB2) pheromone that triggers nipple search and grasping behaviors.
Olfactory stimulation with 2MB2 regulates the expression of the core body
temperature and locomotor activity rhythms in rabbit pups, indicating the
modulation of the circadian system by this volatile cue. To address this issue,
in the present study, we determined the effect of stimulation with pulses of 2MB2
on the molecular circadian clockwork in the suprachiasmatic nucleus (SCN) and in
the main olfactory bulb (MOB). For this purpose, 7-day-old rabbits were
stimulated with distilled water (CON), with ethyl isobutyrate (ETHYL) or with the
pheromone (2MB2) at different times of the cycle, and 1h later, the expression of
the activity marker C-FOS and of the clock proteins PER1, CRY1 and BMAL1 was
evaluated in the SCN and in the three layers of the MOB. The clock proteins were
abundantly expressed in both structures; nevertheless these showed diurnal
rhythmicity only in the MOB, confirming that central pacemakers exhibit a
heterochronical development of the molecular clockwork. C-FOS expression in the
SCN and in the MOB was modulated by exposure to ETHYL and to 2MB2 only when these
stimulants were presented at ZT00 and at ZT18. In contrast, the clock proteins
were essentially modulated by 2MB2 at ZT00 and at ZT06 in both structures. In
addition, the PER1 and CRY1 proteins exhibited differential responses to
stimulation in the three layers of the MOB. For the first time, we report a
modulatory and time-dependent effect of the mammary pheromone 2MB2 on the
expression of the core clock proteins in the SCN and in the MOB in rabbits during
pre-visual stages of development.
PMID- 24931762
TI - The effect of motor imagery with specific implement in expert badminton player.
AB - Motor skill can be improved with mental simulation. Implements are widely used in
daily life and in various sports. However, it is unclear whether the utilization
of implements enhances the effect of mental simulation. The present study was
designed to investigate the different effects of motor imagery in athletes and
novices when they handled a specific implement. We hypothesize that athletes have
better motor imagery ability than novices when they hold a specific implement for
the sport. This is manifested as higher motor cortical excitability in athletes
than novices during motor imagery with the specific implement. Sixteen expert
badminton players and 16 novices were compared when they held a specific
implement such as a badminton racket and a non-specific implement such as a a
plastic bar. Motor imagery ability was measured with a self-evaluation
questionnaire. Transcranial magnetic stimulation was used to test the motor
cortical excitability during motor imagery. Motor-evoked potentials (MEPs) in the
first dorsal interosseous (FDI) and extensor carpi radialis muscles were
recorded. Athletes reported better motor imagery than novices when they held a
specific implement. Athletes exhibited more MEP facilitation than novices in the
FDI muscle with the specific implement applied during motor imagery. The MEP
facilitation is correlated with motor imagery ability in athletes. We conclude
that the effects of motor imagery with a specific implement are enhanced in
athletes compared to novices and the difference between two groups is caused by
long-term physical training of athletes with the specific implement.
PMID- 24931763
TI - Changes of motor-cortical oscillations associated with motor learning.
AB - Motor learning results from practice but also between practice sessions. After
skill acquisition early consolidation results in less interference with other
motor tasks and even improved performance of the newly learned skill. A specific
significance of the primary motor cortex (M1) for early consolidation has been
suggested. Since synchronized oscillatory activity is assumed to facilitate
neuronal plasticity, we here investigate alterations of motor-cortical
oscillations by means of event-related desynchronization (ERD) at alpha (8-12 Hz)
and beta (13-30 Hz) frequencies in healthy humans. Neuromagnetic activity was
recorded using a 306-channel whole-head magnetoencephalography (MEG) system. ERD
was investigated in 15 subjects during training on a serial reaction time task
and 10 min after initial training. The data were compared with performance during
a randomly varying sequence serving as control condition. The data reveal a
stepwise decline of alpha-band ERD associated with faster reaction times
replicating previous findings. The amount of beta-band suppression was
significantly correlated with reduction of reaction times. While changes of alpha
power have been related to lower cognitive control after initial skill
acquisition, the present data suggest that the amount of beta suppression
represents a neurophysiological marker of early cortical reorganization
associated with motor learning.
PMID- 24931764
TI - Cajal-Retzius cells: update on structural and functional properties of these
mystic neurons that bridged the 20th century.
AB - Cajal-Retzius cells (CRc) represent a mostly transient neuronal cell type
localized in the uppermost layer of the developing neocortex. The observation
that CRc are a major source of the extracellular matrix protein reelin, which is
essential for the laminar development of the cerebral cortex, attracted the
interest in this unique cell type. In this review we will (i) describe the
morphological and molecular properties of neocortical CRc, with a special
emphasize on the question which markers can be used to identify CRc, (ii)
summarize reports that identified the different developmental origins of CRc,
(iii) discuss the fate of CRc, including recent evidence for apoptotic cell death
and a possible persistence of some CRc, (iv) provide a detailed description of
the electrical membrane properties and transmitter receptors of CRc, and (v)
address the role of CRc in early neuronal circuits and cortical development.
Finally, we speculate whether CRc may provide a link between early network
activity and the structural maturation of neocortical circuits.
PMID- 24931765
TI - Over-expression of laminin correlates to recovery of vasogenic edema following
status epilepticus.
AB - In the present study, we addressed the question of whether the up-regulation of
laminin expression represents the astroglio-vascular responses to status
epilepticus (SE) in the rat brain to better understand the role of vasogenic
edema in epileptogenic insult. In the hippocampus, vasogenic edema was observed
in the hippocampus 12h after SE when astroglial degeneration was undetected.
Vasogenic edema in the hippocampus was more severe in the CA1 region where
astroglial loss was absent than in the dentate gyrus showing astroglial
degeneration. In the piriform cortex (PC), vasogenic edema was accompanied by
appearance of astroglial degeneration 12h after SE. Laminin expression in the
hippocampus and the PC was increased 3 days and 4 days after SE, respectively.
Laminin expression was up-regulated in the hippocampus and the PC with
concomitant reduction of SMI-71 (the endothelial barrier antigen) expression.
Four weeks after SE, laminin expression was reduced in vessels showing strong SMI
71 expression within vasogenic edema lesion. Inhibition of SE-induced vasogenic
edema formation by BQ788 effectively prevented laminin over-expression.
Therefore, our findings indicate that laminin over-expression may be one of
consequences from vasogenic edema rather than astroglial loss, and that laminin
over-expression may promote migration of astrocytes to damaged or newly generated
vessels to repair brain-blood barrier (BBB) disruption accompanied by the
reconstruction of endothelial barrier.
PMID- 24931766
TI - The heterogeneity of ventral tegmental area neurons: Projection functions in a
mood-related context.
AB - The ventral tegmental area (VTA) in the brain's reward circuitry is composed of a
heterogeneous population of dopamine, GABA, and glutamate neurons that play
important roles in mediating mood-related functions including depression. These
neurons project to different brain regions, including the nucleus accumbens
(NAc), the medial prefrontal cortex (mPFC), and the amygdala. The functional
understanding of these projection pathways has been improved since the extensive
use of advanced techniques such as viral-mediated gene transfer, cell-type
specific neurophysiology and circuit-probing optogenetics. In this article, we
will discuss the recent progress in understanding these VTA projection-specific
functions, focusing on mood-related disorders.
PMID- 24931767
TI - Brain CD47 expression in a swine model of intracerebral hemorrhage.
AB - CD47 contributes to neuronal death, inflammation and angiogenesis after brain
ischemia. The role of CD47 in intracerebral hemorrhage (ICH) has not been
investigated and the current study examined brain CD47 expression in a pig ICH
model. Pigs received a blood injection or needle insertion into the right frontal
lobe and were euthanized at different times to examine CD47 expression. Pigs were
also treated with an iron chelator, deferoxamine, (50mg/kg, i.m.) or vehicle and
killed at day-3 to examine the effects on CD47. ICH resulted in upregulation of
brain CD47 in both white and gray matter by both immunohistochemistry and Western
blot. A time-course showed ICH-induced CD47 upregulation from 4h to day-14, with
a peak at day-3. CD47 positive cells were neurons, microglia/macrophage and
oliogodendrocytes. Brain CD47 levels were lower in the ipsilateral white and gray
matter in pigs which had deferoxamine treatment. In conclusion, CD47 expression
was increased in the perihematomal white and gray matter after ICH. Deferoxamine
and iron may modulate CD47 expression.
PMID- 24931768
TI - GILZ: Glitzing up our understanding of the glucocorticoid receptor in
psychopathology.
AB - Dysfunction of the hypothalamic-pituitary-adrenal axis, particularly the
glucocorticoid receptor, is a commonly implicated link between stress and
psychopathology. GR abnormalities are frequently reported in depression, and
these anomalies must be resolved before depressive symptoms remit. This
biological finding is rendered clinically relevant by the knowledge that only
select antidepressants alter GR function. The relationship between GR dysfunction
and other diseases associated with psychiatric stress, such as post-traumatic
stress disorder (PTSD) and fibromyalgia, is also documented. However, as
laboratory constraints limit the utility of GR testing, other measures of GR
activity, such as levels of GR-induced genes, may have greater clinical value. In
this review, glucocorticoid-induced leucine zipper (GILZ), a product of GR
initiated gene transcription, will be discussed in the context of GR dysfunction
in psychopathology.
PMID- 24931769
TI - Should the Emergency Journey Coordinator and 4 h target be introduced to others?
PMID- 24931770
TI - Gluteal fold flap in perineal reconstruction for Crohn's disease-associated
fistulae.
AB - INTRODUCTION: Crohn's disease is increasing in incidence worldwide. It is
associated with many complications including fistulae, which may require surgical
intervention. Occasionally, formal perineal reconstruction is needed for
extensive or definitive fistula surgery. Reconstruction for inflammatory disease
presents unique challenges and often calls for innovative solutions. Gluteal fold
flaps (GFFs), which have been widely used in vulvo-vaginal malignancy and
anorectal cancer surgery, have not hitherto been reported for Crohn's disease
associated fistulae. CASE PRESENTATION: A 30-year-old female presented with a 5
year history of Crohn's-associated perianal and rectovaginal fistulae. She had a
previous small bowel resection and ileostomy. A laparascopic pan-proctocolectomy
was carried out followed by perineal reconstruction in a single stage procedure
using a pedicled fasciocutaneous GFF. Seven months postoperatively, revisional
surgery was carried out using the contralateral GFF due to two areas of
persistent wound dehiscence. The outcome was complete resolution of the fistulae,
stable wound closure and good cosmesis. DISCUSSION & CONCLUSION: This case
demonstrates that it is practical to use the GFF for perineal reconstruction
following excision of complex Crohn's-associated fistulae. The flap avoids the
sequelae associated with sacrifice of regional muscle flaps and specifically
circumvents the unavailability of the rectus abdominis flap in slim patients or
those with in-situ ileostomies. It is easy and quick to raise and does not
require an intra-operative change in the patient's position. The GFF ensured well
vascularised skin cover, adequate flap volume with no loss of function and low
donor site morbidity.
PMID- 24931771
TI - Trends of melanoma presentation in Hull and East Yorkshire: 25 years of data
(1988-2012).
PMID- 24931772
TI - Distally-based osteocutaneous dorsal metatarsal artery flap for hallux
reconstruction.
AB - Reconstruction of complex defects of the distal portion of the foot remains a
challenging problem for plastic surgeons. A traumatic case is presented where
reconstruction of a complex plantar defect of the hallux was achieved using a
distally-based osteocutaneous metatarsal artery flap based on the second dorsal
metatarsal artery. Local flap repair is a viable reconstructive option for
complex defects of the plantar surface of the forefoot.
PMID- 24931773
TI - The use of continuous external tissue expander for direct closure of
anterolateral thigh free flap donor sites.
PMID- 24931774
TI - Recent developments in gold(I) coordination chemistry: luminescence properties
and bioimaging opportunities.
AB - The fascinating biological activity of gold coordination compounds has led to the
development of a wide range of complexes. The precise biological action of such
species is often poorly understood and the ability to map gold distribution in
cellular environments is key. This article discusses the recent progress in
luminescent Au(I) complexes whilst considering their utility in bioimaging and
therapeutics.
PMID- 24931775
TI - The metal tin promoted cascade reaction of ketones in aqueous media for the
construction of 2-bromo-4-aryl-1,3-pentadiene.
AB - A novel type of transformation was discovered serendipitously during the Barbier
type allenylation reaction of aromatic ketones promoted by the metal, tin, in
aqueous media. Additionally, a series of new, highly functionalized 2-bromo-4
aryl-1,3-pentadienes could be obtained with good yields in this reaction. This
cascade reaction shows the unique properties of the metal, tin. Furthermore, it
is actually a cascade reaction which involves two steps: one is the Barbier-type
allenylation of the carbonyl compound, and the other is an SN2' type addition
elimination reaction. Notably, this reaction has the advantages of simple, mild
conditions and is easy to operate. Furthermore, the corresponding product could
be applied to various coupling reactions or other diversified transformations.
PMID- 24931776
TI - Reinforcement enhances vigilance among children with ADHD: comparisons to
typically developing children and to the effects of methylphenidate.
AB - Sustained attention and reinforcement are posited as causal mechanisms in
Attention-Deficit/Hyperactivity Disorder (ADHD), but their interaction has
received little empirical study. In two studies, we examined the impact of
performance-based reinforcement on sustained attention over time, or vigilance,
among 9- to 12-year-old children. Study 1 demonstrated the expected vigilance
deficit among children with ADHD (n = 25; 12% female) compared to typically
developing (TD) controls (n = 33; 22% female) on a standard continuous
performance task (CPT). During a subsequent visit, reinforcement improved
attention more among children with ADHD than controls. Study 2 examined the
separate and combined effects of reinforcement and acute methylphenidate (MPH) on
CPT performance in children with ADHD (n = 19; 21% female). Both reinforcement
and MPH enhanced overall target detection and attenuated the vigilance decrement
that occurred in no-reinforcement, placebo condition. Cross-study comparisons
suggested that the combination of MPH and reinforcement eliminated the vigilance
deficit in children with ADHD, normalizing sustained attention. This work
highlights the clinically and theoretically interesting intersection of
reinforcement and sustained attention.
PMID- 24931777
TI - Predictors of quality of life in 102 patients with treated Cushing's disease.
AB - OBJECTIVE: To identify predictors for quality of life (QoL) in treated Cushing's
disease (CD) and quantify patients' assessment of their disease status. CONTEXT:
Significant reductions in QoL exist in CD patients despite treatment. Identifying
predictors of QoL is paramount to the long-term management of these patents.
DESIGN: A cross-sectional study was conducted of patients with treated CD.
Patients completed a medical history questionnaire and three validated quality of
life assessments: Cushing's QoL Questionnaire (CushingQoL), Hospital Anxiety and
Depression Scale (HADS) and Nottingham Health Profile (NHP). PATIENTS: 102
patients (75.7% female, mean time since surgery 7.4 years) with treated CD were
included. MEASUREMENTS: Patients were categorized by biochemical and self
identified disease status. Mean CushingQoL, anxiety and depression scores were
compared by unpaired t-tests. Multiple linear regressions were performed on the
whole cohort to assess for predictors of impaired QoL. RESULTS: Ninety-two per
cent of the cohort met criteria for biochemical remission, but only 80.4% felt
they had achieved remission. Among those with biochemical remission, those who
also self-identified as being in remission had higher CushingQoL scores than
those who self-identified as having persistent disease (P = 0.042). Anxiety (P =
0.032) and depression (P = 0.018) scores were lower, and CushingQoL scores were
higher (P = 0.05) in patients who self-identified as being in remission compared
to persistence. Recovery time, BMI, gender and age were also predictors for QoL.
CONCLUSION: Our study identifies the discordance that can exist between
biochemical and self-assessed disease status and demonstrates its impact on QoL
in patients with CD. These findings highlight the importance of incorporating
patients' disease perceptions in their management.
PMID- 24931779
TI - Editorial.
PMID- 24931780
TI - Problems of research on pharmacotherapy of rapidly cycling bipolar disorder.
PMID- 24931778
TI - Predictors of medium-term patency in percutaneous endovascular therapy of femoro
popliteal lesions.
AB - BACKGROUND: The objective is to study the medium-term results of angioplasty and
stenting in the femoro-popliteal sector in patients with critical limb ischemia
(CLI), and identify angiographic predictive factors of primary patency. PATIENTS
AND METHODS: Retrospective review of 98 patients with critical ischemia and
angiographic lesions characterized as TASC A=13 (14%), B=38 (40%), C=24 (25%) or
D=20 (21%). A total of 106 angioplasties and primary self-expanding stents (mean
length of stent coverage of 19cm) were performed between January 2006 and January
2011. RESULTS: The immediate results of patency, limb salvage and survival were
95, 96 and 96%, respectively. Primary patency at 1 and 2 years was 54 and 38%,
respectively. Twenty-seven cases (25%) required endovascular iterative
procedures, providing an assisted patency at 1 and 2 years of 72 and 60%, and a
secondary patency of 80 and 67%. A lower primary patency was observed (log rank)
when stent length was >20cm (P<.001), popliteal artery was invloved (P=.004), and
in TASC C and D lesions (P=.04). In multivariate analysis (Cox), only stent
length>20cm was an independent negative predictor for primary patency (HR=5.7,
P<.001). The limb salvage at 1 and 2 years was 83 and 81%, respectively.
CONCLUSIONS: Angioplasty with stent in the femoro-popliteal sector is a safe
technique, but with significantly lower permeability results in injuries that
require stent coverage of more than 20cm. In these cases, vein bypass surgery
should be the procedure of choice.
PMID- 24931781
TI - Can we identify the factors influencing the burden on family members of patients
with schizophrenia?
AB - A growing number of studies reflects an increasing growing interest in the burden
on the family (BF) of sufferers from schizophrenia. Many of these studies aim at
revealing and identifying the variables that determine BF. This review compares
and discusses the results of these studies. Although many variables, such as the
diagnosis and symptoms of the patient, the duration of the illness, the treatment
setting (outpatient/inpatient), the family relationship between the patient and
the relative, and the type of family intervention (counselling and psycho
education) are examined in various studies, there is little consensus on which
factors determine the extent and/or pattern of BF. In our opinion, this lack of
consistency is mainly caused by: (1) the varieties of definitions and
operationalizations of BF employed; (2) the fact that most studies examine only
one or just a few variables, so that variables other than those examined may have
varied between studies; and (3) the difference in the periods of time discussed
in the different studies. To gain more insight into the factors that determine
BF, a better standardization of the definition and operationalization of BF, as
well as of the period of time studied, is needed, as well as exhaustive studies
examining the various factors that may determine BF.
PMID- 24931782
TI - Hypnosis as an intervention in pain management: A brief review.
AB - While there is a consensus that psychosocial factors play an important role in
the experience of pain, clinical interventions for acute and chronic pain remain
primarily biomedical. This pattern persists despite a body of recent empirical
work supporting the effectiveness of a number of behavioral and relaxation
interventions for these problems. One of these interventions is hypnosis. We
briefly discuss hypnotic analgesia and describe how hypnosis can be integrated
into biomedical treatment for acute and chronic pain. Special attention is given
to indications and contraindications, preparation of patients, and technique.
PMID- 24931783
TI - Acute antidepressant response to fluoxetine and sertraline in psychiatric
outpatients with psychomotor agitation.
AB - INTRODUCTION: Sertraline and fluoxetine have different pharmacologic and
pharmacokinetic profiles, which may be of clinical relevance in the determination
of treatment response in different subtypes of depression. OBJECTIVE: To analyse
the efficacy of sertraline and fluoxetine in a subgroup of 78 patients with
evidence of significant psychomotor agitation (HAM-D item 8 h 1 and HAM-D
item 9 S 2 at study entry) in a 6-week study comparing sertraline (50 - 100
mg/day) and fluoxetine (20 - 40 mg/day) for the treatment of major depression in
286 psychiatric outpatients. RESULTS: The proportion of patients with psychomotor
agitation responding ( S 50% reduction HAM-D score) at last visit was
significantly ( P < 0.05) higher in the sertraline group than in the fluoxetine
group (62% vs 39%, respectively). Most of the secondary efficacy parameters
showed significantly ( P h 0.05) greater improvement in the sertraline
treatment group at last visit: HAM-D h 8, HAM-D total score, HAM-D
anxiety/somatization factor, HAM-D weight factor, HAM-A total score, CGI-S,
Raskin Depression score, and Covi Anxiety score. CONCLUSION: The findings of this
retrospective data analysis suggest that fluoxetine may be a less efficacious
antidepressant than sertraline in patients with psychomotor agitation.
PMID- 24931784
TI - The Wessex Suicide Audit 1988-1993: A study of 1457 suicides with and without a
recent psychiatric contact.
AB - INTRODUCTION: The inquest files of 1457 suicides from a defined geographical area
were inspected to establish their psychiatric patient status at the time. METHOD:
Risk factors significantly more prevalent in recent psychiatric patient (RPP)
suicides than in other suicides were determined. (RPPs were psychiatric patients
who died before discharge, within a year of discharge from inpatient care, or
within a year of last contact with a specialist psychiatric service). RESULTS:
Significant differences were found between the RPP suicides and other suicides in
causes of death, drugs used in fatal overdose, clinical characteristics and time
since contact with a medical practitioner, particularly with a GP. RPPs were
significantly ( P < 0.01) more likely to have: had a family history of mental
illness; attempted suicide in the previous 6 months; made a suicide threat in the
previous month; died from an overdose of prescribed medication; shown symptoms of
depression; and been in contact with a doctor in the preceding week. CONCLUSION:
The similarity of the RPP and other suicides in Wessex to those in both national
and international suicide populations suggest that the findings may be generally
applicable.
PMID- 24931785
TI - Effect of executive functioning on perceived needs in chronic schizophrenia.
AB - INTRODUCTION: Recent approaches to needs assessment involve patients in this
process; however, little is known of the effects of mental illness on patients'
ability to assess their own needs. METHOD: The needs of 26 subjects with
schizophrenia were assessed over 22 domains by patients and staff, using the
Camberwell Assessment of Need Short Appraisal Schedule (CANSAS). Patients'
executive functioning was assessed using the Wisconsin Card Sorting Test (WCST).
RESULTS: Mean discrepancies between ratings by staff and by patients were
compared across executive functioning; results showed no effect of executive
functioning on the discrepancy between staff and patient ratings. Higher
executive functioning was associated with more met needs. Multivariate analysis
showed higher executive functioning associated with more needs in some but not
all areas. CONCLUSIONS: Results suggest that patients with schizophrenia and
impaired executive functioning can validly estimate their needs. Better executive
functioning may be associated with the ability to get one's needs met, increased
awareness of needs, better ability to communicate needs, or more needs in certain
areas. Need is shown to be a complex variable, and not simply an index of
functioning. Hospitals and clinics must ensure that patients' views find full
expression in ratings. Needs ratings and rehabilitation status should be viewed
in the light of neuropsychological functioning.
PMID- 24931786
TI - Discharge prescribing practices: Comparing a research ward to general treatment
wards.
AB - OBJECTIVE: To compare the prescribing practices between a research ward and
general non-research wards within the same psychiatric facility. METHOD: This
drug utilization survey is a retrospective naturalistic study evaluating
discharge prescriptions from a tertiary-care psychiatric teaching hospital over a
2-year period. RESULTS: Overall, patients discharged from the general wards were
prescribed significantly more psychotropic medications than patients discharged
from the research ward. This was attributed, in part, to the greater prevalence
of antipsychotic polypharmacy and anticholinergic utilization. CONCLUSIONS: We
postulate that the academic environment of a research ward accounts for the
differences in prescribing practices observed in our study.
PMID- 24931787
TI - A preliminary study of patients on repeat prescriptions of opioid and non-opioid
analgesics.
AB - BACKGROUND: Available literature suggests that problems due to dependence do not
result from the use of low doses of low-potency opioids used for short periods of
time, but no studies looked at the effect of their long-term use. OBJECTIVES: The
main objective of the study was to discover whether those patients on long-term
low-potency opioids, and those on non-opioid analgesics, could suffer the
problems of tolerance and dependence. The study also explored the validity of
applying DCR-10 criteria to a non-problematic population of analgesic users in
identifying drug-dependent patients in primary care. METHOD: The prevalence of
potential dependence amongst long-term users was assessed by a semi-structured
questionnaire applying the DCR-10 criteria for Dependence Syndrome. The sample
consisted of 38 randomly selected patients, drawn from four practices in North
Cheshire, who had been on continuous repeat prescriptions of low-potency opioids,
compound analgesics containing them and non-opioid analgesics (non-steroidal anti
inflammatory drugs - NSAIDs) for a minimum of one year, without any problems
becoming apparent either to the patients or to their GPs. RESULTS: Approximately
3.6% of the North Cheshire GP practice population, predominantly elderly people,
were shown to be on either opioid and/or non-opioid analgesics continuously for
at least one year. An estimated 31% and 40% of patients on NSAIDs and low-potency
opioids respectively fulfilled the DCR-10 criteria for Dependence Syndrome. With
the exception of the criterion of 'impaired control' (odds ratio 4; P < 0.05),
the DCR-10 criteria are equally likely to be reported in both groups. CONCLUSION:
An unexpectedly high incidence of dependence was found, not only on opioids but
also within the NSAIDs group. The findings may have resulted, at least in part,
from an undetermined DCR-10 specificity.
PMID- 24931788
TI - Johann Bernhard Aloys von Gudden (1824-1886): not only the King of Bavaria's
psychiatrist.
AB - The great achievements of Bernhard von Gudden in the field of biological
psychiatry are overshadowed by his two-day treatment of the Bavarian 'fairy-tale'
king Ludwig II, with whom he drowned in the Lake of Starnberg in 1886. Gudden was
in fact a visionary psychiatrist who blended a strong interest in neurobiology
with humanitarian principles. He was far more than just a consulting psychiatrist
to the Bavarian royal family.
PMID- 24931789
TI - The Charles Bonnet syndrome: symptomatic relief with atypical neuroleptics: a
case series.
AB - We describe a consecutive case series of five patients who all fulfil published
criteria for Charles Bonnet syndrome. Four of the five patients showed a good
response to the atypical antipsychotic sulpiride. The nature of the Charles
Bonnet syndrome is briefly reviewed.
PMID- 24931790
TI - Pisa syndrome (pleurothotonus) in a polytoxicomanic patient.
AB - We describe the case of a 33-year-old drug addict who developed Pisa syndrome
(pleurothotonus) following an intoxication with tramadol, alprazolam, diazepam,
bromazepam, alcohol and dothiepin. Pisa syndrome abated as the patient recovered
from his intoxication. We discuss the possible causes of Pisa syndrome and
suggest that high doses of the tricyclic antidepressant dothiepin might be the
most appropriate explanation for the appearance of Pisa syndrome in this patient.
PMID- 24931791
TI - 'Alice in Wonderland' syndrome as a precursor of delusional misidentification
syndromes.
AB - The present paper describes a case of abuse of toluene-based solvent in which
features of both 'Alice in Wonderland' (AIW) syndrome with deja vu , and
delusional misidentification syndromes (DMS), were exhibited. Toluene-induced
brain dysfunction was the basis of the weak ties between percepts and sensory
information, which in turn led to the misperceptions. Once misperceptions fed
back into the cycle comprised of misperceptions, false beliefs and erroneous
expectations, DMS were induced. AIW syndrome with deja vu is a precursor and
forms the basis of DMS.
PMID- 24931794
TI - D-cycloserine injected into the dorsolateral periaqueductal gray induces
anxiolytic-like effects in rats.
AB - D-cycloserine (DCS) is a partial agonist of the glycine site coupled to the NMDA
receptor (NMDAR). As a consequence, depending on the doses used it can function
as an agonist or antagonist at this site. In rodents, anxiolytic-like effects
have been observed after the systemic administration of high doses of DCS. The
brain sites of these effects have not been investigated. Direct brain injection
of glycine site antagonists or agonists into the dorsolateral periaqueductal gray
(dlPAG), a brain structure involved in the modulation of defensive-related
behaviors, produces anxiolytic- or anxiogenic-like effects, respectively. The
present study investigated if the dlPAG could be a brain site of the anxiolytic
effects observed after DCS systemic administration. Male Wistar rats received
intra-dlPAG injections of DCS (25, 50, 100 or 200 nmol) and were exposed to the
elevated plus-maze (EPM) or to the light-dark box. DCS, at the dose of 200 nmol,
increased open arm exploration and the time spent in the light compartment,
respectively. Based on this result we tested the effects of intra-dlPAG DCS (200
nmol) administration in animals submitted to the Vogel conflict tests. Anxiolytic
like effect was also observed in this test indicated by the increase of punished
responses. The drug did not change locomotor activity, discarding potential
confounding factors. These results indicated that administration of DCS, a
partial agonist of the NMDAR-associated glycine site, into the dlPAG induces
anxiolytic-like effects in different models, pointing to a possible site of
action of this compound.
PMID- 24931795
TI - The role of alpha oscillations for illusory perception.
AB - Alpha oscillations are a prominent electrophysiological signal measured across a
wide range of species and cortical and subcortical sites. Alpha oscillations have
been viewed for a long time as an "idling" rhythm, purely reflecting inactive
sites. Despite earlier evidence from neurophysiology, awareness that alpha
oscillations can substantially influence perception and behavior has grown only
recently in cognitive neuroscience. Evidence for an active role of alpha for
perception comes mainly from several visual, near-threshold experiments. In the
current review, we extend this view by summarizing studies showing how alpha
defined brain states relate to illusory perception, i.e. cases of perceptual
reports that are not "objectively" verifiable by distinct stimuli or stimulus
features. These studies demonstrate that ongoing or prestimulus alpha
oscillations substantially influence the perception of auditory, visual or
multisensory illusions.
PMID- 24931797
TI - Different relations between schedule-induced polydipsia and impulsive behaviour
in the Spontaneously Hypertensive Rat and in high impulsive Wistar rats:
questioning the role of impulsivity in adjunctive behaviour.
AB - Rats belonging to three different strains (15 Wistar, 8 Spontaneously
Hypertensive - SHR- and 8 Wistar Kyoto - WKY-) were used to evaluate the possible
relationship between different levels of impulsivity and development of schedule
induced polydipsia (SIP). We first measured the rats' levels of impulsivity by
means of delay-discounting and indifference-point procedures. Secondly,
development of SIP was studied under a series of fixed time 15, 30, 60 and 120s
food schedules, which were counterbalanced by means of a Latin-square design.
Finally, we re-assessed the rats' levels of impulsivity by replicating the delay
discounting test. The findings showed that, starting from equivalent levels of
impulsivity, development of SIP differed among the groups of rats. In comparison
with the rest of the animals, the SHRs were observed to attain elevated drinking
rates under SIP. On the other hand, the Wistar rats which had initial high
impulsivity levels similar to those of the SHRs, displayed the lowest rates of
induced drinking. Moreover, low levels of impulsivity in Wistar rats prior to SIP
acquisition were reflected into high drinking rates. Relation of SIP and
impulsivity is questioned by present results, which gives ground to the
understanding of the behavioural mechanisms involved in adjunctive behaviour and
its usefulness as an animal model of excessive behaviour.
PMID- 24931796
TI - The action of orexin B on passive avoidance learning. Involvement of
neurotransmitters.
AB - The extensive projection of orexigenic neurons and the diffuse expression of
orexin receptors suggest that endogenous orexins are involved in several
physiological functions of the central nervous system, including learning and
memory. Our previous study demonstrated that orexin A improves learning,
consolidation and retrieval processes, which involves alpha- and beta-adrenergic,
cholinergic, dopaminergic, GABA-A-ergic, opiate and nitrergic neurotransmissions.
However, we have little evidence about the action of orexin B on memory processes
and the underlying neuromodulation. Therefore, the aim of the present study was
to investigate the action of orexin B on passive avoidance learning and the
involvement of neurotransmitters in this action in rats. Accordingly, rats were
pretreated with the selective orexin 2 receptor (OX2R) antagonist, EMPA; the
gamma-aminobutyric acid subunit A (GABA-A) receptor antagonist, the bicuculline;
a D2, D3, D4 dopamine receptor antagonist, haloperidol; the nonselective opioid
receptor antagonist, naloxone; the non-specific nitric oxide synthase (NOS)
inhibitor, nitro-l-arginine; the nonselective alpha-adrenergic receptor
antagonist, phenoxybenzamine and the beta-adrenergic receptor antagonist,
propranolol. Our results demonstrate that orexin B can improve learning,
consolidation of memory and retrieval. EMPA reversed completely the action of
orexin B on memory consolidation. Bicuculline blocked fully; naloxone, nitro-l
arginine, phenoxybenzamine and propranolol attenuated the orexin B-induced memory
consolidation, whereas haloperidol was ineffective. These data suggest that
orexin B improves memory functions through OX2R and GABA-ergic, opiate,
nitrergic, alpha- and beta-adrenergic neurotransmissions are also involved in
this action.
PMID- 24931798
TI - 'His nerves gave way': Shell shock, history and the memory of the First World War
in Britain.
AB - During the First World War soldiers suffered from a wide range of debilitating
nervous complaints as a result of the stresses and strains of modern warfare.
These complaints--widely known as shell shock--were the subject of much medical
military debate during the war and became emblematic of the war and its
sufferings afterwards. One hundred years after the war the diagnosis of PTSD has
not resolved the issues initially raised by First World War shell shock. The
stigma of mental illness remains strong and it is still difficult to commemorate
and remember the mental wounds of war in a culture which tend to glory or
glamorise military heroes.
PMID- 24931799
TI - Opportunities for utilizing new technologies to increase vaccine confidence.
AB - The emergence of new digital technologies has 'disrupted' traditional vaccine
information communication. This article reviews the impact of the Internet,
social media, digital detection and mobile applications on both fueling anti
vaccine sentiment and providing a mechanism by which to address vaccine
hesitancy. While the anti-vaccine community has leveraged the Internet and social
media to bypass traditional sources of information and communicate with
susceptible parents, digital surveillance and mobile apps offer an important
opportunity for public health officials to develop new strategies to identify and
address concerns in a real-time manner.
PMID- 24931800
TI - Lipid trafficking in plant cells.
AB - Plant cells contain unique organelles such as chloroplasts with an extensive
photosynthetic membrane. In addition, specialized epidermal cells produce an
extracellular cuticle composed primarily of lipids, and storage cells accumulate
large amounts of storage lipids. As lipid assembly is associated only with
discrete membranes or organelles, there is a need for extensive lipid trafficking
within plant cells, more so in specialized cells and sometimes also in response
to changing environmental conditions such as phosphate deprivation. Because of
the complexity of plant lipid metabolism and the inherent recalcitrance of
membrane lipid transporters, the mechanisms of lipid transport within plant cells
are not yet fully understood. Recently, several new proteins have been implicated
in different aspects of plant lipid trafficking. While these proteins provide
only first insights into limited aspects of lipid transport phenomena in plant
cells, they represent exciting opportunities for further studies.
PMID- 24931801
TI - Determination of ASP3258, a novel phosphodiesterase type 4 inhibitor, in rat
plasma by high-performance liquid chromatography with fluorescence detection and
its application to pharmacokinetic study.
AB - The potent phosphodiesterase 4 inhibitor ASP3258 contains a carboxylic acid
moiety and a naphthyridine ring and is a novel therapeutic agent for asthma and
chronic obstructive pulmonary disease. To support the drug development of
ASP3258, we developed and validated a simple method for its determination in rat
plasma. Following the addition of the analog AS1406604-00 as an internal
standard, plasma samples were processed using C18 -bonded solid-phase extraction
cartridges under acidic conditions and injected into a high-performance liquid
chromatography system with fluorescence detection. Chromatographic separation was
achieved on a Shiseido Capcell Pak C18 UG120 column (3.0 * 150 mm, 5 um) with a
mobile phase consisting of acetonitrile-0.5% acetic acid (50:50, v/v). HPLC
eluent was monitored with a fluorescence detector set at a wavelength of 315 nm
for excitation and 365 nm for emission. The calibration curve was linear over a
range of 2.5-250 ng/mL. Validation data demonstrated that the method is
selective, sensitive and accurate. In addition, the present method was
successfully applied to rat plasma samples from a pharmacokinetic study.
PMID- 24931802
TI - Compensatory hypertrophy after partial and radical nephrectomy in adults.
AB - PURPOSE: We assessed compensatory hypertrophy in the contralateral kidney after
partial and radical nephrectomy in adults. We also examined predictive factors to
facilitate more accurate estimation of global renal function after surgery.
MATERIALS AND METHODS: We analyzed the records of 172 patients who underwent
partial or radical nephrectomy with appropriate studies to determine function and
parenchymal mass specifically in the operated and contralateral kidneys. All
patients required renal scans to provide split renal function preoperatively and
postoperatively. Parenchymal volume was measured by computerized tomography. All
studies were done less than 2 months preoperatively and 4 to 12 months
postoperatively. RESULTS: A total of 113 and 59 patients underwent partial and
radical nephrectomy, and median tumor size was 3.5 and 7.0 cm, respectively (p
<0.0001). Of patients treated with partial nephrectomy 19% had high complexity
tumor compared to 80% of those treated with radical nephrectomy (p <0.0001).
Median ipsilateral parenchymal volume was reduced 18% after partial nephrectomy
and the median glomerular filtration rate in this kidney decreased 24.4%. The
median contralateral kidney function increase after partial nephrectomy was 2.3%
vs 21.1% after radical nephrectomy (p <0.0001). Median global function decreased
9.6% after partial nephrectomy vs 32.2% after radical nephrectomy (p <0.0001). A
larger percent parenchymal volume loss (p = 0.0001) and fewer comorbidities (p =
0.0072) significantly correlated with greater compensatory hypertrophy in the
contralateral kidney on multivariable analysis. CONCLUSIONS: Compensatory
hypertrophy in adults was limited after partial nephrectomy and it correlated
significantly with the amount of parenchymal volume excised. Healthier patients
also appeared to respond better. These results may allow for more accurate
estimation of global renal function after partial and radical nephrectomy.
PMID- 24931803
TI - Current clinical presentation and treatment of localized prostate cancer in the
United States.
AB - PURPOSE: SEER recently released patient Gleason scores at biopsy/transurethral
resection of the prostate. For the first time this permits accurate assessment of
prostate cancer presentation and treatment according to clinical factors at
diagnosis. MATERIALS AND METHODS: We used the SEER database to identify men
diagnosed with localized prostate cancer in 2010 who were assigned NCCN((r)) risk
based on clinical factors. We identified sociodemographic factors associated with
high risk disease and analyzed the impact of these factors along with NCCN risk
on local treatment. RESULTS: Of the 42,403 men identified disease was high,
intermediate and low risk in 38%, 40% and 22%, respectively. On multivariate
analysis patients who were older, nonwhite, unmarried or living in a county with
a higher poverty rate were more likely to be diagnosed with high risk disease
(each p <0.05). Of the 38,634 men in whom prostate cancer was the first
malignancy 23% underwent no local treatment, 40% were treated with prostatectomy,
36% received radiation therapy and 1% underwent local tumor destruction,
predominantly cryotherapy. On multivariate analysis patients who were older,
black, unmarried or living in a county with a higher poverty rate, or who had low
risk disease were less likely to receive local treatment (each p <0.05).
CONCLUSIONS: Our analysis provides information on the current clinical
presentation and treatment of localized prostate cancer in the United States.
Nonwhite and older men living in a county with a higher poverty rate were more
likely to be diagnosed with high risk disease and less likely to receive local
treatment.
PMID- 24931804
TI - Diabetes mellitus is independently associated with an increased risk of mortality
in patients with clear cell renal cell carcinoma.
AB - PURPOSE: Conflicting data exist on the interaction of diabetes mellitus with
outcomes in patients with renal cell carcinoma. We evaluated the association of
diabetes mellitus with survival in patients with clear cell renal cell carcinoma
treated with nephrectomy. MATERIALS AND METHODS: We reviewed the records of 1,964
patients treated surgically for sporadic, unilateral, M0 clear cell renal cell
carcinoma between 1990 and 2008. One pathologist re-reviewed all specimens to
confirm clear cell renal cell carcinoma. We matched 257 patients with diabetes
1:2 to referent patients without diabetes according to clinicopathological and
surgical features. Cancer specific and overall survival was estimated using the
Kaplan-Meier method. Cox models were used to evaluate associations with outcomes.
RESULTS: A total of 257 patients (13%) had diabetes mellitus. They were
significantly older and more likely to be obese, and had higher Charlson scores,
renal impairment and smoking rates, and worse performance status at surgery (p
<0.001). Pathological features were similar between the groups. Median
postoperative followup was 8.7 years. Five-year cancer specific survival was
similar in patients with and without diabetes (82% vs 86%, p = 0.1) while 5-year
overall survival was significantly worse in those with diabetes (65% vs 74%, p
<0.001). On multivariable analysis diabetes mellitus independently predicted
cancer specific mortality (HR 1.55, 95% CI 1.08-2.21, p = 0.02) and all-cause
mortality (HR 1.32, 95% CI 1.06-1.64, p = 0.01). CONCLUSIONS: Our results suggest
that diabetes mellitus is independently associated with decreased cancer specific
and overall survival in patients with surgically treated clear cell renal cell
carcinoma.
PMID- 24931805
TI - Following the needles: an anatomical study to evaluate the postoperative safety
and symptoms of patients receiving a transobturator male sling implant.
AB - PURPOSE: Implanting a transobturator male sling is a valid option to manage
urinary incontinence after prostatectomy. We evaluated the trajectory of Argus
TTM needles blindly introduced into the retropubic space to determine the safety
and etiology of postoperative symptoms that can arise from this implant.
MATERIALS AND METHODS: Needles were implanted and perineal dissection was
performed in 20 fixed, adult human male cadavers. The distance was measured from
the upper and lower extremities of the needles in the internal pelvic wall up to
the obturator neurovascular bundle. Anatomical variations identified in this area
proximal to the needles were characterized. The inguinocrural region was also
dissected from the needles positioned there. Statistical analysis of the results
was done. RESULTS: All needles transfixed the obturator internus muscle. Of the
needles 90% were completely immersed in its fibers and not visible in the inner
wall of the pelvis. The distance to the obturator neurovascular bundle and
anatomical variations were noted. In the inguinocrural region the pectineus and
adductor longus muscles were perforated in all cadavers. CONCLUSIONS: The needle
sling implant preserves the obturator neurovascular bundle and obviates the
potential for postoperative pelvic hematoma. The anatomical trajectory of the
needles used for this implant account for the groin and perineal pain side
effects. Based on similarities between the Argus T and other types of outside-in
transobturator male slings the results of the current study could be extrapolated
to the latter slings.
PMID- 24931806
TI - An observational analysis of provider adherence to AUA guidelines on the
management of benign prostatic hyperplasia.
AB - PURPOSE: We retrospectively evaluated urologist adherence to the AUA guidelines
on the management of new patients with benign prostatic hyperplasia related lower
urinary tract symptoms in a large university urology group. MATERIALS AND
METHODS: All first time benign prostatic hyperplasia/lower urinary tract symptom
visits to the urology clinic at the Northwestern Medical Faculty Foundation
between January 1, 2008 and December 31, 2012 were evaluated using an
institutionally managed electronic medical record data repository. Clinical
documentation and orders from each encounter were assessed to determine the rate
of performance of guideline measures. Approximately 1% of all results were
manually reviewed in a validation process designed to determine the reliability
of the electronic medical record based system. RESULTS: A total of 3,494 eligible
encounters were evaluated in the final analysis. Provider adherence rates with
the 9 measures recommended in the guidelines varied by measure from 53.0% to
92.8%. The rate of performance of 5 not routinely recommended measures was 10.2%
or less. Post-void residual and urinary flow measurement were optional measures,
and were performed on 68.1% and 4.6% of new encounters respectively. Manual
validation revealed the electronic medical record data extraction was concordant
with manual review in 96.7% of cases (95% CI 94.8-98.5). CONCLUSIONS: Using
electronic medical record based data extraction techniques, we reliably document
a baseline adherence rate with AUA guidelines on the management of benign
prostatic hyperplasia. Establishing this benchmark will be important for future
investigation into patient outcomes related to guideline adherence and into
methods for improving provider adherence.
PMID- 24931807
TI - Genome-wide SNP analysis reveals a genetic basis for sea-age variation in a wild
population of Atlantic salmon (Salmo salar).
AB - Delaying sexual maturation can lead to larger body size and higher reproductive
success, but carries an increased risk of death before reproducing. Classical
life history theory predicts that trade-offs between reproductive success and
survival should lead to the evolution of an optimal strategy in a given
population. However, variation in mating strategies generally persists, and in
general, there remains a poor understanding of genetic and physiological
mechanisms underlying this variation. One extreme case of this is in the Atlantic
salmon (Salmo salar), which can show variation in the age at which they return
from their marine migration to spawn (i.e. their 'sea age'). This results in
large size differences between strategies, with direct implications for
individual fitness. Here, we used an Illumina Infinium SNP array to identify
regions of the genome associated with variation in sea age in a large population
of Atlantic salmon in Northern Europe, implementing individual-based genome-wide
association studies (GWAS) and population-based FST outlier analyses. We
identified several regions of the genome which vary in association with phenotype
and/or selection between sea ages, with nearby genes having functions related to
muscle development, metabolism, immune response and mate choice. In addition, we
found that individuals of different sea ages belong to different, yet sympatric
populations in this system, indicating that reproductive isolation may be driven
by divergence between stable strategies. Overall, this study demonstrates how
genome-wide methodologies can be integrated with samples collected from wild,
structured populations to understand their ecology and evolution in a natural
context.
PMID- 24931808
TI - Epidemiology of infective endocarditis in a tertiary-center in Jerusalem: a 3
year prospective survey.
AB - BACKGROUND: Epidemiological features of infective endocarditis have changed
during the last decades because of increases in the prevalence of health care
exposure and of Staphylococcus aureus bloodstream infection. Consequently, the
role of surgery is evolving. We aim to provide a contemporary profile of
epidemiological, microbiological, and clinical features of infective endocarditis
in a tertiary medical center, and identify predictors of mortality. METHODS: A
prospective observational cohort study of consecutive adult patients with
definite endocarditis according to the modified Duke criteria. Data were
collected from January 1, 2009 through October 31, 2011 following a predefined
case report form designed by the ICE-PCS. RESULTS: Among 70 endocarditis
episodes, 25.7% involved prosthetic valves and 11.5% were device related. Forty
four percent of episodes were health-care associated. The predominant causative
microorganism on native valve, prosthetic valve and device related endocarditis
was Staphylococcus aureus (33.3%). Viridans group streptococci accounted for the
majority of community-acquired endocarditis (36.1%). At least one complication
occurred in 50% of the episodes. One third of the patients who had an indication
for surgery were operated upon. Six month case fatality ratio was 40%. Sixty-five
percent of patients with a contraindication to surgery died, compared with 9% and
28.5% who were treated surgically and medically, respectively. In multivariable
analysis, age was a predictor of mortality. CONCLUSION: Compared with other
series, we observed more health-care associated endocarditis, and a higher
mortality. Nearly half of all deaths were in patients who had a contraindication
to surgery. Careful evaluation of contraindications to surgery is warranted.
PMID- 24931809
TI - Peripheral blood cells inform on the presence of breast cancer: a population
based case-control study.
AB - Tumor-host interactions extend beyond the local microenvironment and cancer
development largely depends on the ability of malignant cells to hijack and
exploit the normal physiological processes of the host. Here, we established that
many genes within peripheral blood cells show differential expression when an
untreated breast cancer (BC) is present, and harnessed this fact to construct a
50-gene signature that distinguish BC patients from population-based controls.
Our results were derived from a series of large datasets within our unique
population-based Norwegian Women and Cancer cohort that allowed us to investigate
the influence of medications and tumor characteristics on our blood-based test,
and were further tested in two external datasets. Our 50-gene signature contained
cytostatic signals including the specific suppression of the immune response and
medications influencing transcription involved in those processes were identified
as confounders. Through analysis of the biological processes differentially
expressed in blood, we were able to provide a rationale as to why the systemic
response of the host may be a reliable marker of BC, characterized by the
underexpression of both immune-specific pathways and "universal" cell programs
driven by MYC (i.e., metabolism, growth and cell cycle). In conclusion, gene
expression of peripheral blood cells is markedly perturbed by the specific
presence of carcinoma in the breast and these changes simultaneously engage a
number of systemic cytostatic signals emerging connections with immune escape of
BC.
PMID- 24931812
TI - Neuromodulatory and anti-inflammatory ingredient for sensitive skin: in vitro
assessment.
AB - The manifestation of sensitive skin occurs as a consequence of increased
permeability of the Stratum corneum, besides the involvement of neuro-immune
endocrine system. In this study, we evaluated the effects of an active ingredient
SensC on the production of neuropeptides substance P (SP), enkephalin and beta
endorphin; eicosanoids prostaglandin E2 (PGE2) and leukotriene B4 (LTB4);
histamine, transient receptor potential vanilloid subfamily member 1 (TRPV1), and
envelope proteins filaggrin and involucrin, using an in vitro model of human cell
culture. Our results demonstrated that treatment of keratinocyte cultures with
SensC prevented the increase of all evaluated inflammatory mediators induced by
interleukin-1 alpha (IL-1alpha). As the same way, SensC provides decrease in the
synthesis of TRPV1. Regarding the synthesis of envelope proteins, SensC promoted
increases for filaggrin and involucrin levels, when compared to control group.
Considering the absence of appropriate treatment, the availability of
ingredients, such as SensC, with antiinflammatory and protective barrier
properties can be a significant tool for preventing neurosensorial symptoms
associated with sensitive skin.
PMID- 24931814
TI - Impaired ambulation and steroid therapy impact negatively on bone health in
multiple sclerosis.
AB - BACKGROUND AND PURPOSE: The prevalence of osteopenia and osteoporosis is higher
amongst patients with multiple sclerosis in comparison with the general
population. In addition to the general determinants of bone health, two factors
may contribute to reduced bone mineral density in multiple sclerosis: physical
disability and corticosteroid therapy. The aim of this study was to examine the
effect of physical disability and steroid exposure on bone health in weight
bearing bones and spine and on the incidence of low-trauma fractures in multiple
sclerosis. METHODS: In this retrospective analysis of prospectively collected
data, associations between bone mineral density (at the femoral neck, total femur
and the lumbar spine) and its change with disability or cumulative steroid dose
were evaluated with random-effect models adjusted for demographic and clinical
determinants of bone health. The incidence of low-trauma fractures during the
study follow-up was evaluated with Andersen-Gill models. RESULTS: Overall, 474
and 438 patients were included in cross-sectional and longitudinal analyses
(follow-up 2347 patient-years), respectively. The effect of severely impaired
gait was more apparent in weight-bearing bones (P <= 10(-15) ) than in spine (P =
0.007). The effect of cumulative steroid dose was relatively less pronounced but
diffuse (P <= 10(-4) ). Risk of low-trauma fractures was associated with
disability (P = 0.02) but not with cumulative steroid exposure and was greater
amongst patients with severely impaired gait (annual risk 3.5% vs. 3.0%).
Synergistic effects were found only between cumulative steroid dose in patients
ambulatory without support (P = 0.02). CONCLUSIONS: Bone health and the incidence
of low-trauma fractures in multiple sclerosis are more related to impaired gait
than to extended corticosteroid therapy.
PMID- 24931811
TI - A meta-analysis of group cognitive behavioral therapy for insomnia.
AB - Insomnia is the most common sleep disorder among the general population. Although
cognitive behavioral therapy for insomnia (CBT-I) is the psychological treatment
of choice, the availability of individual therapy is often not sufficient to meet
the demand for treatment. Group treatment can increase the efficiency of
delivery, but its efficacy has not been well-established. Randomized controlled
trials (RCTs) comparing group CBT-I to a control group in patients with insomnia
were identified. A review of 670 unique citations resulted in eight studies that
met criteria for analysis. Outcome variables included both qualitative (e.g.,
sleep quality) and quantitative (e.g., sleep diary) outcomes, as well as
depression and pain severity, at both pre- to post-treatment and follow-up (3-12
mo post-treatment). Overall, we found medium to large effect sizes for sleep
onset latency, sleep efficiency, and wake after sleep onset and small effect
sizes for pain outcomes. Effect sizes remained significant at follow-up,
suggesting that treatment gains persist over time. Other variables, including
total sleep time, sleep quality, and depression, showed significant improvements,
but these findings were limited to the within treatment group analyses. It is
clear that group CBT-I is an efficacious treatment. Implications for stepped care
models for insomnia are discussed.
PMID- 24931815
TI - Prophylactic eculizumab for kidney transplantation in a child with atypical
hemolytic uremic syndrome due to complement factor H mutation.
AB - We present a case of successful deceased-donor kidney transplantation in a three
yr-old child with aHUS due to complement factor H mutation, using only
prophylactic eculizumab treatment prior to transplant. She developed disease
exacerbation in the immediate post-operative period despite having therapeutic
eculizumab concentrations and evidence for complete complement pathway blockade.
The patient responded well to additional doses of eculizumab and has maintained
excellent graft function and disease control in the first year post
transplantation. The optimal dosing scheme for eculizumab in the perioperative
period remains to be determined. More sensitive biomarkers of early disease
activity are needed to improve disease monitoring. Finally, the duration of
eculizumab therapy in patients with aHUS remains to be determined.
PMID- 24931816
TI - Protective effect of Flos puerariae extract following acute alcohol intoxication
in mice.
AB - BACKGROUND: The effect of Flos Puerariae extract (FPE) on alcohol metabolism,
hepatic injury, and memory impairment was assessed following acute ethanol (EtOH)
intoxication in mice. METHODS: The model of acute EtOH intoxication was
established by intragastric administration with 8 g/kg EtOH in mice. FPE was
orally administrated (gavage) once a day for 7 consecutive days. Mice were
randomly divided into 4 groups: control group, model group, and FPE groups (100,
200 mg/kg). Alcohol tolerance and intoxication time, blood alcohol concentration,
the activities of alcohol dehydrogenase (ADH) and aldehyde dehydrogenase (ALDH)
in liver, aspartate amino transferase (AST) and alanine amino transferase (ALT)
in serum, superoxide dismutase (SOD), glutathione peroxidase (GSH-px), catalase
and the formation of malondialdehyde (MDA) in both liver and brain, as well as
memory ability were determined after acute alcohol exposure. RESULTS: Compared
with model group, pretreatment with FPE significantly prolonged alcohol tolerance
time and shortened intoxication time, which is accompanied by decreased blood
alcohol concentration and elevated activities of ADH and ALDH in liver. Moreover,
the index of hepatic injury, ALT, and AST activities in serum was markedly
decreased by pretreatment with FPE. Additionally, decreased MDA level, enhanced
GSH-px and catalase activities in liver, as well as enhanced SOD and catalase
activities in brain were found in FPE pretreated mice after acute exposure to
EtOH. Furthermore, FPE pretreated mice showed markedly relieved memory disruption
following acute EtOH intoxication. CONCLUSIONS: This study suggests that FPE
pretreatment could enhance alcohol metabolism, prevent hepatic injury, and
relieve memory impairment after acute alcohol intoxication and that this effect
is likely related to its modulation on the alcohol metabolizing and antioxidant
enzymes.
PMID- 24931817
TI - Animal models of disc degeneration based on transgenic and stress methods.
PMID- 24931818
TI - Response to familial hypercholesterolemia: an under-recognized but significant
concern in cardiology practice.
PMID- 24931819
TI - Myxedema.
PMID- 24931821
TI - The natural history of intravascular lymphomatosis.
AB - Intravascular lymphomatosis (IVL) is a rare and clinically devastating form of
extranodal B-cell non-Hodgkin's lymphoma. We performed a comprehensive analysis
of the literature on IVL's published between 1959 and 2011 and evaluated the
natural history as well as identified prognostic and predictive factors in
patients. Nonparametric two-tailed Mann-Whitney U-test and Mantel-Cox log rank
test were used to evaluate the survival intervals and prognostic factors.
Multivariate analysis of variance (MANOVA) and chi-squared statistics were
carried out to examine treatment-related predictive factors. Of the 740 patients
with IVL, 651 (88%) had a diagnosis of B-cell lymphoma, 45 (6%) with T-cell
lymphoma, and 12 patients (2%) with NK cell lymphoma. Central nervous system
(CNS) IVL had the highest proportion of postmortem diagnosis, 250 (60%) compared
to 21 (8%) of skin, 28 (11%) of bone marrow (BM) and spleen, and 17 (7%) of lung
IVL's. Age <70 years (P = 0.0073), non-CNS site of initial diagnosis (P =
0.0014), lactate dehydrogenase (LDH) <700 (P = 0.0112), and rituximab treatment
(P < 0.0001) were favorable prognostic factors. Gender, ethnicity, hemoglobin, BM
biopsy, and the type of imaging studies used were not significant. Rituximab and
doxorubicin treatment worked significantly better in patients with age >71 and
LDH >577 compared to nonrituximab, nondoxorubicin regimens (MANOVA 2 degrees of
freedom, P = 0.0345), with a median time from treatment to death of 20.0 (95%
confidence interval [CI] 14.0-N/A, n = 14) months versus 2.0 (95%CI 0.5-N/A, n =
5) (chi(2) = 4.7, P = 0.0304). Patients with CNS IVL relapsed primarily in the
CNS (88%) while same-organ relapse occurred less frequently in skin (23%), BM and
spleen (50%) and lung (20%) IVL's. Our results indicate that IVL is primarily a
disease of B-lymphoma cells. Timely diagnosis and treatment with rituximab-based
chemotherapy improve patient survival. The pattern of recurrence is different
between CNS IVL and IVL's in other organs.
PMID- 24931822
TI - NMR structure of an ethylene interstrand cross-linked DNA which mimics the lesion
formed by 1,3-bis(2-chloroethyl)-1-nitrosourea.
AB - The bisalkylating agent 1,3-bis(2-chloroethyl)-1-nitrosourea (BCNU), used in
cancer chemotherapy to hinder cellular proliferation, forms lethal interstrand
cross-links (ICLs) in DNA. BCNU generates an ethylene linkage connecting the two
DNA strands at the N1 atom of 2'-deoxyguanosine and N3 atom of 2'-deoxycytidine,
which is a synthetically challenging probe to prepare. To this end, an ICL duplex
linking the N1 atom of 2'-deoxyinosine to the N3 atom of thymidine via an
ethylene linker was devised as a mimic. We have solved the structure of this ICL
duplex by a combination of molecular dynamics and high-field NMR experiments. The
ethylene linker is well-accommodated in the duplex with minimal global and local
perturbations relative to the unmodified duplex. These results may account for
the substantial stabilization of the ICL duplex observed by UV thermal
denaturation experiments and provides structural insights of a probe that may be
useful for DNA repair studies.
PMID- 24931823
TI - Intraoperative target-controlled infusion anesthesia application using
remifentanil hydrochloride with etomidate in patients with severe burn as
monitored using Narcotrend.
AB - OBJECTIVE: This study aims to evaluate the feasibility of intraoperative
composite target-controlled infusion (TCI) anesthesia application using
remifentanil hydrochloride with etomidate in patients with severe burns, as
monitored by Narcotrend. METHODS: A total of 40 patients with severe burns with
eschar excisions and skin grafts were randomly and equally grouped into the
etomidate (E) and the propofol groups (P). Anesthesia was induced and maintained
by a remifentanil hydrochloride TCI combined with etomidate or propofol. The
depth of anesthesia and other relevant indicators were recorded through
intraoperative electroencephalogram monitoring using a Narcotrend monitor.
RESULTS: No statistically significant differences were observed between the drug
withdrawal times, eye opening requirements, or orientation recoveries of the two
groups (P>0.05). The cortisol and aldosterone levels in group E were
significantly lower than those in group P 24h post operation (P<0.05). No
significant differences between the number of operations, hospitalization
duration, mean arterial pressure, heart rate, and postoperative adverse reaction
incidence of the two groups were observed at each time point (P>0.05).
CONCLUSION: The application of a composite remifentanil hydrochloride combined
with etomidate TCI is feasible for the early eschar excision in patients with
severe burns.
PMID- 24931824
TI - A new flap design for release of parallel contracture bands: dual opposing five
flap z-plasty.
AB - Skin contractures secondary to burn and other types of trauma can be encountered
on almost every part of human body, best addressed by a custom treatment protocol
tailored for each patient. Skin graft, local flap as well as distant flap options
are available, each with intrinsic advantages and disadvantages. In the presence
of weblike contracture the utilization of local tissue, when available, is a
prefered approach for a relatively better appearance through a reasonably simpler
surgical intervention, compared to skin graft applications and distant flap
options. Among many other techniques and modalities utilized for this purpose,
the dual opposing five-flap z-plasty method which is a novel method designed as a
modification of the paired five-flap z-plasty technique promises to be a useful
treatment option for the release of parallel contracture bands with satisfactory
results in selected patients.
PMID- 24931825
TI - Identification of bacteriophage virion proteins by the ANOVA feature selection
and analysis.
AB - The bacteriophage virion proteins play extremely important roles in the fate of
host bacterial cells. Accurate identification of bacteriophage virion proteins is
very important for understanding their functions and clarifying the lysis
mechanism of bacterial cells. In this study, a new sequence-based method was
developed to identify phage virion proteins. In the new method, the protein
sequences were initially formulated by the g-gap dipeptide compositions.
Subsequently, the analysis of variance (ANOVA) with incremental feature selection
(IFS) was used to search for the optimal feature set. It was observed that, in
jackknife cross-validation, the optimal feature set including 160 optimized
features can produce the maximum accuracy of 85.02%. By performing feature
analysis, we found that the correlation between two amino acids with one gap was
more important than other correlations for phage virion protein prediction and
that some of the 1-gap dipeptides were important and mainly contributed to the
virion protein prediction. This analysis will provide novel insights into the
function of phage virion proteins. On the basis of the proposed method, an online
web-server, PVPred, was established and can be freely accessed from the website
(http://lin.uestc.edu.cn/server/PVPred). We believe that the PVPred will become a
powerful tool to study phage virion proteins and to guide the related
experimental validations.
PMID- 24931826
TI - Expression of bone markers and micro-CT analysis of alveolar bone during
orthodontic relapse.
AB - OBJECTIVES: To investigate biological changes in alveolar bone occurring during
orthodontic relapse. MATERIALS AND METHODS: Rat maxillary first molars were moved
mesially for 10 days. After orthodontic tooth movement (OTM), appliances were
removed, and the molars were allowed to relapse for one, three, five, seven, 14
or 21 days. Changes in 3D morphometric parameters of bone located mesial to the
first molars were evaluated by micro-CT. Total RNA was isolated from the same
bone site, and real-time RT-PCR was used to measure the expression of bone
formation and resorption markers. RESULTS: One day after appliance removal, the
molars relapsed to a mean 73% of the achieved OTM and then steadily relapsed to
93% at 21 days. Tissue mineral density and per cent bone volume increased over
the experimental period. Inversely, there was a decrease in total porosity. Gene
expression of OCN, Coll-I and ALP decreased during OTM, whilst as the molars
relapsed showed tended to increase. Gene expression of RANKL and TRAP increased
during OTM. Changes in mRNA expression of H(+)-ATPase were minor. By 21 days post
appliance removal, the remodelling process in rats appeared to have returned to
control levels. CONCLUSIONS: Bone tissue reactions on a molecular level are
similar during OTM and orthodontic relapse. These findings validate the
importance of immediate retention following active OTM.
PMID- 24931827
TI - Application of response surface methodology to optimise microbial inactivation of
shrimp and conch by supercritical carbon dioxide.
AB - BACKGROUND: Supercritical carbon dioxide (SC-CO2 ) has been shown to have a good
pasteurising effect on food. However, very few research papers have investigated
the possibility to exploit this treatment for solid foods, particularly for
seafood. Considering the microbial safety of raw seafood consumption, the study
aimed to explore the feasibility of microbial inactivation of shrimp (Metapenaeus
ensis) and conch (Rapana venosa) by SC-CO2 treatment. RESULTS: Response surface
methodology (RSM) models were established to predict and analyse the SC-CO2
process. A 3.69-log reduction in the total aerobic plate count (TPC) of shrimp
was observed by SC-CO2 treatment at 53 degrees C, 15 MPa for 40 min, and the
logarithmic reduction in TPC of conch was 3.31 at 55 degrees C, 14 MPa for 42
min. Sensory scores of the products achieved approximately 8 (desirable). The
optimal parameters for microbial inactivation of shrimp and conch by SC-CO2 might
be 55 degrees C, 15 MPa and 40 min. CONCLUSION: SC-CO2 exerted a strong
bactericidal effect on the TPC of shrimp and conch, and the products maintained
good organoleptic properties. This study verified the feasibility of microbial
inactivation of shrimp and conch by SC-CO2 treatment.
PMID- 24931828
TI - Localization of the cerebellar cortical zone mediating acquisition of eyeblink
conditioning in rats.
AB - Delay eyeblink conditioning is established by paired presentations of a
conditioned stimulus (CS) such as a tone or light and an unconditioned stimulus
(US) that elicits eyelid closure before training. The CS and US inputs converge
on Purkinje cells in the cerebellar cortex. The cerebellar cortex plays a
substantial role in acquisition of delay eyeblink conditioning in rabbits and
rodents, but the specific area of the cortex that is necessary for acquisition in
rodents has not been identified. A recent study identified an eyeblink microzone
in the mouse cerebellar cortex at the base of the primary fissure (Heiney, Kim,
Augustine, & Medina, 2014). There is no evidence that the cortex in this eyeblink
microzone plays a role in rodent eyeblink conditioning but it is a good candidate
region. Experiment 1 examined the effects of unilateral (ipsilateral to the US)
lesions of lobule HVI, the lateral anterior lobe, or the base of the primary
fissure on eyeblink conditioning in rats. Lesions of either the anterior lobe or
lobule HVI impaired acquisition, but lesions of the base of the primary fissure
produced the largest deficit. Experiment 2 used reversible inactivation with
muscimol to demonstrate that inactivation of the putative eyeblink microzone
severely impaired acquisition and had only a modest effect on retention of
eyeblink conditioning. The findings indicate that the base of the primary fissure
is the critical zone of the cerebellar cortex for acquisition of eyeblink
conditioning in rats.
PMID- 24931829
TI - Keratin pearl degradation in oral squamous cell carcinoma: reciprocal roles of
neutrophils and macrophages.
AB - BACKGROUND: We have reported that neutrophilic infiltration was associated with
round-shaped dyskeratosis foci, a kind of keratin pearl, of oral carcinoma in
situ and that those inflammatory cells are recruited from intra-epithelially
entrapped blood vessels. Based on these lines of evidence, we have formulated a
hypothesis that keratin pearls are terminally degraded by neutrophils. To confirm
this hypothesis, we investigated immunohistochemically stepwise degradation of
keratin pearls in oral squamous cell carcinoma (SCC) to clarify any other type
scavenger cells in addition to neutrophils are involved in this particular
degradation process. METHODS: Neutrophils (neutrophil elastase) and macrophage
subpopulations (CD68, CD163 and CD204) were immunohistochemically localized in 30
cases of oral SCC with typical round-shaped keratin pearls. SCC cells were
revealed by immunohistochemistry for keratin (K) 17, and blood vessels were
demonstrated by CD31. RESULTS: Keratin pearl degradation process was divided into
four steps: (i) intact stage: no macrophage infiltration but minimal neutrophils
were found in keratin pearls; (ii) neutrophil recruit stage: no macrophage
infiltration but focal neutrophilic infiltration within the pearls; (iii)
neutrophil predominant stage: dense neutrophil infiltration with minimal
macrophages and segregated keratinized cancer cells strongly positive for K17;
and (iv) macrophage predominant stage: dense infiltration of CD68-, CD163
(mononuclear)- and CD204 (multinucleated)-positive macrophages engulfing detached
keratinized SCC cells. CONCLUSION: Keratin pearl degradation in oral SCC is
strictly regulated by two types of scavenger cells: neutrophils, which perform
initial tasks, and macrophages, which reciprocally take over from neutrophils the
role to finalize the degradation processes.
PMID- 24931831
TI - Principles and engineering of antibody folding and assembly.
AB - Antibodies are uniquely suited to serve essential roles in the human immune
defense as they combine several specific functions in one hetero-oligomeric
protein. Their constant regions activate effector functions and their variable
domains provide a stable framework that allows incorporation of highly diverse
loop sequences. The combination of non-germline DNA recombination and mutation
together with heavy and light chain assembly allows developing variable regions
that specifically recognize essentially any antigen they may encounter. However,
this diversity also requires tailor-made mechanisms to guarantee that folding and
association of antibodies is carefully this diversity also requires tailor-made
mechanisms to guarantee that folding and association of antibodies is carefully
controlled before the protein is secreted from a plasma cell. Accordingly, the
generic immunoglobulin fold beta-barrel structure of antibody domains has been
fine-tuned during evolution to fit the different requirements. Work over the past
decades has identified important aspects of the folding and assembly of antibody
domains and chains revealing domain specific variations of a general scheme. The
most striking is the folding of an intrinsically disordered antibody domain in
the context of its partner domain as the basis for antibody assembly and its
control on the molecular level in the cell. These insights have not only allowed
a better understanding of the antibody folding process but also provide a wealth
of opportunities for rational optimization of antibody molecules. In this review,
we summarize current concepts of antibody folding and assembly and discuss how
they can be utilized to engineer antibodies with improved performance for
different applications. This article is part of a Special Issue entitled: Recent
advances in the molecular engineering of antibodies.
PMID- 24931830
TI - Prospective multicentre observational study of lymphedema therapy: POLIT study.
AB - OBJECTIVE: Lymphedema treatment is based on Decongestive Lymphedema Therapy (DLT)
with an intensive phase followed by a long-term maintenance phase. This study
aimed to observe volume variation over the intensive phase and 6 months later.
METHODS: Prospective multicentre observational study of patients with unilateral
lymphedema. The primary objective was to assess lymphedema volume variation
between baseline, the end of intensive phase and 6 months later. Secondary
objectives were to assess the frequency of heaviness limiting limb function and
treatments safety predictors for volume reduction. RESULTS: Three hundred and six
patients (89.9% women; 59.9+/-14.3 years old) with upper/lower (n=184/122) limb
lymphedema were included. At the end of the intensive phase, median excess
lymphedema volume reduction was 31.0% (41.7-19.9) followed by a 16.5% (5.9-42.3)
median increase over the 6-month maintenance period phase. Previous intensive
treatment was the only significant predictor of this response. As compared to
baseline, heaviness limiting limb use was much less frequently reported at the
end of the reductive phase (75.5% versus 42.3% respectively), and was more
frequent at the end of the maintenance phase (62.6%). The most frequent adverse
events reported were skin redness and compression marks (18.4 and 15.7% of
patients, respectively). Blisters requiring treatment stoppage were rare (1.4%).
CONCLUSIONS: Intensive phase decreases lymphedema volume and heaviness limiting
limb function. The benefit is partially abolished after the first 6 months of
maintenance. There is a need to consider how to provide optimal patient care for
the long-term control of lymphedema.
PMID- 24931832
TI - From fluorescence polarization to Quenchbody: Recent progress in fluorescent
reagentless biosensors based on antibody and other binding proteins.
AB - Recently, antibody-based fluorescent biosensors are receiving considerable
attention as a suitable biomolecule for diagnostics, namely, homogeneous
immunoassay and also as an imaging probe. To date, several strategies for
"reagentless biosensors" based on antibodies and natural and engineered binding
proteins have been described. In this review, several approaches are introduced
including a recently described fluorescent antibody-based biosensor Quenchbody,
which works on the principle of fluorescence quenching of attached dye and its
antigen-dependent release. The merits and possible demerits of each approach are
discussed. This article is part of a Special Issue entitled: Recent advances in
molecular engineering of antibody.
PMID- 24931833
TI - Charge-transfer complexation between naphthalene diimides and aromatic solvents.
AB - Naphthalene diimides (NDIs) form emissive ground-state charge-transfer (CT)
complexes with various electron rich aromatic solvents like benzene, o-xylene and
mesitylene. TD-DFT calculation of the complexes suggests CT interaction and
accounts for the observed ground-state changes.
PMID- 24931834
TI - Light control of stoichiometry and motion in pseudorotaxanes comprising a
cucurbit[7]uril wheel and an azobenzene-bipyridinium axle.
AB - Pseudorotaxanes are the simplest prototypes for the construction of molecular
machines based on threaded species. Investigation on molecular motions in these
model systems is a necessary action for an efficient design of working molecular
machines and motors. Herein we report on photoactive pseudorotaxanes based on the
interaction between bipyridinium and cucurbit[7]uril (CB7). The molecular axle is
composed of a central bipyridinium unit and two azobenzene moieties at the
extremities. CB7 can form two different complexes with this molecule: a
[2]pseudorotaxane, in which the macrocycle shuttles fast along the length of the
axle, and a [3]pseudorotaxane, in which two CB7 s are confined at the extremities
of the axle. Upon trans to cis isomerization of the azobenzene moieties, the
[3]pseudorotaxane is destabilized, and only one CB7 resides on the axle,
surrounding the bipyridinium unit. The system was successfully inserted into the
core of liposomes, and preliminary investigations confirmed that it maintains its
switching ability.
PMID- 24931835
TI - Mothers with intellectual disability, their experiences of maltreatment, and
their children's attachment representations: a small-group matched comparison
study.
AB - Maternal intellectual disability (ID) is regarded a risk factor in child
development, but there is no scientific evidence on maternal ID in relation to
children's attachment. Using a matched comparison design, a small group (n = 23)
of mothers diagnosed with ID was studied to help fill this gap. Besides maternal
ID, we examined the role of abuse/trauma/maltreatment (ATM) in the mothers'
biographies, along with potential confounds. Comparison group mothers (n = 25)
had normal variations in intelligence and matched mothers with ID on residential
area, income, child age, and sex. History of maternal ATM was assessed using a
semi-structured interview and was found to be significantly more likely in the ID
group mothers' experience than the comparison group mothers. Children's (M age =
77 months) attachment representations were assessed with the Separation Anxiety
Test. Among children of mothers with ID, a substantial minority (35%) had a
secure and the vast majority (>80%) an organized attachment representation.
Mothers with ID who had suffered elevated ATM were significantly more likely to
have children who were scored high on disorganization and insecurity. We discuss
possible implications of our findings for societal considerations regarding
parenting and child attachment in the context of parental ID status.
PMID- 24931837
TI - Granulomatous isotopic response possibly to herpes zoster in childhood.
PMID- 24931836
TI - C9orf72 and UNC13A are shared risk loci for amyotrophic lateral sclerosis and
frontotemporal dementia: a genome-wide meta-analysis.
AB - OBJECTIVE: Substantial clinical, pathological, and genetic overlap exists between
amyotrophic lateral sclerosis (ALS) and frontotemporal dementia (FTD). TDP-43
inclusions have been found in both ALS and FTD cases (FTD-TDP). Recently, a
repeat expansion in C9orf72 was identified as the causal variant in a proportion
of ALS and FTD cases. We sought to identify additional evidence for a common
genetic basis for the spectrum of ALS-FTD. METHODS: We used published genome-wide
association studies data for 4,377 ALS patients and 13,017 controls, and 435
pathology-proven FTD-TDP cases and 1,414 controls for genotype imputation. Data
were analyzed in a joint meta-analysis, by replicating topmost associated hits of
one disease in the other, and by using a conservative rank products analysis,
allocating equal weight to ALS and FTD-TDP sample sizes. RESULTS: Meta-analysis
identified 19 genome-wide significant single nucleotide polymorphisms (SNPs) in
C9orf72 on chromosome 9p21.2 (lowest p = 2.6 * 10(-12) ) and 1 SNP in UNC13A on
chromosome 19p13.11 (p = 1.0 * 10(-11) ) as shared susceptibility loci for ALS
and FTD-TDP. Conditioning on the 9p21.2 genotype increased statistical
significance at UNC13A. A third signal, on chromosome 8q24.13 at the SPG8 locus
coding for strumpellin (p = 3.91 * 10(-7) ) was replicated in an independent
cohort of 4,056 ALS patients and 3,958 controls (p = 0.026; combined analysis p =
1.01 * 10(-7) ). INTERPRETATION: We identified common genetic variants in
C9orf72, but in addition in UNC13A that are shared between ALS and FTD. UNC13A
provides a novel link between ALS and FTD-TDP, and identifies changes in
neurotransmitter release and synaptic function as a converging mechanism in the
pathogenesis of ALS and FTD-TDP.
PMID- 24931838
TI - The abandoned controversy surrounding universal white blood cell reduction.
PMID- 24931839
TI - Implementing Patient Blood Management in major orthopaedic procedures: orthodoxy
or pragmatism?
PMID- 24931840
TI - Evaluation of platelet cross-matching in the management of patients refractory to
platelet transfusions.
AB - BACKGROUND: Cross-match-compatible platelets are used to support thrombocytopenic
patients who are refractory to randomly selected platelets. However, few studies
have addressed the efficacy of using this strategy for patients requiring
intensive platelet transfusion therapy. The aim of this study was to determine
the effectiveness of cross-match-compatible platelets in an unselected group of
patients refractory to platelets from random donors. MATERIALS AND METHODS: A
total of 406 cross-match-compatible platelet components were administered to 40
evaluable patients who were refractory to random-donor platelets. A solid-phase
red cell adherence method was used for platelet cross-matching. The corrected
count increment was used to monitor the effectiveness of each platelet
transfusion. Multivariate analysis was performed to detect whether any variables
could predict the response to transfusion. RESULTS: Statistically significant
improvements were found in the mean corrected count increment when comparing
cross-match-compatible platelets with randomly selected and incompatible
platelets (p<0.001 for each). Compatible platelet transfusions were associated
with a good response in 72.9% of cases while incompatible platelets were
associated with a poor response in 66.7% of transfusion events (p<0.001). In the
presence of clinical factors or alloimmunisation, compatible platelets were
associated with good responses in 67.9% and 28.0% respectively vs 100% and 93.3%
in their absence (p=0.009, p<0.001). Multivariate analysis revealed that cross
matching and alloimmunisation were the strongest predictors of transfusion
response at 1 hour, while ABO compatibility, type of units received, followed by
alloimmunisation then clinical factors were predictors at 24 hours. DISCUSSION:
Platelet cross-matching using the solid-phase red cell adherence technique is an
effective and rapid first-line approach for the management of patients refractory
to platelet transfusions.
PMID- 24931841
TI - Patient blood management in orthopaedic surgery: a four-year follow-up of
transfusion requirements and blood loss from 2008 to 2011 at the Balgrist
University Hospital in Zurich, Switzerland.
AB - BACKGROUND: The aim of this study was to investigate the impact of the
introduction of a Patient Blood Management (PBM) programme in elective
orthopaedic surgery on immediate pre-operative anaemia, red blood cell (RBC) mass
loss, and transfusion. MATERIALS AND METHODS: Orthopaedic operations (hip,
n=3,062; knee, n=2,953; and spine, n=2,856) performed between 2008 and 2011 were
analysed. Period 1 (2008), was before the introduction of the PBM programme and
period 2 (2009 to 2011) the time after its introduction. Immediate pre-operative
anaemia, RBC mass loss, and transfusion rates in the two periods were compared.
RESULTS: In hip surgery, the percentage of patients with immediate pre-operative
anaemia decreased from 17.6% to 12.9% (p<0.001) and RBC mass loss was unchanged,
being 626+/-434 vs 635+/-450 mL (p=0.974). Transfusion rate was significantly
reduced from 21.8% to 15.7% (p<0.001). The number of RBC units transfused
remained unchanged (p=0.761). In knee surgery the prevalence of immediate pre
operative anaemia decreased from 15.5% to 7.8% (p<0.001) and RBC mass loss
reduced from 573+/-355 to 476+/-365 mL (p<0.001). The transfusion rate dropped
from 19.3% to 4.9% (p<0.001). RBC transfusions decreased from 0.53+/-1.27 to
0.16+/-0.90 units (p<0.001). In spine surgery the prevalence of immediate pre
operative anaemia remained unchanged (p=0.113), RBC mass loss dropped from 551+/
421 to 404+/-337 mL (p<0.001), the transfusion rate was reduced from 18.6 to 8.6%
(p<0.001) and RBC transfusions decreased from 0.66+/-1.80 to 0.22+/-0.89 units
(p=0.008). DISCUSSION: Detection and treatment of pre-operative anaemia,
meticulous surgical technique, optimal surgical blood-saving techniques, and
standardised transfusion triggers in the context of PBM programme resulted in a
lower incidence of immediate pre-operative anaemia, reduction in RBC mass loss,
and a lower transfusion rate.
PMID- 24931842
TI - Factor VIII and fibrinogen recovery in plasma after Theraflex methylene blue
treatment: effect of plasma source and treatment time.
AB - BACKGROUND: The quality of fresh-frozen plasma is affected by different factors.
Factor VIII is sensitive to blood component storage processes and storage as well
as pathogen-reduction technologies. The level of fibrinogen in plasma is not
affected by the collection processes but it is affected by preparation and
pathogen-reduction technologies. MATERIALS AND METHODS: The quality of plasma
from whole blood and apheresis donations harvested at different times and treated
with a pathogen-reduction technique, methylene blue/light, was investigated,
considering, in particular, fibrinogen and factor VIII levels and recovery.
RESULTS: The mean factor VIII level after methylene blue treatment exceeded 0.5
IU/mL in all series. Factor VIII recovery varied between 78% and 89% in different
series. The recovery of factor VIII was dependent on plasma source as opposed to
treatment time. The interaction between the two factors was statistically
significant. Mean levels of fibrinogen after methylene blue/light treatment
exceeded 200 mg/dL in all arms. The level of fibrinogen after treatment
correlated strongly with the level before treatment. There was a negative
correlation between fibrinogen level before treatment and recovery. Pearson's
correlation coefficient between factor VIII recovery and fibrinogen recovery was
0.58. DISCUSSION: These results show a difference in recovery of factor VIII and
fibrinogen correlated with plasma source. The recovery of both factor VIII and
fibrinogen was higher in whole blood plasma than in apheresis plasma. Factor VIII
and fibrinogen recovery did not appear to be correlated.
PMID- 24931843
TI - Cost-effectiveness of leucoreduction for prevention of febrile non-haemolytic
transfusion reactions.
AB - BACKGROUND: The cost-effectiveness of universal leucoreduction of blood
components remains unclear. When using leucoreduced red blood cells, the decrease
in the rate of febrile non-haemolytic transfusion reactions (FNHTR) is the only
proven, meaningful clinical benefit, whose relationship to costs can be
calculated relatively easily. The aim of this study was to evaluate the cost
effectiveness of leucoreduction in avoiding FNHTR. MATERIALS AND METHODS: Data
were obtained from two large tertiary hospitals in Athens, Greece, over a 4-year
period (2009-2012). The incidence of FNHTR in patients transfused with
leucoreduced or non-leucodepleted red blood cells, the additional cost of
leucoreduction and the cost to treat the FNHTR were estimated. The incremental
cost-effectiveness ratio (ICER), which is the ratio of the change in costs to the
incremental benefits of leucoreduction, was calculated. RESULTS: In total, 86,032
red blood cell units were transfused. Of these, 53,409 were leucodepleted and
32,623 were non-leucoreduced. Among patients transfused with leucodepleted units,
25 cases (0.047%) met the criteria for having a FNHTR, while in patients treated
with non-leucoreduced components, 134 FNHTR were observed (0.411%). The ICER of
leucoreduction was ? 6,916 (i.e., the cost to prevent one case of FNHTR).
CONCLUSIONS: Leucoreduction does not have a favourable cost-effectiveness ratio
in relation to the occurrence of FNHTR. However, many factors, which could not be
easily and accurately assessed, influence the long-term costs of transfusion. It
is imperative to undertake a series of large, meticulously designed clinical
studies across the entire spectrum of blood transfusion settings, to investigate
most of the parameters involved.
PMID- 24931844
TI - Clinical and serological responses following plasmapheresis in bullous
pemphigoid: two case reports and a review of the literature.
PMID- 24931845
TI - Hairy cell leukaemia and venous thromboembolism: a case report and review of the
literature.
PMID- 24931846
TI - Oxidative refolding of rPA in l-ArgHCl and in ionic liquids: A correlation
between hydrophobicity, salt effects, and refolding yield.
AB - The ionic liquid 1-ethyl-3-methyl imidazolium chloride (EMIM Cl) and the amino
acid l-arginine hydrochloride (l-ArgHCl) have been successfully used to improve
the yield of oxidative refolding for various proteins. However, the molecular
mechanisms behind the actions of such solvent additives-especially of ionic
liquids-are still not well understood. To analyze these mechanisms, we have
determined the transfer free energies from water into ionic liquid solutions of
proteinogenic amino acids and of diketopiperazine as peptide bond analogue. For
EMIM Cl and 1-ethyl-3-methyl imidazolium diethyl phosphate, which had a
suppressive effect on protein refolding, as well as for l-ArgHCl favorable
interactions with amino acid side chains, but no favorable interactions with the
peptide backbone could be observed. A quantitative analysis of other ionic
liquids together with their already published effects on protein refolding showed
that only solvent additives within a certain range of hydrophobicity,
chaotropicity and kosmotropicity were effective for the refolding of recombinant
plasminogen activator.
PMID- 24931847
TI - Management of human papillomavirus-related unknown primaries of the head and neck
with a transoral surgical approach.
AB - BACKGROUND: Amidst a rising incidence of p16-positive (p16+) oropharyngeal
cancer, a significant number of cases present as regionally metastatic disease
with an "unknown" primary. Preliminary data support transoral surgery as an
effective method of primary detection/treatment. METHODS: An observational cohort
study of 65 p16+ unknown primary patients treated with transoral surgery and neck
dissection (2001-2012) was performed. Adjuvant therapy and recurrence data were
collected. Kaplan-Meier estimates were computed for disease-specific survival
(DSS) and overall survival (OS). RESULTS: The primary detection rate was 89% (58
of 65). Five-year DSS and OS were 98% and 97% for the detected group and 100% for
the undetected, respectively. Seventeen patients were treated with surgery alone.
Of the 47 patients receiving adjuvant therapy, radiation to the pharynx was
spared in 36. CONCLUSION: The transoral approach was highly effective for the
diagnosis and treatment of the p16+ unknown primary and laid the foundation for
deescalated radiation by elimination of the pharyngeal field.
PMID- 24931848
TI - Validation of the depression item bank from the Patient-Reported Outcomes
Measurement Information System (PROMIS) in a three-month observational study.
AB - The Patient-Reported Outcomes Measurement Information System (PROMIS) is an NIH
Roadmap initiative devoted to developing better measurement tools for assessing
constructs relevant to the clinical investigation and treatment of all diseases
constructs such as pain, fatigue, emotional distress, sleep, physical
functioning, and social participation. Following creation of item banks for these
constructs, our priority has been to validate them, most often in short-term
observational studies. We report here on a three-month prospective observational
study with depressed outpatients in the early stages of a new treatment episode
(with assessments at intake, one-month follow-up, and three-month follow-up). The
protocol was designed to compare the psychometric properties of the PROMIS
depression item bank (administered as a computerized adaptive test, CAT) with two
legacy self-report instruments: the Center for Epidemiological Studies Depression
scale (CESD; Radloff, 1977) and the Patient Health Questionnaire (PHQ-9; Spitzer
et al., 1999). PROMIS depression demonstrated strong convergent validity with the
CESD and the PHQ-9 (with correlations in a range from .72 to .84 across all time
points), as well as responsiveness to change when characterizing symptom severity
in a clinical outpatient sample. Identification of patients as "recovered" varied
across the measures, with the PHQ-9 being the most conservative. The use of
calibrations based on models from item response theory (IRT) provides advantages
for PROMIS depression both psychometrically (creating the possibility of adaptive
testing, providing a broader effective range of measurement, and generating
greater precision) and practically (these psychometric advantages can be achieved
with fewer items-a median of 4 items administered by CAT-resulting in less
patient burden).
PMID- 24931849
TI - Giving voice to study volunteers: comparing views of mentally ill, physically
ill, and healthy protocol participants on ethical aspects of clinical research.
AB - MOTIVATION: Ethical controversy surrounds clinical research involving seriously
ill participants. While many stakeholders have opinions, the extent to which
protocol volunteers themselves see human research as ethically acceptable has not
been documented. To address this gap of knowledge, authors sought to assess views
of healthy and ill clinical research volunteers regarding the ethical
acceptability of human studies involving individuals who are ill or are
potentially vulnerable. METHODS: Surveys and semi-structured interviews were used
to query clinical research protocol participants and a comparison group of
healthy individuals. A total of 179 respondents participated in this study: 150
in protocols (60 mentally ill, 43 physically ill, and 47 healthy clinical
research protocol participants) and 29 healthy individuals not enrolled in
protocols. Main outcome measures included responses regarding ethical
acceptability of clinical research when it presents significant burdens and
risks, involves people with serious mental and physical illness, or enrolls
people with other potential vulnerabilities in the research situation. RESULTS:
Respondents expressed decreasing levels of acceptance of participation in
research that posed burdens of increasing severity. Participation in protocols
with possibly life-threatening consequences was perceived as least acceptable
(mean = 1.82, sd = 1.29). Research on serious illnesses, including HIV, cancer,
schizophrenia, depression, and post-traumatic stress disorder, was seen as
ethically acceptable across respondent groups (range of means = [4.0, 4.7]).
Mentally ill volunteers expressed levels of ethical acceptability for physical
illness research and mental illness research as acceptable and similar, while
physically ill volunteers expressed greater ethical acceptability for physical
illness research than for mental illness research. Mentally ill, physically ill,
and healthy participants expressed neutral to favorable perspectives regarding
the ethical acceptability of clinical research participation by potentially
vulnerable subpopulations (difference in acceptability perceived by mentally ill
healthy = -0.04, CI [-0.46, 0.39]; physically ill - healthy = -0.13, CI [-0.62,
.36]). CONCLUSIONS: Clinical research volunteers and healthy clinical research
"naive" individuals view studies involving ill people as ethically acceptable,
and their responses reflect concern regarding research that poses considerable
burdens and risks and research involving vulnerable subpopulations. Physically
ill research volunteers may be more willing to see burdensome and risky research
as acceptable. Mentally ill research volunteers and healthy individuals expressed
similar perspectives in this study, helping to dispel a misconception that those
with mental illness should be presumed to hold disparate views.
PMID- 24931850
TI - Mice with ablated adult brain neurogenesis are not impaired in antidepressant
response to chronic fluoxetine.
AB - The neurogenesis hypothesis of major depression has two main facets. One states
that the illness results from decreased neurogenesis while the other claims that
the very functioning of antidepressants depends on increased neurogenesis. In
order to verify the latter, we have used cyclin D2 knockout mice (cD2 KO mice),
known to have virtually no adult brain neurogenesis, and we demonstrate that
these mice successfully respond to chronic fluoxetine. After unpredictable
chronic mild stress, mutant mice showed depression-like behavior in forced swim
test, which was eliminated with chronic fluoxetine treatment, despite its lack of
impact on adult hippocampal neurogenesis in cD2 KO mice. Our results suggest that
new neurons are not indispensable for the action of antidepressants such as
fluoxetine. Using forced swim test and tail suspension test, we also did not
observe depression-like behavior in control cD2 KO mice, which argues against the
link between decreased adult brain neurogenesis and major depression.
PMID- 24931851
TI - Molecular structures and metabolic characteristics of protein in brown and yellow
flaxseed with altered nutrient traits.
AB - The objectives of this study were to investigate the chemical profiles; crude
protein (CP) subfractions; ruminal CP degradation characteristics and intestinal
digestibility of rumen undegraded protein (RUP); and protein molecular structures
using molecular spectroscopy of newly developed yellow-seeded flax (Linum
usitatissimum L.). Seeds from two yellow flaxseed breeding lines and two brown
flaxseed varieties were evaluated. The yellow-seeded lines had higher (P < 0.001)
contents of oil (44.54 vs 41.42% dry matter (DM)) and CP (24.94 vs 20.91% DM)
compared to those of the brown-seeded varieties. The CP in yellow seeds contained
lower (P < 0.01) contents of true protein subfraction (81.31 vs 92.71% CP) and
more (P < 0.001) extensively degraded (70.8 vs 64.9% CP) in rumen resulting in
lower (P < 0.001) content of RUP (29.2 vs 35.1% CP) than that in the brown-seeded
varieties. However, the total supply of digestible RUP was not significantly
different between the two seed types. Regression equations based on protein
molecular structural features gave relatively good estimation for the contents of
CP (R(2) = 0.87), soluble CP (R(2) = 0.92), RUP (R(2) = 0.97), and intestinal
digestibility of RUP (R(2) = 0.71). In conclusion, molecular spectroscopy can be
used to rapidly characterize feed protein molecular structures and predict their
nutritive value.
PMID- 24931852
TI - Applicability of the Demirjian method for dental assessment of southern Turkish
children.
AB - Age-related legal problems are especially common in underdeveloped parts of
Turkey. In terms of civil and criminal law, the ages from 13 years to 18 years
are critical in our country. Estimation of age is a very significant in aspect of
accordance with laws. The aims of this study were to evaluate the applicability
and accuracy of the Demirjian technique for assessing southern Turkish children;
to analyze the sexual dimorphism of dental age in the studied groups; and to
define the relationship between the dental age and the degree of mineralization
in mandibular permanent tooth in southern Turkish children. This study was
performed using panoramic radiographs from 932 healthy southern Turkish children,
444 girls and 488 boys aged between 4 and 18 years. Statistical evaluations were
performed using SPSS (Statistical Package for Social Sciences) for Windows 13.0
software. The mean difference between dental and chronological age was calculated
for each age and sex group. The paired t-test was used to compare all data. The
chi-square test was used for between-group comparisons of categorical variables.
Continuous variables were tested for normality using the Kolmogorov-Smirnov test.
Relationships between continuous variables were examined using Pearson's
correlation coefficient. The same observer re-examined 700 teeth three months
after the first examination. The results were evaluated with the intraclass
correlation test and both measurements were statistically significantly similar
with each other(R = 0.982, p = 0.0001). .Dental age (DA) and chronological age
(CA) were consistent for boys in the 16-16.9 age group and for girls in the 9-9.9
and 15-15.9 age groups. From this study, we can conclude that dental age varies
across ethnic populations. Additionally, dental maturation varies across
different regions of the same country. Because Demirjian's standards are not
suitable for all age groups of southern Turkish children, a new table is
necessary for evaluating this population. Future studies using multi-ethnic
population data are needed to establish the international applicability of
Demirjian's method.
PMID- 24931853
TI - Lip print identification: People v. Davis or the convenient citation.
PMID- 24931854
TI - Sudden cardiac death from parvovirus B19 myocarditis in a young man with Brugada
syndrome.
AB - Cardiovascular diseases are the leading cause of sudden death all over the world.
The aetiology of sudden cardiac death among young adults includes Brugada
syndrome and myocarditis. Brugada syndrome is a genetic abnormality of sodium
channels in the myocardium with a characteristic electrocardiographic pattern.
Myocarditis has several aetiologies including infections. One of the most common
cardiotropic viruses is parvovirus B19. This infection presents as a febrile
illness in childhood and may result in fatal outcome, more frequently in adults.
In this report we present a case of a young man who suffered from a mild upper
respiratory tract infection. After recovery he had an episode of syncope and was
diagnosed with Brugada syndrome. Some weeks later he died suddenly at home while
sleeping. The detailed forensic pathological, histological and microbiological
investigation revealed a parvovirus B19-associated myocarditis. Synergic effect
of structural and functional abnormalities of the myocardium may lead to death.
The cause and potential complications (eg. myocarditis) of even mild infections
should be monitored carefully.
PMID- 24931855
TI - Neck injury patterns resulting from the use of petrol and electric chainsaws in
suicides. Report on two cases.
AB - Suicides due to neck injuries caused by chainsaws are uncommon events. The
cutting elements of petrol and electric chainsaws produce different features in
lethal neck injuries. The accurate evaluation of the death scene, of the power
and mechanical characteristics of the chainsaw and of wound morphology are all
essential in distinguishing a case of suicide.
PMID- 24931856
TI - The case for a cost-effective central coronial database following an analysis of
coronial records relating to deaths in nursing homes.
AB - In order to demonstrate the potential wider epidemiological application of the
data held in coroners' files, this paper uses an analysis of nursing home deaths
reported to the coroner in County Kildare, Ireland. We examine the deaths in
relation to ages, primary causes of death and rates of post-mortem examination.
Knowing that Europe's population is increasing in age, the analyses presented
here show the type of information that could be made available relating to
certain population cohorts. Currently, there is no easily accessible way to
obtain this information in Ireland, so we present the case for the implementation
of a central coroner's database with potential for application in other
jurisdictions but with the caveat that it must be cost-effective and use current
resources, rather than establishing new ones.
PMID- 24931857
TI - Pattern of acute poisonings in children below 15 years--a study from Mangalore,
South India.
AB - Acute poisoning in children is a problem ubiquitous in distribution and is an
important paediatric emergency. The present research was aimed to study the
pattern and outcome of childhood poisoning under the age of 15 years at a
tertiary care centre in South India to characterize the problem of acute
paediatric poisoning among the children in different age group in the region.
Medical records of all poisoning patients admitted during 2010 and 2011 were
reviewed, and the information relating to the sociodemographic and clinical
profile of the patients was recorded. Acute poisoning was reported in 81 children
aged below 15 years during the study period. 50.6% were boys (n = 41) and 49.4%
girls (n = 40). The mean age of the study sample was 6.8 years. Mean age was
observed to be higher in females than males. The maximum number of cases were
observed in the below 5 years age group (n = 45). A male predominance was evident
in the below 5 years age group, while a female predominance in the age group
between 10 and 15 years. Kerosene (n = 23, 28.4%) and organophosphate compounds
(n = 16, 19.8%) were the most common agents responsible for poisoning in
children. The majority of the poisoning cases were reported to the hospital
within 12 h of the incident (n = 65, 83.3%). The mortality in paediatric
poisoning was observed to be 7.4%. The majority of the children (n = 68, 84.0%)
recovered, while seven patients had left the hospital against medical advice
(8.6%). The study reports agrochemicals and hydrocarbons to be the most commonly
implicated agents in paediatric poisoning. The cause of paediatric poisonings
varies in different age groups and hence, preventive strategies should be planned
accordingly.
PMID- 24931858
TI - The investigation of deaths in custody: a qualitative analysis of problems and
prospects.
AB - The right to be treated humanely when detained is universally recognized.
Deficiencies in detention conditions and violence, however, subvert this right.
When this occurs, proper medico-legal investigations are critical irrespective of
the nature of death. Unfortunately, the very context of custody raises serious
concerns over the effectiveness and fairness of medico-legal examinations. The
aim of this manuscript is to identify and discuss the practical and ethical
difficulties encountered in the medico-legal investigation following deaths in
custody. Data for this manuscript come from a larger project on Death in Custody
that examined the causes of deaths in custody and the conditions under which
these deaths should be investigated and prevented. A total of 33 stakeholders
from forensic medicine, law, prison administration or national human rights
administration were interviewed. Data obtained were analyzed qualitatively.
Forensic experts are an essential part of the criminal justice process as they
offer evidence for subsequent indictment and eventual punishment of perpetrators.
Their independence when investigating a death in custody was deemed critical and
lack thereof, problematic. When experts were not independent, concerns arose in
relation to conflicts of interest, biased perspectives, and low-quality forensic
reports. The solutions to ensure independent forensic investigations of deaths in
custody must be structural and simple: setting binding standards of practice
rather than detailed procedures and relying on preexisting national practices as
opposed to encouraging new practices that are unattainable for countries with
limited resources.
PMID- 24931859
TI - Evidence based practice: laboratory feedback informs forensic specimen collection
in NSW.
AB - The importance of having clear, evidence-based guidelines for the taking of
forensic samples from suspects detained in police custody (persons of interest)
and complainants of crime is essential for forensic practitioners. The need for
such guidelines was seen as desirable in New South Wales (NSW) and a working
group was set up comprising scientists, practitioners and police. Feedback from
the laboratory regarding the results of the specimens taken by forensic
practitioners throughout the State was received and analysed. This has resulted
in changes to current practice and highlighted the need for further research in
this area. It has also highlighted areas that have not changed in response to
evidence A quality service demands transparency, process review, relevant
research and feedback in order to progress. Examiners need to obtain the results
for their cases in order to reinforce the value of the service they provide as
well as to monitor and, where necessary, improve their forensic collection
skills.
PMID- 24931860
TI - Teaching forensic medicine in the University of Porto.
AB - The University of Porto (UP) provides education in Forensic Medicine (FM) through
the 1st, 2nd and 3rd cycle of studies, post-graduation and continuing education
courses. This education is related to forensic pathology, clinical forensic
medicine (including forensic psychology and psychiatry), forensic chemistry and
toxicology, forensic genetics and biology, and criminalistics. With this work we
intent to reflect on how we are currently teaching FM in the UP, at all levels of
university graduation. We will present our models, regarding the educational
objectives, curricular program and teaching/learning methodologies of each cycle
of studies as well as in post-graduate and continuing education courses.
Historically, and besides related administratively to the Ministry of Justice,
the Portuguese Medico-Legal Institutes (since 1918) and more recently the
National Institute of Legal Medicine and Forensic Sciences (INMLCF) also have
educational and research responsibilities. Thus, it lends space and cooperates
with academic institutions and this contribution, namely regarding teaching
forensic sciences in Portugal has been judged as an example for other Countries.
This contribution is so important that in UP, the Department of Legal Medicine
and Forensic Sciences of the Faculty of Medicine (FMUP) shares, until now, the
same physical space with North Branch of the INMLCF, which represents a notorious
advantage, since it makes possible the "learning by doing".
PMID- 24931861
TI - Histopathological detection of entry and exit holes in human skin wounds caused
by firearms.
AB - The judiciary needs forensic medicine to determine the difference between an
entry hole and an exit hole in human skin caused by firearms for civilian use.
This important information would be most useful if a practical and accurate
method could be done with low-cost and minimal technological resources. Both
macroscopic and microscopic analyses were performed on skin lesions caused by
firearm projectiles, to establish histological features of 14 entry holes and 14
exit holes. Microscopically, in the abrasion area macroscopically observed, there
were signs of burns (sub-epidermal cracks and keratinocyte necrosis) in the
entrance holes in all cases. These signs were not found in three exit holes which
showed an abrasion collar, nor in other exit holes. Some other microscopic
features not found in every case were limited either to entry holes, such as
cotton fibres, grease deposits, or tattooing in the dermis, or to exit holes,
such as adipose tissue, bone or muscle tissue in the dermis. Coagulative necrosis
of keratinocytes and sub-epidermal cracks are characteristic of entry holes.
Despite the small sample size, it can be safely inferred that this is an
important microscopic finding, among others less consistently found, to define an
entry hole in questionable cases.
PMID- 24931862
TI - Evaluation of a 'decontamination kit' in NSW.
AB - Dedicated facilities of a high standard should be available for the examination
of complainants and suspects where forensic samples are to be taken to ensure
that the risk of contamination is kept to a minimum. The need for a
decontamination kit came about because of the variable quality of examination
facilities for complainants of sexual assault and suspects (persons of interest)
within NSW. Overall the kit has been found to be useful and easy to use but there
is still a need to increase awareness of its availability.
PMID- 24931863
TI - Detainees in Amsterdam, a target population of the Public Mental Health System?
AB - The Forensic Medical Service of the Public Health Service offers health care to
detainees in police cells in Amsterdam. This study describes the registered
mental health, addiction and social problems and compares them to the self
reported problems among a sample of detainees. Registers of the Forensic Medical
Service are related to information from registers of police detention episodes. A
general assessment of substance use, mental health and social problems is
obtained by interviewing a sample of 264 detainees. The Forensic Medical Service
was contacted in 24% of the 17,321 detention episodes. In 14% of the episodes
mental or substance related disorders were observed. Within the sample 59% scored
positively on indicators of substance abuse or mental health problems, 35% had
additional social problems (debts, unemployment, housing). This proportion
increased with age. It is concluded that substance abuse and mental health
problems combined with social problems are highly prevalent among detainees,
especially among the older ones. This urges for a close cooperation between
Public Mental Health Care and Forensic Medical Services.
PMID- 24931864
TI - Novel psychoactive substance intoxication resulting in attempted murder.
AB - A man in his twenties who had no previous history of violence, snorted large
quantities of two substances he identified as 3-methoxyphencyclidine (3-MeO-PCP),
and methylenedioxypyrovalerone (MDPV); both are recognised as novel psychoactive
substances, or commonly described in the media as "legal highs". He also inhaled
butane gas. He experienced vivid hallucinations and developed bizarre ideas.
During this state of mind he stabbed his father multiple times and was arrested
and charged with attempted murder. He had a previous history of drug induced
psychosis and although he had some slight residual symptoms before he consumed
the substances, these were not considered relevant to his criminal liability at
the time of the offence. The hallucinations caused by the use of these substances
took six weeks to completely recede. He was convicted of attempted murder and
sentenced to four years in prison.
PMID- 24931865
TI - Homicide-suicide in Brescia County (Northern Italy): a retrospective study from
1987 to 2012.
AB - From January 1987 to December 2012, 19 homicide-suicide events were registered at
the Brescia Institute of Forensic Medicine (Northern Italy), leading to 39 deaths
(20 homicide victims and 19 suicide victims). The homicide victims were females
in the total of the cases (100%), while perpetrators were exclusively males
(100%). Only one event involved foreigners as both victim and perpetrator, all
the other cases regarded Italian people. The average age was 37.3 years for the
homicide victims and 41.57 years for the offenders. Perpetrators usually used a
firearm both for murder (65%) and suicide (84%). In 66% of the cases the homicide
suicide events occurred at home; homicide victims were strictly bound to their
perpetrators (husband, boyfriend or ex boyfriend, father) in all the events.
PMID- 24931866
TI - Fatal attack by a juvenile tiger shark, Galeocerdo cuvier, on a kitesurfer in New
Caledonia (South Pacific).
AB - We present a case of a non-provoked fatal shark attack on a 15-year old male
kitesurfer in New Caledonia. The victim lost his board and was pulled by the sail
along the water surface in a reef passage when a shark attacked. The shark
inflicted at least two bites on the left leg, including a severe one around the
knee, resulting in a quick hypovolemic shock that was fatal. The analysis of one
of these bites indicated that a 2.8 m TL (est. length) tiger shark was
responsible for this attack. The features of the attack are consistent with those
of a predator response to a surface feeding stimulus.
PMID- 24931867
TI - Forensic examination of the mentally disabled sexual abuse complainant.
AB - Individuals who have mental disabilities are more vulnerable to sexual abuse than
the general population and even less likely to report the offence. Furthermore
they face greater barriers if they wish to seek help, support or prosecution.
Where abuse is alleged or suspected, a complainant with a mental disability will
often have the capacity to decide whether they wish to undergo intimate forensic
examination. However, in cases where the individual truly lacks capacity it must
be decided on an case to case basis without assumption or preconception whether
such an examination is truly in their best interests. This aim of this review is
to discuss sexual offences against adults with mental disabilities and the
identification and management of these individuals.
PMID- 24931868
TI - A rose by any other name.
PMID- 24931869
TI - Intra-individual and inter-individual variation in breath alcohol
pharmacokinetics: the effect of short-term variation.
AB - Ten male and 8 female students underwent serial breath alcohol concentration
(BrAC) measurements on a CAMIC Datamaster on two consecutive occasions, early
evening and again the following morning. Subjects were fasted for 6 h before
receiving alcohol as white wine (12.5% by volume) at doses of 38-45 g for males
and 26-37 g for females, consumed over 10 min. Specific individual doses were
calculated individually from height and weight (according to the Forrest Method)
to give target C0 breath alcohol concentrations of 35 MUg/100 ml breath in males
and 31 MUg/100 ml breath in females. BrAC versus time curves were constructed for
each subject and the values of peak BrAC (Cmax), BrAC extrapolated at zero time
(C0), time taken to reach peak (Tmax) and rate of elimination (beta) were
recorded directly from the curves. Values of C0 taken from the BrAC-time curves
varied widely, from 21 to 47 MUg/100 ml on visit 1 and from 22 to 45 MUg/100 ml
on visit 2. Widmark Factors calculated from these C0 values averaged 0.74 (range,
0.59-1.06) in males and 0.73 (range, 0.58-1.05) in females. Elimination rate was
higher in the morning than evening in both males (7.4 versus 5.7 MUg/100 ml/h)
and females (6.9 versus 5.8 MUg/100 ml/h). Elimination rates in males and females
were not significantly different. Total body water, measured by electronic
scales, averaged 58.7% (range, 56.6-63%) in males and 48.3% (range, 40.9-57.6%)
in females. Widmark Factors calculated by various established mathematical
methods were 0.73-0.77 in males and 0.61-0.64 in females.
PMID- 24931870
TI - The use of interpreters in medical settings and forensic medical examinations in
Australia: the relationship between medicine and linguistics.
AB - Medical examinations are dependent on combining communication with professional
competence. In the development of a global multicultural community with the use
of multiple languages, doctors have become increasingly dependent on language
facilitation such as interpreting and translation. Despite professional studies,
the use of language facilitation with its associated problems has not been fully
explored in graduate and post-graduate medical and forensic medical training.
There may still be some lack of reciprocal understanding between the medical and
linguistic fields, their ethics, obligations and limits although both fields and
their ethical frameworks are closer related than might be expected. This article
is a discussion that aims at providing a basic understanding of guidelines as to
the origin and appropriate use of language interpretation in medical and forensic
medical examinations.
PMID- 24931871
TI - Lessons from a decade of technical-scientific opinions in obstetrical litigation.
AB - The authors aimed to assess the Portuguese circumstances concerning situations of
medico-legal dispute in Obstetrics, evaluate the conclusions of technical
scientific opinions and analyze their consequences. The analysis of all cases of
Obstetrics medical responsibility examined in Medico-legal Council since the
creation of the National Institute of Legal Medicine was performed. Technical
scientific opinions of those files were examined according to the existence of a
causal link and of infringement of the 'leges artis'. The most common reasons for
dispute in Obstetrics were perinatal asphyxia (50%), traumatic injuries of the
newborn (24%), maternal sequelae (19%) and issues related to prenatal diagnosis
and/or obstetric ultrasound (5.4%). In the technical-scientific opinions of files
examined, the existence of a causal link was established in 17.4%, and the
infringement of the 'leges artis' was suggested in 15.5% of cases, numbers which
have grown significantly over the years and which are particularly relevant in
the proceedings of perinatal asphyxia and traumatic lesions of the newborn. In
11% of cases the opinion was inconclusive due to the poor quality of the clinical
process sent for analysis. These results highlight the impact that litigation can
have on the professional activity and personal lives of obstetricians. It should
alert them for the need to better fulfill medical clinical files in order to
reduce or avoid medico-legal conflicts, as well as to the fact of the increasing
practice of defensive medicine and its consequences in daily clinical routine for
doctors and patients.
PMID- 24931872
TI - When range of motion is not enough: towards an evidence-based approach to medico
legal reporting in whiplash injury.
AB - Whiplash injury medico-legal reporting has traditionally been focused upon
identifying restrictions in range of motion and identifying the presence of
tender areas in the cervical spine in an effort both to diagnose the condition
and to offer a prognosis. There have been considerable advances in this field
over the last decade however that calls into question such a diminutive approach.
This paper reviews the contemporary evidence base for the medico-legal assessment
of whiplash injury and identifies a body of literature that strongly implicates a
Claimant's physiological and psychological stress response as a key medico-legal
marker in predicting prognosis following whiplash injury.
PMID- 24931873
TI - Modeling sunscreen-mediated melanoma prevention in the laboratory opossum
(Monodelphis domestica).
PMID- 24931874
TI - Differences between nurses' and care workers' estimations of pain prevalence
among older residents.
AB - A high prevalence of pain and difficulties with pain assessment has been widely
reported among residents of long-term-care facilities. We explored nurses' and
care workers' estimations of residents' pain (both general and chronic) and the
number of residents with unknown pain status. We also examined the relationship
between the prevalence of pain and assessment strategies undertaken by nurses and
care workers. A cross-sectional design was used. Nurses and care workers across
750 long-term care facilities in four Japanese prefectures were asked to
participate. Questionnaires were administered to one nurse and care worker at
each facility. The questionnaires assessed the estimated numbers of residents who
had pain in general, chronic pain, or unknown pain status on the day of data
collection, and pain assessment strategies use by the health care professionals.
In all, 263 (17.5%) questionnaires were returned from 147 nurses (55.9%) and 116
care workers (44.1%). The nurses' and care workers' median estimations of pain
and chronic pain prevalence among residents were 11.6 and 9.4 and 29.4 and 15.5,
respectively (p < .001). Estimations of pain prevalence were significantly higher
among nurses who had observed signs of pain among residents in the previous month
(p = .04) and who applied a multidisciplinary approach to pain assessment and
management (p = .007) than among nurses who did not do either. Nurses and care
workers had relatively low estimations of the prevalence of pain among their
residents. Staff should undertake appropriate and sufficient pain assessments in
order to improve their understanding of residents' pain.
PMID- 24931875
TI - The depletion of securin enhances butein-induced apoptosis and tumor inhibition
in human colorectal cancer.
AB - Butein (3,4,2',4'-tetrahydroxychalcone) is a promising natural polyphenolic
compound that shows the growth inhibitory activity in human cancer cells;
however, the precise mechanism is still unclear. Securin plays pivotal role in
cancer cell proliferation and tumorigenesis. Here, we report the presence of
securin that could modulate apoptosis and tumor growth ability in the butein
treated human colorectal cancer. Butein induced caspase-3 activation and PARP
protein cleavage for apoptosis induction in human colorectal cancer cells.
Interestingly, butein reduced the securin protein levels but conversely increased
the phospho-histone H3 proteins, mitotic arrest and abnormal chromosomes
segregation in cancer cells. The securin-null colorectal cancer cells were more
sensitive on the reduction of cell viability than the securin-wild type cancer
cells following butein treatment. The loss of securin in human colorectal cancer
cells decreased tumor growth ability in nude mice. Moreover, butein reduced the
tumor size of xenografted human colorectal tumors of nude mice. Taken together,
this study demonstrates for the first time that the depletion of securin mediates
the butein-induced apoptosis and colorectal tumor inhibition.
PMID- 24931876
TI - Effect of TiO2 nanoparticles on the structure and activity of catalase.
AB - TiO2 nanoparticles are the most widely used metal oxide nanoparticles and have
oxidative toxicity. Catalase is an important antioxidant enzyme. Here the
understanding of an effect of TiO2 nanoparticles on the activity and structure of
catalase is crucial to characterize the toxicity of TiO2 nanoparticles. These
experimental data revealed that TiO2 nanoparticles could bind to catalase by the
electrostatic and hydrogen bonding forces. On binding TiO2 nanoparticles,
catalase got destabilized with the decrease of alpha-helices content, the solvent
polarity of environment around the fluorescence chromophores on catalase were
also affected. In addition, TiO2 nanoparticles also affected the activity of
catalase. TiO2 nanoparticles acted as an activator of catalase activity at a low
molar concentration and as an inhibitor at a higher molar concentration. With
regard to human health, the present study could provide a better understanding of
the potential nanotoxicity of TiO2 nanoparticles.
PMID- 24931880
TI - Editorial.
PMID- 24931877
TI - [Formula: see text]inadequate effort on neuropsychological evaluation is
associated with increased healthcare utilization.
AB - Patients who exert inadequate effort on neuropsychological examination might not
receive accurate diagnoses and recommendations, and might not cooperate fully
with other aspects of healthcare. This study examined whether inadequate effort
is associated with increased healthcare utilization. Of 355 patients seen for
routine, clinical neuropsychological examination at a VA Medical Center, 283
(79.7%) showed adequate effort and 72 (20.3%) showed inadequate effort, as
determined at time of evaluation using the Word Memory Test and/or Test of Memory
Malingering. Utilization data included number of Emergency Department (ED) visits
and inpatient hospitalizations in the year following evaluation. Patients who had
shown inadequate effort on examination had more Emergency Department visits, more
inpatient hospitalizations, and more days of inpatient hospitalization in the
year after evaluation, compared to patients who had exerted adequate effort. This
finding was not attributable to group differences in age or medical/psychiatric
comorbidities. Thus, patients who exerted inadequate effort showed greater
healthcare utilization in the year following evaluation. Such patients might use
more resources since diagnostic evaluations are inconclusive. Inadequate effort
on examination might also serve as a "marker" for more general failure to
cooperate fully in one's healthcare, possibly resulting in greater utilization.
PMID- 24931881
TI - Higher cardiovascular mortality with sertindole in ADROIT: a signal not
confirmed.
AB - Data from the Adverse Drug Reactions On-line Information Tracking (ADROIT)
database, which records spontaneously reported adverse drug reactions (ADRs),
suggested that the atypical antipsychotic, sertindole, might cause serious
cardiac ADRs and be associated with a higher mortality rate than other atypicals.
As sertindole was known to have the potential to cause QT interval prolongation,
it was assumed to be responsible for the cardiac ADRs and apparently high death
rate. During the ensuing CPMP deliberations, Lundbeck decided to withdraw
sertindole from the market in 1998, pending further safety analyses. The ADROIT
database, by design, is only suited for alert generation and not for hypothesis
testing. Therefore, post-marketing observational studies providing valuable
information on the effects of new drugs in real-life clinical practice, as well
as long-term tolerability data, are necessary to evaluate a signal from the
ADROIT database. In the case of sertindole, such studies did not substantiate the
decision to suspend the drug. Moreover, no difference in the mortality rates has
been observed for low- and high-risk patients. In conclusion, appropriately used,
sertindole will provide yet another treatment option for patients with
schizophrenia.
PMID- 24931882
TI - Patient satisfaction after switching from conventional to new atypical
antipsychotics in schizophrenia.
AB - OBJECT: To study the satisfaction and subjective experiences of patients with
schizophrenia after switching from a conventional to a new atypical
antipsychotic, and the relationship of patient satisfaction to clinical
improvement METHOD: Seventy-four chronic schizophrenia (DSM-IV) patients in a
naturalistic setting whose response to a conventional antipsychotic was
unsatisfactory (clinical improvement or intolerance of side-effects) switched to
olanzapine or quetiapine or risperidone. After 3 months, patients completed a
seven-item Patient Satisfaction Questionnaire, and a psychiatrist assessed the
clinical global improvement. RESULTS: Forty-five (61%) of the patients were
either very or extremely satisfied with the new medication and 47 (63.5%) rated
it very or extremely helpful; 35 (47%) of the patients reported no side-effects.
Fiftyone (69%) indicated that they preferred the new medication to previous
therapy: better tolerability was given as the reason by 40 (54%) patients. Fifty
eight (78%) patients perceived a general improvement in their quality of life.
Slightly fewer patients reported improvement in their symptoms and their daily
living activities. Over 75% of patients expressed readiness to continue the new
medication. Significant association between clinical response and patient
satisfaction was demonstrated by only a small subgroup of patients. CONCLUSIONS:
In the majority of schizophrenia patients, administration of new atypical
antipsychotics seemed to result in higher levels of patient satisfaction than did
conventional drugs. This had a favourable effect on the patients' attitude to the
new medication, which may improve compliance. More patients perceived benefits
related to quality of life than to efficacy. Clinical improvement was an
important, but not the sole, determinant of patient satisfaction with medication.
PMID- 24931883
TI - Sertindole: cardiac electrophysiological profile.
AB - QT interval prolongation is the ECG correlate of prolongation of the cardiac
action potential (AP). Abnormal or excessive QT interval prolongation may be
associated with an increased risk of ventricular tachycardia. This association
appears increasingly evident in congenital long QT syndrome and with certain
classes of cardiovascular and non-cardiovascular therapeutics. Almost all drugs
causing QT interval prolongation inhibit the rapid component of the delayed
rectifier potassium current (I Kr ), an ion channel involved in the termination
of the myocardial AP. Inhibition of I Kr leads to AP and QT interval
prolongation. Drugs, which do not encounter a sufficient electrophysiological
counterbalance to the inhibitory effect on I Kr , may thus impose a risk of
ventricular tachyarrhythmia. Some non-cardiac drugs, including the antipsychotic
sertindole, have inhibitory effects on I Kr but, in contrast to the drugs that
are known to cause tachyarrhythmia, sertindole possesses an important
electrophysiological counterbalancing profile. Sertindole inhibits f 1
adrenoceptors and blocks both sodium and calcium channels. The balanced
electrophysiological profile of sertindole may well explain the low proarrhythmic
potential observed in animal proarrhythmia models against positive comparators.
It also supports the lack of increased cardiac mortality observed in clinical
trials with sertindole and in large epidemiological studies.
PMID- 24931884
TI - Reported sleep patterns in obsessive compulsive disorder (OCD).
AB - INTRODUCTION: Previous studies of sleep disturbance in OCD have produced
contradictory findings. This may be due to different methodological approaches
but may also reflect the fact that many studies have not screened OCD patients
for depressive symptoms. This is particularly important since major depression is
well known to disrupt sleep. METHOD: The current study examined 94 subjective
reports of sleep as measured by the Pittsburgh Sleep Quality Index (PSQI). Twenty
four OCD patients with and without co-morbid depression ( n =12 in each group)
were compared with a group of normal subjects ( n =57) and a group of subjects
with major depression ( n =13). RESULTS: In general, the OCD patients with co
morbid depression exhibited very similar sleep patterns to the group with major
depression. By contrast, those OCD patients without depressive symptoms showed
similar sleep patterns to the group of normal subjects. Thus, contrary to some
earlier studies, these data suggest that OCD does not generally influence
reported sleep patterns unless there is a co-morbid diagnosis. However, a few non
depressed OCD patients did report sleep phase shifting, suggesting that a small
proportion may have abnormal sleep parameters. CONCLUSION: Such anomalies may be
masked by group analyses and further case studies are therefore warranted to
investigate whether such patients exhibit abnormal scores on biological sleep
markers.
PMID- 24931885
TI - Sertindole: a clinical efficacy profile.
AB - Sertindole is an effective atypical antipsychotic drug that is associated with
significant improvements in the symptoms of schizophrenia. It is at least as
efficacious as haloperidol and risperidone in treating the overall and positive
symptoms of schizophrenia and has been shown to have advantages over these two
drugs with respect to the treatment of the negative symptoms of schizophrenia. In
clinical trials, notable improvements in patients' quality of life were observed,
which suggest that patients prescribed sertindole would be more likely to adhere
to treatment and continue taking the drug as part of their long-term treatment
regimen. Continued treatment gives patients the best chance of avoiding relapse.
Indeed, other benefits of sertindole demonstrated in clinical trials include
relatively low relapse and re-admission rates. Sertindole could theoretically
reduce the financial burden of schizophrenia on health- and social-care systems
by reducing the need for re-hospitalization and by enabling patients to manage
their illness and to live as normal a life as possible.
PMID- 24931886
TI - Paroxetine versus amitriptyline in patients with recurrent major depression: A
double-blind trial.
AB - INTRODUCTION: Long-term exposure to antidepressants is required to prevent
relapses and recurrences in patients with recurrent major depression.
Furthermore, a good pharmacological compliance is the key to successful long-term
treatment. Since the early phases of a treatment influence long-term compliance
and compliance is adversely affected by poorly tolerated treatments, efficacy and
tolerability of paroxetine and amitryptiline over 12 weeks were compared as an
introduction to the issue of long-term compliance to these two agents. METHOD: A
12-week, randomized, double-blind, doubledummy, parallel-group trial which
involved 129 patients with recurrent major depression. RESULTS: Both paroxetine
and amitriptyline were effective in controlling the symptoms of depression, as
shown by the reduction in HAMD total score and CGI severity-of-illness score at
endpoint compared to baseline. There was no statistically or clinically
significant difference between the two treatments in terms of efficacy. However,
marked numerical differences were noted in tolerability: the percentage of
patients who reported treatment-emergent adverse experiences was greater in the
amitriptyline group (40.0% vs 28.1%). This difference was mainly due to
anticholinergic adverse events, which were six times more frequent with
amitriptyline than with paroxetine. CONCLUSION: When compared with amitriptyline,
paroxetine should allow patients with recurrent major depression to receive an
equally effective treatment with a relatively lower incidence of adverse
experiences.
PMID- 24931887
TI - Sertindole: safety and tolerability profile.
AB - Sertindole is a novel generation or atypical antipsychotic drug that has recently
been re-introduced to the market. The safety and tolerability profile of
sertindole have demonstrated a positive benefit/risk ratio in clinical trials and
post-marketing studies. The number of patients who experienced extrapyramidal
symptoms (EPS) while taking sertindole in clinical trials was similar to that of
patients on placebo, and significantly less than that of patients on haloperidol.
The relative lack of EPS is probably the result of the drug's highly selective
blockade of limbic dopamine D 2 receptors and its lack of effect on other
dopamine D 2 receptors, but may be due to low occupancy at dopamine D 2 striatal
receptors. Sertindole also has a high affinity for serotonin 5-HT 2 and f 1
receptors. It has been shown not to cause sedation and its propensity to cause
anticholinergic side effects is low, probably due to its lack of antihistamine
and antimuscarinic activity. Sertindole does not cause any clinically significant
changes in serum prolactin levels. QT interval prolongation does occur in some
patients. The sertindole mortality rate is comparable to that of both risperidone
and olanzapine (1.46, 1.75 and 1.20, respectively). Overall, sertindole is a well
tolerated drug that does not cause EPS, sedation or hyperprolactinaemia.
PMID- 24931888
TI - Gender differences in major depressive disorder in a Hungarian community survey.
AB - INTRODUCTION: The aim of this study was to investigate the characteristics of
Major Depressive Disorder (MDD) in males and females in a sample of the Hungarian
adult population. METHOD: 2953 randomly selected subjects between 18 and 64 years
old were interviewed using the Hungarian version of the Diagnostic Interview
Schedule (DIS), which generated DSM-III-R diagnoses. RESULTS: The lifetime and
period prevalences of MDD were more than twice as high in women than in men. The
gender difference appeared in early adolescence and continued up until the age of
50. An increased risk for anxiety disorders was found in patients with MDD,
irrespective of gender, and in the majority of cases (65%) the anxiety symptoms
preceded the onset of MDD. Depressed women tended to have more symptoms and a
more marked tendency for recurrence than men. The preponderance of females was
twice as high in MDD with comorbid anxiety than in MDD without it, in spite of
the fact that the likelihood of the coexistence of MDD and anxiety disorders did
not differ by gender. CONCLUSION: The higher MDD prevalence rate in women might
be the consequence of a higher rate of pre-existing anxiety disorder(s).
PMID- 24931889
TI - Post-marketing surveillance of sertindole.
AB - The atypical antipsychotic drug, sertindole, like several other drugs, causes QT
interval prolongation. Prolongation of the QT interval on the electrocardiogram
has been associated with an increased risk of ventricular arrhythmia, including
the more serious form, torsades de pointes, and is thus a safety concern for the
authorities. In the case of sertindole, however, the available
pharmacoepidemiological studies gathering data from about 10 000 patients
documented the lack of increased risk associated to sertindole in comparison to
other atypical antipsychotics. On the basis of these data, as well as non
clinical and clinical safety data, the CPMP expert group concluded that, although
sertindole has the potential to prolong the QT interval, ¤ ¤ QT interval
prolongation does not seem to be a reliable proxy for the risk of severe cardiac
arrhythmias'', and there are no clinical data suggesting that sertindole is more
arrhythmogenic than are other atypical antipsychotics. To further substantiate
this conclusion, two post-marketing surveillance studies have been initiated. One
is a randomized comparison of sertindole and risperidone under normal conditions
of use. Randomization minimizes selection bias and the intention is that
allocation to the two treatment arms will yield comparable treatment groups.
While the two drugs will be given in an open-label fashion, all safety data will
be blinded and reviewed by an independent safety committee. The other study is an
observational study that includes all patients prescribed sertindole who, for
whatever reason, will not be included in the randomized study. In all, 10 000
patients are expected to take part in the studies, which will run for at least 1
year.
PMID- 24931890
TI - Month of birth and suicide: An exploratory study.
AB - OBJECTIVE: To explore the association between suicide and month of birth. METHOD:
Data were extracted from records of the Public Health Department in North
Cheshire between 1989 and 2000. ¤ Suicide' refers to all deceased who were
the subjects of coroner's inquests resulting in a verdict of suicide or an open
verdict. The month of birth of all who died of natural causes and were reported
during a 2-year period was obtained from the Public Health Department in North
Cheshire for comparison. RESULTS: There were 502 incidents of suicide in North
Cheshire during the 12-year period. Significant differences were found between
suicides and deaths due to natural causes, with an increase of incidents of
suicide in those born in the month of May ( h 2 23, d.f.11, P < 0.01). The
distribution of suicide by hanging appeared to be significantly higher in those
born in September and July and lowest in those born in November compared with
what would expected by chance ( h 2 28, d.f.11, P < 0.005). Those who died by
violent means were more likely to have been born in the summer. The difference
between the observed and expected numbers of suicides by methods other than
hanging failed to reach statistical significance. CONCLUSION: The results, though
inconclusive, are interesting, particularly in view of recent reports that
persons born during the winter - spring months had significantly lower values
of 5-HIAA, and also reports of low CSF levels of 5-HIAA in persons with violent
suicidal behaviour such as hanging, stabbing, firearms, or jumping from heights
and impulsivity. A biological explanation of suicidal behaviour could have
implications for our understanding of the psychopathology of suicide and
eventually offer new strategies for treatment and prevention. The conflicting
reports from different countries within the northern hemisphere indicate the need
for future studies with adequate design and acceptable statistical power.
PMID- 24931891
TI - Fluvoxamine for the treatment of depression and parkinsonism in progressive
supranuclear palsy.
AB - Progressive supranuclear palsy (PSP) is a parkinsonian-like disorder
characterized by postural instability, rigidity, bradykinesia, supranuclear
ocular palsy, dysarthria, dysphagia and dementia. There is no satisfactory
treatment. A 68-year-old woman with initial progressive supranuclear palsy is
described, who was generally apathetic, withdrawn, lacked spontaneity in speech
and behavior, and suffered episodes of depression. Treatment with
levodopa/carbidopa was ineffective in controlling her parkinsonism and
depression, but these symptoms responded to fluvoxamine. This response may be
related to fluvoxamine's putative effect on the serotonin system.
PMID- 24931892
TI - Elective mutism: A case study.
AB - We report a case of persistent elective mutism in a young single woman. To our
knowledge there has been no published study or case report of persistent elective
mutism starting at the age of acquisition of language and persisting until she
was 22.
PMID- 24931893
TI - Heroin-induced leucoencephalopathy misdiagnosed as psychiatric illness.
AB - We present a patient with a background of psychiatric illness who was admitted to
hospital with neurological symptoms and signs. Although the organic cause of the
neurological disorder was extremely uncommon and hence not readily diagnosed, the
signs clearly did not accord with the preexisting psychiatric diagnoses.
Nevertheless, several clinicians attributed the cause of the disorder to mental
illness, or drug side-effects. It is possible that patients suffering from mental
illness may be assessed differently, perhaps due to prejudgement by clinicians.
PMID- 24931895
TI - Endoscopic biopsy samples of naive "colitides" patients: role of basal
plasmacytosis.
AB - BACKGROUND: Although it is usually not difficult to diagnose inflammatory bowel
disease (IBD) on surgical resection specimens, difficulties sometimes arise in
differentiating these entities from other forms of colitis on endoscopic biopsy
specimens. Basal plasmacytosis is considered as an early feature of IBD colitis,
but it is rare in non-IBD colitides. AIMS: We assessed the value of basal
plasmacytosis as an individual variable in untreated patients with colitis.
PATIENTS AND METHODS: Archival slides of patients with untreated colitis (66 IBD
and 49 non-IBD) and 20 controls with complete (from the terminal ileum to the
rectum) endoscopic biopsy sampling were evaluated blindly for the presence of
basal plasmacytosis and a possible association with the presence of eosinophils
in the same anatomical location. RESULTS: Overall, basal plasmacytosis was
present in at least one anatomical segment in 58% of cases, and it was always
present in patients with IBD, whereas it was sparsely found (9%) in patients with
other colitides and in controls. Basal plasmacytosis in three or more segments
had more than 80% probability for a patient to be classified as IBD, with the
segmental distribution being different between ulcerative colitis and Crohn's
disease. Additionally, basal plasmacytosis was always accompanied by eosinophils
intermingled with plasma cells in the same anatomical position. CONCLUSION: As an
individual feature, basal plasmacytosis (accompanied by eosinophils) is a strong
feature suggesting IBD, particularly when present in three or more colonic
segments. This fact may be useful in the evaluation of endoscopic biopsies from
patients with "colitis".
PMID- 24931896
TI - Draft genome sequence of Hydrogenovibrio marinus MH-110, a model organism for
aerobic H2 metabolism.
AB - Hydrogenovibrio marinus, an obligate chemolithoautotroph isolated from oceanic
surface water, is a Knallgas bacterium that conserves energy by oxidizing H2 in
the presence of O2. The strain possesses a periplasmic membrane-bound respiratory
[NiFe]-hydrogenase with high O2 tolerance, hence is of great biotechnological
importance in the development of H2-based technologies for a promising
alternative energy. Here, we report the draft genome of H. marinus MH-110,
providing genomic information on the biosynthesis of the hydrogenase, aerobic H2
metabolism, and autotrophic carbon assimilation.
PMID- 24931897
TI - Tetratricopeptide repeat domain 7A (TTC7A) mutation in a newborn with multiple
intestinal atresia and combined immunodeficiency.
AB - In the past year, two centers reported autosomal recessive mutations in
tetratricopeptide repeat domain 7A (TTC7A) gene in patients with multiple
intestinal atresia and immunodeficiency. Here, we present clinical progress of an
infant with multiple intestinal atresia and combined immunodeficiency who carries
novel compound heterozygote mutations in TTC7A gene.
PMID- 24931898
TI - Development and validation of a HPLC-PDA bioanalytical method for the
simultaneous estimation of Aliskiren and Amlodipine in human plasma.
AB - A simple, unique and selective HPLC-PDA method was developed and validated for
the simultaneous estimation of aliskiren (ALS) and amlodipine (AML) in human
plasma. Extraction of the sample was accomplished by protein precipitation.
Plasma proteins were precipitated by employing acetonitrile containing
hydrochlorothiazide as internal standard. The compounds were analyzed by HPLC by
using PDA detector on a Hibar C18 (250 * 4.6 mm) column with a mobile phase
comprising acetonitrile and phosphate buffer (pH 4.2 and 25 mm; 60:40 v/v) with a
flow rate of 0.8 mL/min. Different sample pretreatment techniques were evaluated
but protein precipitation was found to be satisfactory, offering good recovery
values of 97.11-98.45% for ALS and 97.5-99.12% for AML. The within-day precisions
for ALS were 96.66, 99.16 and 99.41% at 90, 240 and 480 ng/mL, respectively, and
for AML they were 97.27, 99.54 and 99.31% at 3.3, 8.8 and 17.6 ng/mL,
respectively. The between-day precisions for ALS were 96.66, 99.16 and 99.41% at
90, 240 and 480 ng/mL, respectively and the between-day precisions for AML were
98.18, 99.20 and 99.40% at 3.3, 8.8 and 17.6 ng/mL, respectively. The limit of
quantitation was 30 and 1.0 ng/mL for ALS and AML respectively. Different
constituents of plasma proteins did not interfere with the absolute recovery of
ALS and AML.
PMID- 24931899
TI - Role of Presepsin (sCD14-ST) and the CURB65 scoring system in predicting severity
and outcome of community-acquired pneumonia in an emergency department.
AB - INTRODUCTION: CD14 is one of the leukocyte differentiation antigens, and is
present in macrophages, monocytes, granulocytes and their cell membranes.
Presepsin, namely soluble CD14-subtype (sCD14-ST) is produced by circulating
plasma proteases activating cleavage of soluble CD14 (sCD14). The aim of this
study is to investigate the role of Presepsin and the CURB65 scoring system in
the evaluation of severity and outcome of CAP in an ED. METHOD: A prospective,
observational study was performed in an ED of an university teaching hospital
from November 2011 to October 2012. A total of 359 patients with CAP and 214
patients with severe CAP (SCAP) were consecutively enrolled. Plasma Presepsin,
lactate, serum PCT levels and leukocyte counts were measured and CURB65 score
were calculated at admission enrollment. RESULT: Plasma Presepsin levels were
significantly higher in SCAP patients than in CAP patients (P < 0.0001),
increasing correspondingly with the enhancement of CURB65 score. Patients with
ARDS or DIC had obviously higher plasma Presepsin levels than those without ARDS
or DIC (all P < 0.0001), and plasma Presepsin levels were significantly higher in
non-survivors than in survivors at 28-day follow-up. In logistic regression
analysis, CURB65 score was the independent predictor of ARDS, and Presepsin was
the independent predictor of DIC, and Presepsin and CURB65 score were both the
independent predictors of 28-day mortality. The AUCs showed Presepsin in
combination with CURB65 score in predicting ARDS, SCAP and 28-day mortality was
superior to Presepsin or CURB65 score alone ( all P < 0.01), Presepsin was better
than CURB65 score and leukocyte in predicting DIC ( P < 0.01). CONCLUSION:
Presepsin is a valuable biomarker in predicting severity and outcome in CAP
patients in the ED and Presepsin in combination with CURB65 score significantly
enhanced the predictive accuracy.
PMID- 24931900
TI - The effect of an outpatient care on-demand-system on health status and costs in
patients with COPD. A randomized trial.
AB - BACKGROUND: Traditionally, outpatient visits for COPD are fixed, pre-planned by
the pulmonologist. This is not a patient centered method, nor, in times of
increasing COPD prevalence and resource constraints, perhaps the optimal method.
OBJECTIVES: This pilot study, determined the effect of an on-demand-system,
patient initiated outpatient visits, on health status, COPD-related healthcare
resource-use and costs. METHODS: Patients were randomized between on-demand
system (n = 49) and usual care (n = 51), with a 2-year follow-up. Primary, health
status was assessed with Clinical COPD Questionnaire (CCQ). Secondary endpoints
were: St. George's Respiratory Questionnaire (SGRQ), Short Form-36 (SF-36)
scores, visits to general practitioners (GP), pulmonologists, and pulmonary nurse
practitioners (PNP), exacerbations and total treatment costs from healthcare
providers and healthcare insurance perspectives. RESULTS: Participants had a mean
FEV(1) 1.3 +/- 0.4 liters and were 69 +/- 9 years. CCQ total scores deteriorated
in both groups, with no significant difference between them. CCQ symptom domain
did show a significant and clinically relevant difference in favor of the on
demand-group, -0.4 +/- 0.21, CI95% -0.87; -0.02, p = 0.04. Similar tendency was
found for the SGRQ whereas results for SF-36 were inconsistent. Patients in the
on-demand-group visited GP significantly less (p = 0.01), but PNP significantly
more, p = 0.003. Visits to pulmonologists and exacerbations were equally frequent
in both groups. Mean total costs per patient were lower in the on-demand-group in
comparison with usual care, difference of ?-518 (-1993; 788) from healthcare
provider and ?-458 (-2700; 1652) insurance perspective. CONCLUSIONS: The on
demand-system was comparable with usual care, had a cost-saving tendency, and can
be instituted with confidence in the COPD outpatient care setting.
PMID- 24931901
TI - Implementing the legal provisions for HIA in Slovakia: an exploration of
practitioner perspectives.
AB - BACKGROUND: Health Impact Assessment (HIA) is an instrument used in many
countries across the world to assess and report on negative and positive health
impacts of projects, programmes and policies. It was included in the Protection,
Support and Development of Public Health Act (355/2007) of the Slovak Republic in
2007, thus legislating for HIA. Due to this novel move to legislate for HIA,
exploratory research was conducted in order to inquire into the perceptions of
key informants and practitioners of the upcoming enforcement of the HIA part of
legislation (to take place from January 2011). This paper presents results from
the survey of practitioners in the Slovak Regional Public Health Authorities.
METHODS: A postal questionnaire was administered to the Heads of Environmental
Health Departments of the 37 Regional Public Health Authorities. Open-ended
questions were contained in the survey. The response rate was 38%. RESULTS:
Results show that a number of respondents are uncertain as to how HIA would be
implemented in practice, with varying views on the technical and institutional
barriers to comprehensive implementation of HIA. CONCLUSION: Although the public
hygiene and sanitation networks in the public health system in Slovakia operate
smoothly, the readiness of the public health infrastructure for HIA, which is
based broadly on the social model of health, is dubious. Although HIA is
contained within legislation, time will tell whether its implementation in
practice will be as comprehensive as is hoped. Recommendations for further
integration of HIA into the public health system include further training and
education, national HIA resource centres and cross-country support.
PMID- 24931902
TI - Loss of GLUT4 induces metabolic reprogramming and impairs viability of breast
cancer cells.
AB - Metabolic reprogramming strategies focus on the normalization of metabolism of
cancer cells and constitute promising targets for cancer treatment. Here, we
demonstrate that the glucose transporter 4 (GLUT4) has a prominent role in basal
glucose uptake in MCF7 and MDA-MB-231 breast cancer cells. We show that shRNA
mediated down-regulation of GLUT4 diminishes glucose uptake and induces metabolic
reprogramming by reallocating metabolic flux to oxidative phosphorylation. This
reallocation is reflected on an increased activity of the mitochondrial oxidation
of pyruvate and lower lactate release. Altogether, GLUT4 inhibition compromises
cell proliferation and critically affects cell viability under hypoxic
conditions, providing proof-of-principle for the feasibility of using
pharmacological approaches to inhibit GLUT4 in order to induce metabolic
reprogramming in vivo in breast cancer models.
PMID- 24931903
TI - Repairing the ventral root is sufficient for simultaneous motor and sensory
recovery in multiple complete cervical root transection injuries.
AB - AIM: In multiple cervical root transection injuries, motor and sensory recovery
has been demonstrated after repairing both dorsal and ventral roots with
autologous grafts applied to the dorsal and ventral aspects, respectively.
However, in clinical situations, autologous grafts may not be sufficient to
repair both roots in this situation. In this study, the authors evaluated whether
repairing ventral root alone is sufficient for simultaneous sensory and motor
function recovery. MAIN METHODS: In the transected group, the left 6th-8th
cervical roots were pulled and transected at the spinal cord junction. In the
repair group, the transected root was anastomosed to a single autologous nerve
graft, which was inserted into the ventral horn through a pial incision. Acidic
fibroblast growth factor mixed with fibrin glue was applied to the surgical area.
Motor function, sensory function, cortical somatosensory evoked potentials
(SSEPs), axon tracing, and CGRP(+) fibers were evaluated. KEY FINDINGS: The
repaired rats exhibited simultaneous sensory and motor function recovery. At the
16th weeks, SSEPs reappeared in all animals of the repair group, but not in the
transected group. Retrograde axon tracing demonstrated an increased number of
sensory neurons in the dorsal root ganglia and regenerating nerve fibers in the
dorsal horn. CGRP(+) fibers were significantly increased in the repair group and
restricted to laminae I and II. SIGNIFICANCE: This is the first report that in
multiple root avulsions with insufficient grafts, repairing ventral roots alone
leads to both sensory recovery and motor recovery. This finding may help patients
with multiple cervical root avulsions.
PMID- 24931904
TI - Amino acid facilitates absorption of copper in the Caco-2 cell culture model.
AB - AIM: Copper deficiency could cause fatal hematological and neurological disorders
or other diseases. Amino acids are involved in the absorption of copper ions. The
purpose of this study is to evaluate the absorption of copper in amino acid
complex forms and determine its mechanism in the Caco-2 cell culture model. MAIN
METHODS: The human colonic adenocarcinoma cell line Caco-2 culture model was used
to determine the permeability of copper ions in inorganic form (CuSO4) and the
amino acid complex forms. Lysine and methionine, as well as carboplatin were used
to determine the possible involvement of amino acid transporters or copper
transporter 1 (CTR1). KEY FINDINGS: The results showed that all of the amino acid
complex forms facilitated copper absorption. The apparent permeabilities of
copper ions in these complex forms were at least 7.6 fold higher than those in
the CuSO4 form. The permeability rank order of copper in various amino acid
complex forms was Cu-glutamate95%) but decreases when an electron-rich
substituent is located at the butynoate 4-position.
PMID- 24931910
TI - sigma(N) -dependent control of acid resistance and the locus of enterocyte
effacement in enterohemorrhagic Escherichia coli is activated by acetyl phosphate
in a manner requiring flagellar regulator FlhDC and the sigma(S) antagonist FliZ.
AB - In enterohemorrhagic Escherichia coli (EHEC), sigma factor N (sigma(N)) regulates
glutamate-dependent acid resistance (GDAR) and the locus of enterocyte effacement
(LEE); discrete genetic systems that are required for transmission and virulence
of this intestinal pathogen. Regulation of these systems requires nitrogen
regulatory protein C, NtrC, and is a consequence of NtrC-sigma(N) -dependent
reduction in the activity of sigma factor S (sigma(S)). This study elucidates
pathway components and stimuli for sigma(N)-directed regulation of GDAR and the
LEE in EHEC. Deletion of fliZ, the product of which reduces sigma(S) activity,
phenocopied rpoN (sigma(N)) and ntrC null strains for GDAR and LEE control, acid
resistance, and adherence. Upregulation of fliZ by NtrC-sigma(N) was shown to be
indirect and required an intact flagellar regulator flhDC. Activation of flhDC by
NtrC-sigma(N) and FlhDC-dependent regulation of GDAR and the LEE was dependent on
sigma(N)-promoter flhDP 2 , and a newly described NtrC upstream activator
sequence. Addition of ammonium chloride significantly altered expression of GDAR
and LEE, acid resistance, and adherence, independently of rpoN, ntrC, and the
NtrC sensor kinase, ntrB. Altering the availability of NtrC phosphodonor acetyl
phosphate by growth without glucose, with acetate addition, or by deletion of
acetate kinase ackA, abrogated NtrC-sigma(N)-dependent control of flhDC, fliZ,
GDAR, and the LEE.
PMID- 24931913
TI - Heterogeneously porous gamma-MnO2-catalyzed direct oxidative amination of
benzoxazole through C-H activation in the presence of O2.
AB - Oxidative amination of azoles through catalytic C-H bond activation is a very
important reaction due to the presence of 2-aminoazoles in several biologically
active compounds. However, most of the reported methods are performed under
homogeneous reaction conditions using excess reagents and additives. Herein, we
report the heterogeneous, porous gamma-MnO2-catalyzed direct amination of
benzoxazole with wide range of primary and secondary amines. The amination was
carried under mild reaction conditions and using molecular oxygen as a green
oxidant, without any additives. The catalyst can easily be separated by
filtration and reused several times without a significant loss of its catalytic
performance. Of note, the reaction tolerates a functional group such as alcohol,
thus indicating the broad applicability of this reaction.
PMID- 24931914
TI - Clinical features of cerebral palsy in children with symptomatic congenital
cytomegalovirus infection.
AB - BACKGROUND: Human cytomegalovirus is the most common cause of vertically
transmitted viral infection, affecting around 1% of liveborns. Infection is
symptomatic in nearly 10% of infected children who are at higher risk of
development of severe neurological disorders, including cerebral palsy. AIMS: To
study the clinical profile of children with cerebral palsy caused by symptomatic
congenital cytomegalovirus infection in a multicenter study involving six
countries from the Surveillance of Cerebral Palsy in Europe (SCPE) Network.
METHODS: Data on 35 children (13 males, 22 females; mean age at last assessment
12y 6mo, age range 14y 6mo, min 4y, max 18y 6mo) on pre/peri/neonatal history and
last clinical assessment were collected. Classification of cerebral palsy and
associated impairments was performed according to SCPE criteria. RESULTS: The
majority of children had bilateral spastic cerebral palsy, 85.7%, with a
confidence interval (CI) [69.7-95.2], and 71.4% [CI 53.7-85.4] were unable to
walk (GMFCS levels IV-V) while fine motor function was severely affected in 62.8%
[CI 44.9-78.5] (BFMF levels IV and V). Most of the children with severe CP had
severe associated impairments. 11.4% of children had severe visual and 42.8%
severe hearing impairment, 77.1% [CI 59.9-89.6] suffered from epilepsy, also
77.1% had severe intellectual impairment, and speech was undeveloped in 71.4%.
Female:male ratio was 1.69:1 and 80% of children were term born. CONCLUSIONS:
Cerebral palsy following symptomatic congenital cytomegalovirus infection seems
to be in most cases a severe condition and associated impairments are
overrepresented.
PMID- 24931915
TI - Relevance of intraglandular injections of Botulinum toxin for the treatment of
sialorrhea in children with cerebral palsy: a review.
AB - BACKGROUND: After the age of 4 years, drooling becomes pathological and impacts
the quality of life of children with cerebral palsy. Intraglandular injection of
Botulinum toxin is one of the treatments available to limit this phenomenon.
AIMS: The objectives of this review were to validate the efficacy of Botulinum
toxin injections for drooling in children with cerebral palsy, determine
recommendations and identify potential side effects. METHODS: We conducted a
literature review from 2001 in the following databases: Embase, Pubmed and
Cochrane using the keywords: sialorrhea, drooling, hypersalivation, Botulinum
toxin, cerebral palsy and children. Only the articles evaluating the efficacy of
Botulinum toxin in children with cerebral palsy over the age of 4 were
researched. RESULTS: Eight studies were found: 2 case studies, 3 open and non
controlled studies and 3 randomized controlled trials. Efficacy results in this
indication are quite encouraging and the use of BTX injections is safe but the
overall level of evidence of these studies was quite low. CONCLUSION: However,
intraglandular injection of Botulinum toxin has a place among the therapeutic
array available for the management of sialorrhea in this population even if no
standardized protocol is available yet.
PMID- 24931917
TI - The bending machine: CO2 activation and hydrogenation on delta-MoC(001) and beta
Mo2C(001) surfaces.
AB - The adsorption and activation of a CO2 molecule on cubic delta-MoC(001) and
orthorhombic beta-Mo2C(001) surfaces have been investigated by means of periodic
density functional theory based calculations using the Perdew-Burke-Ernzerhof
exchange-correlation functional and explicitly accounting for (or neglecting) the
dispersive force term description as proposed by Grimme. The DFT results indicate
that an orthorhombic beta-Mo2C(001) Mo-terminated polar surface provokes the
spontaneous cleavage of a C-O bond in CO2 and carbon monoxide formation, whereas
on a beta-Mo2C(001) C-terminated polar surface or on a delta-MoC(001) nonpolar
surface the CO2 molecule is activated yet the C-O bond prevails. Experimental
tests showed that Mo-terminated beta-Mo2C(001) easily adsorbs and decomposes the
CO2 molecule. This surface is an active catalyst for the hydrogenation of CO2 to
methanol and methane. Although MoC does not dissociate C-O bonds on its own, it
binds CO2 better than transition metal surfaces and is an active and selective
catalyst for the CO2 + 3H2 -> CH3OH + H2O reaction. Our theoretical and
experimental results illustrate the tremendous impact that the carbon/metal ratio
has on the chemical and catalytic properties of molybdenum carbides. This ratio
must be taken into consideration when designing catalysts for the activation and
conversion of CO2.
PMID- 24931916
TI - Lymphatic and blood vasculature in primary cutaneous melanomas of the scalp and
neck.
AB - BACKGROUND: Scalp/neck melanomas have a poor prognosis, possibly because of a
rich vascular supply that prompts tumor cells' dissemination. METHODS: We
compared the accuracy of immunohistochemical (IHC) staining with morphology for
the identification of lymphovascular invasion in 156 scalp/neck melanomas. We
then analyzed the association of vessel invasion and density with pathological
features and survival. RESULTS: IHC-detected lymphatic vessel invasion (LVI) and
blood vessel invasion (BVI) were identified in 34.6% and 13.5% of cases,
respectively. IHC increased the LVI/BVI detection compared to morphology (40.4%
vs 16.6%; p < .001). The degree of peritumoral and intratumoral blood vessel
density (BVD) was greater than lymphatic vessel density (LVD). Ulceration was the
only factor independently associated with intratumoral (p = .029) and peritumoral
(p = .047) BVD. Tumor thickness was the only independent predictor of survival (p
= .002). CONCLUSION: IHC allows accurate assessment of lymphovascular invasion in
scalp/neck melanomas. In these tumors, we observed a high incidence of BVI, which
deserves further investigations.
PMID- 24931918
TI - Tigecycline therapy for carbapenem-resistant Klebsiella pneumoniae (CRKP)
bacteriuria leads to tigecycline resistance.
AB - Carbapenem-resistant Klebsiella pneumoniae (CRKP) is an increasing global threat.
Here, we describe the prevalence and impact of tigecycline use in a cohort of
patients with CRKP bacteriuria nested within a multicentre, prospective study. In
the 21-month study period, 260 unique patients were included. Tigecycline was
given to 80 (31%) patients. The use of tigecycline during the index
hospitalization was significantly associated with the subsequent development of
tigecycline resistance in the same patient (OR, 6.13; 95% CI, 1.15-48.65; p
0.03). In conclusion, the use of tigecycline with CRKP bacteriuria is common, and
is associated with the subsequent development of tigecycline resistance.
PMID- 24931920
TI - Comment on Wang et al. entitled "association of tea consumption and the risk of
oral cancer: a meta-analysis".
PMID- 24931919
TI - Comparison of extracellular DNase- and protease-producing spoilage bacteria
isolated from Delaware pond-sourced and retail channel catfish (Ictalurus
punctatus).
AB - BACKGROUND: Spoilage of fishery products begins immediately following filleting
due to microbial growth that degrades fish tissue quality prior to consumption.
Extensive research has been conducted to identify such bacterial populations. A
better understanding of the mechanisms involved in fish spoilage is necessary as
a novel remedy for microbial spoilage inhibition has yet to be established for
fish tissue. The present study identified, for the first time, bacterial
populations that produce extracellular DNase and protease from Delaware and local
retail distributed channel catfish (Ictalurus punctatus) fillets. RESULTS: A
clear trend was identified between bacteria derived from catfish filleted under
aseptic conditions where Pseudomonas was the dominant genus. Bacteria isolated
from retail catfish contained high quantities of DNase-producing isolates, in
contrast to aseptic-filleted catfish tissue which had none. Both types of catfish
sample maintained high populations of protease-producing bacterial colonies
throughout the duration of the study. Most bacteria isolated from catfish
intestines exhibited DNase production with no protease production. CONCLUSION:
Specific spoilage organism populations were significantly higher on retail
derived catfish in comparison to lab-filleted Delaware cultured catfish tissue.
It is suggested that DNase production and protease production contribute to the
spoilage of fish tissue as a result of mishandling and septic filleting being the
major cause of rapid catfish tissue spoilage.
PMID- 24931921
TI - The impact of iterative reconstruction on image quality and radiation dose in
thoracic and abdominal CT.
AB - PURPOSE: To compare the image quality and radiation dose between iterative
reconstruction (IR) and standard filtered back projection (FBP) in CT of the
chest and abdomen. MATERIALS AND METHODS: Thoracic CT was performed in 50
patients (38 male, 12 female; mean age, 51 +/- 23 yrs; range, 7-85 yrs) and
abdominal CT was performed in 50 patients (36 male, 14 female; mean age, 62 +/-
13 yrs; range, 20-85 yrs), using IR as well as FBP for image reconstruction.
Image noise was quantitatively assessed measuring standard deviation of
Hounsfield Units (HU) in defined regions of interest in subcutaneous tissue. Scan
length and Computed Tomography Dose Index (CTDI) were documented. Scan length,
image noise, and CTDI of both reconstruction techniques were compared by using
paired tests according to the nature of variables (McNemar test or Student t
test). Overall subjective image quality and subjective image noise were compared.
RESULTS: There was no significant difference between the protocols in terms of
mean scan length (p>0.05). Image noise was statistically significantly higher
with IR, although the difference was clinically insignificant (13.3 +/- 3.0 HU
and 13.6 +/- 3.0 HU for thoracic CT and 11.5 +/- 3.1 HU and 11.7 +/- 3.0 HU for
abdominal CT, p<0.05). There was no significant difference in overall subjective
image quality and subjective image noise. The radiation dose was significantly
lower with IR. Volume-weighted CTDI decreased by 64% (6.2 +/- 2.5 mGy versus 17.1
+/- 9.5 mGy, p<0.001) for thoracic CT and by 58% (7.8 +/- 4.6 mGy versus 18.5 +/-
8.6 mGy, p<0.001) for abdominal CT. CONCLUSIONS: Our study shows that in thoracic
and abdominal CT with IR, there is no clinically significant impact on image
quality, yet a significant radiation dose reduction compared to FBP.
PMID- 24931922
TI - Can 18F-FDG PET improve the evaluation of suspicious breast lesions on MRI?
AB - OBJECTIVE: To evaluate the impact of adding 18F-fluorine-2-deoxy-d-glucose (FDG)
positron emission tomography (PET) in the evaluation of suspicious breast lesions
on magnetic resonance imaging (MRI). METHODS: Sixty patients with suspicious
breast lesions on MRI were selected to perform a PET-CT in prone position,
dedicated to the evaluation of the breasts. The areas with increased 18F-FDG
concentration relative to normal parenchyma were considered positive on PET-CT.
Fusion of PET and MRI images (PET-MRI) was performed on a dedicated workstation
to better locate corresponding lesions, and its findings were compared with
histological results. RESULTS: 76 lesions were evaluated, including 64 mass
lesions (84.2%) and 12 non-mass lesions (15.8%). Lesions' mean diameter on MRI
was 29.6 +/- 19.2 mm (range 6-94 mm). PET-CT showed increased metabolically
activity on 57 lesions (75.0%), with mean maximum SUV of 5.7 +/- 5.0 (range 0.8
23.1). On histopathology, there were 17 (22.4%) benign and 59 (79.7%) malignant
lesions. Considering all lesions, PET-MRI fusion provided 89.8% sensitivity,
76.5% specificity and 86.8% accuracy. Considering only mass lesions higher than
10mm, PET-MRI fusion provided 95.8% sensitivity, 83.3% specificity and 93.3%
accuracy. CONCLUSION: The inclusion of 18F-FDG PET on the evaluation of
suspicious breast lesions on MRI helped to differentiate benign from malignant
breast lesions, especially for mass lesions with a diameter higher than 10 mm.
PMID- 24931923
TI - Supplementation of standard pre-oxygenation with nasal prong oxygen or machine
oxygen flush during a simulated leak scenario.
AB - The presence of a facemask leak significantly reduces the effectiveness of pre
oxygenation and increases the risk of post-induction hypoxia. We randomly
assigned 24 healthy volunteers to a six-period crossover trial with and without a
simulated facemask leak. Pre-oxygenation was performed using a standard
anaesthesia machine circuit supplemented either by nasal prong oxygen or by
anaesthesia machine flush oxygen. Each intervention was completed with both 3-min
tidal breathing and 8 deep breath techniques: end-tidal oxygen fraction was used
as the measure of pre-oxygenation effectiveness. The presence of a stimulated
mask leak significantly reduced the effectiveness of pre-oxygenation regardless
of the breathing method used. With a simulated facemask leak introduced, the mean
(SD) end-tidal oxygen fraction with the 3-min tidal breath technique was 74.7
(9.3)% compared with 57.5 (6.2%) for the 8 deep breath technique with 3-min tidal
breathing and a leak. End-tidal oxygen fractions increased by 11.0% (95% CI 7.8
14.3%) (p < 0.0001) with the addition of nasal prong oxygenation and 16.8% (13.6
20.0%) (p < 0.0001) with machine oxygen flush compared with standard pre
oxygenation. When a leak is present, 3-min tidal breathing with either nasal
prong or anaesthesia machine flush oxygenation is an effective pre-oxygenation
method, and preferable to the 8 deep breath method.
PMID- 24931924
TI - Clinical and etiological heterogeneity in patients with tracheo-esophageal
malformations and associated anomalies.
AB - Esophageal Atresia (EA) is a severe developmental defect of the foregut that
presents with or without a Tracheo-Esophageal Fistula (TEF). The prevalence of
EA/TEF over time and around the world has been relatively stable. EA/TEF is
manifested in a broad spectrum of anomalies: in some patients it manifests as an
isolated atresia or fistula, but in over half it affects several organ systems.
While the associated malformations are often those of the VACTERL spectrum
(Vertebral, Anorectal, Cardiac, Tracheo-Esophageal, Renal and Limb), many
patients are affected by other malformations, such as microcephaly, micrognathia,
pyloric stenosis, duodenal atresia, a single umbilical artery, and anomalies of
the genitourinary, respiratory and gastrointestinal systems. Though EA/TEF is a
genetically heterogeneous condition, recurrent genes and loci are sometimes
affected. Tracheo-Esophageal (TE) defects are in fact a variable feature in
several known single gene disorders and in patients with specific recurrent Copy
Number Variations and structural chromosomal aberrations. At present, a causal
genetic aberration can be identified in 11-12% of patients. In most, EA/TEF is a
sporadic finding; the familial recurrence rate is low (1%). As this suggests that
epigenetic and environmental factors also contribute to the disease, non
syndromic EA/TEF is generally believed to be a multifactorial condition. Several
population-based studies and case reports describe a wide range of associated
risks, including age, diabetes, drug use, herbicides, smoking and fetal alcohol
exposure. The phenotypical and genetic heterogeneity seen in EA/TEF patients
indicates not one underlying cause, but several. Unraveling the complex
multifactorial and heterogeneous etiology of EA/TEF and associated features will
require large cohorts of patients. Combined statistical analysis of component
findings, genome sequencing, and genome wide association studies will elucidate
new causal genetic defects and predisposing loci in the etiology within specific
sub-populations. Improved knowledge of environmental risk factors, genetic
predisposition and causal genetic syndromes may improve prediction and parental
counseling, and prevent co-morbidity.
PMID- 24931925
TI - Periapical status of root-filled teeth in Norwegian children and adolescents.
AB - OBJECTIVE: To study the periapical status of root-filled permanent teeth of 9-17
year-olds in More & Romsdal county, Norway. MATERIALS AND METHODS: All permanent
teeth with endodontic treatment in patients born in 1994-2001 were identified in
the dental records of the Public Dental Service. The data collected consisted of
chart entries and radiographs. Of 1182 teeth, 174 teeth in 155 patients met
further inclusion criteria, i.e. completed endodontic treatment and a follow-up
with a readable radiograph of at least 1 year. Periapical status was assessed
with the Periapical Index (PAI) and the technical quality of the root fillings
was also quantified on a 4-grade visual scale. RESULTS: Apical periodontitis was
found on follow-up radiographs in 25% of all teeth and in 48% of the teeth with
pre-operative apical periodontitis. Forty-two per cent of root fillings were of
adequate technical quality. Adequate technical quality of the root filling was
significantly correlated with radiographic success. CONCLUSIONS: About one fourth
of all root-filled teeth and almost half of the teeth with pre-operative apical
periodontitis showed clear signs of the disease at recall.
PMID- 24931926
TI - Is it safe to use a toothbrush?
AB - AIM: To systemically collect and summarize the literature on case reports
concerning adverse events associated with the oral use of a toothbrush. MATERIALS
AND METHODS: Two electronic databases were searched for articles published up to
October 2013 to identify appropriate studies using focused search terms and 'case
reports' as a filter. Results. A comprehensive search identified 419 unique
titles and abstracts. Ninety-four studies met the eligibility criteria. In total,
118 subjects (age range = 1-60 years) presented adverse events related to the
oral use of a toothbrush. Events could be summarized in five categories, of which
ingestion was the most reported problem among the individuals (50 cases). This
was followed by impaction of a toothbrush (27 cases). Reports more frequently
involved females and children were more likely to have injuries compared to males
and adults. Most of the cases that presented with adverse events of the oral use
of a toothbrush were referred for treatment to a physician. CONCLUSIONS: The
combined evidence related to serious adverse events as presented in case reports
showed that the oral use of a toothbrush can be associated with ingestion,
impaction, instant trauma, gingival traumatic injury and seizures. Given the
incidence of reporting, important recommendations are that a toothbrush should
not be used to induce vomiting, nor should people walk or run with this device in
their mouths, especially children.
PMID- 24931927
TI - Lip buccal mucosa traumatic overgrowth due to sucking habit - a 10-year follow-up
of a non-surgical approach: a combination of behavioural and myofunctional
therapy.
AB - OBJECTIVE: Traumatic lesion of the lip buccal mucosa may develop due to a
repetitive lip sucking habit, secondary to a dental space which opened in the
dental in adult patients. The non surgical treatment approach is based on
increasing of patient's awareness to the sucking habit along with the creation of
a change in the oral and dental surrounding tissues. The following case included
a failure to identify a traumatic habit of lower lip sucking, resulting in a
buccal mucosa overgrowth. Combined conservative periodontal and orthodontic
approach will be presented to address this clinical issue without any need for
surgical intervention. MATERIAL AND METHODS: 56 year old female patient presented
with a complaint of unaesthetic appearance of the intraoral right buccal mucosa
of the lower lip at rest position due to an intensive repetitive sucking habit of
the right lower lip segment. We initiated a non surgical treatment approach
including increasing the patient's awareness to the sucking habit, controlling
the periodontal disease and orthodontic treatment to align and level the dental
arch and to close the residual space. RESULT: The soft tissue overgrowth on the
lip buccal mucosa almost completely subsided spontaneously as a result of
conservative dental and behavioral management without the need for any oral
surgery intervention. A 10 years follow-up revealed no repetitive oral mucosa
overgrowth, no spaces reopening and no sucking habit redevelopment. CONCLUSION:
Implementation of a morphological correction will assist the patient in breaking
the habit and creating an environment that may effectively prevent the
reoccurrence of the habit.
PMID- 24931929
TI - Editorial.
PMID- 24931928
TI - Host cell pigmentation in Scenedesmus dimorphus as a beacon for nascent parasite
infection.
AB - Biofuels derived from the mass cultivation of algae represent an emerging
industry that aims to partially displace petroleum based fuels. Outdoor, open
pond, and raceway production facilities are attractive options for the mass
culture of algae however, this mode of cultivation leaves the algae susceptible
to epidemics from a variety of environmental challenges. Infestations can result
in complete collapse of the algal populations and destruction of their valuable
products making it paramount to understand the host-pathogen relationships of
known algal pests in order to develop mitigation strategies. In the present work,
we characterize the spatial-temporal response of photosynthetic pigments in
Scenedesmus dimorphus to infection from Amoeboaphelidium protococcarum, a
destructive endoparasite, with the goal of understanding the potential for early
detection of infection via host pigment changes. We employed a hyperspectral
confocal fluorescence microscope to quantify these changes in pigmentation with
high spatial and spectral resolution during early parasite infection. Carotenoid
abundance and autofluorescence increased within the first 24 h of infection while
chlorophyll emission remained constant. Changes in host cell photosynthesis and
bulk chlorophyll content were found to lag behind parasite replication. The
results herein raise the possibility of using host-cell pigment changes as
indicators of nascent parasite infection.
PMID- 24931930
TI - Impulsive behavior in a consumer culture.
AB - INTRODUCTION: Compulsive shopping behaviour has recently received long overdue
attention as a clinical issue. Curiosity about this condition has led to
questions about its identification, characterization as a disorder, and
treatment. METHOD: This article presents two case vignettes illustrating
diagnostic criteria and points that distinguish this disorder from OCD hoarding
or mania. These issues are discussed. RESULTS: The authors present some suggested
treatment approaches CONCLUSION: Greater awareness of the prevalence and social
consequences of compulsive shopping behaviour highlights the need for treatment
and for educational resources for clinicians and the general public. (Int J Psych
Clin Pract 2002; 6: 65-68).
PMID- 24931931
TI - Physical signs in psychiatry: a step towards evidence-based medicine.
AB - INTRODUCTION: The descriptive nature of psychiatry is embedded in the traditions
of Kraepelin, Bleuler and Freud. Diagnostic guidelines in both ICD10 and DSM-IV
are stated to be based on the "clinical description of the variety of concepts"
and are based on the subjective complaints, i.e. symptoms. METHOD: In particular,
we argue that no significant effort has been made to segregate the presence of
accompanying physical signs in Major Depressive Illness, DSM-IV 296.2x, and Panic
Disorder Without Agoraphobia, DSM-IV 300.01. RESULT: These physical signs are
psychophysiological correlates of clinically significant depression and anxiety.
Advances in structural biology, genetic engineering and brain imaging provide an
opportunity to narrow the gap between physical signs and psychiatric symptoms in
psychiatry. CONCLUSION: Therefore we suggest that the next revisions of the DSM
and the ICD classifications lead the way in incorporating physical signs
alongside those symptoms pertaining to each psychiatric illness. (Int J Psych
Clin Pract 2002; 6: 69-72).
PMID- 24931932
TI - Risk assessment for people with mental health problems: a pilot study of
reliability in working practice.
AB - INTRODUCTION: This paper describes a pilot study of reliability in the risk
assessment of people with mental health problems. Specifically, we explore the
evidence for professional and gender bias in ratings, in addition to the general
level of agreement between raters. METHOD: Six professional groups
(psychiatrists, junior psychiatric doctors, nurses, community psychiatric nurses,
social workers and occupational therapists) participated in the study and rated
159 patients on a nine-item scale which assessed different components of risk.
RESULTS: Contrary to some earlier work, we found no clear evidence that any one
group consistently rated more extremely than any other group. Women were more
cautious than men in their ratings, and this concurs with previous studies.
Finally, a reliability study of randomly selected pairs of raters showed only
moderate levels of agreement and, in some instances, the levels of disagreement
were high enough to warrant concern. CONCLUSION: These findings are discussed in
the context of current risk assessment practice and the problems associated with
investigating reliability in naturalistic settings and designing appropriate
rating tools for risk. (Int J Psych Clin Pract 2002; 6: 73-81).
PMID- 24931933
TI - Clinical experience of the pharmacological treatment algorithm for major
depression in advanced cancer patients: preliminary study.
AB - INTRODUCTION: Treatment of major depression in advanced cancer patients is often
difficult because of their special characteristics. METHOD: The authors developed
a treatment algorithm for major depression in advanced cancer patients and report
on their clinical experience using it. The applicability, tolerability, and
clinical efficacy of the algorithm were evaluated in 95 advanced cancer patients
with major depression. RESULTS: The algorithm was not suitable for seven patients
and was not used correctly in 14 cases. It was correctly applied to 74 patients
(77%), 23 of whom dropped out for cancer-related reasons (deterioration of
physical condition, transfer to other hospitals, cancer death). As for
tolerability, 22 patients (43%) of the 51 dropped out of the antidepressant
treatment regimen because of delirium due to deterioration of their physical
condition, adverse effects of the antidepressant, etc. In the 29 cases that could
be followed up, clinical efficacy was evaluated for 4 weeks, and improvement was
observed in 22 cases (76%). CONCLUSION: These preliminary findings suggest that
use of the algorithm may be feasible, but that it requires some alterations to
manage major depression in advanced cancer patients. (Int J Psych Clin Pract
2002; 6: 83-89).
PMID- 24931934
TI - Hamilton Depression Scale in dementia.
AB - INTRODUCTION: Wide variations in frequency of depression in primary degenerative
dementia (PDD) and in vascular dementia (VD) have been reported. This may perhaps
be due to inadequacy of common diagnostic tools in detecting depression in the
face of cognitive decline. We evaluated here the Hamilton Depression Rating Scale
(HDRS) in demented patients with PDD and VD. METHODS: We examined 50 consecutive
patients with PDD and 50 consecutive patients with VD. All patients underwent
neurological examination and their depression was evaluated using DSM-III-R
criteria and the HDRS. The data obtained were analysed for distribution of
depression and pattern of responses obtained in the HDRS. Sensitivity,
specificity and Youden's J-indices for different cut-off scores of the HDRS in
its ability to detect depression in this population were calculated. RESULTS:
Dementia was associated with depression in 38% of the patients (DSM-III-R
criteria). HDRS scores were higher in depressed patients (z= -5.7, P < 0.0001)
with an HDRS cut-off score of 10 being indicative of depression in demented
patients. Symptoms related to 'affective' components of the HDRS (such as
depressive mood and anxiety) were strongly associated with the diagnosis of
depression (Mann-Whitney tests, P < 0.0001). CONCLUSION: Depression is frequent
in demented patients. The HDRS has good criterion validity in the evaluation of
depression in demented patients. (Int J Psych Clin Pract 2002; 6: 91-94).
PMID- 24931935
TI - The impact of clozapine on electrophysiological features: how can we utilize the
findings?
AB - INTRODUCTION: There is a large body of findings on the impact of clozapine upon
electrophysiological features. This study repeats several of these earlier
studies and reports on whether, and how, these findings can be utilized in our
particular research/clinical situation. METHODS: Neurophysiological
investigations were carried out on 37 schizophrenia patients, before and after
commencing a clinically indicated trial of clozapine. Data from three areas of
investigation-EEG, quantitative EEG (QEEG), and evoked response potential (ERP)
were compared with findings from earlier studies. Analysis was also extended to
include clinical indicators, dosages, and several novel combinations of features.
RESULTS: Our local population has a higher incidence of baseline abnormalities
than that found in earlier investigations. Even with this difference, clozapine
still leads to an increase in EEG slow-wave activity. The slow-wave increase is
significantly correlated with an increase in P3 amplitude of the ERP. While QEEG
changes were correlated with clinical changes, the ERP correlation did not reach
significance levels. CONCLUSIONS: Electrophysiological findings, particularly
from small numbers of studies, may not be generalizable to all populations.
Findings which were replicated, however, support the potential use of slow wave
changes in the EEG in clinical management. (Int J Psych Clin Pract 2002; 6: 95
102).
PMID- 24931936
TI - What have you been told about your illness? Information about diagnosis among
psychiatric inpatients.
AB - INTRODUCTION: In current psychiatric practice clinicians tend to share with
patients information regarding their illness. However, little is known about what
the patients would in fact like to know about their diagnosis and prognosis.
METHOD: We have conducted a cross-sectional survey among psychiatric inpatients,
to explore what they believe is wrong with them, what they have been told and by
whom, and what they wish to know about their illness. RESULTS: The results have
shown that 80% of the patients received some information about their illness and
37% of the participants had satisfactory insight. However only 46% of the
participants declared that they wished to know what was wrong with them.
CONCLUSIONS: We conclude that although many patients would like to know the
truth, the rights of those who do not wish to know should also be respected.
Therefore the diagnosis of mental disorder should not be routinely disclosed, but
mental health professionals should take into consideration their patients'
preferences and act appropriately to their choice. (Int J Psych Clin Pract 2002;
6: 103-106).
PMID- 24931938
TI - Risperidone for the treatment of delusional disorder.
AB - INTRODUCTION: The overlap between diagnostic criteria for schizophrenia and
delusional disorder (DD) may cause diagnostic confusion. This is important if
response to treatment differs. Risperidone, an atypical antipsychotic, is
established in the treatment of schizophrenia, although less so in other
psychotic conditions. METHOD: We report the case of a woman who developed DD,
persecutory type, at the age of 50 years. Treatment with sulpiride 200-800 mg
daily caused side-effects of drowsiness and 'hangover' and, consequently, non
compliance. Written informed consent was gained for a 24-week, randomized, double
blind, placebo-controlled, crossover trial of risperidone, initiated at 1 mg
daily and increasing to 2 mg daily. RESULTS: Significant improvement was found,
as assessed by the Brief Psychiatric Rating Scale, Positive and Negative Symptom
Schedule and Maudsley Assessment of Delusions Schedule. CONCLUSION: We believe
that this is the first case study reporting the resolution of persecutory DD with
risperidone. A controlled clinical trial of risperidone in the treatment of
patients with DD is warranted. (Int J Psych Clin Pract 2002; 6: 113-116).
PMID- 24931937
TI - Is olanzapine better than haloperidol in resistant schizophrenia? A double-blind
study in partial responders.
AB - INTRODUCTION: To compare the efficacy and safety of olanzapine and haloperidol in
partial-responder paranoid schizophrenic patients. METHOD: In this multi-centre,
double-blind study, 28 patients with DSM-IV paranoid schizophrenia were
randomized to receive 14 weeks treatment with either olanzapine or haloperidol at
flexible doses. The pre- and post-treatment assessment included the Brief
Psychiatric Rating Scale (BPRS), the Scale for the Assessment of Negative
Symptoms (SANS), the CGI, the Simpson-Angus Rating Scale, and the Barnes
Akathisia Rating Scale. RESULTS: The two treatment groups showed similar
improvement on the BPRS positive symptoms subscale, while the improvement of BPRS
negative symptoms subscale was significant only in the olanzapine group (ANOVA
with repeated measures, group effect: F=5.89, P =0.023). Only the olanzapine
treated patients experienced a significant improvement of negative symptoms as
rated by the SANS (ANOVA with repeated measures, group effect: F=6.81, P =0.016).
No significant differences were found between the two groups on the Simpson and
Angus Rating Scale scores, but a significant difference was found in the Barnes
Akathisia Rating Scale scores: no patient in the olanzapine-treated group
experienced akathisia, while a few patients in the haloperidol-treated group
showed this side-effect, thus resulting in a significant group effect detected by
the ANOVA (F=4.23, P =0.05). CONCLUSIONS: These preliminary results suggest that
olanzapine is superior to haloperidol in the treatment of partial-responder
paranoid schizophrenic patients, and also shows a better tolerability profile.
Further investigations, including different diagnostic subgroups, are still
needed to further clarify the clinical profile of olanzapine. (Int J Psych Clin
Pract 2002; 6: 107-111).
PMID- 24931939
TI - "The detergent is circulating in my blood": a case report.
AB - INTRODUCTION: We report an interesting case of monosymptomatic hypochondriacal
psychosis (MHP) which, because of its rarity, tends to be neglected in
differential diagnosis. The patient presented with delusions including smelling
the odour of detergent and thinking detergent was circulating in his blood. He
had many medical and paramedical treatments over 21/2 years, and was finally
diagnosed with MHP. METHOD: Risperidone was then started, and gradually
increased. RESULTS: A significant improvement was observed. However, risperidone
was discontinued, because of its hypomanic effects. CONCLUSION: We suggest that
risperidone may be an effective alternative treatment in MHP cases, but the
hypomanic effects may limit its usefulness. (Int J Psych Clin Pract 2002; 6: 117
119).
PMID- 24931940
TI - Safety and efficacy of long-term low-dose clozapine aftercare treatment in a
patient with learning difficulties who suffered from neuroleptic malignant
syndrome.
AB - INTRODUCTION: Antipsychotic medication continues to be an essential component in
the treatment of schizophrenia. Neuroleptic malignant syndrome (NMS) is one of
the most serious complications of neuroleptic treatment and the optimal
therapeutic aftercare regimen for patients is unclear. Also, it is not clear if
low-dose neuroleptic maintenance in such patients is safe and efficient enough
over time. METHOD: We present a case of a 56-year-old woman suffering from
schizoaffective disorder, who was successfully treated with a low dosage of
clozapine for 6.5 years following a NMS episode. RESULT: To the best of our
knowledge this is the first report of such a long-term beneficial use of low-dose
clozapine in a patient who previously underwent such a serious complication.
CONCLUSION: Large-scale studies are needed to substantiate this observation. (Int
J Psych Clin Pract 2002; 6: 121-123).
PMID- 24931942
TI - 50 years of research on the effect of physician communication behavior on health
outcomes.
PMID- 24931943
TI - Role of cell-cell adhesion complexes in embryonic stem cell biology.
AB - Pluripotent embryonic stem cells (ESCs) can self-renew or differentiate into any
cell type within an organism. Here, we focus on the roles of cadherins and
catenins - their cytoplasmic scaffold proteins - in the fate, maintenance and
differentiation of mammalian ESCs. E-cadherin is a master stem cell regulator
that is required for both mouse ESC (mESC) maintenance and differentiation. E
cadherin interacts with key components of the naive stemness pathway and ablating
it prevents stem cells from forming well-differentiated teratomas or contributing
to chimeric animals. In addition, depleting E-cadherin converts naive mouse ESCs
into primed epiblast-like stem cells (EpiSCs). In line with this, a mesenchymal
to-epithelial transition (MET) occurs during reprogramming of somatic cells
towards induced pluripotent stem cells (iPSCs), leading to downregulation of N
cadherin and acquisition of high E-cadherin levels. beta-catenin exerts a dual
function; it acts in cadherin-based adhesion and in WNT signaling and, although
WNT signaling is important for stemness, the adhesive function of beta-catenin
might be crucial for maintaining the naive state of stem cells. In addition,
evidence is rising that other junctional proteins are also important in ESC
biology. Thus, precisely regulated levels and activities of several junctional
proteins, in particular E-cadherin, safeguard naive pluripotency and are a
prerequisite for complete somatic cell reprogramming.
PMID- 24931944
TI - CrossTalk proposal: Weighing the evidence for Class A GPCR dimers, the evidence
favours dimers.
PMID- 24931945
TI - CrossTalk opposing view: Weighing the evidence for class A GPCR dimers, the jury
is still out.
PMID- 24931946
TI - Rebuttal from Michel Bouvier and Terence E. Hebert.
PMID- 24931947
TI - Rebuttal from Nevin A. Lambert and Jonathan A. Javitch.
PMID- 24931948
TI - Taking vascular health to new heights: the short- and long-term impacts of
altitude on cardiovascular function.
PMID- 24931949
TI - High prevalence of metabolic syndrome and of insulin resistance in psoriatic
arthritis is associated with the severity of underlying disease.
AB - OBJECTIVE: To investigate the prevalence of metabolic syndrome (MetS) and of
insulin resistance (IR) in an ethnically homogeneous cohort of established
psoriatic arthritis (PsA), and to identify clinical associations of MetS and IR
in patients with PsA. METHODS: A cohort of 283 patients with PsA all meeting
ClASsification for Psoriatic ARthritis (CASPAR) criteria was included. All
underwent detailed skin and rheumatologic assessments, along with cardiovascular
risk factor evaluation. IR was defined as an elevated homeostasis model
assessment (HOMA-IR) value of > 2.5. Severe PsA was defined as the presence of 1
or more of the PsA-related radiographic damage features (peripheral joint
erosions, osteolysis, sacroiliitis), and PsA requiring tumor necrosis factor
inhibitor therapy. RESULTS: The demographic and clinical characteristics of the
cohort were mean age 54.6 +/- 12 years, 52% female, mean PsA duration 19 +/- 9
years. MetS was present in 44% of the studied patients (n = 283). On multiple
regression analysis, a significant association of MetS was noted with more severe
PsA (OR 4.47, p < 0.001), higher smoking pack-years (OR 1.03, p = 0.02), and
worse EQ-5D scores (OR 1.28, p = 0.02). Data on IR were available for 263
patients, and among them, the mean HOMA-IR was 1.43 +/- 1.09. Forty-one patients
(16%) had IR. On multiple regression analysis, a significant association of IR
was noted with more severe PsA (OR 3.49, p = 0.03), later psoriasis age of onset
(OR 1.07, p = 0.001), and higher body mass index (OR 1.22, p < 0.001).
CONCLUSION: Among patients with PsA, MetS and IR are highly prevalent, and are
independently associated with the severity of underlying PsA.
PMID- 24931951
TI - Clinical and serological predictors of remission in rheumatoid arthritis are
dependent on treatment regimen.
AB - OBJECTIVE: Early intensive treatment is now the cornerstone for the management of
rheumatoid arthritis (RA). In the era of personalized medicine, when treatment is
becoming more individualized, it is unclear from the current literature whether
all patients with RA benefit equally from such intensive therapies. We
investigated the benefit of different treatment regimens on remission rates when
stratified to clinical and serological factors. METHODS: The Combination Anti
rheumatic Drugs in Early Rheumatoid Arthritis (CARDERA) trial recruited patients
with RA of less than 2 years' duration who had active disease. The trial compared
4 treatment regimens: methotrexate monotherapy, 2 different double therapy
regimens (methotrexate and cyclosporine or methotrexate and prednisolone) and 3
drug therapy. Clinical predictors included age, male sex, and tender joint count
(TJC) and serological biomarkers included rheumatoid factor (RF) and
anticitrullinated protein antibodies (ACPA). RESULTS: Patients who were male,
over 50 years, had >= 6 TJC, were RF-IgM-positive, or ACPA-positive were more
likely to achieve remission at 24 months using 3-drug therapy compared to
monotherapy (OR 2.99, 4.95, 2.71, 2.54, and 3.52, respectively). There were no
differences in response to monotherapy and 3-drug therapy if patients were
female, under 50 years, had < 6 TJC, or were seronegative. CONCLUSION: Early
intensive regimens have become the gold standard in the treatment of early RA.
Our study suggests that this intensive approach is only superior to monotherapy
in certain subsets of patients. Although these are unlikely to be the only
predictors of treatment response, our study brings us a step closer to achieving
personalized medicine in RA.
PMID- 24931950
TI - Ischemic digital ulcers affect hand disability and pain in systemic sclerosis.
AB - OBJECTIVE: Ischemic digital ulcers (DU) are frequent and severe complications of
systemic sclerosis (SSc). The purpose of our study was to assess the effect of DU
on hand disability and pain in patients with SSc. METHODS: The Evaluation of the
Impact of Recurrent Ischemic DU on Hand Disability in Patients with SSc (ECLIPSE)
is a prospective, multicenter, noninterventional study with a 2-year followup.
Patients with SSc who experienced at least 1 DU in the previous year and received
bosentan therapy were included between October 2009 and March 2011. This cohort
is described at the time of inclusion. RESULTS: There were 190 patients (132
females) from 53 centers. Mean age +/- SD was 43 +/- 15 years at SSc diagnosis
and 53 +/- 15 years at inclusion. In 105 patients (56.2%), DU were the first non
Raynaud symptoms of SSc. The mean time interval between the occurrence of Raynaud
phenomenon and the first DU episode was 6.6 +/- 9.1 years. The mean numbers of
active DU and fingers affected per patient for both hands were 2.3 +/- 1.8 and
2.2 +/- 1.6, respectively. Presence of active DU at inclusion was significantly
associated with pain and impaired hand function: Visual Analog Scale for pain (0
to 10) was 6.2 +/- 2.6 versus 2.5 +/- 2.4 (p < 0.0001) and Cochin Hand Function
Scale for hand disability (0 to 90) was 38 +/- 20 versus 25 +/- 19 (p < 0.0001),
respectively. CONCLUSION: DU represent a major sign of SSc, often affecting
multiple fingers and both hands. They are significantly associated with pain and
hand disability.
PMID- 24931952
TI - English language proficiency, health literacy, and trust in physician are
associated with shared decision making in rheumatoid arthritis.
AB - OBJECTIVE: Treat-to-target guidelines promote shared decision making (SDM) in
rheumatoid arthritis (RA). Also, because of high cost and potential toxicity of
therapies, SDM is central to patient safety. Our objective was to examine
patterns of perceived communication around decision making in 2 cohorts of adults
with RA. METHODS: Data were derived from patients enrolled in 1 of 2
longitudinal, observational cohorts [University of California, San Francisco
(UCSF) RA Cohort and RA Panel Cohort]. Subjects completed a telephone interview
in their preferred language that included a measure of patient-provider
communication, including items about decision making. Measures of trust in
physician, education, and language proficiency were also asked. Logistic
regression was performed to identify correlates of suboptimal SDM communication.
Analyses were performed on each sample separately. RESULTS: Of 509 patients
across 2 cohorts, 30% and 32% reported suboptimal SDM communication. Low trust in
physician was independently associated with suboptimal SDM communication in both
cohorts. Older age and limited English proficiency were independently associated
with suboptimal SDM in the UCSF RA Cohort, as was limited health literacy in the
RA Panel Cohort. CONCLUSION: This study of over 500 adults with RA from 2
demographically distinct cohorts found that nearly one-third of subjects report
suboptimal SDM communication with their clinicians, regardless of cohort. Lower
trust in physician was independently associated with suboptimal SDM communication
in both cohorts, as was limited English language proficiency and older age in the
UCSF RA Cohort and limited health literacy in the RA Panel Cohort. These findings
underscore the need to examine the influence of SDM on health outcomes in RA.
PMID- 24931953
TI - Behcet syndrome manifestations and activity in the United States versus Turkey --
a cross-sectional cohort comparison.
AB - OBJECTIVE: To compare clinical manifestations and activity of Behcet syndrome
(BS) in the United States versus Turkey using validated outcome measures.
METHODS: Consecutive patients with BS from the US National Institutes of Health
(NIH), New York University, and the University of Istanbul were evaluated.
Disease activity was measured using the Behcet's Syndrome Activity Scale (BSAS)
and the Behcet's Disease Current Activity Form (BDCAF) with quality of life
measured by the Behcet Disease Quality of Life (BDQOL) form. One-way ANOVA, t
tests, and multivariate regression analyses were performed. RESULTS: Mean age did
not differ between sites; however, more women were seen in the United States
versus in Turkey (p < 0.001), and disease duration was longer in the United
States (p = 0.02). Organ manifestations were similar for oral and genital ulcers,
skin disease, arthralgia, eye disease, and thrombosis. However, more
gastrointestinal (p < 0.001) and neurologic disease (p = 0.003) was seen in the
United States. BSAS and BDCAF scores were worse in the United States compared to
Turkey (p = 0.013 and < 0.001, respectively). Worse mean BDQOL scores were
observed at the NIH compared to Istanbul (not significant). Multivariable
regression models showed worse scores in ethnically atypical patients for BSAS
and BDCAF (p = 0.04 and p = 0.001), American patients for BDCAF (p = 0.01), older
age for BDCAF (p = 0.005), and women for BDQOL (p = 0.01). CONCLUSION:
Demographic and clinical manifestations of BS differ between sites with higher
disease activity in the United States compared to Turkey. Referral patterns, age,
sex, ethnicity, and country of origin may be important in these differences.
These observations raise the question of whether pathogenic mechanisms differ in
Turkish and American patients.
PMID- 24931954
TI - The association of socioeconomic status and symptom severity in persons with
fibromyalgia.
AB - OBJECTIVE: Although persons with lower socioeconomic status (SES) generally have
poorer health status for many medical conditions, the association of SES with
symptom severity in fibromyalgia (FM) is unknown. The subjective symptoms of FM
may be influenced by personal perceptions, and environmental and psychosocial
factors. Therefore SES may influence symptom expression and severity. METHODS:
Data for this cross-sectional analysis were obtained from a real-life prospective
cohort of 246 patients with FM categorized according to level of education: high
school graduates or less (Group 1; n = 99), college graduates (Group 2; n = 84),
and university graduates (Group 3; n = 63). The association between level of
education, a well-validated measure of SES, and disease severity, functional
status, and quality of life were examined. RESULTS: Lower education was
significantly associated with older age (p = 0.039), current unemployment (p <
0.001), and more severe disease, as measured by patient global assessment disease
activity (p = 0.019), McGill Pain Questionnaire (p = 0.026), Pain Disability
Index (p = 0.031), Pain Catastrophizing Scale (p = 0.015), Health Assessment
Questionnaire (p = 0.001), and Fibromyalgia Impact Questionnaire (p = 0.002), but
not pain level, anxiety, or depression. These associations remained significant
even upon adjusting for age and sex differences. CONCLUSION: Patients with FM and
lower SES, as assessed by education level, reported greater symptom severity and
functional impairment, despite reporting similar levels of pain, depression, and
anxiety. Although FM spans all socioeconomic groups, factors other than specific
disease characteristics or mental status, appear to play an important role in
patients' perception of illness.
PMID- 24931955
TI - Autoantibodies to the Rpp25 component of the Th/To complex are the most common
antibodies in patients with systemic sclerosis without antibodies detectable by
widely available commercial tests.
AB - OBJECTIVE: Antinuclear antibodies (ANA) occur in up to 95% of patients with
systemic sclerosis (SSc). In most, SSc-associated antibodies are detected (i.e.,
centromere, topoisomerase I, RNA polymerase III, PM/Scl, Ro52/TRIM21, and U1RNP).
Ribonuclease P protein subunit p25, (Rpp25) is an autoantigenic component of the
Th/To complex. The contribution of anti-Th/To and anti-Rpp25 antibodies to ANA
positivity in patients with SSc remains unknown. METHODS: Sera from 873 patients
with SSc were tested for ANA, and SSc-associated antibodies were measured.
Samples without antibodies to extractable nuclear antigens (ENA; n = 53, ANA+/ENA
), were analyzed by immunoprecipitation (IP) and metabolically labeled proteins
and for anti-Rpp25 antibodies (n = 50) by a chemiluminescent immunoassay (CLIA)
and Rpp25 ELISA. RESULTS: Anti-Th/To antibodies occurred in 19/53 (36%), as
determined by IP, and were the most common autoantibody in ANA+/ENA- SSc. Of
those samples, 50/53 were available for additional testing by CLIA and ELISA.
Anti-Rpp25 antibodies were detected in 12 (24% CLIA) or 10 (20% ELISA) of 50
patients. Receiver-operating characteristic curve analysis showed similar
discrimination between Th/To IP-positive (n = 19) and -negative samples (n = 31)
by CLIA and ELISA (area under the curve 0.90 vs 0.87; p = 0.6691). The positive
percent agreement between IP and CLIA or ELISA was 12/19 (63.2%, 95% CI 38.4
83.7%) or 10/19 (52.6%, 95% CI 73.3-94.2%), respectively. Negative percent
agreement was 100% for both assays. CONCLUSION: Autoantibodies to the Th/To
autoantigen are important in patients with SSc who have been considered negative
for SSc-specific or SSc-associated antibodies by widely available commercial
assays. Rpp25 can be considered a major target of anti-Th/To antibodies. Assays
detecting anti-Th/To and anti-Rpp25 antibodies may be important in SSc.
PMID- 24931956
TI - Immediate efficacy of neuromuscular exercise in patients with severe
osteoarthritis of the hip or knee: a secondary analysis from a randomized
controlled trial.
AB - OBJECTIVE: Knowledge about the effects of exercise in severe and endstage
osteoarthritis (OA) is limited. The aim was to evaluate the efficacy of a
neuromuscular exercise program in patients with clinically severe hip or knee OA.
METHODS: This was a randomized controlled assessor-blinded trial. Patients
received an educational package (care-as-usual) only, or care-as-usual plus an 8
week neuromuscular exercise intervention (NEMEX-TJR). NEMEX-TJR was supervised by
a physiotherapist, twice weekly for 1 h. The primary outcome was Activities of
Daily Living (ADL) subscale from the Hip disability and Osteoarthritis Outcome
Score (HOOS) and the Knee Injury and Osteoarthritis Outcome Score (KOOS)
questionnaire. The secondary outcomes were the HOOS/KOOS subscales Pain,
Symptoms, Sport and Recreation, and Joint-related Quality of Life. Exploratory
outcomes were functional performance measures and lower limb muscle power.
RESULTS: Included were 165 patients, 56% female, average age 67 years (SD +/- 8),
and a body mass index of 30 (SD +/- 5), who were scheduled for primary hip or
knee replacement. The postintervention difference between mean changes in ADL was
7.2 points (95% CI 3.5 to 10.9, p = 0.0002) in favor of NEMEX-TJR compared with
control. Second, there were statistically significant differences between groups
in favor of NEMEX-TJR on all self-reported outcomes and most functional
performance tests (walk, chair stands, and 1-leg knee bends). Stratified analyses
according to joint revealed moderate effect size for ADL for hip patients (0.63,
95% CI 0.26 to 1.00). Corresponding effect size for knee patients was small (0.23
95% CI -0.14 to 0.60). CONCLUSION: Feasibility of neuromuscular exercise was
confirmed in patients about to have total joint replacement. Self-reported
activities of daily living and objective performance were improved and pain
reduced immediately following 8 weeks of neuromuscular exercise. While the
effects were moderate in hip OA, they were only small in knee OA.
ClinicalTrials.gov Identifier: NCT01003756.
PMID- 24931957
TI - Female sex and oligoarthritis category are not risk factors for uveitis in
Italian children with juvenile idiopathic arthritis.
AB - OBJECTIVE: To investigate the risk factors for chronic anterior uveitis in
patients with juvenile idiopathic arthritis (JIA). METHODS: The clinical charts
of patients followed between January 1987 and December 2011 were reviewed to
establish whether they had uveitis. Inclusion criteria were a diagnosis of JIA
and a disease category of persistent oligoarthritis, extended oligoarthritis,
rheumatoid factor-negative polyarthritis, psoriatic arthritis, or
undifferentiated arthritis. Risk factors included sex, age at arthritis onset,
disease category, and antinuclear antibody (ANA) status. The association of risk
factors with occurrence of uveitis was evaluated by survival analysis, with first
episode of uveitis as the event of interest, and Cox regression analysis.
RESULTS: Of a total of 1189 patients, 278 (23.4%) had uveitis a median of 1.1
years after onset of arthritis. There was no difference in the cumulative
probability of developing uveitis between males and females and between patients
belonging to different JIA categories, whereas uveitis was strongly associated
with age at arthritis onset <= 3.5 years and positive ANA. Patients possessing
the latter 2 factors in combination had a greater probability of having uveitis
than patients who had either of them alone. CONCLUSION: In our patients, the risk
of uveitis was related to younger age at onset of arthritis and presence of ANA,
but not to female sex and disease category. This finding suggests that the
patients who require the most intensive ophthalmologic screening are those who
have early-onset JIA and are ANA-positive, regardless of their sex or disease
subtype.
PMID- 24931958
TI - Analysis of the effect of the oral contraceptive pill on clinical outcomes in
women with ankylosing spondylitis.
AB - OBJECTIVE: There are unexplained sex-specific changes in the clinical expression
of ankylosing spondylitis (AS). We sought to examine the potential effect of
exogenous estrogen in the form of oral contraceptive pills (OCP) on AS initiation
and severity. METHODS: This cross-sectional study consisted of women with AS from
the membership of the Spondylitis Association of America. Measures of disease
severity included use of biological agents and hip replacement surgery, while
Bath AS Functional Index (BASFI) scores served as a surrogate marker of
disability. Information was obtained using a patient questionnaire on patient
demographics, OCP use, pregnancy history, AS duration, medication use, and hip
replacement. RESULTS: There were 571 women with AS who participated in our study,
consisting of 448 OCP ever-users and 123 non-OCP users. The mean age of OCP users
was 42.7 yrs (+/- 11.5) and of non-OCP users, 48.4 yrs (+/- 12.1). No difference
was noted in the age at initial onset of back pain. However, OCP users were
significantly younger at the time of diagnosis of AS (36.5 yrs vs 39.1 yrs, p =
0.02). There were no significant differences between the 2 groups in tumor
necrosis factor inhibitor or opioid use, BASFI scores, pregnancy complications,
or hip surgery. CONCLUSION: The use of exogenous estrogens in the form of OCP is
not associated with a measurable effect on initiation or severity of AS. Biologic
and social factors may contribute to earlier diagnosis of AS in OCP users. This
is the largest study to date investigating the potential effect of exogenous
estrogens in women with AS.
PMID- 24931960
TI - Biomarkers of bone metabolism in ankylosing spondylitis in relation to
osteoproliferation and osteoporosis.
AB - OBJECTIVE: To identify biomarkers for bone metabolism in patients with ankylosing
spondylitis (AS) and to determine the relationship between these biomarkers and
disease activity, back mobility, osteoproliferation, and bone mineral density
(BMD). METHODS: Serum levels of Wingless protein (Wnt-3a), Dickkopf-1 (DKK-1),
sclerostin, soluble receptor activator of nuclear factor-kappaB ligand (sRANKL),
and osteoprotegerin were assessed using ELISA. Ankylosing Spondylitis Disease
Activity Score-C reactive protein, Bath Ankylosing Spondylitis Disease Activity
Index, Bath Ankylosing Spondylitis patient global score, and C-reactive protein
(CRP) were used as disease activity measures, and Bath Ankylosing Spondylitis
Metrology Index (BASMI) as a measure of spinal mobility. Lateral spine
radiographs were scored for chronic AS-related changes (mSASSS). BMD was measured
with dual-energy x-ray absorptiometry. RESULTS: Two hundred four patients with AS
(NY criteria; 57% men), with a mean age of 50 +/- 13 years and disease duration
15 +/- 11 years, and 80 age and sex-matched controls were included. The patients
with AS had significantly higher serum levels of Wnt-3a (p < 0.001) and lower
levels of sclerostin (p = 0.014) and sRANKL (p = 0.047) compared with the
controls. High CRP was associated with low sclerostin (r(S) = -0.21, p = 0.003)
and DKK-1 (r(S) = -0.14, p = 0.045). In multiple linear regression analyses,
increasing BASMI and mSASSS were independently associated with older age, male
sex, high CRP, and elevated serum levels of Wnt-3a. In addition, mSASSS remained
associated with a high number of smoking pack-years after adjusting for age. Low
BMD of femoral neck was associated with high mSASSS after adjusting for age.
CONCLUSION: Serum levels of Wnt-3a are elevated in AS and associated with
increased BASMI and mSASSS, independent of age, indicating that Wnt-3a could be a
biomarker for the osteoproliferative process.
PMID- 24931959
TI - The diagnostic performance of anterior knee pain and activity-related pain in
identifying knees with structural damage in the patellofemoral joint: the
Multicenter Osteoarthritis Study.
AB - OBJECTIVE: To determine the diagnostic test performance of location of pain and
activity-related pain in identifying knees with patellofemoral joint (PFJ)
structural damage. METHODS: The Multicenter Osteoarthritis Study is a US National
Institutes of Health-funded cohort study of older adults with or at risk of knee
osteoarthritis. Subjects identified painful areas around the knee on a knee pain
map and the Western Ontario and McMaster Universities Osteoarthritis Index was
used to assess pain with stairs and walking on level ground. Cartilage damage and
bone marrow lesions were assessed from knee magnetic resonance imaging. We
determined the sensitivity, specificity, positive and negative predictive values
for presence of anterior knee pain (AKP), pain with stairs, absence of pain while
walking on level ground, and combinations of tests in discriminating knees with
isolated PFJ structural damage from those with isolated tibiofemoral joint (TFJ)
or no structural damage. Knees with mixed PFJ/TFJ damage were removed from our
analyses because of the inability to determine which compartment was causing
pain. RESULTS: There were 407 knees that met our inclusion criteria. "Any" AKP
had a sensitivity of 60% and specificity of 53%; and if AKP was the only area of
pain, the sensitivity dropped to 27% but specificity rose to 81%. Absence of
moderate pain with walking on level ground had the greatest sensitivity (93%) but
poor specificity (13%). The combination of "isolated" AKP and moderate pain with
stairs had poor sensitivity (9%) but the greatest specificity (97%) of strategies
tested. CONCLUSION: Commonly used questions purported to identify knees with PFJ
structural damage do not identify this condition with great accuracy.
PMID- 24931961
TI - Association of leptin receptor gene polymorphisms with growth and feed efficiency
in meat-type chickens.
AB - Many genetic factors influence the growth and feed intake of birds. In the
current study, we evaluated the association of 8 previously reported SNP in the
chicken leptin receptor (LEPR) gene with BW, BW gain (BWG), feed intake (FI), and
feed conversion ratio (FCR). Four SNP with a very low minor allele frequency were
removed by genotype quality control. The experimental population consisted of 796
pedigreed males from 2 genetically unrelated yellow meat-type chicken strains,
335 chickens from N202, and 461 chickens from N301. The BW at 49 (BW49) and 70 d
of age (BW70) and FI (from 49 to 70 d of age) were measured individually. The BWG
and FCR were calculated based on BW and FI in the interval between 49 to 70 d.
The results indicated that rs14657336, rs13684613, rs13684615, and rs13684616
were found in strong linkage disequilibrium. This linkage disequilibrium block
was significantly associated with BW49 (P < 0.05), BW70 (P < 0.05), and FI (P <
0.05) in the N202 strain, and FCR (P < 0.01) in the N301 strain, respectively. In
addition, the GTACGTAC diplotype had the highest BWG and FI in both strains. The
association revealed in this study suggests the need for further functional study
on the role of LEPR gene in regulating feed intake and FCR of chickens.
PMID- 24931962
TI - Separation of digesta fractions complicates estimation of ileal digestibility
using marker methods with Cr2O3 and cobalt-ethylenediamine tetraacetic acid in
broiler chickens.
AB - Marker methodologies to measure ileal and total tract digestibilities of diets
varying in content and degradability of dietary fiber in broiler chickens were
evaluated. Chromium sesquioxide (Cr2O3) and cobalt-ethylenediamine tetraacetic
acid (Co-EDTA) were used as markers of solid and soluble fractions, respectively,
and compared with digestibility values obtained with the total collection method.
Groups of broilers (n = 17, 11 broilers/group) were assigned to a low-fiber diet
or 1 of 2 high-fiber diets, the latter 2 containing 35% rapeseed meal (RSM).
Pectolytic enzymes were added to one RSM diet to improve degradability of the
fiber fraction. Excreta were quantitatively collected for 96 h, and contents from
ileum and ceca were collected at slaughter at 29, 30, or 31 d of age. Chromium
recovery in excreta ranged between 86 and 95%, whereas cobalt recovery was
considerably lower (66 to 70%). Chromium:cobalt ratio was higher in ileal digesta
than in feed. Hardly any chromium was found in the ceca, indicating that
separation of the marker and specific digesta fractions occurs. Estimates of
apparent total tract digestibility (ATTD) were lower when calculated using the
marker method compared with the total collection method, particularly in high
fiber diets. Using Cr2O3 as a marker, differences were relatively small and
effects due to enzyme addition were generally similar. Using Co-EDTA as a marker,
ATTD of all components were lower compared with values obtained using the
collection method (3 to 45 percentage units, P < 0.001), likely related to the
low Co recovery. When estimating apparent ileal digestibility (AID), separation
of marker and digesta resulted in unrealistically high estimates for the
digestibility of nonglucose polysaccharides (54 to 66%), exceeding ATTD values by
16 to 42 percentage units. Moreover, the effect of pectolytic enzyme addition on
the AID of nonglucose polysaccharides was in the opposite direction when compared
with total collection. The data illustrate that fractionation of digesta,
particularly in high-fiber diets, complicates accurate AID measurements in
broilers, regardless of the choice of markers used.
PMID- 24931963
TI - Growth performance, meat quality traits, and genetic mapping of quantitative
trait loci in 3 generations of Japanese quail populations (Coturnix japonica).
AB - The current research was conducted to compare growth, carcass traits, pH,
intramuscular collagen (IMC) properties, and genetic bases of IMC and carcasses
(breast-muscle weight) of different lines and generations of adult males and
females of Japanese quail (Coturnix japonica). Forty-four quails (generation F0),
22 Pharaoh (F-33) meat-type males and 22 Standard (S-22) laying-type females,
were crossed to produce the F1 hybrids generation. The F2 generation was created
by mating one F1 male with one F1 female, full siblings. The birds, randomly
chosen from F0 (22 males and 22 females), F1 (22 males and 22 females), and F2
(84 males and 152 females) were raised to 20 wk of age in collective cages.
Quails were fed ad libitum commercial diets. At slaughter, all birds were
individually weighed (after a fasting period of 12 h) and dressing yield (without
giblets) was calculated. The carcasses were then dissected. Genomic DNA was
extracted from all of the blood, and 30 microsatellite markers located on 2 quail
chromosomes were genotyped. The F -: 33 quails had higher in vivo and postmortem
performances and a higher abdominal fat percentage than those of the egg line.
Meat from S -: 22 quails had a slower collagen maturation
(hydroxylysylpyridinoline crosslink/collagen) and a higher ultimate pH. The F1
and F2 generations showed an evident sexual dimorphism, and an additional effect
could be due to hybrid heterosis evident in F2. Meat from quails of F1 and F2
generations had a lower IMC amount with a higher degree of collagen maturation
compared with parental lines. Two statistically significant QTL have been
detected on quail chromosome 2 (CJA02): a QTL with an additive effect (0.50) for
IMC in the marker bracket GUJ0037 and GUJ0093; a second QTL with additive (1.32)
and dominant (1.91) effects for breast-muscle weight in the marker bracket
GUJ0084 and GUJ0073. To our knowledge, this is the first report of a QTL
associated with breast-muscle weight and IMC in quail and poultry species,
respectively.
PMID- 24931964
TI - Recovery of Salmonella serovar Enteritidis from inoculated broiler hatching eggs
using shell rinse and shell crush sampling methods.
AB - This study compared the recovery of Salmonella from hatching eggs using 3
sampling methods (eggshell rinsing, eggshell crush following a previous rinse,
and eggshell crush without previous rinse). Eggshells were drop-inoculated with
approximately 10(1), 10(2), or 10(3) cfu/eggshell of Salmonella Enteritidis and
allowed to dry at room temperature for 1 or 24 h. For the shell rinse groups,
each inoculated egg was rinsed with buffered peptone water. These rinsed eggs
were used for the shell crush with previous rinse groups, and each egg was
aseptically cracked, the contents discarded, and the eggshell and membranes
crushed with buffered peptone water. This same crush procedure was used for the
shell crush without previous shell rinse eggs. The recovery of Salmonella 1 h
after inoculation for shell rinse sampled eggs was 16% positive at 10(1), 49% at
10(2), and 93% at 10(3) cfu/eggshell challenge. For the shell crush with previous
shell rinse, sampled egg recovery was 0% positive at 10(1), 3% at 10(2), and 17%
at 10(3) cfu/eggshell. For the shell crush, sampled eggs had recovery of 23%
positive at 10(1), 69% at 10(2), and 96% at 10(3) cfu/eggshell challenge. The
recovery of Salmonella 24 h after inoculation for the shell rinse eggs was 3%
positive at 10(1), 12% at 10(2), and 22% at 10(3) cfu/eggshell challenge;
recovery for shell crush with previous shell rinse sampling was 2% positive at
10(1), 8% at 10(2), and 5% at 10(3) cfu/eggshell challenge; and for the shell
crush sampling recovery was 2% at 10(1), 32% at 10(2), and 42% at 10(3)
cfu/eggshell challenge. Eggshell crush was a more sensitive (~10 percentage
points) sampling method than eggshell rinse at both 1 and 24 h, but both methods
were equally optimal when the inoculum was at 10(3) and samples were collected
after 1 h. Waiting 24 h after inoculation to sample significantly lowered the
recovery for both the shell rinse and shell crush sampling methods by ~40
percentage points.
PMID- 24931965
TI - Production characteristics of Hy-Line W36 laying hens hatched from white and
tinted eggs.
AB - Eggshell color can greatly influence visual appeal of table eggs, and within the
United States, table eggs are normally sorted and marked according to eggshell
color to maximize consumer appeal. Recently, table egg producers have noted
increased incidence of "off-color" or tinted (TT) eggs derived from white egg
laying breeder hens. Therefore, the objectives of this study were to determine
the production characteristics and resultant eggshell color of laying hens
hatched from different colored eggs. Hy-Line W36 eggs were obtained from a
commercial breeder operation and eggshell color was assessed with a colorimeter
to separate eggs into groups of tinted (TT) and nontinted (NT) eggs before
incubation. Treatment groups were placed into separate hatching trays. At
hatching, chicks from each treatment group were individually wing-banded. Pullets
were randomly allocated into cages according to treatment groups at 18 wk. Birds
were placed into individual cages, with 5 consecutive cages representing a
treatment replicate. Each treatment was replicated 24 times for a total of 120
birds per treatment and fed a nutritionally complete layer diet. Production
performance was evaluated from 18 to 34 wk of age. Average weekly egg production
was calculated. Feed intake, egg weights, egg mass, feed conversion ratio, and
egg color were analyzed every 2 wk. Birds were weighed every 4 wk until
completion of the study. Birds hatched from TT eggs had significantly increased
BW throughout the experimental period. Hen-day egg production was significantly
different when compared with the NT treatment at 19 and 20 wk of age. Eggshell
color was also found to be significantly different for the NT and TT groups with
TT eggs being significantly further from true white. Selection of progeny based
on eggshell color may be a criterion for selecting white egg layers as layers
hatched from TT eggs resulted in more off-color eggs, which may affect consumer
acceptance for buying white table eggs.
PMID- 24931966
TI - Gene expression of heat shock protein 70 and antioxidant enzymes, oxidative
status, and meat oxidative stability of cyclically heat-challenged finishing
broilers fed Origanum compactum and Curcuma xanthorrhiza essential oils.
AB - Heat stress in poultry is a serious problem in many countries and has been
associated with oxidative stress. Hence, nutritional interventions with
antioxidants might be beneficial. Therefore, the effects of dietary Curcuma
xanthorrhiza (CX) and Origanum compactum (OC) essential oils on mRNA levels of
heat shock protein 70 and antioxidant enzymes, oxidative status, and meat
oxidative stability of heat-challenged broilers were studied. Starting on d 25 of
age, a control diet and 4 diets containing 200 or 400 mg/kg feed of CX or OC
(CX200, CX400, OC200, OC400 diets) were fed to 3 pen replicates of 20 Ross 308
chickens each. From d 28 of age on, the temperature was increased from 22 to 34
degrees C with 50% RH for 5 h daily during 2 wk. Dietary CX or OC did not affect
zootechnical performance. Feeding CX400 and both levels of OC increased the a*
value in stored breast meat (P < 0.05), and OC diets tended to decrease the
thiobarbituric acid reactive substances values in fresh breast meat (P = 0.061).
Compared with control, at d 31, feeding CX400 and OC400 reduced mRNA levels of
heat shock protein 70 and increased mRNA levels of catalase in kidney and liver
(P < 0.05). The mRNA levels of superoxide dismutase were increased at d 31 on the
OC400 diet in kidney and on the CX400 diet in heart (P < 0.05). In heart, at d
31, both dietary levels of CX and OC200 resulted in higher glutathione peroxidase
activity (P < 0.05). Feeding CX400 increased superoxide dismutase activity in
liver, kidney, and heart at d 31 (P < 0.05). Catalase activity was increased in
the CX200 and OC400 groups at d 42 (P < 0.05). Feeding CX at both levels and
OC200 decreased plasma malondialdehyde concentrations at d 42 (P < 0.05). In
conclusion, dietary essential oils rich in simple phenolic compounds offer
potential for improving the antioxidant defense against heat stress-induced
changes.
PMID- 24931967
TI - Spatial heterogeneity and stability of bacterial community in the
gastrointestinal tracts of broiler chickens.
AB - Bacterial communities in the different regions of gastrointestinal tract (GIT) of
broiler chickens were analyzed by pyrosequencing approach to understand microbial
composition and diversity. The DNA samples extracted from 7 different regions
along the GIT were subjected to bacterial-community analysis by pyrosequencing of
the V1-V3 region of 16S rRNA gene. Major bacterial phyla in the chicken-gut
microbiota included Firmicutes, Proteobacteria, Bacteroidetes, Actinobacteria,
and Acidobacteria, but Firmicutes were mostly dominant (67.3 +/- 16.1% of the
total sequence reads identified). Among Firmicutes, Lactobacillales, including
the genera Lactobacillus and Enterococcus, were the most dominant (51.8 +/- 34.5%
of the total sequence reads identified) from the crop to ileum. In contrast, in
the cecum and large intestine, those genera were rarely detected, and
Clostridiales were dominant (55.9 +/- 31.4%). Fast UniFrac analysis showed that
microbial communities from the crop to jejunum of the same individual chicken
were grouped together, and those from ileum, cecum, and large intestine were
clustered in a more GIT-specific manner. The numbers of shared operational
taxonomic units between the neighboring segments of GIT were low, ranging from
2.9 to 20.3%. However, the abundance of shared operational taxonomic units in
each segment was relatively high, ranging from 61.7 to 85.0%, suggesting that
substantial proportions of microbial communities were shared between each segment
and its neighboring segments, comprising a core microbiota. Our results suggested
that the microbial communities of 7 main segments in the chicken GIT were
distinctive according to both individuals and the different segments of GIT, but
their stability was maintained along the GIT.
PMID- 24931968
TI - Effect of free-range days on a local chicken breed: growth performance, carcass
yield, meat quality, and lymphoid organ index.
AB - An experiment was conducted to evaluate the effect of free-range days on growth
performance, carcass yield, meat quality, and lymphoid organ index of a local
chicken breed. In total, 1,000 one-day-old male Suqin yellow chickens were raised
for 21 d. On d 21, 720 birds with similar BW (536 +/- 36 g) were selected and
randomly assigned to free-range treatment at 21, 28, 35, and 42 d of age
(assigned to free-range treatment for 21, 14, 7, and 0 d, respectively). Each
treatment was represented by 5 replicates (pens) containing 36 birds (180 birds
per treatment). All the birds were raised in indoor floor pens measuring 1.42 *
1.42 m (2 m(2), 18 birds/m(2)) in conventional poultry research houses before
free-range treatment. In the free-range treatment, the chickens were raised in
indoor floor houses measuring 3 * 5 m (15 m(2), 2.4 birds/m(2)). In addition,
they also had an outdoor free-range paddock measuring 3 * 8 m (24 m(2), 1.5
birds/m(2)). The BW of birds after being assigned to free-range treatment for 7 d
decreased significantly compared with that in the conventional treatment (P <
0.05). However, there was no effect of the free-range days on the BW at 42 d of
age (P > 0.05). The daily weight gain, feed per gain, daily feed intake, and
mortality from 21 to 42 d of age were unaffected by free-range days (P > 0.05).
At 42 d of age, the breast yield increased linearly with increasing free-range
days (P < 0.05), whereas the thigh, leg, thigh bone, and foot yields decreased
linearly (P < 0.05). The lung yield showed a significant increasing and then
decreasing quadratic response to increasing free-range days (P < 0.05). The water
holding capacity of the thigh muscle decreased linearly with increasing free
range days (P < 0.05), whereas there was no significant difference in the meat
color, shear force, and muscle pH (P > 0.05). The absolute thymus weight and
thymus:BW ratio showed a significant increasing and then decreasing quadratic
response to increasing free-range days (P < 0.05). The findings of this study
suggest that increasing free-range days advantageously affects breast yield, but
decreases thigh, leg, thigh bone, and foot yields as well as the water-holding
capacity of thigh. No evidence was found that increasing free-range days caused
changes in growth performance, meat quality, and lymphoid organs except for
changes in water-holding capacity and thymus.
PMID- 24931969
TI - Yolk sac fatty acid composition, yolk absorption, embryo development, and chick
quality during incubation in eggs from young and old broiler breeders.
AB - The objective of the present study was to examine the changes in yolk and yolk
sac fatty acid composition and also to investigate egg content, yolk absorption,
embryo development during incubation, and chick quality at hatch in eggs from 36-
and 52-wk-old broiler breeders. The fatty acid profiles of the yolk, the yolk sac
of embryos, and the residual yolk sac of chicks were analyzed before incubation,
on d 18, and at hatch, respectively. Yolk sac weight, and embryo weight and
length were measured on d 18, and chick weight and length were measured at hatch.
Egg weight, yolk and albumen weight, yolk percentage, and yolk:albumen ratio
increased as breeder age increased, but the albumen percentage decreased. Yolk
absorption in absolute value (g) was higher in embryos from the old flock on d 18
and at hatch. Relative yolk absorption was similar between age groups on d 18,
whereas it was higher in the young flock at hatch. Breeder age affected the yolk
sac weight and was higher in the old flock during incubation. Embryo or chick
weight and length, and yolk-free BW were affected by breeder age during
incubation. These parameters were higher in the old flock with a difference of
3.7 g, 0.8 cm, and 2.6 g, respectively, on d 18 and 7.4 g, 1.4 cm, and 6.3 g,
respectively, at hatch compared with the young flock. The effect of breeder age
on fatty acid composition differed significantly by sampling day. Palmitic,
stearic, oleic, and linoleic acids were major fatty acids in the fresh yolk,
ranging from 13.02 to 29.24%. These were followed by palmitoleic and arachidonic
acids ranging from 1.24 to 7.04%, with the remaining fatty acids below 1%. Higher
concentrations of myristic, palmitoleic, and oleic acids and lower concentrations
of heptadeconoic, stearic, linoleic, and arachidonic acids were found in the
residual yolk sac of the young flock than the old flock. The results showed
preferentially selective absorption of some fatty acids by the embryo during
incubation.
PMID- 24931970
TI - 25-Hydroxycholecalciferol supplementation improves growth performance and
decreases inflammation during an experimental lipopolysaccharide injection.
AB - Three experiments were conducted to study the effects of 25
hydroxycholecalciferol supplementation on BW gain, IL-1beta, and 1alpha
hydroxylase mRNA expression in different organs of broiler chickens following a
lipopolysaccharide (LPS) injection. In experiment I, birds were fed a basal diet
supplemented with either cholecalciferol (3,000 IU/kg) or 25
hydroxycholecalciferol (69 ug/kg). At 21 and 35 d of age, birds were injected
with LPS. Post-LPS injection, birds supplemented with 25-hydroxycholecalciferol
gained approximately 2.5% (P = 0.03) and 3.8% (P < 0.01), respectively, more BW
than the birds supplemented with cholecalciferol over the 24-h period. In
experiment II, birds were fed basal diets supplemented with 25
hydroxycholecalciferol at 6.25, 25, and 50 ug/kg of feed or cholecalciferol at
250 IU/kg of feed. At 35 d of age, birds were injected with LPS. Birds fed 25
hydroxycholecalciferol at 25 and 50 ug/kg and injected with LPS had approximately
7-fold and 3-fold less (P = 0.010) IL-1beta mRNA in the liver compared with those
birds fed 6.25 ug/kg of 25-hydroxycholecalciferol and the cholecalciferol (250
IU/kg) group. In experiment III, birds were fed a basal diet supplemented with
either cholecalciferol (3,000 IU/kg) or 25-hydroxycholecalciferol (69 ug/kg). At
28 d of age, birds were fed 25-hydroxycholecalciferol and injected with LPS had
1.1-fold less (P < 0.01) IL-1beta mRNA in the liver than the cholecalciferol-fed
group. After an LPS injection, birds supplemented with 25-hydroxycholecalciferol
had increased 1alpha-hydroxylase mRNA amounts in the liver (P = 0.07). In
conclusion, 25-hydroxycholecalciferol supplementation at higher doses improved
growth performance and decreased inflammatory gene IL-1beta mRNA amounts in the
liver post-LPS injection.
PMID- 24931971
TI - Low molecular weight bioactive peptides derived from the enzymatic hydrolysis of
collagen after isoelectric solubilization/precipitation process of turkey by
products.
AB - A process based on the isoelectric solubilization/precipitation (ISP) method was
developed to recover collagen from low value poultry by-products. The application
of the ISP process to turkey heads generated protein isolates and an insoluble
biomass that was used to extract collagen. Isolated turkey head collagen was then
enzymatically hydrolyzed for different time periods using alcalase, flavorzyme,
and trypsin. The enzymatic hydrolysis approaches consisted of digesting collagen
with each one of the 3 enzymes alone (alcalase, flavorzyme, or trypsin), or one
of the 3 combinations of 2 enzymes (alcalase/flavorzyme, alcalase/trypsin, or
flavorzyme/trypsin), or a cocktail of all 3 enzymes together
(alcalase/flavorzyme/trypsin). The molecular weight distribution of turkey head
collagen hydrolysates was determined using size exclusion chromatography and
matrix-assisted laser desorption ionization-time of flight-mass spectrometry. The
enzyme cocktail produced collagen hydrolysates with the greatest amount of low
molecular weight peptides ranging from 555.26 to 2,093.74 Da. These collagen
peptides showed excellent solubility over a wide pH range (2 -: 8) and were able
to bind cholic and deoxycholic acids and significantly (P < 0.05) inhibited
plasma amine oxidase in a dose- and time-dependent manner. The ISP process
combined with enzyme cocktail hydrolysis represents a potential new way to
produce low molecular weight bioactive collagen peptides from low value poultry
by-products.
PMID- 24931972
TI - Computational biology and bioinformatics.
PMID- 24931974
TI - Methods for time series analysis of RNA-seq data with application to human Th17
cell differentiation.
AB - MOTIVATION: Gene expression profiling using RNA-seq is a powerful technique for
screening RNA species' landscapes and their dynamics in an unbiased way. While
several advanced methods exist for differential expression analysis of RNA-seq
data, proper tools to anal.yze RNA-seq time-course have not been proposed.
RESULTS: In this study, we use RNA-seq to measure gene expression during the
early human T helper 17 (Th17) cell differentiation and T-: cell activation
(Th0). To quantify Th17-: specific gene expression dynamics, we present a novel
statistical methodology, DyNB, for analyzing time-course RNA-seq data. We use non
parametric Gaussian processes to model temporal correlation in gene expression
and combine that with negative binomial likelihood for the count data. To account
for experiment-: specific biases in gene expression dynamics, such as differences
in cell differentiation efficiencies, we propose a method to rescale the dynamics
between replicated measurements. We develop an MCMC sampling method to make
inference of differential expression dynamics between conditions. DyNB identifies
several known and novel genes involved in Th17 differentiation. Analysis of
differentiation efficiencies revealed consistent patterns in gene expression
dynamics between different cultures. We use qRT-PCR to validate differential
expression and differentiation efficiencies for selected genes. Comparison of the
results with those obtained via traditional timepoint-: wise analysis shows that
time-course analysis together with time rescaling between cultures identifies
differentially expressed genes which would not otherwise be detected.
AVAILABILITY: An implementation of the proposed computational methods will be
available at http://research.ics.aalto.fi/csb/software/
PMID- 24931973
TI - Cross-study validation for the assessment of prediction algorithms.
AB - MOTIVATION: Numerous competing algorithms for prediction in high-dimensional
settings have been developed in the statistical and machine-learning literature.
Learning algorithms and the prediction models they generate are typically
evaluated on the basis of cross-validation error estimates in a few exemplary
datasets. However, in most applications, the ultimate goal of prediction modeling
is to provide accurate predictions for independent samples obtained in different
settings. Cross-validation within exemplary datasets may not adequately reflect
performance in the broader application context. METHODS: We develop and implement
a systematic approach to 'cross-study validation', to replace or supplement
conventional cross-validation when evaluating high-dimensional prediction models
in independent datasets. We illustrate it via simulations and in a collection of
eight estrogen-receptor positive breast cancer microarray gene-expression
datasets, where the objective is predicting distant metastasis-free survival
(DMFS). We computed the C-index for all pairwise combinations of training and
validation datasets. We evaluate several alternatives for summarizing the
pairwise validation statistics, and compare these to conventional cross
validation. RESULTS: Our data-driven simulations and our application to survival
prediction with eight breast cancer microarray datasets, suggest that standard
cross-validation produces inflated discrimination accuracy for all algorithms
considered, when compared to cross-study validation. Furthermore, the ranking of
learning algorithms differs, suggesting that algorithms performing best in cross
validation may be suboptimal when evaluated through independent validation.
AVAILABILITY: The survHD: Survival in High Dimensions package
(http://www.bitbucket.org/lwaldron/survhd) will be made available through
Bioconductor.
PMID- 24931975
TI - Deep learning of the tissue-regulated splicing code.
AB - MOTIVATION: Alternative splicing (AS) is a regulated process that directs the
generation of different transcripts from single genes. A computational model that
can accurately predict splicing patterns based on genomic features and cellular
context is highly desirable, both in understanding this widespread phenomenon,
and in exploring the effects of genetic variations on AS. METHODS: Using a deep
neural network, we developed a model inferred from mouse RNA-Seq data that can
predict splicing patterns in individual tissues and differences in splicing
patterns across tissues. Our architecture uses hidden variables that jointly
represent features in genomic sequences and tissue types when making predictions.
A graphics processing unit was used to greatly reduce the training time of our
models with millions of parameters. RESULTS: We show that the deep architecture
surpasses the performance of the previous Bayesian method for predicting AS
patterns. With the proper optimization procedure and selection of
hyperparameters, we demonstrate that deep architectures can be beneficial, even
with a moderately sparse dataset. An analysis of what the model has learned in
terms of the genomic features is presented.
PMID- 24931976
TI - Functional association networks as priors for gene regulatory network inference.
AB - MOTIVATION: Gene regulatory network (GRN) inference reveals the influences genes
have on one another in cellular regulatory systems. If the experimental data are
inadequate for reliable inference of the network, informative priors have been
shown to improve the accuracy of inferences. RESULTS: This study explores the
potential of undirected, confidence-weighted networks, such as those in
functional association databases, as a prior source for GRN inference. Such
networks often erroneously indicate symmetric interaction between genes and may
contain mostly correlation-based interaction information. Despite these
drawbacks, our testing on synthetic datasets indicates that even noisy priors
reflect some causal information that can improve GRN inference accuracy. Our
analysis on yeast data indicates that using the functional association databases
FunCoup and STRING as priors can give a small improvement in GRN inference
accuracy with biological data.
PMID- 24931977
TI - Graph-regularized dual Lasso for robust eQTL mapping.
AB - MOTIVATION: As a promising tool for dissecting the genetic basis of complex
traits, expression quantitative trait loci (eQTL) mapping has attracted
increasing research interest. An important issue in eQTL mapping is how to
effectively integrate networks representing interactions among genetic markers
and genes. Recently, several Lasso-based methods have been proposed to leverage
such network information. Despite their success, existing methods have three
common limitations: (i) a preprocessing step is usually needed to cluster the
networks; (ii) the incompleteness of the networks and the noise in them are not
considered; (iii) other available information, such as location of genetic
markers and pathway information are not integrated. RESULTS: To address the
limitations of the existing methods, we propose Graph-regularized Dual Lasso
(GDL), a robust approach for eQTL mapping. GDL integrates the correlation
structures among genetic markers and traits simultaneously. It also takes into
account the incompleteness of the networks and is robust to the noise. GDL
utilizes graph-based regularizers to model the prior networks and does not
require an explicit clustering step. Moreover, it enables further refinement of
the partial and noisy networks. We further generalize GDL to incorporate the
location of genetic makers and gene-pathway information. We perform extensive
experimental evaluations using both simulated and real datasets. Experimental
results demonstrate that the proposed methods can effectively integrate various
available priori knowledge and significantly outperform the state-of-the-art eQTL
mapping methods. AVAILABILITY: Software for both C++ version and Matlab version
is available at http://www.cs.unc.edu/~weicheng/.
PMID- 24931978
TI - Pipasic: similarity and expression correction for strain-level identification and
quantification in metaproteomics.
AB - MOTIVATION: Metaproteomic analysis allows studying the interplay of organisms or
functional groups and has become increasingly popular also for diagnostic
purposes. However, difficulties arise owing to the high sequence similarity
between related organisms. Further, the state of conservation of proteins between
species can be correlated with their expression level, which can lead to
significant bias in results and interpretation. These challenges are similar but
not identical to the challenges arising in the analysis of metagenomic samples
and require specific solutions. RESULTS: We introduce Pipasic (peptide intensity
weighted proteome abundance similarity correction) as a tool that corrects
identification and spectral counting-based quantification results using peptide
similarity estimation and expression level weighting within a non-negative lasso
framework. Pipasic has distinct advantages over approaches only regarding unique
peptides or aggregating results to the lowest common ancestor, as demonstrated on
examples of viral diagnostics and an acid mine drainage dataset. AVAILABILITY AND
IMPLEMENTATION: Pipasic source code is freely available from
https://sourceforge.net/projects/pipasic/. CONTACT: RenardB@rki.de SUPPLEMENTARY
INFORMATION: Supplementary data are available at Bioinformatics online.
PMID- 24931979
TI - Metabolite identification through multiple kernel learning on fragmentation
trees.
AB - MOTIVATION: Metabolite identification from tandem mass spectrometric data is a
key task in metabolomics. Various computational methods have been proposed for
the identification of metabolites from tandem mass spectra. Fragmentation tree
methods explore the space of possible ways in which the metabolite can fragment,
and base the metabolite identification on scoring of these fragmentation trees.
Machine learning methods have been used to map mass spectra to molecular
fingerprints; predicted fingerprints, in turn, can be used to score candidate
molecular structures. RESULTS: Here, we combine fragmentation tree computations
with kernel-based machine learning to predict molecular fingerprints and identify
molecular structures. We introduce a family of kernels capturing the similarity
of fragmentation trees, and combine these kernels using recently proposed
multiple kernel learning approaches. Experiments on two large reference datasets
show that the new methods significantly improve molecular fingerprint prediction
accuracy. These improvements result in better metabolite identification, doubling
the number of metabolites ranked at the top position of the candidates list.
PMID- 24931980
TI - Metabolome-scale prediction of intermediate compounds in multistep metabolic
pathways with a recursive supervised approach.
AB - MOTIVATION: Metabolic pathway analysis is crucial not only in metabolic
engineering but also in rational drug design. However, the
biosynthetic/biodegradation pathways are known only for a small portion of
metabolites, and a vast amount of pathways remain uncharacterized. Therefore, an
important challenge in metabolomics is the de novo reconstruction of potential
reaction networks on a metabolome-scale. RESULTS: In this article, we develop a
novel method to predict the multistep reaction sequences for de novo
reconstruction of metabolic pathways in the reaction-filling framework. We
propose a supervised approach to learn what we refer to as 'multistep reaction
sequence likeness', i.e. whether a compound-compound pair is possibly converted
to each other by a sequence of enzymatic reactions. In the algorithm, we propose
a recursive procedure of using step-specific classifiers to predict the
intermediate compounds in the multistep reaction sequences, based on chemical
substructure fingerprints/descriptors of compounds. We further demonstrate the
usefulness of our proposed method on the prediction of enzymatic reaction
networks from a metabolome-scale compound set and discuss characteristic features
of the extracted chemical substructure transformation patterns in multistep
reaction sequences. Our comprehensively predicted reaction networks help to fill
the metabolic gap and to infer new reaction sequences in metabolic pathways.
AVAILABILITY AND IMPLEMENTATION: Materials are available for free at
http://web.kuicr.kyoto-u.ac.jp/supp/kot/ismb2014/
PMID- 24931981
TI - MIRA: mutual information-based reporter algorithm for metabolic networks.
AB - MOTIVATION: Discovering the transcriptional regulatory architecture of the
metabolism has been an important topic to understand the implications of
transcriptional fluctuations on metabolism. The reporter algorithm (RA) was
proposed to determine the hot spots in metabolic networks, around which
transcriptional regulation is focused owing to a disease or a genetic
perturbation. Using a z-score-based scoring scheme, RA calculates the average
statistical change in the expression levels of genes that are neighbors to a
target metabolite in the metabolic network. The RA approach has been used in
numerous studies to analyze cellular responses to the downstream genetic changes.
In this article, we propose a mutual information-based multivariate reporter
algorithm (MIRA) with the goal of eliminating the following problems in detecting
reporter metabolites: (i) conventional statistical methods suffer from small
sample sizes, (ii) as z-score ranges from minus to plus infinity, calculating
average scores can lead to canceling out opposite effects and (iii) analyzing
genes one by one, then aggregating results can lead to information loss. MIRA is
a multivariate and combinatorial algorithm that calculates the aggregate
transcriptional response around a metabolite using mutual information. We show
that MIRA's results are biologically sound, empirically significant and more
reliable than RA. RESULTS: We apply MIRA to gene expression analysis of six
knockout strains of Escherichia coli and show that MIRA captures the underlying
metabolic dynamics of the switch from aerobic to anaerobic respiration. We also
apply MIRA to an Autism Spectrum Disorder gene expression dataset. Results
indicate that MIRA reports metabolites that highly overlap with recently found
metabolic biomarkers in the autism literature. Overall, MIRA is a promising
algorithm for detecting metabolic drug targets and understanding the relation
between gene expression and metabolic activity. AVAILABILITY AND IMPLEMENTATION:
The code is implemented in C# language using .NET framework. Project is available
upon request.
PMID- 24931982
TI - GRASP: analysis of genotype-phenotype results from 1390 genome-wide association
studies and corresponding open access database.
AB - SUMMARY: We created a deeply extracted and annotated database of genome-wide
association studies (GWAS) results. GRASP v1.0 contains >6.2 million SNP
phenotype association from among 1390 GWAS studies. We re-annotated GWAS results
with 16 annotation sources including some rarely compared to GWAS results (e.g.
RNAediting sites, lincRNAs, PTMs). MOTIVATION: To create a high-quality resource
to facilitate further use and interpretation of human GWAS results in order to
address important scientific questions. RESULTS: GWAS have grown exponentially,
with increases in sample sizes and markers tested, and continuing bias toward
European ancestry samples. GRASP contains >100 000 phenotypes, roughly: eQTLs
(71.5%), metabolite QTLs (21.2%), methylation QTLs (4.4%) and diseases,
biomarkers and other traits (2.8%). cis-eQTLs, meQTLs, mQTLs and MHC region SNPs
are highly enriched among significant results. After removing these categories,
GRASP still contains a greater proportion of studies and results than comparable
GWAS catalogs. Cardiovascular disease and related risk factors pre-dominate
remaining GWAS results, followed by immunological, neurological and cancer
traits. Significant results in GWAS display a highly gene-centric tendency. Sex
chromosome X (OR = 0.18[0.16-0.20]) and Y (OR = 0.003[0.001-0.01]) genes are
depleted for GWAS results. Gene length is correlated with GWAS results at nominal
significance (P <= 0.05) levels. We show this gene-length correlation decays at
increasingly more stringent P-value thresholds. Potential pleotropic genes and
SNPs enriched for multi-phenotype association in GWAS are identified. However, we
note possible population stratification at some of these loci. Finally, via re
annotation we identify compelling functional hypotheses at GWAS loci, in some
cases unrealized in studies to date. CONCLUSION: Pooling summary-level GWAS
results and re-annotating with bioinformatics predictions and molecular features
provides a good platform for new insights. AVAILABILITY: The GRASP database is
available at http://apps.nhlbi.nih.gov/grasp.
PMID- 24931983
TI - EPIQ-efficient detection of SNP-SNP epistatic interactions for quantitative
traits.
AB - MOTIVATION: Gene-gene interactions are of potential biological and medical
interest, as they can shed light on both the inheritance mechanism of a trait and
on the underlying biological mechanisms. Evidence of epistatic interactions has
been reported in both humans and other organisms. Unlike single-locus genome-wide
association studies (GWAS), which proved efficient in detecting numerous genetic
loci related with various traits, interaction-based GWAS have so far produced
very few reproducible discoveries. Such studies introduce a great computational
and statistical burden by necessitating a large number of hypotheses to be tested
including all pairs of single nucleotide polymorphisms (SNPs). Thus, many
software tools have been developed for interaction-based case-control studies,
some leading to reliable discoveries. For quantitative data, on the other hand,
only a handful of tools exist, and the computational burden is still substantial.
RESULTS: We present an efficient algorithm for detecting epistasis in
quantitative GWAS, achieving a substantial runtime speedup by avoiding the need
to exhaustively test all SNP pairs using metric embedding and random projections.
Unlike previous metric embedding methods for case-control studies, we introduce a
new embedding, where each SNP is mapped to two Euclidean spaces. We implemented
our method in a tool named EPIQ (EPIstasis detection for Quantitative GWAS), and
we show by simulations that EPIQ requires hours of processing time where other
methods require days and sometimes weeks. Applying our method to a dataset from
the Ludwigshafen risk and cardiovascular health study, we discovered a pair of
SNPs with a near-significant interaction (P = 2.2 * 10(-13)), in only 1.5 h on 10
processors. AVAILABILITY: https://github.com/yaarasegre/EPIQ
PMID- 24931984
TI - Detecting independent and recurrent copy number aberrations using interval
graphs.
AB - MOTIVATION: Somatic copy number aberrations SCNAS: are frequent in cancer
genomes, but many of these are random, passenger events. A common strategy to
distinguish functional aberrations from passengers is to identify those
aberrations that are recurrent across multiple samples. However, the extensive
variability in the length and position of SCNA: s makes the problem of
identifying recurrent aberrations notoriously difficult. RESULTS: We introduce a
combinatorial approach to the problem of identifying independent and recurrent
SCNA: s, focusing on the key challenging of separating the overlaps in
aberrations across individuals into independent events. We derive independent and
recurrent SCNA: s as maximal cliques in an interval graph constructed from
overlaps between aberrations. We efficiently enumerate all such cliques, and
derive a dynamic programming algorithm to find an optimal selection of non
overlapping cliques, resulting in a very fast algorithm, which we call RAIG
(Recurrent Aberrations from Interval Graphs). We show that RAIG outperforms other
methods on simulated data and also performs well on data from three cancer types
from The Cancer Genome Atlas (TCGA). In contrast to existing approaches that
employ various heuristics to select independent aberrations, RAIG optimizes a
well-defined objective function. We show that this allows RAIG to identify rare
aberrations that are likely functional, but are obscured by overlaps with larger
passenger aberrations. AVAILABILITY: http://compbio.cs.brown.edu/software.
PMID- 24931986
TI - Probabilistic method for detecting copy number variation in a fetal genome using
maternal plasma sequencing.
AB - MOTIVATION: The past several years have seen the development of methodologies to
identify genomic variation within a fetus through the non-invasive sequencing of
maternal blood plasma. These methods are based on the observation that maternal
plasma contains a fraction of DNA (typically 5-15%) originating from the fetus,
and such methodologies have already been used for the detection of whole
chromosome events (aneuploidies), and to a more limited extent for smaller
(typically several megabases long) copy number variants (CNVs). RESULTS: Here we
present a probabilistic method for non-invasive analysis of de novo CNVs in fetal
genome based on maternal plasma sequencing. Our novel method combines three types
of information within a unified Hidden Markov Model: the imbalance of allelic
ratios at SNP positions, the use of parental genotypes to phase nearby SNPs and
depth of coverage to better differentiate between various types of CNVs and
improve precision. Our simulation results, based on in silico introduction of
novel CNVs into plasma samples with 13% fetal DNA concentration, demonstrate a
sensitivity of 90% for CNVs >400 kb (with 13 calls in an unaffected genome), and
40% for 50-400 kb CNVs (with 108 calls in an unaffected genome). AVAILABILITY AND
IMPLEMENTATION: Implementation of our model and data simulation method is
available at http://github.com/compbio-UofT/fCNV.
PMID- 24931985
TI - Privacy preserving protocol for detecting genetic relatives using rare variants.
AB - MOTIVATION: High-throughput sequencing technologies have impacted many areas of
genetic research. One such area is the identification of relatives from genetic
data. The standard approach for the identification of genetic relatives collects
the genomic data of all individuals and stores it in a database. Then, each pair
of individuals is compared to detect the set of genetic relatives, and the
matched individuals are informed. The main drawback of this approach is the
requirement of sharing your genetic data with a trusted third party to perform
the relatedness test. RESULTS: In this work, we propose a secure protocol to
detect the genetic relatives from sequencing data while not exposing any
information about their genomes. We assume that individuals have access to their
genome sequences but do not want to share their genomes with anyone else. Unlike
previous approaches, our approach uses both common and rare variants which
provide the ability to detect much more distant relationships securely. We use a
simulated data generated from the 1000 genomes data and illustrate that we can
easily detect up to fifth degree cousins which was not possible using the
existing methods. We also show in the 1000 genomes data with cryptic
relationships that our method can detect these individuals. AVAILABILITY: The
software is freely available for download at http://genetics.cs.ucla.edu/crypto/.
PMID- 24931987
TI - New directions for diffusion-based network prediction of protein function:
incorporating pathways with confidence.
AB - MOTIVATION: It has long been hypothesized that incorporating models of network
noise as well as edge directions and known pathway information into the
representation of protein-protein interaction (PPI) networks might improve their
utility for functional inference. However, a simple way to do this has not been
obvious. We find that diffusion state distance (DSD), our recent diffusion-based
metric for measuring dissimilarity in PPI networks, has natural extensions that
incorporate confidence, directions and can even express coherent pathways by
calculating DSD on an augmented graph. RESULTS: We define three incremental
versions of DSD which we term cDSD, caDSD and capDSD, where the capDSD matrix
incorporates confidence, known directed edges, and pathways into the measure of
how similar each pair of nodes is according to the structure of the PPI network.
We test four popular function prediction methods (majority vote, weighted
majority vote, multi-way cut and functional flow) using these different matrices
on the Baker's yeast PPI network in cross-validation. The best performing method
is weighted majority vote using capDSD. We then test the performance of our
augmented DSD methods on an integrated heterogeneous set of protein association
edges from the STRING database. The superior performance of capDSD in this
context confirms that treating the pathways as probabilistic units is more
powerful than simply incorporating pathway edges independently into the network.
AVAILABILITY: All source code for calculating the confidences, for extracting
pathway information from KEGG XML files, and for calculating the cDSD, caDSD and
capDSD matrices are available from http://dsd.cs.tufts.edu/capdsd
PMID- 24931988
TI - DrugComboRanker: drug combination discovery based on target network analysis.
AB - MOTIVATION: Currently there are no curative anticancer drugs, and drug resistance
is often acquired after drug treatment. One of the reasons is that cancers are
complex diseases, regulated by multiple signaling pathways and cross talks among
the pathways. It is expected that drug combinations can reduce drug resistance
and improve patients' outcomes. In clinical practice, the ideal and feasible drug
combinations are combinations of existing Food and Drug Administration-approved
drugs or bioactive compounds that are already used on patients or have entered
clinical trials and passed safety tests. These drug combinations could directly
be used on patients with less concern of toxic effects. However, there is so far
no effective computational approach to search effective drug combinations from
the enormous number of possibilities. RESULTS: In this study, we propose a novel
systematic computational tool DRUGCOMBORANKER: to prioritize synergistic drug
combinations and uncover their mechanisms of action. We first build a drug
functional network based on their genomic profiles, and partition the network
into numerous drug network communities by using a Bayesian non-negative matrix
factorization approach. As drugs within overlapping community share common
mechanisms of action, we next uncover potential targets of drugs by applying a
recommendation system on drug communities. We meanwhile build disease-specific
signaling networks based on patients' genomic profiles and interactome data. We
then identify drug combinations by searching drugs whose targets are enriched in
the complementary signaling modules of the disease signaling network. The novel
method was evaluated on lung adenocarcinoma and endocrine receptor positive
breast cancer, and compared with other drug combination approaches. These case
studies discovered a set of effective drug combinations top ranked in our
prediction list, and mapped the drug targets on the disease signaling network to
highlight the mechanisms of action of the drug combinations. AVAILABILITY AND
IMPLEMENTATION: The program is available on request.
PMID- 24931989
TI - Scale-space measures for graph topology link protein network architecture to
function.
AB - MOTIVATION: The network architecture of physical protein interactions is an
important determinant for the molecular functions that are carried out within
each cell. To study this relation, the network architecture can be characterized
by graph topological characteristics such as shortest paths and network hubs.
These characteristics have an important shortcoming: they do not take into
account that interactions occur across different scales. This is important
because some cellular functions may involve a single direct protein interaction
(small scale), whereas others require more and/or indirect interactions, such as
protein complexes (medium scale) and interactions between large modules of
proteins (large scale). RESULTS: In this work, we derive generalized scale-aware
versions of known graph topological measures based on diffusion kernels. We apply
these to characterize the topology of networks across all scales simultaneously,
generating a so-called graph topological scale-space. The comprehensive physical
interaction network in yeast is used to show that scale-space based measures
consistently give superior performance when distinguishing protein functional
categories and three major types of functional interactions-genetic interaction,
co-expression and perturbation interactions. Moreover, we demonstrate that graph
topological scale spaces capture biologically meaningful features that provide
new insights into the link between function and protein network architecture.
AVAILABILITY AND IMPLEMENTATION: Matlab(TM) code to calculate the scale-aware
topological measures (STMs) is available at http://bioinformatics.tudelft.nl/TSSA
PMID- 24931991
TI - An efficient parallel algorithm for accelerating computational protein design.
AB - MOTIVATION: Structure-based computational protein design (SCPR) is an important
topic in protein engineering. Under the assumption of a rigid backbone and a
finite set of discrete conformations of side-chains, various methods have been
proposed to address this problem. A popular method is to combine the dead-end
elimination (DEE) and A* tree search algorithms, which provably finds the global
minimum energy conformation (GMEC) solution. RESULTS: In this article, we improve
the efficiency of computing A* heuristic functions for protein design and propose
a variant of A* algorithm in which the search process can be performed on a
single GPU in a massively parallel fashion. In addition, we make some efforts to
address the memory exceeding problem in A* search. As a result, our enhancements
can achieve a significant speedup of the A*-based protein design algorithm by
four orders of magnitude on large-scale test data through pre-computation and
parallelization, while still maintaining an acceptable memory overhead. We also
show that our parallel A* search algorithm could be successfully combined with
iMinDEE, a state-of-the-art DEE criterion, for rotamer pruning to further improve
SCPR with the consideration of continuous side-chain flexibility. AVAILABILITY:
Our software is available and distributed open-source under the GNU Lesser
General License Version 2.1 (GNU, February 1999). The source code can be
downloaded from http://www.cs.duke.edu/donaldlab/osprey.php or
http://iiis.tsinghua.edu.cn/~compbio/software.html.
PMID- 24931990
TI - Gene network inference by probabilistic scoring of relationships from a
factorized model of interactions.
AB - MOTIVATION: Epistasis analysis is an essential tool of classical genetics for
inferring the order of function of genes in a common pathway. Typically, it
considers single and double mutant phenotypes and for a pair of genes observes
whether a change in the first gene masks the effects of the mutation in the
second gene. Despite the recent emergence of biotechnology techniques that can
provide gene interaction data on a large, possibly genomic scale, few methods are
available for quantitative epistasis analysis and epistasis-based network
reconstruction. RESULTS: We here propose a conceptually new probabilistic
approach to gene network inference from quantitative interaction data. The
approach is founded on epistasis analysis. Its features are joint treatment of
the mutant phenotype data with a factorized model and probabilistic scoring of
pairwise gene relationships that are inferred from the latent gene
representation. The resulting gene network is assembled from scored pairwise
relationships. In an experimental study, we show that the proposed approach can
accurately reconstruct several known pathways and that it surpasses the accuracy
of current approaches. AVAILABILITY AND IMPLEMENTATION: Source code is available
at http://github.com/biolab/red.
PMID- 24931992
TI - A statistical approach for inferring the 3D structure of the genome.
AB - MOTIVATION: Recent technological advances allow the measurement, in a single Hi-C
experiment, of the frequencies of physical contacts among pairs of genomic loci
at a genome-wide scale. The next challenge is to infer, from the resulting DNA
DNA contact maps, accurate 3D models of how chromosomes fold and fit into the
nucleus. Many existing inference methods rely on multidimensional scaling (MDS),
in which the pairwise distances of the inferred model are optimized to resemble
pairwise distances derived directly from the contact counts. These approaches,
however, often optimize a heuristic objective function and require strong
assumptions about the biophysics of DNA to transform interaction frequencies to
spatial distance, and thereby may lead to incorrect structure reconstruction.
METHODS: We propose a novel approach to infer a consensus 3D structure of a
genome from Hi-C data. The method incorporates a statistical model of the contact
counts, assuming that the counts between two loci follow a Poisson distribution
whose intensity decreases with the physical distances between the loci. The
method can automatically adjust the transfer function relating the spatial
distance to the Poisson intensity and infer a genome structure that best explains
the observed data. RESULTS: We compare two variants of our Poisson method, with
or without optimization of the transfer function, to four different MDS-based
algorithms-two metric MDS methods using different stress functions, a non-metric
version of MDS and ChromSDE, a recently described, advanced MDS method-on a wide
range of simulated datasets. We demonstrate that the Poisson models reconstruct
better structures than all MDS-based methods, particularly at low coverage and
high resolution, and we highlight the importance of optimizing the transfer
function. On publicly available Hi-C data from mouse embryonic stem cells, we
show that the Poisson methods lead to more reproducible structures than MDS-based
methods when we use data generated using different restriction enzymes, and when
we reconstruct structures at different resolutions. AVAILABILITY AND
IMPLEMENTATION: A Python implementation of the proposed method is available at
http://cbio.ensmp.fr/pastis.
PMID- 24931993
TI - Tertiary structure-based prediction of conformational B-cell epitopes through B
factors.
AB - MOTIVATION: B-cell epitope is a small area on the surface of an antigen that
binds to an antibody. Accurately locating epitopes is of critical importance for
vaccine development. Compared with wet-lab methods, computational methods have
strong potential for efficient and large-scale epitope prediction for antigen
candidates at much lower cost. However, it is still not clear which features are
good determinants for accurate epitope prediction, leading to the unsatisfactory
performance of existing prediction methods. METHOD AND RESULTS: We propose a much
more accurate B-cell epitope prediction method. Our method uses a new feature B
factor (obtained from X-ray crystallography), combined with other basic
physicochemical, statistical, evolutionary and structural features of each
residue. These basic features are extended by a sequence window and a structure
window. All these features are then learned by a two-stage random forest model to
identify clusters of antigenic residues and to remove isolated outliers. Tested
on a dataset of 55 epitopes from 45 tertiary structures, we prove that our method
significantly outperforms all three existing structure-based epitope predictors.
Following comprehensive analysis, it is found that features such as B factor,
relative accessible surface area and protrusion index play an important role in
characterizing B-cell epitopes. Our detailed case studies on an HIV antigen and
an influenza antigen confirm that our second stage learning is effective for
clustering true antigenic residues and for eliminating self-made prediction
errors introduced by the first-stage learning. AVAILABILITY AND IMPLEMENTATION:
Source codes are available on request.
PMID- 24931994
TI - BlockClust: efficient clustering and classification of non-coding RNAs from short
read RNA-seq profiles.
AB - SUMMARY: Non-coding RNAs (ncRNAs) play a vital role in many cellular processes
such as RNA splicing, translation, gene regulation. However the vast majority of
ncRNAs still have no functional annotation. One prominent approach for putative
function assignment is clustering of transcripts according to sequence and
secondary structure. However sequence information is changed by post
transcriptional modifications, and secondary structure is only a proxy for the
true 3D conformation of the RNA polymer. A different type of information that
does not suffer from these issues and that can be used for the detection of RNA
classes, is the pattern of processing and its traces in small RNA-seq reads data.
Here we introduce BlockClust, an efficient approach to detect transcripts with
similar processing patterns. We propose a novel way to encode expression profiles
in compact discrete structures, which can then be processed using fast graph
kernel techniques. We perform both unsupervised clustering and develop family
specific discriminative models; finally we show how the proposed approach is
scalable, accurate and robust across different organisms, tissues and cell lines.
AVAILABILITY: The whole BlockClust galaxy workflow including all tool
dependencies is available at
http://toolshed.g2.bx.psu.edu/view/rnateam/blockclust_workflow.
PMID- 24931995
TI - RNA-Skim: a rapid method for RNA-Seq quantification at transcript level.
AB - MOTIVATION: RNA-Seq technique has been demonstrated as a revolutionary means for
exploring transcriptome because it provides deep coverage and base pair-level
resolution. RNA-Seq quantification is proven to be an efficient alternative to
Microarray technique in gene expression study, and it is a critical component in
RNA-Seq differential expression analysis. Most existing RNA-Seq quantification
tools require the alignments of fragments to either a genome or a transcriptome,
entailing a time-consuming and intricate alignment step. To improve the
performance of RNA-Seq quantification, an alignment-free method, Sailfish, has
been recently proposed to quantify transcript abundances using all k-mers in the
transcriptome, demonstrating the feasibility of designing an efficient alignment
free method for transcriptome quantification. Even though Sailfish is
substantially faster than alternative alignment-dependent methods such as
Cufflinks, using all k-mers in the transcriptome quantification impedes the
scalability of the method. RESULTS: We propose a novel RNA-Seq quantification
method, RNA-Skim, which partitions the transcriptome into disjoint transcript
clusters based on sequence similarity, and introduces the notion of sig-mers,
which are a special type of k-mers uniquely associated with each cluster. We
demonstrate that the sig-mer counts within a cluster are sufficient for
estimating transcript abundances with accuracy comparable with any state-of-the
art method. This enables RNA-Skim to perform transcript quantification on each
cluster independently, reducing a complex optimization problem into smaller
optimization tasks that can be run in parallel. As a result, RNA-Skim uses <4% of
the k-mers and <10% of the CPU time required by Sailfish. It is able to finish
transcriptome quantification in <10 min per sample by using just a single thread
on a commodity computer, which represents >100 speedup over the state-of-the-art
alignment-based methods, while delivering comparable or higher accuracy.
AVAILABILITY AND IMPLEMENTATION: The software is available at
http://www.csbio.unc.edu/rs.
PMID- 24931996
TI - ExSPAnder: a universal repeat resolver for DNA fragment assembly.
AB - Next-generation sequencing (NGS) technologies have raised a challenging de novo
genome assembly problem that is further amplified in recently emerged single-cell
sequencing projects. While various NGS assemblers can use information from
several libraries of read-pairs, most of them were originally developed for a
single library and do not fully benefit from multiple libraries. Moreover, most
assemblers assume uniform read coverage, condition that does not hold for single
cell projects where utilization of read-pairs is even more challenging. We have
developed an exSPAnder algorithm that accurately resolves repeats in the case of
both single and multiple libraries of read-pairs in both standard and single-cell
assembly projects. AVAILABILITY AND IMPLEMENTATION:
http://bioinf.spbau.ru/en/spades
PMID- 24931998
TI - Ragout-a reference-assisted assembly tool for bacterial genomes.
AB - SUMMARY: Bacterial genomes are simpler than mammalian ones, and yet assembling
the former from the data currently generated by high-throughput short-read
sequencing machines still results in hundreds of contigs. To improve assembly
quality, recent studies have utilized longer Pacific Biosciences (PacBio) reads
or jumping libraries to connect contigs into larger scaffolds or help assemblers
resolve ambiguities in repetitive regions of the genome. However, their
popularity in contemporary genomic research is still limited by high cost and
error rates. In this work, we explore the possibility of improving assemblies by
using complete genomes from closely related species/strains. We present Ragout, a
genome rearrangement approach, to address this problem. In contrast with most
reference-guided algorithms, where only one reference genome is used, Ragout uses
multiple references along with the evolutionary relationship among these
references in order to determine the correct order of the contigs. Additionally,
Ragout uses the assembly graph and multi-scale synteny blocks to reduce assembly
gaps caused by small contigs from the input assembly. In simulations as well as
real datasets, we believe that for common bacterial species, where many complete
genome sequences from related strains have been available, the current high
throughput short-read sequencing paradigm is sufficient to obtain a single high
quality scaffold for each chromosome. AVAILABILITY: The Ragout software is freely
available at: https://github.com/fenderglass/Ragout.
PMID- 24931999
TI - Stochastic EM-based TFBS motif discovery with MITSU.
AB - MOTIVATION: The Expectation-Maximization (EM) algorithm has been successfully
applied to the problem of transcription factor binding site (TFBS) motif
discovery and underlies the most widely used motif discovery algorithms. In the
wider field of probabilistic modelling, the stochastic EM (sEM) algorithm has
been used to overcome some of the limitations of the EM algorithm; however, the
application of sEM to motif discovery has not been fully explored. RESULTS: We
present MITSU (Motif discovery by ITerative Sampling and Updating), a novel
algorithm for motif discovery, which combines sEM with an improved approximation
to the likelihood function, which is unconstrained with regard to the
distribution of motif occurrences within the input dataset. The algorithm is
evaluated quantitatively on realistic synthetic data and several collections of
characterized prokaryotic TFBS motifs and shown to outperform EM and an
alternative sEM-based algorithm, particularly in terms of site-level positive
predictive value. AVAILABILITY AND IMPLEMENTATION: Java executable available for
download at http://www.sourceforge.net/p/mitsu-motif/, supported on Linux/OS X.
PMID- 24932000
TI - AlignGraph: algorithm for secondary de novo genome assembly guided by closely
related references.
AB - MOTIVATION: De novo assemblies of genomes remain one of the most challenging
applications in next-generation sequencing. Usually, their results are incomplete
and fragmented into hundreds of contigs. Repeats in genomes and sequencing errors
are the main reasons for these complications. With the rapidly growing number of
sequenced genomes, it is now feasible to improve assemblies by guiding them with
genomes from related species. RESULTS: Here we introduce AlignGraph, an algorithm
for extending and joining de novo-assembled contigs or scaffolds guided by
closely related reference genomes. It aligns paired-end (PE) reads and
preassembled contigs or scaffolds to a close reference. From the obtained
alignments, it builds a novel data structure, called the PE multipositional de
Bruijn graph. The incorporated positional information from the alignments and PE
reads allows us to extend the initial assemblies, while avoiding incorrect
extensions and early terminations. In our performance tests, AlignGraph was able
to substantially improve the contigs and scaffolds from several assemblers. For
instance, 28.7-62.3% of the contigs of Arabidopsis thaliana and human could be
extended, resulting in improvements of common assembly metrics, such as an
increase of the N50 of the extendable contigs by 89.9-94.5% and 80.3-165.8%,
respectively. In another test, AlignGraph was able to improve the assembly of a
published genome (Arabidopsis strain Landsberg) by increasing the N50 of its
extendable scaffolds by 86.6%. These results demonstrate AlignGraph's efficiency
in improving genome assemblies by taking advantage of closely related references.
AVAILABILITY AND IMPLEMENTATION: The AlignGraph software can be downloaded for
free from this site: https://github.com/baoe/AlignGraph.
PMID- 24932001
TI - Accurate viral population assembly from ultra-deep sequencing data.
AB - MOTIVATION: Next-generation sequencing technologies sequence viruses with ultra
deep coverage, thus promising to revolutionize our understanding of the
underlying diversity of viral populations. While the sequencing coverage is high
enough that even rare viral variants are sequenced, the presence of sequencing
errors makes it difficult to distinguish between rare variants and sequencing
errors. RESULTS: In this article, we present a method to overcome the limitations
of sequencing technologies and assemble a diverse viral population that allows
for the detection of previously undiscovered rare variants. The proposed method
consists of a high-fidelity sequencing protocol and an accurate viral population
assembly method, referred to as Viral Genome Assembler (VGA). The proposed
protocol is able to eliminate sequencing errors by using individual barcodes
attached to the sequencing fragments. Highly accurate data in combination with
deep coverage allow VGA to assemble rare variants. VGA uses an expectation
maximization algorithm to estimate abundances of the assembled viral variants in
the population. RESULTS on both synthetic and real datasets show that our method
is able to accurately assemble an HIV viral population and detect rare variants
previously undetectable due to sequencing errors. VGA outperforms state-of-the
art methods for genome-wide viral assembly. Furthermore, our method is the first
viral assembly method that scales to millions of sequencing reads. AVAILABILITY:
Our tool VGA is freely available at http://genetics.cs.ucla.edu/vga/
PMID- 24932003
TI - Inferring gene ontologies from pairwise similarity data.
AB - MOTIVATION: While the manually curated Gene Ontology (GO) is widely used,
inferring a GO directly from -omics data is a compelling new problem. Recognizing
that ontologies are a directed acyclic graph (DAG) of terms and hierarchical
relations, algorithms are needed that: analyze a full matrix of gene-gene
pairwise similarities from -omics data; infer true hierarchical structure in
these data rather than enforcing hierarchy as a computational artifact; and
respect biological pleiotropy, by which a term in the hierarchy can relate to
multiple higher level terms. Methods addressing these requirements are just
beginning to emerge-none has been evaluated for GO inference. METHODS: We
consider two algorithms [Clique Extracted Ontology (CliXO), LocalFitness] that
uniquely satisfy these requirements, compared with methods including standard
clustering. CliXO is a new approach that finds maximal cliques in a network
induced by progressive thresholding of a similarity matrix. We evaluate each
method's ability to reconstruct the GO biological process ontology from a
similarity matrix based on (a) semantic similarities for GO itself or (b) three
omics datasets for yeast. RESULTS: For task (a) using semantic similarity, CliXO
accurately reconstructs GO (>99% precision, recall) and outperforms other
approaches (<20% precision, <20% recall). For task (b) using -omics data, CliXO
outperforms other methods using two -omics datasets and achieves ~30% precision
and recall using YeastNet v3, similar to an earlier approach (Network Extracted
Ontology) and better than LocalFitness or standard clustering (20-25% precision,
recall). CONCLUSION: This study provides algorithmic foundation for building gene
ontologies by capturing hierarchical and pleiotropic structure embedded in
biomolecular data.
PMID- 24932004
TI - Automated detection and tracking of many cells by using 4D live-cell imaging
data.
AB - MOTIVATION: Automated fluorescence microscopes produce massive amounts of images
observing cells, often in four dimensions of space and time. This study addresses
two tasks of time-lapse imaging analyses; detection and tracking of the many
imaged cells, and it is especially intended for 4D live-cell imaging of neuronal
nuclei of Caenorhabditis elegans. The cells of interest appear as slightly
deformed ellipsoidal forms. They are densely distributed, and move rapidly in a
series of 3D images. Thus, existing tracking methods often fail because more than
one tracker will follow the same target or a tracker transits from one to other
of different targets during rapid moves. RESULTS: The present method begins by
performing the kernel density estimation in order to convert each 3D image into a
smooth, continuous function. The cell bodies in the image are assumed to lie in
the regions near the multiple local maxima of the density function. The tasks of
detecting and tracking the cells are then addressed with two hill-climbing
algorithms. The positions of the trackers are initialized by applying the cell
detection method to an image in the first frame. The tracking method keeps
attacking them to near the local maxima in each subsequent image. To prevent the
tracker from following multiple cells, we use a Markov random field (MRF) to
model the spatial and temporal covariation of the cells and to maximize the image
forces and the MRF-induced constraint on the trackers. The tracking procedure is
demonstrated with dynamic 3D images that each contain >100 neurons of C.elegans.
AVAILABILITY: http://daweb.ism.ac.jp/yoshidalab/crest/ismb2014 SUPPLEMENTARY
INFORMATION: Supplementary data are available at
http://daweb.ism.ac.jp/yoshidalab/crest/ismb2014
PMID- 24932005
TI - Using association rule mining to determine promising secondary phenotyping
hypotheses.
AB - MOTIVATION: Large-scale phenotyping projects such as the Sanger Mouse Genetics
project are ongoing efforts to help identify the influences of genes and their
modification on phenotypes. Gene-phenotype relations are crucial to the
improvement of our understanding of human heritable diseases as well as the
development of drugs. However, given that there are ~: 20 000 genes in higher
vertebrate genomes and the experimental verification of gene-phenotype relations
requires a lot of resources, methods are needed that determine good candidates
for testing. RESULTS: In this study, we applied an association rule mining
approach to the identification of promising secondary phenotype candidates. The
predictions rely on a large gene-phenotype annotation set that is used to find
occurrence patterns of phenotypes. Applying an association rule mining approach,
we could identify 1967 secondary phenotype hypotheses that cover 244 genes and
136 phenotypes. Using two automated and one manual evaluation strategies, we
demonstrate that the secondary phenotype candidates possess biological relevance
to the genes they are predicted for. From the results we conclude that the
predicted secondary phenotypes constitute good candidates to be experimentally
tested and confirmed. AVAILABILITY: The secondary phenotype candidates can be
browsed through at
http://www.sanger.ac.uk/resources/databases/phenodigm/gene/secondaryphenotype/lis
. CONTACT: ao5@sanger.ac.uk or ds5@sanger.ac.uk SUPPLEMENTARY INFORMATION:
Supplementary data are available at Bioinformatics online.
PMID- 24932006
TI - Inductive matrix completion for predicting gene-disease associations.
AB - MOTIVATION: Most existing methods for predicting causal disease genes rely on
specific type of evidence, and are therefore limited in terms of applicability.
More often than not, the type of evidence available for diseases varies-for
example, we may know linked genes, keywords associated with the disease obtained
by mining text, or co-occurrence of disease symptoms in patients. Similarly, the
type of evidence available for genes varies-for example, specific microarray
probes convey information only for certain sets of genes. In this article, we
apply a novel matrix-completion method called Inductive Matrix Completion to the
problem of predicting gene-disease associations; it combines multiple types of
evidence (features) for diseases and genes to learn latent factors that explain
the observed gene-disease associations. We construct features from different
biological sources such as microarray expression data and disease-related textual
data. A crucial advantage of the method is that it is inductive; it can be
applied to diseases not seen at training time, unlike traditional matrix
completion approaches and network-based inference methods that are transductive.
RESULTS: Comparison with state-of-the-art methods on diseases from the Online
Mendelian Inheritance in Man (OMIM) database shows that the proposed approach is
substantially better-it has close to one-in-four chance of recovering a true
association in the top 100 predictions, compared to the recently proposed
Catapult method (second best) that has <15% chance. We demonstrate that the
inductive method is particularly effective for a query disease with no previously
known gene associations, and for predicting novel genes, i.e. genes that are
previously not linked to diseases. Thus the method is capable of predicting novel
genes even for well-characterized diseases. We also validate the novelty of
predictions by evaluating the method on recently reported OMIM associations and
on associations recently reported in the literature. AVAILABILITY: Source code
and datasets can be downloaded from http://bigdata.ices.utexas.edu/project/gene
disease.
PMID- 24932007
TI - Robust clinical outcome prediction based on Bayesian analysis of transcriptional
profiles and prior causal networks.
AB - MOTIVATION: Understanding and predicting an individual's response in a clinical
trial is the key to better treatments and cost-: effective medicine. Over the
coming years, more and more large-scale omics datasets will become available to
characterize patients with complex and heterogeneous diseases at a molecular
level. Unfortunately, genetic, phenotypical and environmental variation is much
higher in a human trial population than currently modeled or measured in most
animal studies. In our experience, this high variability can lead to failure of
trained predictors in independent studies and undermines the credibility and
utility of promising high-dimensional datasets. METHODS: We propose a method that
utilizes patient-level genome-wide expression data in conjunction with causal
networks based on prior knowledge. Our approach determines a differential
expression profile for each patient and uses a Bayesian approach to infer
corresponding upstream regulators. These regulators and their corresponding
posterior probabilities of activity are used in a regularized regression
framework to predict response. RESULTS: We validated our approach using two
clinically relevant phenotypes, namely acute rejection in kidney transplantation
and response to Infliximab in ulcerative colitis. To demonstrate pitfalls in
translating trained predictors across independent trials, we analyze performance
characteristics of our approach as well as alternative feature sets in the
regression on two independent datasets for each phenotype. We show that the
proposed approach is able to successfully incorporate causal prior knowledge to
give robust performance estimates.
PMID- 24932008
TI - A combinatorial approach for analyzing intra-tumor heterogeneity from high
throughput sequencing data.
AB - MOTIVATION: High-throughput sequencing of tumor samples has shown that most
tumors exhibit extensive intra-tumor heterogeneity, with multiple subpopulations
of tumor cells containing different somatic mutations. Recent studies have
quantified this intra-tumor heterogeneity by clustering mutations into
subpopulations according to the observed counts of DNA sequencing reads
containing the variant allele. However, these clustering approaches do not
consider that the population frequencies of different tumor subpopulations are
correlated by their shared ancestry in the same population of cells. RESULTS: We
introduce the binary tree partition (BTP), a novel combinatorial formulation of
the problem of constructing the subpopulations of tumor cells from the variant
allele frequencies of somatic mutations. We show that finding a BTP is an NP
complete problem; derive an approximation algorithm for an optimization version
of the problem; and present a recursive algorithm to find a BTP with errors in
the input. We show that the resulting algorithm outperforms existing clustering
approaches on simulated and real sequencing data. AVAILABILITY AND
IMPLEMENTATION: Python and MATLAB implementations of our method are available at
http://compbio.cs.brown.edu/software/ .
PMID- 24932009
TI - Pareto-optimal phylogenetic tree reconciliation.
AB - MOTIVATION: Phylogenetic tree reconciliation is a widely used method for
reconstructing the evolutionary histories of gene families and species, hosts and
parasites and other dependent pairs of entities. Reconciliation is typically
performed using maximum parsimony, in which each evolutionary event type is
assigned a cost and the objective is to find a reconciliation of minimum total
cost. It is generally understood that reconciliations are sensitive to event
costs, but little is understood about the relationship between event costs and
solutions. Moreover, choosing appropriate event costs is a notoriously difficult
problem. RESULTS: We address this problem by giving an efficient algorithm for
computing Pareto-optimal sets of reconciliations, thus providing the first
systematic method for understanding the relationship between event costs and
reconciliations. This, in turn, results in new techniques for computing event
support values and, for cophylogenetic analyses, performing robust statistical
tests. We provide new software tools and demonstrate their use on a number of
datasets from evolutionary genomic and cophylogenetic studies. AVAILABILITY AND
IMPLEMENTATION: Our Python tools are freely available at
www.cs.hmc.edu/~hadas/xscape. .
PMID- 24932010
TI - Evaluating synteny for improved comparative studies.
AB - MOTIVATION: Comparative genomics aims to understand the structure and function of
genomes by translating knowledge gained about some genomes to the object of
study. Early approaches used pairwise comparisons, but today researchers are
attempting to leverage the larger potential of multi-way comparisons. Comparative
genomics relies on the structuring of genomes into syntenic blocks: blocks of
sequence that exhibit conserved features across the genomes. Syntenic blocs are
required for complex computations to scale to the billions of nucleotides present
in many genomes; they enable comparisons across broad ranges of genomes because
they filter out much of the individual variability; they highlight candidate
regions for in-depth studies; and they facilitate whole-genome comparisons
through visualization tools. However, the concept of syntenic block remains
loosely defined. Tools for the identification of syntenic blocks yield quite
different results, thereby preventing a systematic assessment of the next steps
in an analysis. Current tools do not include measurable quality objectives and
thus cannot be benchmarked against themselves. Comparisons among tools have also
been neglected-what few results are given use superficial measures unrelated to
quality or consistency. RESULTS: We present a theoretical model as well as an
experimental basis for comparing syntenic blocks and thus also for improving or
designing tools for the identification of syntenic blocks. We illustrate the
application of the model and the measures by applying them to syntenic blocks
produced by three different contemporary tools (DRIMM-Synteny, i-ADHoRe and
Cyntenator) on a dataset of eight yeast genomes. Our findings highlight the need
for a well founded, systematic approach to the decomposition of genomes into
syntenic blocks. Our experiments demonstrate widely divergent results among these
tools, throwing into question the robustness of the basic approach in comparative
genomics. We have taken the first step towards a formal approach to the
construction of syntenic blocks by developing a simple quality criterion based on
sound evolutionary principles.
PMID- 24932012
TI - Partisans without Constraint: Political Polarization and Trends in American
Public Opinion.
AB - Public opinion polarization is here conceived as a process of alignment along
multiple lines of potential disagreement and measured as growing constraint in
individuals' preferences. Using NES data from 1972 to 2004, the authors model
trends in issue partisanship-the correlation of issue attitudes with party
identification-and issue alignment-the correlation between pairs of issues-and
find a substantive increase in issue partisanship, but little evidence of issue
alignment. The findings suggest that opinion changes correspond more to a
resorting of party labels among voters than to greater constraint on issue
attitudes: since parties are more polarized, they are now better at sorting
individuals along ideological lines. Levels of constraint vary across population
subgroups: strong partisans and wealthier and politically sophisticated voters
have grown more coherent in their beliefs. The authors discuss the consequences
of partisan realignment and group sorting on the political process and potential
deviations from the classic pluralistic account of American politics.
PMID- 24932013
TI - Legal Cynicism and Parental Appraisals of Adolescent Violence.
AB - Research suggests that legal cynicism-a cultural frame in which the law is viewed
as illegitimate and ineffective-encourages violence to maintain personal safety
when legal recourse is unreliable. But no study has tested the impact of legal
cynicism on appraisals of violence. Drawing from symbolic interaction theory and
cultural sociology, we tested whether neighbourhood legal cynicism alters the
extent to which parents appraise their children's violence as indicative of
aggressive or impulsive temperaments using data from the Project on Human
Development in Chicago Neighborhoods. We find that legal cynicism attenuates the
positive association between adolescent violence and parental assessments of
aggression and impulsivity. Our study advances the understanding of micro-level
processes through which prevailing cultural frames in the neighbourhood shape
violence appraisals.
PMID- 24932011
TI - Large scale analysis of signal reachability.
AB - MOTIVATION: Major disorders, such as leukemia, have been shown to alter the
transcription of genes. Understanding how gene regulation is affected by such
aberrations is of utmost importance. One promising strategy toward this objective
is to compute whether signals can reach to the transcription factors through the
transcription regulatory network (TRN). Due to the uncertainty of the regulatory
interactions, this is a #P-complete problem and thus solving it for very large
TRNs remains to be a challenge. RESULTS: We develop a novel and scalable method
to compute the probability that a signal originating at any given set of source
genes can arrive at any given set of target genes (i.e., transcription factors)
when the topology of the underlying signaling network is uncertain. Our method
tackles this problem for large networks while providing a provably accurate
result. Our method follows a divide-and-conquer strategy. We break down the given
network into a sequence of non-overlapping subnetworks such that reachability can
be computed autonomously and sequentially on each subnetwork. We represent each
interaction using a small polynomial. The product of these polynomials express
different scenarios when a signal can or cannot reach to target genes from the
source genes. We introduce polynomial collapsing operators for each subnetwork.
These operators reduce the size of the resulting polynomial and thus the
computational complexity dramatically. We show that our method scales to entire
human regulatory networks in only seconds, while the existing methods fail beyond
a few tens of genes and interactions. We demonstrate that our method can
successfully characterize key reachability characteristics of the entire
transcriptions regulatory networks of patients affected by eight different
subtypes of leukemia, as well as those from healthy control samples.
AVAILABILITY: All the datasets and code used in this article are available at
bioinformatics.cise.ufl.edu/PReach/scalable.htm.
PMID- 24932014
TI - Special issue on spine neuromuscular control.
PMID- 24932015
TI - Whiplash evokes descending muscle recruitment and sympathetic responses
characteristic of startle.
AB - Whiplash injuries are the most common injuries following rear-end collisions.
During a rear-end collision, the human muscle response consists of both a
postural and a startle response that may exacerbate injury. However, most
previous studies only assessed the presence of startle using data collected from
the neck muscles and head/neck kinematics. The startle response also evokes a
descending pattern of muscle recruitment and changes in autonomic activity. Here
we examined the recruitment of axial and appendicular muscles along with
autonomic responses to confirm whether these other features of a startle response
were present during the first exposure to a whiplash perturbation. Ten subjects
experienced a single whiplash perturbation while recording electromyography,
electrocardiogram, and electrodermal responses. All subjects exhibited a
descending pattern of muscle recruitment, and increasing heart rate and
electrodermal responses following the collision. Our results provide further
support that the startle response is a component of the response to whiplash
collisions.
PMID- 24932017
TI - Effect of bracing or surgical treatments on balance control in idiopathic
scoliosis: three case studies.
AB - Scoliosis is the most frequent spinal deformity among adolescents. In 80 % of
cases, it is defined as idiopathic as no individual cause has been identified.
However, several factors linked to Adolescent Idiopathic Scoliosis (AIS) have
been identified and are under investigation. One of these factors is neurological
dysfunction. Increase in body sway has been observed either during or following
sensory manipulation in AIS patients. It is believed that impairment in sensory
processing could be related to scoliosis onset. Impairment in sensory processing
could induce a body schema distortion. The aim of this case series was to
evaluate if conventional orthopaedic treatments could improve balance control
thus implying a better body representation. Although, no strong conclusion can be
drawn from a case series, results suggest that alteration in body representation
should be investigated in future studies.
PMID- 24932016
TI - The use of "stabilization exercises" to affect neuromuscular control in the
lumbopelvic region: a narrative review.
AB - It is well-established that the coordination of muscular activity in the
lumbopelvic region is vital to the generation of mechanical spinal stability.
Several models illustrating mechanisms by which dysfunctional neuromuscular
control strategies may serve as a cause and/or effect of low back pain have been
described in the literature. The term "core stability" is variously used by
clinicians and researchers, and this variety has led to several rehabilitative
approaches suggested to affect the neuromuscular control strategies of the
lumbopelvic region (e.g. "stabilization exercise", "motor control exercise").
This narrative review will highlight: 1) the ongoing debate in the clinical and
research communities regarding the terms "core stability" and "stabilization
exercise", 2) the importance of sub-grouping in identifying those patients most
likely to benefit from such therapeutic interventions, and 3) two protocols that
can assist clinicians in this process.
PMID- 24932018
TI - The effect of spinal manipulation impulse duration on spine neuromechanical
responses.
AB - INTRODUCTION: Spinal manipulation therapy (SMT) is characterized by specific
kinetic and kinematic parameters that can be modulated. The purpose of this study
is to investigate fundamental aspects of SMT dose-physiological response relation
in humans by varying SMT impulse duration. METHODS: Twenty healthy adults were
subjected to four different SMT force-time profiles delivered by a servo
controlled linear actuator motor and differing in their impulse duration. EMG
responses of the left and right thoracic paraspinal muscles (T6 and T8 levels)
and vertebral displacements of T7 and T8 were evaluated for all SMT phases.
RESULTS: Significant differences in paraspinal EMG were observed during the
"Thrust phase" and immediately after ("Post-SMT1") (all T8 ps < 0.01 and T6
during the thrust ps < 0.05). Sagittal vertebral displacements were similar
across all conditions (p > 0.05). CONCLUSION: Decreasing SMT impulse duration
leads to a linear increase in EMG response of thoracic paraspinal during and
following the SMT thrust.
PMID- 24932019
TI - Effect of spinal manipulation on the development of history-dependent
responsiveness of lumbar paraspinal muscle spindles in the cat.
AB - We determined whether spinal manipulation could prevent and/or reverse the
decrease and increase in paraspinal muscle spindle responsiveness caused
respectively by lengthening and shortening histories of the lumbar muscles.
Single unit spindle activity from multifidus and longissimus muscles was recorded
in the L6 dorsal root in anesthetized cats. Muscle history was created and spinal
manipulation delivered (thrust amplitude: 1.0mm, duration: 100ms) using a
feedback-controlled motor attached to the L6 spinous process. Muscle spindle
discharge to a fixed vertebral position (static test) and to vertebral movement
(dynamic test) was evaluated following the lengthening and shortening histories.
For the static test, changes in muscle spindle responsiveness were significantly
less when spinal manipulation followed muscle history (p<0.01), but not when
spinal manipulation preceded it (p>0.05). For the dynamic test, spinal
manipulation did not significantly affect the history-induced change in muscle
spindle responsiveness. Spinal manipulation may partially reverse the effects of
muscle history on muscle spindle signaling of vertebral position.
PMID- 24932020
TI - Effect of changing lumbar stiffness by single facet joint dysfunction on the
responsiveness of lumbar muscle spindles to vertebral movement.
AB - OBJECTIVE: Individuals experiencing low back pain often present clinically with
intervertebral joint dysfunction. The purpose of this study was to determine
whether relative changes in stiffness at a single spinal joint alters neural
responsiveness of lumbar muscle spindles to either vertebral movement or
position. METHODS: Muscle spindle discharge was recorded in response to 1mm L6
ramp and hold movements (0.5mm/s) in the same animal for lumbar laminectomy-only
(n=23), laminectomy & L5/6 facet screw (n=19), laminectomy & L5/6 facetectomy
(n=5) conditions. Mean instantaneous frequency (MIF) was calculated for the ramp
up, hold, ramp-down and post-ramp phases during each joint condition. RESULTS:
Mean MIFs were not significantly different between the laminectomy-only and the
other two types of joint dysfunction for the ramp-up, hold, ramp-down, or post
ramp phases. CONCLUSION: Stiffness changes caused by single facet joint
dysfunction failed to alter spindle responses during slow 1mm ramp and hold
movements of the L6 vertebra.
PMID- 24932021
TI - The origin, and application of somatosensory evoked potentials as a
neurophysiological technique to investigate neuroplasticity.
AB - Somatosensory evoked potentionals (SEPs) can be used to elucidate differences in
cortical activity associated with a spinal manipulation (SM) intervention. The
purpose of this narrative review is to overview the origin and application of
SEPs, a neurophysiological technique to investigate neuroplasticity. Summaries
of: 1) parameters for SEP generation and waveform recording; 2) SEP peak
nomenclature, interpretation and generators; 3) peaks pertaining to tactile
information processing (relevant to both chiropractic and other manual
therapies); 4) utilization and application of SEPs; 5) SEPs concurrent with an
experimental task and at baseline/control/pretest; 6) SEPs pain studies; and 7)
SEPs design (pre/post) and neural reorganization/neuroplasticity; and 8) SEPs and
future chiropractic research are all reviewed. Understanding what SEPs are, and
their application allows chiropractors, educators, and other manual therapists
interested in SM to understand the context, and importance of research findings
from SM studies that involve SEPs.
PMID- 24932022
TI - The cervical myodural bridge, a review of literature and clinical implications.
AB - The role of posterior cervical musculature in sensorimotor control,
cervicocephalic pain, and stabilization of the spinal cord has been recently
described. Anatomical soft tissue connections which cross the cervical epidural
space link suboccipital muscle fascia and dura. These myodural bridges provide
passive and active anchoring of the spinal cord. They may also be involved in a
dural tension monitoring system to prevent dural infolding, and maintain patency
of the spinal cord. Modulation of dural tension may be initiated via a sensory
reflex to muscular contractile tissues. Unanticipated movements such as
hyperflexion extension injuries stimulate deep suboccipital muscles and transmit
tensile forces through the bridge to the cervical dura. Due to its larger cross
sectional area, the rectus capitis posterior major myodural bridge may exert
greater mechanical traction on the dura than the rectus capitis posterior minor.
University ethics committee approval and anatomical donor consent was obtained
for this study.
PMID- 24932023
TI - Real-time force feedback during flexion-distraction procedure for low back pain:
A pilot study.
AB - A form of chiropractic procedure known as Cox flexion-distraction is used by
chiropractors to treat low back pain. Patient lies face down on a specially
designed table having a stationery thoracic support and a moveable caudal support
for the legs. The Doctor of Chiropractic (DC) holds a manual contact applying
forces over the posterior lumbar spine and press down on the moving leg support
to create traction effects in the lumbar spine. This paper reports on the
development of real-time feedback on the applied forces during the application of
the flexion-distraction procedure. In this pilot study we measured the forces
applied by experienced DCs as well as novice DCs in using this procedure. After a
brief training with real-time feedback novice DCs have improved on the magnitude
of the applied forces. This real-time feedback technology is promising to do
systematic studies in training DCs during the application of this procedure.
PMID- 24932024
TI - Microfluidic Channels on Nanopatterned Substrates: Monitoring Protein Binding to
Lipid Bilayers with Surface-Enhanced Raman Spectroscopy.
AB - We used Surface Enhanced Raman Spectroscopy (SERS) to detect binding events
between streptavidin and biotinylated lipid bilayers. The binding events took
place at the surface between microfluidic channels and anodized aluminum oxide
(AAO) with the latter serving as substrates. The bilayers were incorporated in
the substrate pores. It was revealed that non-bound molecules were easily washed
away and that large suspended cells (Salmonella enterica) are less likely to
interfere with the monitoring process: when focusing to the lower surface of the
channel, one may resolve mostly the bound molecules.
PMID- 24932025
TI - Osteosarcoma: A journey from amputation to limb salvage.
PMID- 24932026
TI - Multidrug resistant tuberculosis: A challenge in clinical orthopedics.
PMID- 24932028
TI - Retraction notice.
PMID- 24932027
TI - Classification, imaging, biopsy and staging of osteosarcoma.
AB - Osteosarcoma is the most common primary osseous malignancy excluding malignant
neoplasms of marrow origin (myeloma, lymphoma and leukemia) and accounts for
approximately 20% of bone cancers. It predominantly affects patients younger than
20 years and mainly occurs in the long bones of the extremities, the most common
being the metaphyseal area around the knee. These are classified as primary
(central or surface) and secondary osteosarcomas arising in preexisting
conditions. The conventional plain radiograph is the best for probable diagnosis
as it describes features like sun burst appearance, Codman's triangle, new bone
formation in soft tissues along with permeative pattern of destruction of the
bone and other characteristics for specific subtypes of osteosarcomas. X-ray
chest can detect metastasis in the lungs, but computerized tomography (CT) scan
of the thorax is more helpful. Magnetic resonance imaging (MRI) of the lesion
delineates its extent into the soft tissues, the medullary canal, the joint, skip
lesions and the proximity of the tumor to the neurovascular structures. Tc99 bone
scan detects the osseous metastases. Positron Emission Tomography (PET) is used
for metastatic workup and/or local recurrence after resection. The role of
biochemical markers like alkaline phosphatase and lactate dehydrogenase is
pertinent for prognosis and treatment response. The biopsy confirms the diagnosis
and reveals the grade of the tumor. Enneking system for staging malignant
musculoskeletal tumors and American Joint Committee on Cancer (AJCC) staging
systems are most commonly used for extremity sarcomas.
PMID- 24932030
TI - Surface osteosarcomas: Diagnosis, treatment and outcome.
AB - Surface osteosarcomas are a rare form of osteosarcomas accounting for around 3-6%
of all osteosarcomas. Three major groups of surface osteosarcomas are parosteal,
periosteal and the high grade surface osteosarcomas. Of these, the parosteal
osteosarcoma is the most common. Parosteal and periosteal osteosarcomas are
distinct clinical entities and it is important to identify the
clinicoradiological differences between the two types. Surface osteosarcomas
occur at a later age as compared to conventional osteosarcomas. The classical
site is the lower end of the femur followed by the upper end of the tibia and
upper end of humerus, in that order. The periosteal variant affects the tibia
more commonly than the parosteal variety. Neo-adjuvant chemotherapy is the
standard of care for high grade surface osteosarcomas. Parosteal osteosarcomas,
being low grade lesions, can be treated by upfront wide excision without adjuvant
systemic therapy. Controversy prevails over the need for chemotherapy in
periosteal osteosarcomas, which are intermediate grade lesions.
PMID- 24932029
TI - Osteosarcoma: Diagnostic dilemmas in histopathology and prognostic factors.
AB - Osteosarcoma (OS), the commonest malignancy of osteoarticular origin, is a very
aggressive neoplasm. Divergent histologic differentiation is common in OS; hence
triple diagnostic approach is essential in all cases. 20% cases are atypical
owing to lack of concurrence among clinicoradiologic and pathologic features
necessitating resampling. Recognition of specific anatomic and histologic
variants is essential in view of better outcome. Traditional prognostic factors
of OS do stratify patients for short term outcome, but often fail to predict
their long term outcome. Considering the negligible improvement in the patient
outcome during the last 20 years, search for novel prognostic factors is in
progress like ezrin vascular endothelial growth factor, chemokine receptors,
dysregulation of various micro ribonucleic acid are potentially promising. Their
utility needs to be validated by long term followup studies before they are
incorporated in routine clinical practice.
PMID- 24932031
TI - The molecular pathogenesis of dedifferentiated chondrosarcoma.
AB - Dedifferentiated chondrosarcomas are cartilaginous tumors that consist of two
distinguishable components, a lowgrade chondrosarcoma (chondrogenic) component
and a highgrade dedifferentiated (anaplastic) component. The tumor cells in both
components seem to originate from a single precursor, but there are a substantial
number of genetic alterations in the anaplastic component. The underlying
mechanism of dedifferentiation is unknown, but cell cycle regulators p16, p53 and
retinoblastoma appear to have important roles in tumor development and
dedifferentiation. In this article, molecular pathogenesis of dedifferentiated
chondrosarcomas is reviewed.
PMID- 24932032
TI - Limb salvage surgery for osteosarcoma: Early results in Indian patients.
AB - BACKGROUND: While limb salvage surgery has long been established as the standard
of care for osteosarcoma, large studies from Indian centers are few. Given the
diverse socio economic milieu of our patients, it becomes significant to
determine the feasibility and outcome of management of osteosarcoma in our
population. We analyzed the early outcome of limb salvage surgery with
multimodality treatment of osteosarcoma of the extremity/girdle bones at a
tertiary North Indian Cancer Centre. MATERIALS AND METHODS: A total of 51 limb
salvage surgeries performed during the months between November 2008 and November
2012 were studied. Neoadjuvant/adjuvant chemotherapy was given by the
pediatric/adult medical oncology teams as applicable. The mean followup was 19.45
months (range 2-50 months). The oncological outcome was correlated with age, sex,
size of tumor, stage at presentation, site, histological subtype, type of
chemotherapy protocol followed and necrosis seen on postoperative examination of
resected specimen. The functional outcome of the patients was evaluated using the
musculoskeletal tumor society (MSTS) scoring system. RESULTS: Out of a total of
37 males and 14 females with an average age of 18.8 years, the 3 year overall
survival was 66% and 3 year event free survival was 61.8%. In this group of
patients with a short followup, a better oncological outcome was associated with
good postoperative tumor necrosis, nonchondroblastic histology and age <14 years.
The average MSTS score was highest in patients with proximal or distal femur
prosthesis and the lowest in patients undergoing a knee arthrodesis. CONCLUSION:
The present study shows oncological and functional outcomes of limb salvage
combined with chemotherapy in Indian patients with osteosarcoma comparable to
those in world literature. Larger studies on Indian population with longer
followup are recommended.
PMID- 24932033
TI - Outcome of surgical resection of pelvic osteosarcoma.
AB - BACKGROUND: The aim of the following study is to evaluate the morbidity,
oncologic results and functional outcome in nonmetastatic patients with primary
osteosarcoma of the pelvis treated with surgical resection. MATERIALS AND
METHODS: Twelve cases of nonmetastatic osteosarcoma of pelvis were operated as
part of their multimodality treatment regime between November 2003 and May 2011.
There were 5 males and 7 females with a median age of 22 years (range 8-39
years). Ten patients underwent limb sparing resections while 2 had a hindquarter
amputation. All 10 cases of limb sparing surgery included resection of the
acetabulum. A pseudarthrosis was carried out in 7 cases. Extracorporeal radiation
therapy and reimplantation of the bone followed by fixation with plates was used
in 1 case and an ischiofemoral arthrodesis was carried out in 2 cases. RESULTS:
Surgical margins were free in 11 patients. Seven patients had a poor histological
response to chemotherapy while 4 patients had a good response to chemotherapy. In
the patient reconstructed with radiated auto bone graft, the histological
response to chemotherapy could not be assessed. Surgery related complications
were seen in 8 out of 12 patients (67%). Three of these patients (25%) required
additional surgical intervention for their complications. All patients were
available for followup. The median followup of survivors was 56 months (range 24
102 months). Four patients (33%) developed a local recurrence. At 5 years,
overall survival was 67%. Patients with a good response to chemotherapy had a
better overall survival when compared with patients with a poor response to
chemotherapy. The mean Musculoskeletal Tumor Society functional score was 22
(range12-27). CONCLUSIONS: Though complex and challenging, surgery provides good
local control and oncologic outcomes with acceptable function in patients with
osteosarcoma of the pelvis treated with appropriate surgical resection as part of
their multimodality treatment.
PMID- 24932034
TI - Oncological and functional outcome of periosteal osteosarcoma.
AB - BACKGROUND: Periosteal osteosarcoma is an uncommon variant of osteosarcoma which
constitutes less than 2% of all osteosarcomas. Whereas adequate surgical excision
remains the cornerstone of treatment, the role of chemotherapy in this tumor is
still unclear. Existing literature contains very few single center studies on the
outcomes for periosteal osteosarcomas and any additional information will help in
better understanding of these uncommon lesions. This study aims to evaluate the
oncologic and functional outcomes of treatment of periosteal osteosarcoma treated
at our institute. MATERIALS AND METHODS: A retrospective analysis of 18 cases of
periosteal osteosarcoma treated between January 2001 and December 2010 was
carried out. There were 12 males and 6 females. The mean age at presentation was
16.3 years (range 5-26 years). Tibia and femur were the most common sites (n =
8). 16 of 18 patients received chemotherapy, 16 had limb sparing resection, one
had an amputation and one had rotationplasty. Of the 16 patients with limb
salvage, conventional wide excision was done in 11 cases. In 5 cases tumor was
excised with hemicortical excision. Of the 11 cases treated with wide excisions,
4 patients underwent an osteoarticular resection and in 7 patients a joint
preserving segmental intercalary resection was done. RESULTS: All patients were
available for followup. Surgical margins were free in all patients. A good
response to chemotherapy was seen in 4/11 cases and poor in 6/11 cases. In one
case the histological response was not discernible due to predominant
chondromyxoid nature of the tumor. The median followup was 61 months (range: 18
130 months). There were two local recurrences (11%) at 9 and 18 months
postsurgery. Pulmonary metastasis subsequently occurred in 4 cases (22%).
Fourteen patients are currently alive and continuously disease free. Disease free
survival at 5 years was 77.8% and overall survival (OVS) was 83.3%. Patients
without marrow involvement had a better OVS at 5 years when compared with
patients with marrow involvement (90% vs. 75%) (P = 0.23). CONCLUSION: Surgical
excision remains the mainstay of treatment. Intramedullary involvement may
suggest aggressive disease biology. The role of chemotherapy is still debatable
and multicenter studies are needed to provide guidelines.
PMID- 24932035
TI - High tumor volume and local recurrence following surgery in osteosarcoma: A
retrospective study.
AB - BACKGROUND: Osteosarcoma is a high grade malignant, osteoid forming, primary bone
tumor affecting the metaphysis of long bones. Local recurrence (LR) in
osteosarcomas is a sinister. Theoretically, a high tumor volume at the time of
presentation will limit surgical margins, involve vital neurovascular bundles and
show poor response to chemotherapy thereby causing high rates of amputations (as
against limb salvage surgery) and should be associated with poor survival rates.
This study evaluated objectively if high tumor volume is a significant predictor
of local recurrence (LR) in operated cases of osteosarcomas. MATERIALS AND
METHODS: Operated cases of osteosarcoma (presenting to the Orthopedic outpatient
or the Medical Oncology outpatient between January 1, 2004 and January 1, 2011
were included in the study. Their preoperative clinical data and investigations
along with the operative notes were traced from the medical/departmental records.
Details of chemotherapy received in the neo-adjuvant and postoperative periods
were noted. Besides, all demographic data were also noted. Tumor volume was
calculated using the available magnetic resonance images using the formula:
([pi/6] * length * width * depth). Post data extraction, patients were divided in
two groups, Groups I (without LR) and Group II (with LR). RESULTS: A total of 95
cases of biopsy proven osteosarcomas were identified. Of which 64 were male and
31 females. There were 15 (15.8%) local recurrences. 71% (57/80) patients without
LR fell in the age group of 10-20 years, while 66% (10/15) patients with LR were
in the age group of 10-20 years. Limb salvage surgery was done in 81.05% (77/95)
patients while a total of 18 patients underwent amputation. Of the 80 cases in
Group I (without LR), 40 (50%) patients had tumor volume >200 c.c., 30 patients
(37.5%) had tumor volume between 50 and 200 c.c. while only 10 patients had tumor
volumes <50 c.c. This was in contrast to the tumor volume noted in Group II (with
LR) of 15 patients where 8 patients had a tumor volume between 50 and 200 c.c.,
five had bigger tumor volumes of >200 c.c. and only two patients were smaller in
size, with a tumor volume <50 c.c. The mean tumor volume in the group without LR
was 406.74 +/- 771.67 c.c. as compared with 195.77 +/- 226.8 c.c. in the group
with local recurrence. Using Mann-Whitney test, the difference between the two
groups was found to be statistically insignificant (P = 1.403). CONCLUSIONS: We
conclude that high tumor volume is not a significant predictor of LR in
osteosarcomas thus patients with high tumor masses should not be denied limb
salvage. However, we recommend that the decision on attempting limb salvage
should not only be based on the tumor volume alone.
PMID- 24932036
TI - Uncemented allograft-prosthetic composite reconstruction of the proximal femur.
AB - BACKGROUND: Allograft-prosthetic composite can be divided into three groups names
cemented, uncemented, and partially cemented. Previous studies have mainly
reported outcomes in cemented and partially cemented allograft-prosthetic
composites, but have rarely focused on the uncemented allograft-prosthetic
composites. The objectives of our study were to describe a surgical technique for
using proximal femoral uncemented allograft-prosthetic composite and to present
the radiographic and clinical results. MATERIALS AND METHODS: Twelve patients who
underwent uncemented allograft-prosthetic composite reconstruction of the
proximal femur after bone tumor resection were retrospectively evaluated at an
average followup of 24.0 months. Clinical records and radiographs were evaluated.
RESULTS: In our series, union occurred in all the patients (100%; range 5-9
months). Until the most recent followup, there were no cases with infection,
nonunion of the greater trochanter, junctional bone resorption, dislocation,
allergic reaction, wear of acetabulum socket, recurrence, and metastasis. But
there were three periprosthetic fractures which were fixed using cerclage wire
during surgery. Five cases had bone resorption in and around the greater
trochanter. The average Musculoskeletal Tumor Society (MSTS) score and Harris hip
score (HHS) were 26.2 points (range 24-29 points) and 80.6 points (range 66.2
92.7 points), respectively. CONCLUSIONS: These results showed that uncemented
allograft-prosthetic composite could promote bone union through compression at
the host-allograft junction and is a good choice for proximal femoral resection.
Although this technology has its own merits, long term outcomes are yet not
validated.
PMID- 24932037
TI - Tips and tricks of limb salvage: Proximal tibia.
AB - Due to its anatomical location, the upper end of the tibia poses unique problems
while attempting limb salvage and appropriate reconstruction. This article
attempts to highlight a few of the key steps, pearls and pitfalls while
attempting this challenging procedure.
PMID- 24932038
TI - Isolated volar surgical approach for the treatment of perilunate and lunate
dislocations.
AB - BACKGROUND: Volar and/or dorsal surgical approaches are used for surgical
treatment of perilunate and lunate dislocations. There are no accepted approaches
for treatment in the literature. We evaluated the functional results of isolated
volar surgical approach for the treatment of perilunate and lunate dislocation
injuries. MATERIALS AND METHODS: 9 patients (6 male and 3 female patients average
age 34.5 +/- 3.6 years) diagnosed with perilunate or lunate dislocations between
January 2000 and January 2009 were involved in the study. The reduction was
performed through isolated volar surgical approach and K-wire fixation, fracture
stabilization with volar ligament repair was performed. Range of wrist joint
motion, fracture healing, carpal stability, grip strength, return to work were
evaluated and also direct radiographs were taken routinely at each control. The
scapholunate interval and the scapholunate angle were evaluated radiographically.
Evaluations of the clinical results were done using the DASH, VAS and Modified
Mayo Wrist Scores. RESULTS: The physical rehabilitation was started at 6(th)
week, after the K-wires were removed. The average followup was 18.2 months (range
12-28 months). At the final followup, the average flexion extension arc was 105.0
+/- 9.6 degrees (74.6% of the other side), the average rotation arc was 138.8 +/
7.8 degrees (81.5% of the other side) and the average radioulnar arc was 56.1
+/- 9.9 degrees (86.4% of the other side). The grip strength was 0.55 bar; 83.2%
that the uninjured arm. According to the Mayo Modified Wrist score, the
functional result was excellent in five patients and good in four and the average
DASH score was 22.8. The scapholunate interval was 2.1 mm and scapholunate angle
was 51 degrees . CONCLUSION: The clinical and radiological results of the
isolated volar surgical approach were satisfactory. The dorsal approach was not
needed for reduction of dislocations during operations. Our results showed that
an isolated volar approach was adequate.
PMID- 24932039
TI - In vitro comparison of resistance to implant failure in unstable trochanteric
fractures fixed with intramedullary single screw versus double screw device.
AB - BACKGROUND: The purpose of this study was to compare the resistance of
intramedullary single screw device (Gamma nail) and double screw device proximal
femoral nail (PFN) in unstable trochanteric fractures in terms of the number of
cycles sustained, subsidence and implant failure in an axial loading test in
cadaveric femora. MATERIALS AND METHODS: The study was conducted on 18 dry
cadaveric femoral specimens, 9 of these were implanted with a Gamma nail and 9
with PFN. There was no significant difference found in average dual energy X-ray
absorptiometry value between both groups. The construct was made unstable (AO
type 31A3.3) by removing a standard sized posteromedial wedge. These were tested
on a cyclic physiological loading machine at 1 cycle/s with a load of 200 kg. The
test was observed for 50,000 loading cycles or until implant failure, whichever
occurred earlier. Peak displacements were measured and analysis was done to
determine construct stiffness and gap micromotion in axial loading. RESULT: It
was observed that there was statistically significant difference in terms of
displacement at the fracture gap and overall construct stiffness of specimens of
both groups. PFN construct group showed a mean subsidence of 1.02 mm and Gamma
nail construct group showed mean subsidence of 2.36 mm after cycling. The average
stiffness of Gamma nail group was 62.8 +/- 8.4 N/mm which was significantly lower
than average stiffness of the PFN group (80.4 +/- 5.9 N/mm) (P = 0.03). In
fatigue testing, 1 out of 9 PFN bone construct failed, while 5 of 9 Gamma nail
bone construct failed. CONCLUSION: When considering micromotion (subsidence) and
incidence of implant/screw failure, double screw device (PFN) had statistically
significant lower micromotion across the fracture gap with axial compression and
lower incidence of implant failure. Hence, double screw device (PFN) construct
had higher stability compared to single screw device (GN) in an unstable
trochanteric fracture femur model.
PMID- 24932040
TI - Autograft reconstructions for bone defects in primary total knee replacement in
severe varus knees.
AB - BACKGROUND: Large posteromedial defects encountered in severe varus knees during
primary total knee arthroplasty can be treated by cementoplasty, structural bone
grafts or metallic wedges. The option is selected depending upon the size of the
defect. We studied the outcome of autograft (structural and impaction bone
grafting) reconstruction of medial tibial bone defects encountered during primary
total knee replacement in severe varus knees. MATERIALS AND METHODS: Out of 675
primary varus knees operated, bone defects in proximal tibia were encountered in
54 knees. Posteromedial defects involving 25-40% of the tibial condyle cut
surface and measuring more than 5 mm in depth were grafted using a structural
graft obtained from cut distal femur or proximal tibia in 48 knees. For larger,
peripheral uncontained vertical defects in six cases, measuring >25 mm in depth
and involving >40% cut surface of proximal tibial condyle, impaction bone
grafting with a mesh support was used. RESULTS: Bone grafts incorporated in 54
knees in 6 months. There was no graft collapse or stress fractures, loosening or
nonunion. The average followup period was 7.8 years (range 5-10 years). We
observed an average postoperative increase in the Knee Society Score from 40 to
90 points. There was improvement in the Western Ontario and McMaster Universities
Osteoarthritis Index (WOMAC) scores in terms of pain, stiffness and physical
function during activities of daily living. CONCLUSION: Bone grafting for defects
in primary total knee is justified as it is biological, available then and is
cost effective besides preserving bone stock for future revisions. Structural
grafts should be used in defects >5 mm deep and involving 25-40% of the cut
proximal tibial condyle surface. For larger peripheral vertical defects,
impaction bone grafting contained in a mesh should be done.
PMID- 24932041
TI - The effects of surgicel and bone wax hemostatic agents on bone healing: An
experimental study.
AB - BACKGROUND: The biological effects of hemostatic agends on the physiological
healing process need to be tested. The aim of this study was to assess the
effects of oxidized cellulose (surgicel) and bone wax on bone healing in goats'
feet. MATERIALS AND METHODS: Three congruent circular bone defects were created
on the lateral aspects of the right and left metacarpal bones of ten goats. One
defect was left unfilled and acted as a control; the remaining two defects were
filled with bone wax and surgicel respectively. The 10 animals were divided into
two groups of 5 animals each, to be sacrificed at the 3(rd) and 5(th) week
postoperatively. Histological analysis assessing quality of bone formed and micro
computed tomography (MCT) measuring the quantities of bone volume (BV) and bone
density (BD) were performed. The results of MCT analysis pertaining to BV and BD
were statistically analyzed using two-way analysis of variance (ANOVA) and
posthoc least significant difference tests. RESULTS: Histological analysis at 3
weeks showed granulation tissue with new bone formation in the control defects,
active bone formation only at the borders for surgicel filled defects and fibrous
encapsulation with foreign body reaction in the bone wax filled defects. At 5
weeks, the control and surgicel filled defects showed greater bone formation;
however the control defects had the greatest amount of new bone. Bone wax filled
defects showed very little bone formation. The two-way ANOVA for MCT results
showed significant differences for BV and BD between the different hemostatic
agents during the two examination periods. CONCLUSION: Surgicel has superiority
over bone wax in terms of osseous healing. Bone wax significantly hinders
osteogenesis and induces inflammation.
PMID- 24932042
TI - Dermal argyria: Cutaneous manifestation of a megaprosthesis for distal femoral
osteosarcoma.
AB - We present a patient with dermal argyria as a cutaneous manifestation of a silver
coated megaprosthesis used for a distal femoral osteosarcoma. Histological and
electron microscopic analyses also showed silver deposition in the dermis.
PMID- 24932043
TI - Recurrent peripheral embolism following nonunion of the clavicle.
AB - Vascular complications in closed clavicular fractures are uncommon, with an
incidence of only 0.4%. Subclavian artery injury can present acutely or can have
a delayed presentation with arm ischemia. We report the case of an undetected
subclavian pseudoaneurysm in a patient with a nonunion fracture clavicle who was
referred with persistent ischemia following attempted brachial embolectomy at
another center, along with a review of literature to support the hypothesis that
in addition to repair of the aneurysm, treatment of the psuedarthrosis by
fixation of the clavicle is essential.
PMID- 24932044
TI - Intraarticular osteochondroma of the knee.
AB - Osteochondromas are usually extra articular and grow away from the joint towards
the diaphysis. Intraarticular osteochondromas are very rare and often
misdiagnosed. We report a case of 16-year-old boy who presented with pain and
clicking sound in the right knee for last 6 months. On examination, click was
felt at the terminal flexion of the knee. The lateral radiograph of the right
knee showed a radio opaque shadow at the posterior aspect of the distal end of
femur, which was further evaluated with an MRI. Arthroscopy showed a hard lesion
arising from the roof of the intercondylar notch of femur. It was excised
arthroscopically. Histopathology revealed it to be an osteochondroma. Thus,
intraarticular osteochondroma of the knee can be considered as a rare cause of
pain in young patients.
PMID- 24932045
TI - Unexplained proximal tibiofibular joint pain after high tibial osteotomy.
AB - Problems of the proximal tibiofibular joint (pTFj) after high tibial osteotomy
(HTO) are rare. With this case report, we strive to highlight the importance of
investigating the pTFj in patients with unexplained knee pain after HTO. A 44
year old male patient presented with diffuse pain on his left knee 3 years after
medial opening wedge HTO due to medial compartment overloading in a varus knee.
Patient described persistent anterior tibial and lateral knee pain. 2 years after
HTO, patient underwent implant removal but the knee pain persisted. As the reason
for the persistent pain was not identified, further radiological evaluation was
done. Single photon emission computerized tomography/computerized tomography
(SPECT/CT) revealed that there was no increased uptake within the tibiofemoral
joint, indicating a biologically well performed correction of the varus
deformity. However, markedly increased tracer uptake was found at the pTFj. On
the inherent axial CT scans, it was seen that the proximal screws were too long
and placed within the pTFj. Along with this a severe osteoarthritis of the pTFj
was identified. The cause of the patient's pain was then confirmed by a CT guided
infiltration of local anesthetic. An arthrodesis of the pTFj was performed and at
12 months followup after the arthrodesis the patient was pain free. This case
highlights how important it is to evaluate the pTFj in patients with unexplained
pain after HTO. SPECT/CT was helpful in identifying the patient's problem in this
challenging case.
PMID- 24932046
TI - Neonatal Candida arthritis.
AB - Fungal arthritis is an uncommon yet serious disorder in the newborn. Delay in
diagnosis and management can lead to significant morbidity. We report our
experience with management of two such cases. Two preterm neonates with
multifocal arthritis caused by Candida were studied. Diagnosis was made by
clinical examination, laboratory investigations, radiological investigations and
culture. Both were treated by aspiration, arthrotomy and antifungal therapy. One
patient recovered fully from the infection while the other had growth
disturbances resulting in limb length inequality at recent followup. Prompt and
expeditious evacuation of pus from joints and antifungal therapy is imperative
for treatment. Associated osteomyelitis leads to further difficulty in treatment.
PMID- 24932047
TI - A biomechanical evaluation of proximal femoral nail antirotation with respect to
helical blade position in femoral head: A cadaveric study.
PMID- 24932048
TI - Modified valgus osteotomy of the femoral neck for late presenting femoral neck
stress fractures in military recruitsw.
PMID- 24932049
TI - Author's reply.
PMID- 24932050
TI - Poverty and Material Hardship in Grandparent-Headed Households.
AB - Using the 2001 Survey of Income and Program Participation, the current study
examines poverty and material hardship among children living in 3-generation (n =
486), skipped-generation (n = 238), single-parent (n = 2,076), and 2-parent (n =
6,061) households. Multinomial and logistic regression models indicated that
children living in grandparent-headed households experience elevated risk of
health insecurity (as measured by receipt of public insurance and uninsurance)-a
disproportionate risk given rates of poverty within those households. Children
living with single parents did not share this substantial risk. Risk of food and
housing insecurity did not differ significantly from 2-parent households once
characteristics of the household and caregivers were taken into account.
PMID- 24932051
TI - Three-dimensional non-destructive optical evaluation of laser-processing
performance using optical coherence tomography.
AB - We demonstrate the use of optical coherence tomography (OCT) as a non-destructive
diagnostic tool for evaluating laser-processing performance by imaging the
features of a pit and a rim. A pit formed on a material at different laser
processing conditions is imaged using both a conventional scanning electron
microscope (SEM) and OCT. Then using corresponding images, the geometrical
characteristics of the pit are analyzed and compared. From the results, we could
verify the feasibility and the potential of the application of OCT to the
monitoring of the laser-processing performance.
PMID- 24932052
TI - Novel succinct routes to Quinoxalines and 2-Benzimidazolylquinoxalines via the
Ugi reaction.
AB - This communication reveals a unique, user-friendly, concise two-step, one-pot
protocol for the synthesis of highly substituted quinoxalines. Conducting the Ugi
reaction with appropriately functionalized classical Ugi reagents with subsequent
acid treatment of the Ugi adduct affords collections of diversified quinoxalines
in good to excellent yields. The methodology exploits what may be viewed as a
'convertible carboxylic acid', which in addition may be captured in an
intramolecular sense to generate structurally complex 2
benzimidazolylquinoxalines in a mere two steps.
PMID- 24932053
TI - Phonetic category recalibration: What are the categories?
AB - Listeners use lexical or visual context information to recalibrate auditory
speech perception. After hearing an ambiguous auditory stimulus between /aba/ and
/ada/ coupled with a clear visual stimulus (e.g., lip closure in /aba/), an
ambiguous auditory-only stimulus is perceived in line with the previously seen
visual stimulus. What remains unclear, however, is what exactly listeners are
recalibrating: phonemes, phone sequences, or acoustic cues. To address this
question we tested generalization of visually-guided auditory recalibration to 1)
the same phoneme contrast cued differently (i.e., /aba/-/ada/ vs. /ibi/-/idi/
where the main cues are formant transitions in the vowels vs. burst and frication
of the obstruent), 2) a different phoneme contrast cued identically (/aba/-/ada/
vs. /ama/-/ana/ both cued by formant transitions in the vowels), and 3) the same
phoneme contrast with the same cues in a different acoustic context (/aba/-/ada/
vs. (/ubu/-/udu/). Whereas recalibration was robust for all recalibration control
trials, no generalization was found in any of the experiments. This suggests that
perceptual recalibration may be more specific than previously thought as it
appears to be restricted to the phoneme category experienced during exposure as
well as to the specific manipulated acoustic cues. We suggest that recalibration
affects context-dependent sub-lexical units.
PMID- 24932054
TI - Exploring the Relationship Between Social Anxiety and Bulimic Symptoms:
Mediational Effects of Perfectionism Among Females.
AB - Previous findings indicate that social anxiety and bulimia co-occur at high
rates; one mechanism that has been proposed to link these symptom clusters is
perfectionism. We tested meditational models among 167 female undergraduates in
which maladaptive evaluative perfectionism concerns (MEPC; i.e., critical self
evaluative perfectionism) mediated the relationship between social anxiety and
bulimic symptoms. Results from a first model indicated that MEPC mediated the
relationship between fear of public scrutiny and bulimia symptoms. This indirect
effect was significant above and beyond the indirect effects of maladaptive body
image cognitions and perfectionism specific to pure personal standards. A second
model was tested with MEPC mediating the relationship between social interaction
anxiety and bulimia symptoms. Similar results were obtained; however, in this
model, a significant direct effect remained after partialing out the indirect
effect of the mediators. Theoretical implications are discussed.
PMID- 24932055
TI - Quantity and structure of word knowledge across adulthood.
AB - Cross-sectional and longitudinal data from moderately large samples of healthy
adults confirmed prior findings of age-related declines in measures of the
quantity of word knowledge beginning around age 65. Additional analyses were
carried out to investigate the interrelations of different types of vocabulary
knowledge at various periods in adulthood. Although the organizational structures
were similar in adults of different ages, scores on tests with different formats
had weaker relations to a higher-order vocabulary construct beginning when adults
were in their 60's. The within-person dispersion among different vocabulary test
scores was also greater after about 65 years of age. The discovery of
quantitative decreases in amount of knowledge occurring at about the same age as
qualitative shifts in the structure of knowledge raises the possibility that the
two types of changes may be causally linked.
PMID- 24932056
TI - Scale-Invariant Sparse PCA on High Dimensional Meta-elliptical Data.
AB - We propose a semiparametric method for conducting scale-invariant sparse
principal component analysis (PCA) on high dimensional non-Gaussian data.
Compared with sparse PCA, our method has weaker modeling assumption and is more
robust to possible data contamination. Theoretically, the proposed method
achieves a parametric rate of convergence in estimating the parameter of
interests under a flexible semiparametric distribution family; Computationally,
the proposed method exploits a rank-based procedure and is as efficient as sparse
PCA; Empirically, our method outperforms most competing methods on both synthetic
and real-world datasets.
PMID- 24932057
TI - Rangeland management and fluvial geomorphology in northern Tanzania.
AB - Researchers have independently documented the effects of land use on rivers and
threats to river management institutions, but the relationship between changes in
institutional context and river condition is not well described. This study
assesses the connections between resource management institutions, land use, and
rivers by integrating social science, geospatial analysis, and geomorphology. In
particular, we measured hydraulic geometry, sediment size distributions, and
estimated sediment yield for four rivers in northern Tanzania and conducted
semistructured interviews that assessed corresponding resource management
institutions. Communities managed rivers through both customary (traditional,
nonstate) and government institutions, but the differences in the resource
management policies and practices of the study rivers themselves were fairly
subtle. Clearer differences were found at broader scales; the four watersheds
exhibited substantial differences in land cover change and sediment yield
associated with the location of settlements, roadways, and cultivation.
Unexpectedly, these recent land use changes did not initiate a geomorphic
response in rivers. The long history of grazing by domestic and wild ungulates
may have influenced water and sediment supplies such that river channel
dimensions are more resistant to changes in land use than other systems or have
already adjusted to predominant changes in boundary conditions. This would
suggest that not all rivers will have the anticipated responses to contemporary
land use changes because of antecedent land use patterns; over long time scales
(centuries to millennia), the presence of grazers may actually increase the
ability of rivers to withstand changes in land use. Our findings point to a need
for further interdisciplinary study of dryland rivers and their shifts between
system states, especially in areas with a long history of grazing, relatively
recent changes in land use, and a dynamic social and institutional context.
PMID- 24932058
TI - Targeted Lung Delivery of Nasally Administered Aerosols.
AB - Using the nasal route to deliver pharmaceutical aerosols to the lungs has a
number of advantages including co-administration during non-invasive ventilation.
The objective of this study was to evaluate the growth and deposition
characteristics of nasally administered aerosol throughout the conducting airways
based on delivery with streamlined interfaces implementing two forms of
controlled condensational growth technology. Characteristic conducting airways
were considered including a nose-mouth-throat (NMT) geometry, complete upper
tracheobronchial (TB) model through the third bifurcation (B3), and stochastic
individual path (SIP) model to the terminal bronchioles (B15). Previously
developed streamlined nasal cannula interfaces were used for the delivery of
submicrometer particles using either enhanced condensational growth (ECG) or
excipient enhanced growth (EEG) techniques. Computational fluid dynamics (CFD)
simulations predicted aerosol transport, growth and deposition for a control (4.7
MUm) and three submicrometer condensational aerosols with budesonide as a model
insoluble drug. Depositional losses with condensational aerosols in the cannula
and NMT were less than 5% of the initial dose, which represents an order-of
magnitude reduction compared to the control. The condensational growth techniques
increased the TB dose by a factor of 1.1-2.6x, delivered at least 70% of the dose
to the alveolar region, and produced final aerosol sizes >=2.5 MUm. Compared to
multiple commercial orally inhaled products, the nose-to-lung delivery approach
increased dose to the biologically important lower TB region by factors as large
as 35x. In conclusion, nose-to-lung delivery with streamlined nasal cannulas and
condensational aerosols was highly efficient and targeted deposition to the lower
TB and alveolar regions.
PMID- 24932059
TI - Nutrition, Agriculture and the Global Food System in Low and Middle Income
Countries.
AB - The entire food value chain and diet of low and middle income countries (LMICs)
are rapidly shifting. Many of the issues addressed by the nutrition community
ignore some of the major underlying shifts in purchases of consumer packaged
foods and beverages. At the same time, the drivers of the food system at the farm
level might be changing. There is a need for the agriculture and nutrition
communities to understand these changes and focus on some of their implications
for health. This rapid growth of the retail sector will change the diets of the
food insecure as much as that of the food secure across rural and urban LMIC's.
This short commentary contents that current research, programs and policies are
ignoring these rapid dynamic shifts.
PMID- 24932060
TI - Gnawing Pains, Festering Ulcers, and Nightmare Suffering: Selling Leprosy as a
Humanitarian Cause in the British Empire, c. 1890-1960.
AB - When British attention was drawn to the issue of leprosy in the Empire,
humanitarian organisations rose to take on responsibility for the 'fight against
leprosy'. In an effort to fundraise for a distant cause at a time when hundreds
of charities competed for the financial support of British citizens, fundraisers
developed propaganda to set leprosy apart from all other humanitarian causes.
They drew on leprosy's relationship with Christianity, its debilitating symptoms,
and the supposed vulnerability of leprosy sufferers in order to mobilise
Britain's sense of humanitarian, Christian, and patriotic duty. This article
traces the emergence of leprosy as a popular imperial humanitarian cause in
modern Britain and analyses the narratives of religion, suffering, and disease
that they created and employed in order to fuel their growth and sell leprosy as
a British humanitarian cause.
PMID- 24932061
TI - Resilience among women with HIV: Impact of silencing the self and socioeconomic
factors.
AB - In the U.S., women account for over a quarter of the approximately 50,000 annual
new HIV diagnoses and face intersecting and ubiquitous adversities including
gender inequities, sexism, poverty, violence, and limited access to quality
education and employment. Women are also subjected to prescribed gender roles
such as silencing their needs in interpersonal relationships, which may lessen
their ability to be resilient and function adaptively following adversity.
Previous studies have often highlighted the struggles encountered by women with
HIV without focusing on their strengths. The present cross-sectional study
investigated the relationships of silencing the self and socioeconomic factors
(education, employment, and income) with resilience in a sample of women with
HIV. The sample consisted of 85 women with HIV, diverse ethnic/racial groups,
aged 24 - 65 enrolled at the Chicago site of the Women's Interagency HIV Study in
the midwestern region of the United States. Measures included the Connor-Davidson
Resilience Scale -10 item and the Silencing the Self Scale (STSS). Participants
showed high levels of resilience. Women with lower scores on the STSS (lower self
silencing) reported significantly higher resilience compared to women with higher
STSS scores. Although employment significantly related to higher resilience,
silencing the self tended to predict resilience over and above the contributions
of employment, income, and education. Results suggest that intervention and
prevention efforts aimed at decreasing silencing the self and increasing
employment opportunities may improve resilience.
PMID- 24932062
TI - Cosputtered composition-spread reproducibility established by high-throughput x
ray fluorescence.
AB - We describe the characterization of sputtered yttria-zirconia composition spread
thin films by x-ray fluorescence (XRF). We also discuss our automated analysis of
the XRF data, which was collected in a high throughput experiment at the Cornell
High Energy Synchrotron Source. The results indicate that both the composition
reproducibility of the library deposition and the composition measurements have a
precision of better than 1 atomic percent.
PMID- 24932063
TI - Photoluminescence characterization of polythiophene films incorporated with
highly functional molecules such as metallophthalocyanine.
AB - The photoluminescence (PL) of conducting polymer polythiophene (PT) films
incorporated with metallophthalocyanines (PcMs) such as CuPc, MgPc, FePc, Li2Pc,
and CoPc was studied by PL and time-correlated single photon counting (TCSPC)
measurements. Polymer films were prepared by electrochemical polymerization and
PcMs migrated into the polymer films by a diffusion method using acetonitrile or
toluene as a solvent to dissolve the PcMs. The wavelength of PL emission peaks
changed significantly depending on the solvent used in the doping process. Using
acetonitrile, the observed PL emission peaks originated from the Q band, whereas
they were assigned to the Soret band in the case of toluene. TCSPC measurements
showed that PL emission took place through a ligand-ligand transition process
when using acetonitrile because the average lifetimes were comparable and
independent of the central metal ions for CoPc-, Li2Pc-, and MgPc-doped polymer
films. Conversely, using toluene, it was found that ligand-ligand emission
occurred for Li2Pc-, MgPc-, and FePc-doped films. To identify the cause of the
drastic change in PL emission pattern, x-ray photoelectron spectroscopy
measurements were obtained. A lower binding energy component appeared in the C 1s
core-level spectra of acetonitrile-processed PcM-doped PT films, whereas this
component shifted to higher energy and overlapped with the main peak for toluene
processed PcM-doped PT films. The lower binding energy component corresponded to
photoelectrons due to the C atoms in the benzene rings of the ligand. Lower
binding energy components also appeared in the N 1s core-level spectra of
acetonitrile-processed PcM-doped PT films, and this component shifted to higher
energy for toluene-processed PcM-doped PT films. These lower energy components
were assigned to the core-level peaks due to the N atoms at the meso position
bridging between pyrrole rings. This suggests that the electron charge at the N
sites of the meso positions in toluene-processed films was smaller than in
acetonitrile-processed ones. The changes in energy at benzene C sites and meso N
sites suggest that the electronic states of the phthalocyanine in the toluene
processed films were porphyrin-like, so the Soret band became dominant in the PL
emission spectrum.
PMID- 24932064
TI - "You Must Know Where You Come From": South African Youths' Perceptions of
Religion in Time of Social Change.
AB - This study examined South African youths' perceptions of religion during a period
of social and economic transition. In-depth interviews were conducted with 55
Black South African youth (age 18) living in the Johannesburg-Soweto metropolitan
area. Data were analyzed in a manner consistent with grounded theory methodology
and structural coding. Beliefs about the function of religion were captured by
the following themes: provides support, connection to the past, moral compass,
promotes healthy development, and intersections between African traditional
practices and Christian beliefs. Themes are discussed and directions for future
research are presented. In addition, applications of the current research and
implications for promoting youths' resilience are offered.
PMID- 24932065
TI - Observed Personality in Childhood: Psychometric and Behavioral Genetic Evidence
of Two Broad Personality Factors.
AB - We examined broad dimensions of children's personalities (total n = 1056; age =
3.5 to 12 years) based on observers' perceptions following a few hours of
structured interaction. Siblings' behaviors during a two-hour cognitive
assessment in the home were rated separately by two different observers.
Exploratory and confirmatory factor analyses clearly revealed a two-factor
solution in three different samples. There was correspondence between parent
rated temperament and the observer-rated factors. Cross-sectional analyses
indicated lower Plasticity among older children and higher Stability among older
children. Sex differences were negligible. Plasticity and Stability were
correlated in the .2 to .3 range. Most of the sibling similarity in the
Plasticity was due to additive genetic influences, whereas most sibling
similarity in Stability was attributable to shared environmental influences. The
findings implicate a biometric factor structure to childhood personality that
fits well with emerging bio-social theories of personality development.
PMID- 24932067
TI - A stochastic analysis of distance estimation approaches in single molecule
microscopy - quantifying the resolution limits of photon-limited imaging systems.
AB - Optical microscopy is an invaluable tool to visualize biological processes at the
cellular scale. In the recent past, there has been significant interest in
studying these processes at the single molecule level. An important question that
arises in single molecule experiments concerns the estimation of the distance of
separation between two closely spaced molecules. Presently, there exists
different experimental approaches to estimate the distance between two single
molecules. However, it is not clear as to which of these approaches provides the
best accuracy for estimating the distance. Here, we address this problem
rigorously by using tools of statistical estimation theory. We derive
formulations of the Fisher information matrix for the underlying estimation
problem of determining the distance of separation from the acquired data for the
different approaches. Through the Cramer-Rao inequality, we derive a lower bound
to the accuracy with which the distance of separation can be estimated. We show
through Monte-Carlo simulations that the bound can be attained by the maximum
likelihood estimator. Our analysis shows that the distance estimation problem is
in fact related to the localization accuracy problem, the latter being a distinct
problem that deals with how accurately the location of an object can be
determined. We have carried out a detailed investigation of the relationship
between the Fisher information matrices of the two problems for the different
experimental approaches considered here. The paper also addresses the issue of a
singular Fisher information matrix, which presents a significant complication
when calculating the Cramer-Rao lower bound. Here, we show how experimental
design can overcome the singularity. Throughout the paper, we illustrate our
results by considering a specific image profile that describe the image of a
single molecule.
PMID- 24932068
TI - Parental unemployment and children's happiness: A longitudinal study of young
people's well-being in unemployed households.
AB - Using a unique longitudinal data of British youths we estimate how adolescents'
overall happiness is related to parents' exposure to unemployment. Our within
child estimates suggest that parental job loss when the child was relatively
young has a positive influence on children's overall happiness. However, this
positive association became either strongly negative or statistically
insignificant as the child grew older. The estimated effects of parental job loss
on children's happiness also appear to be unrelated to its effect on family
income, parent-child interaction, and children's school experience. Together
these findings offer new psychological evidence of unemployment effects on
children's livelihood.
PMID- 24932066
TI - Measures to Evaluate the Effects of DBS on Speech Production.
AB - The purpose of this paper is to review and evaluate measures of speech production
that could be used to document effects of Deep Brain Stimulation (DBS) on speech
performance, especially in persons with Parkinson disease (PD). A small set of
evaluative criteria for these measures is presented first, followed by
consideration of several speech physiology and speech acoustic measures that have
been studied frequently and reported on in the literature on normal speech
production, and speech production affected by neuromotor disorders (dysarthria).
Each measure is reviewed and evaluated against the evaluative criteria. Embedded
within this review and evaluation is a presentation of new data relating speech
motions to speech intelligibility measures in speakers with PD, amyotrophic
lateral sclerosis (ALS), and control speakers (CS). These data are used to
support the conclusion that at the present time the slope of second formant
transitions (F2 slope), an acoustic measure, is well suited to make inferences to
speech motion and to predict speech intelligibility. The use of other measures
should not be ruled out, however, and we encourage further development of
evaluative criteria for speech measures designed to probe the effects of DBS or
any treatment with potential effects on speech production and communication
skills.
PMID- 24932070
TI - Dr. Bhatia's legacy in the development of immunohematology research in the
country.
PMID- 24932069
TI - With Whom and Where You Play: Preschoolers' Social Context Predicts Peer
Victimization.
AB - This short-term longitudinal study assessed the relations between the social
context of children's play (play-group size, play-group gender composition, and
play setting) in the fall and peer victimization in the spring for low-income,
minority, preschool girls and boys. Gender differences in these associations, as
well as the moderating effect of children's individual problem behavior, were
considered. Using a multiple-brief observation procedure, preschoolers' (N = 255,
49% girls) naturally occurring play in each type of social context was recorded
throughout the fall semester. Observers also rated children's victimization and
problem behaviors in the fall, and teachers rated children's victimization at the
end of the school year. Findings suggested that social context variables
predicted spring victimization above and beyond fall victimization and individual
levels of problem behavior and that these associations varied for boys and girls.
The findings signify the importance of the social context on changes in peer
victimization.
PMID- 24932071
TI - Transfusion medicine in India: Expanding horizons.
PMID- 24932072
TI - HPV vaccine, is it really harmful?
PMID- 24932073
TI - Overview of surgical scar prevention and management.
AB - Management of incisional scar is intimately connected to stages of wound healing.
The management of an elective surgery patient begins with a thorough informed
consent process in which the patient is made aware of personal and clinical
circumstances that cannot be modified, such as age, ethnicity, and previous
history of hypertrophic scars. In scar prevention, the single most important
modifiable factor is wound tension during the proliferative and remodeling
phases, and this is determined by the choice of incision design. Traditional
incisions most often follow relaxed skin tension lines, but no such lines exist
in high surface tension areas. If such incisions are unavoidable, the patient
must be informed of this ahead of time. The management of a surgical incision
does not end when the sutures are removed. Surgical scar care should be continued
for one year. Patient participation is paramount in obtaining the optimal
outcome. Postoperative visits should screen for signs of scar hypertrophy and has
a dual purpose of continued patient education and reinforcement of proper care.
Early intervention is a key to control hyperplastic response. Hypertrophic scars
that do not improve by 6 months are keloids and should be managed aggressively
with intralesional steroid injections and alternate modalities.
PMID- 24932074
TI - Development and evaluation of Korean version of Quality of Sexual Function (QSF
K) in healthy Korean women.
AB - This study was done to develop a Korean version of the Quality of Sexual Function
(QSF-K) and evaluate the validity and reliability of the QSF-K. The participants
were 220 women who visited the Center for Uterine Cancer at the National Cancer
Center in Korea. Participants completed the scale once and then again at a two to
four week interval. The QSF-K, Female Sexual Function Index (FSFI) and European
Organization for Research and Treatment of Cancer Quality of Life Questionnaire
Core 30 (EORTC-QLQ-C30) were used in this study. Receiver operating
characteristics (ROC) curve, area under the ROC curve (AUC), intraclass
correlation coefficients (ICC), and Cronbach's alpha were analyzed. In the
analysis of the reliability, Cronbach's alpha was 0.83 and the ICC was 0.70. The
validity measured with the AUC of the QSF-K comparing the FSFI and Global
Health/QOL of the EORTC-QLQ-C30 was 0.717 and 0.728, respectively. Specifically,
the AUC of the sexual activity level of the QSF-K was 0.838 in the FSFI
comparison. The AUC of the psycho-somatic QOL of the QSF-K was 0.758 in the
Global Health/QOL of the EORTC-QLQ-C30 comparison. Approximately half of the
women (51.8%) had mild complaints/problems. The Korean version of the QSF was
developed and validated.
PMID- 24932075
TI - An early stage evaluation of the Supporting Program for Obstetric Care
Underserved Areas in Korea.
AB - "The Supporting Program for Obstetric Care Underserved Areas (SPOU)" provides
financial aids to rural community (or district) hospitals to reopen prenatal care
and delivery services for regions without obstetrics and gynecology clinics or
hospitals. The purpose of this study was to evaluate the early stage effect of
the SPOU program. The proportion of the number of birth through SPOU was
calculated by each region. Also survey was conducted to investigate the extent of
overall satisfaction, elements of dissatisfaction, and suggestions for
improvement of the program; 209 subjects participated from 7 to 12 December,
2012. Overall, 20% of pregnant women in Youngdong (71 cases) and Gangjin (106
cases) used their community (or district) hospitals through the SPOU whereas
Yecheon (23 cases) was 8%; their satisfaction rates were high. Short distance and
easy accessibility was the main reason among women choosing community (or
district) hospital whereas the reasons of not selecting the community (or
district) hospital were favor of the outside hospital's facility, system, and
trust in the medical staffs. The SPOU seems to be currently effective at an early
stage. However, to successfully implement this program, the government should
make continuous efforts to recruit highly qualified medical staffs and improve
medical facility and equipment.
PMID- 24932076
TI - Improving the reliability of clinical practice guideline appraisals: effects of
the Korean AGREE II scoring guide.
AB - The Korean translated Appraisal of Guidelines for Research and Evaluation II
(Korean AGREE II) instrument was distributed into Korean medical societies in
2011. However, inter-rater disagreement issues still exist. The Korean AGREE II
scoring guide was therefore developed to reduce inter-rater differences. This
study examines the effects of the Korean AGREE II scoring guide to reduce inter
rater differences. Appraisers were randomly assigned to two groups (Scoring Guide
group and Non-Scoring Guide group). The Korean AGREE II instrument was provided
to both groups. However, the scoring guide was offered to Scoring Guide group
only. Total 14 appraisers were participated and each guideline was assessed by 8
appraisers. To evaluate the reliability of the Korean AGREE II scoring guide,
correlation of scores among appraisers and domain-specific intra-class
correlation (ICC) were compared. Most scores of two groups were comparable.
Scoring Guide group showed higher reliability at all guidelines. They showed
higher correlation among appraisers and higher ICC values at almost all domains.
The scoring guide reduces the inter-rater disagreement and improves the overall
reliability of the Korean-AGREE II instrument.
PMID- 24932077
TI - Clinical features of right-sided infective endocarditis occurring in non-drug
users.
AB - Right-sided infective endocarditis (RIE) occurs predominantly in intravenous drug
users in western countries, and it has a relatively good prognosis. Clinical
features and prognosis of RIE occurring in non-drug users are not well known. We
investigated the clinical findings of RIE in non-drug users. We retrospectively
reviewed 345 cases diagnosed with IE. Cases with RIE or left-sided infective
endocarditis (LIE) defined by the vegetation site were included and cases having
no vegetation or both-side vegetation were excluded. Clinical findings and in
hospital outcome of RIE were compared to those of LIE. Among the 245 cases, 39
(16%) cases had RIE and 206 (84%) cases had LIE. RIE patients were younger (40 +/
19 yr vs 50 +/- 18 yr, P=0.004), and had a higher incidence of congenital heart
disease (CHD) (36% vs 13%, P<0.001) and central venous catheter (CVC) (21% vs 4%,
P=0.001) compared to LIE patients. A large vegetation was more common in RIE (33%
vs 9%, P<0.001). Staphylococcus aureus was the most common cause of RIE, while
Streptococcus viridans were the most common cause of LIE. In-hospital mortality
and cardiac surgery were not different between the two groups. CHD and use of CVC
were common in non-drug users with RIE. The short-term clinical outcome of RIE is
not different from that of LIE.
PMID- 24932078
TI - Phenotype difference between familial and sporadic ankylosing spondylitis in
Korean patients.
AB - Clustered occurrences of ankylosing spondylitis (AS) in family have been noticed.
We evaluated patients with AS confirmed by the modified New York criteria for
familial history of AS (one or more first to third degree relatives). The
clinical characteristics and the recurrence risks (number of AS patients/number
of familial members) of the familial AS compared to sporadic AS were
investigated. Out of a total of 204 AS patients, 38 patients (18.6%) reported
that they had a familial history of AS. The recurrence risks in the familial AS
patients for first, second and third degree family members were 14.5%, 5.2%, and
4.4% respectively. Erythrocyte sedimentation rate (ESR) (22.6 +/- 22.2 vs 35.4 +/
34.4, P=0.029) and C-reactive protein (CRP) (1.24 +/- 1.7 vs 2.43 +/- 3.3,
P=0.003) at diagnosis, body mass index (21.9 +/- 2.7 vs 23.7 +/- 3.3, P=0.002)
and frequency of oligoarthritis (13.2% vs 33.7%, P=0.021) were significantly
lower in the familial form. The presence of HLA-B27 (97.4% vs 83.1%, P=0.044) was
significantly higher in familial AS. In conclusion, Korean familial AS patients
show a lower frequency of oligoarthritis, lower BMI, lower ESR and CRP at
diagnosis and higher presence of HLA-B27.
PMID- 24932079
TI - Elevated serum homocysteine levels were not correlated with serum uric acid
levels, but with decreased renal function in gouty patients.
AB - Hyperhomocysteinemia is one of the important factors of the cardiovascular
disease, and gout is well known to be associated with cardiovascular disease.
There are a few reports on the serum homocysteine (Hcy) levels in patients with
gout, however, the results showed discrepancies. In this study, we measured Hcy
levels in patients with gout and examined factors associated with the levels of
serum Hcy. Ninety-one male patients with gout and 97 age-matched healthy male
controls were enrolled in the study. Serum uric acid levels were not
significantly different between gout and healthy control groups. However, serum
Hcy levels were significantly higher in patients with gout compared to controls
(13.96 +/- 4.05 uM/L vs 12.67 +/- 3.52 uM/L, P=0.035). In gout group, patients
with 1-2 stages of chronic kidney disease (CKD) had significantly lower serum Hcy
than those with 3-5 stages of CKD (13.15 +/- 3.46 uM/L vs 17.45 +/- 4.68 uM/L,
P<0.001). Multivariate linear analysis revealed an inverse association between
serum Hcy and estimated glomerular filtration rate (eGFR) (beta=-0.107, P<0.001).
In conclusion, serum Hcy was elevated in male patients with gout.
Hyperhomocysteinemia was not correlated with serum uric acid, but it was
inversely associated with impaired renal function.
PMID- 24932080
TI - The effect of body mass index on survival in advanced epithelial ovarian cancer.
AB - Controversy remains regarding the effect of obesity on the survival of patients
with ovarian cancer in Asia. This study examined the impact of obesity on the
survival outcomes in advanced epithelial ovarian cancer (EOC) using Asian body
mass index (BMI) criteria. The medical records of patients undergoing surgery for
advanced (stage III and IV) EOC were reviewed. Statistical analyses included
ANOVA, chi-square test, Kaplan-Meier survival and Cox regression analysis. Among
all 236 patients, there were no differences in overall survival according to BMI
except in underweight patients. In a multivariate Cox analysis, surgical
optimality and underweight status were independent and significant prognostic
factors for survival (HR, 2.302; 95% CI, 1.326-3.995; P=0.003 and HR, 8.622; 95%
CI, 1.871-39.737; P = 0.006, respectively). In the subgroup of serous histology
and optimal surgery, overweight and obese I patients showed better survival than
normal weight patients (P = 0.012). We found that underweight BMI and surgical
optimality are independent risk factors for the survival of patients with
advanced ovarian cancer. High BMI groups (overweight, obese I and II) are not
associated with the survival of advanced EOC patient. However, in the subgroup of
EOC patients with serous histology and after optimal operation, overweight and
obese I group patients show better survival than the normal weight group
patients.
PMID- 24932081
TI - Determinants of brachial-ankle pulse wave velocity and carotid-femoral pulse wave
velocity in healthy Koreans.
AB - The aim of this study was to determine the normal value of brachial-ankle pulse
wave velocity (baPWV) and carotid-femoral pulse wave velocity (cfPWV) according
to age group, gender, and the presence of cardiovascular risk factors in healthy
Koreans, and to investigate the association between PWV and risk factors such as
prehypertension, dyslipidemia, smoking, and obesity. We measured an arterial
stiffness in 110 normal subjects who were 20 to 69 yr-old with no evidence of
cardiovascular disease, cerebrovascular accident or diabetes mellitus. The mean
values of baPWV and cfPWV were 12.6 (+/- 2.27) m/sec (13.1 +/- 1.85 in men, 12.1
+/- 2.51 in women; P=0.019) and 8.70 (+/- 1.99) m/sec (9.34 +/- 2.13 in men, 8.15
+/- 1.69 in women; P=0.001), respectively. The distribution of baPWV (P<0.001)
and cfPWV (P=0.006) by age group and gender showed an increase in the mean value
with age. Men had higher baPWV and cfPWV than women (P<0.001). There was a
difference in baPWV and cfPWV by age group on prehypertension, dyslipidemia,
current smoking, or obesity (P<0.001). In multiple linear regression, age and
prehypertension were highly associated with baPWV and cfPWV after adjustment for
confounding factors (P<0.001). The present study showed that baPWV and cfPWV are
associated with age, gender, and prehypertension in healthy Koreans.
PMID- 24932082
TI - Efficacy of hemocontrol biofeedback system in intradialytic hypotension-prone
hemodialysis patients.
AB - We conducted a study to determine whether the hemocontrol biofeedback system
(HBS) can improve intradialytic hypotension (IDH) in hypotension-prone
hemodialysis (HD) patients compared with conventional HD. In this multicenter
prospective crossover study, 60 hypotension-prone patients were serially treated
by conventional HD for 8 weeks (period A), by HD with hemoscan blood volume
monitoring for 2 weeks (period B0), and by HBS HD for 8 weeks (period B1). The
number of sessions complicated by symptomatic IDH during 24 HD sessions (14.9 +/-
5.8 sessions, 62.1% in period A vs 9.2 +/- 7.2 sessions, 38.4% in period B1,
P<0.001) and the number of IDH-related nursing interventions in a session (0.96
+/- 0.66 in period A vs 0.56 +/- 0.54 in period B1, P<0.001) significantly
decreased in period B1 than in period A. Recovery time from fatigue after
dialysis was significantly shorter in period B1 than in period A. The patients
with higher post-dialysis blood pressure, lower difference between pre- and post
dialysis blood pressure, less frequent IDH, and higher pre- and post-dialysis
body weight in period A responded better to HBS in period B1 in regard to the
reduction of IDH. In conclusion, HBS may improve the patient tolerability to HD
by reducing the IDH frequency and promoting faster recovery from fatigue after
dialysis.
PMID- 24932083
TI - The effectiveness of recombinant human thyroid-stimulating hormone versus thyroid
hormone withdrawal prior to radioiodine remnant ablation in thyroid cancer: a
meta-analysis of randomized controlled trials.
AB - We evaluated the efficacy of recombinant human thyroid-stimulating hormone
(rhTSH) versus thyroid hormone withdrawal (THW) prior to radioiodine remnant
ablation (RRA) in thyroid cancer. A systematic search of MEDLINE, EMBASE, the
Cochrane Library, and SCOPUS was performed. Randomized controlled trials that
compared ablation success between rhTSH and THW at 6 to 12 months following RRA
were included in this study. Six trials with a total of 1,660 patients were
included. When ablation success was defined as a thyroglobulin (Tg) cutoff of 1
ng/mL (risk ratio, 0.99; 95% confidence interval, 0.96-1.03) or a Tg cutoff of 1
ng/mL plus imaging modality (RR 0.97; 0.90-1.05), the results of rhTSH and THW
were similar. There were no significant differences when ablation success was
defined as a Tg cutoff of 2 ng/mL (RR 1.03; 0.95-1.11) or a Tg cutoff of 2 ng/mL
plus imaging modality (RR 1.02; 0.95-1.09). When a negative (131)I-whole body
scan was used solely as the definition of ablation success, the effects of rhTSH
and THW were not significantly different (RR 0.97; 0.93-1.02). Therefore,
ablation success rates are comparable when RRA is prepared by either rhTSH or
THW.
PMID- 24932084
TI - Diffuse metastasis to the thyroid: unique ultrasonographic finding and clinical
correlation.
AB - Cases of metastases to the thyroid gland seem to be increasing in recent years.
The clinical and ultrasonographic findings of diffuse metastases have been
sparsely reported. Thirteen cases of diffuse metastases to the thyroid gland were
documented by thyroid ultrasonography-guided fine needle aspiration cytology
between 2004 and 2013. We retrospectively reviewed the patients with diffuse
thyroid metastases. The most common primary site was the lung (n=9), followed by
unknown origin cancers (n=2), cholangiocarcinoma (n=1), and penile cancer (n=1).
Eleven patients were incidentally found to have thyroid metastases via
surveillance or staging FDG-PET. Other 2 patients were diagnosed during work-up
for hypothyroidism and palpable cervical lymph nodes. On ultrasonography, the
echogenicity of the enlarged thyroid gland was heterogeneously hypoechoic or
isoechoic, and reticular pattern internal hypoechoic lines were observed without
increased vascularity found by power Doppler ultrasonography (3 right lobe, 2
left lobe, and 8 both lobes). In the 8 patients who had involvement of both
lobes, 3 had hypothyroidism. In conclusion, ultrasonographic finding of diffuse
metastasis is a diffusely enlarged heterogeneous thyroid with reticular pattern
internal hypoechoic lines. Thyroid function testing should be performed in all
patients with diffuse thyroid metastases, especially those with bilateral lobe
involvement.
PMID- 24932085
TI - Prevalence of chronic sputum and associated factors in Korean adults.
AB - Chronic sputum is a troublesome symptom in many respiratory diseases. The
prevalence of chronic sputum varies from 1.2% to 13% according to the country.
The purpose of this study was to estimate the prevalence of chronic sputum and to
find its associated factors in a general Korean population. We analyzed the data
of the Korea National Health and Nutrition Examination Survey 2010 and 2011. A
total number of 6,783 subjects aged 40 yr or more were enrolled in this study
with 3,002 men and 3,781 women. As a result, the prevalence of chronic sputum was
6.3% (n=430). Significant risk factors for chronic sputum by multivariate
analysis were: age (>= 70 yr) (odds ratio [OR], 1.954; 95% confidence interval
[CI], 1.308-2.917), current smoking (OR, 4.496; 95% CI, 3.001-6.734), chronic
obstructive pulmonary disease (COPD) (OR, 1.483; 95% CI, 1.090-2.018), and
tuberculosis (OR, 1.959; 95% CI, 1.307-2.938). In conclusion, the prevalence of
chronic sputum in Korea was in the intermediate range compared with other
countries. Smoking is a preventable risk factor identified in this study, and
major respiratory diseases, such as COPD and tuberculosis, should be considered
in subjects with chronic sputum.
PMID- 24932086
TI - A pilot prospective study of the relationship among cognitive factors, shame, and
guilt proneness on posttraumatic stress disorder symptoms in female victims of
sexual violence.
AB - This study prospectively examined the relationships among cognitive factors and
severity of Posttraumatic stress disorder (PTSD) symptoms in female victims of
sexual violence. Thirty-eight victims of sexual violence recruited from Center
for Women Victims of Sexual and Domestic Violence at Ajou University Hospital.
Cognitive factors and PTSD symptom were assessed within 4 months of sexual
violence and 25 victims were followed-up 1 month after initial assessment.
Repeated-measured ANOVA revealed that PTSD incidence and severity decreased over
the month (F [1, 21]=6.61). Particularly, avoidant symptoms might decrease
earlier than other PTSD symptoms (F [1, 21]=5.92). This study also showed the
significant relationship between early negative trauma-related thoughts and
subsequent PTSD severity. Shame and guilt proneness had significant cross
sectional correlations with PTSD severity, but did not show associations when
depression severity is controlled. Our results suggest that avoidant symptoms
might decrease earlier than other PTSD symptoms during the acute phase and that
cognitive appraisals concerning the dangerousness of the world seem to play an
important role in the maintenance of PTSD (r=0.499, P<0.05).
PMID- 24932087
TI - The Korean version of the trauma symptom checklist for children: psychometric
properties and the connection to trauma among Korean children and adolescents.
AB - The purpose of the present study was to develop a Korean version of the trauma
symptom checklist for children (TSCC) and to examine its reliability and validity
for screening posttraumatic stress symptoms. A normative group of 405 children
and adolescents aged 8 to 16 yr participated in the study. A test-retest
procedure was conducted with 76 participants from the normative group after 4
weeks. In the traumatized group, 73 children and adolescents of the same age from
the Child Sexual Abuse Treatment Center were included. Good internal consistency
(Cronbach's alpha) for the total scale (0.95, ranging 0.79-0.85 on the clinical
scales) and test-retest reliability for the total scale (r=0.91, ranging 0.71
0.87 on the clinical scales) were found. Confirmatory 6-factor analysis explained
51.1% of the variance. Other measures such as concurrent or discriminative
validity were also shown to be satisfactory. In conclusion, the Korean version of
TSCC has been shown to be a screening instrument with satisfactory psychometric
qualities that is capable of identifying trauma symptoms among children and
adolescents who have self-reported experiencing trauma or for whom clinicians
have identified traumatic experiences.
PMID- 24932088
TI - Evaluation of stem cell components in retrocorneal membranes.
AB - The purpose of this study was to elucidate the origin and cellular composition of
retrocorneal membranes (RCMs) associated with chemical burns using
immunohistochemical staining for primitive cell markers. Six cases of RCMs were
collected during penetrating keratoplasty. We examined RCMs with hematoxylin and
eosin (H&E), periodic acid-Schiff (PAS) staining and immunohistochemical analysis
using monoclonal antibodies against hematopoietic stem cells (CD34, CD133, c
kit), mesenchymal stem cells (beta-1-integrin, TGF-beta, vimentin, hSTRO-1),
fibroblasts (FGF-beta, alpha-smooth muscle actin), and corneal endothelial cells
(type IV collagen, CD133, VEGF, VEGFR1). Histologic analysis of RCMs revealed an
organized assembly of spindle-shaped cells, pigment-laden cells, and thin
collagenous matrix structures. RCMs were positive for markers of mesenchymal stem
cells including beta-1-integrin, TGF-beta, vimentin, and hSTRO-1. Fibroblast
markers were also positive, including FGF-beta and alpha-smooth muscle actin
(SMA). In contrast, immunohistochemical staining was negative for hematopoietic
stem cell markers including CD34, CD133 and c-kit as well as corneal endothelial
cell markers such as type IV collagen, CD133 except VEGF and VEGFR1. Pigment
laden cells did not stain with any antibodies. The results of this study suggest
that RCMs consist of a thin collagen matrix and fibroblast-like cells and may be
a possible neogenetic structure produced from a lineage of bone marrow-derived
mesenchymal stem cells.
PMID- 24932089
TI - Epidemiology of hip replacements in Korea from 2007 to 2011.
AB - We analyzed national data collected by the Health Insurance Review and Assessment
Service in Korea from 2007 to 2011; 1) to document procedural numbers and
procedural rate of bipolar hemiarthroplasty (BH), primary and revision total hip
arthroplasties (THAs), 2) to stratify the prevalence of each procedure by age,
gender, and hospital type, and quantified, 3) to estimate the revision burden and
evaluate whether the burden is changed over time. Our final study population
included 60,230 BHs, 40,760 primary THAs, and 10,341 revision THAs. From 2007 to
2011, both the number and the rate of BHs, primary THAs increased steadily,
whereas there was no significant change in revision THAs. Over the 5 yr, the rate
of BHs and primary THAs per 100,000 persons significantly increased by 33.2% and
21.4%, respectively. The number of revision THAs was consistent over time. The
overall annual revision burden for THA decreased from 22.1% in 2007 to 18.9% in
2011. In contrast to western data, there were no changes in the number and rate
of revision THAs, and the rates of primary and revision THAs were higher for men
than those for women. Although 5 yr is a short time to determine a change in the
revision burden, there have been significant decreases in some age groups.
PMID- 24932090
TI - The influence of vertebral fracture on the functional disability of patients with
rheumatoid arthritis.
AB - The aim of the present study was to identify the influence of vertebral fracture
(VF) on the functional disability in patients with rheumatoid arthritis (RA).
This study consecutively enrolled 100 female patients aged 50 yr or older with
RA. All participants underwent lateral imaging of the thoracolumbar spine by
simple radiography to identify any VFs. They also completed questionnaires via
interview regarding demographics, medical history, and disease outcomes including
functional disability. We used univariate analysis to evaluate associations
between functional disability and VF, and made multivariate logistic regression
models to test independent effect of the presence of VF, the number of VFs, and
the severity of VF on functional disability. Among the 100 RA patients, 47 had at
least one VF, but 34 of them were asymptomatic that they had experienced a
fracture. The multiple VFs >= 3 (OR, 8.95; 95% CI, 1.77-44.15, P = 0.01) and
moderate or severe VF (OR, 3.38; 95% CI, 1.26-9.04, P = 0.02) were related to
disability in univariate analysis. The multiple VFs >= 3 (OR, 6.13; 95% CI, 1.02
36.94, P = 0.048) was associated with functional disability of RA patients after
adjusting various confounders and it was mainly in walking and arising. The VF
might be an important factor which affects functional disability in RA patients.
PMID- 24932091
TI - Clinical demographics and outcomes in mechanically ventilated patients in Korean
intensive care units.
AB - Knowledge of clinical demographics and outcomes of mechanically ventilated
patients is important but there are few prospectively collected data in Korea.
The objective of the present study was to describe the current status of
mechanically ventilated patients in Korea as of 2010. We analyzed the data of
Korean patients (275 patients in 12 Korean intensive care units [ICU])
participating in a multinational prospective cohort study on mechanical
ventilation. The most common indication for mechanical ventilation was pneumonia
(23%). Pressure-limited ventilation modes were preferred over volume-cycled
ventilation modes. Non-invasive positive pressure ventilation was used in only
seven (2%) patients as the initial ventilatory support. Median duration of
mechanical ventilation was 7 days and ICU mortality was 36%. The multiple
logistic regression model revealed that the Simplified Acute Physiology Score II
(SAPS II) score at ICU admission (odds ratio [OR], 1.034; 95% confidence interval
[CI], 1.001-1.036; P=0.033), peak pressure (OR, 1.054; 95% CI, 1.016-1.095;
P=0.006), and the number of failed organs (OR, 2.132; 95% CI, 1.634-2.781;
P<0.001) were independently associated with ICU mortality. This study provides a
snapshot of current practice of mechanical ventilation in Korea.
PMID- 24932092
TI - Percutaneous retrieval and redeployment of an atrial septal occluder under three
dimensional transesophageal echocardiographic guidance: a case report.
AB - Percutaneous device closure for secundum atrial septal defects (ASDs) has been
performed commonly and safely with high success rates. However, it is still
challenging to close ASDs that are surrounded with deficient or hypermobile rims
and could be compromised with an unexpected migration of device. We report a case
of percutaneous Amplazter Septal Occluder (ASO; St. Jude Medical Inc., St. Paul,
Minnesota, USA) device closure for an ASD with a thin and floppy interatrial
septum, which immediately migrated into the right atrium and was not pulled back
into the delivery sheath. To our knowledge, this is the first report on a
successful percutaneous retrieval and redeployment of the device in such a
situation, preventing any vascular injury or unplanned emergency open heart
surgery.
PMID- 24932093
TI - Lemmel's syndrome, an unusual cause of abdominal pain and jaundice by impacted
intradiverticular enterolith: case report.
AB - Duodenal diverticula are detected in up to 27% of patients undergoing upper
gastrointestinal tract evaluation with periampullary diverticula (PAD) being the
most common type. Although PAD usually do not cause symptoms, it can serve as a
source of obstructive jaundice even when choledocholithiasis or tumor is not
present. This duodenal diverticulum obstructive jaundice syndrome is called
Lemmel's syndrome. An 81-yr-old woman came to the emergency room with obstructive
jaundice and cholangitis. Abdominal CT scan revealed stony opacity on distal CBD
with CBD dilatation. ERCP was performed to remove the stone. However, the stone
was not located in the CBD but rather inside the PAD. After removal of the
enterolith within the PAD, all her symptoms resolved. Recognition of this
condition is important since misdiagnosis could lead to mismanagement and
therapeutic delay. Lemmel's syndrome should always be included as one of the
differential diagnosis of obstructive jaundice when PAD are present.
PMID- 24932094
TI - Transient complete atrioventricular block in a preterm neonate with congenital
myotonic dystrophy: case report.
AB - Congenital myotonic dystrophy (CMD) is an inherited neuromuscular disorder with
cardiac rhythm abnormalities that may occur as a child grows. No report has
described complete atrioventricular (AV) block detected in a neonate with CMD. We
report a floppy infant of 31(+4) weeks gestation with complete AV block at birth,
who was diagnosed with CMD by Southern analysis. She recovered from complete AV
block 32 hr after temporary transcutaneous pacing was applied. To the best our
knowledge, this is the first recorded case of a complete AV block accompanied by
CMD during the neonatal period. When a newborn has a complete AV block, the
physician should consider the possibility of the CMD and conduct a careful
physical examination.
PMID- 24932095
TI - Sexual Reproductive Biology of a Colonial Rotifer Sinantherina socialis
(Rotifera: Monogononta): Do mating strategies vary between colonial and solitary
rotifer species?
AB - In many aquatic invertebrates including monogonont rotifers, sex provides genetic
variation and dormant stages that allows dispersal in time and space. While the
reproductive biology of some solitary monogonont rotifer species is known, little
is known concerning mating behaviors in colonial rotifers. Coloniality poses
unique challenges to the typical mating behavior of solitary rotifers. For
instance, most species engage in circling behavior, where the male swims in close
proximity to the female. In colonial forms, access to a particular female may be
hindered by nearby colony mates. Here we provide descriptions of (1) male
morphology, (2) mating behavior, and (3) types of eggs of the widespread colonial
rotifer Sinantherina socialis, and discuss modifications in mating strategies as
a consequence of coloniality. Two important differences from mating patterns
documented in solitary rotifers were found in S. socialis. First, duration of
circling phase of mating is protracted for males encountering small colonies of
females as compared to solitary females. Males encountering single females
removed from their colonies behave similarly to those of solitary species.
Second, duration of copulation in S. socialis is the shortest reported for any
rotifer species. Endogamy might occur in this species as sons copulate with their
sisters and mothers, at least under laboratory conditions. Examples of behaviour
in linked video clips.
PMID- 24932096
TI - Turbulent dispersion via fan-generated flows.
AB - Turbulent dispersion of passive scalar quantities has been extensively studied in
wind tunnel settings, where the flow is carefully conditioned using flow
straighteners and grids. Much less is known about turbulent dispersion in the
"unconditioned" flows generated by fans that are ubiquitous in indoor
environments, despite the importance of these flows to pathogen and contaminant
transport. Here, we demonstrate that a point source of scalars released into an
airflow generated by an axial fan yields a plume whose width is invariant with
respect to the fan speed. The results point toward a useful simplification in
modeling of disease and pollution spread via fan-generated flows.
PMID- 24932097
TI - Designing a Wearable Computer for Lifestyle Evaluation.
AB - A wearable computer, called eButton, has been developed for evaluation of the
human lifestyle. This ARM-based device acquires multimodal data from a camera
module, a motion sensor, an orientation sensor, a light sensor and a GPS
receiver. Its performance has been tested both in our laboratory and by human
subjects in free-living conditions. Our results indicate that eButton can record
real-world data reliably, providing a powerful tool for the evaluation of
lifestyle for a broad range of applications.
PMID- 24932098
TI - Modeling the altered expression levels of genes on signaling pathways in tumors
as causal bayesian networks.
AB - This paper concerns a study indicating that the expression levels of genes in
signaling pathways can be modeled using a causal Bayesian network (BN) that is
altered in tumorous tissue. These results open up promising areas of future
research that can help identify driver genes and therapeutic targets. So, it is
most appropriate for the cancer informatics community. Our central hypothesis is
that the expression levels of genes that code for proteins on a signal
transduction network (STP) are causally related and that this causal structure is
altered when the STP is involved in cancer. To test this hypothesis, we analyzed
5 STPs associated with breast cancer, 7 STPs associated with other cancers, and
10 randomly chosen pathways, using a breast cancer gene expression level dataset
containing 529 cases and 61 controls. We identified all the genes related to each
of the 22 pathways and developed separate gene expression datasets for each
pathway. We obtained significant results indicating that the causal structure of
the expression levels of genes coding for proteins on STPs, which are believed to
be implicated in both breast cancer and in all cancers, is more altered in the
cases relative to the controls than the causal structure of the randomly chosen
pathways.
PMID- 24932099
TI - Folate receptor alpha, mesothelin and megakaryocyte potentiating factor as
potential serum markers of chronic kidney disease.
AB - Renal disease is the eighth leading cause of death in the United States. Early
diagnosis is usually based on the detection of proteinuria or elevated serum
creatinine, a relatively poor biomarker that does not accurately predict renal
disease progression. As a result, more predictive biomarkers of renal function
are sought. We present preliminary data on three protein biomarkers, folate
receptor alpha (FRA), mesothelin (MSLN), and megakaryocyte potentiating factor
(MPF), currently being pursued for applications in oncology diagnostics, and
evaluate serum and urine levels in subjects with renal disease. Compared to
healthy subjects, a significant (P < 0.0001) increase in all three biomarkers in
both serum and urine of subjects with renal disease was demonstrated. Further,
serum levels of these three protein biomarkers increased with increasing stage of
disease suggesting their potential value in predicting progression in subjects
with renal disease and raising caution in interpretation of data in oncology
applications.
PMID- 24932100
TI - Kinetic Study of the Alkaline Degradation of Oseltamivir Phosphate and
Valacyclovir Hydrochloride using Validated Stability Indicating HPLC.
AB - Aqueous alkaline degradation was performed for oseltamivir phosphate (OP) and
valacyclovir hydrochloride (VA). Isocratic stability indicating the use of high
performance liquid chromatography (HPLC) was presented for each drug in the
presence of its degradation product. The separations were performed using the
Nucleosil ODS column and a mobile phase consisting of phosphate buffer (pH = 7),
acetonitrile, and methanol 50:25:25 (v/v/v) for OP. For VA separation, a
Nucleosil CN column using phosphate buffer (pH = 7) and methanol 85:15 (v/v) was
used as a mobile phase. Ultraviolet detection at 210 nm and 254 nm was used for
OP and VA, respectively. The method showed high sensitivity concerning linearity,
accuracy, and precision over the range 1-250 MUg mL(-1) for both drugs. The
proposed method was used to determine the drug in its pharmaceutical formulation
and to investigate the degradation kinetics of each drug's alkaline-stressed
samples. The reactions were found to follow a first-order reaction. The
activation energy could also be estimated. International Conference on
Harmonisation guidelines were adopted for method validation.
PMID- 24932102
TI - Endothelin-1 but not Endothelial Nitric Oxide Synthase Gene Polymorphism is
Associated with Sickle Cell Disease in Africa.
AB - Sickle cell disease shows marked variability in severity and pathophysiology
among individuals, probably linked to differential expression of various adhesion
molecules. In this study, we investigated the differential distribution, genomic
diversity and haplotype frequency of endothelial nitric oxide synthase (eNOS) and
endothelin-1 (ET-1) polymorphisms, recently implicated as important in
modification of disease severity. One hundred and forty five sickle cell disease
patients (HbSS) and 244 adult and pediatric controls, without sickle cell disease
(HbAA), were recruited from Mali. Genotypic analysis of the functionally
significant eNOS variants (T786C, G894T and intron 4) and endothelin-1 (G5665T)
was carried out with a polymerase chain reaction-restriction fragment length
polymorphism (PCR-RFLP) assay. Our results show that the wild type alleles are
the most frequent for all eNOS variants between cases and controls. Allelic and
genotypic frequencies of eNOS polymorphic groups are not significantly different
between cases and controls (P > 0.05). In addition, there is no association
between eNOS variants and sickle cell disease, contrary to published reports. On
the other hand, we report that endothelin-1 (G5665T) mutant variant had the
lowest allelic frequency, and is significantly associated with sickle cell
disease in Africa (P < 0.05). Similarly, haplotype frequencies were the same
between cases and controls, except for the haplotype combining all mutant
variants (T, C, 4a; P = 0.01). eNOS polymorphic variants are less frequent, with
no significance with sickle cell disease in Africa. On the other hand, endothelin
1 is associated with sickle cell disease, and has the capacity to redefine
pathophysiology and possibly serve as modulator of disease phenotype.
PMID- 24932103
TI - Is drug use related to the choice of potentially more harmful methods in suicide
attempts?
AB - OBJECTIVE: To identify whether drug abuse is a risk factor for potentially more
harmful methods of suicide attempts that could predict suicide completion in the
future. METHODS: The study involved the assessment of 86 patients who attempted
suicide and who were admitted to the emergency ward of a Southwestern Brazilian
general hospital. RESULTS: Most patients were women (84.9%), young adults (30.53
+/- 10.4 years), and single (61.6%). Recent drug use was reported by 53.5%, and
25.6% reported the use of drugs during the 24-hour period immediately before the
suicide attempt. Most patients (75.6%) ingested pills when attempting suicide-a
method considered potentially less harmful. Hanging, jumping, gas inhaling, and
wrist cutting accounted for 22.2% of the attempts. Considering dual diagnoses,
54.7% presented with a depressive disorder, 8.1% with a disorder on the impulse
control spectrum, and 26.7% reported an associated clinical condition. Recent
drug use was predictive of the severity of the suicide attempt, as it was
reported by 81% of those who engaged in more harmful attempts and by 46.2% of
those who used less harmful methods (P < 0.01; odds ratio = 4.96; confidence
interval: 1.5-16.4). CONCLUSION: The identified variables associated with the use
of potentially more harmful methods in suicide attempts were gender (male),
presence of an impulsive control disorder, and recent use of psychoactive drugs.
PMID- 24932104
TI - Nutritional Effect of Oral Supplement Enriched in omega-3 Fatty Acids, Arginine,
RNA on Immune Response and Leukocyte-platelet Aggregate Formation in Patients
Undergoing Cardiac Surgery.
AB - The aim of the present study was to investigate the influence of a supplement
enriched in omega-3 fatty acids on immune responses and platelet-leukocyte
complex formation in patients undergoing cardiac surgery. Patients in the
supplement group (n = 7) took a supplement enriched in omega-3 fatty acids
(Impact((r))) in addition to a hospital diet for five successive days before
surgery; those in the control group (n = 7) took only hospital diet and did not
take Impact((r)). Blood samples in both groups were collected at same time
points. Before surgery, samples were collected five days before surgery, at the
start of supplementation (baseline), and the end of supplementation
(postoperative day (POD)-0). After surgery, samples were collected on POD-1 and
POD-7. The expression of human leukocyte antigen (HLA)-DR, the ratio of CD4-/CD8
positive cells, the production of interferon (IFN)-gamma by CD4-positive cells,
plasma levels of cytokines, and leukocyte-platelet aggregates were measured.
Before surgery (POD-0), the supplement caused significant increases in HLA-DR
expression, CD4/CD8 ratio, and plasma levels of IFN-gamma; these levels were
significantly higher compared to those in the control group (P < 0.05,
respectively). After surgery (POD-1), all values dramatically decreased in
comparison with those of POD-0; however, the values in the supplement group were
significantly higher compared to their respective markers in the control group (P
< 0.05, respectively). Significant differences of HLA-DR expression and CD4/CD8
ratio persisted through POD-7. Before surgery (POD-0), plasma levels of
interleukin (IL)-10 in the supplement group decreased significantly compared with
those in the control group (P < 0.05). After surgery (POD-1), plasma levels of IL
10 in both the control and supplement groups increased; these levels in the
supplement group were significantly lower than those in the control group (P <
0.05). Significant decreases in the percentage of leukocyte-platelet aggregates
were found after supplementation; the difference between the supplement and the
control groups was found on POD-0 and POD-1 (P < 0.05, respectively). In
conclusion, the dietary supplement increased HLA-DR expression, the CD4/CD8
ratio, and the production of IFN-gamma by CD4-positive cells; conversely, the
levels of IL-10 and the formation of leukocyte-platelet aggregates before and
after surgery were suppressed. These beneficial effects may decrease the
incidence of complications after surgery.
PMID- 24932105
TI - Impact of aging on urinary excretion of iron and zinc.
AB - PROJECT: Data about the influence of aging on urinary excretion of iron and zinc
are scarce. The objective of the present study was to compare the concentration
of zinc and iron in the urine of healthy elderly subjects and younger adults.
PROCEDURE: Seven healthy elderly subjects and seven younger adults were selected
and submitted to biochemical, clinical, and nutritional tests. After a fasting
period, 12-hour urine was collected for the determination of iron and zinc
concentrations by graphite furnace atomic absorption spectrophotometry. RESULTS:
Urinary zinc and iron concentrations of the elderly subjects were not
significantly different from that of younger adults. However, the total zinc and
iron urinary clearance in 24 hours for the elderly was significantly higher
compared with that of younger adults. CONCLUSION: There is an increase in urinary
iron and zinc clearance with aging. The values reported in this manuscript may be
used as references in future studies.
PMID- 24932106
TI - Dorzolamide chlorhydrate versus acetazolamide in the management of chronic
macular edema in patients with retinitis pigmentosa: description of three case
reports.
AB - AIMS: To assess the efficacy of topical dorzolamide for treating cystoid macular
edema in patients with retinitis pigmentosa and minimize the secondary effects of
maintenance therapy in patients with retinitis pigmentosa (RP) who present with
chronic microcystic macular edema. METHODS: To replace acetazolamide systemic
treatment, with a topical treatment using 2% dorzolamide in three patients. The
methods performed were OCT scan with a Spectralis HRA-OCT, for the measurement of
macular thickness and morphology; best corrected visual acuity was assessed using
Early Treatment Diabetic Retinopathy Study (ETDRS), was assessed slit-lamp
biomicroscopy, ocular tonometry, fundus biomiocrosopy, and color fundus
photography. This therapeutic protocol has been applied and described in three
patients. RESULTS: In all three tested patients, following the administration of
dorzolamide in eye drop, we observed a remarkable decrease in macular edema,
almost comparable to that obtained with acetazolamide per os. CONCLUSION: The
study confirms the anti-edematogenic effect of topical dorzolamide in RP with
recurring macular cysts, as this can have a favorable response with topical
dorzolamide. In all the three examined patients, the instillation of topical
dorzolamide caused a remarkable reduction in their macular edema, as highlighted
on OCT.
PMID- 24932107
TI - Vismodegib: the proof of concept in Basal cell carcinoma.
AB - Although basal cell carcinoma (BCC) is the most common cancer worldwide, its
metastatic dissemination is exceptional. Before 2012, we had a few treatment
options available for metastatic or locally advanced cases. Management of these
patients was complicated due to the lack of scientific data, the deterioration of
a patient's general status, the patient's advanced age, and the presence of
multiple comorbidities. The hedgehog signaling pathway is dysregulated in BCC.
The exploration of this signaling pathway yielded to a major milestone in the
treatment of advanced BCC. Vismodegib (GDC-0449), an oral small-molecule agent
that targets the Hedgehog signaling pathway, demonstrates high levels of activity
in clinical trials. It was approved in January 2012 for the treatment of locally
advanced or metastatic BCC. Vismodegib confirms, once again, the interest in
exploring the signal transduction pathways in cancers.
PMID- 24932108
TI - Efficacy and tolerability of pharmacotherapy options for the treatment of
irritability in autistic children.
AB - Children with autism have a high rate of irritability and aggressive symptoms.
Irritability or self-injurious behavior can result in significant harm to those
affected, as well as to marked distress for their families. This paper provides a
literature review regarding the efficacy and tolerability of pharmacotherapy for
the treatment of irritability in autistic children. Although antipsychotics have
not yet been approved for the treatment of autistic children by many countries,
they are often used to reduce symptoms of behavioral problems, including
irritability, aggression, hyperactivity, and panic. However, among
antipsychotics, the Food and Drug Administration has approved only risperidone
and aripiprazole to treat irritability in autism. Among atypical antipsychotics,
olanzapine and quetiapine are limited in their use for autism spectrum disorders
in children because of high incidences of weight gain and sedation. In
comparison, aripiprazole and ziprasidone cause less weight gain and sedation.
However, potential QTc interval prolongation with ziprasidone has been reported.
Contrary to ziprasidone, no changes were evident in the QT interval in any of the
trials for aripiprazole. However, head-to-head comparison studies are needed to
support that aripiprazole may be a promising drug that can be used to treat
irritability in autistic children. On the other hand, risperidone has the
greatest amount of evidence supporting it, including randomized controlled
trials; thus, its efficacy and tolerability has been established in comparison
with other agents. Further studies with risperidone as a control drug are needed.
PMID- 24932109
TI - Neuronal and glia-related biomarkers in cerebrospinal fluid of patients with
acute ischemic stroke.
AB - BACKGROUND: Cerebral ischemia promotes morphological reactions of the neurons,
astrocytes, oligodendrocytes, and microglia in experimental studies. Our aim was
to examine the profile of CSF (cerebrospinal fluid) biomarkers and their relation
to stroke severity and degree of white matter lesions (WML). METHODS: A total of
20 patients (mean age 76 years) were included within 5-10 days after acute
ischemic stroke (AIS) onset. Stroke severity was assessed using NIHSS (National
Institute of Health stroke scale). The age-related white matter changes (ARWMC)
scale was used to evaluate the extent of WML on CT-scans. The concentrations of
specific CSF biomarkers were analyzed. RESULTS: Patients with AIS had
significantly higher levels of NFL (neurofilament, light), T-tau, myelin basic
protein (MBP), YKL-40, and glial fibrillary acidic protein (GFAP) compared with
controls; T-Tau, MBP, GFAP, and YKL-40 correlated with clinical stroke severity,
whereas NFL correlated with severity of WML (tested by Mann-Whitney test).
CONCLUSIONS: Several CSF biomarkers increase in AIS, and they correlate to
clinical stroke severity. However, only NFL was found to be a marker of degree of
WML.
PMID- 24932110
TI - Observations on dentine hypersensitivity in general dental practices in the
United Arab Emirates.
AB - Dentine hypersensitivity is a common clinical finding with a wide variation in
prevalence values and etiological factors. The objective of this cross-sectional
study was to investigate the prevalence and some etiological factors of dentine
hypersensitivity of Emirati patients visiting general dental clinics in the
United Arab Emirates (UAE) over a period of three calendar months. MATERIALS AND
METHODS: Six general dental practitioners examined 204 Emirati patients over a
period of three calendar months and patients who had dentine hypersensitivity
diagnosed were questioned further about their smoking habits and the frequency,
severity, and duration of their pain. Furthermore, cervical tooth surface loss
was noted. RESULTS: A total of 55 patients were diagnosed as having dentine
hypersensitivity, giving a prevalence figure of 27%. The most common teeth
affected were the lower anterior teeth. CONCLUSIONS: The prevalence of dentine
hypersensitivity in Emirati patients visiting a general dental clinic in the UAE
was 27%. The most common etiology appeared to be the loss of cervical tooth
surface structure.
PMID- 24932111
TI - The evaluation of two rotary instrumentation techniques under "operator-related
variables" standardized conditions.
AB - OBJECTIVE: We aimed here to evaluate the enlargement characteristics of two
nickel-titanium rotary instrument systems that use two different preparation
techniques, in simulated root canals under "operator-related variables"
standardized conditions. MATERIALS AND METHODS: A total of 40 simulated canals in
resin blocks were divided into two groups as the Mtwo group for use with a single
length technique and the ProTaper instrument system group for use with crown down
technique. To standardize the operator-related variables, all preparations were
carried out with a computer controlled device that was developed and used
previously as described in a published study. The pre- and post-operative digital
images of the canals were superimposed and measured at 11 levels from the apical
tip. The distances between the pre- and post-operative outer lines of the root
canals were measured at both the inner and outer sides of the curve. The amount
of removed material and the symmetry of the preparations were evaluated.
Statistical analyses were performed with Mann-Whitney test. RESULTS:
Significantly more material was removed by Mtwo at 9 levels and by ProTaper at 2
levels (P < 0.05) while no significant difference was determined at 11 levels.
The preparations made with ProTaper were more symmetrical at 4 levels while no
significant difference was determined at 7 levels. CONCLUSION: Mtwo removed
significantly more material than ProTaper at different levels of the curved root
canals. Mtwo and ProTaper made symmetrical preparations and maintained the
original shape of the root canal at different levels under controlled operator
related variables.
PMID- 24932112
TI - The validation of a novel index assessing canine impactions.
AB - AIM: The aims of this study are to determine the level of agreement of
orthodontists in the management of impacted maxillary canines and test this
agreement against a novel three-dimensional (3D) classification system (KPG
index). MATERIALS AND METHODS: A total of 55 clinicians evaluated 18 impacted
maxillary canines of variable complexity. For each case, they used a panoramic
and maxillary standard occlusal radiographs derived from cone beam computed
tomography. Clinicians were asked to rate each canine in one of four categories:
Easy, moderate, difficult and extremely difficult. The obtained scores were
tabulated and compared with a novel index rating the difficulty of canine
impactions. Statistical package for the social science 17.0 was used to analyze
the datasets and the kappa score was used to determine levels of agreement.
RESULTS: The kappa score was 0.437. The levels of agreement in the novel index
and the clinician scoring were as follows; easy (62.73%), moderate (60.59%),
difficult (61.80%) and extremely difficult (72.72%). CONCLUSIONS: THE FOLLOWING
CONCLUSIONS CAN BE DRAWN FROM THIS STUDY: (1) Clinicians are variable in rating
the complexity of canine impactions using traditional radiographic techniques.
(2) The novel index shows a good level of agreement with the clinician's
perception of difficulty in orthodontic cases especially at the extremes of the
spectrum. (3) This index based on the 3D coordinates of the spatial arrangement
of the canine may be incorporated into clinical practice.
PMID- 24932113
TI - The degree of color change, rebound effect and sensitivity of bleached teeth
associated with at-home and power bleaching techniques: A randomized clinical
trial.
AB - AIM: The purpose of the present randomized clinical trial was to evaluate the
color change, rebound effect and sensitivity of at-home bleaching with 15%
carbamide peroxide and power bleaching using 38% hydrogen peroxide. MATERIALS AND
METHODS: For bleaching techniques, 20 subjects were randomized in a split mouth
design (at-home and power bleaching): In maxillary and mandibular anterior teeth
(n = 20). Color was recorded before bleaching, immediately after bleaching, at 2
weeks, 1, 3 and 6 month intervals. Tooth sensitivity was recorded using the
visual analog scale. The Mann-Whitney test was used to compare both groups
regarding bleaching effectiveness (DeltaE1), rebound effect (DeltaE2) and color
difference between the rebounded tooth color and unbleached teeth (DeltaE3) while
the Wilcoxon compared DeltaE within each group. Distribution of sensitivity was
evaluated using the Chi-square test (alpha =0.05). RESULTS: There was no
significant difference between groups regarding DeltaE1 and DeltaE3 (P > 0.05).
Even though, DeltaE2 showed no significant difference between groups after
bleaching as well as at 2 week, 1 month and 3 month follow-up periods (P > 0.05).
Although, significant difference was found in DeltaE2 (P < 0.05 Mann-Whitney)
between two methods after 6 months and a high degree of rebound effect was
obtained with power bleaching. Within each group, there was no significant
difference between DeltaE1 and DeltaE3 (P < 0.05 Wilcoxon). The distribution of
sensitivity was identical with both techniques (P > 0.05). CONCLUSION: Bleaching
techniques resulted in identical tooth whitening and post-operative sensitivity
using both techniques, but faster color regression was found with power bleaching
even though color regression to the baseline of the teeth in both groups was the
same after 6 months.
PMID- 24932114
TI - Insights into and relative effect of chitosan-H, chitosan-H-propolis, chitosan-H
propolis-nystatin and chitosan-H-nystatin on dentine bond strength.
AB - OBJECTIVE: The purpose of the study was to design and evaluate novel functional
chitosan hydrogels (chitosan-H-propolis, chitosan-H-propolis-nystatin and
chitosan-H-nystatin) by using the chitosan-H polymer as "dual function
restorative materials". MATERIALS AND METHODS: The nystatin/antioxidant carrier
gel was prepared by dispersion of the corresponding component in glycerol and 3%
acetic acid with 5% chitosan gelling agent was then added to the dispersion with
continuous mixing. The natural bio-adhesive functionalized chitosan hydrogels
were combined with built in drug delivery system and bio-actives such as propolis
in order to increase the dentin bond strength capacity and maintain therapeutic
properties of the alternative drug delivery system. The surface morphology,
release behaviors (physiological pH and also in acidic conditions), stability of
nystatin:antioxidant:chitosan and the effect of the hydrogels on the shear bond
strength of dentin were also evaluated. STATISTICAL ANALYSIS USED: Non-parametric
ANOVA test was used to asses significance of higher shear bond values than
dentine treated or not treated with phosphoric acid. RESULTS: The release of both
nystatin and propolis confer the added benefit of dual action of a functional
therapeutic delivery when comparing the newly designed chitosan-based hydrogel
restorative materials to commercially available nystatin alone. Neither the
release of nystatin nor the antioxidant stability was affected by storage.
Chitosan-H, chitosan-propolis, chitosan-nystatin and chitosan-nystatin-propolis
treated dentine gives significantly (P < 0.05) higher shear bond values (P <
0.05) than dentine treated or not treated with phosphoric acid. CONCLUSION: The
added benefits of their unique functionality involve increased dentin adhesive
bond strengths (after 24 h and after 6 months) and positive influence on the
nystatin release. Nystatin was a model therapeutic agent, evaluating the concept
of using functional materials as carriers for pro-drugs as well as displaying a
certain degree of defence mechanism for free radical damage of the novel
functional drug delivery. Overall, there was an insignificant relapse in the
shear bond strength after 6 months.
PMID- 24932115
TI - A finite element analysis for a comparative evaluation of stress with two
commonly used esthetic posts.
AB - OBJECTIVES: The objective of this study was to evaluate stress distribution in
the dentin and alveolar bone created by load application on simulated
endodontically treated teeth with two different esthetic posts. MATERIALS AND
METHODS: A finite element model was made and elastic moduli and poissons ratio of
all the materials fed to the software. For both the models, a 100N force was
applied on the lingual surface of the tooth at an angle of 45 degrees . Stress
concentration and distribution were evaluated and noted down for both the posts.
RESULTS: Finite element method revealed that Glass fibre post had homogenous
distribution of stress whereas in zirconia post the stress was concentrated in
the post. CONCLUSION: The present findings suggest that glass fibre post should
be used in well-conserved radicular tooth structure and Zirconia post is
indicated in weakened and grossly destructed tooth structure.
PMID- 24932116
TI - Scanning electron microscopic evaluation of dentinal tubule penetration of
Epiphany in severely curved root canals.
AB - OBJECTIVE: The purpose of this study was to compare the depth of dentinal tubule
sealer penetration in the apical thirds of severely curved root canals obturated
with Resilon/Epiphany self-etch (SE) or gutta-percha/AH Plus using scanning
electron microscopy. MATERIALS AND METHODS: A total of 34 extracted human
mandibular molars with 25-40 degrees curvature of the mesial root canal were
selected for this study. After preparation, the mesiobuccal canals were randomly
obturated with gutta-percha and AH Plus sealer or Resilon and Epiphany SE sealer.
Sealer penetration was evaluated in 2 mm sections of the apical thirds of roots
using scanning electron microscopy. Data were analyzed using the independent t
test and Mann-Whitney U-test, with significance set at P < 0.05. RESULTS: Mean
tubular penetration depth did not differ significantly between Resilon SE (172.22
MUm) and AH Plus (122.18 MUm; P > 0.05). The density of sealer tags in the apical
thirds of root canals was also equivalent (P > 0.05). CONCLUSION: Average
penetration into dentinal tubules in the apical thirds of severely curved roots
did not differ significantly between Epiphany SE and AH Plus.
PMID- 24932117
TI - Effect of rotatory instrument speed on its capacity to remove demineralized and
sound dentin.
AB - OBJECTIVES: The aim of this study was to evaluate the capacity of two rotatory
instruments (controlled speed electric motor [CSEM] - 300 rpm; conventional slow
handpiece [CSHP] - 18,000 rpm) to remove sound and demineralized dentin, by
examining prepared cavity walls using the scanning electron microscopy (SEM) and
assessing loss of mass. MATERIALS AND METHODS: A total of 40 blocks of human
occlusal dentin, measuring 5 mm * 5 mm * 4 mm (L * W * H), were divided into two
groups according to the substrate type in which the cavity preparation was
performed: D - demineralized dentin; and S - sound dentin (control group). The
groups were subdivided according to the rotatory instrument used for cavity
preparation (n = 10): CSEM (300 rpm); and CSHP (18,000 rpm). In half of the
dentin blocks, caries lesion induction was performed for 6 weeks. The preparation
of the cavities was performed on a standardizing machine, using a cylindrical
tungsten carbide burr. Before and after the preparation, specimens were
dehydrated in an incubator at 60 degrees C for 30 min. The initial and final mass
(in mg) of each dentin block was measured 3 times using the digital precision
balance to obtain the mean weight Following cavity preparation, all specimens
were hemisected and SEM was used to blindly assess each half so that the lateral
walls of the prepared cavity were measured in MUm, accepting the average of two
measurements as the total depth of the preparation. Non-parametric Mann-Whitney
analysis was performed with a 5% of significance level. RESULTS: Regarding the
weight difference (mg), no significance was detected between the groups.
Regarding depth (MUm), a significant difference was found between the groups, so
that the CSRM showed lower cavity depth when compared with CSHP, both in sound
and demineralized dentin. CONCLUSIONS: Controlled speed rotatory instruments were
found to be more conservative in removing both sound and demineralized dentin, in
terms of preparation and depth.
PMID- 24932118
TI - Comparing the shear bond strength of direct and indirect composite inlays in
relation to different surface conditioning and curing techniques.
AB - OBJECTIVE: The aim of this study was to test the null hypothesis that different
surface conditioning (etch and rinse and self-etch) and curing techniques (light
cure/dual cure) had no effect on the shear bond strength of direct and indirect
composite inlays. MATERIALS AND METHODS: A total of 112 extracted human molar
teeth were horizontally sectioned and randomly divided into two groups according
to restoration technique (direct and indirect restorations). Each group was
further subdivided into seven subgroups (n = 8) according to bonding agent (etch
and rinse adhesives Scotchbond multi-purpose plus, All-Bond 3, Adper Single Bond
and Prime Bond NT; and self-etch adhesives Clearfil Liner Bond, Futurabond DC and
G bond). Indirect composites were cemented to dentin surfaces using dual-curing
luting cement. Shear bond strength of specimens was tested using a Universal
Testing Machine. Two samples from each subgroup were evaluated under Scanning
electron microscopy to see the failing modes. Data was analyzed using independent
sample t-tests and Tukey's tests. RESULTS: Surface conditioning and curing of
bonding agents were all found to have significant effects on shear bond strength
(P < 0.05) of both direct and indirect composite inlays. With direct restoration,
etch and rinse systems and dual-cured bonding agents yielded higher bond
strengths than indirect restoration, self-etch systems and light-cured bonding
agents. CONCLUSIONS: The results of the present study indicated that direct
restoration to be a more reliable method than indirect restoration. Although etch
and rinse bonding systems showed higher shear bond strength to dentin than self
etch systems, both systems can be safely used for the adhesion of direct as well
as indirect restorations.
PMID- 24932120
TI - Prevalence of elongated styloid process in Saudi population of Aseer region.
AB - OBJECTIVE: The study was performed to investigate the prevalence, morphology and
calcification pattern of elongated styloid process in Saudi population of Aseer
(Southern) region and its relation to gender and sub-age groups. MATERIALS AND
METHODS: This study was analyzed digital panoramic radiographs of 1,162 adults.
Any radiograph with questionable styloid process was excluded from the study. The
apparent length of the styloid process was measured by a single experienced
dental and maxillofacial Radiologist. The elongated styloid process was
classified with the radiographic appearance based on the morphology and
calcification pattern. The data were analyzed by using Student's t-test and Chi
square test with P value less than 0.05. RESULTS: A total of 1,085 Digital
panoramic radiographs showed elongated styloid process of which 686 (63.2%) were
noticed in males and 399 (36.8%) were noticed in female patients. There was a
statistical significant difference noticed in the mean difference of elongated
styloid process between 20-29, 50-59 and 60 years and above sub-age groups. The
elongated styloid process was more prevalent in elderly aged male patients (P <
0.05). Type I morphology with calcified out line (a) was the most frequent
pattern of calcification noticed in the present study. CONCLUSION: The panoramic
radiographs are economical, easily accessible and useful diagnostic tool for
early detection of elongated styloid process with or without symptoms. However,
studies with larger sample size would further help to assess the prevalence of
this elongated styloid process in Saudi population of various other regions.
PMID- 24932119
TI - The effect of different root canal medicaments on the elimination of Enterococcus
faecalis ex vivo.
AB - OBJECTIVE: The aim of this study was to evaluate the antimicrobial effect of
chlorhexidine gel (CHX-G) 2%, chlorhexidine powder (CHX-P) 1%, povidone-iodine
(PVP-I), polyhexanide and camphorated-and-mentholated chlorophenol (ChKM) ex
vivo. MATERIALS AND METHODS: For every medicament group 10 root segments (15 mm
long) of extracted human teeth were prepared to ISO-size 45 and sterilized (n =
50). The root segments were then inoculated with Enterococcus faecalis and
aerobically incubated at 37 degrees C. After 1 week, ten root canals were filled
with one of the medicaments, respectively and aerobically incubated at 37 degrees
C for another week. Ten teeth served as positive controls and were filled with
sterile saline solution. After 7 days, the medicaments were inactivated and all
root canals were instrumented to ISO-size 50. The obtained dentin samples were
dispersed in Ringer solution followed by the preparation of serial dilutions. 10
MUl per sample were applied to an agar plate and incubated at 37 degrees C for 48
h. The colony forming units were counted and the reduction factors (RFs) were
calculated and statistically analyzed. RESULTS: Compared with the positive
controls all medicaments exhibited an antibacterial effect against E. faecalis.
The RFs for CHX-G, CHX-P and ChKM were significantly higher compared to PVP-I and
polyhexanide (P < 0.05). In contrast to PVP-I and polyhexanide, CHX-G, CHX-P and
ChKM were able to eliminate E. faecalis from all dentin samples. CONCLUSIONS:
Within the limitations of this ex vivo investigation, 2% CHX-G and CHX-P were as
effective as ChKM against E. faecalis. Thus, when choosing a root canal
medicament the better biocompatibility of CHX compared with ChKM should be taken
in consideration.
PMID- 24932121
TI - In vitro evaluation of the fracture strength of all-ceramic core materials on
zirconium posts.
AB - OBJECTIVE: For most endodontically treated teeth, tooth-colored post-core systems
are preferable for esthetic reasons. Therefore, improvements in material strength
must also consider tooth colored post-core complexes. The objective of this study
was to evaluate the difference in tooth colored post-core complex strengths.
MATERIALS AND METHODS: A total of 33 human maxillary central incisor teeth were
used for this study, with three groups of 11 teeth. Three different methods were
used to fabricate all-ceramic post-core restorations: zirconia blanks, Cerec 3D
milled to one-piece post-core restorations (Test Group 1); feldspathic cores
(from feldspathic prefabricated CAD/CAM blocks) adhesively luted to CosmoPost
zirconia posts (Test Group 2); and IPS Empress cores directly pressed to
CosmoPost zirconia posts (Test Group 3). All-ceramic crowns from feldspathic
ceramic were constructed using a CAD/CAM system (Cerec 3D) for all specimens. The
post-core complexes were tested to failure with the load applied at 45 degrees
angled relative to the tooth long axis. The load at fracture was recorded.
RESULTS: The maximum fracture strength of the milled zirconia cores (Test Group
1) was 577 N; corresponding values for the milled feldspathic cores (Test Group
2) and the pressed cores (Test Group 3) were 586 and 585 N, respectively.
Differences were not statistically significant at P < 0.05 (P = 0.669).
CONCLUSIONS: All-ceramic cores adhesively luted on zirconia posts and one-piece
all-ceramic zirconium post-core structures offer a viable alternative to
conventional pressing.
PMID- 24932122
TI - A clinical efficacy of 30% ethenolic extract of Indian propolis and RecaldentTM
in management of dentinal hypersensitivity: A comparative randomized clinical
trial.
AB - OBJECTIVE: The aim of this study is to evaluate the efficacy of 30% ethenolic
extract of Indian propolis compared with Recaldent(TM) (casein phosphopeptide
amorphous calcium phosphate) in reduction of dentinals hypersensitivity, a
randomized, double-blind, split mouth, controlled clinical trial was conducted
among the patients residing in Central Jail. MATERIALS AND METHODS: A sample of
73 teeth from 13 patients having at least three teeth with dentinal
hypersensitivity (DH) were randomly allocated into three treatment groups: Group
A: 30% ethenolic extract of Indian propolis, Group B: Recaldent(TM), Group C:
Sterile water. Verbal rating scale was used to record the degree of
hypersensitivity based on patient's response to tactile and air blast stimuli.
The baseline scores were obtained. Each intervention group received applications
of their respective agents consecutively on 1(st), 7(th), 14(th), and 21(st) day.
After each application the scores were recorded. RESULTS: Both the 30% Indian
propolis and Recaldent(TM) showed significant reduction in DH. CONCLUSION:
Recaldent(TM) was found to be significantly better in reducing the DH compared to
propolis and sterile water (P < 0.01).
PMID- 24932123
TI - Antimicrobial efficiency of photodynamic therapy with different irradiation
durations.
AB - OBJECTIVE: This study aimed to evaluate the antimicrobial efficiency of PDT and
the effect of different irradiation durations on the antimicrobial efficiency of
PDT. MATERIALS AND METHODS: Sixty freshly extracted human teeth with a single
root were decoronated and distributed into five groups. The control group
received no treatment. Group 1 was treated with a 5% sodium hypochlorite (NaOCl)
solution. Groups 2, 3, and 4 were treated with methylene-blue photosensitizer and
660-nm diode laser irradiation for 1, 2, and 4 min, respectively. The root canals
were instrumented and irrigated with NaOCl, ethylenediamine-tetraacetic acid, and
a saline solution, followed by autoclaving. All the roots were inoculated with an
Enterococcus faecalis suspension and brain heart infusion broth and stored for 21
days to allow biofilm formation. Microbiological data on microorganism load were
collected before and after the disinfection procedures and analyzed with the
Wilcoxon ranged test, the Kruskal-Wallis test, and the Dunn's test. RESULTS: The
microorganism load in the control group increased. The lowest reduction in the
microorganism load was observed in the 1-min irradiation group (Group 2 = 99.8%),
which was very close to the results of the other experimental groups (99.9%).
There were no significant differences among the groups. CONCLUSIONS: PDT is as
effective as conventional 5% NaOCl irrigation with regard to antimicrobial
efficiency against Enterococcus faecalis.
PMID- 24932124
TI - Thickness of immediate dentin sealing materials and its effect on the fracture
load of a reinforced all-ceramic crown.
AB - OBJECTIVES: The objective of this study is to evaluate, in vitro, the thickness
of immediate dentin sealing (IDS) materials on full crown preparations and its
effect on the fracture load of a reinforced all-ceramic crown. MATERIALS AND
METHODS: SIXTY PREMOLARS RECEIVED FULL CROWN PREPARATION AND WERE DIVIDED INTO
THE FOLLOWING GROUPS ACCORDING TO THE IDS TECHNIQUE: G1-control; G2-Clearfil SE
Bond; and G3-Clearfil SE Bond and Protect Liner F. After the impressions were
taken, the preparations were temporized with acrylic resin crowns. IPS empress 2
restorations were fabricated and later cemented on the preparations with Panavia
F. 10 specimens from each group were submitted to fracture load testing. The
other 10 specimens were sectioned buccolingually before the thicknesses of
Panavia F, Clearfil SE Bond and Protect Liner F were measured in 10 different
positions using a microscope. RESULTS: According to analysis of variance and
Tukey's test, the fracture load of Group 3 (1300 N) was significantly higher than
that of Group 1 (1001 N) (P < 0.01). Group 2 (1189 N) was not significantly
different from Groups 1 and 3. The higher thickness of Clearfil SE Bond was
obtained in the concave part of the preparation. Protect Liner F presented a more
uniform range of values at different positions. The thickness of Panavia F was
higher in the occlusal portion of the preparation. CONCLUSIONS: The film
thickness formed by the IDS materials is influenced by the position under the
crown, suggesting its potential to increase the fracture load of the IPS empress
2 ceramic crowns.
PMID- 24932125
TI - A three-dimensional finite element study on the stress distribution pattern of
two prosthetic abutments for external hexagon implants.
AB - OBJECTIVE: The purpose of this study was to evaluate the mechanical behavior of
two different straight prosthetic abutments (one- and two-piece) for external hex
butt-joint connection implants using three-dimensional finite element analysis
(3D-FEA). MATERIALS AND METHODS: Two 3D-FEA models were designed, one for the two
piece prosthetic abutment (2 mm in height, two-piece mini-conical abutment,
Neodent) and another one for the one-piece abutment (2 mm in height, Slim Fit one
piece mini-conical abutment, Neodent), with their corresponding screws and
implants (Titamax Ti, 3.75 diameter by 13 mm in length, Neodent). The model
simulated the single restoration of a lower premolar using data from a
computerized tomography of a mandible. The preload (20 N) after torque
application for installation of the abutment and an occlusal loading were
simulated. The occlusal load was simulated using average physiological bite force
and direction (114.6 N in the axial direction, 17.1 N in the lingual direction
and 23.4 N toward the mesial at an angle of 75 degrees to the occlusal plan).
RESULTS: The regions with the highest von Mises stress results were at the bottom
of the initial two threads of both prosthetic abutments that were tested. The one
piece prosthetic abutment presented a more homogeneous behavior of stress
distribution when compared with the two-piece abutment. CONCLUSIONS: Under the
simulated chewing loads, the von Mises stresses for both tested prosthetic
abutments were within the tensile strength values of the materials analyzed which
thus supports the clinical use of both prosthetic abutments.
PMID- 24932126
TI - Ceramic veneers with minimum preparation.
AB - The aim of this article is to describe the possibility of improving dental
esthetics with low-thickness glass ceramics without major tooth preparation for
patients with small to moderate anterior dental wear and little discoloration.
For this purpose, a carefully defined treatment planning and a good communication
between the clinician and the dental technician helped to maximize enamel
preservation, and offered a good treatment option. Moreover, besides restoring
esthetics, the restorative treatment also improved the function of the anterior
guidance. It can be concluded that the conservative use of minimum thickness
ceramic laminate veneers may provide satisfactory esthetic outcomes while
preserving the dental structure.
PMID- 24932127
TI - Congenital granular cell tumor in newborn.
AB - The congenital granular cell tumor (CGCT) is quite scarce at the infant. The
patient, who has a 2-day-old female newborn, was admitted to the Department of
Pediatric Dentistry. Baby had a smooth surfaced, non-fixated mass, found on the
anterior part of the mandibular alveole, developing from the gingival mucosa.
Clinical examination showed a 1 cm * 1 cm * 1.8 cm pedunculated, regular, pink
colorful soft-tissue gob on the alveolar crest to the left side of the mandible.
The gob was removed on the seventh postnatal day under general anesthesia. Then,
the specimen was evaluated histopathologically. Post-operative recovery and
surgical site healing was satisfactory. The CGCT is a rare, degenerative or
reactive lesion of the oral cavity. The mass may surgically remove if the
diagnosis is not definite clinically and this can lead therapeutic effect.
PMID- 24932128
TI - An unusual case of brown tumor of hyperparathyroidism associated with ectopic
parathyroid adenoma.
AB - Brown tumor is a giant cell lesion associated with hyperparathyroidism. It is a
non-neoplastic condition and represents terminal stage of the remodeling process
in hyperparathyroid state. We report a case of brown tumor with multiple lesions
in craniofacial region associated with ectopic parathyroid adenoma revealed after
acute L-thyroxine poisoning. This case report emphasizes on the need for routine
biochemical investigations along with serum calcium, phosphorus and parathyroid
hormone levels in patients on thyroxine therapy.
PMID- 24932129
TI - A minimally invasive technique for the management of severely fluorosed teeth: A
two-year follow-up.
AB - OBJECTIVE: Severely fluorosed and heavily discolored teeth that have large enamel
defects give rise to esthetic concerns and require permanent treatment. In such
cases, restorative techniques such as porcelain or composite laminate veneers or
crowns are generally preferred, in which tooth preparation is inevitably
required. MATERIALS AND METHODS: This clinical report describes a patient with
severely fluorosed teeth who was successfully treated with a minimally invasive
technique including enamel microabrasion (6.6% hydrochloric acid slurry with
silicon carbide micro-particles, Opalustre, Ultradent Products, Inc., South
Jordan, UT, USA) followed by in-office bleaching (38% hydrogen peroxide,
Opalescence Boost, Ultradent). Enamel microabrasion was conducted in two visits
while three visits were required for in-office bleaching. Patient was followed-up
after 2 years. RESULT: A slight staining had occurred during this period, but it
was acceptable for patient. No adverse effects were observed. CONCLUSIONS: The
minimally invasive technique including enamel microabrasion and in-office
bleaching was efficient and may represent a good alternative to traditional
restorative techniques for the management of severely fluorosed teeth.
PMID- 24932130
TI - The total occlusal convergence of the abutment of a partial fixed dental
prosthesis: A definition and a clinical technique for its assessment.
AB - The abutment(s) of a partial fixed dental prosthesis (PFDP) should have a minimal
total occlusal convergence (TOC), also called a taper, in order to ensure
adequate retention of a PFDP that will be made for the abutment(s), given the
height of the abutment(s). This article reviews the concept of PFDP abutment TOC
and presents an alternative definition of what TOC is, defining it as the extent
to which the shape of an abutment differs from an ideal cylinder shape of an
abutment. This article also reviews experimental results concerning what is the
ideal TOC in degrees and explores clinical techniques of estimating the TOC of a
crown abutment. The author suggests that Dentists use high magnification loupes
(*6-8 magnification or greater) or a surgical operating microscope when preparing
crown abutments, to facilitate creating a minimum abutment TOC.
PMID- 24932131
TI - Avenues into Food Planning: A Review of Scholarly Food System Research.
AB - This review summarizes several avenues of planning inquiry into food systems
research, revealing gaps in the literature, allied fields of study and mismatches
between scholarly disciplines and the food system life cycle. Planners and
scholars in associated fields have identified and defined problems in the food
system as 'wicked' problems, complex environmental issues that require systemic
solutions at the community scale. While food justice scholars have contextualized
problem areas, planning scholars have made a broad case for planning involvement
in solving these wicked problems while ensuring that the functional and
beneficial parts of the food system continue to thrive. This review maps the
entry points of scholarly interest in food systems and planning's contributions
to its study, charting a research agenda for the future.
PMID- 24932132
TI - Extra Corporeal Membrane Oxygenation (ECMO) in three HIV-positive patients with
acute respiratory distress syndrome.
AB - BACKGROUND: Extracorporeal membrane oxygenation (ECMO) is a life-saving bridging
procedure in patients with severe acute respiratory distress syndrome (ARDS).
Official indications for ECMO are unclear for immunocompromised and HIV-positive
patients affected by severe hypoxemia. Uncertainties are related to prognosis and
efficacy of treatment of the underlying disease. However, the care of patients
with HIV infection has advanced since the introduction of highly active
antiretroviral therapy (HAART), with increased life expectancy and decreased
mortality. CASE PRESENTATION: Three HIV-infected patients with AIDS were admitted
to ICU and were treated with ECMO: a 21 years old Caucasian female with
congenital HIV infection presented with Pneumocystis jirovecii pneumonia (PJP); a
38 years old Caucasian female with HIV-HCV infection and L. pneumophila
pneumonia; a 24 years old Caucasian male with fever, cough weight loss and PJP
pneumonia. Two patients were alive, with a good immunovirological profile and
they went back to their previous quality of life. The last patient died with
septic shock after three months of ICU stay. CONCLUSION: ECMO was effective in
three HIV-positive patients with an otherwise fatal respiratory failure. All
patients had severe immunosuppression and/or limited antiretroviral options. A
multidisciplinary critical team is needed to individualize the use of ECMO in
immunocompromised patients, including those with HIV infection.
PMID- 24932133
TI - A pilot study to evaluate incorporating eye care for children into reproductive
and child health services in Dar-es-Salaam, Tanzania: a historical comparison
study.
AB - BACKGROUND: Many blinding eye conditions of childhood are preventable or
treatable, particularly in developing countries. However, primary eye care (PEC)
for children is poorly developed, leading to unnecessary visual loss. Activities
for control by health workers entail interventions for systemic conditions
(measles, vitamin A deficiency), identification and referral of children with
sight threatening conditions and health education for caregivers. This pilot
study evaluated integrating a package of activities to promote child eye health
into Reproductive and Child Health (RCH) services in Dar-es-Salaam, Tanzania.
METHODS: DESIGN: historical comparison study. Fifteen Clinical Officers and 15
nurses in 15 randomly selected RCH clinics were trained in PEC for children in
July 2010. They were given educational materials (poster and manual) and their
supervisors were orientated. Knowledge and practices were assessed before and 3
weeks after training. One year later their knowledge and practices were compared
with a different group of 15 Clinical Officers and 15 nurses who had not been
trained. RESULTS: Before training staff had insufficient knowledge to identify,
treat and refer children with eye diseases, even conjunctivitis. Some recommended
harmful practices or did not know that cataract requires urgent referral. Eye
examination, vitamin A supplementation of mothers after delivery and cleaning the
eyes at birth with instillation of antibiotics (Crede's prophylaxis) were not
routine, and there were no eye-specific educational materials. Three weeks after
training several clinics delivering babies started Crede's prophylaxis, vitamin A
supplementation of women after delivery increased from 83.7% to 100%, and all
staff included eye conditions in health education sessions. At one year, trained
staff were more likely to correctly describe, diagnose and treat conjunctivitis
(z=2.34, p=0.04)(30%-vs-60.7%). Mystery mothers observed health education
sessions in 7/10 RCH clinics with trained staff, five (71.4%) of which included
eye conditions. CONCLUSIONS: Primary eye care for children in Dar-es-Salaam is
inadequate but training RCH staff can improve knowledge in the short term and
change practices. Attendance by mothers and their children is high in RCH
clinics, making them ideal for delivery of PEC. Ongoing supportive supervision is
required to maintain knowledge and practices, as well as systems to track
referrals.
PMID- 24932134
TI - Anti-cancer activity of glucosamine through inhibition of N-linked glycosylation.
AB - BACKGROUND: We have reported that the glucosamine suppressed the proliferation of
the human prostate carcinoma cell line DU145 through inhibition of STAT3
signaling. DU145 cells autonomously express IL-6 and the IL-6/STAT3 signaling is
activated. IL-6 receptor subunits are subject to N-glycosylation, a
posttranslational modification which is important for protein stability and
function. We speculated that the inhibition of STAT3 phosphorylation by
glucosamine might be a functional consequence of the reduced N-glycosylation of
gp130. METHODS: The human prostate cancer cell lines DU145 and PC-3 and human
melanoma cell line A2058 were used in this study. Glucosamine effects on N
glycosylation of glycoproteins were determined by Western blot analysis. IL-6
binding to DU145 cells was analyzed by flow cytometry. The cell proliferation
suppression was investigated by colorimetric Janus green staining method.
RESULTS: In DU145 cells glucosamine reduced the N-glycosylation of gp130,
decreased IL-6 binding to cells and impaired the phosphorylation of JAK2, SHP2
and STAT3. Glucosamine acts in a very similar manner to tunicamycin, an inhibitor
of protein N-glycosylation. Glucosamine-mediated inhibition of N-glycosylation
was neither protein- nor cell-specific. Sensitivity of DU145, A2058 and PC-3
cells to glucosamine-induced inhibition of N-glycosylation were well correlated
to glucosamine cytotoxicity in these cells. CONCLUSION: Our results suggested
that the glucosamine-induced global inhibition of protein N-glycosylation might
be the basic mechanism underlying its multiple biochemical and cellular effects.
PMID- 24932135
TI - An estrogen analogue and promising anticancer agent refrains from inducing
morphological damage and reactive oxygen species generation in erythrocytes,
fibrin and platelets: a pilot study.
AB - BACKGROUND: 2-Methoxyestradiol is known to have antitumour and antiproliferative
action in vitro and in vivo. However, when 2-methoxyestradiol is orally
administered, it is rapidly oxidized by the enzyme 17I2-hydroxysteriod
dehydrogenase in the gastrointestinal tract. Therefore, 2-methoxyestradiol never
reaches high enough concentrations in the tissue to be able to exert these
antitumour properties. This resulted in the in silico-design of 2
methoxyestradiol analogues in collaboration with the Bioinformatics and
Computational Biology Unit (UP) and subsequent synthesis by iThemba
Pharmaceuticals (Pty) Ltd (Modderfontein, Midrand, South Africa). One such a
novelty-designed analogue is 2-ethyl-3-O-sulphamoyl-estra-1, 3, 5(10)16-tetraene
(ESE-16). METHODS: This pilot study aimed to determine the morphological effect
and possible generation of reactive oxygen species by ESE-16 on erythrocytes and
platelet samples (with and without added thrombin) by means of scanning electron
microscopy, transmission electron microscopy and flow cytometry. RESULTS:
Erythrocytes and platelets were exposed to ESE-16 at a concentration of 180nM for
24A hours. Scanning- and transmission electron microscopy indicated that ESE-16
did not cause changes to erythrocytes, platelets or fibrin networks. Flow
cytometry measurements of hydrogen peroxide and superoxide indicated that ESE-16
does not cause an increase in the generation of reactive oxygen species in these
blood samples. CONCLUSION: Further in vivo research is warranted to determine
whether this novel in silico-designed analogue may impact on development of
future chemotherapeutic agents and whether it could be considered as an
antitumour agent.
PMID- 24932136
TI - Sampling of Organic Solutes in Aqueous and Heterogeneous Environments Using
Oscillating Excess Chemical Potentials in Grand Canonical-like Monte Carlo
Molecular Dynamics Simulations.
AB - Solute sampling of explicit bulk-phase aqueous environments in grand canonical
(GC) ensemble simulations suffer from poor convergence due to low insertion
probabilities of the solutes. To address this, we developed an iterative
procedure involving Grand Canonical-like Monte Carlo (GCMC) and molecular
dynamics (MD) simulations. Each iteration involves GCMC of both the solutes and
water followed by MD, with the excess chemical potential (MUex) of both the
solute and the water oscillated to attain their target concentrations in the
simulation system. By periodically varying the MUex of the water and solutes over
the GCMC-MD iterations, solute exchange probabilities and the spatial
distributions of the solutes improved. The utility of the oscillating-MUex GCMC
MD method is indicated by its ability to approximate the hydration free energy
(HFE) of the individual solutes in aqueous solution as well as in dilute aqueous
mixtures of multiple solutes. For seven organic solutes: benzene, propane,
acetaldehyde, methanol, formamide, acetate, and methylammonium, the average MUex
of the solutes and the water converged close to their respective HFEs in both 1 M
standard state and dilute aqueous mixture systems. The oscillating-MUex GCMC
methodology is also able to drive solute sampling in proteins in aqueous
environments as shown using the occluded binding pocket of the T4 lysozyme L99A
mutant as a model system. The approach was shown to satisfactorily reproduce the
free energy of binding of benzene as well as sample the functional group
requirements of the occluded pocket consistent with the crystal structures of
known ligands bound to the L99A mutant as well as their relative binding
affinities.
PMID- 24932137
TI - Role of Backbone Dipole Interactions in the Formation of Secondary and
Supersecondary Structures of Proteins.
AB - We present a generic solvated coarse-grained protein model that can be used to
characterize the driving forces behind protein folding. Each amino acid is coarse
grained with two beads, a backbone, and a side chain. Although the backbone beads
are modeled as polar entities, side chains are hydrophobic, polar, or charged,
thus allowing the exploration of how sequence patterning determines a protein
fold. The change in orientation of the atoms of the coarse-grained unit is
captured by the addition of two oppositely charged dummy particles inside the
backbone coarse-grained bead. These two dummy charges represent a dipole that can
fluctuate, thus introducing structural polarization into the coarse-grained
model. Realistic alpha/beta content is achieved de novo without any biases in the
force field toward a particular secondary structure. The dipoles created by the
dummy particles interact with each other and drive the protein models to fold
into unique structures depending on the amino acid patterning and presence of
capping residues. We have also characterized the role of dipole-dipole and dipole
charge interactions in shaping the secondary and supersecondary structure of
proteins. Formation of helix bundles and beta-strands are also discussed.
PMID- 24932138
TI - The Transmembrane Helix Tilt May Be Determined by the Balance between Precession
Entropy and Lipid Perturbation.
AB - Hydrophobic helical peptides interact with lipid bilayers in various modes,
determined by the match between the length of the helix's hydrophobic core and
the thickness of the hydrocarbon region of the bilayer. For example, long helices
may tilt with respect to the membrane normal to bury their hydrophobic cores in
the membrane, and the lipid bilayer may stretch to match the helix length. Recent
molecular dynamics simulations and potential of mean force calculations have
shown that some TM helices whose lengths are equal to, or even shorter than, the
bilayer thickness may also tilt. The tilt is driven by a gain in the helix
precession entropy, which compensates for the free energy penalty resulting from
membrane deformation. Using this free energy balance, we derived theoretically an
equation of state, describing the dependence of the tilt on the helix length and
membrane thickness. To this end, we conducted coarse-grained Monte Carlo
simulations of the interaction of helices of various lengths with lipid bilayers
of various thicknesses, reproducing and expanding the previous molecular dynamics
simulations. Insight from the simulations facilitated the derivation of the
theoretical model. The tilt angles calculated using the theoretical model agree
well with our simulations and with previous calculations and measurements.
PMID- 24932139
TI - Impact of windows and daylight exposure on overall health and sleep quality of
office workers: a case-control pilot study.
AB - STUDY OBJECTIVE: This research examined the impact of daylight exposure on the
health of office workers from the perspective of subjective well-being and sleep
quality as well as actigraphy measures of light exposure, activity, and sleep
wake patterns. METHODS: Participants (N = 49) included 27 workers working in
windowless environments and 22 comparable workers in workplaces with
significantly more daylight. Windowless environment is defined as one without any
windows or one where workstations were far away from windows and without any
exposure to daylight. Well-being of the office workers was measured by Short Form
36 (SF-36), while sleep quality was measured by Pittsburgh Sleep Quality Index
(PSQI). In addition, a subset of participants (N = 21; 10 workers in windowless
environments and 11 workers in workplaces with windows) had actigraphy recordings
to measure light exposure, activity, and sleep-wake patterns. RESULTS: Workers in
windowless environments reported poorer scores than their counterparts on two SF
36 dimensions--role limitation due to physical problems and vitality--as well as
poorer overall sleep quality from the global PSQI score and the sleep
disturbances component of the PSQI. Compared to the group without windows,
workers with windows at the workplace had more light exposure during the
workweek, a trend toward more physical activity, and longer sleep duration as
measured by actigraphy. CONCLUSIONS: We suggest that architectural design of
office environments should place more emphasis on sufficient daylight exposure of
the workers in order to promote office workers' health and well-being.
PMID- 24932140
TI - Associations between sleep duration, sleep quality, and cognitive test
performance among older adults from six middle income countries: results from the
Study on Global Ageing and Adult Health (SAGE).
AB - BACKGROUND: Alterations in sleep architecture are common among older adults.
Previous studies have documented associations between sleep duration, sleep
quality, and cognitive performance in older individuals, yet few studies have
examined these trends using population-based samples from non-Western societies.
The present cross-sectional study uses nationally representative datasets from
six countries to test several hypotheses related to sleep patterns and cognitive
function. METHODS: Data were drawn from the first wave of the World Health
Organization's study on global ageing and adult health (SAGE), a longitudinal
study using samples of older adults (>= 50 years old) in 6 middle-income
countries (China, Ghana, India, Russian Federation, South Africa, and Mexico).
Self-report data provided information on sleep quality and sleep duration over
the previous 2 nights, and 5 cognitive tests (immediate and delayed verbal
recall, forward and backward digit span, and verbal fluency) were used to create
a composite z-score of cognitive performance. RESULTS: Individuals with
intermediate sleep durations (> 6-9 h/night) exhibited significantly higher
cognitive scores than individuals with short sleep (0-6 h/night; p < 0.001) or
long sleep duration (> 9 h/night; p < 0.001). Self-reported sleep quality was
positively correlated with cognitive z-score (p < 0.05). Significant sex
differences were observed; men generally had higher sleep quality and cognitive
scores, while women reported longer sleep durations. DISCUSSION: This study
documented positive correlations between cognitive scores and sleep quality, and
between cognitive z-scores and intermediate sleep duration. These findings are
clinically important given the growing rates of dementia and aging populations
globally.
PMID- 24932141
TI - A prospective questionnaire study in 100 healthy sleepers: non-bothersome forms
of recognizable sleep disorders are still present.
AB - STUDY OBJECTIVES: Despite several polysomnographic normative studies and multiple
surveys of sleep disorders in the general population, few data have been
collected on healthy sleepers. We aimed to survey the characteristics of healthy
sleep. METHODS: We prospectively investigated the sleep history of 100 subjects
of a representative population sample who reported undisturbed sleep and in whom
relevant sleep disorders were ruled out by a two-step screening procedure.
Approximately four subjects had to be contacted for identifying 1 eligible
subject who participated. RESULTS: The median reported time in bed was from 23:00
(21:30-02:00) to 07:00 (05:30-11:00). The total sleep duration was 7.3 h (5-10
h), varying from 7.5 h in the age group <= 30 years to 7 h in subjects aged 40-60
years and to 8 h in subjects > 60 years (p = 0.002). The median sleep efficiency
was high (93.3%, range: 55.6% to 100%). Fifty-one subjects reported occasional
snoring. Forty-five subjects reported sporadic non-bothersome sleep-related
movement disorders (25 sleep-related leg cramps, 22 lifetime bruxism, 5 restless
legs syndrome), and 36 had a history of sporadic non-bothersome parasomnias (27
nightmares, 12 sleepwalking, 1 sleep paralysis). CONCLUSION: In this population
of healthy sleepers, snoring is the most common finding. Moreover, non-bothersome
forms of recognizable sleep-related movement disorders and parasomnias are
surprisingly common. These findings may suggest that diagnostic criteria of sleep
disorders should not only be based on the presence of symptoms but also account
for a minimum frequency or discomfort.
PMID- 24932142
TI - The effect of continuous positive air pressure (CPAP) on nightmares in patients
with posttraumatic stress disorder (PTSD) and obstructive sleep apnea (OSA).
AB - OBJECTIVES: Post-Traumatic Stress Disorder (PTSD) is increasingly prevalent among
Veterans characterized by recurrent nightmare and disrupted sleep. Veterans with
PTSD also have a high prevalence of obstructive sleep apnea (OSA) and untreated
OSA worsens the sleep-related symptoms of PTSD. In our study, we hypothesized
that among PTSD-afflicted Veterans with OSA, CPAP therapy may reduce the
frequency of nightmares and a better CPAP compliance may be associated with
increased symptom improvement. METHODS: We retrospectively reviewed medical
records to identify OSA patients treated in a VA medical center who also carried
a diagnosis of PTSD (n = 69). Data about patient characteristics and
polysomnographic findings were extracted. Repeated-measures t-tests were
performed, comparing mean nightmare frequency and Epworth sleepiness score (ESS)
before and after CPAP treatment. Multiple linear regressions were done to
identify factors predicting CPAP compliance. A logistic regression analysis was
also done to estimate the odds of subjective improvement in PTSD symptoms with
CPAP. RESULTS: CPAP therapy reduced the mean ESS from 14.62 to 8.52 (p < 0.001)
and the mean number of nightmares per week from 10.32 to 5.26 (p < 0.01). Reduced
nightmare frequency after CPAP treatment was best predicted by CPAP compliance (p
< 0.001). Every 10% increase in CPAP compliance almost doubled the odds of
benefitting by CPAP (odds ratio = 1.92, 95% CI = 1.47-2.5). CONCLUSIONS: In
Veterans with PTSD and OSA, CPAP therapy reduces PTSD-associated nightmares and
improves overall PTSD symptoms. We recommend that all PTSD patients should be
screened clinically for symptoms of OSA and receive CPAP treatment whenever
possible to improve PTSD symptoms.
PMID- 24932143
TI - Adaptive servoventilation for treatment of opioid-associated central sleep apnea.
AB - RATIONALE: Opioids have become part of contemporary treatment in the management
of chronic pain. Although severe daytime ventilatory depression is uncommon,
chronic use of opioids could be associated with severe central and obstructive
sleep apnea. OBJECTIVES: To determine the acute efficacy, and prolonged use of
adaptive servoventilation (ASV) to treat central sleep apnea in patients on
chronic opioids. METHODS: Twenty patients on opioid therapy referred for
evaluation of obstructive sleep apnea (OSA) were found to have central sleep
apnea (CSA). The first 16 patients underwent continuous positive airway pressure
(CPAP) titration, which showed persistent CSA. With the notion that CSA will be
eliminated with continued use of CPAP, 4 weeks later, 9 of the 16 patients
underwent a second CPAP titration which proved equally ineffective. Therefore,
therapy with CPAP was abandoned. All patients underwent ASV titration. MAIN
RESULTS: Diagnostic polysomnography showed an average apnea-hypopnea index (AHI)
of 61/h and a central-apnea index (CAI) of 32/h. On CPAP 1, AHI was 34/h and CAI
was 20/h. Respective indices on CPAP 2 were AHI 33/h and CAI 19/h. During
titration with ASV, CAI was 0/h and the average HI was 11/h on final pressures.
With a reduction in AHI, oxyhemoglobin saturation nadir increased from 83% to
90%, and arousal index decreased from 29/h of sleep to 12/h on final ASV
pressures. Seventeen patients were followed for a minimum of 9 months and up to 6
years. The mean long-term adherence was 5.1 +/- 2.5 hours. CONCLUSIONS: Chronic
use of opioids could be associated with severe CSA which remains resistant to
CPAP therapy. ASV device is effective in the treatment of CSA and over the long
run, most patients remain compliant with the device. Randomized long-term studies
are necessary to determine if treatment of sleep apnea with ASV improves quality
of life and the known mortality associated with opioids.
PMID- 24932144
TI - The epidemic of opioid use: implications for the sleep physician.
PMID- 24932145
TI - Individual variability and predictors of driving simulator impairment in patients
with obstructive sleep apnea.
AB - STUDY OBJECTIVES: Obstructive sleep apnea (OSA) is associated with driving
impairment and road crashes. However, daytime function varies widely between
patients presenting a clinical challenge when assessing crash risk. This study
aimed to determine the proportion of patients showing "normal" versus "abnormal"
driving simulator performance and examine whether anthropometric, clinical, and
neurobehavioral measures predict abnormal driving. METHODS: Thirty-eight OSA
patients performed a 90-min simulated driving task under 3 conditions: normal
sleep, restricted sleep (4 h in bed), and normal sleep + alcohol (BAC~0.05 g/dL).
Patients were classified as "resilient" drivers if, under all 3 experimental
conditions their mean steering deviation fell within 2 standard deviations of the
mean steering deviation of 20 controls driving under baseline normal sleep
conditions, or a "vulnerable" driver if mean steering deviation was outside this
range in at least one experimental condition. Potentially predictive baseline
anthropometric, clinical, neurocognitive, and cortical activation measures were
examined. RESULTS: Of the 38 OSA patients examined, 23 (61%) and 15 (39%) were
classified as resilient and vulnerable drivers, respectively. There were no
differences in baseline measures between the groups, although the proportion of
females was greater and self-reported weekly driving exposure was less among
vulnerable drivers (p < 0.05). On univariate analysis gender, weekly driving
hours, and auditory event related potential P2 amplitude were weakly associated
with group status. Multivariate analysis showed weekly driving hours (OR 0.69,
95%CI, 0.51-0.94, p = 0.02) and P2 amplitude (OR 1.34, 95%CI 1.02-1.76, p =
0.035) independently predicted vulnerable drivers. CONCLUSIONS: Most OSA patients
demonstrated normal simulated driving performance despite exposure to further
sleep loss or alcohol. Most baseline measures did not differentiate between
resilient and vulnerable drivers, although prior driving experience and cortical
function were predictive. Novel measures to assist identification of OSA patients
at risk of driving impairment and possibly accidents are needed. TRIAL
REGISTRATION: Data presented in this manuscript was collected as part of a
clinical trial "Experimental Investigations of Driving Impairment in Obstructive
Sleep Apnea." Trial ID: ACTRN12610000009011, URL:
http://www.anzctr.org.au/trial_view.aspx?ID=334979.
PMID- 24932146
TI - Obstructive sleep apnea, obesity, and the development of acute respiratory
distress syndrome.
AB - BACKGROUND: Obstructive sleep apnea (OSA) may increase the risk of respiratory
complications and acute respiratory distress syndrome (ARDS) among surgical
patients. OSA is more prevalent among obese individuals; obesity can predispose
to ARDS. HYPOTHESIS: It is unclear whether OSA independently contributes towards
the risk of ARDS among hospitalized patients. METHODS: This is a pre-planned
retrospective subgroup analysis of the prospectively identified cohort of 5,584
patients across 22 hospitals with at least one risk factor for ARDS at the time
of hospitalization from a trial by the US Critical Illness and Injury Trials
Group designed to validate the Lung Injury Prediction Score. A total of 252
patients (4.5%) had a diagnosis of OSA at the time of hospitalization; of those,
66% were obese. Following multivariate adjustment in the logistic regression
model, there was no significant relationship between OSA and development of ARDS
(OR = 0.65, 95%CI = 0.32-1.22). However, body mass index (BMI) was associated
with subsequent ARDS development (OR = 1.02, 95%CI = 1.00-1.04, p = 0.03).
Neither OSA nor BMI affected mechanical ventilation requirement or mortality.
CONCLUSIONS: Prior diagnosis of OSA did not independently affect development of
ARDS among patients with at least one predisposing condition, nor the need for
mechanical ventilation or hospital mortality. Obesity appeared to independently
increase the risk of ARDS.
PMID- 24932147
TI - Pilot study of nasal expiratory positive airway pressure devices for the
treatment of childhood obstructive sleep apnea syndrome.
AB - STUDY OBJECTIVES: Alternative therapies for childhood obstructive sleep apnea
syndrome (OSAS) are needed as OSAS may persist despite adenotonsillectomy, and
continuous positive airway pressure (CPAP) adherence is low. Nasal expiratory
positive airway pressure (NEPAP) devices have not been studied in children. We
hypothesized that NEPAP would result in polysomnographic improvement. Further, we
aimed to determine NEPAP adherence, effects on sleepiness, behavior, and quality
of life. METHODS: A randomized, double-blind, placebo-controlled, crossover pilot
study was performed. CPAP candidates, 8-16 years old, underwent NEPAP and placebo
polysomnograms. Subjects with >= 50% reduction in the apnea hypopnea index (AHI)
from placebo to NEPAP night or AHI < 5/h on NEPAP night wore NEPAP at home for 30
days. Adherence was assessed by daily phone calls/emails and collecting used
devices. RESULTS: Fourteen subjects (age 13.4 +/- 1.9 years, BMI z-scores 2.2 +/-
1 [mean +/- SD]) were studied. There was significant improvement in the
obstructive apnea index with NEPAP vs. placebo: 0.6 (0-21.1)/h vs. 4.2 (0-41.9)/h
(median [range], p = 0.010) and trends for improvement in other polysomnographic
parameters. However, responses were variable, with 3 subjects not improving and 2
worsening. Older children and those with less hypercapnia had a better response.
Eight subjects were sent home with devices; one was lost to follow-up, and
adherence in the remainder was 83% of nights; these subjects had a significant
improvement in sleepiness and quality of life. CONCLUSIONS: NEPAP devices are a
potential alternative therapy for OSAS in a small subset of children. Due to
variability in individual responses, efficacy of NEPAP should be evaluated with
polysomnography. CLINICAL TRIAL REGISTRATION: www.clinicaltrials.gov, identifier:
NCT01768065.
PMID- 24932148
TI - Association between symptoms of sleep-disordered breathing and speech in children
with craniofacial malformations.
AB - STUDY OBJECTIVE: Sleep-disordered breathing (SDB) and speech difficulties are
common problems in children with craniofacial malformations (CFM). The present
study was designed to investigate whether resonance issues identified during
speech assessment are associated with parental report of SDB symptoms in children
with CFM. METHODS: Children aged 2-18 years with congenital CFM attending at the
Craniofacial Anomalies Program from March 2007 to April 2011 were screened for
SDB symptoms using the Sleep-Related Breathing Disturbance Scale of the Pediatric
Sleep Questionnaire. Speech evaluation, based on the Pittsburgh Weighted Speech
Scale score, was the tool used to investigate velopharyngeal dysfunction (VPD)
based on speech perceptual assessment. RESULTS: A total of 488 children with
congenital CFM were included. Overall 81% were Caucasian and 24% were
overweight/obese. Twenty-four percent of children screened positive for SDB and
35% had VPD. Children with VPD were no more likely to screen positive for SDB
than children without VPD (26% vs. 23%, p = 0.38). However, children with
previous sphincter pharyngoplasty (SP) were more likely to have hyponasality (51%
vs. 12%, p = 0.0001) and reduced or absent nasal emission (33% vs. 16%, p =
0.008). In a logistic regression, the adjusted odds ratio for SDB for those with
hyponasality was 2.10 (95%CI 1.21-3.61, p = 0.008) and for those with reduced or
absent nasal emission was 1.75 (95%CI 1.06-2.88, p = 0.028). CONCLUSION: Symptoms
of sleep disordered breathing are common in children with craniofacial
malformations especially if they have undergone sphincter pharyngoplasty; many of
these children can be identified by measures of resonance on routine speech
evaluation.
PMID- 24932149
TI - Oxidative stress in children with obstructive sleep apnea syndrome.
AB - STUDY OBJECTIVES: Pediatric obstructive sleep apnea (OSA) is associated with
cardiovascular consequences, including accelerated atherosclerosis and
endothelial dysfunction. Increased lipid peroxidation, a marker of oxidative
stress, has been identified in adults with OSA in a severity-dependent manner,
with attenuation following treatment with continuous positive airway pressure
therapy. Studies on oxidative stress in children with OSA are sparse and results
are inconclusive. The objective of this study was to compare lipid peroxidation
in children with OSA to non-OSA children. METHODS: A prospective cross-sectional
study of 26 children with polysomnography-confirmed OSA (oAHI >= 5/h TST) was
conducted. Thirty age- and body mass index z-score-matched children with primary
snoring (PS) served as a comparison group (oAHI <= 1/h TST). Fasting blood
samples were obtained on the morning following the sleep study. Plasma oxidized
low-density lipoprotein (oxLDL) concentrations were measured by enzyme-linked
immunosorbent assay. RESULTS: There were no group differences in patient
characteristics and their lipid profiles. The mean oxLDL levels of the OSA group
were significantly higher than those of the comparison group (53.1 +/- 13.0 vs.
45.7 +/- 10.0 U/L, respectively, p = 0.02). There was a significant positive
correlation between plasma oxLDL and the apnea hypopnea index (r = 0.29, p =
0.03) and between oxLDL and the oxygen desaturation index (r = 0.51, p = 0.003),
and a significant negative correlation between SpO2 nadir and oxLDL (r = -0.29, p
= 0.03). CONCLUSIONS: OSA in children is associated with increased lipid
peroxidation in a severity-dependent manner. Lipid peroxidation levels correlate
with the degree of intermittent hypoxia.
PMID- 24932150
TI - Validation of two depression screening instruments in a sleep disorders clinic.
AB - STUDY OBJECTIVES: Depression is a commonly diagnosed comorbidity in sleep
disorder clinics. However, screening instruments for major depressive episode
(MDE) have not been validated in this setting. We aimed to validate the Hospital
Anxiety and Depression Scale (HADS) and the Beck Depression Inventory - Fast
Screen (BDI-FS) with the Mini International Neuropsychiatric Interview (MINI) in
patients with suspected obstructive sleep apnea (OSA). DESIGN: Cross-sectional
study. SETTING: Academic center. PARTICIPANTS: One hundred one new patients with
a clinical suspicion of OSA, as assessed by a sleep physician. MEASUREMENTS: MDE,
generalized anxiety disorder (GAD), and panic disorder (PD) were assessed by (1)
a diagnostic interview utilizing the MINI and (2) by two self-report
questionnaires: HADS and BDI-FS. A receiver operating characteristic (ROC)
analysis was undertaken to assess which HADS and BDI-FS threshold yielded the
highest correlation for a diagnosis of MDE and/or GAD/PD as assessed with an
interview conducted using the MINI. RESULTS: A HADS-Depression score >= 8 gave
optimal sensitivity (83.1%) and specificity (83.3%) with an area under the ROC
curve (AUC) 0.851 for predicting the diagnosis of MDE. A HADS-Anxiety score >= 11
gave an optimal sensitivity (93.1%) and specificity (84.7%) with an AUC 0.911 for
predicting the diagnosis of GAD/PD. A BDI-FS threshold >= 6 gave optimal
sensitivity (86.7%) and specificity (82.9%) with an AUC 0.897 for MDE.
CONCLUSION: The HADS and BDI-FS are accurate screening instruments with high
concurrent validity for identifying the probability of a patient having MDE and
in the case of HADS-GAD and PD disorder in a sleep disorders clinic.
PMID- 24932151
TI - Intensive sleep deprivation and cognitive behavioral therapy for pharmacotherapy
refractory insomnia in a hospitalized patient.
AB - The case of a 59-year-old woman psychiatrically hospitalized with comorbid
insomnia, suicidal ideation, and generalized anxiety disorder is presented.
Pharmacologic therapies were unsuccessful for treating insomnia prior to and
during hospitalization. Intensive sleep deprivation was initiated for 40
consecutive hours followed by a recovery sleep period of 8 hours. Traditional
components of cognitive behavioral therapy for insomnia (CBTi), sleep
restriction, and stimulus control therapies, were initiated on the ward. After
two consecutive nights with improved sleep, anxiety, and absence of suicidal
ideation, the patient was discharged. She was followed in the sleep clinic for
two months engaging in CBTi. Treatment resulted in substantial improvement in her
insomnia, daytime sleepiness, and anxiety about sleep. Sleep deprivation regimens
followed by a restricted sleep recovery period have shown antidepressant effects
in depressed patients. Similar treatment protocols have not been investigated in
patients with pharmacotherapy refractory insomnia and generalized anxiety
disorder.
PMID- 24932152
TI - CPAP treats muscle cramps in patients with obstructive sleep apnea.
AB - We describe a case series of 4 patients with varying degrees of obstructive sleep
apnea who incidentally had a history of nocturnal leg cramps. None of the
patients had periodic limb movements during the study and denied symptoms
consistent with restless legs syndrome. In 3 of the 4 patients, nocturnal leg
cramps resolved with CPAP treatment for OSA, while the fourth patient noted near
resolution of cramping after starting CPAP. In patients presenting with muscle
cramps, obstructive sleep apnea should be considered.
PMID- 24932153
TI - Multidisciplinary sleep centers: strategies to improve care of sleep disorders
patients.
AB - Current emphasis on patient outcomes within sleep medicine, with a particular
focus on quality improvement and contained costs, calls for sleep specialists to
develop innovative models for long-term care and management of sleep disorders
patients. Multidisciplinary sleep centers can facilitate highest-quality care
that is timely and cost-effective. Effective resource use in a multidisciplinary
sleep center can help minimize fragmentation of care, reduce effort duplication,
and control costs. Proposed strategies to help achieve a balance between quality
of care and cost-effectiveness include: (1) multidisciplinary specialty clinics,
(2) optimized use of information technology, and (3) adoption of reliable
performance measures.
PMID- 24932154
TI - A case of insomnia in an elderly woman.
PMID- 24932155
TI - The lumpers and splitters paradox.
PMID- 24932156
TI - A RERA by any other name... .
PMID- 24932157
TI - The "paradox" issue.
PMID- 24932158
TI - Association between OSA and severe fatigue in patients with multiple sclerosis
(MS).
PMID- 24932159
TI - Obstructive sleep apnea is an under-recognized and consequential morbidity in
multiple sclerosis.
PMID- 24932161
TI - Women, Aging, and HIV: Clinical Issues and Management Strategies.
AB - Women are living longer with HIV infection. How best to manage the multiple co
morbidities and polypharmacy that are a hallmark of HIV infected individuals has
not been studied. We explore incorporating principles of gerontology,
particularly multimorbidity and polypharmacy, to optimize the health of HIV
infected women. Multimorbidity and polypharmacy are important issues for HIV
infected women. Incorporating a gerontologic approach may optimize outcomes until
research provides more definitive answers as to how best to collaborate with HIV
infected women to provide them with optimal care. A case study is used to guide
the discussion.
PMID- 24932162
TI - What Promotes Wisdom in 12-Step Recovery?
AB - Research investigations on twelve-step groups such as Alcoholics Anonymous (AA)
and Narcotics Anonymous (NA) have addressed a number of resources associated with
12-step recovery. However, little is known about the role of wisdom, and whether
aspects of 12-step participation might increase this resource among 12-step
members. An exploratory analysis revealed that participants who reported having a
"spiritual awakening" and considered themselves "members" of 12-step groups
reported significantly higher levels of wisdom. Twelve-step meeting attendance
was not significantly related to wisdom scores. Findings suggest certain aspects
of 12-step involvement are associated with wisdom and may play a role in
substance abuse recovery.
PMID- 24932163
TI - Successful management of a colo-duodenal fistula in a patient with Crohn's
disease using a double lumen gastro-jejunostomy tube.
AB - A 41-year-old woman was admitted with upper abdominal pain, vomiting and fever.
Abdominal CT scan showed a colo-duodenal fistula with inflammatory thickening of
the transverse colon. The patient's general health was poor because of
hypoalbuminemia and coagulopathy. Endoscopy showed a fistula at the lower
duodenal angle and the stomach was filled with refluxed stool. Ileostomy and
percutaneous endoscopic gastrostomy were performed at that time and a double
lumen gastro-jejunostomy inserted through the gastrostomy to allow both gastric
drainage and distal enteral feeding. Nutrition support was gradually converted
from parenteral to enteral feeding. Colonoscopy showed stenosis of the transverse
colon with a colo-colonic fistula near the stenosis. Two months later, right hemi
colectomy and closure of the colo-duodenal fistula were performed. The resected
specimen showed stenosis and a fistula in the transverse colon due to Crohn's
disease. The colo-colonic fistula was present and the colo-duodenal fistula had
almost closed due to fibrosis. The postoperative course was uneventful and the
patient was discharged after administration of infliximab. Use of a double lumen
gastro-jejunostomy tube was effective in improving the patient's general
condition. This therapeutic strategy allowed the safe conduct of major resection
in a high-risk patient.
PMID- 24932164
TI - Cystic liver infection after living donor liver transplantation: a case report.
AB - There are no reports of cystic liver infection after liver transplantation.
Herein, we report a rare case of cystic liver graft infection after living donor
liver transplantation (LDLT). The patient was a 24-year-old man with primary
sclerosing cholangitis who underwent right lobe graft LDLT. Preoperative
abdominal computed tomography (CT) revealed a liver cyst at segment 8 of the
donor liver. Biliary reconstruction was performed with hepaticojejunostomy. The
postoperative course was uneventful until the patient developed a high fever and
abdominal pain 15 months after LDLT. Abdominal contrast CT revealed abscess
formation. Percutaneous drainage of the cyst was performed and purulent liquid
was drained. The fever gradually subsided after treatment. On follow-up CT, the
size of the infected liver cyst was decreased. Clinicians should be aware of the
potential for cystic liver infection when using grafts with liver cysts,
particularly when biliary reconstruction is performed with hepaticojejunostomy.
PMID- 24932165
TI - Spontaneous colon perforations associated with a vascular type of ehlers-danlos
syndrome.
AB - Ehlers-Danlos syndrome, vascular type (vEDS) (MIM #130050) is an autosomal
dominant disorder caused by mutation in the type III collagen gene, COL3A1,
leading to fragility of blood vessels, bowel and uterus that leads to spontaneous
rupture. We report a previously undiagnosed vEDS patient with bowel
complications. A 20-year-old female patient was referred to our hospital with
abdominal pain. Computed tomography showed notable dilatation of the sigmoid
colon with intraperitoneal fluid. Laparotomy revealed dilatation of the sigmoid
colon, breakdown of serosa and muscularis propria of the sigmoid colon with
impending perforation, and intra-abdominal hemorrhage caused by breakdown of the
mesenterium. Resection of the sigmoid colon with Hartmann's pouch and an end
colostomy were performed. Physical examination showed joint hypermobility,
translucent skin with venous prominence and facial structure abnormalities.
Genetic analysis using cDNA extracted from the patient's fibroblasts by reverse
transcriptase polymerase chain reaction direct sequencing showed a missense
mutation within the triple helix region of COL3A1 (c.2150 G>A; Gly717Asp).
PMID- 24932166
TI - Pulmonary Mycobacterium avium Infection in a Patient with Crohn's Disease under
Azathioprine Treatment.
AB - Anti-tumor necrosis factor alpha therapy is known as a risk factor of non
tuberculous mycobacteria (NTM) infection. However, there are few reports of NTM
infection under treatment with thiopurine agents. We herein report a first case
of pulmonary infection caused by Mycobacterium avium complex (MAC) in a patient
with Crohn's disease under treatment with thiopurine. After starting antibiotics
therapy for NTM including clarithromycin, rifampicin, ethambutol and
streptomycin, MAC infection was well controlled, and she kept clinical remission
even without azathioprine. In conclusion, our case emphasizes the importance of
considering NTM infection in respiratory complications of inflammatory bowel
disease patients under immunosuppressive therapies.
PMID- 24932167
TI - Perianal Paget's Disease Co-Associated with Anorectal Adenocarcinoma: Primary or
Secondary Disease?
AB - Perianal Paget's disease (PPD) represents a skin neoplasm which can be either
primary or secondary to carcinoma from an adjacent internal organ. PPD with
underlying colorectal adenocarcinoma is usually looked upon as a secondary
disease. We report a rare case of co-associated PPD and anorectal adenocarcinoma.
The PPD was found to be located near the anorectal adenocarcinoma with normal
tissues between them. Immunohistochemical stains demonstrated that the Paget's
cells were CK7+/GCDFP-15-/CK20-/MUC2-/CDX2-, whereas the anorectal adenocarcinoma
was shown to be CK7+/GCDFP-15-/CK20+/MUC2+/CDX2+. This immunological phenotypic
profile supported the notion that PPD and anorectal adenocarcinoma were of
different origins, but could not define the exact origins of PPD. In our
determination, this case was a primary PPD with anorectal adenocarcinoma. PPD
remains a heterogeneous and complex pathology, and additional studies are
required to differentiate between the various possible origins.
PMID- 24932168
TI - S100A6 and c-Kit-Positive Spindle Cell Melanoma of the Dorsal Foot.
AB - Spindle cell melanoma, which is a rare form of melanoma, is clinically and
histopathologically difficult to diagnose from a variety of nonmelanocytic
spindle cell tumors. We describe a 42-year-old Japanese woman with amelanotic
melanoma that comprised spindle cells with positive c-kit and S100A6 staining.
The use of c-kit and S100A6 might be useful for improving the diagnosis.
PMID- 24932169
TI - Cutaneous Adverse Reactions to Highly Antiretroviral Therapy in HIV-Positive
Patients.
AB - Adverse drug reactions to highly antiretroviral therapy (HAART) are major
obstacles in its success. Although overall mortality from HIV has dramatically
declined owing to HAART, these antiretroviral regimens have been associated with
a wide spectrum of severe cutaneous reactions. The severity of cutaneous adverse
reactions varies greatly, and some may be difficult to manage. To optimize
adherence and efficacy of antiretroviral treatment, clinicians must focus on
preventing adverse effects whenever possible, and distinguish those that are self
limited from those that are potentially serious. This paper presents the case of
a serious cutaneous adverse reaction to Atripla in a HIV-positive 50-year-old
Caucasian woman.
PMID- 24932170
TI - Idiopathic Seidlmayer's Purpura: A Case Report.
AB - Acute hemorrhagic edema of infancy (AHEI) was considered a rare form of Henoch
Schonlein purpura; however, it is now regarded as an independent disease
typically involving patients aged 4-24 months. The authors describe the clinical
case of a toddler aged 8 months, with skin erythematous pomphoid lesions, treated
at home with topical steroids without benefits. The appearance of new lesions and
the worsening of the previous skin signs induced the parents to drive the child
to the hospital. The medical history revealed the administration of a vaccine
dose 2 months before.
PMID- 24932171
TI - Retroperitoneal sarcoma involving unilateral double ureter: management, treatment
and psychological implications.
AB - The case of a 45-year-old woman who was admitted to our university hospital for
polymenorrhea, weight gain and pain in the left iliac region is reported. An
abdominal ultrasound revealed a 9.5 * 5.2-cm, hypoechoic and inhomogeneous mass
located on the left side of the pelvis and behind the ovary. The patient
underwent surgery. The pelvic mass was firmly anchored to the small intestine,
colon, sigma and uterine fundus. After removing the adhesions, double ureters,
which had been incorporated in the mass, were observed on the left side.
Resection of the unilateral double ureters was necessary in order to remove the
entire mass, and thereafter, a left salpingoophorectomy was performed. A
histological examination showed a malignant retroperitoneal mass. Termino
terminal ureteral anastomosis with two double-J stents was carried out. Total
hysterectomy with preservation of the right adenexum and regional lymphadenectomy
was performed. The purpose of this case report is to discuss the physical and
psychological implications related to the combination of two rare entities:
leiomyosarcoma and a double ureter located within the mass. A literature review
on the clinical management and psychological aspects from a female cancer
patient's perspective undergoing surgery with the aforementioned disorders will
be discussed.
PMID- 24932172
TI - Primary clear-cell sarcoma in the mediastinum.
AB - We report a case of primary clear-cell sarcoma (CCS) in the mediastinum. In
October 2011, a 63-year-old man was admitted to our hospital for surgical
resection. The tumor was completely excised by video-assisted thoracoscopic
surgery. The tumor was well encapsulated and did not invade the pleura.
Histological examination led to a final diagnosis of primary CCS in the
mediastinum. The patient remains alive without evidence of recurrence at 15
months after surgery.
PMID- 24932173
TI - Epithelioid myoepithelioma of the accessory parotid gland: pathological and
magnetic resonance imaging findings.
AB - Tumors of the accessory parotid gland (APG) are rare, and pleomorphic adenoma
(PA) is the most common benign APG tumor subtype. Myoepithelioma of the APG is
much rarer than PA, and to date, only 5 cases have been sporadically reported in
the English literature. We describe the clinicopathological and MRI findings of
an epithelioid myoepithelioma of the APG that was treated in our hospital. The
patient's only clinical symptom was a slow-growing and painless mid-cheek mass.
The tumor was suspected to be PA before surgery based on the following MRI
findings: (1) a well-circumscribed and lobulated contour, (2) isointensity and
hyperintensity relative to the muscle on T1- and T2-weighted images (WIs),
respectively, (3) good enhancement on contrast-enhanced T1-WIs, (4) peripheral
hypointensity on T2-WIs, and (5) a gradual time-signal intensity curve
enhancement pattern on gadolinium-enhanced dynamic MRI. The tumor was completely
resected via a standard parotidectomy approach, and the postoperative
pathological examination of the tumor, including immunohistochemistry, confirmed
the diagnosis of epithelioid myoepithelioma. As it is hardly possible to
distinguish myoepithelioma from PA and low-grade malignant tumors preoperatively,
a pathological examination using frozen sections is helpful for surgical strategy
related decisions.
PMID- 24932175
TI - Two cases of mastectomy after Paclitaxel + bevacizumab therapy for locally
advanced breast cancer.
AB - INTRODUCTION: Locally advanced breast cancer (LABC) deteriorates the quality of
life (QOL) of the affected patients. Combination chemotherapy or extended
chemotherapy is considered to help to shrink local lesions. CASE 1: A 71-year-old
female with a history of tympanitis and cystitis with methicillin-resistant
Staphylococcus aureus (MRSA) visited our hospital. There was a tumor of 7 cm in
diameter in her right breast with skin ulceration. Paclitaxel + bevacizumab
therapy was started, and after five cycles of therapy, a mastectomy with axillary
dissection was performed. Chemotherapy with anthracycline was avoided for fear of
activating the MRSA. After the operation, the patient's wound opened. However, it
naturally epithelialized. CASE 2: A 41-year-old female visited our hospital due
to a tumor of 8 cm in diameter in her right breast with skin ulceration. Four
cycles of paclitaxel + bevacizumab therapy were started, and her tumor almost
disappeared during the first cycle. Then, doxorubicin + cyclophosphamide therapy
was performed for four cycles, and a mastectomy with axillary dissection was
performed. Her postoperative course was good. DISCUSSION: Chemotherapy with
bevacizumab or extended chemotherapy is generally not considered to contribute to
a survival improvement. However, such therapy contributes in increasing the
response to chemotherapy, and should be considered for patients with LABC to
shrink the local lesions and improve the QOL.
PMID- 24932174
TI - Combination Chemotherapy of Azacitidine and Cetuximab for Therapy-Related Acute
Myeloid Leukemia following Oxaliplatin for Metastatic Colorectal Cancer.
AB - Therapy-related leukemia (TRL) has been reported to occur after treatment with
alkylating agents and/or topoisomerase II inhibitors. Oxaliplatin (OXP) is used
as a key drug for the treatment of colorectal cancer (CRC). Cisplatin and
carboplatin have been linked with TRL, but the involvement of OXP is
questionable. A 74-year-old male was diagnosed with peritoneal metastasis from
CRC in July 2011. The patient received nine cycles of 5-fluorouracil (5-FU),
leucovorin (LV), and OXP (mFOLFOX-6 regimen) and three cycles of 5-FU and LV
only, resulting in a clinical complete response. However, recurrence of CRC was
detected by CT within 3 months after the last course of chemotherapy. In April
2013, laboratory tests showed pancytopenia and 15% blast cells. A bone marrow
examination revealed multilineage dysplasia and 20.4% myeloblasts. Cytogenetic
analysis indicated a complex karyotype that included chromosome 5 and 7
abnormalities. The patient was diagnosed with TRL and treated with a combination
of azacitidine (AZA) and cetuximab (Cmab) for both cancers. AZA might be useful
in TRL when a patient needs to be treated simultaneously for more than one
primary cancer because of its low toxicity. Moreover, Cmab is an effective
therapeutic tool in TRL patients with metastatic CRC with the wild-type K-ras
gene.
PMID- 24932177
TI - B-cell malignant lymphoma presenting as otitis media and mastoiditis associated
with sinus thrombosis.
AB - Cerebral venous thrombosis as a manifestation of paraneoplastic angitis and
otitis media, revealing non-Hodgkin B-cell lymphoma (NHBL), is extremely rare. A
57-year-old woman presented with headache, auditory disturbance and recalcitrant
otitis media. Magnetic resonance imaging showed brain edema in the temporal lobe
and transverse sinus thrombosis. External drainage under antibiotic treatment was
repeated based on a diagnosis of invasive otitis media and mastoiditis associated
with infectious sinus thrombosis, but the condition deteriorated progressively.
Open surgery for otitis media was performed 6 years after the initial symptoms
and after a tumorous lesion had been detected in the middle ear. Pathological
findings revealed NHBL. We report a rare case of NHBL presenting as otitis media
and mastoiditis associated with sinus thrombosis, and a literature review.
PMID- 24932176
TI - Dandy-walker malformation and down syndrome association: good developmental
outcome and successful endoscopic treatment of hydrocephalus.
AB - The association of Down syndrome (DS) with Dandy Walker malformation (DWM) is
extremely rare, with only 3 cases reported to date. All cases reported have shown
a bad life expectancy and a bad developmental outcome. The present case reveals
the possibility of a good prognosis. A 19-month-old male patient had successful
endoscopic hydrocephalus treatment and a good developmental outcome. He probably
had a better outcome because of good DS and DWM prognostic parameters. Our
patient suffered from a DWM with vermis identification of 2 fissures and 3 lobes
and a DS with a well-preserved tonus, which was not associated with other
congenital systemic defects. We may conclude that the prognosis of DS-DWM
association may separately depend on the degree of clinical and neurological
involvement of each malformation.
PMID- 24932178
TI - Steroid-Responsive Epilepsia Partialis Continua with Anti-Thyroid Antibodies: A
Spectrum of Hashimoto's Encephalopathy?
AB - BACKGROUND: When a neuropsychiatric symptom due to encephalopathy develops in a
patient with anti-thyroid antibodies, especially when the symptom is steroid
responsive, Hashimoto's encephalopathy (HE) needs to be included in the
differential diagnosis of the patient. Although HE is an elusive disease, it is
thought to cause various clinical presentations including seizures, myoclonus,
and epilepsia partialis continua (EPC). CASE REPORT: We present the case of a 33
year-old Japanese woman who acutely developed EPC in the right hand as an
isolated manifestation. A thyroid ultrasound showed an enlarged hypoechogenic
gland, and a thyroid status assessment showed euthyroid with high titers of
thyroid antibodies. A brain MRI revealed a nodular lesion in the left precentral
gyrus. Corticosteroid treatment resulted in a cessation of the symptom.
CONCLUSIONS: A precentral nodular lesion can be responsible for steroid
responsive EPC in a patient with anti-thyroid antibodies and may be caused by HE.
The serial MRI findings of our case suggest the presence of primary
demyelination, with ischemia possibly due to vasculitis around the demyelinating
lesion.
PMID- 24932179
TI - Acute retinal necrosis in childhood.
AB - BACKGROUND: Acute retinal necrosis (ARN) is a viral syndrome consisting of
uveitis/vitritis, occlusive vasculitis and peripheral necrosis. Few incidents are
reported in children. The etiology is reactivated herpes simplex virus (HSV) or
varicella-zoster virus (VZV). Treatment with acyclovir is often used. The
administration of oral glucocorticosteroids is of unproven benefit. Prognosis is
variable but poor. METHODS: Three weeks after contracting mild chickenpox, a
healthy 4-year-old girl developed blurred vision in her right eye. Severely
reduced visual acuity was noted, together with anterior uveitis, 'mutton-fat'
precipitates and vitral flare. Retinal vasculitis with necrosis was present.
Serology for toxoplasma, cytomegalovirus and HIV was negative, while HSV and VZV
IgG antibodies were positive. She was treated with 30 mg/kg of intravenous
methylprednisolone (3 days), 30 mg of oral prednisone (3 days), and tapering for
8 weeks. Intravenous acyclovir was given for 10 days, followed by oral acyclovir
for 4 months. Aspirin (100 mg/day) was given for 4 months. RESULTS: At 12 months,
the girl felt good. Her right eye acuity was 6/9, with an intraocular pressure of
17 mm Hg. The peripheral retina showed scarring but no detachment. CONCLUSIONS:
This is the first report of a once-daily high-dose methylprednisolone pulse
therapy in one of the youngest known ARN cases. Pulsed steroid therapy was based
on its known effectiveness in vasculitis, which is the main pathophysiology in
ARN. There was no evidence of steroid-related viral over-replication. Our case
achieved an excellent clinical and ophthalmic recovery in spite of the poor
prognosis. The positive result of this case report provides a basis for further
evaluation of high-dose steroid pulse therapy in ARN.
PMID- 24932180
TI - Primary Multiple Simultaneous Intracerebral Hemorrhages between 1950 and 2013:
Analysis of Data on Age, Sex and Outcome.
AB - BACKGROUND: Primary multiple simultaneous intracerebral hemorrhages (MSICHs) are
quite rare. Although occasional reports have been found, there have been no
systematic reviews. The published case reports and case series contain
overlapping data, leading to erroneous information about MSICHs. This is the
first extensive review of accessible studies published in English on MSICHs. Our
primary objective was to analyze the demographic data on age, sex, outcome and
prognosis with regard to primary MSICHs. SUMMARY: A PubMed search without
language restriction for articles with results from human studies and registered
between January 1950 and September 2013 yielded 677 articles. The following
inclusion criteria were applied: (1) reported case(s) or case series on primary
MSICHs; (2) text partly or fully in English, and (3) text contains identifiable
data on age, sex and outcome of patients. A total of 24 articles met all the
inclusion criteria. The reference lists of these 24 articles were inspected for
additional relevant articles, which yielded another 20 articles. In all, 248
cases were identified; 143 cases were excluded for various reasons: 52 duplicate
cases, 18 cases of multiple nonsimultaneous intracerebral hemorrhages, 25 cases
of secondary MSICHs, and 48 cases with incomplete data on age, sex and outcome.
The remaining 105 cases were analyzed. MSICHs were found to be more common in
bilateral cases (53.33%): there were bilateral basal ganglia hemorrhages
(33.33%), bilateral thalamic hemorrhages (18.10%), bilateral lobar hemorrhages
(0.95%) and bilateral cerebellar hemorrhages (0.95%). Nonbilateral MSICHs were
found in 46.67% of the cases. The hematomas were commonly distributed in the
basal ganglia (45.83%), thalamus (30.56%) and cerebellum (10.19%). MSICHs were
more frequently encountered in males (60.95%; average age: 59.13 +/- 12.49
years). The average age of the female patients was higher (63.89 +/- 13.11
years). Patients with primary MSICHs had a survival rate of 56.20%. There was a
favorable outcome of primary MSICHs in 18.10% of all the cases, the highest
proportion of which was in the nonbilateral MSICH group. The remaining 38.10% had
unfavorable outcomes. Death occurred in 43.80% of all cases, the highest
proportion being found in the bilateral basal ganglia hemorrhage group. Primary
MSICHs share features with solitary intracerebral hemorrhage regarding age, sex,
and the location and distribution of hematomas, but they have a poorer outcome (p
< 0.05). KEY MESSAGES: Primary MSICHs are rare and share features with solitary
intracerebral hemorrhage regarding age and the location and distribution of
hematomas. Patients have a poorer prognosis but higher favorable outcome rates in
case of survival. This information adds to the awareness of clinicians that
higher rates of favorable outcomes can be achieved for MSICHs.
PMID- 24932181
TI - Effectiveness of montelukast administered as monotherapy or in combination with
inhaled corticosteroid in pediatric patients with uncontrolled asthma: a
prospective cohort study.
AB - BACKGROUND: Asthma is the most common chronic disease of childhood and a leading
cause of childhood morbidity. The aim of the current study was to assess the
effectiveness of montelukast administered as monotherapy or in combination with
current inhaled corticosteroids (ICS) in pediatric patients with uncontrolled
asthma as per the Canadian Asthma Consensus Guidelines. METHODS: Twelve-week,
multicentre, open-label, observational study. Primary effectiveness outcome was
the proportion of patients achieving asthma control (Asthma Control Questionnaire
(ACQ) score <=0.75) at weeks 4 and 12. RESULTS: A total of 328 patients with
uncontrolled asthma (ACQ > 0.75) were enrolled with mean +/- SD age of 6.9 +/-
3.4 years. Among these, 76 (23.2%) were treated with montelukast monotherapy and
252 (76.8%) with montelukast combined with ICS. By 4 weeks of treatment 61.3% and
52.9% of the patients in the monotherapy and combination group, respectively,
achieved asthma control. These proportions increased to 75.0% and 70.9%,
respectively, at 12 weeks. Within the monotherapy group, clinically significant
improvements in the ACQ score (mean +/- SD of 1.67 +/- 0.69, 0.71 +/- 0.70 and
0.50 +/- 0.52 at baseline, 4 and 12 weeks, respectively; p < 0.001) and the
PACQLQ score (mean +/- SD of 5.34 +/- 1.14, 6.32 +/- 0.89 and 6.51 +/- 0.85 at
baseline, 4 and 12 weeks, respectively; p < 0.001) were observed. In the
combination group, the mean +/- SD ACQ score significantly improved from 2.02 +/-
0.83 at baseline to 0.90 +/- 0.86 at 4 weeks and 0.64 +/- 0.86 at 12 weeks (p <
0.001), while the PACQLQ score improved from 4.42 +/- 1.35 at baseline to 5.76 +/
1.30 at 4 weeks and 6.21 +/- 1.03 at 12 weeks (p < 0.001). After a 12-week
montelukast add-on therapy, 22.6% of patients reduced their ICS dosage. Similar
results were observed among preschool- and school-aged patients. CONCLUSIONS:
Montelukast as monotherapy or in combination with ICS represents an effective
treatment strategy for achieving asthma control in pediatric patients and
improving caregivers' quality of life. TRIAL REGISTRATION: This study is
registered at ClinicalTrial.gov: NCT00832455.
PMID- 24932183
TI - Nitrogen containing bisphosphonates associated osteonecrosis of the jaws: A
review for past 10 year literature.
AB - Nitrogen containing bisphosphonate (N-BP) therapy is used extensively to treat
osteoporosis and osteolytic bone lesions. Recently, a special form of
osteonecrosis limited to the maxillofacial skeleton has been discovered
especially within those patients who are receiving either long-term N-BP therapy
alone and/or associated with invasive dental procedure. Bisphosphonates
accumulate almost exclusively in maxillofacial skeleton owing to high bone turn
over remodeling to maintain the mechanical competence. The pathogenesis and why
it commonly appears in maxillofacial skeleton and the fixed treatment strategies
remains unknown. The aim of this study was to improve the clinician understanding
of N-BPs associated osteonecrosis of maxillofacial skeleton by reviewing the past
10 year literature.
PMID- 24932182
TI - Epigenetic regulation of asthma and allergic disease.
AB - Epigenetics of asthma and allergic disease is a field that has expanded greatly
in the last decade. Previously thought only in terms of cell differentiation, it
is now evident the epigenetics regulate many processes. With T cell activation,
commitment toward an allergic phenotype is tightly regulated by DNA methylation
and histone modifications at the Th2 locus control region. When normal epigenetic
control is disturbed, either experimentally or by environmental exposures,
Th1/Th2 balance can be affected. Epigenetic marks are not only transferred to
daughter cells with cell replication but they can also be inherited through
generations. In animal models, with constant environmental pressure,
epigenetically determined phenotypes are amplified through generations and can
last up to 2 generations after the environment is back to normal. In this review
on the epigenetic regulation of asthma and allergic diseases we review basic
epigenetic mechanisms and discuss the epigenetic control of Th2 cells. We then
cover the transgenerational inheritance model of epigenetic traits and discuss
how this could relate the amplification of asthma and allergic disease prevalence
and severity through the last decades. Finally, we discuss recent epigenetic
association studies for allergic phenotypes and related environmental risk
factors as well as potential underlying mechanisms for these associations.
PMID- 24932185
TI - Do dental stem cells depict distinct characteristics? - Establishing their
"phenotypic fingerprint".
AB - Dental tissues provide an alternate source of stem cells compared with bone
marrow and have a similar potency as that of bone marrow derived mesenchymal stem
cells. It has been established there are six types of dental stem cells: Dental
pulp stem cells, stem cells from human exfoliated deciduous teeth, stem cells
from apical papilla, periodontal ligament stem cells, dental follicle progenitor
cells, oral periosteum stem cells and recently gingival connective tissue stem
cells. Most of the dental tissues have a common developmental pathway; thus, it
is relevant to understand whether stem cells derived from these closely related
tissues are programmed differently. The present review analyzes whether stem
cells form dental tissues depict distinct characteristics by gaining insight into
differences in their immunophenotype. In addition, to explore the possibility of
establishing a unique phenotypic fingerprint of these stem cells by identifying
the unique markers that can be used to isolate these stem cells. This, in future
will help in developing better techniques and markers for identification and
utilization of these stem cells for regenerative therapy.
PMID- 24932184
TI - Periodontio-integrated implants: A revolutionary concept.
AB - Though the fields of regenerative dentistry and tissue engineering have undergone
significant advancements, yet its application to the field of implant-dentistry
is lacking; in the sense that presently the implants are being placed with the
aim of attaining osseointegration without giving consideration to the
regeneration of periodontium around the implant. The following article reveals
the clinical benefits of such periodontio-integrated implants and reviews the
relevant scientific proofs. A comprehensive research to provide scientific
evidence supporting the feasibility of periodontio-integrated implants was
carried out using various online resources such as PubMed, Wiley-Blackwell,
Elsevier etc., to retrieve studies published between 1980 and 2012 using the
following key words: "implant," "tissue engineering," "periodontium," "osseo
integration," "osseoperception," "regeneration" (and their synonyms) and it was
found that in the past three decades, several successful experiments have been
conducted to devise "implant supported by the periodontium"that can maintain
form, function and potential proprioceptive responses similar to a natural tooth.
Based on these staunch evidences, the possibility of the future clinical use of
such implant can be strongly stated which would revolutionize the implant
dentistry and will be favored by the patients as well. However, further studies
are required to validate the same.
PMID- 24932186
TI - Immunohistochemical analysis of Langerhans cells in chronic gingivitis using anti
CD1a antibody.
AB - BACKGROUND: The Langerhans cells (LCs) are dendritic cells (DCs) which belong to
the group of antigen presenting cells (APCs). Their function is to recognize the
antigen, capture it, and present it to the T lymphocytes; thus initiating an
early immune response. The antigen presenting functional LCs may play an
important part in initiation and development of gingivitis. The aim of this study
was to analyze the density, intraepithelial distribution, and morphology of LCs
in gingival epithelium among different age groups with chronic gingivitis and to
compare it with that of normal gingiva. MATERIALS AND METHODS:
Immunohistochemistry (IHC) was performed to study LCs in normal gingival
epithelium (n = 10) and gingival epithelium in chronic gingivitis (n = 30) using
anti-CD1a antibody. Mann Whitney U test was performed to compare the density of
LCs in normal gingiva with chronic gingivitis. The distribution of LCs in various
layers of the epithelium within the three age groups was analyzed using Kruskal
Wallis test. P value less than 0.05 was considered as significant. RESULTS: The
density of LCs in chronic gingivitis was significantly higher then that of normal
gingiva. Comparing different age groups, the younger individuals had more number
of LCs which were located in the superficial layers of gingival epithelium. In
chronic gingivitis, higher number of LCs were located in deeper layers when
compared with that of normal gingiva. Three morphological types of CD1a positive
LCs were observed in normal gingiva, out of which the density of LCs with
branched dendritic processes was highest in normal gingiva. CONCLUSION: The LCs
showed variable number, location, and morphology which indicated their adaptation
for function in chronic gingivitis.
PMID- 24932187
TI - Oxidant-antioxidant status in tissue samples of oral leukoplakia.
AB - BACKGROUND: Imbalances between the oxidant-antioxidant status have been
implicated in the pathogenesis of several diseases, including oral cancer.
Majority of oral cancer are preceded by a well-recognized group of pre-malignant
lesions. However, only a small fraction of those lesions, undergo malignant
transformation. Hence, there is a great need to identify biological markers,
which will assist in identifying lesion carrying high-risk. This study aims to
evaluate and compare the status of oxidative stress and antioxidant enzymes in
tissue samples of patients with various clinicopathological stages of oral pre
malignancy. MATERIALS AND METHODS: A case control study consisting of 20 new
histopathologically proven leukoplakia patients and equal number of age, sex, and
habit matched healthy subjects were recruited for this study. Their tissue
samples were subjected to evaluation of lipid peroxidation product,
thiobarbituric acid reactive substances and antioxidant enzymes, namely,
superoxide dismutase (SOD), catalase (CAT), reduced glutathione (GSH), and
glutathione peroxidase (GPx) using spectrophotometric methods. The data are
expressed as mean +/- standard deviation. The statistical comparisons were
performed by independent Student's unpaired t-test and one-way analysis of
variance. Pearson's correlation was performed for the biochemical parameters
within the group and between the groups. For statistically significant
correlations, simple linear regression was performed. P- value < 0.05 was
considered statistically significant. RESULTS: Significant reduction in lipid
peroxidation (P < 0.001) SOD and CAT (P < 0.001) was observed in the tissue of
leukoplakia patients as compared to the healthy controls. On the other hand, GSH
and GPx were significantly increased in tumor samples. CONCLUSION: Reduced lipid
peroxidation and increased activity of GSH and GPx provides the suitable
environment for the tumor growth and malignant transformation in the later
stages. Among the antioxidant enzymes, glutathione reductase appears to have a
profound role in carcinogenesis.
PMID- 24932188
TI - Mast cell count in oral reactive lesions: A histochemical study.
AB - BACKGROUND: The aim of this study was to quantify the number of mast cells in
focal reactive hyperplastic lesions of the oral cavity and to compare these two
number of mast cells in normal gingival tissues and to correlate their presence
with the state of connective tissue changes in reactive lesions and probably
suggest a role for mast cells in these lesions. MATERIALS AND METHODS: Patient
records were retrieved during a 10 year period from 2001 to 2010. Data of all
reactive hyperplasias namely focal fibrous hyperplasia, pyogenic granuloma (PG),
peripheral ossifying fibroma (POF) and peripheral giant cell granuloma (PGCG)
were reviewed and 10 cases seen in the gingiva were selected for each category
and stained with 1% toluidine blue for mast cells. Statistical analysis was
applied to see the significant differences between the groups and with the normal
gingival tissue. One-way ANOVA-F and unpaired t-test was applied and significant
differences were seen between the groups at 5% level of significance. RESULTS: In
this study, mast cell count was maximum in POF and fibrous hyperplasia (FH)
followed by cases of PG and PGCG. CONCLUSION: The number of mast cells was more
numerous in POF and FH suggesting that mast cell activation is a characteristic
feature of chronic inflammation, a condition that may lead to fibrosis as a
result of increased collagen synthesis by fibroblasts.
PMID- 24932189
TI - Combined effects of Er: YAG laser and casein phosphopeptide-amorphous calcium
phosphate on the inhibition of enamel demineralization: An in vitro study.
AB - BACKGROUND: Development of white spot lesions on enamel is a significant and
common problem during the fixed orthodontic treatment. Various preventive methods
have been suggested. The purpose of this study was to evaluate the preventive
potential of MI Paste Plus, Er: YAG Laser and combined under similar in vitro
conditions against demineralization. MATERIALS AND METHODS: In this experimental
in vitro study, 60 extracted premolars were randomly allocated to four groups (n
= 15) of control, MI Paste Plus, Laser and MI + Laser (MIL). Enamel surface of
each group was treated with one of above materials before and during the pH
cycling for 12 days through a daily procedure of demineralization and
remineralization for 3 h and 20 h, respectively. Teeth were sectioned and
evaluated quantitatively by cross-sectional microhardness testing at 20 MUm
intervals from the outer enamel surface toward dentinoenamel junction up to 160
MUm and data were analyzed using the one-way analysis of variance and Tukey test.
P < 0.05 was considered as significant. RESULTS: MIL group had the least amount
of demineralization (P < 0.001). Control group (C group) had the greatest
relative mineral loss and the laser group (L group) had 45% less mineral loss
than the C group and there was no significant difference between the MI Paste
Plus and L group (P = 0.154). CONCLUSION: BASED ON THESE RESULTS, ER: YAG laser
was able to decrease demineralization and was a potential alternative to
preventive dentistry and was more effective when combined with casein
phosphopeptide-amorphous calcium phosphate products.
PMID- 24932190
TI - Histological evaluation of pulp tissue from second primary molars correlated with
clinical and radiographic caries findings.
AB - BACKGROUND: Managing dental caries in young children is demanding due to the
elusions present on the right diagnostic criteria for treatment. The present
study evaluated the histological status of pulp tissues extracted from primary
second molar with caries involvement. Histological findings are correlated with
clinical and radiographic assessment. MATERIALS AND METHODS: Simple experimental
study was conducted on upper or lower second primary molars with occlusal (22
teeth) or proximal (22 teeth) dental caries. Selected children were below 6 years
of age. Percentage of caries involvement, residual dentin thickness (RDT),
radiographic assessment of interradicular and periapical areas, clinical caries
depth and signs and symptoms are the parameters considered for comparing with the
histological findings. The specimens were grouped based on the nature of the
inflammatory process as acute or chronic. The data were analyzed by Student t
test to compare histological types of inflammation with clinical parameters. P
value < 0.05 was considered as significant. RESULTS: Four cases revealed severe
acute inflammation in coronal and relatively mild acute inflammation in radicular
pulp. In the rest of the specimen coronal and radicular pulp had similar acute or
chronic inflammatory changes. Histological evidence of pulpitis correlated with
dental caries depth of >=80%, RDT of <=1 mm, radiographic rarefactions in the
interradicular regions and symptoms of pain. CONCLUSION: Primary second molars
with more than two-third caries involvement with symptoms of pain histologically
showed inflammation of both coronal and radicular pulp tissues in all cases.
PMID- 24932191
TI - Detection of oral squamous cell carcinoma metastasis with cathepsin D: An
immunohistochemical approach.
AB - BACKGROUND: The lysosomal protease cathepsin D (CD) has been associated with
tumor progression in malignant tumors including oral squamous cell carcinoma
(OSCC). The purpose of this study was to find out any association between the CD
and lymph node metastasis and to study the correlation of CD with various
clinicopathological parameters to aid in assessment of its role as a prognostic
indicator. MATERIALS AND METHODS: Immunohistochemical staining was performed on
20 OSCC samples with polyclonal antibody against CD. Positive results indicative
of the presence of CD were further analyzed to determine any correlation between
the CD and other clinicopathological parameters. Pearson Chi-square analyses,
Spearsman correlation coefficient, Mann-Whitney test, Kruskal Wallis test and
student t test were used for statistical analysis (P < 0.05). RESULTS: Patients
with lymph node metastasis showed statistically significant increase in CD
expression (P < 0.01). Increasing tumor size seemed to correlate with increased
CD expression (P < 0.05). CONCLUSION: Based on its association with other
clinicopathological variables, CD expression can be used for the assessment of
patient survival in cases of OSCC.
PMID- 24932192
TI - Efficiency of systemic versus intralesional bone marrow-derived stem cells in
regeneration of oral mucosa after induction of formocresol induced ulcers in
dogs.
AB - BACKGROUND: Bone marrow mesenchymal stem cells (BMSCs) are the key to
regenerative wound healing. MSCs have spatial memory and respond to local
environment. The goal of this study was to evaluate the use of systemic and
intralesional transplantation of BMSCs for regeneration of oral mucosa in an in
vivo dog model. MATERIALS AND METHODS: Transplantation of undifferentiated green
fluorescent protein (GFP)-labeled autologous BMSCs systemically, submucosally or
vehicle (saline) was injected around the chemically induced oral ulcer in each
group of 18 adult dogs. The healing process of the ulcer was monitored clinically
and histopathologically. Gene expression of vascular endothelial growth factor
(VEGF) and collagen genes was detected in biopsies from all ulcers. One way ANOVA
was used to compare between means of the three groups. Results were considered
significant at P < 0.05. RESULTS: Flow cytometric analysis of the MSCs at the
passage 3 showed that these cells were negative for CD45 (2.39%). They expressed
high levels of CD29 (98.34%). Frozen fluorescence microscopy of sections of the
cell-treated oral tissue of all groups indicated that the GFP-transduced
implanted cells were integrated within the transplanted tissues. The treatment
resulted in dramatic wound edge activation and resurfacing of oral mucosa wound.
CONCLUSION: Our results revealed that BMSCs may be labeled with (GFP), in order
to know the distribution of these cells after administration, and suggest that
intralesional administration is an appropriate procedure to achieve acceptable
regeneration of the previously injured oral mucosa more than systemic route.
PMID- 24932193
TI - Apical sealing ability of resilon/epiphany system.
AB - BACKGROUND: Endodontic leakage research focus mainly on the quality of the apical
seal of the root canal system and the newly introduced resilon/epiphany system
claim to be superior to Gutta-percha in respect to obturation procedure. The aim
of this study is to evaluate the root canal obturation completed by
resilon/epiphany system. MATERIALS AND METHODS: A total of 42 teeth were selected
and were divided into four groups. Two experimental groups consisted of 15 teeth
each and positive control group consisted of eight teeth and negative control
group consisted of four teeth. In the experimental groups, Group 1 was obturated
with resilon/epiphany system and Group 2 was obturated with Gutta-percha and
endofil sealer by lateral condensation technique. The teeth were then immersed in
Methelene blue solution and were split longitudinally to access the amount of dye
penetrated in the canal. The specimens were viewed under scanning electron
microscope to evaluate the adhesion of the obturating material to the root canal
walls. Data was subjected to statistical analysis by Analysis of Variance and
Bonferroni multiple comparison test at 1% level of significance. RESULTS: The
resilon/epiphany system showed better adaptation to the root canal walls, but the
difference in dye penetration was not statistically significant when compared to
specimens obturated with Gutta-percha and endofil sealer. CONCLUSION: Although,
there was no statistically significant difference between the groups, but
resilon/epiphany system showed better adaptation to the root canal walls.
PMID- 24932194
TI - Evaluation of the efficacy of taurine as an antioxidant in the management of
patients with chronic periodontitis.
AB - BACKGROUND: In the recent years, various studies have shown a link between the
free radicals, antioxidants and periodontal diseases. The purpose of the present
study was to evaluate the oxidative stress and the antioxidant status present in
the gingival tissue and plasma of patients with chronic periodontitis and to
evaluate the antioxidant property of taurine. MATERIALS AND METHODS: Periodontal
status in 10 chronic periodontitis patients was assessed in terms of gingival
index, plaque index, probing pocket depth and clinical attachment level prior to
and after oral administration of taurine (500 mg O.D.) for 15 days. The oxidative
stress present in the gingival tissue and blood (by measuring thiobarbituric acid
reactive substance [TBARS]) and the antioxidants namely glutathione peroxidase
(GPX) and reduced glutathione (GSH) were estimated before and after
administration of taurine. The changes in the clinical parameters were also
reassessed following administration of taurine. Statistical comparisons were
performed using the Student's t-test. A level of P < 0.05 was considered as
statistically significant. RESULTS: The levels of TBARS in plasma and gingival
tissue showed a significant reduction (P < 0.001) following administration of
taurine. The antioxidant enzyme GPX showed a significant reduction following
administration of taurine (P < 0.001), whereas GSH increased significantly (P <
0.001) following administration of taurine. The improvement in the periodontal
status following administration of taurine was also significant statistically.
CONCLUSION: Based on the biochemical and clinical assessments, taurine seems to
exert a protective role against the oxidative stress in the management of
patients with chronic periodontitis.
PMID- 24932195
TI - Evaluation of load-deflection properties of fiber-reinforced composites and its
comparison with stainless steel wires.
AB - BACKGROUND: The aim of this study was to evaluate the response of common sized
fiber-reinforced composites (FRCs) to different deflections due to bending forces
and comparing it with stainless steel (SS) wires. MATERIALS AND METHODS: In this
experimental study, two FRC groups with 0.75 mm and 1.2 mm diameters (Everstick
Ortho, Stick Tech, Finland) and three SS groups with 0.016 * 0.022 inch, 0.0215 *
0.028 inch and 0.7 mm diameters (3M Uniteck, Monrovia, California, USA) were
tested. Each group contained 10 samples that were tested according to a three
point bending test. Each group was tested at deflections of 0.5, 1 and 1.5 mm and
the data was analyzed using the repeated measure ANOVA by SPSS software
(Statistical Package for the Social Sciences, IBM SPSS, Inc. in Chicago,
Illinois, USA). P < 0.05 was considered as significant. RESULTS: The highest
recorded load belonged to the 1.2 mm FRC and after that 0.7 mm SS wire, 0.75 mm
FRC, 0.0215 * 0.028 inch SS wire and finally 0.016 * 0.022 inch SS wire. The 0.7
mm SS wire and 0.75 mm FRC were compared as retainers and the results showed the
0.7 mm SS wire showed significantly higher load compared with 0.75 mm FRC (P <
0.05). The 1.2 mm FRC had significantly higher load compared to 0.0215 * 0.028
inch and 0.016 * 0.022 inch SS wires (P < 0.05). CONCLUSION: The results showed
that the 1.2 mm FRC group had significantly higher load compared to SS wires and
other FRC groups under the 0.5, 1 and 1.5 mm deflections. Therefore, it can be
suggested that FRC can be used as an esthetic replacement for SS wires for active
and passive purposes in orthodontics.
PMID- 24932196
TI - A comparative study of Candida albicans mean colony counts and blood group
antigens in the saliva of healthy subjects.
AB - BACKGROUND: Candida albicans is the most common opportunistic fungal species in
the oral cavity. Various factors associated with C. albicans infection have been
evaluated so far. In some studies, the relationship between the blood group
antigens and C. albicans has been discussed. The aim of this study was to assess
mean C. albicans colony counts in the saliva of healthy subjects and its
relationship with ABO blood groups. MATERIALS AND METHODS: This cross
sectional/analytical study was performed in the Oral Medicine Department, School
of Dentistry, Isfahan University of Medical Sciences. Unstimulated whole saliva
samples were obtained from 300 healthy subjects, including 100 individuals with
blood group O, 100 with blood group A and 100 with blood group B. The samples
were cultured on Sabouraud's dextrose agar media to determine the means of C.
albicans colonies. Data were analyzed by Kruskal-Wallis and Mann-Whitney
statistical tests and SPSS 16. Statistical significance was defined at P < 0.05.
RESULTS: The samples included 156 males and 144 females with a mean age of 27.52
years. The mean colony counts in the saliva of individuals with blood groups O,
A, and B were 26.4, 19.84, and 21.23, respectively. There were no significant
differences between the three groups (P = 0.280). CONCLUSION: Although the mean
C. albicans colony counts in individuals with blood group O were more than those
with other blood groups, the differences were not statistically significant. More
research studies are needed in order to prove the role of blood groups in
susceptibility to candidiasis.
PMID- 24932197
TI - A modified efficient method for dental pulp stem cell isolation.
AB - BACKGROUND: Dental pulp stem cells can be used in regenerative endodontic
therapy. The aim of this study was to introduce an efficient method for dental
pulp stem cells isolation. MATERIALS AND METHODS: In this in-vitro study, 60
extracted human third molars were split and pulp tissue was extracted. Dental
pulp stem cells were isolated by the following three different methods: (1)
digestion of pulp by collagenase/dispase enzyme and culture of the released
cells; (2) outgrowth of the cells by culture of undigested pulp pieces; (3)
digestion of pulp tissue pieces and fixing them. The cells were cultured in
minimum essential medium alpha modification (alphaMEM) medium supplemented with
20% fetal bovine serum(FBS) in humid 37 degrees C incubator with 5% CO 2. The
markers of stem cells were studied by reverse transcriptase polymerase chain
reaction (PCR). The student t-test was used for comparing the means of
independent groups. P <0.05 was considered as significant. RESULTS: The results
indicated that by the first method a few cell colonies with homogenous morphology
were detectable after 4 days, while in the outgrowth method more time was needed
(10-12 days) to allow sufficient numbers of heterogeneous phenotype stem cells to
migrate out of tissue. Interestingly, with the improved third method, we obtained
stem cells successfully with about 60% efficiency after 2 days. The results of RT
PCR suggested the expression of Nanog, Oct-4, and Nucleostemin markers in the
isolated cells from dental pulps. CONCLUSION: This study proposes a new method
with high efficacy to obtain dental pulp stem cells in a short time.
PMID- 24932198
TI - Evaluation of isthmus prevalence, location, and types in mesial roots of
mandibular molars in the Iranian Population.
AB - BACKGROUND: Management of canal isthmus is considered as an important factor for
successful endodontic treatment. Accordingly, this study was designed to
determine the prevalence, location, and types of isthmus in mesial root canals of
extracted mandibular molars in a sample of Iranian population. MATERIALS AND
METHODS: In this cross-sectional descriptive study, 60 extracted molars with two
mesial canals were included. The samples were initially decoronated and then,
roots were sectioned horizontally at 2, 4, and 6 mm levels from the apex via a
low-speed handpiece with a thin metallic disk and finally prepared and stained
with Indian ink. All sections were examined using a stereomicroscope at a
magnification of *30. Prevalence, location, and types of isthmus were evaluated
based on the classifications by Kim and Teixeira and all data were statistically
analyzed by the chi-squared test. The statistical significance level was
established at 0.05. RESULTS: Eighty-three percent of extracted mandibular molars
had an isthmus at the mesial root. This prevalence increased with distance from
the apex, that is, 92% at 6 mm from the apex and 70% at 2 mm from the apex. A
statistically significant difference was found between the sections at 2 and 6 mm
from the apex (P < 0.05), but no other significant differences between other
levels (P > 0.05). CONCLUSION: Isthmus is very common in the mesial roots of the
mandibular permanent molars in the Iranian population, with the highest
prevalence in the 6 mm distance from the root apex. Therefore, detection,
cleaning, and filling of these apical 6 mm isthmuses are of great benefit in
modern endodontics.
PMID- 24932199
TI - Immunohistochemical analysis of B-cell lymphoma -2 in pleomorphic adenoma and
mucoepidermoid carcinoma.
AB - BACKGROUND: Salivary gland tumors form a major area in the field of oral
pathology. B-cell lymphoma -2 (Bcl-2) is an anti-apoptotic gene with up
regulation in various neoplasms. The aim of the present case-control study was to
comparatively investigate the expression of Bcl-2 protein in pleomorphic adenoma
(PA) and mucoepidermoid carcinoma (MEC) and assess its potential diagnostic role
in differentiating these tumors. MATERIALS AND METHODS: A total of 28 cases (18
specimens of PA [control] and 10 blocks of MEC [case]) were selected for analysis
by streptavidin-biotin peroxidase method with antibody against Bcl-2. The
percentage of positive cells was calculated from a minimum of 1000 neoplastic
cells and H-score was identified (% positive cells * intensity of staining). Data
were analyzed using two-way ANOVA, t-test, Spearman, Chi-square and Fisher tests
(alpha = 0.05). RESULTS: bcl-2 expression was shown in 13 cases (71%) of PA and 3
cases (30%) of MEC. In addition, four cases of PA showed strong staining. There
was a significant difference between the expression intensity of Bcl-2 in the two
tumors (P = 0.048) according to ANOVA. No correlation was observed between Bcl-2
expression with the size and location of tumors (P > 0.05). CONCLUSION: Bcl-2
expression might be used for differentiating these tumors. Bcl-2 protein was
overexpressed in PA compared with MEC. Hence, it seems that unlike what was
observed in PA, Bcl-2 probably does not participate in the pathogenesis of MEC.
PMID- 24932200
TI - Fracture resistance of structurally compromised premolar roots restored with
single and accessory glass or quartz fiber posts.
AB - BACKGROUND: Glass and quartz fiber posts are used in restoration of structurally
compromised roots. Accessory fiber posts are recently introduced to enhance the
fiber post adaptation. This study evaluated the effectiveness of glass versus
quartz accessory fiber posts. MATERIALS AND METHODS: In this experimental study,
40 mandibular premolar roots with similar dimension (radius of 3.5 +/- 0.2 mm and
length of 13 +/- 0.5 mm) were selected and their root canals were flared until
1.5 mm of dentin wall remained. They were randomly assigned to four groups (n =
10) and restored as follows: Exacto glass fiber post (EX), Exacto glass fiber
post + 2 Reforpin accessories (EXR), D. T. Light quartz fiber post (DT), and D.
T. Light quartz fiber post + 2 Fibercone accessories (DTF). All posts were
cemented with Duo-Link resin cement and the cores were built with the particulate
filler composite. Following 1-week water storage, specimens were subjected to
fracture loads in a universal testing machine. The maximum loads and failure
modes were recorded and analyzed with the two-way analysis of variance (ANOVA)
and Fisher's exact tests (alpha = 0.05). RESULTS: The mean fracture resistance
values (N) were 402.8 (EX), 378.4 (EXR), 400.1 (DT), and 348.5 (DTF). Two-way
ANOVA test showed neither reinforcing method (P = 0.094), nor post composition (P
= 0.462) had statistically significant differences on fracture resistance of the
structurally compromised premolar teeth. Fisher's exact test also demonstrated no
statistically significant difference regarding two variables (P = 0.695). Core
fracture was the most common failure mode (62.5%). CONCLUSION: Glass and quartz
fiber posts with or without accessories restored the weakened premolar roots
equally.
PMID- 24932201
TI - A multilocular radiolucency of mandible as the first evidence of multiple
myeloma: A clinico-radiographic case report.
AB - The incidence of multiple myeloma (MM) affecting the jaws is 30% and on rare
occasions the oral involvement can be the first indication of the disease.
Authors report a case of MM in a 40-year-old woman who presented with a
multilocular radiolucent lesion in the left mandible initially mistaken as an
ameloblastoma. Conventional radiographs revealed a multilocular lesion on the
molar region. Computed tomography (CT) and 3 dimensional CT revealed lytic, space
occupying lesion perforating the inferior cortex. Magnetic resonance imaging
(MRI) revealed a hypointense lesion on T1 weighted image and hyperintense lesion
on T2 weighted image. Histopathological and lab investigations lead to the
diagnosis of MM. MRI is superior in depicting the size of the lesion as compared
to CT and conventional radiographs.
PMID- 24932202
TI - Prosthodontic management of a patient with Gardner's syndrome: A clinical case
report.
AB - Gardner's syndrome is a genetic condition demonstrating an autosomal dominant
trait and characterized by the multiple colonic polyps (familial adenomatous
polyposis coli) with sebaceous cysts and jaw osteomas. Various dental
abnormalities present in patient's suffering with this syndrome includes multiple
impacted or unerupted teeth, supernumerary teeth, hypodontia, compound odontomes
and dentigerous cyst. In this case report, a patient with Gardner's syndrome who
suffered from functional and psychological problems owing to multiple impacted,
unerupted teeth and hypodontia was presented. Patient was treated with a
maxillary conventional overdenture opposing mandibular custom bar supported
overdentures.
PMID- 24932203
TI - Pediatric cervicofacial actinomycosis disclosing an underlying congenital dermoid
cyst.
AB - Pediatric cervicofacial actinomycosis is a rare occurrence consequent to dental
infections and manipulations or maxillofacial trauma. The clinical presentation
ranges from multiple draining sinuses to swellings resembling tumors and cysts.
The present unusual case had congenital dermoid cyst of mid upper lip with
Actinomyces israelii infection identified on microscopy, culture, and
histopathology. A successful outcome in the present case was obtained using
combination of medical and surgical treatment.
PMID- 24932204
TI - Juvenile fibromatosis of the temporomandibular joint: A rare case report.
AB - Fibromatosis is the non-malignant proliferation of fibroblasts that aggressively
invade adjacent tissues. It is composed of well-differentiated cells separated by
considerable collagen and/or reticulin. The cause of this abundant growth is
unknown, but many suspect hormonal or traumatic influences. When fibromatosis
develops in the temporomandibular joint (TMJ) or adjoining tissues, its
aggressive growth can compress the trachea and cause death. The management is
difficult as it is perplexing to comprehend. Resection is the treatment of
choice. The following case report presents a rare case of fibromatosis involving
TMJ and its uneventful successful management.
PMID- 24932205
TI - On the authorship criteria.
PMID- 24932208
TI - A virus-induced gene silencing (VIGS) system for functional genomics in the
parasitic plant Striga hermonthica.
AB - BACKGROUND: Striga hermonthica is a hemiparasitic weed that infects cereals in
Sub Sahara Africa (SSA) resulting in up to 100% grain yield loss. This
significant loss in grain yields is a major contributor to food insecurity and
poverty in the region. Current strategies to control the parasite are costly,
unavailable and remain unpracticed by small-scale farmers, underscoring the need
for more economical and sustainable control strategies. Development of resistant
germplasm is the most sustainable strategy in the control of S. hermonthica, but
is constrained by paucity of resistance genes for introduction into crop
germplasm. RNA interference (RNAi) has potential for developing host-derived
resistance against S. hermonthica by transformation of host crops with RNAi
sequences targeted at critical Striga genes. The application of RNAi in
management of S. hermonthica is however constrained by lack of efficient high
throughput screening protocols for the candidate genes for silencing, as well as
sub optimal delivery of siRNAs into the parasite. In comparison to stable
transformation, viral induced gene silencing (VIGS) is a rapid and powerful tool
for plant functional genomics and provides an easy and effective strategy in
screening for putative candidate genes to target through RNAi. In addition, VIGS
allows for a secondary amplification of the RNAi signal increasing the siRNA
threshold and facilitates siRNA transport through viral movement proteins. We
tested the efficiency of the Tobacco rattle virus (TRV1 and TRV2) VIGS vectors in
silencing S. hermonthica phytoene desaturase (PDS) gene through agrodrench and
agro-infiltration. RESULTS: We report the validation of VIGS in S. hermonthica
using a silencing cassette generated from TRV with a PDS gene insert. Agro
infiltrated and agro-drenched S. hermonthica leaves showed photo-bleaching
phenotypes typical for PDS silencing within 7 and 14 days post infection
respectively. In both cases S. hermonthica plants recovered from photo-bleaching
effects within 28 days post inoculation. The transformation efficiency of the
VIGS protocol in S. hermonthica was (60 +/- 2.9)%. CONCLUSION: These results
demonstrate that the TRV-VIGS system work in S. hermonthica and can be used for
candidate gene validation for their role in the parasite development and
parasitism, with the ultimate goal of developing resistant transgenic maize.
PMID- 24932209
TI - Evaluation of Compton gamma camera prototype based on pixelated CdTe detectors.
AB - A proposed Compton camera prototype based on pixelated CdTe is simulated and
evaluated in order to establish its feasibility and expected performance in real
laboratory tests. The system is based on module units containing a 2*4 array of
square CdTe detectors of 10*10 mm2 area and 2 mm thickness. The detectors are
pixelated and stacked forming a 3D detector with voxel sizes of 2 * 1 * 2 mm3.
The camera performance is simulated with Geant4-based Architecture for Medicine
Oriented Simulations(GAMOS) and the Origin Ensemble(OE) algorithm is used for the
image reconstruction. The simulation shows that the camera can operate with up to
104 Bq source activities with equal efficiency and is completely saturated at 109
Bq. The efficiency of the system is evaluated using a simulated 18F point source
phantom in the center of the Field-of-View (FOV) achieving an intrinsic
efficiency of 0.4 counts per second per kilobecquerel. The spatial resolution
measured from the point spread function (PSF) shows a FWHM of 1.5 mm along the
direction perpendicular to the scatterer, making it possible to distinguish two
points at 3 mm separation with a peak-to-valley ratio of 8.
PMID- 24932207
TI - Alcohol consumption and hormonal alterations related to muscle hypertrophy: a
review.
AB - Detrimental effects of acute and chronic alcohol (ethanol) consumption on human
physiology are well documented in the literature. These adversely influence
neural, metabolic, cardiovascular, and thermoregulatory functions. However, the
side effects of ethanol consumption on hormonal fluctuations and subsequent
related skeletal muscle alterations have received less attention and as such are
not entirely understood. The focus of this review is to identify the side effects
of ethanol consumption on the major hormones related to muscle metabolism and
clarify how the hormonal profiles are altered by such consumption.
PMID- 24932211
TI - Burkitt lymphoma: epidemiological features and survival in a South African
centre.
AB - BACKGROUND: The epidemiology of Burkitt Lymphoma (BL) shows that the endemic type
is mainly confined to equatorial Africa and has a very close association with the
Epstein-Barr virus (EBV), while the sporadic variant shows only a 20% association
with EBV and is seen mainly in Europe and North America. An immunodeficent form
of BL has been described more recently. This study aimed to describe the
epidemiological characteristics and survival of children presenting with BL to
Tygerberg Hospital, Cape Town, in South Africa. METHODS: A retrospective,
descriptive study reviewed all pediatric cases of Burkitt lymphoma at Tygerberg
Hospital Oncology Unit between 1 January 1995 and 31 December 2010. The following
data were analysed: age at diagnosis, gender, anatomic site, race, socio-economic
demographic (rural vs. urban), treatment protocol, side effects, viral
characteristics and survival. All cases were confirmed by histology and reviewed
by a tumour board. RESULTS: A total of 51 patients with Burkitt lymphoma were
analysed from 1995 to 2010. Their age ranged from 2 to 14 years (mean of 6.8
years).The male to female ratio was 3.6/1. Most of the patients lived in an urban
setting (52.9%). The initial presenting tumour site was abdominal in most cases
(76.4%). The majority of patients (90%) were treated with the LMB protocol.
Neutropenic sepsis, mucositis and gastroenteritis were the top 3 side effects
while receiving therapy (58.8%, 50.9% and 31.3% respectively). The overall
survival rate was 64.7%. A documented positive HIV1 test was found in 11% of the
total number of patients. The stage of the disease at the time of presentation
strongly influenced the outcome with only 41.6% of stage 4 patients surviving (p
= 0.03). CONCLUSIONS: The patients seen at Tygerberg Hospital, South Africa
presented typically with the sporadic variant of Burkitt Lymphoma. The patients
presented with large abdominal masses and in an advanced stage of the disease.
PMID- 24932210
TI - Nanoinformatics knowledge infrastructures: bringing efficient information
management to nanomedical research.
AB - Nanotechnology represents an area of particular promise and significant
opportunity across multiple scientific disciplines. Ongoing nanotechnology
research ranges from the characterization of nanoparticles and nanomaterials to
the analysis and processing of experimental data seeking correlations between
nanoparticles and their functionalities and side effects. Due to their special
properties, nanoparticles are suitable for cellular-level diagnostics and
therapy, offering numerous applications in medicine, e.g. development of
biomedical devices, tissue repair, drug delivery systems and biosensors. In
nanomedicine, recent studies are producing large amounts of structural and
property data, highlighting the role for computational approaches in information
management. While in vitro and in vivo assays are expensive, the cost of
computing is falling. Furthermore, improvements in the accuracy of computational
methods (e.g. data mining, knowledge discovery, modeling and simulation) have
enabled effective tools to automate the extraction, management and storage of
these vast data volumes. Since this information is widely distributed, one major
issue is how to locate and access data where it resides (which also poses data
sharing limitations). The novel discipline of nanoinformatics addresses the
information challenges related to nanotechnology research. In this paper, we
summarize the needs and challenges in the field and present an overview of extant
initiatives and efforts.
PMID- 24932212
TI - Development of forensic mental health services in Japan: working towards the
reintegration of offenders with mental disorders.
AB - BACKGROUND: Until the recent enactment of the Medical Treatment and Supervision
Act (MTSA) in 2005, neither legislations nor facilities for mentally disordered
offenders were available in Japan. The aim of the country's forensic mental
health services, based on this new law, is to improve the social reintegration of
mentally disordered offenders. In order to provide optimal psychiatric care to
these individuals, specialised court proceedings, treatment facilities, and
concrete guidelines have been established. The aim of this study was to review
the current status of the new system and to clarify future challenges for
improving services. METHODS: The authors collected official statistics regarding
the new system published separately by the Ministry of Health, Labour and
Welfare, the Ministry of Justice, and the Supreme Court of Japan. We aggregated
the data and examined the system's current implementation status, nationwide.
RESULTS: There were 2,750 requests for enrolment in the MTSA system between its
initiation in 2005 and 31 December 2012. Of those requests, 2,724 cases had been
concluded in court. In 63.1% of the cases, an inpatient treatment order had been
made; 82.4% of those inpatients were diagnosed with schizophrenia. By the end of
March 2012, two patients completing treatment under the MTSA had re-committed a
serious offense. While overall designated inpatient and outpatient treatment
facilities have reached national targets in terms of resources and beds
available, a regional gap in MTSA designated facilities remains and the number of
patients under inpatient treatment order is on the increase. CONCLUSIONS:
Overall, the MTSA system has been running smoothly without encountering any
serious problems. However, several concerns have emerged, such as the
accumulation of patients under inpatient treatment order and insufficient
regional resources. To more successfully promote the reintegration of mentally
disordered offenders, improvements in outpatient treatment and welfare services
are crucial. In order to install effective measures to help improve the system, a
nationwide database of patients being treated under order of the MTSA should be
properly built and maintained.
PMID- 24932213
TI - Report on the international colloquium on cardio-oncology (rome, 12-14 march
2014).
AB - Cardio-oncology is a relatively new discipline that focuses on the cardiovascular
sequelae of anti-tumour drugs. As any other young adolescent discipline, cardio
oncology struggles to define its scientific boundaries and to identify best
standards of care for cancer patients or survivors at risk of cardiovascular
events. The International Colloquium on Cardio-Oncology was held in Rome, Italy,
12-14 March 2014, with the aim of illuminating controversial issues and unmet
needs in modern cardio-oncology. This colloquium embraced contributions from
different kind of disciplines (oncology and cardiology but also paediatrics,
geriatrics, genetics, and translational research); in fact, cardio-oncology goes
way beyond the merging of cardiology with oncology. Moreover, the colloquium
programme did not review cardiovascular toxicity from one drug or the other,
rather it looked at patients as we see them in their fight against cancer and
eventually returning to everyday life. This represents the melting pot in which
anti-cancer therapies, genetic backgrounds, and risk factors conspire in
producing cardiovascular sequelae, and this calls for screening programmes and
well-designed platforms of collaboration between one key professional figure and
another. The International Colloquium on Cardio-Oncology was promoted by the
Menarini International Foundation and co-chaired by Giorgio Minotti (Rome),
Joseph R Carver (Philadelphia, Pennsylvania, United States), and Steven E
Lipshultz (Detroit, Michigan, United States). The programme was split into five
sessions of broad investigational and clinical relevance (what is
cardiotoxicity?, cardiotoxicity in children, adolescents, and young adults,
cardiotoxicity in adults, cardiotoxicity in special populations, and the future
of cardio-oncology). Here, the colloquium chairs and all the session chairs
briefly summarised what was said at the colloquium. Topics and controversies were
reported on behalf of all members of the working group of the International
Colloquium on Cardio-Oncology.
PMID- 24932214
TI - Collaboration across continents to produce e-learning for palliative care
education in Sub Saharan Africa.
PMID- 24932215
TI - Twenty years of activity at the European Institute of Oncology, Milan, Italy.
PMID- 24932216
TI - Chlorella for protein and biofuels: from strain selection to outdoor cultivation
in a Green Wall Panel photobioreactor.
AB - BACKGROUND: Chlorella is one of the few microalgae employed for human
consumption. It typically has a high protein content, but it can also accumulate
high amounts of lipids or carbohydrates under stress conditions and, for this
reason, it is of interest in the production of biofuels. High production costs
and energy consumption are associated with its cultivation. This work describes a
strategy to reduce costs and environmental impact of Chlorella biomass production
for food, biofuels and other applications. RESULTS: The growth of four Chlorella
strains, selected after a laboratory screening, was investigated outdoors in a
low-cost 0.25 m(2) GWP-II photobioreactor. The capacity of the selected strains
to grow at high temperature was tested. On the basis of these results, in the
nitrogen starvation trials the culture was cooled only when the temperature
exceeded 40 degrees C to allow for significant energy savings, and performed in a
seawater-based medium to reduce the freshwater footprint. Under nutrient
sufficiency, strain CH2 was the most productive. In all the strains, nitrogen
starvation strongly reduced productivity, depressed protein and induced
accumulation of carbohydrate (about 50%) in strains F&M-M49 and IAM C-212, and
lipid (40 - 45%) in strains PROD1 and CH2. Starved cultures achieved high storage
product productivities: 0.12 g L(-1) d(-1) of lipids for CH2 and 0.19 g L(-1) d(
1) of carbohydrates for F&M-M49. When extrapolated to large-scale in central
Italy, CH2 showed a potential productivity of 41 t ha(-1) y(-1) for biomass, 16 t
ha(-1) y(-1) for protein and 11 t ha(-1) y(-1) for lipid under nutrient
sufficiency, and 8 t ha(-1) y(-1) for lipid under nitrogen starvation.
CONCLUSIONS: The environmental and economic sustainability of Chlorella
production was enhanced by growing the organisms in a seawater-based medium, so
as not to compete with crops for freshwater, and at high temperatures, so as to
reduce energy consumption for cooling. All the four selected strains are good
candidates for food or biofuels production in lands unsuitable for conventional
agriculture. Chlorella strain CH2 has the potential for more than 80 tonnes of
biomass, 32 tonnes of protein and 22 tonnes of lipid per year under favourable
climates.
PMID- 24932217
TI - Understanding cost drivers and economic potential of two variants of ionic liquid
pretreatment for cellulosic biofuel production.
AB - BACKGROUND: Ionic liquid (IL) pretreatment could enable an economically viable
route to produce biofuels by providing efficient means to extract sugars and
lignin from lignocellulosic biomass. However, to realize this, novel IL-based
processes need to be developed in order to minimize the overall production costs
and accelerate commercial viability. In this study, two variants of IL-based
processes are considered: one based on complete removal of the IL prior to
hydrolysis using a water-wash (WW) step and the other based on a "one-pot" (OP)
process that does not require IL removal prior to saccharification. Detailed
techno-economic analysis (TEA) of these two routes was carried out to understand
the cost drivers, economic potential (minimum ethanol selling price, MESP), and
relative merits and challenges of each route. RESULTS: At high biomass loading
(50%), both routes exhibited comparable economic performance with an MESP of
$6.3/gal. With the possible advances identified (reduced water or acid/base
consumption, improved conversion in pretreatment, and lignin valorization), the
MESP could be reduced to around $3/gal ($3.2 in the WW route and $2.8 in the OP
route). CONCLUSIONS: It was found that, to be competitive at industrial scale,
lowered cost of ILs used and higher biomass loadings (50%) are essential for both
routes, and in particular for the OP route. Overall, while the economic potential
of both routes appears to be comparable at higher biomass loadings, the OP route
showed the benefit of lower water consumption at the plant level, an important
cost and sustainability consideration for biorefineries.
PMID- 24932219
TI - Incidence of adverse events in an integrated US healthcare system: a
retrospective observational study of 82,784 surgical hospitalizations.
AB - BACKGROUND: Many health care facilities have developed electronic reporting
systems for identifying and reporting adverse events (AEs), so that measures can
be taken to improve patient safety. Although several studies have examined AEs in
surgical settings, there has not previously been a systematic assessment of the
variations in adverse event rates among different types of surgery, nor an
identification of the particular types of AEs that are most common within each
surgical category. Additionally, this study will identify the AE severity level
associated with each of the AE category types. METHODS: This retrospective
observational study was conducted at three Midwestern hospitals that are part of
a large integrated healthcare system. Data from 2006 through 2009 were analyzed
to determine the rates of reported adverse events (per 1,000 hospitalizations
involving a surgical procedure) for 96 categories of surgery as classified
according to the ICD-9-CM procedural coding system. Univariate and bivariate
summary statistics were compiled for AEs by type, severity, and patient age.
RESULTS: During the four-year study period, there was a total of 82,784 distinct
hospitalizations involving at least one surgical procedure at these three
hospitals. At least one adverse event was reported at 5,368 (6.5%) of those
hospitalizations. The mean rate of AEs among all surgical procedure groups was
82.8 AEs per 1,000 hospitalizations. Adverse event rates varied widely among
surgical categories with a high of 556.7 AEs per 1,000 hospitalizations for
operations on the heart and pericardium. The most common type of adverse event
involved care management, followed by medication events and events related to
invasive procedures. CONCLUSIONS: Detecting variations in AEs among surgical
categories can be useful for surgeons and for hospital quality assurance
personnel. Documenting the specific AE incidence rates among the most common
types of surgical categories, and determining AE severity and age distributions
within surgical categories will enable officials to better identify specific
patient safety needs and develop appropriately targeted interventions for
improvement.
PMID- 24932218
TI - Butanol tolerance regulated by a two-component response regulator Slr1037 in
photosynthetic Synechocystis sp. PCC 6803.
AB - BACKGROUND: Butanol production directly from CO2 in photosynthetic cyanobacteria
is restricted by the high toxicity of butanol to the hosts. In previous studies,
we have found that a few two-component signal transduction systems (TCSTSs) were
differentially regulated in Synechocystis sp. PCC 6803 after butanol treatment.
RESULTS: To explore regulatory mechanisms of butanol tolerance, in this work, by
constructing gene knockout mutants of the butanol-responsive TCSTS genes and
conducting tolerance analysis, we uncovered that an orphan slr1037 gene encoding
a novel response regulator was involved in butanol tolerance in Synechocystis.
Interestingly, the ?slr1037 mutant grew similarly to the wild type under several
other stress conditions tested, which suggests that its regulation on butanol
tolerance is specific. Using a quantitative iTRAQ LC-MS/MS proteomics approach
coupled with real-time reverse transcription PCR, we further determined the
possible butanol-tolerance regulon regulated by Slr1037. The results showed that,
after slr1037 deletion, proteins involved in photosynthesis and
glycolysis/gluconeogenesis of central metabolic processes, and glutaredoxin,
peptide methionine sulfoxide reductase and glucosylglycerol-phosphate synthase
with stress-responsive functions were down-regulated, suggesting that Slr1037 may
exhibit regulation to a wide range of cellular functions in combating butanol
stress. CONCLUSIONS: The study provided a proteomic description of the putative
butanol-tolerance regulon regulated by the slr1037 gene. As the first signal
transduction protein identified directly related to butanol tolerance, response
regulator Slr1037 could be a natural candidate for transcriptional engineering to
improve butanol tolerance in Synechocystis.
PMID- 24932220
TI - The expression of the Sprouty 1 protein inversely correlates with growth,
proliferation, migration and invasion of ovarian cancer cells.
AB - BACKGROUND: Our recent study on a panel of human ovarian cancer cells revealed
that SKOV-3 cells barely express the Sprouty isoform 1 (Spry1) while 1A9 cells
maintain it at a level similar to normal ovarian cells. Here we investigated the
functional outcomes of induced alterations in the expression of Spry1 in the two
cell lines in vitro. METHODS: Using the Spry1 specific plasmid and siRNA, the
expression of Spry1 was induced and conversely silenced in SKOV-3 and 1A9 cells,
respectively. The functional outcome was investigated by means of proliferation,
MTT, scratch-wound, migration and invasion assays and selection of the stable
clones. Mechanism of the effect was explored by Western blot. RESULTS: In the
Spry1-transfected SKOV-3 cells, a significant reduction in growth and
proliferation was evident. Stable clones of the Spry1-transfected SKOV-3 were
almost undetectable after day 14. The number of migrated and invaded cells and
the percentage of the scratch closure were significantly lower in the Spry1
transfected group. Spry1 silencing in 1A9 cells, on the other hand, led to a
significant increase in cell growth and proliferation. The number of migrated and
invaded cells and the percentage of the scratch closure significantly increased
in Spry1-silenced 1A9 group. Mechanistically, overexpression of Bax, activation
of caspases 3, 7, 8 and 9, cleavage of PARP and attenuation of Bcl-2 and Bcl-xl
were observed along with reduced activation of Erk and Akt and increased amount
and activity of PTEN in the Spry1-transfected SKOV-3 cells. CONCLUSIONS: Here, we
report the inverse correlation between the expression of Spry1 and growth,
proliferation, invasion and migration of ovarian cancer cells.
PMID- 24932222
TI - Current and future management of diabetic retinopathy: a personalized evidence
based approach.
AB - Diabetic retinopathy (DR) is the leading cause of new-onset blindness in working
age individuals in the USA and represents a growing worldwide epidemic. Classic
risk factors for onset or progression of DR include poor glycemic control,
hypertension and hyperlipidemia; however, these factors account for only a small
proportion of the risk of DR. New systemic risk factors are emerging, which may
allow for personalized risk profiling and targeted treatment by physicians. In
addition, early studies of vitreous fluid in patients with DR have resulted in a
new paradigm: diabetes causes inflammation in the retina, which is mediated by
multiple small signaling molecules that induce angiogenesis and vascular
permeability. Future treatment of DR may involve two approaches: early vitreous
analysis, followed by drug treatment targeted to the unique vitreous composition
of the patient; and collaboration between ophthalmologists and primary care
providers to address the unique systemic risk profile of each diabetic patient.
PMID- 24932221
TI - The impact of Toll-like-receptor-9 on intestinal microbiota composition and extra
intestinal sequelae in experimental Toxoplasma gondii induced ileitis.
AB - BACKGROUND: Following peroral Toxoplasma (T.) gondii infection, susceptible mice
develop acute ileitis due to a microbiota-dependent Th1 type immunopathology.
Toll-like-receptor (TLR)-9 is known to recognize bacterial DNA and mediates
intestinal inflammation, but its impact on intestinal microbiota composition and
extra-intestinal sequelae following T. gondii infection has not yet been
elucidated. METHODS AND RESULTS: Seven days following peroral infection (p.i.)
with 100 cysts of T. gondii ME49 strain, TLR-9(-/-) and wildtype (WT) mice
suffered from comparable ileitis, whereas ileal parasitic loads as well as IFN
gamma and nitric oxide levels were higher in TLR-9(-/-) compared to WT mice.
Locally, TLR-9(-/-) mice exhibited increased ileal CD3+, but not FOXP3+ cell
numbers at day 7 p.i.; in mesenteric lymph nodes IFN-gamma-producing CD4+ cell
numbers and TNF-alpha and IFN-gamma concentrations were also increased in TLR-9(
/-) compared to WT mice. T. gondii DNA levels, however, did not differ in mice of
either genotype. Differences in intestinal microbiota were rather subtle except
for bifidobacteria that were virtually absent in both, naive and T. gondii
infected TLR-9(-/-), but not WT mice. Extra-intestinally, TLR-9(-/-) mice
displayed less distinct systemic immune responses as indicated by lower serum IL
6, and splenic TNF-alpha and IFN-gamma levels as compared to WT mice despite
higher translocation rates of intestinal bacteria to extra-intestinal
compartments such as liver, spleen, kidney, and cardiac blood. Most importantly,
brains were also affected in this inflammatory scenario as early as day 7 p.i.
Remarkably, TLR-9(-/-) mice exhibited more pronounced inflammatory infiltrates
with higher numbers of F4/80+ macrophages and microglia in the cortex and
meninges as compared to WT mice, whereas T. gondii DNA levels did not differ.
CONCLUSION: We here show that TLR-9 is not required for the development of T.
gondii induced ileitis but mediates distinct inflammatory changes in intestinal
and extra-intestinal compartments including the brain.
PMID- 24932223
TI - Post-meal beta-cell function predicts the efficacy of glycemic control in
patients with type 2 diabetes inadequately controlled by metformin monotherapy
after addition of glibenclamide or acarbose.
AB - BACKGROUND: This study aimed to explore parameters which will predict good
control of HbA1c after adding a second anti-diabetic drug in patients with type 2
diabetes mellitus (T2DM) inadequately controlled with metformin monotherapy.
METHODS: Fifty-one patients (M/F: 25/26, mean age: 53.7 +/- 8.2 years, mean
glycated hemoglobin [HbA1c] 8.4 +/- 1.2%) with T2DM inadequately controlled with
metformin were randomized to add-on glibenclamide or acarbose for 16 weeks.
Before and after combination therapy, the subjects underwent a 2-hour liquid
mixed meal tolerance test to determine insulin secretion (HOMA-beta,
insulinogenic index, and disposition index [DI]) and insulin sensitivity (HOMA-IR
and Matsuda insulin sensitivity index). RESULTS: At baseline, there was a
significant inverse relationship between DI120 and HbA1c (p = 0.001) in all
subjects. The addition of glibenclamide and acarbose improved HbA1c significantly
from 8.6 +/- 1.6% to 7.4 +/- 1.2% (p < 0.001), and from 8.2 +/- 0.8% to 7.5 +/-
0.8% (p < 0.001), respectively. In the glibenclamide group, DI120 significantly
increased from 51.2 +/- 24.2 to 74.9 +/- 41.9 (p < 0.05), and in the acarbose
group, from 62.5 +/- 31.4 to 91.7 +/- 36.2 (p < 0.05), respectively. Multiple
regression analyses showed that both baseline HbA1c and DI120 independently
predicted reduction of HbA1c as well as final HbA1c after combination therapy.
CONCLUSIONS: In patients with T2DM inadequately controlled with metformin, add-on
oral anti-diabetic agent with glibenclamide or acarbose resulted in the
significant HbA1c reduction and improvement of beta-cell function. Subjects with
greater baseline beta-cell function reserve displayed better glycemic response in
the combination therapy of metformin with glibenclamide or acarbose. TRIAL
REGISTRATION: This study was registered in the ClinicalTrials.gov with
registration number of NCT00417729.
PMID- 24932224
TI - Serum retinol-binding protein 4 levels are elevated but do not contribute to
insulin resistance in newly diagnosed Chinese hypertensive patients.
AB - BACKGROUND: Insulin resistance (IR) is closely correlated with cardiovascular
disease (CVD). Retinol-binding protein 4 (RBP4) is a novel adipokine that
modulates the action of insulin in various diseases. This study addressed the
relationship between RBP4 and IR in newly diagnosed essential hypertension.
METHODS: Serum RBP4, anthropometric and metabolic parameters were determined in
267 newly diagnosed essential hypertensive patients not taking antihypertensive
medications. The patients along with 64 control (NC) normotensive and lean
subjects paired by age and sex were divided into two groups depending on body
mass index (BMI), hypertension with obesity (HPO) and hypertension without
obesity (HP). RESULTS: A striking difference was observed in RBP4 levels between
the HP and NC groups. Significantly higher levels were noted in the HP group
compared with the NC group; slightly, but not significantly, lower levels were
observed in the HPO group compared with the HP group. After adjusting for BMI, WC
and WHR, a modestly linear relationship was observed between RBP4 levels and SBP
(r = 0.377; p = 0.00), DBP (r = 0.288; p = 0.00) and HOMA-beta(r = 0.121; p =
0.028). Multiple stepwise regression analysis showed that SBP, WHR and drinking
were independently related with serum RBP4 levels. CONCLUSIONS: The results of
this study indicated that RBP4 levels were increased in naive hypertensive
patients; however, no differences were observed in obese or non-obese
hypertensive subjects. Our data suggest for the first time that RBP4 levels are
significantly increased but do not contribute to the development of IR in newly
diagnosed hypertensive Chinese patients.
PMID- 24932225
TI - High adiposity: risk factor for dementia and Alzheimer's disease?
AB - Higher levels of total and central adiposity, measured as higher body mass index
(BMI) (in kilograms per square meter), waist circumference, or waist-to-hip
ratio, have been associated with late-onset Alzheimer's disease (AD). However,
some epidemiologic studies do not support this association, and potential
underlying biological mechanisms that provide biological plausibility are not
clear in terms of providing direct links to adipose tissue. Studies linking
adiposity to AD have considered adiposity measures from mid-life and late-life.
Given an evolving background trajectory of BMI that exists over the life course
and the influence of dementia processes on BMI, results have been conflicting
depending on when BMI is measured in relationship to clinical AD onset. This has
made interpretation of the BMI-AD literature difficult. This debate will briefly
review the epidemiologic evidence for and against an association between higher
adiposity and AD, issues of timing of the adiposity measure in relation to AD
onset, potential biological mechanisms for observed associations, and
explanations for conflicting evidence.
PMID- 24932226
TI - Heterogeneity and Risk Sharing in Village Economies.
AB - We show how to use panel data on household consumption to directly estimate
households' risk preferences. Specifically, we measure heterogeneity in risk
aversion among households in Thai villages using a full risk-sharing model, which
we then test allowing for this heterogeneity. There is substantial, statistically
significant heterogeneity in estimated risk preferences. Full insurance cannot be
rejected. As the risk sharing, as-if-complete-markets theory might predict,
estimated risk preferences are unrelated to wealth or other characteristics. The
heterogeneity matters for policy: Although the average household would benefit
from eliminating village-level risk, less-risk-averse households who are paid to
absorb that risk would be worse off by several percent of household consumption.
PMID- 24932228
TI - Repopulation of tumor cells during fractionated radiotherapy and detection
methods (Review).
AB - Repopulation of tumor cells during radiotherapy is believed to be a significant
cause for treatment failure. The phenomenon of tumor repopulation during
fractionated radiotherapy was found from clinical observations that identified
that the local control rate decreased with a prolonged treatment time. A series
of animal experiments with varied overall treatment time and fractionated doses
were performed to demonstrate tumor cell repopulation during radiotherapy in
various mouse xenograft models. However, conventional detection methods are
challenging, as it is difficult to separate viable cells from those destined for
apoptosis during fractionated radiotherapy. In essence, the mechanism of tumor
repopulation involves the continuing proliferation of clonogenic tumor cells. In
vivo imaging, tracking and targeting of the repopulation of these cells has been
of clinical interest so as to administer a higher dose to the tumor repopulation
regions. Currently, functional imaging methods, including 3'-deoxy-3'-18F
fluorothymidine positron emission tomography (18F-FLT PET), are showing promise
in assessing the proliferation activity of tumors in vivo. This review mainly
focuses on the phenomenon of tumor repopulation during radiotherapy and its
conventional and novel detection methods, particularly on the feasibility of 18F
FLT PET for the detection of tumor-cell repopulation.
PMID- 24932229
TI - 'Druggable' alterations detected by Ion Torrent in metastatic colorectal cancer
patients.
AB - The frequency and poor prognosis of patients with metastatic colorectal cancer
(mCRC) emphasizes the requirement for improved biomarkers for use in the
treatment and prognosis of mCRC. In the present study, somatic variants in exonic
regions of key cancer genes were identified in mCRC patients. Formalin-fixed,
paraffin-embedded tissues obtained by biopsy of the metastases of mCRC patients
were collected, and the DNA was extracted and sequenced using the Ion Torrent
Personal Genome Machine. For the targeted amplification of known cancer genes,
the Ion AmpliSeqTM Cancer Panel, which is designed to detect 739 Catalogue of
Somatic Mutations in Cancer (COSMIC) mutations in 604 loci from 46 oncogenes and
tumor suppressor genes using as little as 10 ng of input DNA, was used. The
sequencing results were then analyzed using the AmpliseqTM Variant Caller plug-in
within the Ion Torrent Suite software. In addition, Ingenuity Pathway software
was used to perform a pathway analysis. The Cox regression analysis was also
conducted to investigate the potential correlation between alteration numbers and
clinical factors, including response rate, disease-free survival and overall
survival. Among 10 specimens, 65 genetic alterations were identified in 24 genes
following the exclusion of germline mutations using the SNP database, whereby 41%
of the alterations were also present in the COSMIC database. No clinical factors
were found to significantly correlate with the alteration numbers in the patients
by statistical analysis. However, pathway analysis identified 'colorectal cancer
metastasis signaling' as the most commonly mutated canonical pathway. This
analysis further revealed mutated genes in the Wnt, phosphoinositide 3-kinase
(PI3K)/AKT and transforming growth factor (TGF)-beta/SMAD signaling pathways.
Notably, 11 genes, including the expected APC, BRAF, KRAS, PIK3CA and TP53 genes,
were mutated in at least two samples. Notably, 90% (9/10) of mCRC patients
harbored at least one 'druggable' alteration (range, 1-6 alterations) that has
been linked to a clinical treatment option or is currently being investigated in
clinical trials of novel targeted therapies. These results indicated that DNA
sequencing of key oncogenes and tumor suppressors enables the identification of
'druggable' alterations for individual colorectal cancer patients.
PMID- 24932227
TI - Inflammation to cancer: The molecular biology in the pancreas (Review).
AB - Inflammatory responses are known to be correlated with cancer initiation and
progression, and exploration of the route from inflammation to cancer makes a
great contribution in elucidating the mechanisms underlying cancer development.
Pancreatic cancer (PC) is a lethal disease with a low radical-resection rate and
a poor prognosis. As chronic pancreatitis is considered to be a significant
etiological factor for PC development, the current review aims to describe the
molecular pathways from inflammation to pancreatic carcinogenesis, in support of
the strategies for the prevention, diagnosis and treatment of PC.
PMID- 24932230
TI - Anaplastic thyroid carcinoma with diffuse thoracic skin metastasis: A case
report.
AB - Anaplastic thyroid carcinoma is a significantly fatal endocrine neoplasm, with an
average survival time of 4-12 months following diagnosis. The present study
reports the case of a 57-year-old male patient who presented to the Bagcilar
Training and Research Hospital (Istanbul, Turkey) due to swelling in the neck and
difficulty swallowing. The jugular mass biopsy results were consistent with a
diagnosis of anaplastic thyroid cancer. The patient was regarded to have advanced
stage subcarinal, paratracheal, aortopulmonary, trancheobronchial and mediastinal
lymphadenopathies and exhibited a good prognosis following chemotherapy. However,
the patient succumbed one month later due to the emergence of diffuse skin
lesions. The histopathological and immunohistochemical assessment of the skin
biopsy displayed the characteristics of the underlying thyroid carcinoma.
PMID- 24932231
TI - Analysis of continuous first-line treatment with docetaxel and carboplatin for
advanced non-small cell lung cancer.
AB - The present study aimed to analyze the efficacy and safety of multiple cycles of
docetaxel and carboplatin (CBDCA) as a first-line treatment in patients with
advanced non-small cell lung cancer (NSCLC). Patients with stage III or IV NSCLC,
whose treatment began between July 1999 and February 2003, were retrospectively
evaluated. Relatively low doses of docetaxel and CBDCA were administered for as
many cycles as possible. The primary outcome assessed was the overall survival
(OS) time, and the secondary outcomes included progression-free survival (PFS)
time, response rate (RR) and adverse events. The median cycle number was four
(range, 2-12). The median OS time was 400 days, and for adenocarcinoma and non
adenocarcinoma, the OS time was 490 and 192 days, respectively. The median PFS
time was 176 days and the RR was 66.7%. The main toxicity of the treatment was
neutropenia, with grade 3 or 4 neutropenia occurring in 81.0% of patients.
Continuous first-line treatment with this regimen may have encouraging effects
within a certain group of advanced NSCLC patients, thereby warranting further
investigations.
PMID- 24932233
TI - Involvement of RbAp48 in erythroid differentiation of murine erythroleukemia
cells induced by sodium butyrate.
AB - Normal mammalian terminal erythroid differentiation is a precisely regulated
process during which the progenitor cells execute particular programs to form a
mature erythrocytic phenotype. In the present study, it was found that RbAp48, a
histone-binding protein associated with retinoblastoma protein, was upregulated
during terminal erythroid maturation in vivo and in vitro. This indicated that
RbAp48, at least in part, participated in the regulation of murine
erythropoiesis. Following sodium butyrate (SB) induction, murine erythroleukemia
(MEL) cells began to re-enter erythroid differentiation and the ratio of
differentiated cells reached ~80% at 72 h. The erythroid maturation-related mRNA
expression of alpha-globin, beta-globin and glycophorin A (GPA) was increased
markedly, which indicated that SB induced MEL differentiation. During MEL
differentiation, the RbAp48 level showed a 1.5-fold increase at 72 h, and the
globin transcription factor (GATA)-1 level was also upregulated in the early
stage of differentiation. By contrast, the c-Myc level was gradually
downregulated in MEL differentiation. Using an immunofluorescence assay, the
results of the study directly showed that the average fluorescence intensity of
RbAp48 in each cell reached an almost 1.7-fold increase at 72 and 96 h. This was
consistent with the western blot results of RbAp48 during MEL differentiation. In
addition, reduced expression of RbAp48 by RNA inference decreased SB-induced MEL
differentiation by ~20%, indicating that a high level of RbAp48 was essential for
MEL differentiation. Taken together, these results established a functional link
between RbAp48 and erythroid differentiation.
PMID- 24932232
TI - O6-methylguanine-DNA methyltransferase as a prognostic and predictive marker for
basal-like breast cancer treated with cyclophosphamide-based chemotherapy.
AB - The O6-methylguanine-DNA methyltransferase (MGMT) protein protects cells from
alkylating agents by removing alkyl groups from the O6-position of guanine.
However, its effect on DNA damage induced by cyclophosphamide (CPM) is unclear.
The present study investigated whether MGMT expression was correlated with
prognosis in patients with breast cancer that was managed according to a common
therapeutic protocol or treated with CPM-based chemotherapy. The intrinsic
subtypes and MGMT protein expression levels were assessed in 635 consecutive
patients with breast cancer using immunohistochemistry. In total, 425 (67%)
luminal A, 95 (15%) luminal B, 47 (7%) human epidermal growth factor receptor
2+/estrogen receptor- (HER2+/ER-) and 48 (8%) basal-like subtypes were
identified. Of these, MGMT positivity was identified in 398 (63%) of 635 breast
cancers; 68% of luminal A, 67% of luminal B, 30% of HER2+/ER- and 46% of basal
like subtypes were positive. The overall survival (OS) and disease-free survival
(DFS) rates did not significantly differ according to the MGMT status among
patients with luminal A, luminal B or HER2+/ER- subtypes, and patients with MGMT
negative basal-like cancers tended to have a longer DFS, but not a significantly
longer OS time. CPM-containing chemotherapy was administered to 26%, 40%, 47% and
31% of patients with luminal A, luminal B, HER2+/ER- and basal-like tumors,
respectively. Although the MGMT status and clinical outcomes of patients with the
luminal A, luminal B or HER2+/ER- subtypes treated with CPM were not
significantly correlated, the patients with MGMT-negative basal-like tumors who
received CPM exhibited significantly improved DFS and OS compared with the CPM
treated patients with MGMT-positive tumors. MGMT may be a useful prognostic and
predictive marker for CPM-containing chemotherapy in basal-like breast cancer.
PMID- 24932234
TI - Glomus tumor in the stomach: A case report and review of the literature.
AB - This study reports a rare case of a 47-year-old female with a gastric glomus
tumor who was admitted with epigastralgia. Endoscopic ultrasound revealed a
protrusion on the posterior wall of the gastric antrum. Enhanced computed
tomography confirmed the presence of a 10-mm mass. The tumor was resected, and
immunohistochemistry revealed the tumor to be positive for smooth muscle actin
and collagen type IV, and negative for synaptophysin, chromogranin A, laminin, S
100, cluster of differentiation (CD)34, CD31, CD99, cytokeratin (AE1/AE3), desmin
and epithelial membrane antigen. The proliferation marker Ki-67 was positive in
<5% of tumor cell nuclei. The clinical procedures with a review of the literature
are reported.
PMID- 24932235
TI - Metastatic testicular tumor presenting as a scrotal hydrocele: An initial
manifestation of pancreatic adenocarcinoma.
AB - Metastatic pancreatic adenocarcinoma involving the testis is a rare condition
with a poor prognosis. The current study describes the case of a 69-year-old male
who presented with a painful swelling of the left scrotum. Scrotal
ultrasonography revealed hydroceles in the scrotal sacs, with the left one being
larger in size. The patient underwent left hydrocelectomy and was eventually
diagnosed with metastatic adenocarcinoma. Abdominal computed tomography, which
was performed to detect the primary cancer, showed a pancreatic tail carcinoma
with liver and multiple lymph node metastases, and peritoneal carcinomatosis. The
patient received gemcitabine-based chemotherapy but resulted in progressive
disease. This case shows that in a patient in whom a primary testicular tumor is
unusual due to their age, a testicular mass or hydrocele should be a suspect for
possible metastatic disease.
PMID- 24932236
TI - Thyroid-like follicular carcinoma of the kidney: A report of two cases and
literature review.
AB - There have only been a few reports of thyroid-like follicular carcinoma of the
kidney (TLFCK) to date. In the present study, two patients with TLFCK are
reported. Patient 1 was a 65-year-old male exhibiting repeated hematuria and
right back pain. No tumors were located in the patient's thyroid or lungs. The
physical examination revealed percussion tenderness over the right kidney region
was noticed. Enhanced computed tomography (CT) indicated a right renal pelvic
carcinoma, for which the patient underwent a radical right nephrectomy. Patient 2
was a 59-year-old male with a mass in the right kidney, located during a health
examination and who exhibited no obvious clinical symptoms. The patient was
clinically diagnosed with right renal carcinoma, confirmed by an enhanced CT. The
patient underwent a radical right nephrectomy. The clinical features, imaging
results, pathology, immune phenotypes, treatment and prognosis were analyzed. The
associated literature was also reviewed. The cut surface of each tumor showed
gray-white material with a central solid area, including scattered gray-brown
necrotic and gray hemorrhagic areas and small cystic cavities. Microscopically,
the arrangement of the tumor cells mimicked thyroid follicles with red-stained
colloid-like material in the lumen. No renal hilar lymph node involvement was
noted. The tumor tissue of patient 1 was immunohistochemically positive for
vimentin, epithelial membrane antigen (EMA), cytokeratin (CK), CK7, and neuron
specific enolase; and negative for CK34BE12, synapsin (Syn), CK20, cluster of
differentiation 56 (CD56), CD10, Wilm's tumor-1 (WT-1), CD34, CD57, P53, CD99,
thyroid transcription factor-1 (TTF-1), CD15 and thyroglobulin (TG); with a Ki-67
labeling index (LI) of 30%. The tumor tissue of patient 2 was
immunohistochemically positive for vimentin, EMA, CK7 and CK20; and negative for
CD56, CD10, WT-1, CD34, CD57, P53, CD117, TTF-1, CD15, CD99, TG, chromogranin A
and Syn; with a Ki-67 LI of 20%. TLFCK is a rare renal tumor with low malignancy
but medium invasiveness. It morphologically resembles thyroid follicular
carcinoma but does not express TTF-1 or TG. Radical nephrectomy can achieve good
patient outcomes.
PMID- 24932237
TI - SLC23A2-05 (rs4987219) and KRAS-LCS6 (rs61764370) polymorphisms in patients with
squamous cell carcinoma of the head and neck.
AB - Cancer is a genetic disease that is highly influenced by environmental factors.
To determine the risk factors of squamous cell carcinoma of the head and neck,
two polymorphisms, solute carrier family 23 member 2 (SLC23A2-05 [rs4987219]) and
v-Ki-ras2 Kirsten rat sarcoma viral oncogene homolog (KRAS)-LCS6 (rs61764370),
and environmental factors, including smoking and alcohol consumption, were
studied in a population. The present study included 165 males diagnosed with
squamous cell carcinoma of the head and neck. The control group consisted of 230
healthy male subjects without cancer or a family history of cancer. The SLC23A2
05 and KRAS-LCS6 polymorphisms were analyzed by polymerase chain reaction
followed by enzymatic digestion. All patients and healthy subjects were assessed
with regard to their smoking habit and alcohol consumption as these are
considered to be risk factors for cancer. The statistical analysis was performed
using logistic regression, Fisher's exact and chi2 tests. Additional analyses
were performed using the programs, multi-factor dimensionality reduction (MDR;
version 2.0) and MDR permutation test (version 0.4.7), which consider all
variables as risk factors simultaneously. The results of the present study
demonstrate that the SLC23A2-05 and KRAS-LCS6 polymorphisms are not a risk factor
for squamous cell carcinoma of the head and neck. In the same samples, the
association of alcohol consumption (P<0.001) and smoking habit (P<0.001) with
cancer presence was positive when each variable was considered individually.
Concerning the environmental factors, a positive association of smoking habit and
alcohol consumption with cancer, although not with ethnicity (ratio, 1.0244;
testing balance accuracy, 0.8733; P<0.001) was identified using the MDR tool,
which analyzed the variables and polymorphism genotypes simultaneously. In
conclusion, in the present study, squamous cell carcinoma of the head and neck
was highly affected by environmental factors when compared with the affect of
SLC23A2-05 and KRAS-LCS6 polymorphisms.
PMID- 24932238
TI - beta,beta-Dimethylacrylshikonin sensitizes human colon cancer cells to ionizing
radiation through the upregulation of reactive oxygen species.
AB - Shikonin, a naphthoquinone derivative, has been shown to possess antitumor
activity. In the present study, the effects of shikonin and its analog, beta,beta
dimethylacrylshikonin, were investigated as radiosensitizers on the human colon
cancer cell line, HCT-116. Shikonin and, to a greater extent, its analog-induced
apoptosis of HCT-116 cells further synergistically potentiated the induction of
apoptosis when combined with ionizing radiation (IR) treatment. Shikonins also
stimulated an increase in reactive oxygen species (ROS) production and IR-induced
DNA damage. Pre-treatment with the ROS scavenger, N-acetylcysteine, suppressed
the enhancement of IR-induced DNA damage and apoptosis stimulated by shikonins,
indicating that shikonins exert their radiosensitizing effects through ROS
upregulation. The radiosensitizing effect of shikonins was also examined in vivo
using the xenograft mouse model. Consistent with the in vitro results, injection
of beta,beta-dimethylacrylshikonin combined with IR treatment significantly
suppressed tumor growth of the HCT-116 xenograft. Taken together, the results
show that beta,beta-dimethylacrylshikonin is a promising agent for developing an
improved strategy for radiotherapy against tumors.
PMID- 24932239
TI - Modulation of microRNA expression in human lung cancer cells by the G9a histone
methyltransferase inhibitor BIX01294.
AB - MicroRNAs (miRNAs) are small non-coding RNAs that regulate the expression of
their target genes at the post-transcriptional level. In cancer cells, miRNAs,
depending on the biological functions of their target genes, may have a tumor
promoting or -suppressing effect. Treatment of cancer cells with inhibitors of
DNA methylation and/or histone deacetylation modulates the expression level of
miRNAs, which provides evidence for epigenetic regulation of miRNA expression.
The consequences of inhibition of histone methyltransferase on miRNA expression,
however, have not been thoroughly investigated. The present study examined the
expression pattern of miRNAs in the non-small cell lung cancer cell line, H1299
with or without treatment of BIX01294, a potent chemical inhibitor of G9a
methyltransferase that catalyzes the mono-and di-methylation of the lysine 9
residue of histone H3. By coupling microarray analysis with quantitative real
time polymerase chain reaction analysis, two miRNAs were identified that showed
consistent downregulation following BIX01294 treatment. The results indicate that
histone H3 methylation regulates miRNA expression in lung cancer cells, which may
provide additional insight for future chemical treatment of lung cancer.
PMID- 24932240
TI - Usefulness of PET/CT for diagnosis of periosteal chondrosarcoma of the femur: A
case report.
AB - Periosteal chondrosarcoma is an extremely rare low-grade malignant cartilaginous
tumor arising from the external bone surface. Diagnosis of periosteal
chondrosarcomas may be challenging, since this condition closely resembles
periosteal chondromas. It has been reported that positron emission tomography
(PET) is useful in distinguishing benign from malignant cartilaginous tumors
using a maximum standardized uptake value (SUVmax) cut-off of 2.0 or 2.3. This
report presents the case of a 40-year-old female with an 18-month history of a
tender mass in the left distal femur. Radiological findings demonstrated
periosteal buttressing. Magnetic resonance imaging (MRI) revealed a chondrogenic
tumor of 3 cm in size developing from the external bone surface. It was difficult
to differentiate periosteal chondrosarcoma from periosteal chondroma on the basis
of size and the radiological and MRI findings. PET/computed tomography (CT)
revealed abnormal linear uptake with an SUVmax of 2.7, indicating a malignant
tumor. A diagnosis of periosteal chondrosarcoma was made, and wide resection was
performed. Tumor histology was consistent with grade II chondrosarcoma. PET/CT is
thus useful in differentiating periosteal chondrosarcoma from periosteal
chondroma.
PMID- 24932241
TI - Non-surgical treatment of canine oral malignant melanoma: A case study of the
application of complementary alternative medicine.
AB - This report describes a dog with a clinical stage III oral malignant melanoma
that was treated with complementary alternative medicine (CAM). The CAM included
high temperature hyperthermia, dendritic cell therapy and lupeol injections.
Surgery, radiation and chemotherapy were not performed. Two months after the
start of treatment, the tumor disappeared and after six months, the follow-up
examinations revealed no recurrence or metastasis of the tumor. Quality of life
(QOL) of the dog was maintained; therefore, the application of CAM may be an
effective treatment for canine oral malignant melanoma. The effective application
of CAM has the potential to prolong life and maintain an excellent QOL for pets.
PMID- 24932242
TI - Ethanol injection therapy for small hepatocellular carcinomas located beneath a
large vessel using a curved percutaneous ethanol injection therapy needle.
AB - Percutaneous ethanol injection therapy (PEIT) has been administered as a safe
therapeutic modality for patients with small hepatocellular carcinoma (HCC). Due
to the nature of the straight approaching line of a PEIT or radiofrequency
ablation needle, penetrating the vessels that are interposed between the dermal
insertion point and the nodule is unavoidable. A device with an overcoat needle
and coaxial curved PEIT needle was created that facilitated a detour around
interposing large vessels in order to avoid unnecessary harmful effects that
result from the PEIT procedure. Two cases of HCC located adjacent to a
neighboring large vessel were treated with a curved PEIT needle. The curved PEIT
needle, which is connected to an outer needle, enabled deviation around the
interposing vessels and successful connection with the HCC. Careful use of the
curved line of the PEIT needle enabled the safe and successful performance of the
PEIT without any requirement for specific training. This hand-assisted technique
may be an applicable treatment for small HCC located beneath large vessels as a
direct therapeutic method using ultrasound guidance.
PMID- 24932243
TI - Primary central nervous system lymphoma with preceding spontaneous pseudotumoral
demyelination in an immunocompetent adult patient: A case report and literature
review.
AB - The rapid disappearance of primary central nervous system lymphomas (PCNSL)
following steroid therapy is common; however, the spontaneous regression of PCNSL
without any treatment is extremely rare. This study presented a rare case of
PCNSL with preceding pseudotumoral demyelination and no previous steroid
treatment, and the pitfalls of PCNSL diagnosis were discussed. A 70-year-old
healthy male experienced memory and gait disturbances and showed multiple
enhanced lesions with perifocal brain edema in the left cerebrum. The patient had
no previous symptoms, no chronic lesions and negative oligoclonal immunoglobulin
G bands in the cerebrospinal fluid. Histological examination of a brain biopsy
specimen revealed predominantly destructive, demyelinating characteristics with
infiltration of several T lymphocytes and foamy macrophages resulting in the
diagnosis of multiple sclerosis. The patient received steroid therapy and
demonstrated gradual improvement, multiple brain lesions had disappeared from the
magnetic resonance imaging (MRI)scan two months after the biopsy. However, three
months after the biopsy, the condition of the patient deteriorated. MRI indicated
a homogeneous enhanced lesion in the right frontal lobe and a second biopsy was
performed. Histological examination during the second biopsy revealed a diffuse
large B-cell lymphoma. The patient received whole-brain radiation and steroid
therapy, however, succumbed eight months following the initial diagnosis. In the
current report a comparison between the our case and six previously reported
cases is presented.
PMID- 24932244
TI - Adenoma of the nipple: A clinicopathological report of 13 cases.
AB - Adenoma of the nipple (AN) represents a rare benign mammary proliferation of
lactiferous ducts. It appears as an erosive or ulcerative lesion, which in a
number of cases is associated with a serous/hematic secretion. AN may be
clinically confused with Paget's disease and histologically with invasive breast
carcinoma or breast cancer precursor lesions. Therefore, the histological and
immunophenotypic analysis is essential for the differential diagnosis. The
present study describes the histopathological characteristics of a first case
series of AN.
PMID- 24932245
TI - Lymphomatoid granulomatosis involving the central nervous system: A case report
and review of the literature.
AB - Lymphomatoid granulomatosis (LYG) is a rare tumor with unknown etiology. Specific
etiological factors for LYG are also unknown, although previous data indicates
that LYG is an Epstein-Barr virus-associated B-cell proliferation associated with
an exuberant T-cell reaction. According to the 2008 WHO classification, LYG is
characterized by B-cell proliferation of B-lymphoma cells. Generally, treatment
options for LYG are similar to those for diffuse large B-cell lymphoma.
Unfortunately, LYG is a chemotherapy-resistant disease in certain patients and
has a poor prognosis. The current study presents the case of a 19-year-old male
patient with pulmonary LYG. The patient exhibited progressive disease following
one cycle of chemotherapy with cyclophosphamide, adriamycin, vincristine and
prednisone, and nodular lesions in the brain were diagnosed. Radiotherapy was
delivered to the whole brain, however, this treatment did not prevent progression
of the disease and the patient succumbed three months after initial presentation.
An overview of the literature with regard to the etiology, clinical features,
diagnosis and treatment options for LYG is also presented in the current case
study.
PMID- 24932246
TI - DNA copy number alterations in pleomorphic leiomyosarcoma: A case report.
AB - Pleomorphic leiomyosarcoma (P-LMS) is a rare morphological variant of LMS. The
current study presents the cytogenetic data of a P-LMS that arose in the axillary
region of a 31-year-old male. The results of array-based comparative genomic
hybridization for the primary tumor showed DNA copy number alteration (DCNA)
gains of 8ptel, 17ptel and 17q11.2 and losses of 2ptel, 7ptel, 7qtel, 10p15,
12p12-13.1, 13q14.2-14.3, 15q25-26 and Yq11. However, a metastatic lesion showed
cytogenetic data different from the primary tumor DCNAs, with only the locus of
17ptel (282M15/SP6) in common between them. These observations add to the
spectrum of DCNAs that have been reported in previous cases of LMS and provide
novel cytogenetic data.
PMID- 24932247
TI - Screening and functional analysis of a differential protein profile of human
breast cancer.
AB - To improve the understanding of the enriched functions of proteins and to
identify potential biomarkers in human breast cancer, the present study
constructed a differentially expressed protein profile by screening
immunohistochemistry maps of human breast cancer proteins. A total of 1,688
proteins were found to be differentially expressed in human breast cancer,
including 773 upregulated and 915 downregulated proteins. Of these proteins,
secreted and membrane proteins were screened and clustered, and more enriched
biological functions and pathways were presented in the upregulated protein
profiles. Furthermore, altered serum levels of peroxiredoxin (PRDX)2, PRDX6,
cathepsin (CTS)B and CTSD were detected by ELISA assay. The present study
provides a novel global mapping of potential breast cancer biomarkers that could
be used as background to identify the altered pathways in human breast cancer, as
well as potential cancer targets.
PMID- 24932248
TI - Autologous bone marrow stem cell transplantation for the treatment of
postoperative hand infection with a skin defect in diabetes mellitus: A case
report.
AB - Among stem cells, autologous mesenchymal stem cells (MSCs) are ideal for
transplantation by virtue of limited rejection reactions and marked proliferative
ability. This study presents a novel method by which MSCs were harvested from the
bone marrow of a patient who presented with severe post-traumatic infection and a
non-healing skin defect in the hand, secondary to uncontrolled diabetes mellitus
(DM). An autologous MSC suspension was injected into the persistent skin defect
after stabilizing the blood glucose level and appropriate infection control.
During the course of a regular 18-month postoperative follow-up, the patient
exhibited immediate recovery with no transplant-associated complications, as well
as no evidence of tumorigenicity. Thus, transplantation of autologous MSCs may
play a role in the clinical application of stem cells, particularly for treatment
of skin defects following surgery in cases of DM and for those caused by various
other traumas.
PMID- 24932249
TI - E-cadherin and beta-catenin adhesion proteins correlate positively with connexins
in colorectal cancer.
AB - The majority of solid cancers present with qualitative and quantitative
aberrations of adhesion proteins, including E-cadherin and beta-catenin, and
connexin (Cx) gap junction proteins, which is consistent with alterations in the
expression and location of such proteins in neoplastic cells. Since there are no
data on the correlation between adhesion proteins and Cxs in human colorectal
cancer (CRC), the aim of the present study was to evaluate the expression and
correlation between these proteins. Tissue specimens were obtained from 151 cases
of surgically removed colorectal adenocarcinomas. The samples were examined by
immunohistochemistry with the use of antibodies against E-cadherin, beta-catenin
and the three Cxs: Cx26, Cx32 and Cx43. The aberrant expression of the studied
adhesion proteins (primarily cytoplasmic for E-cadherin and cytoplasmic and/or
nuclear for beta-catenin) was observed, whereas only a minority of cases revealed
normal membranous distribution of the labeling. The present study is the first in
the literature to reveal a correlation between the expression of E-cadherin and
beta-catenin and the examined Cxs in CRC in humans. The positive correlation
between the Cxs, particularly Cx26 and Cx32, and the adhesive proteins occurred
in patients without lymph node metastases and in the moderately differentiated
tumors (G2). Such a dependency was not observed in the analysis of the
correlation between Cx43 and E-cadherin. However, a positive correlation between
these proteins was observed in patients with lymph nodes metastases.
Additionally, a link between the expression of these adhesion proteins was
observed. The present study indicates, for the first time, that the expression of
adhesion proteins, E-cadherin and beta-catenin, is closely associated with the
expression of three studied Cxs in CRC, and that this correlation may improve an
understanding of the carcinogenic process in this cancer.
PMID- 24932250
TI - High-level C-X-C chemokine receptor type 4 expression correlates with brain
specific metastasis following complete resection of non-small cell lung cancer.
AB - Brain-specific metastasis is one of the primary causes of recurrence following
complete resection of non-small cell lung cancer (NSCLC) and the underlying
mechanism remains unclear. The present study was designed to investigate the
correlation between C-X-C chemokine receptor type 4 (CXCR4) expression and brain
specific metastasis of NSCLC. Lung cancer tissues from 105 patients who underwent
complete tumor resection between January 1998 and June 2008 (sample group, 34
with brain metastasis during the follow-up period; control group 1, 34 without
metastasis during the follow-up period; and control group 2, 37 with other organ
metastasis, excluding brain metastasis, during the follow-up period) were
examined by immunohistochemistry to detect the expression of CXCR4 protein. The
differences in CXCR4 expression were compared using McNemar's chi2 test.
Estimation of survival was calculated with the Kaplan-Meier method and the
statistical differences were analyzed with the log-rank test. Overexpression of
CXCR4 protein was observed in 31 (91.2%) NSCLC patients with brain metastasis,
which was greater than that observed in the NSCLC patients with other organ
metastases (73.0%; P=0.048) and without metastases (14.7%; P<0.001). CXCR4
protein was highly overexpressed in patients with brain-specific metastasis,
which indicated that high-level CXCR4 expression correlates with brain-specific
metastasis of NSCLC.
PMID- 24932251
TI - CyberKnife radiotherapy for malignant fibrous histiocytoma of the chest wall: A
case report and review of the literature.
AB - Malignant fibrous histiocytoma (MFH) is the most common type of soft tissue
sarcoma, but rarely originates in the chest wall. Surgical resection is
considered to be the most reliable treatment, however, no consensus has been
reached concerning the best treatment for unresectable MFH. The current study
presents the case of a 77-year-old male with MFH of the chest wall. The patient
developed a painless mass and intermittent fever over a four-month period. A
computed tomography scan demonstrated a large inhomogeneous lesion in the right
chest wall, which was subsequently diagnosed via biopsy as a MFH. Since the tumor
was an unresectable mass, CyberKnife(r) radiotherapy was conducted. Following the
treatment, a marked reduction in the tumor size was observed with a tolerable
level of toxicity. The sequencing analysis also revealed an in-frame deletion
(delE746-A750) in exon 19 of the epidermal growth factor receptor gene. Based on
this result, gefitinib was administered to the patient at a dose of 250 mg/day.
PMID- 24932252
TI - Primary testicular lymphoma with subcutaneous masses as the sole manifestation of
the first relapse and central nervous system lymphoma as the second relapse: A
case report and literature review.
AB - Primary testicular lymphoma (PTL) accounts for ~1% of all non-Hodgkin's lymphomas
and has a marked tendency for systemic relapse. The current study presents a
unique case of testicular diffuse large B-cell lymphoma of non-germinal center B
cell subtype, with subcutaneous masses as the sole manifestation of the first
relapse and central nervous system lymphoma as the second relapse. Subcutaneous
relapse and subsequent brain relapse are extremely rare signs of PTL
dissemination. The patient received methotrexate-based combined chemotherapy and
achieved a partial response. This case presents a rare pattern of treatment
failure in this malignant clinical entity.
PMID- 24932253
TI - Pulmonary hamartoma resembling multiple metastases: A case report.
AB - The current study presents the case of a patient with multiple pulmonary nodules
as observed by computed tomography. Furthermore, a marginal increase in
fluorodeoxyglucose uptake was identified by positron emission tomography. Due to
the appearance of multiple small nodules and a history of radical nephrectomy, a
hypothetical diagnosis of pulmonary metastasis of a previously excised renal
carcinoma was determined, which was confirmed by biopsy. Video-assisted
thoracoscopic surgical resection of the nodules was proposed and pathological
examination exhibited an unforeseen and rare observation.
PMID- 24932254
TI - High expression of octamer transcription factor 1 in cervical cancer.
AB - Cervical carcinoma is the second most prevalent malignancy in females worldwide.
The crucial etiologic factors involved in the development of cervical carcinoma
include infection with papillomavirus, and the structural or functional mutation
of oncogenes and tumor suppressor genes. The abnormal change of octamer
transcription factor 1 (OCT1) is associated with tumor progression and a poor
patient survival rate. However, little is known regarding the effect of OCT1 in
cervical cancer. In the present study, flow cytometry, western blot analysis and
quantitative polymerase chain reaction (qPCR) were peformed to identify
differentially expressed OCT1 in cervical cancer tissue and adjacent non
cancerous tissues. The normalized OCT1 gene expression in cervical cancer was
5.98 times higher compared with the adjacent non-cancerous tissues. Western blot
analysis and flow cytometry assessed the levels of OCT1 protein. The results of
these two differential techniques showed that the protein expression level of
OCT1 was greater in cervical cancer tissues, which corresponded with the qPCR
results. Finally, as OCT1 is a potential target gene for microRNA (miR)-1467,
1185, -4493 and -3919, their expression levels were analyzed in cervical cancer
tissues and adjacent non-cancerous tissues; they were downregulated by ~45% in
the cervical cancer samples. The results of the present study showed that OCT1 is
highly expressed in cervical cancer tissues and indicated that OCT-1 may be
significant in cervical cancer.
PMID- 24932255
TI - Isocitrate dehydrogenase mutation is associated with tumor location and magnetic
resonance imaging characteristics in astrocytic neoplasms.
AB - The molecular subsets of glioma behave in biologically distinct ways. The present
study detected isocitrate dehydrogenase (IDH) 1 and IDH2 mutations in glioma to
analyze whether IDH-mutated gliomas are situated in certain preferential areas
and to investigate their correlation with magnetic resonance imaging (MRI)
characteristics. A series of 193 patients with astrocytic neoplasms (111 diffuse
and 82 anaplastic astrocytomas), grouped according to prelabeled anatomical
structures and the risk of surgery, were retrospectively reviewed for IDH1 and
IDH2 mutations to compare the tumor location and MRI features. A total of 111
IDH1 mutations at codon 132 (57.5%) and six IDH2 mutations at codon 172 (3.1%)
were detected. The IDH1/2 mutations were found to predict longer survival,
independent of the histological type in this series of patients. The IDH-mutated
gliomas were predominantly located in a single lobe, such as the frontal lobe,
temporal lobe or cerebellum and rarely in the diencephalon or brain stem.
Furthermore, according to the risk of surgery, the IDH-mutated tumors were rarely
located in the high-risk regions of the brain, where surgery exhibits a high
mortality rate intraoperatively and postoperatively. In addition, gliomas with
IDH mutations were significantly more likely to exhibit a unilateral pattern of
growth, sharp tumor margins, homogeneous signal intensity and less contrast
enhancement on MRI. The results of the current study suggested that the prolonged
survival of patients with IDH-mutated gliomas is primarily due to a less
aggressive biological behavior according to tumor site and MRI features.
PMID- 24932257
TI - Chronic lymphocytic leukemia presenting with ascites diagnosed by clonality
analysis via gene rearrangement assay: A case report.
AB - The diagnosis of chronic lymphocytic leukemia (CLL) presenting with ascites is
predominantly based on the morphological and immunophenotypic characteristics,
which are comparable to peripheral blood and bone marrow cells. However, it is
relatively difficult to diagnose CLL due to the pleomorphism of the lymphocytes
in ascites. The current study presents an 80-year-old male with a prior diagnosis
of CLL who developed large ascites. Predominant T lymphocytes rendered
morphological and immunophenotypic diagnosis difficult. Clonality analysis of
immunoglobulin (Ig) gene rearrangements was performed on the lymphocytes from the
ascites to diagnose the involvement of CLL, a laparotomy and biopsy from the
peritoneal node confirmed the involvement of small lymphocytic lymphoma/CLL. The
clonality analysis of Ig gene rearrangements may provide a powerful and accurate
method for diagnosing CLL presenting with ascites.
PMID- 24932256
TI - Synergistic killing of lung cancer cells by cisplatin and radiation via autophagy
and apoptosis.
AB - Cisplatin is a commonly used drug for chemotherapy, however, whether it may be
used synergistically with radiotherapy remains unclear. The present study
investigated the underlying mechanisms of synergistic killing by
radiosensitization and cisplatin, with a focus on the growth inhibition,
apoptosis and autophagy of non-small cell human lung cancer cells in vitro and in
a tumor xenograft in vivo. A549 cells were used for the in vitro experiments and
divided into the following four treatment groups: Sham-irradiated; conventional
radiotherapy (CRT) of five doses of 2 Gy every day; hyperfractionated
radiotherapy of five doses of 2 Gy (1 Gy twice a day at 4 h intervals) every day;
and CRT plus cisplatin. A xenograft tumor-bearing C57BL/6 model was established
for the in vivo experiments and the above-mentioned treatments were administered.
MTT and colony formation assays were used to detect cell viability and western
blotting was performed to detect the levels of protein expression.
Monodansylcadaverine staining and the immunofluorescence technique were used to
analyze the autophagy rate, while flow cytometry and immunohistochemistry were
performed to detect the expression levels of the genes associated with apoptosis
and autophagy, including microtubule-associated protein 1 light chain 3 (MAPLC3)
II, phosphoinositide 3-kinase (PI3K) III, Beclin1, phosphorylated protein kinase
B (p-AKT), damage-regulated autophagy modulator (DRAM), B-cell lymphoma 2 (Bcl
2), Bcl-2-associated X protein, caspase-3 and p21. The MTT assay demonstrated
that cisplatin exhibits a dose-dependent cytotoxicity in A549 cells and
synergizes with radiation to promote the cell-killing effect of radiation. In the
xenograft mouse model of Lewis cells, cisplatin plus ionizing radiation (IR)
(five doses of 2 Gy) yielded the most significant tumor suppression. The
autophagic vacuoles, the ratio of MAPLC3-II to MAPLC3-I (LC3-II/LC3-I) and the
levels of Beclin1 were found to increase in all treatment groups, with the most
marked upregulation observed in the CRT plus cisplatin treatment group. In
addition, caspase-3 processing was enhanced in the group treated with the
combination of cisplatin with radiation, compared with the group treated with
radiation alone. Fractionated IR resulted in a significant increase in p21
expression, which was further enhanced when combined with cisplatin. Furthermore,
treatment with cisplatin and fractionated IR resulted in a significant elevation
of the expression of the autophagy-related genes, PI3KIII, Beclin1 and DRAM1.
However, the levels of p-AKT were observed to decline following exposure to
fractionated IR in the presence or absence of cisplatin. As for the apoptosis
signaling genes, the combination of cisplatin and fractionated IR therapy
resulted in a significant decrease in Bcl-2 expression and a marked upregulation
of p21 expression. The current study offers strong evidence that the combination
of cisplatin with radiation strengthens the killing effect of radiation via pro
apoptotic and pro-autophagic cell death.
PMID- 24932258
TI - Primary carcinoma of the frontal sinus with extensive intracranial invasion: A
case report and review of the literature.
AB - Primary carcinoma of the frontal sinus is quite rare, with an incidence of 0.3
1.0% of all paranasal sinus carcinomas. The early diagnosis is often difficult
and the condition is often mistakenly considered to involve mucoceles, pyoceles
or osteomyelitis. The present study reports the case of a 66-year-old male with
squamous cell carcinoma originating in the frontal sinus. The presenting symptoms
were a cutaneous nodule on the left side of the forehead and a gradually
progressive headache. Magnetic resonance imaging (MRI) demonstrated erosion of
the ethmoid sinus, frontal lobe and orbit. The radical resection under frontal
craniotomy was performed followed by post-operative radiotherapy. Six months
after the surgery, the MRI examinations did not find any recurrence, and the
patient currently lives symptom-free. The present study illustrates that frontal
sinus cancer should be diagnosed early with caution. Total surgical resection
followed by radiotherapy and chemotherapy, used singly or in combination, may
result in favorable outcomes. The current study discusses the diagnosis,
treatment and prognosis of the present case and reviews the associated literature
to emphasize the importance of an early identification of this rare disease.
PMID- 24932259
TI - Non-invasive imaging of Toll-like receptor 5 expression using 131I-labeled mAb in
the mice bearing H22 tumors.
AB - Toll-like receptor 5 (TLR5) is overexpressed in several cancers and metastases,
and presents an enticing target for molecular imaging of primary tumors. In the
present study, 131I-anti-TLR5 monoclonal antibody (mAb) was evaluated for its use
as a novel radiotracer for imaging hepatocarcinoma in mice bearing H22 tumors.
The expression of TLR5 was analyzed by quantitative polymerase chain reaction and
immunohistochemistry. The anti-TLR5 mAb and isotype immunoglobulin G (IgG) were
radiolabeled with iodine-131 by the Iodogen method. The in vitro stability of
iodinalized probes was determined in serum or saline for a series of times, and
then evaluated with radio-thin-layer chromatography. The biodistribution study
and autoradiography were performed in H22 tumor-bearing mice. It was found that
H22-xenografted tumor tissue exhibited a higher level of TLR5 expression compared
with normal liver tissues. 131I-anti-TLR5 mAb and 131I-IgG were obtained
subsequent to purification, with high radiochemical purity (>95%), and remained
stable for 48 h in human serum. The target-to-non-target ratio in the 131I-anti
TLR5 mAb group was significantly higher compared with the 131I-IgG group. The
biodistribution study and autoradiography demonstrated that 131I-anti-TLR5 mAb
was specifically retained in hepatocarcinoma with a high tumor uptake.
Altogether, these results show that 131I-anti-TLR5 mAb is capable of detecting
lesions in a TLR5-expressing tumor, with high target selectivity, and may offer a
promising agent for hepatocarcinoma diagnosis and encourage further
investigation.
PMID- 24932260
TI - Primary gastrointestinal stromal tumor of the prostate: A case report and
literature review.
AB - Extragastrointestinal stromal tumors (EGISTs) are relatively rare soft tissue
neoplasms arising from the extra gastrointestinal tract. The current study
presents a case of primary EGIST of the prostate observed in a 55-year-old male.
Imaging studies showed a 10*10.5*9.5-cm prostate mass. On histological
observation, the mass was separated from the rectum serosa and exhibited a high
mitotic count (8/50 high-power fields). The results of immunohistochemical
staining showed positive immunoreactivity for cluster of differentiation (CD)117
(c-kit), CD34 and DOG1 in the tumor. On mutation analysis, loss of heterozygosity
of the c-kit gene was observed in the prostatic EGIST; however, the platelet
derived growth factor receptor-alpha (PDGFRA) gene was considered to be normal.
Therefore, as EGIST of the prostate is rare, there is a requirement for the
confirmation of the diagnosis to be based on immunohistochemistry and mutation
analysis (of c-kit and PDGFRA).
PMID- 24932261
TI - Mixed lineage leukemia-septin 5 fusion transcript in de novo adult acute myeloid
leukemia with t(11;22)(q23;q11.2): A case report.
AB - The current report presents a case of de novo acute myeloid leukemia (AML) in a
32-year-old male. Cytogenetic analysis showed that the karyotype of the bone
marrow cells was as follows: 46,XY,t(11;22)(q23;q11.2)[13]/46,X,
Y,+10,t(11;22)(q23;q11.2)[7]/47,XY,+10,t(11;22)(q23;q11.2)[1]/46,XY[1].
Fluorescence in situ hybridization analysis using a mixed lineage leukemia (MLL)
specific probe showed a split in the MLL gene. Reverse transcription polymerase
chain reaction (PCR) analysis demonstrated an MLL-septin 5 (SEPT5) fusion
transcript in the patient. Nucleotide sequencing analysis of the PCR product
confirmed the fusion between the MLL exon 9 and SEPT5 exon 3, and the product was
521 bp in length. The present study reviewed the clinical and molecular features
of the AML with an MLL-SEPT5 fusion gene.
PMID- 24932262
TI - Recurrent huge leiomyoma of the urethra in a female patient: A case report.
AB - Urethral leiomyoma is an extremely rare condition that arises from the smooth
muscle of the urethra. To the best of our knowledge, there is only a single
reported recurrence treated by a repeat excision in the literature to date. The
present study reports an exceptionally rare case of a recurrent huge leiomyoma of
the female urethra. The 47-year-old female was diagnosed with a huge mass located
between the urethra and vagina during a gynecological examination. The patient
had no symptoms and was successfully treated with transabdominal excision.
Pathological examinations revealed a leiomyoma of the urethra. The patient was
followed up for one year without any sign of recurrence.
PMID- 24932264
TI - Adenosquamous carcinoma of the conjunctiva: A case report.
AB - Adenosquamous carcinoma (ASC) is a rare form of malignancy which consists of two
types of cell, including squamous cells and glandular-like cells. The current
report presents the first known case of ASC in the conjunctiva and analyzes the
histological findings. A 76-year-old female presented with right eyelid swelling
in 2001. A right conjunctival tumor was noted and a biopsy was performed.
Histologically, the tumor was diagnosed as a squamous cell carcinoma. The patient
underwent radiotherapy, but the tumor rapidly relapsed. Subsequently, the patient
underwent orbital exenteration. Histologically, the conjunctival tissues had been
replaced with invasive tumor cells. A number of tumor cells demonstrated squamous
differentiation with a keratinizing tendency, while other tumor cells exhibited
mucin-producing activity with glandular formation. The conjunctival tumor was
diagnosed as an ASC. At the time of writing, the patient is well without local
recurrence or distant metastases. ASC typically exhibits aggressive biological
behavior, and is associated with worse prognosis than conventional
adenocarcinoma. Therefore, complete surgical excision is considered a key
treatment for ASC of the conjunctiva.
PMID- 24932263
TI - Slug overexpression induces stemness and promotes hepatocellular carcinoma cell
invasion and metastasis.
AB - Detection of metastasis of hepatocellular carcinoma (HCC) is crucial for early
diagnosis. Epithelial-mesenchymal transition (EMT) is a common event in the
metastasis of tumor cells. Slug and Snail are homologous proteins, which play an
important role in EMT. The present study aimed to investigate whether Slug and
Snail overexpression is associated with the invasiveness of HCC in vitro and in
vivo. Invasion, colony formation and wound healing assays, as well as flow
cytometry analysis, were performed to examine the invasiveness and proliferation
capabilities of HepG2 cells following transfection with cNDA or the siRNA of Slug
or Snail. The effects of Slug on HCC in vivo were examined using a xenograft
model. Slug upregulation increased the percentage of cluster of differentiation
(CD)133+ cells among HepG2 cells, and induced cell invasion and proliferation;
whereas Snail upregulation did not affect the cells in vitro. The Slug
overexpression group exhibited the highest rate of tumor growth compared with the
Snail overexpression and control groups in vivo. These findings demonstrated that
Slug increases the percentage of CD133+ cells, promotes the clonigenicity of HCC
cells and induces a stronger stemness in Slug-overexpressing cells. These changes
activate dormant developmental pathways in invading tumor cells. Thus, Slug may
serve as a novel target for HCC prognosis and therapy.
PMID- 24932265
TI - Immunohistochemical expression of estrogen and progesterone receptors in
endometrial polyps: A comparison between benign and malignant polyps in
postmenopausal patients.
AB - The aim of the present study was to evaluate estrogen receptor (ER) and
progesterone receptor (PR) expression in the glandular epithelium and stroma of
benign and malignant endometrial polyps of postmenopausal patients. A total of
1,050 females underwent surgical hysteroscopy at the Professor Dr Jose Aristodemo
Pinotti Women's Hospital, Center for Integral Attention to Women's Health of the
State University of Campinas, between January 1998 and December 2008. Of the
total number, 390 postmenopausal females with endometrial polyps were included in
the study. Polypoid lesions were histologically classified as benign lesions
(endometrial polyps and polyps with non-atypical simple hyperplasia or non
atypical complex hyperplasia) and premalignant and malignant lesions (polyps with
atypical simple hyperplasia or atypical complex hyperplasia and carcinomatous
polyps). ER and PR expression was evaluated by immunohistochemistry according to
cell staining, intensity of nuclear staining and final score. The final score for
receptor expression was compared between the benign and premalignant/malignant
polyps. The prevalence of malignancy in endometrial polyps was 7.1% and was
associated with postmenopausal bleeding. Only the final score for ER expression
in the stroma of endometrial polyps was higher in the benign group than in the
premalignant/malignant group, and this difference was significant. However, no
difference was identified in PR expression. In addition, the risk of malignancy
in endometrial polyps was significantly higher when the expression of ER and PR
was negative in the stromal component of the polyp (P<0.01). The malignancy of
endometrial polyps was also associated with a low expression of stromal ER,
however, PR expression did not show any association with the risk of malignancy.
PMID- 24932266
TI - Trastuzumab monotherapy for bone marrow metastasis of breast cancer: A case
report.
AB - The current study presents the case of a 41-year-old female patient who received
modified radical mastectomy and adjuvant chemotherapy and radiotherapy for
infiltrating ductal cancer of the left breast. The pathological stage of the
disease was IIA. In addition, the patient was negative for the estrogen and
progesterone receptors, and human epidermal growth factor receptor-2 gene
amplification was identified. At one year following surgery, the patient
presented with severe pancytopenia and pain at multiple sites all over the body.
Furthermore, the patient's Eastern Cooperative Oncology Group performance status
score was 3 and numeric rating scale pain score was 8. The bone marrow puncture
indicated bone marrow metastatic cancer, and the positron emission
tomography/computed tomography (CT) indicated multiple internal organ metastases
and osseous metastasis. Chemotherapy treatment posed great risks due to the
patient's poor performance status and severe bone marrow suppression. Therefore,
trastuzumab monotherapy was administered at a loading dose of 8 mg/kg and a
maintenance dose of 6 mg/kg every three weeks. Following four doses of
trastuzumab treatment, the patient's performance status significantly improved
and the peripheral blood cell counts had returned to within the normal ranges.
Taxol was added to the trastuzumab treatment and seven cycles were completed. No
metastatic cancer cells were found in the subsequent bone marrow smear test;
however, CT showed metastatic foci in the left lung. Furthermore, the enlarged
lymph nodes had subsided and the tumor in the right appendix region had decreased
in size by 50%. The patient's disease condition was maintained stable for 11
months.
PMID- 24932267
TI - Evaluation of chromosome 17 polysomy in breast cancer by FISH analysis of whole
nuclei, and its clinicopathological significance.
AB - Human epidermal growth factor receptor 2 (HER2) amplification and overexpression
are associated with poor prognosis and resistance to cytotoxic drugs in patients
with breast cancer. Increases in the number of HER2 gene copies have been shown
to be associated with chromosome 17 polysomy. The use of whole, intact nuclei for
fluorescence in situ hybridization (FISH) assay improves the accuracy of the
results. FISH analysis of whole nuclei (WNFISH) and immunohistochemistry (IHC)
were used to analyze HER2 gene amplification and HER2 protein expression in 109
breast cancer specimens. Chromosome 17 polysomy and its correlations with HER2
gene amplification, HER2 protein expression and the clinicopathological outcomes
of the patients were also investigated. Among the 109 cases, WNFISH detected HER2
amplification in 30 cases, equivocal amplification in 19 cases and no
amplification in 60 cases. WNFISH detected chromosome 17 centromere (CEP17)
polysomy in 37 cases and no polysomy in 72 cases. Among the 109 cases assessed by
tissue microarray and IHC, 31 cases were HER2-negative, 14 cases were scored 1+,
23 cases were scored 2+ and 41 cases were scored 3+. The results demonstrated
that in the cases with chromosome 17 polysomy, the HER2 gene was amplified, HER2
protein expression was increased and the incidences of nuclear atypia and lymph
node metastases were higher compared with those in the cases without chromosome
17 polysomy. Chromosome 17 polysomy may correlate with increased malignant
potential and metastatic spread in breast cancer.
PMID- 24932268
TI - Pilomatrix carcinoma of the scalp with pulmonary metastasis: A case report of a
complete response to oral endoxan and etoposide.
AB - Pilomatrix carcinoma is an extremely rare skin tumor derived from basaloid cells
in the hair follicles; it often exhibits locally aggressive behavior with a
tendency toward local recurrence. The average age of occurrence is 45 years, and
there appears to be a male to female incidence ratio of 4:1. Although pilomatrix
carcinomas are predominantly identified in the neck and scalp, there are studies
in the literature reporting other tumor development sites, including the upper
extremities, torso and popliteal fossa. If diagnosed at an early stage, this
malignant tumor is generally treated with wide surgical resection. However, for
the advanced-stage tumors, there are no standard treatment procedures known to
produce good results. The current study presents the case of a 76-year-old male
with pilomatrix carcinoma originating from the scalp with metastases to the lung.
The patient had a rapid and complete clinical response following an oral
combination chemotherapy regimen of cyclophosphamide and etoposide.
PMID- 24932269
TI - Primary osteosarcoma of the breast: A case report.
AB - Osteosarcoma (OS) located in the breast is an extremely rare, malignant neoplasm.
The current study presents the diagnostic process, treatment and follow-up of a
67-year-old female with OS of the breast. The diagnosis was made according to
diagnostic imaging methods and microscopic examination with additional
immunohistochemical staining. As a surgical treatment, tumourectomy with
intraoperative histological examination and simple breast amputation was
performed. No adjuvant chemotherapy was administered following surgery. Lung and
bone metastases occurred without local recurrence 14 months following the
surgery. In the present study, the details of the diagnostic examinations
performed are evaluated and the consecutive phases of diagnostic and therapeutic
proceedings are examined in comparison with the knowledge in the literature.
PMID- 24932270
TI - An intraosseous malignant peripheral nerve sheath tumor of the lumbar spine
without neurofibromatosis: Case report and review of the literature.
AB - A malignant peripheral nerve sheath tumor (MPNST) is defined as any malignant
tumor that develops or differentiates from cells in the peripheral nerve sheath.
This tumor is commonly associated with neurofibromatosis type 1 (NF1) and
previous radiotherapy treatment. Primary intraosseous MPNSTs are extremely rare
and a case of the lumbar spine in a patient without NF1 is reported in the
present study, with a review of the intraosseous MPNST literature. A 45-year-old
female presented with a 1-month history of severe lower back pain and pain
radiating to the left leg. A total en bloc spondylectomy of L4 was performed. The
postoperative histopathological diagnosis was MPNST with deletion of NF1,
confirmed by dual-color fluorescence in situ hybridization (FISH) analysis. The
tumor recurred 1 month following the surgery. Although adjuvant chemotherapy was
administered, the patient succumbed due to intramedullary dissemination and
carcinomatous meningitis 8 months following the initial consultation. NF1
deletion by FISH analysis may be particularly useful in distinguishing MPNST from
other high-grade malignancies with overlapping morphological features.
PMID- 24932271
TI - Primary retroperitoneal extraskeletal mesenchymal chondrosarcoma involving the
vena cava: A case report.
AB - The current study presents a case of extraskeletal mesenchymal chondrosarcoma
(ESMC) involving the vena cava that originally occurred in the retroperitoneum of
a 61-year-old female. Following excision of the masses, pathological examination
confirmed a diagnosis of primary ESMC. Mesenchymal chondrosarcomas are extremely
rare in comparison to conventional chondrosarcomas and even more so when arising
in an extraskeletal location. In the current report, the major characteristics of
ESMC are discussed and a review of the current knowledge regarding this rare
disease entity is presented.
PMID- 24932272
TI - Solitary fibrous tumor of the soft palate: A report of two cases.
AB - Solitary fibrous tumors (SFTs) are a unique group of mesenchymal neoplasms of
fibroblastic or myofibroblastic origin and are extremely rare in the oral cavity.
The present study reported two additional cases of SFTs in the soft palate, along
with the computed tomography characteristics, which demonstrated well
circumscribed soft tissue lesions with marked and homogenous enhancement.
Following wide resection, one of the cases showed certain malignant pathological
characteristics, including infiltration of mucinous gland, hypercellularity,
nuclear atypia and weak positive staining for S-100. In our experience, SFTs
should be considered as a differential diagnosis when a well-circumscribed and
solid mass in the soft palate is identified.
PMID- 24932273
TI - Epithelial-myoepithelial carcinoma of the hypopharynx: A rare case.
AB - Epithelial-myoepithelial carcinoma (EMC) is a rare neoplasm, which predominantly
arises in the parotid gland. EMC is characterized by two types of cells;
myoepithelial and epithelial cells arranged in well-defined tubules. A 48-year
old male with a six-month history of dysphagia presented with a 2-cm-diameter
mass in the left posterior wall of the hypopharynx. Histopathological examination
revealed that the tumor cells were arranged in duct-like structures with an inner
layer of ductal cells and an outer layer of clear cells. Immunohistochemically,
the outer layer of clear cells stained positive for calponin, p63 protein, glial
fibrillary acidic protein, S-100 protein and smooth muscle actin, which is
consistent with a myoepithelial phenotype. The inner layer stained positive for
cytokeratin and cytokeratin-7, which is consistent with an epithelial phenotype.
The tumor was excised and no recurrence or metastasis was found 27 months
following surgery. To the best of our knowledge, this is the first case of EMC
described that has arisen from the hypopharynx.
PMID- 24932274
TI - A recurrent sialolipoma of the parotid gland: A case report.
AB - Sialolipoma is a rare benign neoplasm and was recently described as a
histological variant of intraoral lipoma. In the current report, the case of a of
a 65-year-old female with a slow-growing mass in the right parotid gland with
recurrence is presented. The initial clinical diagnosis was a benign salivary
gland tumor. The tumor was situated between the right parotid gland and the right
masseter muscle; therefore, a superficial parotidectomy was performed.
Histopathology revealed that the tumor was a sialolipoma of the parotid gland.
During the three-month follow-up, a recurrent right parotid tumor was identified
in the deep lobe space of the right parotid gland and a deep lobe parotidectomy
was performed. The present case demonstrates that although surgical excision is
generally sufficient to treat parotid gland sialolipoma, postoperative follow-up
is required as multifocal lesions may potentially remain, which could result in
recurrence.
PMID- 24932275
TI - Intrathoracic extramedullary hematopoiesis mimicking intrathoracic tumors: A case
report.
AB - Extramedullary hematopoiesis (EMH) is a rare disease that is characterized by the
presence of hemopoietic tissue outside the bone marrow. The masses that form are
usually microscopic and asymptomatic, but occasionally lead to tumor-like masses.
A 56-year-old male who initially presented to the First Affiliated Hospital,
School of Medicine, Zhejiang University (Hangzhou, China) with upper abdominal
pain and jaundice was found to have paravertebral masses in the thorax.
Histopathological examination of a computed tomography-guided needle aspiration
biopsy of the masses revealed EMH. The current study presents this unusual case,
in which EMH was diagnosed by chance in a patient with hereditary spherocytosis.
As the intrathoracic EMH was asymptomatic, the patient was discharged with the
proviso of regular follow-up examinations. The patient exhibited improved blood
cell counts following a splenectomy to reduce the hemolysis and stabilize the
thoracic masses. The thoracic masses have been closely followed for one and a
half years. A correct diagnosis can thus aid in avoiding unnecessary surgical
intervention, particularly in an asymptomatic patient.
PMID- 24932277
TI - Sorbitol induces apoptosis of human colorectal cancer cells via p38 MAPK signal
transduction.
AB - Sorbitol has been reported to have anticancer effects in several tumor models,
however its effects on colorectal cancer remain elusive. In the present study,
the effects of sorbitol on growth inhibition and apoptosis in the colorectal
cancer HCT116 cell line were evaluated and its mechanism of action was examined.
An MTT assay was utilized to determine the effect of sorbitol on HCT116 cell
proliferation at different time points and variable doses. Western blot analysis
was used to examine the effect of sorbitol on apoptosis-related protein
expression and the p38 MAPK signaling pathway. The results revealed that sorbitol
may inhibit the growth of HCT116 cells in a time- and dose-dependent manner.
Following treatment with sorbitol for 3 h, western blotting demonstrated cleavage
of the caspase-3 zymogen protein and a cleavage product of poly (ADP-ribose)
polymerase (PARP), a known substrate of caspase-3, was also evident. During
sorbitol-induced apoptosis, the mitochondrial pathway was activated by a dose
dependent increase in Bax expression and cytochrome c release, while the
expression of anti-apoptotic protein Bcl-2 was significantly decreased in a dose
dependent manner. The investigation for the downstream signal pathway revealed
that sorbitol-induced apoptosis was mediated by an increase in phosphorylated p38
MAPK expression. Overall, the observations from the present study imply that
sorbitol causes increased levels of Bax in response to p38 MAPK signaling, which
results in the initiation of the mitochondrial death cascade. Therefore, sorbitol
is a promising candidate as a potential chemotherapeutic agent for the treatment
of colorectal cancer HCT116 cells.
PMID- 24932276
TI - Volumetric modulated arc radiotherapy sparing the thyroid gland for early-stage
glottic cancer: A dosimetrical analysis.
AB - Previous studies on advanced radiotherapy (RT) techniques for early stage glottic
cancer have focused on sparing the carotid artery. However, the aim of the
present study was to evaluate the dosimetric advantages of volumetric modulated
arc therapy (VMAT) in terms of sparing the thyroid gland in early-stage glottic
cancer patients. In total, 15 cT1N0M0 glottic cancer patients treated with
definitive RT using VMAT were selected, and for dosimetric comparison, a
conventional RT plan comprising opposed-lateral wedged fields was generated for
each patient. The carotid artery, thyroid gland and spinal cord were considered
organs at risk. The prescription dose was 63 Gy at 2.25 Gy per fraction. For the
thyroid gland and carotid artery, all compared parameters were significantly
lower with VMAT compared with conventional RT. For the thyroid gland, the median
reduction rates of the mean dose (Dmean), the volume receiving >=30% of the
prescription dose (V30) and the V50 were 32.6, 40.9 and 46.0%, respectively. The
Dmean was 14.7+/-2.6 Gy when using VMAT compared with 22.2+/-3.9 Gy when using
conventional RT. The differences between the techniques in terms of planning
target volume coverage and dose homogeneity were not significant. When
considering a recent normal tissue complication probability model, which
indicated the mean thyroid gland dose as the most significant predictor of
radiation-induced hypothyroidism, the dosimetric advantage shown in this study
may be valuable in reducing hypothyroidism following RT for early stage glottic
cancer patients.
PMID- 24932278
TI - Comparison of the efficacy and safety of denosumab versus bisphosphonates in
breast cancer and bone metastases treatment: A meta-analysis of randomized
controlled trials.
AB - Breast cancer is the most common type of cancer in females worldwide. Patients
with breast cancer and bone metastases may experience increased osteoclast
activity, resulting in local bone destruction and skeletal complications,
including pain, hypercalcemia and skeletal-related events. Intravenous
bisphosphonates (BPs) are the standard treatment administered to patients with
breast cancer and bone metastases to prevent skeletal-related events. However, in
certain patients, BPs may cause renal toxicity, acute-phase reactions and
osteonecrosis of the jaw. More effective, safer and more tolerable therapies,
which prevent bone destruction and skeletal complications, are required in order
to improve patient quality of life. Denosumab is a fully human monoclonal
antibody that binds to and neutralizes receptor activator of nuclear factor
kappaB ligand, which is a key mediator in the pathogenesis of a broad range of
skeletal diseases, thereby inhibiting osteoclast function and bone resorption.
Therefore, we conducted a meta-analysis to compare both the safety and efficacy
of denosumab and BPs in the treatment of breast cancer and bone metastases. Five
databases, two clinical trial registry platforms and reference lists of relevant
papers were analyzed. The meta-analysis concluded that denosumab was more
effective at preventing pain and skeletal-related events than BPs, in patients
with breast cancer and bone metastases. Patients receiving denosumab demonstrated
a higher level of clinical improvement in terms of health-related quality of life
than patients receiving BPs. Compared with BPs, denosumab reduced the incidence
of certain indicators of adverse events, including pyrexia, bone pain, edema and
renal failure.
PMID- 24932279
TI - Benefits of skin biopsy of senile hemangioma in intravascular large B-cell
lymphoma: A case report and review of the literature.
AB - Intravascular large B-cell lymphoma (IVLBCL) is a rare subtype of B-cell lymphoma
characterized by selective growth of clonal B-cells in the lumen of the small
vessels of various organs including the liver, spleen, lungs, skin, brain, and
kidney. An 86-year-old male presented with weight loss, fever and night sweats
(known as B symptoms). Blood examination revealed pancytopenia, high lactate
dehydrogenase and high soluble interleukin-2 receptor, suggesting hematopoietic
malignancy. However, there were no abnormal hematopoietic cells in the peripheral
blood. No lymph node swelling was identified on examination by whole-body
computed tomography scan. Therefore, IVLBCL was suspected, and random skin
biopsies and a skin biopsy from a senile hemangioma were carried out. A small
number of large atypical lymphoid cells resided in the small blood vessels in the
deep dermis and subcutaneous tissue of the random skin biopsies, and numerous
atypical lymphoid cells were identified in the small vessels of the senile
hemangioma. These results suggest the usefulness of skin biopsy from senile
hemangiomas in the diagnosis of IVLBCL.
PMID- 24932280
TI - The haplotype of three polymorphisms in the SATB1 promoter region impacts
survival in breast cancer patients.
AB - Special AT-rich sequence binding protein 1 (SATB1) has regulatory effects on gene
expression and appears to play an important role in tumor progression. The
present study screened the promoter region of the SATB1 gene for polymorphisms,
evaluated the corresponding haplotypes regarding alterations in promoter activity
in vitro and analyzed the impact of these haplotypes on the clinical course of
breast cancer patients. A cohort of 241 female Caucasian breast cancer patients
who had been treated was enrolled in this retrospective analysis. The median
follow-up time was 93 months (range, 4-155 months). PCR products from DNA of 10
healthy, unrelated volunteers were analyzed to identify new polymorphisms within
the promoter region. Genotyping was conducted using restriction fragment length
polymorphism and pyrosequencing. PCR constructs with the respective alleles from
the four most frequent haplotypes were cloned into the vector pGEM(r)-T Easy and
then transferred into the luc2-containing reporter vector pGl 4.10(r) for
transfection of HEK293 cells. The pGl 4.73(r) vector, containing hRluc, was used
for normalizing the transfection rates. Sequencing the region -3807 to -2828 bp
upstream of ATG from ten healthy blood donors, three single nucleotide
polymorphisms consisting of base exchanges were identified: -3600T>C, -3363A>G
and -2984C>T. The SATB1 -3600T/-3363A/-2984C haplotype had lower promoter
activity than all other constructs in vitro and exhibited a significant
association with nodal status (P<0.05). Kaplan-Meier survival analysis revealed
significantly improved overall survival for homozygous SATB1 -3600T/-3363A/-2984C
haplotype carriers compared with heterozygous carriers or the other haplotypes
(P=0.033). The SATB1 -3600T/-3363A/-2984C haplotype is associated with lower
promoter activity and appears to impact upon survival in breast cancer patients.
PMID- 24932281
TI - Diagnostic role of fluorodeoxyglucose positron emission tomography-computed
tomography in prostate cancer.
AB - The role of fluorine-18 fluorodeoxyglucose (FDG) positron emission tomography
(PET)-computed tomography (CT) in prostate cancer remains controversial due to a
limited number of previous clinical investigations. The aim of the present
retrospective study was to assess the diagnostic value of FDG PET-CT in prostate
cancer, with an emphasis on the detection of metastatic disease. Twenty-five
relevant cases of patients with newly diagnosed prostate cancer, referred for
staging, or with a history of prostate cancer or recent prostate specific antigen
(PSA) relapse, referred for the detection of metastatic disease, were included in
the present study. None of the patients had known imaging or pathological
evidence of metastatic disease prior to FDG PET-CT, however, the PSA levels had
been recorded in all patients in the two months prior to FDG PET-CT imaging.
Verification of the FDG PET-CT observations was made by biopsy, regional
diagnostic CT and/or whole-body bone scintigraphy. The sensitivity of FDG PET-CT
in identifying untreated primary lesions was only 33% (3/9). However, FDG PET-CT
detected metastatic disease in six of the nine patients who underwent initial
staging. Out of 16 patients with previous treatments and recent PSA relapse, FDG
PET-CT successfully identified metastatic diseases in 12 and tumor recurrence
within the prostatic fossa of two patients. The difference in the PSA levels was
identified to be statistically significant between the FDG PET-CT-positive and
negative subgroups of the 16 restaging patients. The results indicated that FDG
PET-CT is not useful for the diagnosis of prostate cancer, but may aid with the
detection of metastatic disease in appropriately selected patients.
PMID- 24932283
TI - Casticin inhibits self-renewal of liver cancer stem cells from the MHCC97 cell
line.
AB - Casticin exerts anticarcinogenic activity in several types of cancers, including
human hepatocellular carcinoma (HCC). The aim of the present study was to
investigate the effects of casticin, which is derived from Fructus Viticis
Simplicifoliae, on the self-renewal capacity of liver cancer stem cells (LCSCs)
derived from the HCC MHCC97 cell line. The present study demonstrated that
casticin significantly inhibited the proliferation of LCSCs from the MHCC97 cell
line in a dose-dependent manner (P<0.05), the half maximal inhibitory
concentration of the parental cells and LCSCs was 17.9 and 0.5 MUmol/l,
respectively. Furthermore, casticin reduced the sphere-forming capacity of LCSCs
and downregulated beta-catenin protein expression in a concentration-dependent
manner. Lithium chloride, an agonist known to activate the Wnt/beta-catenin
signaling pathway, attenuated the casticin-induced downregulation of beta-catenin
protein expression and inhibited the self-renewal capacity. To the best of our
knowledge, the present study is the first to demonstrate that casticin
effectively eradicates LCSCs and beta-catenin was identified as the potential
target. Thus, casticin may offer a novel therapeutic approach for the treatment
of HCC.
PMID- 24932282
TI - EGFR, PIK3CA, KRAS and BRAF mutations in meningiomas.
AB - Meningiomas are among the most frequent intracranial tumors. Treatment involves
surgical resection with optional subsequent radiotherapy for high-grade
meningiomas or radiosurgery following incomplete tumor removal. At present, no
pharmacological agents are used as treatment. The use of targeted therapies has
been considered, and specific therapies, including anti-EGFR treatment, have been
clinically tested. The experience from the treatment of various types of cancers
shows that patient outcome depends on the mutational status of particular
molecules, including epithelial growth factor receptor (EGFR), Kirsten rat
sarcoma viral oncogene homolog (KRAS), v-Raf murine sarcoma viral oncogene
homolog B1 (BRAF) and phosphatidylinositol-4,5-bisphosphate 3-kinase, catalytic
subunit alpha (PIK3CA). Therefore, the aim of the present study was to assess the
occurrence and potential use of these markers in patients with meningioma. In
total, 55 formalin-fixed, paraffin-embedded meningioma samples were subjected to
genomic sequencing of EGFR (exons 18-21), KRAS (exon 1), BRAF (exon 15) and PI3K
(exons 9, 20). No mutations were identified in EGFR, KRAS or BRAF. Point
mutations in PIK3CA were revealed in the samples of two patients with atypical
and anaplastic meningiomas. Although these mutations appear to be rare, this
result, along with previously reported findings, indicates that the PI3K/protein
kinase B pathway may serve as a more reasonable molecular target for meningioma
than EGFR.
PMID- 24932284
TI - Identification of microRNA profiles in salivary adenoid cystic carcinoma cells
during metastatic progression.
AB - Salivary adenoid cystic carcinoma (SACC) is a common type of salivary gland
cancer. The poor long-term prognosis of patients with SACC is primarily due to
local recurrence, distant metastasis and perineural invasion. MicroRNAs (miRNAs)
have been identified as important post-transcriptional regulators, which are
involved in various biological processes. The aim of the present study was to
identify the miRNA expression profiles that are involved in the metastatic
progression of SACC. Therefore, microarray technology was employed to identify
miRNA expression profiles in an SACC cell line, ACC-2, and a highly metastatic
SACC cell line, ACC-M, which was screened from ACC-2 by a combination of in vivo
selection and cloning in vitro. Differences in miRNA expression were assessed by
quantitative polymerase chain reaction (qPCR) assay. In addition, the potential
target genes that are regulated by selected miRNAs were analyzed by various
target prediction tools. The microarray data revealed that the levels of 38
miRNAs significantly differed between the ACC-M cells and the control ACC-2
cells. Six miRNAs (miR-4487, -4430, -486-3p, -5191, -3131 and -211-3p) were
selected to validate the microarray data via qPCR. The expression of two miRNAs
(miR-4487 and -4430) was significantly upregulated in the ACC-M cells, while the
expression of two other miRNAs (miR-5191 and -3131) was significantly
downregulated in the ACC-M cells. The potential target genes that were identified
to be controlled by the six selected miRNAs were divided into four groups
according to function, as follows: Apoptosis and proliferation (46 genes), cell
cycle (30 genes), DNA damage and repair (24 genes) and signaling pathway (30
genes). The identification of microRNA expression profiles in highly metastatic
SACC cells may provide an improved understanding of the mechanisms involved in
metastatic progression, which would aid in the development of novel strategies
for the treatment of SACC.
PMID- 24932285
TI - Association between the low-dose irinotecan regimen-induced occurrence of grade 4
neutropenia and genetic variants of UGT1A1 in patients with gynecological
cancers.
AB - The occurrence of severe neutropenia during treatment with irinotecan (CPT-11) is
associated with the *6 and *28 alleles of uridine diphosphate
glucuronosyltransferase 1A1 (UGT1A1). However, the correlation between these
variants and the occurrence of severe neutropenia in a low-dose CPT-11 regimen
for the treatment of gynecological cancers has not been extensively studied.
There are also no studies regarding the association between the 421C>A mutation
in ATP-binding cassette sub-family G member 2 (ABCG2) and the occurrence of
severe neutropenia in CPT-11-treated patients with gynecological cancers. The
present study was designed to determine the factors associated with the
occurrence of grade 4 neutropenia during chemotherapy for gynecological cancers
with combinations of CPT-11 and cisplatin or mitomycin C. In total, 44 patients
with gynecological cancer were enrolled in the study. The association between the
absolute neutrophil count (ANC) nadir values, the total dose of CPT-11 and the
genotypes of UGT1A1 or ABCG2 was studied. No correlation was observed between the
ANC nadir values and the total dose of CPT-11. The ANC nadir values in the
UGT1A1*6/*28 and *6/*6 groups were significantly lower compared with those in the
*1/*1 group (P<0.01). Univariate analysis showed no association between the
occurrence of grade 4 neutropenia and the ABCG2 421C>A mutation. Subsequent to
narrowing the factors by univariate analysis, multivariate logistic regression
analysis only detected significant correlations between the occurrence of grade 4
neutropenia and the UGT1A1*6/*6 and *6/*28 groups (P=0.029; odds ratio, 6.90; 95%
confidence interval, 1.22-38.99). No associations were detected between the
occurrence of grade 4 neutropenia and the heterozygous variant (*1/*6 or *1/*28)
genotype, type of regimen or age. In conclusion, the UGT1A1*6/*28 and *6/*6
genotypes were found to be associated with the occurrence of severe neutropenia
in the low-dose CPT-11 regimen for gynecological cancers. This finding indicates
that the determination of UGT1A1 variants may be as useful in CPT-11 chemotherapy
for gynecological conditions as it is in colorectal and lung cancer patients
treated with this drug.
PMID- 24932286
TI - Fascin upregulation in primary head and neck squamous cell carcinoma is
associated with lymphatic metastasis.
AB - Fascin is an actin-bundling protein that is associated with cellular motility and
cancer-cell invasion. The present study aimed to examine the expression of fascin
in head and neck squamous cell carcinoma (HNSCC) and its potential use as a
biomarker. In a prospective study with a median follow-up time of 48.8 months,
tumor tissues, adjacent healthy tissues and cervical lymph node metastases were
collected from 25 patients and analyzed by immunohistochemistry. The specimens
were scored according to the intensity of fascin staining and the percentage of
tumor cells stained using a semi-quantitative scoring approach; the data were
analyzed and correlated with clinical follow-up observations. All of the
investigators were blinded to the origin of the specimens. The expression levels
of fascin were significantly increased in the tumor tissues (P=0.03) and lymph
node metastases (P=0.03) compared with that of the normal tissues. The high
expression level of fascin in the tumor tissues was correlated with the N-status,
however, not with overall survival. Therefore, fascin may be a suitable marker
for the prediction of regional lymphatic metastasis in HNSCC.
PMID- 24932287
TI - Inhibition of ovarian cancer cell proliferation by Pien Tze Huang via the AKT
mTOR pathway.
AB - Pien Tze Huang (PZH) is a well-known Chinese medicine that has been used as a
therapeutic drug in the treatment of a number of diseases, such as hepatocellular
carcinoma and colon cancer. However, few studies have analyzed the effects of PZH
on ovarian cancer cell proliferation. In the present study, 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide and Transwell assays, cell
cycle and apoptosis rate analyses and western blotting were conducted to
investigate the effects of PZH on the proliferation rate of ovarian cancer cells
and its potential molecular pathway. The results showed that PZH inhibits the
proliferation of the human ovarian cancer OVCAR-3 cell line by blocking the
progression of the cell cycle from the G1 to S phase, however, PZH did not induce
OVCAR-3 cell apoptosis. Increased PZH concentration may downregulate the
expression of AKT, phosphorylated (p)-AKT, mammalian target of rapamycin (mTOR)
and p-mTOR proteins in the OVCAR-3 cell line. In addition, it was observed that
PZH may suppress the protein expression of cyclin-dependent kinase (CDK)4 and
CDK6. Overall, the results of the present study indicated that PZH may inhibit
ovarian cancer cell proliferation by modulating the activity of the AKT-mTOR
pathway.
PMID- 24932288
TI - Doxorubicin combined with celecoxib inhibits tumor growth of medullary thyroid
carcinoma in xenografted mice.
AB - The aim of the present study was to investigate the antitumor effect of celecoxib
(CXB) combined with doxorubicin (DOX) on the subcutaneous xenograft tumor of
medullary thyroid carcinoma in nude mice, and to analyze the possible mechanism
of action. Nude mice with xenografted medullary thyroid carcinoma (MTC) were
randomly divided into the control, CXB, DOX and DOX plus CXB groups, and the drug
treatment was administered for three weeks. It was found that the tumor
inhibition rates and the apoptosis index in the treatment groups were higher than
in the control group (P<0.01), and that these values were higher in the
combination group compared with the single-drug group (P<0.01). DOX alone
upregulated the cyclooxygenase-2 and multidrug-resistance 1 expression levels,
and the combination of CXB and DOX or CXB alone notably decreased the expression
level of the two proteins compared with no treatment. The results of the present
study provide evidence that a combination of DOX and CXB is a potential drug
candidate for the treatment of MTC.
PMID- 24932289
TI - Effect of Paris saponin I on radiosensitivity in a gefitinib-resistant lung
adenocarcinoma cell line.
AB - Previous studies have observed that Paris saponin I (PSI) exerts a wide range of
pharmacological activities, including cytotoxic activity against a number of
malignancies, such as non-small cell lung cancers. The present study aimed to
investigate the radiosensitization of PSI treatment on a gefitinib-resistant lung
adenocarcinoma cell line, PC-9-ZD, and its possible mechanism. A 3-(4,5
dimethylthiazol-2-yl)-2,5-diphenyl-tetrazolium bromide assay was used to
determine the growth inhibition effect of PSI. A clonogenic assay was performed
to determine the radiosensitizing effect of PSI treatment on the PC-9-ZD cell
line. A single-hit multi-target model was used to plot survival curves and
calculate sensitizing enhancement ratios. The cell cycle was analyzed by flow
cytometry and cell apoptosis was analyzed with fluorescein-isothiocyanate-Annexin
V/propidium iodide and Hoechst staining. The expression levels of the proteins
were detected by western blotting. There was a significant reduction observed in
the proliferation of the PC-9-ZD cell lines that were treated with PSI. PSI
enhanced the radiosensitivity of the PC-9-ZD cells with a sensitization
enhancement ratio of 1.77. Furthermore, PSI induced G2/M arrest and apoptosis of
the irradiated PC-9-ZD cells. Notably, B-cell lymphoma 2 (Bcl-2) was
downregulated, and caspase-3, Bcl-2-like protein 4 (Bax) and cyclin-dependent
kinase inhibitor 1 (P21waf1/cip1) were upregulated by the PSI treatment. The
present study showed that PSI treatment exhibited potent radiosensitivity against
gefitinib-resistant PC-9-ZD cells in vitro. This radiosensitivity was associated
with cell cycle arrest at the G2/M phase, and apoptosis via an increase in
caspase-3, Bax and P21waf1/cip1 as well as a decrease in Bcl-2 production.
PMID- 24932290
TI - Effects of silencing RIP1 with siRNA on the biological behavior of the LoVo human
colon cancer cell line.
AB - The present study aimed to investigate the effects of silencing RIP1 by small
interfering RNA (siRNA) on the biological behavior of the LoVo human colorectal
carcinoma cell line and to provide evidence for the feasibility of colorectal
cancer gene therapy. LoVo cells were divided into the RIP1 siRNA group, the blank
control group and the negative control group. Chemically synthesized siRNA
targeting RIP1 (RIP1 siRNA) was transfected into LoVo cells. Following
transfection of the RIP1-targeted siRNA into the LoVo cells, the expression of
the RIP1 gene was effectively inhibited. The results demonstrated that RIP1
effectively regulated the malignant biological behavior of the LoVo colon cancer
cell line. Furthermore, the proliferation, motility and invasiveness of LoVo
cells were inhibited by siRNA knockdown of RIP1. The results revealed that the
RIP1 gene has an important role in the regulation of proliferation and apoptosis
in colorectal carcinoma cells.
PMID- 24932291
TI - Prognostic value of serum leptin in advanced lung adenocarcinoma patients with
cisplatin/pemetrexed chemotherapy.
AB - Cisplatin/pemetrexed chemotherapy has been established as a standard treatment in
lung adenocarcinoma. However, the response to the cisplatin/pemetrexed
combination varies considerably among patients due to individual variations.
Thus, novel biomarkers are required to aid the prediction of the response to the
cisplatin/pemetrexed combination. We hypothesized that leptin expression may be a
determinant for prognosis in lung adenocarcinoma patients with
cisplatin/pemetrexed chemotherapy. Serum from consenting patients with lung
adenocarcinoma were obtained for the measurement of leptin and associated tumor
biomarkers. Leptin expression was measured by radioimmunoassay. Carcinoembryonic
antigen (CEA), carbohydrate antigen 19-9 (CA19-9), CA15-3, CA125, CA72-4,
cytokeratin 19 fragment (CYFRA21-1) and neuron-specific enolase (NSE) expression
were determined by electrochemiluminescence immunoassays. Serum squamous cell
carcinoma antigen levels were measured using a microparticle enzyme immunoassay.
The associations between serum leptin and tumor biomarker expression were
evaluated by Spearman's correlation analysis. Serum CEA, CA19-9, CA15-3, CA125,
CA72-4, CYFRA21-1 and NSE levels showed no obvious difference among patients.
However, a trend towards an improved prognosis was observed in patients with
lower serum leptin at diagnosis and an increase during cisplatin/pemetrexed
chemotherapy. The results indicated that the serum leptin level has prognostic
indications in patients with advanced lung adenocarcinoma during
cisplatin/pemetrexed chemotherapy, which indicates that it may be a useful marker
for the prognosis of cancer patients undergoing chemotherapy treatment.
PMID- 24932292
TI - Hypertrophic pulmonary osteoarthropathy with primary lung cancer.
AB - Hypertrophic pulmonary osteoarthropathy (HPO) is a rare paraneoplastic syndrome
that is frequently associated with lung cancer; however, the incidence of
clinically apparent HPO is not well known. The clinical data of 6,151 patients
with advanced lung cancer between January 1996 and December 2008 were
retrospectively analyzed in Zhejiang Cancer Hospital (Hangzhou, China). Data
pertaining to the presentation of HPO, diagnosis, treatment, pathology, follow-up
and clinical course were documented. HPO was confirmed using bone scintigraphy by
the identification of symmetrical, abnormally high uptake in the joints, and/or
long bones with digital clubbing in the extremities as well as joint pain. The
clinical characteristics were investigated based on clinical and pathological
records. The patients were presenting with lung cancer for the first time and had
not received treatment. Among the 6,151 lung cancer patients, 115 (1.87%)
demonstrated an abnormally high uptake on bone scintigraphy and digital clubbing
in the extremities combined with joint pain. A total of 109 patients received
surgery or chemotherapy treatment and 92 exhibited improved symptoms. The
improvement rate of HPO was lower in the non-surgery compared with the surgery
patients (77.4 vs. 91.1%; P=0.049). Bone scintigraphy was repeated in 85 patients
within 3-6 months, and the HPO symptoms improved in 70 patients. The present
retrospective study indicated that 1.87% of patients with lung cancer showed
characteristics that were identified as HPO. The majority of the patient symptoms
and the bone scintigram of HPO improved as a result of treatment.
PMID- 24932293
TI - Expression of CXC chemokine receptor-4 and forkhead box 3 in neuroblastoma cells
and response to chemotherapy.
AB - Current evidence indicates that the abnormal expression of chemokines or their
receptors, such as CXC chemokine receptor-4 (CXCR4), is positively correlated
with the development, progression and metastasis of tumor cells. However, the
role of CXCR4 in neuroblastoma and its response to chemotherapy remain largely
unclear. In addition, forkhead box 3 (Foxp3), a transcription factor associated
with T cell tolerance, is expressed in tumor cells and plays a role in the immune
evasion of cancers. The present study aimed to examine the expression of CXCR4
and Foxp3 in the LAN-5 and SK-N-SH neuroblastoma cell lines. The effects of
chemotherapy drugs, cyclophosphamide (CTX) and pirarubicin (THP), on the
expression of these two genes were also investigated. Our findings indicated that
CXCR4 and Foxp3 were highly expressed in LAN-5 and SK-N-SH cells. Following
treatment with CTX and THP, the protein expression of CXCR4 in LAN-5 and SK-N-SH
cells was significantly decreased (P<0.05). The expression of Foxp3 in LAN-5
cells was also significantly downregulated by CTX and THP treatment (P<0.05).
Therefore, the high expression of CXCR4 and Foxp3 in LAN-5 and SK-N-SH cells and
their subsequent downregulation following administration of the chemotherapy
agents suggests that the chemokine receptors, CXCR4 and Foxp3, may be involved in
the metastasis and tumor evasion of neuroblastoma. Further studies should
investigate the expression of CXCR4 and Foxp3 in patient samples.
PMID- 24932294
TI - Misdiagnosed gastrinoma: A case report.
AB - Gastrinoma is most commonly located in the gastrinoma triangle (comprising of the
duodenum, pancreas and bile ducts) or in the adjacent lymph nodes. Due to the low
mortality rate, it is often misdiagnosed as other diseases with similar clinical
characteristics, such as a solid pseudopapillary tumor of the pancreas (SPTP).
Therefore, the current study reports a rare case of gastrinoma located in the
tail of the pancreas of a female patient under medical examination, who exhibited
no clinical symptoms. The tumor, which was located in the body and tail of the
pancreas, was successfully resected and the spleen was preserved. The outcome of
surgery combined with the postoperative pathological examination resulted in the
patient being misdiagnosed with a SPTP. During the consequent six-year follow-up
period, low-density liver lesions and an intractable peptic ulcer gradually
appeared. Finally, the patient diagnosis was confirmed as a malignant pancreatic
neuroendocrine carcinoma with liver metastases. On June 1, 2011, a liver
transplant was successfully performed and the patient has maintained a good
overall condition. The underlying clinical and pathological factors that may have
resulted in misdiagnosis are investigated in the present study. Through providing
our preliminary clinical experiences and lessons, the aim of the present study
was to focus the attention of clinicians on this type of cancer in order to
improve its diagnosis and treatment.
PMID- 24932295
TI - Resveratrol inhibits the phosphatidylinositide 3-kinase/protein kinase
B/mammalian target of rapamycin signaling pathway in the human chronic myeloid
leukemia K562 cell line.
AB - Resveratrol inhibits the initiation, promotion and progression of tumors,
however, the mechanism by which resveratrol inhibits the proliferation of the
human chronic myeloid leukemia K562 cell line remains unclear. The present study
was conducted to investigate the effect of resveratrol on the activation of the
phosphatidylinositide 3-kinase (PI3K)/protein kinase B (Akt)/mammalian target of
rapamycin (mTOR) signaling cascade in K562 cells. Resveratrol showed significant
cytotoxic effects and induced apoptosis in K562 cells in a dose- and time
dependent manner. In addition, resveratrol attenuated the phosphorylation of
PI3K, Akt and mTOR in the K562 cells. Furthermore, the selected inhibitors of
PI3K (LY294002), Akt (SH-6) and mTOR (rapamycin) enhanced the effects of
resveratrol in K562 cells. In addition, cyclin D1 levels were found to decrease
and the activation of caspase-3 was observed. Resveratrol was also found to
significantly attenuate the phosphorylation of the downstream molecules, p70S6K
and 4EBP1. These results suggested that the downregulation of the PI3K/Akt/mTOR
signaling cascades may be a crucial mediator in the inhibition of proliferation
and induction of apoptosis by resveratrol in K562 cells.
PMID- 24932296
TI - Tongue squamous cell carcinoma as a possible distinct entity in patients under 40
years old.
AB - Much controversy exists in the published literature regarding the clinical course
and prognosis of tongue squamous cell carcinoma (SCC) in young patients. The aim
of the current study was to evaluate the clinical results of tongue SCC in young
patients. A total of 176 patients were included in this retrospective study. The
patients were divided into two groups (young and old) according to an age cut-off
of 40 years. The chi2 test and Kaplan-Meier method were used to analyze the
variables. In total, 15 patients were <40 years old and placed into the young
group, with five-year recurrence-free survival (RFS) and disease-specific
survival (DSS) rates of 30 and 63%, respectively, compared with 47 and 62%,
respectively, in the old group. No significant differences were identified
between the RFS and DSS rates of the two groups, however, the young patients
exhibited a different failure pattern. Overall, nine out of 10 recurrences in the
young group occurred at a primary site compared with 18 out of 70 in the old
group (P<0.001). Univariate analysis revealed that gender and differentiation
were associated with recurrence and neck nodal involvement. In addition, poor
differentiation was found to significantly decrease the DSS time. However, the
prognosis of tongue SCC in the young patient group did not appear to differ from
that of the old patient group. Furthermore, in the young patient group, local
recurrence was the most common failure pattern and tumor differentiation was the
most important prognostic factor.
PMID- 24932297
TI - Expression profiling of stem cell signaling alters with spheroid formation in
CD133high/CD44high prostate cancer stem cells.
AB - Cancer stem cells (CSC) isolated from multiple tumor types differentiate in vivo
and in vitro when cultured in serum; however, the factors responsible for their
differentiation have not yet been identified. The first aim of the present study
was to identify CD133high/CD44high DU145 prostate CSCs and compare their profiles
with non-CSCs as bulk counterparts of the population. Subsequently, the two
populations continued to be three-dimensional multicellular spheroids.
Differentiation was then investigated with stem cell-related genomic
characteristics. Polymerase chain reaction array analyses of cell cycle
regulation, embryonic and mesenchymal cell lineage-related markers, and
telomerase reverse transcriptase (TERT) and Notch signaling were performed.
Immunohistochemistry of CD117, Notch1, Jagged1, Delta1, Sox2, c-Myc, Oct4, KLF4,
CD90 and SSEA1 were determined in CSC and non-CSC monolayer and spheroid
subcultures. Significant gene alterations were observed in the CD133high/CD44high
population when cultured as a monolayer and continued as spheroid. In this group,
marked gene upregulation was determined in collagen type 9 alpha1, Islet1 and
cyclin D2. Jagged1, Delta-like 3 and Notch1 were respectively upregulated genes
in the Notch signaling pathway. According to immunoreactivity, the staining
density of Jagged1, Sox2, Oct4 and Klf-4 increased significantly in CSC
spheroids. Isolated CSCs alter their cellular characterization over the course of
time and exhibit a differentiation profile while maintaining their former surface
antigens at a level of transcription or translation. The current study suggested
that this differentiation process may be a mechanism responsible for the
malignant process and tumor growth.
PMID- 24932298
TI - Detection of circulating tumor cells in the cerebrospinal fluid of a patient with
a solitary metastasis from breast cancer: A case report.
AB - Brain lesions identified following the diagnosis and eradication of primary
cancers are often ambiguous in origin, existing as a solitary metastasis or an
independent primary brain tumor. The brain is a relatively common site of
metastasis with breast cancer, although determining whether metastases have
originated from the breast or brain is often not possible without invasive
biopsies. In the current case report, a patient presented with a brain lesion
identified by radiography and was without systemic disease. The patient had
previously exhibited a complete response to chemotherapy and surgery for a poorly
differentiated invasive ductal carcinoma. The origin of the brain lesion could
not be determined by magnetic resonance imaging, giving rise to a diagnostic
dilemma with diverging treatment options. We previously reported a method to
isolate and enumerate tumor cells of epithelial origin in the cerebrospinal fluid
(CSF). CSF tumor cell analysis of the patient revealed massive CSF tumor cell
burden of epithelial origin, indicating that the brain lesion was likely of
breast origin. The current case report highlights the use of CSF tumor cell
detection as a differential diagnostic tool, in addition to its previously
demonstrated use as a marker of disease burden and therapeutic response.
PMID- 24932299
TI - TGFalpha-PE38 enhances cytotoxic T-lymphocyte killing of breast cancer cells.
AB - The aim of the present study was to determine whether the combination of two
modalities of immunotherapy, targeting two different tumor antigens, may be
feasible and non-toxic, yet enhance the killing of a human breast cancer cell
line. The first modality was tumor growth factor alpha-Pseudomonas exotoxin 38
(TGFalpha-PE38), which specifically targets and kills tumor cells that express
the epidermal growth factor receptor. The second modality was mucin-1 (MUC1)
specific cytotoxic T lymphocytes (CTLs), generated by MUC1 stimulation of
peripheral blood mononuclear cells, to target the human breast cancer cell line,
MCF7. TGFalpha-PE38 exhibited specific lysis of the MCF7 cells in a concentration
and time-dependent manner. TGFalpha-PE38 did not kill the normal hematopoietic
stem cells or CTLs. Furthermore, TGFalpha-PE38 was not inhibitory for the growth
or differentiation of the normal human hematopoietic stem cells into erythroid
and myeloid colonies. In addition, TGFalpha-PE38 did not inhibit the killing
function of CTLs, either when preincubated or co-incubated with CTLs. Finally,
therapeutic enhancement was observed, in that TGFalpha-PE38 and CTLs were
additive in the specific lysis of the MCF7 cells. These two modalities of
immunotherapy may be beneficial for humans with breast cancer with or without
other therapies, including autologous hematopoietic stem cell transplantation,
specifically for purging cancer cells from hematopoietic stem cells prior to
transplantation.
PMID- 24932300
TI - Embolization of renal artery pseudoaneurysm following laparoscopic partial
nephrectomy for central renal tumor: A report of two cases.
AB - Laparoscopic partial nephrectomy has recently emerged as a minimally invasive
treatment for small- to moderate-sized renal tumors. Renal artery pseudoaneurysms
(RAPs) have been well-reported in patients with renal trauma or who have
undergone percutaneous urological procedures, including biopsy, nephrostomy and
percutaneous nephroureterolithotomy. However, RAP following laparoscopic partial
nephrectomy for central renal tumor is a rare but serious, potentially life
threatening complication. In total, two patients underwent laparoscopic partial
nephrectomy at The First Affiliated Hospital of Zhejiang University School of
Medicine (Hangzhou, China) for central renal tumors that had developed gross
hematuria several weeks following the surgical procedures. The formation of RAPs
was confirmed by contrast-enhanced computed tomography scans. Superselective
embolizations of the renal artery branches were successfully performed to treat
these two patients. In the current report, the etiology, diagnosis and management
of RAPs are discussed.
PMID- 24932301
TI - Plasma vascular endothelial growth factor 165 in advanced non-small cell lung
cancer.
AB - Currently, there is no serum marker that is routinely recommended for lung
cancer. Therefore, the aim of the present study was to demonstrate that plasma
vascular endothelial growth factor 165 (VEGF 165) may be a potential marker for
advanced lung cancer. Lung cancer is the leading cause of cancer-related
mortality worldwide, therefore, it is important to develop novel diagnostic
techniques. The present prospective case control study included two groups of
patients; a control group of healthy volunteers and a second group of patients
with advanced non-small cell lung cancer (NSCLC). The plasma VEGF 165 levels were
measured at baseline by ELISA prior to the first-line gemcitabine-cisplatin
regimen. The high VEGF 165 expression level cut-off was >703 pg/ml, and the
primary endpoint was used to compare the plasma VEGF 165 levels between the NSCLC
patients and the control group subjects. The secondary endpoint was used to
identify the correlations between high VEGF 165 levels and; clinical response
(CR), progression-free survival (PFS) and overall survival (OS) in the advanced
NSCLC patients. In total, patients with advanced NSCLC (n=35) were compared with
a control group of age- and gender-matched healthy subjects (n=34). The follow-up
period was between Oct 2009 and Oct 2012, with a median follow-up time of 10.5
months. The median plasma VEGF 165 level was 707 pg/ml in the NSCLC patients
versus 48 pg/ml in the healthy control subjects (P<0.001). However, no
significant correlation was found between the plasma VEGF 165 levels and CR
(P<0.5), median PFS (P=1.00) or OS (P=0.70). Therefore, it was concluded that
plasma VEGF 165 may serve as a potential diagnostic marker for advanced NSCLC.
PMID- 24932302
TI - Usefulness of short-term eltrombopag treatment as a supportive treatment in
hepatocellular carcinoma patients with cirrhosis and severe thrombocytopenia: A
report of two cases.
AB - Eltrombopag is an oral thrombopoietin (TPO) receptor agonist that increases
platelet counts in patients with idiopathic thrombocytopenic purpura and in
patients with liver cirrhosis. When cirrhotic patients with thrombocytopenia
undergo elective invasive procedures, eltrombopag treatment reduces the
requirement for platelet transfusions. However, TPO is known to have
proliferative effects on hepatic progenitor cells and hepatic sinusoidal
endothelial cells, which indicates that eltrombopag may accelerate tumor
progression. Thus, the effect of eltrombopag on hepatocellular carcinoma (HCC)
progression is an important issue. The current study describes two cases of HCC
with cirrhosis-related thrombocytopenia. A two-week administration of eltrombopag
increased platelet counts from 4.8 to 11.3*104 /MUl in case 1 and 4.5 to 23.2*104
/MUl in case 2. However, no changes were identified in the serum levels of tumor
markers or HCC size following eltrombopag administration in the two cases. These
HCCs were curatively treated by radiofrequency ablation without platelet
transfusions or serious bleeding. Thus, short-term eltrombopag administration may
not accelerate HCC proliferation and may be beneficial for invasive HCC treatment
in cirrhotic patients with thrombocytopenia.
PMID- 24932303
TI - The role of MALAT1 correlates with HPV in cervical cancer.
AB - Cervical cancer, the second most common type of cancer in women worldwide, is
responsible for >275,100 mortalities each year and is associated with high-risk
human papilloma virus (HR-HPV). HPVs have two important oncogenes, E6 and E7,
which have crucial roles in malignant transformation in cervical cancer.
Metastasis-associated lung adenocarcinoma transcript 1 (MALAT1) is a long non
coding RNA originally identified in non-small cell lung cancer. Previous studies
have revealed that MALAT1 is expressed in numerous tissue types, and is
significant in maintaining the normal function of the body. However, it also
appeared to be notably upregulated in numerous carcinoma types compared with
adjacent non-cancerous tissues. In the present study, it was identified that
MALAT1 expression was upregulated in cervical cancer cell lines compared with
normal cervical squamous cell samples. Further study into the effect of MALAT1 on
cellular phenotype revealed that MALAT1 was able to promote cell migration and
proliferation. Of note, it was revealed that the expression of MALAT1 was
decreased with the knockdown of HPV16 E6/E7 in CaSki cells. Furthermore, the
investigations in clinical samples also revealed that MALAT1 was expressed in HPV
positive cervical squamous cells, but not in HPV-negative normal cervical
squamous cells. These results indicate that HPV correlates with MALAT1
deregulation in cervical cancer.
PMID- 24932304
TI - Enhanced antitumor effects of low-frequency ultrasound and microbubbles in
combination with simvastatin by downregulating caveolin-1 in prostatic DU145
cells.
AB - Advanced prostate cancer is difficult to treat due to androgen resistance, its
deep location and blood tumor barriers. Low-frequency ultrasound (LFU) has
potential clinical applications in the treatment of prostate cancer due to its
strong penetrability and high sensitivity towards tumor cells. Simvastatin has
often been administered as a preventive agent in prostate tumors. The aim of the
present study was to investigate the enhanced effects of LFU and microbubbles in
combination with simvastatin, in inhibiting cell viability and promoting
apoptosis of androgen-independent prostatic DU145 cells. Cultured DU145 cells
were divided into six groups based on the combination of treatments as follows:
Control, LFU, LFU and microbubbles (LFUM), simvastatin, LFU and simvastatin, LFUM
and simvastatin. The cells were treated by LFU (80 kHz) continuously for 30 sec
with an ultrasound intensity of 0.45 W/cm2 and a microbubble density of 20%.
Simvastatin was added 30 h prior to the ultrasound exposure. The results
indicated that cell viability was marginally reduced in the LFU and simvastatin
alone treatment groups compared with the control 24 h following ultrasound
exposure. The combination of LFU, with microbubbles or simvastatin, potentiated
the growth inhibition; the greatest inhibition was observed in the cells that
were subject to treatment with LFUM and simvastatin in combination. Furthermore,
this inhibitory effect was enhanced in a time-dependent manner. For cell
apoptosis, a low dose of simvastatin had no apparent affect on the DU145 cells,
while LFU marginally promoted cell apoptosis. Microbubbles or simvastatin
increased the apoptosis rate of the DU145 cells, however, the combination of LFUM
and simvastatin induced a strong synergistic effect on cell apoptosis. Western
blotting analysis demonstrated a high expression level of caveolin-1 in resting
DU145 cells. LFUM or combined LFU and simvastatin resulted in a greater reduction
in the expression compared with the control group (P<0.05). The expression of
caveolin-1 was lowest in the LFUM combined with simvastatin treatment group. The
expression of phospho-Akt (p-Akt) was consistent with caveolin-1, with the lowest
expression levels of p-Akt observed in the cells that were treated with the
combination of LFUM and simvastatin. The results indicate that LFUM in
combination with simvastatin may additively or synergistically inhibit cell
viability and induce apoptosis of DU145 cells by downregulating caveolin-1 and p
Akt protein expression.
PMID- 24932305
TI - Capillary morphogenesis gene 2 regulates adhesion and invasiveness of prostate
cancer cells.
AB - Capillary morphogenesis gene 2 (CMG2), also known as anthrax toxin receptor 2,
has been indicated in the formation of new vasculature and in the internalisation
of the anthrax toxin. Anti-angiogenesis therapy that targets this molecule has
been investigated. However, our recent studies of this molecule have indicated
that this gene may also play certain roles in cancer cells. The present study
aimed to examine the expression of CMG2 in prostate cancer tissues and cell
lines, and also its impact on cellular functions. The expression of CMG2 was
detectable in normal and prostate cancer tissues. The prostate cancer cell lines
appeared to have relatively high expression compared with the prostatic
epithelial cells. Knockdown of CMG2 impaired the adherence of the prostate cancer
cells. CMG2 overexpression resulted in decreasing invasiveness, while the
knockdown of CMG2 contrastingly enhanced this ability. The altered expression of
CMG2 in the prostate cancer cells did not affect the in vitro or in vivo growth
of the cells. Taken together, these results show that CMG2 is expressed in
prostatic epithelia and cancer cells. In addition to its role in the angiogenesis
and the internalisation of anthrax toxin, CMG2 also plays an important role in
regulating the adhesion and invasion of prostate cancer cells.
PMID- 24932306
TI - Supraglottic adenoid cystic carcinoma mimicking laryngeal amyloidosis: A case
report.
AB - Supraglottic adenoid cystic carcinoma (ACC) is extremely rare and may be
misdiagnosed as laryngeal amyloidosis. The present report describes a case of
supraglottic ACC, which went unrecognized until histopathological examination of
the neoplasm 18 months after the first presentation. The present patient
presented with progressive hoarseness for half a year and initially required
partial resection. Following quick regional recurrence, the patient received a
total laryngectomy while refusing radiotherapy. Adjuvant post-operational
traditional Chinese medicine was accepted. Over 3 years' follow-up, there was no
evidence of regional relapse or distant metastases. The present case is compared
with a second case of supraglottic submucosal mass in which the signs, symptoms
and examinations were similar to the first case, but that was diagnosed as
laryngeal amyloidosis. Attention should be paid to submucosal masses in the
larynx to prevent underlying malignancy and subsequent disease progression.
Immunocytochemistry, such as p63 staining, is mandatory for making an early
differential diagnosis of supraglottic ACC. Traditional Chinese medicine may be a
useful adjuvant therapy for this rare disease.
PMID- 24932307
TI - Diagnosis and treatment of retroperitoneal bronchogenic cysts: A case report.
AB - Bronchogenic cysts are uncommon, predominantly benign, congenital malformations
arising from the primitive foregut. The occurrence of such cysts in the
retroperitoneum is extremely rare. The present study presents the case of a 30
year-old female who presented with a left adrenal mass. Imaging investigations
revealed a cystic mass located medially to the left adrenal gland.
Retroperitoneal laparoscopic excision and complete resection were performed, and
the subsequent pathological examination confirmed the diagnosis of a bronchogenic
cyst in the retroperitoneum. The patient was discharged on the fourth post
operative day and received no further treatment, however, regular follow-up was
performed due to the lesion being benign. A rare case of bronchogenic cyst and
literature review is presented, which may aid in improving the understanding of
the etiology and pathogenesis of retroperitoneum bronchogenic cysts.
PMID- 24932308
TI - Gastric cancer cell growth and epithelial-mesenchymal transition are inhibited by
gamma-secretase inhibitor DAPT.
AB - The Notch signaling pathway may be important in the development and progression
of several malignancies. However, the functions of Notch signaling in epithelial
mesenchymal transition (EMT) remain largely unknown. The aim of the present study
was to delineate Notch1 expression in gastric cancer (GC) and its function in GC
EMT. Using quantitative polymerase chain reaction and western blot analysis, the
expression of Notch1 was found to increase in GC cell lines compared with the
normal gastric mucosa cell line. In addition, Notch1 expression was found to be
downregulated in the non-metastatic-derived GC cell line compared with the
metastatic-derived cell line. Furthermore, Notch1 expression was significantly
increased in the tumor tissues compared with the adjacent normal mucosa tissues,
as well as in patients with metastases than in patients without metastases. To
explore the role of the Notch1 signaling pathway in EMT, the GC cell lines, AGS
and MKN45, were treated with gamma-secretase inhibitor DAPT. Using MTT, Transwell
and clonality assays, DAPT was found to inhibit the expression of the Notch1
downstream target, Hes1, and impair the ability of the GC cell lines to migrate,
invade and proliferate. The protein levels of the mesenchymal markers, vimentin,
neural cadherin and Snail, were decreased; however, the expression of the
epithelial marker, epithelial cadherin, was increased in the GC cell lines
treated with DAPT. These results indicated that the Notch1 signaling pathway may
be important in the development and progression of GC. In conclusion, DAPT
inhibits the Notch1 signaling pathway, as well as the growth, invasion,
metastasis and EMT of GC cells.
PMID- 24932309
TI - Involvement of ephrin receptor A4 in pancreatic cancer cell motility and
invasion.
AB - Ephrin (EPH) receptors can be classified into EPHA and EPHB receptors and are
important in diverse cellular processes. EPHA4, a member of the EPHA receptors,
has been demonstrated to be elevated in various human cancers and involved in the
tumor progression. However, the role of EPHA4 in pancreatic cancer cells remains
unclear. Therefore, the present study transfected Panc-1 and BxPC-3 cells with
small interfering RNA (siRNA) to knockdown the expression of EPHA4. Wound healing
and invasion assays were then performed to assess the effect of EPHA4 knockdown
on the motility and invasion of pancreatic cancer cells. The results demonstrated
that the knockdown of EPHA4 by siRNA inhibits the motility and invasion of
pancreatic cancer cells. Furthermore, gelatin zymography assay showed that EPHA4
may regulate the activity of matrix metalloproteinase (MMP)-2. In addition, the
knockdown of EPHA4 increased the expression of epithelial (E)-cadherin, as well
as decreased the expression of Snail. Overall, these results suggested that EPHA4
may promote the motility and invasion of pancreatic cancer cells via the
upregulation of MMP-2 and Snail, as well as the downregulation of E-cadherin.
Thus, EPHA4 may act as a useful target for the treatment of pancreatic cancer.
PMID- 24932310
TI - Epithelioid angiosarcoma of the spine: A case report of a rare bone tumor.
AB - Epithelioid angiosarcoma (EA) is an extremely rare subtype of angiosarcoma, which
is characterized by large cells with an epithelioid morphology. EA typically
arises in deep soft tissues, including the adrenal gland, skin and thyroid,
however, EA rarely arises in the spine. The current study presents a case of
osteolytic lesions involving the fourth lumbar (L4) level of the spine.
Preoperatively, the patient was misdiagnosed with metastatic carcinoma, however,
a radiological examination detected the presence of osteolytic or destructive
lesions in the vertebrae, which extended into the pedicles. Histopathological and
immunohistochemical evaluations were performed on the tumor tissue obtained from
a decompression specimen of the L4 vertebra. A bone lesion composed of sheet-like
malignant cells exhibiting atypical epithelioid morphology with vascular
formation was observed. The presence of anastomosing vascular channels lined by
epithelioid endothelial cells also indicated that focal endothelial
differentiation had occurred. In addition, immunohistochemistry assays revealed
that the lesion was positive for the endothelial cell markers, CD31, CD34 and
vimentin. The tumor was treated with decompression of the L4 vertebra, followed
by posterior stabilization. The patient subsequently refused chemotherapy and
radiotherapy but completed six months of follow-up. At the time of writing, the
tumor remains under control and the patient is asymptomatic. This case highlights
the difficulty of diagnosing EA, which requires careful pathological examination
and immunophenotype labeling. At present, CD31 is the most sensitive marker for
detecting EA.
PMID- 24932311
TI - Increased phosphatidylethanolamine N-methyltransferase gene expression in non
small-cell lung cancer tissue predicts shorter patient survival.
AB - Lipid mobilization is of great importance for tumor growth and studies have
suggested that cancer cells exhibit abnormal choline phospholipid metabolism. In
the present study, we hypothesized that phosphatidylethanolamine N
methyltransferase (PEMT) gene expression is increased in non-small-cell lung
cancer (NSCLC) tissues and that increased gene expression acts as a predictor of
shorter patient survival. Forty-two consecutive patients with resected NSCLC were
enrolled in this study. Paired samples of lung cancer tissues and adjacent non
cancer lung tissues were collected from resected specimens for the estimation of
PEMT expression. SYBR Green-based real-time polymerase chain reaction was used
for quantification of PEMT mRNA in lung cancer tissues. Lipoprotein lipase (LPL)
and fatty acid synthase (FASN) activities had already been measured in the same
tissues. During a four-year follow-up, 21 patients succumbed to tumor
progression. One patient did not survive due to non-cancer reasons and was not
included in the analysis. Cox regression analysis was used to assess the
prognostic value of PEMT expression. Our findings show that elevated PEMT
expression in the cancer tissue, relative to that in the adjacent non-cancer lung
tissue, predicts shorter patient survival independently of standard prognostic
factors and also independently of increased LPL or FASN activity, the two other
lipid-related predictors of shorter patient survival. These findings suggest that
active phosphatidylcholine and/or choline metabolism are essential for tumor
growth and progression.
PMID- 24932312
TI - Circulating free mitochondrial DNA concentration and its association with
erlotinib treatment in patients with adenocarcinoma of the lung.
AB - Changes in circulating free DNA concentrations have been correlated with
chemotherapeutic effects in solid tumors. The present study was designed to
determine and compare the changes in circulating free mitochondrial DNA (mtDNA)
concentrations prior to and following erlotinib treatment, as well as the
potential prognostic value of plasma mtDNA. Patients with adenocarcinoma of the
lung who were to receive erlotinib treatment were enrolled in the present study
once informed consent had been obtained. Patient plasma samples were collected
immediately prior to starting erlotinib treatment, on days 15 and 29 following
the initiation of erlotinib treatment and also when the patient's disease had
progressed. The most common erlotinib treatment response was a partial response
(PR), achieved in 26 (49.1%) of the 53 enrolled patients, followed by stable
disease (SD) in 13 patients (24.5%) and progressive disease (PD) in 14 patients
(26.4%). Plasma mtDNA concentrations were significantly decreased on day 15
compared with day 0 in the patients with PD (P=0.028) or in those patients
without a response to erlotinib treatment (SD and PD; P=0.007). Plasma mtDNA
concentrations were similar or elevated on day 15 compared with day 0 in the
patients with a PR (P=0.808). The concentration of plasma mtDNA did not correlate
with progression-free survival (PFS). Tumor epidermal growth factor receptor
(EGFR) mutation status (activating mutations in 16 patients and wild-type in 14
patients) did not correlate with the concentration of plasma mtDNA (P=0.951).
Plasma mtDNA levels did not correlate with the PFS of the patients when they
received erlotinib treatment. The plasma mtDNA levels were decreased on day 15 in
those patients who had disease progression following erlotinib treatment. These
results demonstrate that plasma mtDNA is of weak clinical utility as a screening,
diagnostic or prognostic tool in lung cancer patients.
PMID- 24932313
TI - FBXL20 acts as an invasion inducer and mediates E-cadherin in colorectal
adenocarcinoma.
AB - The mechanisms eliciting colorectal adenocarcinoma are not well understood and
the FBXL20 gene is problematic as it exhibits an abnormal expression in
colorectal cancer cells. In the present study a recombinant plasmid, pReceiver
M03-FBL20 expression plasmid was constructed, which overexpressed FBXL20; this
was transfected into Lovo cells to form Lovo-FBL20 cells. The FBXL20 expression
level was examined by quantitative polymerase chain reaction (qPCR) and western
blot analysis. The cell viability and invasion capacity were measured using cell
counting kit 8, Transwell chamber and wound healing assays, respectively. The
associated genes, including E-cadherin, beta-catenin, c-Myc, SET nuclear
oncogene, protein phosphatase-2A, Axin, p53 and caspase 3, were detected by qPCR
and western blotting. It was demonstrated that the FBXL20 expression level was
markedly upregulated in the Lovo-FBL20 cells transfected with pReceiver-M03-FBL20
expression plasmid, compared with that of the Lovo cells. In addition, the cell
viability and invasion capacity of the Lovo-FBL20 cells were significantly
increased. These increases correlated with a significant upregulation in the
expression level of beta-catenin and c-Myc, and a downregulated expression level
of E-cadherin. The results of the present study indicate that FBXL20 may mediate
the ubiquitin degradation of E-cadherin resulting in an increased invasive
ability of malignant cells.
PMID- 24932315
TI - Erratum: Clinical significance of the induction of macrophage differentiation by
the costimulatory molecule B7-H3 in human non-small cell lung cancer.
AB - [This corrects the article on p. 1253 in vol. 6, PMID: 24179504.].
PMID- 24932314
TI - A rapid nested polymerase chain reaction method to detect circulating cancer
cells in breast cancer patients using multiple marker genes.
AB - The aim of the present study was to develop a simple and rapid method for the
detection of circulating cancer cells using multiple tumor markers and to
investigate the clinical significance of circulating cancer cells in breast
cancer patients. A novel rapid nested polymerase chain reaction (PCR) assay, with
high sensitivity and specificity, was evaluated, which was considered to be
suitable for clinical application. The rapid nested PCR method was used to detect
the circulating cancer cells of 142 breast cancer patients, using a panel of
marker genes (FAM83A, NPY1R and KRT19), which were identified by the Digital Gene
Expression Displayer Tool of the National Cancer Institute-Cancer Genome Anatomy
Project. In total, 79.6% of the 142 breast cancer patient blood samples were
found to express at least one tumor marker. In addition, the number of positive
markers was found to significantly correlate with the disease stage and presence
of distant metastasis. Furthermore, positivity for more than one tumor marker
appeared to predict a reduced survival time in breast cancer patients.
PMID- 24932317
TI - Mouse IPK: A Powerful Tool to Partially Characterize Renal Reperfusion and
Preservation Injury.
AB - MAIN PROBLEM: The molecular basis of renal preservation injury is not well
understood. Since mouse kidney transplantation models are not useful in this
setting, a mouse Isolated Perfused Kidney (IPK) model was developed to take
advantage of mouse genetic design capabilities for testing complex biological
hypothesis regarding mechanisms of preservation injury in transplanted kidneys.
METHODS: Mouse kidneys were recovered, preserved, and reperfused in-vitro with an
acellular physiological crystalloid buffer containing hypo-physiological oncotic
pressure. Outcome variables were measured to predict preservation injury. These
included perfusate flow, vascular resistance, VO2, urine output, GFR,
proteinuria, LDH release, and edema. The model was tested by subjecting mouse
kidneys to cold storage in University of Wisconsin (UW) solution for 24, 48, or
72 hours (time-dependent preservation injury), cold storage in Euro-Collins
Solution (solution dependent preservation injury), and exposure to prior warm
ischemia (DCD dependent preservation injury). RESULTS: The model accurately
predicted the qualitative and quantitative changes in the readouts based on known
responses to preservation injury in kidney transplants in large animals and
humans. CONCLUSION: The mouse IPK accurately predicts many of the variables
associated with renal organ preservation injury in the very early phases of
reperfusion and may provide an attractive model for studying the molecular basis
of renal preservation injury.
PMID- 24932316
TI - Imaging Biomarkers for Intra-arterial Stroke Therapy.
AB - Despite high rates of early revascularization with intra-arterial stroke therapy,
the clinical efficacy of this approach has not been clearly demonstrated.
Neuroimaging biomarkers will be useful in future trials for patient selection and
for outcomes evaluation. To identify patients who are likely to benefit from
intra-arterial therapy, the combination of vessel imaging, infarct size
quantification and degree of neurologic deficit appears critical. Perfusion
imaging may be useful in specific circumstances, but requires further validation.
For measuring treatment outcomes, surrogate biomarkers that appear suitable are
angiographic reperfusion as measured by the modified Thrombolysis in Cerebral
Infarction scale and final infarct volume.
PMID- 24932318
TI - Cell-induced flow-focusing instability in gelatin methacrylate microdroplet
generation.
AB - Photo-crosslinkable gelatin methacrylate (GelMa) microspheres are applicable to
deliver cells or drugs in biological or biomedical applications. To fabricate
GelMa microdroplets, a flow focusing technique with advantages of size control
and rapid production was used in a T-junction microfluidic device. Instability
played an important role in promoting microdroplet uniformity. 5 wt. % GelMa
prepolymer solution mixed with cells affected cell-induced instability. At low
flow rate ratio of GelMa to mineral oil below 0.200, stability was maintained
regardless of GelMa concentration (5 and 8 wt. %) and cell presence, which led to
uniform microdroplet generation. In contrast, instability at high flow rate ratio
above 0.200 was worsened by cell presence and unstable jetting length, resulting
in the generation of non-uniform cell-laden microdroplets. Therefore, the effect
of cell-induced instability on microdroplet generation was minimized at a low
flow rate ratio.
PMID- 24932319
TI - Influence of Structural Heterogeneity on Diffusion of CH4 and CO2 in Silicon
Carbide-Derived Nanoporous Carbon.
AB - We investigate the influence of structural heterogeneity on the transport
properties of simple gases in a Hybrid Reverse Monte Carlo (HRMC) constructed
model of silicon carbide-derived carbon (SiC-DC). The energy landscape of the
system is determined based on free energy analysis of the atomistic model. The
overall energy barriers of the system for different gases are computed along with
important properties, such as Henry constant and differential enthalpy of
adsorption at infinite dilution, and indicate hydrophobicity of the SiC-DC
structure and its affinity for CO2 and CH4 adsorption. We also study the effect
of molecular geometry, pore structure and energy heterogeneity considering
different hopping scenarios for diffusion of CO2 and CH4 through ultramicropores
using the Nudged Elastic Band (NEB) method. It is shown that the energy barrier
of a hopping molecule is very sensitive to the shape of the pore entry. We
provide evidence for the influence of structural heterogeneity on self
diffusivity of methane and carbon dioxide using molecular dynamics simulation,
based on a maximum in the variation of self-diffusivity with loading. A
comparison of the MD simulation results with self-diffusivities from quasi
elastic neutron scattering (QENS) measurements and, with macroscopic uptake-based
low-density transport coefficients, reveals the existence of internal barriers
not captured in MD simulation and QENS experiments. Nevertheless, the simulation
and macroscopic uptake-based diffusion coefficients agree within a factor of 2-3,
indicating that our HRMC model structure captures most of the important energy
barriers affecting the transport of CH4 in the nanostructure of SiC-DC.
PMID- 24932320
TI - Analysis for stress environment in the alveolar sac model.
AB - Better understanding of alveolar mechanics is very important in order to avoid
lung injuries for patients undergoing mechanical ventilation for treatment of
respiratory problems. The objective of this study was to investigate the alveolar
mechanics for two different alveolar sac models, one based on actual geometry and
the other an idealized spherical geometry using coupled fluid-solid computational
analysis. Both the models were analyzed through coupled fluid-solid analysis to
estimate the parameters such as pressures/velocities and displacements/stresses
under mechanical ventilation conditions. The results obtained from the fluid
analysis indicate that both the alveolar geometries give similar results for
pressures and velocities. However, the results obtained from coupled fluid-solid
analysis indicate that the actual alveolar geometry results in smaller
displacements in comparison to a spherical alveolar model. This trend is also
true for stress/strain between the two models. The results presented indicate
that alveolar geometry greatly affects the pressure/velocities as well as
displacements and stresses/strains.
PMID- 24932321
TI - [Bilateral stress fracture of the legs following sustained walk].
PMID- 24932322
TI - [Epidemiological, prognostic and therapeutic aspects of retro placental hematoma
(HRP) in a referral maternity in rural area].
PMID- 24932323
TI - [An immunoproliferative disease of the small intestine revealed by acute
intussusception: report of a case].
PMID- 24932324
TI - [Angioid streaks].
PMID- 24932326
TI - Gastrocolic fistula secondary to primary gastric lymphoma.
PMID- 24932325
TI - Stroke in Saudi Arabia: a review of the recent literature.
AB - Stroke is a major cerebrovascular disease resulting in high mortality and
persistent disability in adults across the world. Besides coronary heart disease
and cancer, stroke is the commonest cause of death in most industrialized
countries. Survivors of stroke are often left with severe mental and physical
disabilities, which create a major social and economic burden, ranking as the
second most common cause of death worldwide and a major source of morbidity. The
Kingdom of Saudi Arabia (KSA) is the largest country in the Middle East occupying
approximately four-fifths of the Arabian Peninsula supporting a population of
more than 28 million. Stroke is becoming a rapidly increasing problem and an
important cause of illness and deaths in Saudi Arabia. However, compared with the
developed countries, research regarding the incidence, prevalence and their socio
demographic properties of stroke is still insufficient due to lack of appropriate
studies being conducted in these specified areas. This review aims to discuss the
range of the aspect of stroke in Saudi Arabia from the literature published.
PMID- 24932327
TI - [Methotrexate toxicity during acute leukemia lymphoblastic].
PMID- 24932328
TI - [Classic Kaposi disease with involvement of the musculoskeletal system: a new
case].
PMID- 24932329
TI - [Appendicular mucocele secondary to a caecal tumor].
PMID- 24932330
TI - [Epulis: about a case].
PMID- 24932331
TI - [Evolution of postoperative sequelae of pulmonary tuberculosis in HIV
seropositive].
PMID- 24932332
TI - [The migration of a ventriculoperitoneal shunt catheter].
PMID- 24932333
TI - [Nodular hepatic tuberculosis: unusual complication during Wilson's disease].
PMID- 24932334
TI - [Rare cause of perforation of the nasal septum].
PMID- 24932335
TI - [Paratesticular rhabdomyosarcoma in a 14-month old infant].
PMID- 24932336
TI - [A rare liver tumor in children: focal nodular hyperplasia].
PMID- 24932337
TI - Perceived barriers to the implementation of Isoniazid preventive therapy for
people living with HIV in resource constrained settings: a qualitative study.
AB - INTRODUCTION: Isoniazid preventive therapy (IPT) reduces the risk of active TB.
IPT is a key public health intervention for the prevention of TB among people
living with HIV and has been recommended as part of a comprehensive HIV and AIDS
care strategy. However, its implementation has been very slow and has been
impeded by several barriers. OBJECTIVE: The Objective of the study is to assess
the perceived barriers to the implementation of Isoniazid preventive therapy for
people living with HIV in resource constrained settings in Addis Ababa, Ethiopia
in 2010. METHODS: A qualitative study using a semi-structured interviewed guide
was used for the in-depth interview. A total of 12 key informants including ART
Nurse, counselors and coordinators found in four hospitals were included in the
interview. Each session of the in-depth interview was recorded via audio tape and
detailed notes. The interview was transcribed verbatim. The data was analyzed
manually. RESULTS: The findings revealed that poor patient adherence was a major
factor; with the following issues cited as the reasons for poor adherence;
forgetfulness; lack of understanding of condition and patient non- disclosure of
HIV sero-status leading to insubstantial social support; underlying mental health
issues resulting in missed or irregular patient appointments; weak
patient/healthcare provider relationship due to limited quality interaction; lack
of patient information, patient empowerment and proper counseling on IPT; and the
deficient reinforcement by health officials and other stakeholders on the
significance of IPT medication adherence as a critical for positive health
outcomes. CONCLUSION: Uptake of the implementation of IPT is facing a challenge
in resource limited settings. This recalled provision of training/capacity
building and awareness creation mechanism for the health workers, facilitating
disclosure and social support for the patients is recommended.
PMID- 24932338
TI - [Breech vaginal delivery: a study of maternal and neonatal morbidity and
mortality].
PMID- 24932340
TI - Chest trauma revealed an ostium secundum atrial septal defect in adulthood.
PMID- 24932339
TI - [Profile of breast and gynecological cancers in Yaounde--Cameroon].
PMID- 24932341
TI - Honeybee sting of the sclera: occular features, treatment, outcome and presumed
pathogenesis.
AB - Ocular bee sting injury has caused several reactions in the eye but has rarely
been reported among local African farmers, and Nigerians in particular. This case
seeks to report the first ocular and external eye reactions following a honey bee
sting of the eye through the sclera, highlighting the treatment and outcome. Oral
interview, clinical examination and external photographs were used to obtain and
document findings. Medical treatment was instituted as soon as subject presented.
There was complete inflammatory resolution within a week, normal vision and no
evidence of stinger migration after four weeks of follow up. The wound site
healed with ciliary staphyloma. The role of physical properties, immunological
and genetics interplay and the presumed pathogenesis is further discussed. Health
education on early presentation and avoidance of harmful traditional eye
medications should be promoted among the farming populations in our communities,
in order to prevent blinding complications.
PMID- 24932342
TI - [The trichobezoar: a rare cause of abdominal mass].
PMID- 24932343
TI - [Generalized lichen nitidus].
PMID- 24932344
TI - [Symphysis disjunction after an obstructed viginal delivery: report of a case].
PMID- 24932346
TI - [Atypical zoster in an immunocompromised patient].
PMID- 24932345
TI - Mapping knowledge management resources of maternal, newborn and child health
(MNCH) among people living in rural and urban settings of Ilorin, Nigeria.
AB - INTRODUCTION: Lack of access to information and knowledge about mother and child
health was identified as a major contributor to poor maternal and child health in
Nigeria. The Partnership for Maternal, Newborn and Child Health (PMNCH) has
recognized mapping the knowledge management of Maternal Newborn and Child Health
(MNCH) as one of the major strategies to be deployed in improving the health of
these vulnerable groups. The main aim of this study is to map the knowledge
management resources of Maternal, Newborn and Child Health (MNCH) in rural and
urban settings of Ilorin West LGA of Kwara state Nigeria. METHODS: It is a
descriptive cross-sectional study with a comparative analysis of findings from
urban and rural settings. Epi-mapping was used to carve out the LGA and map
responses. The p-value of less than 0.05 was considered significant at 95%
confidence level. RESULTS: The study showed that traditional leader was
responsible for more than half of the traditional way of obtaining information by
rural (66.7%) and urban (56.2%) respondents while documentation accounts for the
main MNCH knowledge preservation for the rural (40.6%) and the urban (50%)
dwellers. Traditional leaders (32.2%) and elders (46.7%) were the main people
responsible for dissemination of knowledge in rural areas whereas elders (35.9%)
and Parents (19.9%) were the main people responsible in urban areas. CONCLUSION:
It was concluded that traditional and family institutions are important in the
knowledge management of MNCH in both rural and urban settings of Nigeria.
PMID- 24932347
TI - Dysphagia secondary to anterior cervical spine osteophytes.
PMID- 24932348
TI - Stroke in young adults: about 128 cases.
AB - Ischemic stroke is rare in young adults, but it is genuinely a serious situation
giving the fact that it touch a very active part of our society. We report a
series of 128 cases. The purpose is to analyze the risk factors, etiologies and
outcomes of ischemic stroke in young adults in Marrakesh. Retrospective study
performed at the Neurology department Mohammed VI universitary hospital in
Marrakesh interesting 128 patients. The diagnosis of ischemic stroke was assessed
through clinical and radiological confrontation. RESULTS: The age of our patients
varied from 18 to 45 years old, 76 males and 52 females giving a male: female
ratio of 1:46. Hypertension was the first risk factor involved with 63 (49.2%)
cases, followed by smoking with 52 (40.6%) patients. The causes of ischemic
stroke were characterized by the predominance of the cardio embolic origin with
43 (33.5%) cases, the existence of 14 (11%) cases of syphilitic arthritis, and
the 52 (40.6%) cases of unknown etiologies. The authors stress the difficulties
faced on supporting ischemic stroke in southern Morocco in particular when
concerned by the etiological finding and the rehabilitation after the acute phase
of the stroke. Our study points out the high incidence of embolic heart disease
in our context. The lack of neurologists, low coverage of the population and the
underestimation by physicians are factors that can explain why ischemic stroke
remain undiagnosed.
PMID- 24932349
TI - [Umbilical metastasis of a rectal tumor: report of a case].
PMID- 24932350
TI - [Torsion of uterine appendages during pregnancy: report of a case at Yaounde
Central Hospital, Cameroon].
PMID- 24932351
TI - Prevalence of hepatitis B virus in patients with diabetes mellitus: a comparative
cross sectional study at Woldiya General Hospital, Ethiopia.
AB - INTRODUCTION: The overall prevalence of HBV in Ethiopia varies from 4.7-16.8% for
Hepatitis B surface antigen (HBsAg) and 70-76.38% for at least one marker
positive. Patients suffering from type I Diabetes Mellitus (DM) incur high risk
of infection with hepatotropic viruses because of frequent hospitalization and
blood tests. METHODS: A comparative cross sectional study was conducted at
Woldiya General Hospital using 108 consented study populations from Diabetes and
108 non diabetes control groups during the period November 2010 - January 2011.
VISITECT HBsAg rapid test kit and Humastat 80 chemistry analyzer were used.
Multivariate logistic regression was used to see the association of HBV with
clinical history of participants and Sociodemographic variables. All tests were
two-sided with alpha-level of 0.05 and 80% power. RESULTS: Prevalence of HBsAg
was equal between diabetic and non diabetic individuals, 3.7% indicating that
there was no difference between the two groups. Only history of invasive
procedures and chronic liver disease showed association with HBsAg
seropositivity. CONCLUSION: In this study a positive relation was not indicated
between HBV and Diabetes and the prevalence of HBsAg was equal between diabetic
and non diabetic individuals.
PMID- 24932352
TI - A Preliminary Examination of the Relationship Between the 5-HTTLPR and Childhood
Emotional Abuse on Depressive Symptoms in 10-12-Year-Old Youth.
AB - Childhood emotional abuse (CEA) is a pervasive problem associated with negative
sequelae such as elevated depressive symptoms. Key stress-related genes, such as
the 5-HTTLPR polymorphism, interact with childhood abuse to produce elevated
depressive symptoms in older adolescent girls, but not in older adolescent boys.
To date, studies have not examined this relationship as a function of CEA
specifically or among younger adolescents. To extend prior work, we examined the
effects of the 5-HTTLPR and CEA on depressive symptoms among 10-12-year-old
youth. Based on previous findings, we expected a main effect of CEA on depressive
symptoms among all youth, but only expected an interactive effect between the 5
HTTLPR and CEA on depressive symptoms in girls. In the current study, 222 youth
(mean age 11.02 years, 44.1% girls, 51.6% Caucasian, 33.0% African American, 2.7%
Latino, and 12.7% other) and their parent(s)/guardian(s) completed the Revised
Child Anxiety and Depression Scale and the Emotional Abuse subscale of the
Childhood Trauma Questionnaire and provided saliva samples for genotyping the 5
HTTLPR. Results indicate that CEA, but not the 5-HTTLPR, was related to elevated
depressive symptoms among boys. Among girls, each copy of the s allele of the 5
HTTLPR was related to increased depressive symptoms, but only for those who had
experienced CEA. Our results extend prior findings by specifically examining CEA
and by focusing on 10-12-year-old youth. These results, although preliminary,
suggest that focusing on the interplay between putative genetic markers and a
broader range of environmental events, such as CEA, might allow researchers to
determine factors differentially influencing the later emergence of sex
differences in depressive symptoms.
PMID- 24932354
TI - Genomic medicine in cardiovascular disease: introduction.
PMID- 24932353
TI - Dr. Robert Roberts oversees special issue on genomic medicine for the Methodist
DeBakey Cardiovascular Journal.
PMID- 24932355
TI - Sequencing your genome: what does it mean?
AB - The human genome contains approximately 3.2 billion nucleotides and about 23,500
genes. Each gene has protein-coding regions that are referred to as exons. The
human genome contains about 180,000 exons, which are collectively called an
exome. An exome comprises about 1% of the human genome and hence is about 30
million nucleotides in size. Today's technologies afford the opportunity to
sequence all nucleotides in the human exome and even in the human genome. Given
that more than three-quarters of the known disease-causing variants are located
in the exome, and considering the cost and technical challenges in analyzing the
whole genome sequence data, the focus of present research is primarily on whole
exome sequencing (WES). While WES at the medical sequencing level is still
expensive, it is becoming more affordable. Cost will not likely be a major
barrier in the near future, and the data analysis is becoming less tedious. The
most difficult challenge at the heart of medical sequencing is interpreting the
findings. Each exome contains about 13,500 single nucleotide variants (SNVs) that
affect the amino acid sequence, and a large number are expected to be functional
variants. The daunting task is to distinguish the variants that are pathogenic
from those that have minimal or no discernible clinical effects. While various
algorithms exist, none are sufficiently robust. Thus, in-depth knowledge in
genetics and medicine is essential for the proper interpretation of the WES
findings. This review will discuss the potential applications of the WES data in
the practice of cardiovascular medicine.
PMID- 24932356
TI - Genetics of coronary artery disease: an update.
AB - In 2007, the first genetic risk variant, 9p21, was simultaneously discovered by
two independent groups. 9p21 increases the risk of coronary artery disease in
individuals with premature heart disease by twofold, and in the overall
population the heterozygote is associated with a 25% increased risk and the
homozygote with a 50% increased risk. It is of note that the risk mediated by
9p21 is independent of known risk factors. Since then, with the development of
new technologies and the international consortium of CARDIoGRAM, there is now a
total of 50 genetic risk variants confirmed and replicated for CAD. Of these 50,
35 mediate their risk by unknown mechanisms, indicating that the pathogenesis of
atherosclerosis and myocardial infarction is due to additional factors as yet
unknown. The role of genetic risk factors in the management of CAD is yet to be
determined. Since many of them are independent of known risk factors, the genetic
risk will in the future have to be incorporated into the guidelines, which
recommend the target level of plasma LDL-C to be achieved based on the number of
risk factors.
PMID- 24932357
TI - Cardiovascular drugs and the genetic response.
AB - The emergence of personalized medicine mandates a complete understating of DNA
sequence variation that modulates drug response. Initial forays have been made in
the cardiac arena, yet much remains to be elucidated in the pharmacogenetics of
cardiac drugs. Most progress has been made in describing DNA sequence variation
related to the anticoagulant warfarin and the antiplatelet drug clopidogrel. This
includes a description of DNA sequence variation that underlies pharmacokinetic
and pharmacodynamic variability, the impact of such variation on predicting hard
outcomes, and the ability of genotype-guided prescription to facilitate rapid
titration to a therapeutic range while avoiding unnecessary high plasma levels.
Nuanced prescription will require a complete inventory of DNA sequence variants
that underlie drug-related side effects.
PMID- 24932358
TI - A contemporary review on the genetic basis of atrial fibrillation.
AB - Atrial fibrillation is the most common sustained cardiac arrhythmia, and affected
individuals suffer from increased rates of heart failure, stroke, and death.
Despite the enormous clinical burden that it exerts on patients and health care
systems, contemporary treatment strategies have only modest efficacy that likely
stems from our limited understanding of its underlying pathophysiology.
Epidemiological studies have provided unequivocal evidence that the arrhythmia
has a substantial heritable component. Subsequent investigations into the
genetics underlying atrial fibrillation have suggested that there is considerable
interindividual variability in the pathophysiology characterizing the arrhythmia.
This heterogeneity may partly account for the poor treatment efficacy of current
therapies. Subdividing atrial fibrillation into mechanistic subtypes on the basis
of genotype illustrates the heterogeneous nature of the arrhythmia and may
ultimately help guide treatment strategies. A pharmacogenetic approach to the
management of atrial fibrillation may lead to dramatic improvements in treatment
efficacy and improved patient outcomes.
PMID- 24932359
TI - Brugada syndrome.
AB - Brugada syndrome is a rare cardiac arrhythmia characterized by
electrocardiographic right bundle branch block and persistent ST-segment
elevation in the right precordial leads. It is associated with ventricular
fibrillation and a high risk for sudden cardiac death, predominantly in younger
males with structurally normal hearts. Patients can remain asymptomatic, and
electrocardiographic patterns can occur both spontaneously or after
pharmacological induction. So far, several pathogenic genes have been identified
as associated with the disease, but SCN5A is the most prevalent one. Two
consensus reports to define the diagnostic criteria, risk stratification, and
management of patients have been published in the last few years. This brief
review focuses on the recent clinical diagnosis, genetic basis, and advances in
pharmacological treatment of Brugada syndrome.
PMID- 24932360
TI - Genetics of long QT syndrome.
AB - Long QT syndrome (LQTS) is a potentially life-threatening cardiac arrhythmia
characterized by delayed myocardial repolarization that produces QT prolongation
and increased risk for torsades des pointes (TdP)-triggered syncope, seizures,
and sudden cardiac death (SCD) in an otherwise healthy young individual with a
structurally normal heart. Currently, there are three major LQTS genes (KCNQ1,
KCNH2, and SCN5A) that account for approximately 75% of the disorder. For the
major LQTS genotypes, genotype-phenotype correlations have yielded gene-specific
arrhythmogenic triggers, electrocardiogram (ECG) patterns, response to therapies,
and intragenic and increasingly mutation-specific risk stratification. The 10
minor LQTS-susceptibility genes collectively account for less than 5% of LQTS
cases. In addition, three atypical LQTS or multisystem syndromic disorders that
have been associated with QT prolongation have been described, including ankyrin
B syndrome, Anderson-Tawil syndrome (ATS), and Timothy syndrome (TS). Genetic
testing for LQTS is recommended in patients with either a strong clinical index
of suspicion or persistent QT prolongation despite their asymptomatic state.
However, genetic test results must be interpreted carefully.
PMID- 24932362
TI - Calcified amorphous tumor of the heart: case report and review of the literature.
AB - Calcified amorphous tumor of the heart (cardiac CAT) is a rare non-neoplastic
cardiac mass that mimics malignancy on imaging and can cause symptoms due to flow
obstruction or embolization of calcific fragments. We report a 57-year-old female
with multiple medical problems affected by cardiac CAT. The echocardiogram showed
a 2 x 1.7 cm right atrial mass. Under the clinical diagnosis of cardiac myxoma, a
mass resection was performed. Microscopic examination of the resected mass showed
nodular calcified amorphous debris with admixed degenerated fibrin and focal
chronic inflammation. At the 1-year follow-up, the patient was free of disease.
We performed a literature review of 16 previously reported cases. Histologically,
a cardiac CAT consists of calcification and eosinophilic amorphous material in
the background of dense collagenous fibrous tissue. A review of these cases shows
a wide range of age at diagnosis and slight female predominance. The patients are
either asymptomatic at presentation or complain of shortness of breath. The
tumors have been found in all chambers of the heart, most commonly in the left
ventricle. The sizes of the tumors range from 0.17 to 4 cm, with 62.5% of the
tumors being mobile. Among the nine cases with documented follow-up study, all
but one was free of disease and only one case of relapse was recorded. In
conclusion, cardiac CATs are frequently asymptomatic at presentation, size is
equal to or less than 4 cm, they can be located in all four chambers and are
usually mobile, and they may relapse when not completely excised.
PMID- 24932361
TI - The Brockenbrough-Braunwald-Morrow sign.
AB - Hypertrophic cardiomyopathy is a relatively common genetic disorder and usually
asymptomatic. However, approximately 25% of patients develop left ventricular
outflow obstruction and can develop angina, syncope, or congestive heart failure.
Initiation and titration of beta-blockade usually results in symptomatic
improvement. Patients with medically refractory symptoms can see further
symptomatic improvement and relief of obstruction with either surgical myectomy
or alcohol septal ablation (ASA). Although surgical myectomy has been the gold
standard, ASA has been shown in nonrandomized studies and a meta-analysis to be
comparable. In patients undergoing ASA without a rest obstruction, the
Brokenbrough-Braunwald-Morrow sign can be used to accurately determine the degree
of left ventricular outflow tract (LVOT) obstruction prior to, during, and after
ASA.
PMID- 24932363
TI - A tool to assess mobility status in critically ill patients: the Perme Intensive
Care Unit Mobility Score.
AB - The benefits of early mobilization for adult patients in the intensive care unit
(ICU) are reduced length of ICU and hospital stays, fewer readmissions to the
ICU, decreased duration of mechanical ventilation, fewer days of detrimental
bedrest, minimal adverse or unsafe events, and improved walking distance. Because
there are no available tools to specifically measure mobility status of patients
in the ICU setting, there is an urgent need to create a reliable tool that
measures and standardizes the assessment of mobility status for these patients.
The purpose of this study was to describe the development of this novel ICU
specific tool to assess a patient's mobility status, examine the initial
reliability of the tool, and address its clinical application. The Perme ICU
Score was quickly and easily administered by physical therapists. Overall, the
inter-rater agreement was 94%. A total of six items had kappa values of < .6, and
these low scores may have been the result of the procedure to collect inter-rater
scores, wherein one rater assisted with the activity while a second rater
observed. In order to improve reliability, the authors developed directions to
standardize the assessment. The Perme ICU Mobility Score is a tool developed to
measure the patient's mobility status starting with the ability to follow
commands and culminating in the distance walked in two minutes. Preliminary data
suggest that the validity of this tool is supported by expert concurrence, its
overall reliability is high, and its clinical use is acceptable.
PMID- 24932364
TI - Physician competence: a perspective from the practicing cardiologist.
AB - All cardiologists strive to be "competent" physicians. It is important for both
the certifying bodies and our patients to know that we are highly competent in
our practice of cardiovascular disease. This is especially true in the current
era, with its knowledge explosion and exponential growth in diagnostic and
therapeutic procedures. However, physician competence has never been clearly
defined, much less measured. The American Board of Medical Subspecialties and the
Accreditation Council for Graduate Medical Education (ACGME) have defined six
domains for physician competence, including medical knowledge, patient care,
communication, practice-based learning, system-based practice, and interpersonal
relationships-terminology that has remained unclear to practicing cardiologists.
This paper presents a simplistic view of what a cardiologist must achieve to be
considered a competent physician and discuss the role of professional societies
and academic medical centers in facilitating the attainment and documentation of
competence for all of us.
PMID- 24932367
TI - Museum of TMH Multimodality Imaging Center: Evaluation of mechanical aortic valve
endocarditis and fistula formation by cardiac computed tomography.
PMID- 24932368
TI - Museum of TMH Multimodality Imaging Center: Native valve pseudoaneurysm.
PMID- 24932369
TI - Points to remember: Tips on heparin-induced thrombocytopenia (HIT).
PMID- 24932370
TI - Letter to the editors: IgG4-related inflammatory pseudotumor in the heart.
PMID- 24932372
TI - In memoriam: Walter Samuel "Sam" Henly: January 30, 1927 - February 10, 2013.
PMID- 24932373
TI - Performing colonoscopy in elderly and very elderly patients: Risks, costs and
benefits.
AB - Many diagnostic and screening colonoscopies are performed on very elderly
patients. Although colonoscopic yield increases with age, the potential benefits
in such patients decrease because of shorter life expectancy and more frequent
comorbidities. Colonoscopy in very elderly patients carries a greater risk of
complications and morbidity than in younger patients, and is associated with
lower completion rates and higher likelihood of poor bowel preparation. Thus,
screening colonoscopy in very elderly patients should be performed only after
careful consideration of potential benefits, risks and patient preferences. On
the other hand, diagnostic and therapeutic colonoscopy are more likely to benefit
even very elderly patients, and in most cases should be performed if indicated.
PMID- 24932374
TI - Colonoscopy, pain and fears: Is it an indissoluble trinomial?
AB - Colonoscopy is the reference method in the secondary prevention, diagnosis and,
in some cases, treatment of colorectal cancer. It can often cause pain associated
with embarrassment, anxiety, and physical and emotional discomfort. Pain
intensity is influenced by a lot of factors, and there is a strict relationship
among pain, pain perception, and mind. Several methods can be used to break the
trinomial colonoscopy, pain and fear. Sedoanalgesia is recommended by several
guidelines. If no sedation is offered, the patient must accept a higher chance of
unacceptable discomfort and the endoscopist a lower chance of completing the
procedure because of patient discomfort. Other non-pharmacologic methods such as
acupuncture, music, and hydrocolonoscopy can be used as alternatives to
pharmacologic sedoanalgesia. Furthermore, new endoscopic technologies such as
variable-stiffness colonoscopes and ultrathin colonoscopes, or the use of carbon
dioxide instead of air for colon insufflation, can reduce the pain caused by
colonoscopy. In the future, technical improvements such as wireless capsules or
robotic probes, will probably enable to overcome the present concept of
colonoscopy, avoiding the use of traditional endoscopes. However, at present the
poor attention paid by endoscopists to the pain and discomfort caused by
colonoscopy can not be justified. There are several methods to reduce pain and
anxiety and to break the trinomial colonoscopy, pain and fear. We must use them.
PMID- 24932375
TI - Role of simulation in training the next generation of endoscopists.
AB - The use of simulation based training in endoscopy has been increasingly
described, simulation has the potential reduce the harm caused to patients by
novices performing procedures, increase efficiency by reducing the time needed to
train in the clinical environment and increase the opportunity to repeatedly
practice rare procedures as well as allowing the assessment of performance.
Simulators can consist of mechanical devices, employ cadaveric animal tissue or
use virtual reality technology. Simulators have been used to teach upper and
lower gastrointestinal endoscopy as well as interventional procedures. This
review reviews the currently available endoscopic simulators, and the evidence
for their efficacy, demonstrating that the ability of simulators to differentiate
between novice and expert endoscopists is well established. There is limited
evidence for improved patient outcome as a result of simulation training. We also
consider how the environment within which a simulation is placed can be
manipulated to alter the learning achieved, broadening the scope of simulation to
develop communication as well as technical skills. Finally the implications for
future practice are considered; technology is likely improve the fidelity of
simulators, increasing the potential for simulation to improve patient outcomes.
The impact of the simulation environment, and the correct place of simulation
within the training curriculum are both issues which need addressing.
PMID- 24932376
TI - Monitoring salivary amylase activity is useful for providing timely analgesia
under sedation.
AB - AIM: To detect the criteria and cause of elevated salivary amylase activity
(sAMY) in patients undergoing endoscopic submucosal dissection (ESD) under
sedation. METHODS: A total of 41 patients with early gastric cancer removed via
ESD under deep sedation (DS) were enrolled. The perioperative sAMY, which was
shown as sympathetic excitements (SE), was measured. The time at which a patient
exhibited a relatively increased rate of sAMY compared with the preoperative
baseline level (IR, %) >= 100% (twice the actual value) was assumed as the moment
when the patient received SE. Among the 41 patients, we focused on 14 patients
who exhibited an IR >= 100% at any time that was associated with sAMY elevation
during ESD (H-group) and examined whether any particular endoscopic procedures
can cause SE by simultaneously monitoring the sAMY level. If a patient
demonstrated an elevated sAMY level above twice the baseline level, the
endoscopic procedure was immediately stopped. In the impossible case of
discontinuance, analgesic medicines were administered. This study was performed
prospectively. RESULTS: A total of 26 episodes of sAMY eruption were considered
moments of SE in the H-group. The baseline level of sAMY significantly increased
in association with an IR of > 100% at 5 min, with a significant difference (IR
immediately before elevation/IR at elevation of sAMY = 8.72 +/- 173/958 +/-
1391%, P < 0.001). However, effective intervention decreased the elevated sAMY
level immediately within only 5 min, with a significant difference (IR at sAMY
elevation/immediately after intervention = 958 +/- 1391/476 +/- 1031, P < 0.001).
The bispectral indices, systolic blood pressure and pulse rates, which were
measured at the same time, remained stable throughout the ESD. Forceful
endoscopic insertion or over insufflation was performed during 22 of the 26
episodes. Release of the gastric wall tension and/or the administration of
analgesic medication resulted in the immediate recovery of the elevated sAMY
level, independent of body movement. CONCLUSION: By detecting twice the actual
sAMY based on the preoperative level, the release of the gastric wall tension or
the administration of analgesic agents should be considered.
PMID- 24932377
TI - Predictors of double balloon endoscopy outcomes in the evaluation of
gastrointestinal bleeding.
AB - AIM: To identify patients' characteristics associated with double balloon
endoscopy (DBE) outcomes in investigation of obscure gastrointestinal bleeding
(OGIB). METHODS: Retrospective study performed at an academic tertiary referral
center. Evaluated endpoints were clinical factors associated with no diagnostic
yield or non-therapeutic intervention of DBE performed for OGIB evaluation.
RESULTS: We included fifty-five DBE between August 2010 and April 2012. The mean
age of the sample was 67 with 32 males (58.2%). Twenty-four DBE had no diagnostic
yield and 30 DBE did not require therapy. Non-diagnostic yield was associated
with performing two or more DBE studies in one day [odds ratio (OR): 13.72, P =
0.008], absence of blood transfusions within a year of the DBE (OR: 7.16, P =
0.03) and absence of ulcers or arteriovenous malformations (AVMs) on prior
esophagogastroduodenoscopy (EGD) or colonoscopy (OR: 19.30, P = 0.033). Non
therapeutic DBE was associated with performing two or more DBE per day (OR:
18.579, P = 0.007), gastrointestinal bleeding episode within a week of the DBE
(OR: 11.48, P = 0.003), fewer blood transfusion requirements prior to DBE (OR:
4.55, P = 0.036) and absence of ulcers or AVMs on prior EGD or colonoscopy (OR:
8.47, P = 0.027). CONCLUSION: Predictors of DBE yield and therapeutic
intervention on DBE include blood transfusion requirements, previous endoscopic
findings and possibly endoscopist fatigue.
PMID- 24932378
TI - Efficacy and safety of endoscopic prophylactic treatment with undiluted
cyanoacrylate for gastric varices.
AB - AIM: To evaluate the efficacy and safety of undiluted N-butyl-2 cyanoacrylate
plus methacryloxysulfolane (NBCM) as a prophylactic treatment for gastric varices
(GV) bleeding. METHODS: This prospective study was conducted at a single tertiary
care teaching hospital between October 2009 and March 2013. Patients with portal
hypertension (PH) and GV, with no active gastrointestinal bleeding, were enrolled
in primary prophylactic treatment with NBCM injection without lipiodol dilution.
Initial diagnosis of GV was based on endoscopy and confirmed with endosonography
(EUS); the same procedure was used after treatment to confirm eradication of GV.
After puncturing the GV with a regular injection needle, 1 mL of undiluted NBCM
was injected intranasally into GV. The injection was repeated as necessary to
achieve eradication or until a maximum total volume of 3 mL of NBCM had been
injected. Patients were followed clinically and evaluated with endoscopy at 3, 6
and 12 mo. Later follow-ups were performed yearly. The main outcome measures were
efficacy (GV eradication), safety (adverse events related to cyanoacrylate
injection), recurrence, bleeding from GV and mortality related to GV treatment.
RESULTS: A total of 20 patients (15 male) with PH and GV were enrolled in the
study and treated with undiluted NBCM injection. Only 2 (10%) patients had no
esophageal varices (EV); 18 (90%) patients were treated with endoscopic band
ligation to eradicate EV before inclusion in the study. The patients were
followed clinically and endoscopically for a median of 31 mo (range: 6-40 mo).
Eradication of GV was observed in all patients (13 patients were treated with 1
session and 7 patients with 2 sessions), with a maximum injected volume of 2 mL
NBCM. One patient had GV recurrence, confirmed by EUS, at 6-mo follow-up, and
another had late recurrence with GV bleeding after 35 mo of follow-up; overall,
GV recurrence was observed in 2 patients (10%), after 6 and 35 mo of follow-up,
and GV bleeding rate was 5% (1 patient). Mild epigastric pain was reported by 3
patients (15%). No mortality or major complications, including embolism, or
damage to equipment were observed. CONCLUSION: Endoscopic injection with NBCM,
without lipiodol, may be a safe and effective treatment for primary prophylaxis
of gastric variceal bleeding.
PMID- 24932379
TI - Endoscopic treatment of duodenal fistula after incomplete closure of ERCP-related
duodenal perforation.
AB - Endoscopic retrograde cholangiopancreatography (ERCP) is an important diagnostic
and therapeutic modality for various pancreatic and biliary diseases. The most
common ERCP-induced complication is pancreatitis, whereas hemorrhage,
cholangitis, and perforation occur less frequently. Early recognition and prompt
treatment of these complications may minimize the morbidity and mortality. One of
the most serious complications is perforation. Although the incidence of duodenal
perforation after ERCP has decreased to < 1.0%, severe cases still require
prolonged hospitalization and urgent surgical intervention, potentially leading
to permanent disability or mortality. Surgery remains the mainstay treatment for
perforations of the luminal organs of the gastrointestinal tract. However,
evidence from case reports and case series support a beneficial role of
endoscopic clipping in the closure of these defects. Duodenal fistulas are
usually a result of sphincterotomies, perforated duodenal ulcers, or gastrectomy.
Other causative factors include Crohn's disease, trauma, pancreatitis, and
cancer. The majority of duodenal fistulas heal with nonoperative management.
Those that fail to heal are best treated with gastrojejunostomy. Recently
proposed endoscopic approaches for managing gastrointestinal leaks caused by
fistulas include fibrin glue injection and positioning of endoclips. Our patient
developed a secondary persistent duodenal fistula as a result of previous
incomplete closure of duodenal perforation with hemoclips and an endoloop. The
fistula was successfully repaired by additional clipping and fibrin glue
injection.
PMID- 24932381
TI - Guilt by Association: The Physical Chemistry and Biology of Protein Aggregation.
PMID- 24932380
TI - How to Get Insight into Amyloid Structure and Formation from Infrared
Spectroscopy.
AB - There is an enormous amount of interest in the structures and formation
mechanisms of amyloid fibers. In this Perspective, we review the most common
structural motifs of amyloid fibers and discuss how infrared spectroscopy and
isotope labeling can be used to identify their structures and aggregation
kinetics. We present three specific strategies, site-specific labeling to obtain
residue-by-residue structural information, isotope dilution of uniformly labeled
proteins for identifying structural folds and protein mixtures, and expressed
protein ligation for studying the domain structures of large proteins. For each
of these methods, vibrational couplings are the source of the identifying
features in the infrared spectrum. Examples are provided using the proteins
hIAPP, Abeta, polyglutamine, and gammaD-crystallin. We focus on FTIR spectroscopy
but also describe new observables made possible by 2D IR spectroscopy.
PMID- 24932382
TI - Stress Intervention and Disease in African American Lupus Patients: The Balancing
Lupus Experiences with Stress Strategies (BLESS) Study.
PMID- 24932383
TI - Malaria vaccine: a future hope to curtail the global malaria burden.
AB - It has been estimated that nearly half of the world's population is at the risk
of contracting malaria with sub Saharan Africa being the most risky area. The
existing frontline malaria control interventions are not only expensive but also
become ineffective owing to the emergence of insecticide and drug resistance. It
calls for an innovative approach in terms of potential and reliable vaccine as an
additional tool. Over centuries, the public health experts have been actively
engaged to formulate a safe, affordable and potential malaria vaccine and
accordingly a notable achievement has also been attained. However, many
challenges are required to be flagged immediately and effectively to devise an
ideal prophylactic malaria vaccine. Therefore, the global community has to remain
waiting quite a few more years to build a wannabe malaria-free world in the near
future.
PMID- 24932384
TI - Protein-energy Malnutrition in Goitrous Schoolchildren of Isfahan, Iran.
AB - BACKGROUND: Some studies have shown the possible role of protein-energy
malnutrition (PEM) in persistence of endemic goiter in iodine replenished areas.
The present study was conducted to assess the association between PEM and goiter
in schoolchildren of Isfahan, Iran. METHODS: In a cross-sectional study using
multistage cluster random-sampling, 2331 schoolchildren with age ranged from 6-13
years old with a female to male ratio of 1.60 were enrolled. Thyroid size was
examined by two endocrinologists for goiter detection. Children were considered
goitrous if they had palpable or visible goiters according to World Health
Organization (WHO)/United Nations children's Fund/International Council for the
Control of Iodine Deficiency criteria. Weight and standing height were measured
using the standard tools and anthropometric indices were calculated using the WHO
AnthroPlus software developed by the World Health Organization. Height-for-age Z
scores (HAZ), weight-for-age Z-scores (WAZ) and body mass index (BMI) for age
were calculated for each child. Children with a HAZ, WAZ or BMI-for-age of Z
score < -2.0 were classified as stunted, underweight or thin, respectively. Blood
samples were drowned to measure serum thyroid hormones. RESULTS: Overall, 32.9%
of subjects were classified as goitrous. Weight, height, BMI, WAZ and BMI-for-age
Z-score were significantly lower in children with goiter than in children who did
not have goiter (P < 0.05). The prevalence of goiter in thin children was higher
than that in non-thin ones (48.4 vs. 31.6%, odds ratio [OR]: 2.02, 95% confidence
interval [CI]: 1.52-2.69, P < 0.001). Although 33.4% of non-stunted children were
goitrous, 31% of stunted ones had goiter (P = 0.5). According to the logistic
regression model taking sex and age as covariates, the only significant parameter
affecting palpable goiter detection was thinness (OR = 2.13, 95% CI: 1.22-3.69, P
< 0.001). CONCLUSIONS: In the present study, we found a high prevalence of goiter
in children who were malnourished. It seems that PEM may play a role in the still
high prevalence of goiter in this region.
PMID- 24932385
TI - Adverse health problems among municipality workers in alexandria (egypt).
AB - BACKGROUND: Solid waste management has emerged as an important human and
environmental health issue. Municipal solid waste workers (MSWWs) are potentially
exposed to a variety of occupational biohazards and safety risks. The aim of this
study was to describe health practices and safety measures adopted by workers in
the main municipal company in Alexandria (Egypt) as well as the pattern of the
encountered work related ill health. METHODS: A cross-sectional study was
conducted between January and April 2013. We interviewed and evaluated 346
workers serving in about 15 different solid waste management activities regarding
personal hygiene, the practice of security and health care measures and the
impact of solid waste management. RESULTS: Poor personal hygiene and self-care,
inadequate protective and safety measures for potentially hazardous exposure were
described. Impact of solid waste management on health of MSWWs entailed high
prevalence of gastrointestinal, respiratory, skin and musculoskeletal
morbidities. Occurrence of accidents and needle stick injuries amounted to 46.5%
and 32.7% respectively. The risk of work related health disorders was notably
higher among workers directly exposed to solid waste when compared by a group of
low exposure potential particularly for diarrhea (odds ratio [OR] = 2.2, 95%
confidence interval [CI] = 1.2-3.8), vomiting (OR = 2.7, 95% CI = 1.1-6.6),
abdominal colic (OR = 1.9, 95% CI = 1.1-3.2), dysentery (OR = 3.6, 95% CI = 1.3
10), dyspepsia (OR = 1.8, 95% CI = 1.1-3), low back/sciatic pain (OR = 3.5, 95%
CI = 1.8-7), tinnitus (OR = 6.2, 95% CI = 0.3-122) and needle stick injury (OR =
3.4, 95% CI = 2.1-5.5). CONCLUSIONS: Workers exposed to solid waste exhibit
significant increase in risk of ill health. Physician role and health education
could be the key to assure the MSWWs health safety.
PMID- 24932386
TI - A Small-scale Cross-sectional Study for the Assessment of Cardiorespiratory
Fitness in Relation to Body Composition and Morphometric Characters in Fishermen
of Araku Valley, Andhra Pradesh, India.
AB - BACKGROUND: The people residing in coastal areas of Visakhapatnam are mostly
engaged in fishery, which is always been a physically demanding job, and numerous
factors have direct or indirect impact on the health of fishermen; but, the data
about their physical fitness or health status is quite scanty. Thus, the present
study was conducted to assess their cardiorespiratory fitness pattern, as well as
morphometric characters, which may be influenced by their occupation. METHODS: In
this retrospective cohort study, 25 young fishermen (mean age of 22.8 +/- 1.92
years) were randomly selected from Araku valley of Visakhapatnam District, Andhra
Pradesh and compared with 25 subjects who were randomly selected from college
students (mean age of 21.9 +/- 2.25 years) of Kolkata, West Bengal. Some physical
and physiological fitness variables including height, weight, body mass index,
body surface area, physical fitness index, anaerobic power, and energy
expenditure were measured along with their morphometric characters. RESULTS:
Analysis of data indicated a significant difference in blood pressure, physical
fitness index, energy expenditure, body fat percent and anaerobic power among
fishermen compared to controls. However, there were no changes in morphometric
characters between the two groups. CONCLUSIONS: Findings of this small-scale
population-based study indicated that health and physical fitness of young
fishermen is under the influence of both occupational workload and nutritional
status, as found by body composition and morphometric characters.
PMID- 24932387
TI - The therapeutic effect of the aqueous extract of boswellia serrata on the
learning deficit in kindled rats.
AB - BACKGROUND: It has been reported that epilepsy is a disorder of the central
nervous system that causes memory impairment. This study examines the role of the
aqueous extract of Boswellia on the learning disability of the pentylenetetrazol
(PTZ)-induced kindled rats. METHODS: In this experimental study, 64 male rats
were used. Kindling seizures were induced by three injections of 25 mg/kg of PTZ
every 15 min. Control animals received normal saline instead. To evaluate the
therapeutic effect of Boswellia extract on the PTZ-induced cognitive deficits,
the aqueous extract (0, 0.1, 0.5 or 1 g/kg, i.p.) were administrated to all
animals for three consecutive days. At 24 h later, passive avoidance learning of
animals was examined using shuttle box apparatus, respectively. The time required
for the animal stepping through the dark chamber was determined as step-through
latency (STL). Data were subjected to the t-test and analysis of variance and
followed by Tukey's test for multiple comparisons. RESULTS: The STL of the
kindled rats was significantly reduced compared with control ones (22/375 +/-
4/19 for kindled and 295 +/- 15/71 for control groups, respectively). Aqueous
extract of Boswellia improved passive-avoidance learning ability in both control
and PTZ-kindled animals (P < 0.05). CONCLUSIONS: The results can be stated that
the Boswellia extract is offset by harmful effects of seizures on cognitive
function and consumption of Boswellia extract increases the learning ability in
epileptic animals.
PMID- 24932388
TI - Reducing the incidence of chronic lung disease in very premature infants with
aminophylline.
AB - BACKGROUND: The objective of this study is to assess the safety and preventative
effects of aminophylline on the incidence of chronic lung disease (CLD) in very
premature infants. METHODS: This was a long follow-up randomized clinical trial.
The prophylactic effect of aminophylline on the incidence of CLD was investigated
in very premature infants. The study group received aminophylline for the 1(st)
10 days of life and control infants received no aminophylline during the 1(st) 10
days of life. RESULTS: Fifty-two infants participated (26 aminophylline, 26
controls). Premature infants on aminophylline had clearly shorter oxygen
dependency time than those in the control group. Median time of oxygen dependency
was 3 (0-9.5) days and 14 (3-40.5) days in group A and C, respectively (P:
0.001). Incidence of CLD was significantly different between the two groups. Only
two infants (8.7%) on aminophylline developed CLD, when compared to 11 infants
(44.0%), who did not receive aminophylline (P: 0.006). No side-effects were
reported in the neonates (P: 1). CONCLUSIONS: This study supports the
preventative effects of aminophylline on the incidence of CLD in very premature
infants. In other words, the more premature the infants, the greater will be the
preventative effect of aminophylline on the incidence of CLD.
PMID- 24932389
TI - Effect of Life Skills Training on Drug Abuse Preventive Behaviors among
University Students.
AB - BACKGROUND: Drug abuse is now-a-days one of the gravest social harms. Recent
years have experienced a drastic rise in drug abuse among school and university
students. Thus, the need for special attention to the issue is deemed important.
The present study was conducted with the aim of assessing the impact of life
skills training on promotion of drug abuse preventive behaviors. METHODS: This
field trial experimental study was conducted on 60 students of Gonabad Medical
University selected through quota random sampling and assigned randomly into two
Intervention and control groups. Data were collected through a questionnaire,
including two sections of demographic information and drug abuse preventive
behaviors. The questionnaire was first assessed as to its validity and
reliability and then administered both before and after educational intervention
and also as a follow-up 4 years after intervention - Data were then analyzed
using t-tests and Chi-square. RESULTS: Comparison of post-test mean scores of
drug abuse preventive behaviors of both groups showed a significant difference (P
< 0.01) which remained stable 4 years after intervention. There was a significant
relationship between father's educational level and drug abuse preventive
behaviors (P < 0.01). CONCLUSIONS: Life skills' training is effective in the
promotion of drug abuse preventive behaviors of university students.
PMID- 24932390
TI - Comparative Study of Microtubule-associated Protein-2 and Glial Fibrillary Acidic
Proteins during Neural Induction of Human Bone Marrow Mesenchymal Stem Cells and
Adipose-Derived Stem Cells.
AB - BACKGROUND: In recent years, adipose tissue, due to the stem cells contained
within, has found a new special place in laboratory and clinical applications.
These adipose-derived stem cells (ADSCs) have the same characteristics of bone
marrow mesenchymal stem cells (BMSCs). Although bone marrow (BM) is not easily
accessible and its procurements may be painful, most patients possess excess fat
which can be obtained by less invasive methods; this makes adipose tissue
ubiquitous, available and an ideal large-scale source for research on clinical
applications. METHODS: BMSCs and ADSCs were harvested from three healthy human
and were characterized using flow-cytometry. After they were treated for
neurosphere formation using basic fibroblast growth factor, epidermal growth
factor, B 27; terminal differentiation was performed. In this study, we used
immunocytochemistry, real time-polymerase chain reaction and western blotting
techniques for detection and comparison of Nestin, microtubule-associated protein
2 (MAP-2) and glial fibrillary acidic protein (GFAP) markers in human ADSCs and
BMSCs. RESULTS: Under appropriate conditions ADSCs can differentiate into neuron
like cells and express neural markers the same as BMSCs, also the expression of
GFAP marker in differentiated cells derived from ADSCs was significantly lower
than the cells derived from BMSCs (P < 0.05). While the expression of MAP-2
marker in both groups was the same. CONCLUSIONS: However, due to its advantages
and according to our results based on the expression levels of GFAP and MAP-2,
adipose tissue rather than BM could represent a more appropriate stem cell source
for investigating the application of these cells in understanding the
pathophysiology and in treatment of neurodegenerative disorders.
PMID- 24932391
TI - Rate and Risk of All Cause Mortality among People with Known Hypertension in a
Rural Community of Southern Kerala, India: The Results from the Prolife Cohort.
AB - BACKGROUND: Hypertension is one of the most important determinants of death due
to vascular damage and is fast emerging as a high burden disease in India.
However, its documentation is poor in the country. This study aims to estimate
the rate and the causal pattern of mortality in a cohort of people with high
blood pressure as compared to normotensives. METHODS: The study setting is
Varkkala, a rural village in southern Kerala, India, and the study design was
that of a prospective cohort. A total of 77,881 participants of age 20 years and
above were considered for analysis. The rate and risk of all cause mortality
(death due to any cause) among hypertensives were quantified and compared against
the normotensives. The causes of death were also analyzedin both the groups. Cox
proportional hazard models were created to estimate the hazard ratios of death
among hypertensives adjusted for sociodemographic factors, behaviors, and
comorbidities. RESULTS: The incidence proportion of deaths in the study was 4.28%
during the follow-up period of 6 years. The relative risk of mortality was 3.13
(CI: 2.91-3.37) in the high BP group. The age-adjusted hazard ratio of all cause
mortality for the high BP group was 2.96 (2.56-3.42). Coronary artery disease was
the major cause of death among the subjects with high BP. CONCLUSIONS: The study
revealed high prevalence of hypertension in the study population. A person with
hypertension is at three times higher risk of death due to any cause compared to
a normotensive individual even after adjustment for age.
PMID- 24932392
TI - Bacterial safety of commercial and handmade enteral feeds in an Iranian teaching
hospital.
AB - BACKGROUND: This study aimed to investigate and compare the bacterial safety of
handmade and commercial ready-to-use enteral feeding formulas used in an Iranian
teaching hospital. METHODS: In this experimental study, a total number of 70
samples (21 handmade formulas sampled at two sampling times, i.e. the time of
preparation and 18 h after preparation, and 28 commercial ready-to-use formulas)
were studied. Total count of viable microorganisms, coliform count and
Staphylococcus aureus count for all samples were conducted. RESULTS: Out of 42
handmade samples, 16 samples (76%) had total viable counts greater than 10(3)
CFU/g in the first sampling time and 17 samples (81%) had total viable counts
greater than 10(3) CFU/g in the second sampling time. Also, 11 (52%) had coliform
contamination in the first sampling time which reached 76% (16 samples) in the
second sampling time. Regarding contamination with S. aureus, 5 samples (24%)
were contaminated in the first- and 13 samples (62%) were contaminated in the
second-sampling time. Out of 28 commercial formulas, 27 samples (96%) had total
viable counts greater than 10(3) CFU/g. Also, 24 samples (86%) were contaminated
with S. aureus and 27 samples (96%) were contaminated with coliforms. In order to
compare these two formulas, the results of Mann-Whitney test showed that
contamination of ready-to-use formulas in all three microbiological samples was
significantly more than that for handmade samples. CONCLUSIONS: The results of
the present study indicate that the microbial safety of enteral feeding solutions
in this hospital is much lower than standard values, demonstrating that the
development of protocols for clean techniques in the preparation, handling and
storage of both commercial and handmade enteral feeds is necessary.
PMID- 24932393
TI - Nutritional assessment for primary school children in tehran: an evaluation of
dietary pattern with emphasis on snacks and meals consumption.
AB - BACKGROUND: In order to provide better advice for prevention of obesity and
eating disorders among children, there is a need to have more knowledge of their
dietary patterns. This study examined meal and snacking patterns of primary
school children in Tehran. METHODS: A total of 761 male and female primary school
children from all educational areas in Tehran were recruited in a cross-sectional
survey. The data was collected by interviewing the students and their mothers or
caregivers. Information on food consumption patterns was collected by one 24-h
recall and one snack-oriented food frequency questionnaire which covered a period
of 1 month. Means, standard deviations, frequencies, percentages, energy and
nutrient analyzes and nutrient densities were reported. RESULTS: All of the
students snacked at least once on the day of the survey. Snacks provided 38% of
total energy intake by the students. Fruits and sweet snacks were consumed by
almost all of the students during a week. Energy and most nutrient intakes from
meals were greater than those consumed from snacks. Snacks had a higher density
of fiber, carbohydrate, calcium, iron, vitamin C, riboflavin and thiamin and had
a lower density of protein, fat and niacin, compared with main meals. Salty
snacks such as extruded cheese curls (Cheetos) and chips were not consumed so
often. CONCLUSIONS: Results provide detailed information about dietary patterns,
which in turn enable development of targeted messages and/or interventions to
improve nutritional status of school children.
PMID- 24932394
TI - The Effects of Tocotrienols Added to Canola Oil on Microalbuminuria,
Inflammation, and Nitrosative Stress in Patients with Type 2 Diabetes: A
Randomized, Double-blind, Placebo-controlled Trial.
AB - BACKGROUND: Tocotrienols (T3) were neglected in the past; today, get attentions
due to their antioxidant and none-antioxidant activity. The objective of this
study was to evaluate the effects of the daily intake of 200 mg T3 added in
canola oil over 8 weeks on microalbuminuria, inflammation, and nitrosative stress
in type 2 diabetic patients. METHODS: This study was a double-blinded, placebo
controlled, randomized trial. A total of 50 patients with T2DM and FBS >126 mg/dl
treated by non-insulin hypoglycemic drugs were randomly assigned to receive
either 15 ml T3-enriched canola oil (200 mg/day T3) or pure canola oil for 8
weeks. Urine microalbumin, volume and creatinine levels, serum hs-CRP, and nitric
oxide (NO) levels were measured before and after intervention. RESULTS: From 50
patients participated in this study, 44 completed the study. There were no
significant differences in baseline characteristics, dietary intake, and physical
activity between groups. Urine microalbumin and serum hs-CRP were declined
significantly in T3-treated group. At the end of the study, patients who treated
with T3 had lower urine microalbumin (11 (9, 25) vs. 22 (15, 39.75) nmol/dl, P =
0.003) and hs-CRP changes (-10.91 +/- 15.5 vs. -9.88 +/- 27.5 Pg/ml, P = 0.048)
than control group. A non-significant decrease was also observed in serum NO
level in T3-treated group with no changes in urine volume and creatinine levels.
CONCLUSIONS: These findings indicate that T3 leads to ameliorate proteinuria and
can protect the kidney against inflammation (hs-CRP) and nitrosative stress (NO).
PMID- 24932395
TI - Application of Capture-Recapture for Fine-tuning Uncertainties About National
Maternal Mortality Estimates.
AB - BACKGROUND: Maternal mortality ratio (MMR) is one of the main indicators of the
millennium development goals and its accurate estimation is very important for
the countries concerned. The objective of this study is to evaluate the
applicability of capture-recapture (CRC) as an analytical method to estimate MMR
in countries. METHODS: We used the CRC method to estimate MMR in Iran for 2004
and 2005, using two data sources: The maternal mortality surveillance system and
the National Death Registry (NDR). Because the data registry contains errors, we
defined three levels of matching criteria to enable matching of cases between the
two systems. Increasing the matching level makes the matching criteria less
conservative. Because NDR data were missing or incomplete for some provinces, we
calculated estimates for two conditions: With and without missing/incomplete
data. RESULTS: According to the CRC method, MMR in 2004 and 2005 were 33 and 25
in the best-case scenarios respectively and 86 and 59 in the worst-case scenarios
respectively. These estimates are closer to the ones reported by United Nations
Agencies published in 2010, 38 and Hogan's study, 30 in 100,000 live births in
2005. CONCLUSIONS: The MMR estimation by CRC method is slightly different from
the international studies. CRC can be considered as a cost-effective method, in
comparison with cross-sectional studies or improvement of vital registration
systems, which are both costly and difficult. However, to achieve accurate
estimates of MMR with CRC method and decrease the uncertainty we need to have
valid databases and the absence of such capacities will limit the applicability
of this method in developing countries with poor quality health databases.
PMID- 24932396
TI - Iran's Multiple Indicator Demographic and Health Survey - 2010: Study Protocol.
AB - BACKGROUND: There is an international emphasis on providing timely and high
quality data to monitor progress of countries toward Millennium Development
Goals. Iran's Multiple Indicator Demographic and Health Survey (IrMIDHS) aimed to
provide valid information on population and health outcomes to monitor progress
in achieving national priorities and health programs and to assist policy makers
to design effective strategies for improving health outcomes and equity in access
to care. METHODS: A cross-sectional multi-stage stratified cluster-random survey
is conducted through face-to-face household interviews. The sampling frame is
developed using Iran's 2006 population and housing census. Provincial samples
ranging are from a minimum of 400 households per province to 6400 households in
Tehran province. Cluster size is 10 households. The target sample includes 3096
clusters: 2187 clusters in urban and 909 clusters in rural areas. IrMIDHS
instruments include three questionnaires: Household questionnaire, women aged 15
54 questionnaire, children under five questionnaire, supervision and quality
assessment checklists and data collection sheets and standard weight and height
measurement tools for under-five children. A cascading decentralized training
method is used for training data collection and supervision teams. Quality
assurance procedures are defined for the five steps of conducting the survey
including: Sampling, training data collection and training teams, survey
implementation, data entry and analysis. A multi-layer supervision and monitoring
procedure is established. All the questionnaires are double entered. CONCLUSIONS:
IrMIDHS will provide valuable data for policymakers in Iran. Designing and
implementation of the study involve contributions from academics as well as
program managers and policy makers. The collaborative nature of the study may
facilitate better usage of its results.
PMID- 24932397
TI - Management of Recurrent Post-partum Pregnancy Tumor with Localized Chronic
Periodontitis.
AB - Pregnancy tumor is a benign, hyperplastic lesion of the gingiva, considered to be
reactive or traumatic rather than neoplastic in nature. The term pyogenic
granuloma is a misnomer as it is not filled with pus or granulomatous tissue
histologically. It is multi factorial in nature, which shows an exaggerated
response to stimuli such as low grade or chronic irritation, trauma or hormonal
variations. Higher levels of sex hormones during pregnancy produce effects on sub
gingival microflora, the immune system, the vasculature and specific cells of
periodontium which in turn in the presence of local irritants exaggerate the
lesion. Since the lesion is clinically indistinguishable from other type of
hyperplastic conditions, histological findings are required for proper diagnosis.
We present a case report of recurrent pyogenic tumor which showed the evidence of
pre-existing localized periodontitis with extensive horizontal bone destruction.
The lesion was excised by electrocautery combined with conventional flap
procedure after parturition period. During 3 and 6 months follow-up period post
operative healing showed satisfactory results without recurrence.
PMID- 24932398
TI - Significant weight loss may delay or eliminate the need for total knee
replacement.
AB - A 48-year-old morbidly obese woman with a left medial meniscal tear and
moderately severe degenerative joint disease (DJD) reported for 14-month follow
up visit from arthroscopic surgery. She reported a resolution of pain, swelling
and an improved range of motion (ROM). The patient was first evaluated 14 months
ago, and at that time was considered a strong candidate for total knee
replacement due to her limited (ROM), knee pain, swelling and functional
impairment. At a 3 month visit prior to her initial evaluation the patient
voluntarily enrolled in a nutritional and exercise program overseen by a licensed
personal trainer and kinesiologist. At her final evaluation 14 months later, it
was noted that in addition to her improved knee symptoms, the patient lost 15% of
her initial bodyweight and exhibited significant gains in quadriceps strength.
Her clinical exam and imaging suggested an arrest of progression of her joint
disease. It was decided mutually by the patient and physician that she was no
longer a candidate for total knee replacement surgery. Although the association
between her weight loss and her knee DJD can only be hypothesized, this case
report may highlight the need to discuss weight loss as an alternative treatment
modality for end stage DJD.
PMID- 24932399
TI - Comparison of the WHO Child Growth Standards with the NCHS for Estimation of
Malnutrition in Birjand-Iran.
AB - BACKGROUND: Anthropometric indices are widely used to assess the health and
nutritional status of children The aim of the present study was determination of
malnutrition and compare estimates of under nutrition among young children under
2 years of age from Birjand city of Iran using WHO growth standard and the
National Center for Health Statistics (NCHS) references. METHODS: The cross
sectional descriptive study conducted on 822 children under 2 years of age mean z
scores for weight-for-age and height-for-age and prevalence underweight, stunting
and wasting were calculated using the new WHO growth standards and compared with
NCHS references. RESULTS: Compared with NCHS reference, the mean height for age
were lower and mean weight for age scores were almost similar using the WHO
standards. Prevalence underweight, stunting, and wasting using WHO standards were
5.2-8.2% and 1.9%, respectively, compared to 6.5-37.3% and 1% based on the NCHS
references. CONCLUSIONS: Using WHO standards resulted differences in mean z score
for height for age and changes in prevalence of stunting compared with NCHS
references in Birjand city children.
PMID- 24932400
TI - Determinants of prelacteal feeding in rural northern India.
AB - BACKGROUND: Prelacteal feeding is an underestimated problem in a developing
country like India, where infant mortality rate is quite high. The present study
tried to find out the factors determining prelacteal feeding in rural areas of
north India. METHODS: A crosssectional study was conducted among recently
delivered women of rural Uttar Pradesh, India. Multistage random sampling was
used for selecting villages. From them, 352 recently delivered women were
selected as the subjects, following systematic random sampling. Chi-square test
and logistic regression were used to find out the predictors for prelacteal
feeding. RESULTS: Overall, 40.1% of mothers gave prelacteal feeding to their
newborn. Factors significantly associated with such practice, after simple
logistic regression, were age, caste, socioeconomic status, and place of
delivery. At multivariate level, age (odds ratio (OR) = 1.76, 95% confidence
interval (CI) = 1.13-2.74), caste and place of delivery (OR = 2.23, 95% CI = 1.21
4.10) were found to determine prelacteal feeding significantly, indicating that
young age, high caste, and home deliveries could affect the practice positively.
CONCLUSIONS: The problem of prelacteal feeding is still prevalent in rural India.
Age, caste, and place of delivery were associated with the problem. For ensuring
neonatal health, the problem should be addressed with due gravity, with emphasis
on exclusive breast feeding.
PMID- 24932401
TI - Caries risk assessment among school children in davangere city using cariogram.
AB - BACKGROUND: To assess the caries risk among 12-years old children using the
Cariogram and to evaluate it by comparing with the actual change in DMFT and DMFS
over a period of two year. METHODS: A two year prospective study was conducted
among 12 years age group school going children in Davangere city. At the baseline
relevant and required information regarding the oral hygiene, diet, fluoride
usage were obtained using a specially prepared pro forma and the saliva samples
were collected from study subjects and the required microbiological analysis was
done, as per the instructions of Cariogram version 1997. Caries experience was
assessed using DMFT and DMFS index. Re-examination was done after two years and
caries increment was calculated. The data so obtained was fed into the Cariogram
software based on which they were divided in five groups which were; 0-20% (high
risk), 21-40%, 41-60%, 61-80% and 81-100% "Chance of avoiding caries". The caries
risk profile generated by the software was compared with caries increment over
two years and subjected to statistical analysis. RESULTS: Eighty nine point
twenty nine percent of the children in the very low risk group as predicted by
Cariogram at baseline did not develop new caries lesions by the end of two years
follow-up. Higher risk children at baseline developed higher number of new
carious lesions. CONCLUSIONS: Cariogram can be a reliable tool in caries
prediction. It can aid in identifying different risk groups in a community and
developing preventive strategies for reducing caries risk in children.
PMID- 24932402
TI - Odds ratio an important measure in case control study.
PMID- 24932403
TI - Alternating layer addition approach to CdSe/CdS core/shell quantum dots with near
unity quantum yield and high on-time fractions.
AB - We report single-particle photoluminescence (PL) intermittency (blinking) with
high on-time fractions in colloidal CdSe quantum dots (QD) with conformal CdS
shells of 1.4 nm thickness, equivalent to approximately 4 CdS monolayers. All QDs
observed displayed on-time fractions > 60% with the majority > 80%. The high-on
time-fraction blinking is accompanied by fluorescence quantum yields (QY) close
to unity (up to 98% in an absolute QY measurement) when dispersed in organic
solvents and a monoexponential ensemble photoluminescence (PL) decay lifetime.
The CdS shell is formed in high synthetic yield using a modified selective ion
layer adsorption and reaction (SILAR) technique that employs a silylated sulfur
precursor. The CdS shell provides sufficient chemical and electronic passivation
of the QD excited state to permit water solubilization with greater than 60% QY
via ligand exchange with an imidazole-bearing hydrophilic polymer.
PMID- 24932404
TI - Copper-Catalyzed Alkene Diamination: Synthesis of Chiral 2-Aminomethyl Indolines
and Pyrrolidines.
AB - Chiral vicinal diamines, including 2-aminomethyl indolines and pyrrolidines, are
useful as ligands for catalytic asymmetric reactions and are also found as
important components of bioactive compounds. Herein is reported the first copper
catalyzed alkene diamination that occurs with high enantioselectivity. The
substrate range is the broadest yet reported for this kind of intra
/intermolecular reaction sequence both with respect to gamma-alkenyl sulfonamide
substrate and external amine nucleophile. The resulting products expand the
availability of substituted 2-aminomethyl indolines and pyrrolidines, privileged
compounds in asymmetric catalysis and medicinal chemistry. A unique solution to a
challenging oxidation problem related to copper catalyst turnover is also
presented.
PMID- 24932406
TI - Covalent Immobilization of Collagen on Titanium through Polydopamine Coating to
Improve Cellular Performances of MC3T3-E1 Cells.
AB - Surface modification of orthopedic implants is critical for improving the
clinical performance of these medical devices. Herein, collagen was covalently
immobilized onto a titanium implant surface via a novel adherent polydopamine
coating inspired by mussel adhesive proteins. The formation and composition of
the collagen coating was characterized using X-ray photoelectron spectroscopy
(XPS) and scanning electron microscopy (SEM). Fluorescent labeled collagen was
also used to examine the formation and uniformity of the collagen coating. The
resultant collagen coating with a polydopamine supporting substrate demonstrated
better uniformity and distribution on the titanium surface compared to a physical
adsorption of collagen. The covalent immobilized collagen coating is biologically
active, as evidenced by its ability to enhance MC3T3-E1 cell adhesion, support
cell proliferation and promote early stage osteogenic differentiation of pre
osteoblasts. Our study suggests covalent immobilization of collagen through the
polydopamine coating might be an efficient way to improve the cellular
performance of implant surfaces.
PMID- 24932405
TI - Cerebrospinal fluid is drained primarily via the spinal canal and olfactory route
in young and aged spontaneously hypertensive rats.
AB - BACKGROUND: Many aspects of CSF dynamics are poorly understood due to the
difficulties involved in quantification and visualization. In particular, there
is debate surrounding the route of CSF drainage. Our aim was to quantify CSF
flow, volume, and drainage route dynamics in vivo in young and aged spontaneously
hypertensive rats (SHR) using a novel contrast-enhanced computed tomography (CT)
method. METHODS: ICP was recorded in young (2-5 months) and aged (16 months) SHR.
Contrast was administered into the lateral ventricles bilaterally and sequential
CT imaging was used to visualize the entire intracranial CSF system and CSF
drainage routes. A customized contrast decay software module was used to quantify
CSF flow at multiple locations. RESULTS: ICP was significantly higher in aged
rats than in young rats (11.52 +/- 2.36 mmHg, versus 7.04 +/- 2.89 mmHg, p =
0.03). Contrast was observed throughout the entire intracranial CSF system and
was seen to enter the spinal canal and cross the cribriform plate into the
olfactory mucosa within 9.1 +/- 6.1 and 22.2 +/- 7.1 minutes, respectively. No
contrast was observed adjacent to the sagittal sinus. There were no significant
differences between young and aged rats in either contrast distribution times or
CSF flow rates. Mean flow rates (combined young and aged) were 3.0 +/- 1.5
MUL/min at the cerebral aqueduct; 3.5 +/- 1.4 MUL/min at the 3rd ventricle; and
2.8 +/- 0.9 MUL/min at the 4th ventricle. Intracranial CSF volumes (and as
percentage total brain volume) were 204 +/- 97 MUL (8.8 +/- 4.3%) in the young
and 275 +/- 35 MUL (10.8 +/- 1.9%) in the aged animals (NS). CONCLUSIONS: We have
demonstrated a contrast-enhanced CT technique for measuring and visualising CSF
dynamics in vivo. These results indicate substantial drainage of CSF via spinal
and olfactory routes, but there was little evidence of drainage via sagittal
sinus arachnoid granulations in either young or aged animals. The data suggests
that spinal and olfactory routes are the primary routes of CSF drainage and that
sagittal sinus arachnoid granulations play a minor role, even in aged rats with
higher ICP.
PMID- 24932407
TI - Confounding by indication affects antimicrobial risk factors for methicillin
resistant Staphylococcus aureus but not vancomycin-resistant enterococci
acquisition.
AB - BACKGROUND: Observational studies rarely account for confounding by indication,
whereby empiric antibiotics initiated for signs and symptoms of infection prior
to the diagnosis of infection are then viewed as risk factors for infection. We
evaluated whether confounding by indication impacts antimicrobial risk factors
for methicillin-resistant Staphylococcus aureus (MRSA) and vancomycin-resistant
enterococci (VRE) acquisition. FINDINGS: We previously reported several
predictors of MRSA and VRE acquisition in 967 intensive care unit (ICU) patients
with no prior history of MRSA or VRE who had an initial negative screening
culture followed by either a subsequent negative screening culture (controls) or
positive screening or clinical culture (cases). Within and prior to this
acquisition interval, we collected demographic, comorbidity, daily device and
antibiotic utilization data. We now re-evaluate all antibiotics by medical record
review for evidence of treatment for signs and symptoms ultimately attributable
to MRSA or VRE. Generalized linear mixed models are used to assess variables
associated with MRSA or VRE acquisition, accounting for clustering by ward. We
find that exclusion of empiric antibiotics given for suspected infection affects
17% (113/661) of antibiotic prescriptions in 25% (60/244) of MRSA-positive
patients but only 1% (5/491) of antibiotic prescriptions in 1% (3/227) of VRE
positive patients. In multivariate testing, fluoroquinolones are no longer
associated with MRSA acquisition, and aminoglycosides are significantly
protective (OR = 0.3, CI:0.1-0.7). CONCLUSIONS: Neglecting treatment indication
may cause common empiric antibiotics to appear spuriously associated with MRSA
acquisition. This effect is absent for VRE, likely because empiric therapy is
infrequent given the low prevalence of VRE.
PMID- 24932408
TI - Prevalence and determinants of Campylobacter infection among under five children
with acute watery diarrhea in Mwanza, North Tanzania.
AB - BACKGROUND: Campylobacteriosis, a zoonotic bacterial disease observed world-wide,
is becoming the most commonly recognized cause of bacterial gastroenteritis in
humans. This study was done to determine the prevalence and determinants of
Campylobacter infection among under-fives with acute watery diarrhea in Mwanza
City, Tanzania. METHOD: This cross-sectional hospital-based study was conducted
at Bugando Medical Centre (BMC) and Sekou Toure Hospital in Mwanza City. All
inpatients and outpatients under-fives who met the inclusion criteria from
October 2012 to April 2013 were enrolled in the study. Demographic and clinical
data were obtained using standardized data collection tools. Stool samples were
collected for gram staining and culture for Campylobacter spp. on Preston
selective agar media. In addition, blood slides for malaria and HIV tests were
done to all patients. RESULTS: A total of 300 children were enrolled with a
median age of 12 [interquartile range, 8-19] months. Of these, 169 (56.5%) were
from BMC and 131 (43.7%) from Sekou-Toure hospital. One hundred and seventy
(56.7%) of the participating children were male. Of 300 under-fives with acute
watery diarrhea, 29 patients (9.7%) were found to have Campylobacter infection. A
significant higher number of children with Campylobacter infection were found in
Sekou Toure hospital compared to BMC [16.0% (21/29) versus 4.7% (8/29), p =
0.002)]. Age above 2 years was independently found to predict campylobacter
infection (OR: 2.9, 95% CI 1.1-7.7, p = 0.0037). Of 30 patients with a positive
blood slide for Plasmodium falciparum, 20.0% were also positive for Campylobacter
infection (OR: 3.9, 95% CI 1.2-10.1, p = 0.021). CONCLUSION: Campylobacter
infection shows a comparatively low prevalence in under-fives with acute watery
diarrhea in Mwanza city and is independently associated with positive slides for
malaria and an age above 2 years. Further studies are needed to type the most
prevalent Campylobacter species and to determine their antibiotic susceptibility
pattern.
PMID- 24932409
TI - Characterization of Free and Porous Silicon-Encapsulated Superparamagnetic Iron
Oxide Nanoparticles as Platforms for the Development of Theranostic Vaccines.
AB - Tracking vaccine components from the site of injection to their destination in
lymphatic tissue, and simultaneously monitoring immune effects, sheds light on
the influence of vaccine components on particle and immune cell trafficking and
therapeutic efficacy. In this study, we create a hybrid particle vaccine platform
comprised of porous silicon (pSi) and superparamagnetic iron oxide nanoparticles
(SPIONs). The impact of nanoparticle size and mode of presentation on magnetic
resonance contrast enhancement are examined. SPION-enhanced relaxivity increased
as the core diameter of the nanoparticle increased, while encapsulation of SPIONs
within a pSi matrix had only minor effects on T2 and no significant effect on T2*
relaxation. Following intravenous injection of single and hybrid particles, there
was an increase in negative contrast in the spleen, with changes in contrast
being slightly greater for free compared to silicon encapsulated SPIONs.
Incubation of bone marrow-derived dendritic cells (BMDC) with pSi microparticles
loaded with SPIONs, SIINFEKL peptide, and lipopolysaccharide stimulated immune
cell interactions and interferon gamma production in OT-1 TCR transgenic CD8+ T
cells. Overall, the hybrid particle platform enabled presentation of a complex
payload that was traceable, stimulated functional T cell and BMDC interactions,
and resolved in cellular activation of T cells in response to a specific antigen.
PMID- 24932410
TI - Immune System Regulation in the Induction of Broadly Neutralizing HIV-1
Antibodies.
AB - In this brief review, we discuss immune tolerance as a factor that determines the
magnitude and quality of serum antibody responses to HIV-1 infection and
vaccination in the context of recent work. We propose that many conserved,
neutralizing epitopes of HIV-1 are weakly immunogenic because they mimic host
antigens. In consequence, B cells that strongly bind these determinants are
removed by the physiological process of immune tolerance. This structural mimicry
may represent a significant impediment to designing protective HIV-1 vaccines,
but we note that several vaccine strategies may be able to mitigate this
evolutionary adaptation of HIV and other microbial pathogens.
PMID- 24932412
TI - Workflow interruptions and failed action regulation in surgery personnel.
AB - BACKGROUND: Workflow interruptions during surgery may cause a threat to patient's
safety. Workflow interruptions were tested to predict failure in action
regulation that in turn predicts near-accidents in surgery and related health
care. METHODS: One-hundred-and-thirty-three theater nurses and physicians from
eight Swiss hospitals participated in a cross-sectional questionnaire survey. The
study participation rate was 43%. RESULTS: Structural equation modeling confirmed
an indirect path from workflow interruptions through cognitive failure in action
regulation on near-accidents (p < 0.05). The indirect path was stronger for
workflow interruptions by malfunctions and task organizational blockages compared
with workflow interruptions that were caused by persons. The indirect path
remained meaningful when individual differences in conscientiousness and
compliance with safety regulations were controlled. CONCLUSION: Task
interruptions caused by malfunction and organizational constraints are likely to
trigger errors in surgery. Work redesign is recommended to reduce workflow
interruptions by malfunction and regulatory constraints.
PMID- 24932411
TI - Advancing Toward HIV-1 Vaccine Efficacy through the Intersections of Immune
Correlates.
AB - Interrogating immune correlates of infection risk for efficacious and non
efficacious HIV-1 vaccine clinical trials have provided hypotheses regarding the
mechanisms of induction of protective immunity to HIV-1. To date, there have been
six HIV-1 vaccine efficacy trials (VAX003, Vaxgen, Inc., San Francisco, CA, USA),
VAX004 (Vaxgen, Inc.), HIV-1 Vaccine Trials Network (HVTN) 502 (Step), HVTN 503
(Phambili), RV144 (sponsored by the U.S. Military HIV Research Program, MHRP) and
HVTN 505). Cellular, humoral, host genetic and virus sieve analyses of these
human clinical trials each can provide information that may point to potentially
protective mechanisms for vaccine-induced immunity. Critical to staying on the
path toward development of an efficacious vaccine is utilizing information from
previous human and non-human primate studies in concert with new discoveries of
basic HIV-1 host-virus interactions. One way that past discoveries from correlate
analyses can lead to novel inventions or new pathways toward vaccine efficacy is
to examine the intersections where different components of the correlate analyses
overlap (e.g., virus sieve analysis combined with humoral correlates) that can
point to mechanistic hypotheses. Additionally, differences in durability among
vaccine-induced T- and B-cell responses indicate that time post-vaccination is an
important variable. Thus, understanding the nature of protective responses, the
degree to which such responses have, or have not, as yet, been induced by
previous vaccine trials and the design of strategies to induce durable T- and B
cell responses are critical to the development of a protective HIV-1 vaccine.
PMID- 24932413
TI - Work-related Stress, Caregiver Role, and Depressive Symptoms among Japanese
Workers.
AB - BACKGROUND: In Japan, more than 60% of employees are reported to suffer from
anxiety and stress. Consequently, Japanese society has begun to address such
important issues as psychogenic disability and job-related suicide. Furthermore,
given the aging of society and the surge in the number of elderly people
requiring care, it is necessary to adequately and proactively support employees
who care for their elderly relatives. The purpose of the present study was to
investigate caregiver burden in caring for elderly relatives and work-related
stress factors associated with mental health among employees. METHODS: We studied
722 men and women aged 18-83 years in a cross-sectional study. The K10
questionnaire was used to examine mental health status. RESULTS: The proportion
of participants with a high K10 score was 15% (n = 106). Having little
conversation with their supervisor and/or coworkers significantly increased the
risk of depression [odds ratio (OR) 1.8], as did high job overload (OR 2.7) and
job dissatisfaction (OR 3.8), compared with employees who frequently conversed
with their supervisor and/or coworkers. Caring for elderly relatives as a
prominent characteristic of an employee was a significant risk factor for
depression (OR 2.1). CONCLUSION: The present study demonstrated that employees
who were caring for elderly relatives were significantly associated with an
increased risk of depression. To prevent depressive disorders, it may be
important to focus on reducing the work-caregiving role conflict, as well as
enhancing employees' job control and better rewarding their efforts in the
workplace.
PMID- 24932414
TI - Do Psychological Factors Increase the Risk for Low Back Pain Among Nurses? A
Comparing According to Cross-sectional and Prospective Analysis.
AB - BACKGROUND: This study assesses influences of baseline psychological risk factors
on prevalence of low back pain (LBP) at baseline and follow-up among nurses.
METHODS: A prospective longitudinal study was performed at two phases, baseline
and 1-year follow-up among 246 nurses of university hospitals in Shahroud, Iran.
A standardized Cultural and Psychosocial Influences on Disability questionnaire
was used for data collection. Logistic regression was performed for analysis.
RESULTS: At the baseline of the study, 58.9% of nurses reported back pain in the
previous 12 months. Age (p = 0.001), belief that work causes pain (p = 0.022),
and somatization tendency (p = 0.002) significantly increased risk of LBP. At 1
year follow-up, prevalence of LBP was 45.7% and expectation of back pain at
baseline (p = 0.016) significantly increased risk of LBP in this phase (p <
0.05). CONCLUSION: Results indicate that risk factors for prevalence of back pain
at baseline and 1-year follow-up are different. At baseline, the risk factors are
age, belief that work causes pain, and somatization tendency, and at follow-up,
expectation of pain is the major risk factor.
PMID- 24932415
TI - Occupational exposure to blood and body fluids among health care professionals in
bahir dar town, northwest ethiopia.
AB - BACKGROUND: Health care professionals (HCPs) are at high risk of contracting
blood-borne infections due to their occupational exposure to blood and body
fluids (BBFs). The incidence of these infections among HCPs are higher in low
income countries such as Ethiopia. The aim of the study was to investigate the
extent of occupational exposure to BBFs and its associated factors among HCPs in
Bahir Dar town, Ethiopia. METHODS: A cross-sectional study was used from October
1, 2012 to October 30, 2012. Three hundred and seventeen HCPs were included in
the study using a simple random sampling technique. The data were collected using
a structured questionnaire and analyzed using SPSS version 16. Bivariate and
multivariate analyses were used to identify the factors related to exposure to
BBFs. RESULTS: Two hundred and nine (65.9%) HCPs were exposed to BBFs in the past
year, of which 29.0% were needlestick injuries. Work experience [adjusted odds
ratio (AOR) 4.13, 95% confidence interval (CI) 1.56-10.91], inconsistent use of
gloves (AOR 1.98, 95% CI 1.04-3.43), and not complying with standard precautions
(AOR 1.80, 95% CI 1.00-3.22) were the factors associated with occupational
exposure to BBFs. CONCLUSION: A high proportion of HCPs was exposed to BBFs in
this study. Occupational exposure to BBFs was determined by the use of gloves and
not complying with standard precautions. Ensuring the availability of gloves,
training about standard precautions, and motivation of HCPs to implement standard
precautions should be emphasized to avoid such exposures.
PMID- 24932416
TI - Analysis of variation in total airborne bacteria concentration to assess the
performance of biological safety cabinets in microbial laboratories.
AB - BACKGROUND: The purpose of this study was to compare the concentration of total
airborne bacteria (TAB) in biosafety cabinets (BSCs) at universities and hospital
microbial laboratories to assess the performance of BSCs. METHODS: TAB was
determined by using the single-stage Anderson sampler (BioStage Viable Cascade
Impactor). The samples were obtained three times (with the BSC turned off and the
shield open; with the BSC turned off and the shield closed; and with the BSC
tuned on and operating) from the areas in front of 11 BSCs. RESULTS: TAB
concentrations of accredited and nonaccredited BSCs were determined. No
significant differences were observed in the TAB concentrations of the accredited
BSCs and the nonaccredited BSCs for the areas outside the BSCs in the
laboratories (p > 0.05). TAB concentrations for the BSCs sampled with the shield
open and the instrument turned off showed differences based on the sampling site
outside the BSC in each laboratory. CONCLUSION: These results imply that TAB
concentration is not altered by the performance of the BSCs or TAB itself and/or
concentration of TAB outside the BSC is not a good index of BSC performance.
PMID- 24932417
TI - Meta-analysis: association between wrist posture and carpal tunnel syndrome among
workers.
AB - BACKGROUND: Carpal tunnel syndrome (CTS) is a common work-related peripheral
neuropathy. In addition to grip force and repetitive hand exertions, wrist
posture (hyperextension and hyperflexion) may be a risk factor for CTS among
workers. However, findings of studies evaluating the relationship between wrist
posture and CTS are inconsistent. The purpose of this paper was to conduct a meta
analysis of existing studies to evaluate the evidence of the relationship between
wrist posture at work and risk of CTS. METHODS: PubMed and Google Scholar were
searched to identify relevant studies published between 1980 and 2012. The
following search terms were used: "work related", "carpal tunnel syndrome",
"wrist posture", and "epidemiology". The studies defined wrist posture as the
deviation of the wrist in extension or flexion from a neutral wrist posture.
Relative risk (RR) of individual studies for postural risk was pooled to evaluate
the overall risk of wrist posture on CTS. RESULTS: Nine studies met the inclusion
criteria. All were cross-sectional or case-control designs and relied on self
report or observer's estimates for wrist posture assessment. The pooled RR of
work-related CTS increased with increasing hours of exposure to wrist deviation
or extension/flexion [RR = 2.01; 95% confidence interval (CI): 1.646-2.43; p <
0.01: Shore-adjusted 95% CI: 1.32-2.97]. CONCLUSION: We found evidence that
prolonged exposure to non-neutral wrist postures is associated with a twofold
increased risk for CTS compared with low hours of exposure to non-neutral wrist
postures. Workplace interventions to prevent CTS should incorporate training and
engineering interventions that reduce sustained non-neutral wrist postures.
PMID- 24932419
TI - Work-related Dermatoses in Greece.
PMID- 24932418
TI - Sex Differences in Relationship between Stress Responses and Lifestyle in
Japanese Workers.
AB - BACKGROUND: This study examined the relationships between stress responses and
lifestyle, including sleeping and eating behaviors, in Japanese workers according
to sex. METHODS: Questionnaires about stress responses and lifestyle were
completed by 3,017 workers in a financial enterprise (41.5% men, 58.5% women).
Data were collected in Japan in August 2011. Participants were classified into
stress and nonstress groups. Relationships between stress responses and lifestyle
were investigated using logistic regression analysis with stress response as a
dependent variable. RESULTS: There were 254 (8.4%) participants in the stress
group and 2,763 (91.6%) in the nonstress group. The results showed that sleeping
for shorter periods [odds ratio (OR) = 2.97, 95% confidence interval (CI): 1.58
5.60] was associated with stress responses in women, whereas we found no
relationship between stress responses and lifestyle among men. However, working
overtime was associated with stress responses in men (OR = 2.71, 95% CI: 1.43
5.15). Eating at night was associated with stress responses in the univariate
analysis (men: OR = 2.10, 95% CI: 1.16-3.80; women: OR = 1.61, 95% CI: 1.09
2.39). CONCLUSION: This study showed that stress responses were related to
lifestyle among women but not among men. Among women, stress responses were
related to sleeping for shorter periods, whereas they were related to working
long hours among men. In addition, stress responses were related to eating at
night in the univariate analysis, although this relationship was not seen in the
multivariate analysis, in either sex.
PMID- 24932420
TI - Considerations concerning the epidemiology of occupational dermatoses.
PMID- 24932421
TI - Acute myeloid leukaemia after treatment for acute lymphoblastic leukaemia in girl
with Bloom syndrome.
AB - Bloom syndrome (BS) is an inherited genomic instability disorder caused by
disruption of the BLM helicase and confers an extreme cancer predisposition. Here
we report on a girl with BS who developed acute lymphoblastic leukaemia (ALL) at
age nine, and treatment-related acute myeloid leukaemia (t-AML) aged 12. She was
compound heterozygous for the novel BLM frameshift deletion c.1624delG and the
previously described c.3415C>T nonsense mutation. Two haematological malignancies
in a child with BS imply a fundamental role for BLM for normal haematopoiesis, in
particular in the presence of genotoxic stress.
PMID- 24932422
TI - Survey of C. difficile-Specific Infection Control Policies in Local Long-Term
Care Facilities.
AB - INTRODUCTION: The incidence and severity of Clostridium difficile infection (CDI)
has been increasing and long-term care facility (LTCF) residents are at high risk
given their age, co-morbidities, and high antibiotic exposure. Infection control
policies are crucial for controlling CDI, but there are currently no regulatory
guidelines in the United States. Therefore, we evaluated infection control
policies in local LTCFs to define the CDI-specific policies and the
administrative and staff understanding of CDI, so as to identify perceived
barriers for compliance. METHODS: IRB approval was sought and exemption granted,
all 8 local LTCFs were asked to participate. Each facility was visited by study
personnel who interviewed the administrative Infection Control Practitioner (ICP)
and 3 - 4 Licensed Practical Nurses (LPNs) with distinct survey format. Infection
control policies were then compared to the SHEA recommendations for CDI in LTCFs.
RESULTS: Of the eligible facilities, 75% (n = 6) participated. ICP (n = 6) and
LPNs (n = 21) were interviewed. All facilities accept residents with active CDI
and 2 had written CDI-specific infection control policies. All facilities had
hand hygiene or glove use policies and 2 had policies for the use of sporicidal
environmental cleaning. No facility restricted antibiotic use. Each facility has
a policy to instruct their staff through in-services, either annually or upon new
hire, but 33% (n = 7) LPNs reported no facility-based CDI training. While 80% (n
= 17) of LPNs felt comfortable with the facility CDI policies, only 11 accurately
restated it. ICPs felt the most relevant barrier to staff compliance was time
constraints (n = 4, 67%), however, LPNs felt it was limited knowledge (n = 10,
48%) and poor communication (n = 2, 10%). DISCUSSION AND CONCLUSIONS: With the
increasing incidence and severity of CDI in LCTF, few of the facilities surveyed
had CDI-specific policies. Despite CDI-specific training, there is a perceived
knowledge and communication gap for staff caring for residents with CDI.
PMID- 24932423
TI - Characteristics of Task-specific Tremor in String Instrument Players.
AB - BACKGROUND: In recent studies primary bowing tremor has been described; however,
tremor frequency has never been quantitatively assessed. In addition to
describing phenomenological aspects of tremor we thus aimed at assessing tremor
frequency. Our hypothesis was that primary bowing tremor is similar to the
phenomenological aspects and frequency of primary writing tremor. METHODS: WE
QUANTIFIED PRIMARY BOWING TREMOR IN FOUR VIOLINISTS UNDER TWO CONDITIONS: open
strings and a G major scale. Data were analyzed using empirical mode
decomposition because it takes into account non-stationarity and non-linearity of
signals. For each patient we further described tremor phenomenology and assessed
symptom onset, risk factors, medication, and family history with a structured
anamnesis. We compare the findings to previous findings for primary bowing tremor
and primary writing tremor. RESULTS: We mainly found a flexion-extension tremor
of the wrist with a frequency range of 4.7-6.7 Hz. There was no significant
difference between the conditions. Mean onset age was 43+/-2.4 years. Medication
included trihexyphenidyl, propranolol, primidone, and botulinum toxin. We found a
positive family history in two patients and an injury prior to symptom onset in
another two patients. Comparison of onset age, frequency range, family history,
and injuries prior to onset revealed that our findings are very similar to
previous findings on primary bowing tremor and primary writing tremor.
DISCUSSION: Our findings confirmed our hypothesis that primary bowing tremor is
similar to primary writing tremor, with regard to phenomenology and epidemiology
as well as tremor frequency. There was no difference in tremor frequency between
conditions, suggesting that tremor is not influenced by bimanual coordination or
bowing speed. Our findings thus provide new phenomenological aspects and may
contribute to a better understanding of primary bowing tremor.
PMID- 24932424
TI - In the Gray Zone in the Fragile X Gene: What are the Key Unanswered Clinical and
Biological Questions?
AB - Smaller expansions (41-54 CGG repeats) in the fragile X mental retardation 1
(FMR1) gene are termed "gray zone" alleles. Only recently has interest in these
expansions increased due to reporting of phenotypes unique to gray zone carriers
or similar to those seen in individuals with larger expansions. As minimal
research has focused on gray zone expansions, this paper asks several questions
related to this topic. These include the following: What is the definition of the
gray zone? Is there a risk of developing neurological signs in these carriers?
Are there secondary gene effects that impact gray zone alleles or a biologic
advantage to carrying these repeats? How do we counsel patients with gray zone
expansions? The answers to these questions will help to determine the
significance of these expansions and provide needed information to the research
community and clinicians.
PMID- 24932425
TI - Patient-reported Needs, Non-motor Symptoms, and Quality of Life in Essential
Tremor and Parkinson's Disease.
AB - BACKGROUND: Non-motor symptoms, quality of life, service needs, and barriers to
care of individuals with movement disorders are not well explored. This study
assessed these domains within a sample of individuals with essential tremor (ET)
and Parkinson's disease (PD). METHODS: A survey exploring symptoms, needs, and
barriers to care was disseminated to a convenience sample (N = 96) of individuals
with a primary diagnosis of ET (N = 19) or PD (N = 77). RESULTS: Similarities in
overall quality of life and impact on daily functioning were found across
individuals with ET and PD. Noteworthy differences included endorsement of
different types of service needs and utilization patterns and fewer non-motor
symptoms reported among those with ET (M = 6.1, SD = 2.4) than those with PD (M =
10.4, SD = 3.4). Non-motor symptoms significantly impacted movement disorder
related quality of life for both diagnostic groups, but this relationship was
stronger for individuals with ET, t(12) = 3.69, p = 0.003, beta = 0.73 than with
PD, t(56) = 4.00, p<0.001, beta = 0.47. Individuals with ET also reported higher
rates of stigma (31.6% vs. 7.8%) and greater impact of non-motor symptoms on
emotional well-being, R (2) = 0.37, F(1, 13) = 7.17, p = 0.020. DISCUSSION: This
is the first study to describe and compare the needs, barriers to care, and
impact on quality of life of two distinct movement disorder groups. Our results
support the recent efforts of the field to identify interventions to address the
non-motor symptoms of movement disorders and indicate need for greater
appreciation of the specific differences in symptoms and quality of life
experienced across movement disorder diagnoses.
PMID- 24932426
TI - Brittle Dyskinesia Following STN but not GPi Deep Brain Stimulation.
AB - BACKGROUND: The aim was to describe the prevalence and characteristics of
difficult to manage dyskinesia associated with subthalamic nucleus (STN) deep
brain stimulation (DBS). A small subset of STN DBS patients experience
troublesome dyskinesia despite optimal programming and medication adjustments.
This group of patients has been referred to by some practitioners as brittle STN
DBS-induced dyskinesia, drawing on comparisons with brittle diabetics
experiencing severe blood sugar regulation issues and on a single description by
McLellan in 1982. We sought to describe, and also to investigate how often the
"brittle" phenomenon occurs in a relatively large DBS practice. METHODS: An
Institutional Review Board-approved patient database was reviewed, and all STN
and globus pallidus internus (GPi) DBS patients who had surgery at the University
of Florida from July 2002 to July 2012 were extracted for analysis. RESULTS:
There were 179 total STN DBS patients and, of those, four STN DBS (2.2%) cases
were identified as having dyskinesia that could not be managed without the
induction of an "off state," or by the precipitation of a severe dyskinesia
despite vigorous stimulation and medication adjustments. Of 75 GPi DBS cases
reviewed, none (0%) was identified as having brittle dyskinesia. One STN DBS
patient was successfully rescued by bilateral GPi DBS. DISCUSSION: Understanding
the potential risk factors for postoperative troublesome and brittle dyskinesia
may have an impact on the initial surgical target selection (STN vs. GPI) in DBS
therapy. Rescue GPi DBS therapy may be a viable treatment option, though more
cases will be required to verify this observation.
PMID- 24932427
TI - The Role of Endocrine Disruptors in the Epigenetics of Reproductive Disease and
Dysfunction: Potential Relevance to Humans.
AB - In a murine model, we have linked early life toxicant exposure to reduced uterine
sensitivity to progesterone, a phenotype we had previously associated with
inflammation in endometriosis patients. Subsequent studies revealed that
developmental toxicant exposure not only reduces fertility in male and female
mice but also negatively impacts pregnancy leading to spontaneous preterm birth
(PTB). An epigenetic alteration of the progesterone receptor gene correlated with
reduced fertility and adverse pregnancy outcomes and persisted in multiple
generations of mice in the absence of an additional toxicant exposure. Gene
environment interactions in women may explain why some patients "at risk" for PTB
deliver at term while others without known risks deliver early. Our model
provides a unique system to unravel the interactive influences of inflammation
and reduced progesterone responsiveness on PTB and suggests that therapy needs to
begin prior to pregnancy (and involve both partners) rather than once the
inflammatory cascade has been initiated.
PMID- 24932428
TI - Chronic Fatigue Syndrome: The Current Status and Future Potentials of Emerging
Biomarkers.
AB - Chronic fatigue syndrome (CFS) remains an incompletely characterized illness, in
part due to controversy regarding its definition, biological basis and diagnosis.
Biomarkers are objective measures that may lead to improvements in our
understanding of CFS by providing a more coherent and consistent approach to
study, diagnosis and treatment of the illness. Such metrics may allow us to
distinguish between CFS subtypes - each defined by characteristic biomarkers -
currently conflated under the single, heterogeneous condition of CFS. These
delineations, in turn, may guide more granular, focused, and targeted treatment
strategies based on more precise characterizations of the illness. Here, we
review potential CFS biomarkers related to neurological and immunological
components of the illness, and discuss how these biomarkers may be used to move
the field of CFS forward, emphasizing clinical utility and potential routes of
future research.
PMID- 24932429
TI - Refined Data Analysis Provides Clinical Evidence for Central Nervous System
Control of Chronic Glaucomatous Neurodegeneration.
AB - PURPOSE: Refined data analysis was performed to assess binocular visual field
conservation in patients with bilateral glaucomatous damage to determine whether
unilateral visual field loss is random, anatomically symmetric, or nonrandom in
relation to the fellow eye. METHODS: This was a case-control study of 47
consecutive patients with bilaterally severe glaucoma; each right eye visual
field locus was paired with randomly selected coisopteric left eye loci, with
760,000 (10,000 complete sets of 76 loci) such iterations performed per subject.
The potential role of anatomic symmetry in bilateral visual field conservation
was also assessed by pairing mirror-image loci of the paired fields. The mean
values of the random coisopteric and the symmetric mirror pairings were compared
with natural point-for-point pairings of the two eyes by paired t-test. RESULTS:
Mean unilateral thresholds across the entire visual field were 18.9 dB left and
19.9 dB right (average 19.4), 4 dB lower than the better of the naturally paired
concomitant loci of 23.4 dB (P < 10-15). A remarkable natural tendency for
conservation of the binocular visual field was confirmed, far stronger than
explicable by random chance or anatomic symmetry (P < 0.0001), and reaffirmed by
subsequent prospective simultaneous binocular visual field retesting of an
arbitrary subset (n = 16) of the study population (P < 0.0001). CONCLUSIONS:
Refined data analysis of paired visual fields confirms the existence of a natural
optimization of binocular visual function in severe bilateral glaucoma via
interlocking fields that could be created only by central nervous system (CNS)
involvement. TRANSLATIONAL RELEVANCE: Integrated bilateral visual field analysis
should better define actual visual disability and more accurately reflect the
functional efficacy of current ocular and future CNS-oriented therapeutic
approaches to the treatment of glaucoma. Glaucomatous eyes provide a highly
accessible paired-organ study model for developing therapeutics to optimize
conservation of function in neurodegenerative disorders.
PMID- 24932431
TI - Retinal and Choroidal Imaging With 870-nm Spectral-Domain OCT Compared With 1050
nm Spectral-Domain OCT, With and Without Enhanced Depth Imaging.
AB - PURPOSE: The purpose of this study was to compare images of the retina and
choroid obtained with Spectralis 1050-nm spectral-domain optical coherence
tomography (SD-OCT) with and without enhanced depth imaging (EDI) to the
commercially available 870-nm SD-OCT with and without EDI. METHODS: Full-length
30 degrees line scans were obtained with both 870- and 1050-nm Spectralis OCT
instruments, with and without EDI. Two trained retina physicians masked to
wavelength and EDI status assessed the ability to visualize the vitreoretinal
interface and full-thickness choroid, and subfoveal choroidal thickness (SFCT)
was measured. RESULTS: Included in the study were 21 eyes. The vitreoretinal
interface was visualized best with 870-nm OCT without EDI and was diminished with
1050-nm OCT. Graders preferred 1050 nm with EDI over 870 nm with EDI in
qualitative comparisons of the choroid; 1050 nm without EDI was slightly
preferred over 870 nm with EDI but was not statistically significant. SFCT
measurements correlated well among the imaging modalities except for 870 nm
without EDI. CONCLUSIONS: SD-OCT with EDI at 870 nm provides good visualization
of both the vitreoretinal interface and choroid, whereas 1050-nm SD-OCT with or
without EDI provides more choroidal detail at the expense of visualization of the
vitreoretinal interface. TRANSLATIONAL RELEVANCE: Use of longer wavelength 1050
nm SD-OCT provides greater choroidal detail compared with 870-nm SD-OCT, but has
reduced detail of the vitreoretinal interface. The significance of this trade-off
for clinical management of retinal disease needs further evaluation.
PMID- 24932432
TI - Cathelicidin LL-37 and HSV-1 Corneal Infection: Peptide Versus Gene Therapy.
AB - PURPOSE: To evaluate the potential utility of collagen-based corneal implants
with anti-Herpes Simplex Virus (HSV)-1 activity achieved through sustained
release of LL-37, from incorporated nanoparticles, as compared with cell-based
delivery from model human corneal epithelial cells (HCECs) transfected to produce
endogenous LL-37. METHODS: We tested the ability of collagen-phosphorylcholine
implants to tolerate the adverse microenvironment of herpetic murine corneas.
Then, we investigated the efficacy of LL-37 peptides delivered through
nanoparticles incorporated within the corneal implants to block HSV-1 viral
activity. In addition, LL-37 complementary DNA (cDNA) was transferred into HCECs
to confer viral resistance, and their response to HSV-1 infection was examined.
RESULTS: Our implants remained in herpetic murine corneas 7 days longer than
allografts. LL-37 released from the implants blocked HSV-1 infection of HCECs by
interfering with viral binding. However, in pre-infected HCECs, LL-37 delayed but
could not prevent viral spreading nor clear viruses from the infected cells.
HCECs transfected with the LL-37 expressed and secreted the peptide. Secreted LL
37 inhibited viral binding in vitro but was insufficient to protect cells
completely from HSV-1 infection. Nevertheless, secreted LL-37 reduced both the
incidence of plaque formation and plaque size. CONCLUSION: LL-37 released from
composite nanoparticle-hydrogel corneal implants and HCEC-produced peptide, both
showed anti-HSV-1 activity by blocking binding. However, while both slowed down
virus spread, neither was able on its own to completely inhibit the viruses.
TRANSLATIONAL RELEVANCE: LL-37 releasing hydrogels may have potential utility as
corneal substitutes for grafting in HSV-1 infected corneas, possibly in
combination with LL-37 producing therapeutic cells.
PMID- 24932430
TI - The Effect of Age on Optic Nerve Axon Counts, SDOCT Scan Quality, and
Peripapillary Retinal Nerve Fiber Layer Thickness Measurements in Rhesus Monkeys.
AB - PURPOSE: To evaluate the effect of age on optic nerve axon counts, spectral
domain optical coherence tomography (SDOCT) scan quality, and peripapillary
retinal nerve fiber layer thickness (RNFLT) measurements in healthy monkey eyes.
METHODS: In total, 83 healthy rhesus monkeys were included in this study (age
range: 1.2-26.7 years). Peripapillary RNFLT was measured by SDOCT. An automated
algorithm was used to count 100% of the axons and measure their cross-sectional
area in postmortem optic nerve tissue samples (N = 46). Simulation experiments
were done to determine the effects of optical changes on measurements of RNFLT.
An objective, fully-automated method was used to measure the diameter of the
major blood vessel profiles within each SDOCT B-scan. RESULTS: Peripapillary
RNFLT was negatively correlated with age in cross-sectional analysis (P < 0.01).
The best-fitting linear model was RNFLT(MUm) = -0.40 * age(years) + 104.5 MUm (R2
= 0.1, P < 0.01). Age had very little influence on optic nerve axon count; the
result of the best-fit linear model was axon count = -1364 * Age(years) +
1,210,284 (R2 < 0.01, P = 0.74). Older eyes lost the smallest diameter axons
and/or axons had an increased diameter in the optic nerve of older animals. There
was an inverse correlation between age and SDOCT scan quality (R = -0.65, P <
0.0001). Simulation experiments revealed that approximately 17% of the apparent
cross-sectional rate of RNFLT loss is due to reduced scan quality associated with
optical changes of the aging eye. Another 12% was due to thinning of the major
blood vessels. CONCLUSIONS: RNFLT declines by 4 MUm per decade in healthy rhesus
monkey eyes. This rate is approximately three times faster than loss of optic
nerve axons. Approximately one-half of this difference is explained by optical
degradation of the aging eye reducing SDOCT scan quality and thinning of the
major blood vessels. TRANSLATIONAL RELEVANCE: Current models used to predict
retinal ganglion cell losses should be reconsidered.
PMID- 24932433
TI - An Informational Algorithm as the Basis for Perception-Action Control of the
Instantaneous Axes of the Knee.
AB - Traditional locomotion studies emphasize an optimization of the desired movement
trajectories while ignoring sensory feedback. We propose an information based
theory that locomotion is neither triggered nor commanded but controlled. The
basis for this control is the information derived from perceiving oneself in the
world. Control therefore lies in the human-environment system. In order to test
this hypothesis, we derived a mathematical foundation characterizing the energy
that is required to perform a rotational twist, with small amplitude, of the
instantaneous axes of the knee (IAK). We have found that the joint's perception
of the ground reaction force may be replaced by the co-perception of muscle
activation with appropriate intensities. This approach generated an accurate
comparison with known joint forces and appears appropriate in so far as
predicting the effect on the knee when it is free to twist about the IAK.
PMID- 24932434
TI - Direct thermal-UV nanoimprint of an iron-containing organometallic hybrid film.
AB - Direct thermal-UV nanoimprinting of an organometallic hybrid film has been
demonstrated to fabricate nanoscale features into a novel organic-inorganic
solution containing selected metals. The film can be patterned at low temperature
and pressure, and requires only a short processing time. When analyzed by energy
dispersion X-ray spectroscopy, the authors observe both organic and metal content
in the final patterned features. They have also observed that film thermal
stability increases after UV and oxygen plasma treatments, which may lead to
devices that perform well across a wide spectrum of temperatures.
PMID- 24932435
TI - Optically anisotropic infinite cylinder above an optically anisotropic half
space: Dispersion interaction of a single-walled carbon nanotube with a
substrate.
AB - A complete form of the van der Waals dispersion interaction between an infinitely
long anisotropic semiconducting/insulating thin cylinder and an anisotropic half
space is derived for all separations between the cylinder and the half space. The
derivation proceeds from the theory of dispersion interactions between two
anisotropic infinite half spaces as formulated in Phys. Rev. A 71, 042102 (2005).
The approach is valid in the retarded as well as nonretarded regimes of the
interaction and is coupled with the recently evaluated ab initio dielectric
response functions of various semiconducting/insulating single wall carbon
nanotubes, enables the authors to evaluate the strength of the van der Waals
dispersion interaction for all orientation angles and separations between a thin
cylindrical nanotube and the half space. The possibility of repulsive and/or
nonmonotonic dispersion interactions is examined in detail.
PMID- 24932436
TI - Nanostructured silicon membranes for control of molecular transport.
AB - A membrane that allows selective transport of molecular species requires precise
engineering on the nanoscale. Membrane permeability can be tuned by controlling
the physical structure and surface chemistry of the pores. Here, a combination of
electron beam and optical lithography, along with cryogenic deep reactive ion
etching, has been used to fabricate silicon membranes that are physically robust,
have uniform pore sizes, and are directly integrated into a microfluidic network.
Additional reductions in pore size were achieved using plasma enhanced chemical
vapor deposition and atomic layer deposition of silicon dioxide to coat membrane
surfaces. Cross sectioning of the membranes using focused ion beam milling was
used to determine the physical shape of the membrane pores before and after
coating. Functional characterization of the membranes was performed by using
quantitative fluorescence microscopy to document the transport of molecular
species across the membrane.
PMID- 24932437
TI - Questioning the Use of PEGylation for Drug Delivery.
AB - Polyethylene glycol (PEG) is widely utilized in drug delivery and nanotechnology
due to its reported "stealth" properties and biocompatibility. It is generally
thought that PEGylation allows particulate delivery systems and biomaterials to
evade the immune system and thereby prolong circulation lifetimes. However,
numerous studies over the past decade have demonstrated that PEGylation causes
significant reductions in drug delivery, including enhanced serum protein
binding, reduced uptake by target cells, and the elicitation of an immune
response that facilitates clearance in vivo. This report reviews some of the
extensive literature documenting the detrimental effects of PEGylation, and
thereby questions the wisdom behind employing this strategy in drug development.
PMID- 24932439
TI - Platelet rich plasma therapies: a great potential to be harnessed.
PMID- 24932438
TI - Metabolomic Analysis Reveals Amino Acid Responses to an Oral Glucose Tolerance
Test in Women with Prior History of Gestational Diabetes Mellitus.
AB - OBJECTIVE: Although gestational diabetes mellitus (GDM) is associated with an
increased risk of type 2 diabetes mellitus (T2DM) compared to normoglycemic
pregnancies, the biochemical pathways underlying the progression of GDM to T2DM
are not fully elucidated. The purpose of this exploratory study was to utilize
metabolomics with an oral glucose tolerance test (OGTT) to examine the amino acid
response in women with prior GDM to determine if a relationship between these
metabolites and established risk factors for T2DM exists. MATERIALS/METHODS:
Thirty-eight non-pregnant women without diabetes but with prior GDM within the
previous 3 years were recruited from a community-based population. A 75 g-OGTT
was administered; fasting and 2-hr plasma samples were obtained. Metabolite
profiles of 23 amino acids or amino acid derivatives were measured with gas
chromatography-mass spectrometry. Measures of insulin resistance were derived
from the OGTT and risk factors for T2DM were obtained by self-report. RESULTS:
Twenty-two metabolite levels decreased significantly in response to the OGTT
(p<0.05). The clinical covariates most powerfully associated with metabolite
level changes included race, body mass index (BMI), and duration of prior
breastfeeding, (mean +/- SD of standardized beta-coefficients, beta = -0.38 +/-
0.05, 0.25 +/- 0.08, and 0.44 +/- 0.03, respectively, all p<0.05). Notably, a
prior history of breastfeeding was associated with the greatest number of
metabolite changes. CONCLUSIONS: Greater change in metabolite levels after a
glucose challenge was significantly associated with a longer duration of
breastfeeding and higher BMI. Further exploration of these preliminary
observations and closer examination of the specific pathways implicated are
warranted.
PMID- 24932440
TI - Classification of platelet concentrates (Platelet-Rich Plasma-PRP, Platelet-Rich
Fibrin-PRF) for topical and infiltrative use in orthopedic and sports medicine:
current consensus, clinical implications and perspectives.
AB - Platelet concentrates for topical and infiltrative use - commonly termed Platetet
Rich Plasma (PRP) or Platelet-Rich Fibrin (PRF) - are used or tested as surgical
adjuvants or regenerative medicine preparations in most medical fields,
particularly in sports medicine and orthopaedic surgery. Even if these products
offer interesting therapeutic perspectives, their clinical relevance is largely
debated, as the literature on the topic is often confused and contradictory. The
long history of these products was always associated with confusions, mostly
related to the lack of consensual terminology, characterization and
classification of the many products that were tested in the last 40 years. The
current consensus is based on a simple classification system dividing the many
products in 4 main families, based on their fibrin architecture and cell content:
Pure Platelet-Rich Plasma (P-PRP), such as the PRGF-Endoret technique; Leukocyte-
and Platelet-Rich Plasma (LPRP), such as Biomet GPS system; Pure Platelet-Rich
Fibrin (P-PRF), such as Fibrinet; Leukocyte- and Platelet-Rich Fibrin (L-PRF),
such as Intra-Spin L-PRF. The 4 main families of products present different
biological signatures and mechanisms, and obvious differences for clinical
applications. This classification serves as a basis for further investigations of
the effects of these products. Perspectives of evolutions of this classification
and terminology are also discussed, particularly concerning the impact of the
cell content, preservation and activation on these products in sports medicine
and orthopaedics.
PMID- 24932441
TI - PRP treatment effects on degenerative tendinopathy - an in vitro model study.
AB - Platelet-rich plasma (PRP) has become a popular option for the treatment of
injured tendons. However, the efficacy of PRP treatment is a matter of heated
debate in orthopaedics and sports medicine. In this study, we used a cell culture
model to evaluate the potential effects of PRP treatment on degenerative
tendinopathy. The in vitro model, which uses the current concept of "diseases-in
a-dish", consisted of tendon stem/progenitor cells (TSCs) that were derived from
rabbit tendons and cultured in differentiating media with and without autologous
platelet-rich clot releasate (PRCR). We found that 10% PRCR treatment of TSCs
blocked their non-tenogenic differentiation, as evidenced by the marked decrease
in lipid droplets, proteoglycan accumulation, and calcium deposition on cell
surfaces. Moreover, the protein markers for non-tenocytes (adiponectin, collagen
type II, and osteocalcin) were either minimally expressed or greatly reduced.
However, after TSCs underwent non-tenogenic differentiation by pre-treatment in
non-tenogenic media for two days, PRCR only slightly reduced adipogenesis and
osteogenesis of TSCs, although chondrogenesis was markedly suppressed. Finally,
PRCR treatment after pre-treatment of TSCs in non-tenogenic media for one week
had little effect on any of the three nontenogenic differentiations of TSCs.
These findings suggest that the injection of PRP in clinics may not be able to
effectively reverse the degenerative conditions of late-stage tendinopathy, which
are characterized by lipid depositions, proteoglycan accumulation, and
calcification, either alone or in combination.
PMID- 24932442
TI - Impact of local anaesthetics and needle calibres used for painless PRP injections
on platelet functionality.
AB - The platelet-rich plasma (PRP) is an autologous biotherapy commonly used for its
healing properties. Once activated, platelets released a real "cocktail" of
growth factor and cytokines implied in numerous regenerative processes. However
the impact of medical practices associated to PRP therapeutic use on platelets
functionality remains poorly known. OBJECTIVES: we evaluated the in vitro effects
of two commonly used local anesthetics (Xylocaine(*) and Naropin(*)) on PRP
functionality. We also investigated the quantity and quality of PRP that passed
through the smallest gauge needle commercialized. MATERIALS AND METHODS: PRP from
9 healthy volunteers were prepared using our previously described home made
purification protocol. Platelet aggregation capacity was evaluated by
aggregometry assays and the growth factor release was determined by ELISA after
platelet activation. We also evaluated the platelet activation status, reactivity
and stability of platelets by flow cytometry using the P-selectin expression
marker. RESULTS: the association of local anaesthetics with PRP injections
resulted in a significant decrease of platelets functionality, assessed by their
capacity of aggregating. Local anaesthetics did not interfere with the growth
factor release. The different needle sizes and calibres tested for PRP injections
did not influence the platelet functionality. CONCLUSIONS: the use of local
anaesthetics to prevent pain during PRP injections could compromise the
therapeutic potential of PRP. These results suggest using carefully local
anaesthetics or limiting their use as often is possible. To minimize injection
pain, we recommend using 30 G needles. These data will lead to clinical
recommendations for painless and controlled PRP injections.
PMID- 24932443
TI - Vascular Endothelial Growth Factor-111 (VEGF-111) and tendon healing: preliminary
results in a rat model of tendon injury.
AB - Tendon lesions are among the most frequent musculoskeletal pathologies. Vascular
endothelial growth factor (VEGF) is known to regulate angiogenesis. VEGF-111, a
biologically active and proteolysis-resistant splice variant of this family, was
recently identified. This study aimed at evaluating whether VEGF-111 could have a
therapeutic interest in tendon pathologies. Surgical section of one Achilles
tendon of rats was performed before a local injection of either saline or VEGF
111. After 5, 15 and 30 days, the Achilles tendons of 10 rats of both groups were
sampled and submitted to a biomechanical tensile test. The force necessary to
induce tendon rupture was greater for tendons of the VEGF-111 group (p<0.05)
while the section areas of the tendons were similar. The mechanical stress was
similar at 5 and 15 days in the both groups but was improved for the VEGF-111
group at day 30 (p <0.001). No difference was observed in the mRNA expression of
collagen III, tenomodulin and MMP-9. In conclusion, we observed that a local
injection of VEGF-111 improves the early phases of the healing process of rat
tendons after a surgical section. Further confirmatory experimentations are
needed to consolidate our results.
PMID- 24932444
TI - Sonographic findings during and after Platelet Rich Plasma injections in tendons.
AB - Platelet rich plasma has been used in the treatment of tendinopathies, but the
sonographic modifications of tendons have received less attention. In this paper
we report the results of an ultrasound evaluation, performed during and after
plasma injection, in patients with tendinopathy. The sonographic abnormalities
and neovascularization were registered in twenty tendons. Three plasma injections
(once a week) were performed, and a rehabilitation program was recommended. Pain
and patients' satisfaction were evaluated. During the injections plasma spread
along the collagen fibers, and an intratendineous cleft produced by the injected
volume was observed. At 12 months two tendons regained a normal echotexture,
while neovessels were absent in seven. The remaining tendons showed less
abnormalities and neovascularization in comparison with baseline. The clinical
improvement was earlier and more consistent. The discrepancy between the
ultrasound and clinical results may be explained by the peculiar modalities of
tendon healing induced by plasma administration.
PMID- 24932445
TI - Can PRP effectively treat injured tendons?
AB - PRP is widely used to treat tendon and other tissue injuries in orthopaedics and
sports medicine; however, the efficacy of PRP treatment on injured tendons is
highly controversial. In this commentary, I reason that there are many PRP- and
patient-related factors that influence the outcomes of PRP treatment on injured
tendons. Therefore, more basic science studies are needed to understand the
mechanism of PRP on injured tendons. Finally, I suggest that better understanding
of the PRP action mechanism will lead to better use of PRP for the effective
treatment of tendon injuries in clinics.
PMID- 24932446
TI - Learning about PRP using cell-based models.
AB - Studies using in vitro cell models enable evaluation of the effects of different
PRP products under very controlled and standardized conditions. Therefore the
results of such studies build the basis for understanding the variable results of
clinical studies on the use of PRPs. The main lessons learned through the use of
in vitro cell models are that many different PRP products exist and researchers
have to report on component variation within each product. These different
products may have distinctive effects on the various cells treated in
musculoskeletal injuries; therefore, some products might be more beneficial in
certain indication than others. In its utilization in cell models, PRP may
generate a variety of positive effects on cell proliferation, recovery, and
inflammatory response. There might also be a benefit to adding PRP to current
pharmacological therapies (e.g. corticosteroids) to prevent their commonly known
negative effects on e.g. tendon and cartilage tissue.
PMID- 24932447
TI - Mesenchymal stem cell response to growth factor treatment and low oxygen tension
in 3-dimensional construct environment.
AB - INTRODUCTION: cell-based tissue engineering strategies using human mesenchymal
stem cells (hMSCs) may help to augment tendon healing. To further investigate the
in-vitro behavior of this cell population, we investigated low oxygen culture
levels, and growth factor supplementation and their effect on expression of
tendon extracellular proteins and cell proliferation. MATERIALS AND METHODS: bone
marrow aspirate (BMA) was harvested during arthroscopic rotator cuff repair.
Characterized hMSCs derived from BMA were incorporated into 3-dimensional tissue
engineered constructs (TECs). TECs were analyzed by frozen sections with
immunohistochemistry for cell density, collagen I and collagen III expression.
RESULTS: growth factor stimulation and low oxygen increased cell density within
TECs. Low oxygen and addition of growth factors to culture media demonstrated an
increase in collagen I and III expression, both in ambient oxygen conditions and
low oxygen conditions. CONCLUSION: low oxygen and TGFbeta3 demonstrated a
positive effect on cell number, and type I and III collagen expression in 3D
culture environments.
PMID- 24932449
TI - Could single nucleotide polymorphisms influence on the efficacy of platelet-rich
plasma in the treatment of sport injuries?
AB - Platelet-rich plasma (PRP) is a new powerful biological tool in sports medicine,
when used to treat tendon, ligament and muscle injuries. PRP is a fraction of
autologous whole blood containing an increased number of platelets and a wide
variety of cytokines that can improve and accelerate the healing of various
tissues. An analysis of the literature shows promising pre-clinical results for
PRP treatment, but there is a lack of solid clinical proof to support its use in
sports medicine, and in fact, clinical findings on individual responses to PRP
treatment are contradictory. These contradictions may be due to interindividual
differences in the presence of single nucleotide polymorphisms (SNPs) in genes
related to PRPs and/or their receptors. These SNPs can determine a greater or
lesser response to this treatment and consequently a shorter or longer recovery
time. We have focused our attention in the study of genes related to PRP with the
aim to develope a genetic profile that will identify the individuals and injuries
most likely to benefit from PRP treatment.
PMID- 24932448
TI - Partnership between platelet-rich plasma and mesenchymal stem cells: in vitro
experience.
AB - We aim to identify current in vitro research exploring platelet-rich plasma (PRP)
effects in human Mesenchymal Stem Cells (MSCs) that may encourage or limit the
clinical application of MSCs along with PRP. After a systematic search, we
identified 57 in vitro studies, focused on optimization of MSC manufacturing, and
expanding knowledge about how PRP modifies MSCs behavior for translational
purposes. Influences of PRP on proliferation, migration, stemness, preservation
of MSC immune-modulatory properties and appearance of senescence phenotype have
been explored. Overall PRP stimulates MSC proliferation, preserves MSCs
multipotency and does not interfere with any lineage differentiation. PRP (as
platelet lysate or releasate) preserves the immune-privileged potential of MSCs
and may delay the appearance of the senescent phenotype. Currently there are few
data linking precise molecules and biological mechanisms. Various gaps of
knowledge need to be addressed in order to obtain enough useful information for
translational purposes.
PMID- 24932450
TI - Tendon structure, disease, and imaging.
AB - Tendon imaging plays a critical role in evaluating tendon diseases and injuries
including mechanical, degenerative, and overuse disease, inflammatory enthesitis,
as well as partial and full thickness tears. Ultrasound and magnetic resonance
imaging (MRI), each with unique benefits and limitations, are commonly utilized
to assist in diagnosing these diseases and conditions. This review delineates
important structural properties of tendon and biochemical changes occurring in
tendon pathology. This review also examines commonly injured tendons including
tendons of the elbow, tendons of the rotator cuff of the shoulder, hip abductor
tendons, patellar tendons, and the Achilles tendon to help clinicians better
recognize tendon disease. Finally, this paper introduces several emerging imaging
techniques including T2 mapping, ultra-short echo time MRI, and sonoelastography
as ways in which tendon imaging and evaluation may be improved.
PMID- 24932451
TI - Frequency of De Quervain's tenosynovitis and its association with SMS texting.
AB - OBJECTIVE: to assess the frequency of De Quervain's tenosynovitis and its
association with SMS texting. METHOD: a cross-sectional survey was conducted
among undergraduate students studying in different physical therapy schools of
Karachi belonging to both public and private sectors. Sample size was 300
students which were selected through convenience sampling. Data was collected
through self-administered questionnaire and severity of the pain was assessed
through Universal Pain Assessment Tool and De Quervain's tenosynovitis was
diagnosed through Finkelstein test. Data entry and analysis were done using
computer software SPSS version 20. Frequency and percentages were taken for
categorical variable. Chi-square was applied to determine association between
different variables and Finkelstein test. P value < 0.05 was considered
significant. RESULTS: male/female ratio was 1:4. Regarding the use of cell
phones, majority 165 (55%) were using regular cell phones, another 89 (30%) were
using touch screen and 38 (13%) were using QWERTY key pads. Almost half of the
students were frequently using cell phones for texting, out of them 132 (44%)
texted less than 50 messages per day. Another 96 (32%) did texting between 50-100
texts. Out of 300 students who filled the questionnaire 125 (42%) students were
experiencing pain in the thumb/wrist. Finkelstein test when done on students
almost half (n=149) showed positive results. It was noted that as frequency of
mobile phone usage increased progressively more and more people showed positive
Finkelstein Test (p value 0.000). CONCLUSION: the result of the study concluded
that almost half of the students use their mobile phones for texting more than 50
S.M.S per day and because of their mobile key pads and high speed of texting they
experienced pain and weakness over the base of the thumb/wrist which shows the De
Quervain's positive in that students and there is a positive association between
the thumb pain and frequent text messaging.
PMID- 24932452
TI - In vitro evaluation of the anti-bacterial effect of two preparations of platelet
rich plasma compared with cefazolin and whole blood.
AB - PURPOSE: This study investigates the antibacterial properties of two different
platelet-rich plasma (PRP) platelet concentration preparations (PRPLP and PRPHP)
through a time-kill assay. METHODS: Two different PRP preparations - a single
spin process yielding lower white blood cells and platelet concentration (PRPLP)
and one yielding high platelet and white blood cell concentration (PRPHP) - were
obtained from 2 individuals. PRPLP, PRPHP, phosphate buffered saline (PBS), whole
blood and Cefazolin were added to experimental reaction tubes, each containing a
single bacterial inoculum of Staphylococcus aureus (S. aureus), Staphylococcus
epidermidis (S. epi), methicillin-resistant Staphylococcus aureus (MRSA) or
Propionibacterium acnes (P. acnes). Two dilutions (1:1,000, and 1:10,000) were
plated in duplicate tubes, along with positive (blood and PBS) and negative
(Cefazolin) controls and assessed at five time points (0, 1, 4, 8 and 24 hours).
RESULTS: After centrifugation, platelet count of PRPLP was 386 +/- 65.5 * 103/?L
and PRPHP was 867 +/- 234.4 * 103/?L. Both PRP products showed a significant
decrease (p<0.05) in bacterial growth at 8 hours compared to whole blood.
CONCLUSION: The application of PRPLP and PRPHP showed a significant decrease in
bacterial growth after 8 hours for S. aureus, S. epi, MRSA and P. acnes compared
to the whole blood control group. S.epi, MRSA, and P. acnes also showed a
significant decrease in bacterial growth after 24 hours. Despite differences in
platelet concentration and WBC concentration, no difference in antibacterial
activity was seen between the two preparations.
PMID- 24932453
TI - Description of a standardized rehabilitation program based on sub-maximal
eccentric following a platelet-rich plasma infiltration for jumper's knee.
AB - INTRODUCTION: Different series emphasized the necessity of rehabilitation program
after infiltration of platelet-rich plasma (PRP) in case of tendinopathy.
However, most of them describe only briefly the reeducation protocol and these
programs vary. Our aim was to extensively describe a specific standardized
rehabilitation program. METHODS: After a review of literature of post-PRP
infiltration protocols, we had developed a standardized rehabilitation protocol.
This protocol was evaluated by 30 subjects with chronic jumper's knee who. A
standardised progressive sub-maximal eccentric program supervised by a physical
therapist for 6 weeks was started 1 week post-infiltration. The patient benefited
also from electromyostimulation, isometric strengthening and stretching of the
quadriceps, cycloergometer and cryotherapy. After the supervised program, the
patient had to make an auto-reeducation added to the reathletisation protocol for
6 more weeks which was followed by maintenance exercises up to 1 year. The
assessments were made using a VAS, IKDC and VISA-P scores. RESULTS: The VAS, IKDC
and VISA-P scores decreased very significantly with time. The compliance to auto
reeducation was good. CONCLUSIONS: We proposed a simple and efficient protocol
based on sub-maximal eccentric reeducation to add to PRP infiltrations in case of
patellar tendinopathy.
PMID- 24932454
TI - The use of odds ratio in the large population-based studies: Warning to readers.
AB - When researchers conduct large prospective studies, they provide results
generating statistical analysis; therefore readers need considerable familiarity
with descriptive and inferential statistics. If quantitative judgments are based
on interpreting odds ratios as though they were relative risks, they are unlikely
to be seriously in error. Because of the calculating method, the OR is often less
precise than the RR in estimating the strength of an association, and this should
definitely be kept in mind by anyone who reads and interprets the results of a
large population based-study.
PMID- 24932455
TI - Meniscal sutures with outside-in technique: our experience with a less expensive
method.
PMID- 24932456
TI - No difference at two years between all inside transtibial technique and
traditional transtibial technique in anterior cruciate ligament reconstruction.
AB - BACKGROUND: one of the most recent technique is the "all inside" anterior
cruciate ligament (ACL) reconstruction. One of the main characteristic of this
procedure is the sparing of the tibial cortex. Furthermore, the all-inside
technique requires only one tendon harvested. PURPOSE: the present study
describes two year clinical outcomes of the all-inside method for ACL
reconstruction, and compares them with clinical results of a group of patients
treated with the traditional transtibial single-bundle ACL reconstruction
technique using the semitendinosus and gracilis tendons (ST-G). STUDY DESIGN:
pilot study, using historical controls. METHODS: ACL reconstruction was performed
on two groups of 20 patients each. The patients in one group underwent the all
inside transtibial technique with ST tendon alone. The second group underwent ACL
reconstruction with the traditional transtibial single-bundle procedure using
quadrupled ST-G tendons. Follow up at 24 months was undertaken using the IKDC,
VAS pain score, Lysholm and Tegner scales. RESULTS: the VAS pain score for the
traditional ACL group was 84.6 +/- 12.6; whereas the score for the all-inside
group was 81.6 +/- 13.1, with no statistically significant differences between
the two groups. In the traditional ACL reconstruction group the Lysholm scale
gave a "good results" for 7 patient (35%) and "excellent results" for 13 patients
(65%) and the all-inside group gave "sufficient results" for 4 patients (20%),
"good results" for 7 patients (35%) and "excellent results" for 9 patients (45%)
(n.s.). The median of Tegner score was 6.5 (2-10) for the standard method group
and 6 (1-9) for the all-inside group (n.s). The IKDC evaluated 50% of patients
from the standard technique group as class A, and 45% as class B and 5% as class
C. As regards patients of the all inside technique 55% were class A, 40% class B
and, here too, just 5% scored as class C. No patients were classed as group D in
each group. CONCLUSIONS: this study suggests that, in respect to return to sports
and adequate articular function, there are no differences between the all-inside
transtibial ACL reconstruction technique and the traditional transtibial ACL
reconstruction using ST-G. The role of all-inside transtibial ACL reconstruction
remains dubious. LEVEL OF EVIDENCE: III or Level C according with Oxford Center
of EBM.
PMID- 24932458
TI - Profile of metabolic abnormalities seen in patients with type 2 diabetes mellitus
and their first degree relatives with metabolic syndrome seen in Benin City, Edo
state Nigeria.
AB - BACKGROUND: To determine the profile of metabolic abnormalities in T2DM persons
with metabolic syndrome and their non-diabetic first-degree relatives who also
had metabolic syndrome in Benin City. METHODOLOGY: This was a cross sectional
case controlled study in which convenience sampling technique was used to recruit
106 persons with T2DM, 96 people who are first degree relatives of type 2
diabetic persons and 96 controls using a interviewer administered questionnaire
technique. The following were assessed: anthropometric indices, blood pressure,
serum lipid profile, fasting blood sugar, proteinuria, and microalbuminuria. The
data obtained were analyzed using the statistical software-Statistical package
for social sciences [SPSS] version 16. A p-value of less than 0.05 was taken as
statistically significant. RESULTS: THE MEAN AGE (SD) OF THE STUDY GROUPS WERE:
persons living with T2DM: 58.6 +/- 11.2 years, control: 57.69 +/- 60.8 years and
FDR: 57.4 +/- 10.6 years. No significant age and sex differences were observed in
these groups. There were more females (59.7%) than males (40.3%) with T2DM. The
prevalence of MS was 13.5%, 16.7%, and 87.1% in the control, FDR and T2DM
patients respectively. For the T2DM group of subjects, impaired fasting glycaemia
was the commonest metabolic abnormality followed by microalbuminuria, low HDL
cholesterol, high LDL cholesterol, hypercholesterolaemia and
hypertriglyceridaemia in decreasing frequency. For the FDR group, low HDL
cholesterol was the commonest metabolic abnormality followed by
hypertriglyceridaemia, impaired fasting glucose, high LDL cholesterol,
hypertriglyceridaemia and microalbuminuria in decreasing frequency.
Hypercholesterolemia and low HDL cholesterol were the commonest metabolic
abnormalities in the control group. CONCLUSION: The prevalence of the MS in
persons with T2DM in Nigeria appears to be high. Secondly, there is a high
prevalence of lipid abnormalities in all the study groups.
PMID- 24932457
TI - Mitochondrial dysfunction in obesity: potential benefit and mechanism of Co
enzyme Q10 supplementation in metabolic syndrome.
AB - Co-enzyme Q10 (Co-Q10) is an essential component of the mitochondrial electron
transport chain. Most cells are sensitive to co-enzyme Q10 (Co-Q10) deficiency.
This deficiency has been implicated in several clinical disorders such as heart
failure, hypertension, Parkinson's disease and obesity. The lipid lowering drug
statin inhibits conversion of HMG-CoA to mevalonate and lowers plasma Co-Q10
concentrations. However, supplementation with Co-Q10 improves the
pathophysiological condition of statin therapy. Recent evidence suggests that Co
Q10 supplementation may be useful for the treatment of obesity, oxidative stress
and the inflammatory process in metabolic syndrome. The anti-inflammatory
response and lipid metabolizing effect of Co-Q10 is probably mediated by
transcriptional regulation of inflammation and lipid metabolism. This paper
reviews the evidence showing beneficial role of Co-Q10 supplementation and its
potential mechanism of action on contributing factors of metabolic and
cardiovascular complications.
PMID- 24932459
TI - A novel ultrathin collagen nanolayer assembly for 3-D microtissue engineering:
Layer-by-layer collagen deposition for long-term stable microfluidic hepatocyte
culture.
AB - The creation of stable hepatocyte cultures using cell-matrix interactions has
proven difficult in microdevices due to dimensional constraints limiting the
utility of classic tissue culture techniques that involve the use of hydrogels
such as the collagen "double gel" or "overlay". To translate the collagen overlay
technique into microdevices, we modified collagen using succinylation and
methylation reactions to create polyanionic and polycationic collagen solutions,
and deposited them layer-by-layer to create ultrathin collagen nanolayers on
hepatocytes. These ultrathin collagen layers covered hepatocytes in microdevices
and 1) maintained cell morphology, viability, and polarity, 2) induced bile
canalicular formation and actin reorganization, and 3) maintained albumin and
urea secretions and CYP activity similar to those observed in hepatocytes in
collagen double gel hepatocytes in plate cultures. Beyond the immediate
applications of this technique to create stable, in vitro microfluidic hepatocyte
cultures for drug toxicity testing, this technique is generally applicable as a
thin biomaterial for other 3D microtissues.
PMID- 24932460
TI - Regulation of Adherens Junctions in Trabecular Meshwork Cells by Rac GTPase and
their influence on Intraocular Pressure.
AB - Intercellular adherens junctions and cell-extracellular matrix interactions are
presumed to influence aqueous humor (AH) drainage via the conventional route,
however, their direct role in modulation of intraocular pressure (IOP) is not
well understood. Here, we investigated the role of Rac GTPase signaling in basal
and growth factor-induced formation of adherens junctions in human trabecular
meshwork (HTM) cells as compared to human umbilical vascular endothelial cells,
and evaluated the effects of inhibition of Rac GTPase activity on IOP in rabbits.
Expression of a constitutively active Rac1 GTPase or treatment with platelet
derived growth factor (PDGF), a known activator of Rac GTPase, induced formation
of beta-catenin-based adherens junctions, actin cytoskeletal reorganization and
membrane ruffle in HTM cells. In contrast, treatment of HTM cells with inhibitors
of Rac GTPase caused cell-cell separation, a decrease in adherens junctions, and
reorganization of actin stress fibers to the cell cortical regions and focal
adhesion to the cell leading edges. Both, constitutively active Rac1 and PDGF
stimulated generation of Reactive Oxygen Species (ROS) in HTM cells, and ROS were
found to increase adherens junction formation and transendothelial electrical
resistance (TEER) in HTM cells. Topical application of Rac GTPase inhibitors
(EHT1864 and NSC23766), however, only marginally influenced IOP in rabbit eyes.
Taken together, these data reveal that while Rac GTPase signaling plays a
significant role in regulation of adherens junctions, ROS production and TEER in
cells of the AH outflow pathway, Rac inhibitors showed only a marginal influence
on IOP in live rabbits.
PMID- 24932462
TI - Infrared spectral histopathology for cancer diagnosis: a novel approach for
automated pattern recognition of colon adenocarcinoma.
AB - Histopathology remains the gold standard method for colon cancer diagnosis. Novel
complementary approaches for molecular level diagnosis of the disease are need of
the hour. Infrared (IR) imaging could be a promising candidate method as it
probes the intrinsic chemical bonds present in a tissue, and provides a "spectral
fingerprint" of the biochemical composition. To this end, IR spectral
histopathology, which combines IR imaging and data processing techniques, was
employed on seventy seven paraffinized colon tissue samples (48 tumoral and 29
non-tumoral) in the form of tissue arrays. To avoid chemical deparaffinization, a
digital neutralization of the spectral interference of paraffin was implemented.
Clustering analysis was used to partition the spectra and construct pseudo
colored images, for assigning spectral clusters to various tissue structures
(normal epithelium, malignant epithelium, connective tissue etc.). Based on the
clustering results, linear discriminant analysis was then used to construct a
stringent prediction model which was applied on samples without a priori
histopathological information. The predicted spectral images not only revealed
common features representative of the colonic tissue biochemical make-up, but
also highlighted additional features like tumor budding and tumor-stroma
association in a label-free manner. This novel approach of IR spectral imaging on
paraffinized tissues showed 100% sensitivity and allowed detection and
differentiation of normal and malignant colonic features based purely on their
intrinsic biochemical features. This non-destructive methodology combined with
multivariate statistical image analysis appears as a promising tool for colon
cancer diagnosis and opens up the way to the concept of numerical spectral
histopathology.
PMID- 24932461
TI - Androgen Deprivation Therapy and the Re-emergence of Parenteral Estrogen in
Prostate Cancer.
AB - Androgen deprivation therapy (ADT) resulting in testosterone suppression is
central to the management of prostate cancer (PC). As PC incidence increases, ADT
is more frequently prescribed, and for longer periods of time as survival
improves. Initial approaches to ADT included orchiectomy or oral estrogen
(diethylstilbestrol [DES]). DES reduces PC-specific mortality, but causes
substantial cardiovascular (CV) toxicity. Currently, luteinizing hormone
releasing hormone agonists (LHRHa) are mainly used; they produce low levels of
both testosterone and estrogen (as estrogen in men results from the aromatization
of testosterone), and many toxicities including osteoporosis, fractures, hot
flashes, erectile dysfunction, muscle weakness, increased risk for diabetes,
changes in body composition, and CV toxicity. An alternative approach is
parenteral estrogen, it suppresses testosterone, appears to mitigate the CV
complications of oral estrogen by avoiding first-pass hepatic metabolism, and
avoids complications caused by estrogen deprivation. Recent research on the
toxicity of ADT and the rationale for revisiting parenteral estrogen is
discussed.
PMID- 24932463
TI - Chlamydial infections of fish: diverse pathogens and emerging causes of disease
in aquaculture species.
AB - Chlamydial infections of fish are emerging as an important cause of disease in
new and established aquaculture industries. To date, epitheliocystis, a skin and
gill disease associated with infection by these obligate intracellular pathogens,
has been described in over 90 fish species, including hosts from marine and fresh
water environments. Aided by advances in molecular detection and typing, recent
years have seen an explosion in the description of these epitheliocystis-related
chlamydial pathogens of fish, significantly broadening our knowledge of the
genetic diversity of the order Chlamydiales. Remarkably, in most cases, it seems
that each new piscine host studied has revealed the presence of a
phylogenetically unique and novel chlamydial pathogen, providing researchers with
a fascinating opportunity to understand the origin, evolution and adaptation of
their traditional terrestrial chlamydial relatives. Despite the advances in this
area, much still needs to be learnt about the epidemiology of chlamydial
infections in fish if these pathogens are to be controlled in farmed
environments. The lack of in vitro methods for culturing of chlamydial pathogens
of fish is a major hindrance to this field. This review provides an update on our
current knowledge of the taxonomy and diversity of chlamydial pathogens of fish,
discusses the impact of these infections on the health, and highlights further
areas of research required to understand the biology and epidemiology of this
important emerging group of fish pathogens of aquaculture species.
PMID- 24932464
TI - Ian Douglas Ronayne.
PMID- 24932465
TI - Do implants really help? A look at how implants enhance the quality of life for
our patients.
PMID- 24932466
TI - Retraction. Differences between bispectral index and spectral entropy during
xenon anaesthesia: a comparison with propofol anaesthesia.
PMID- 24932468
TI - Retraction notice to: Nuclear receptor function requires a TFTC-type histone
acetyl transferase complex.
PMID- 24932467
TI - Competition-interaction landscapes for the joint response of forests to climate
change.
AB - The recent global increase in forest mortality episodes could not have been
predicted from current vegetation models that are calibrated to regional climate
data. Physiological studies show that mortality results from interactions between
climate and competition at the individual scale. Models of forest response to
climate do not include interactions because they are hard to estimate and require
long-term observations on individual trees obtained at frequent (annual)
intervals. Interactions involve multiple tree responses that can only be
quantified if these responses are estimated as a joint distribution. A new
approach provides estimates of climate-competition interactions in two critical
ways, (i) among individuals, as a joint distribution of responses to combinations
of inputs, such as resources and climate, and (ii) within individuals, due to
allocation requirements that control outputs, such as demographic rates.
Application to 20 years of data from climate and competition gradients shows that
interactions control forest responses, and their omission from models leads to
inaccurate predictions. Species most vulnerable to increasing aridity are not
those that show the largest growth response to precipitation, but rather depend
on interactions with the local resource environment. This first assessment of
regional species vulnerability that is based on the scale at which climate
operates, individual trees competing for carbon and water, supports predictions
of potential savannification in the southeastern US.
PMID- 24932469
TI - Retraction notice to: Maturation of microRNA is hormonally regulated by a nuclear
receptor.
PMID- 24932470
TI - Retraction notice to: RecA-promoted, RecFOR-independent progressive disassembly
of replisomes stalled by helicase inactivation.
PMID- 24932471
TI - Charles Frank Farthing.
PMID- 24932472
TI - Associations between anxiety, depression, antidepressant medication, obesity and
weight gain among Canadian women.
AB - PURPOSE: Some mental illnesses have been suggested to be associated with obesity,
although results are somewhat inconsistent and research has focused mainly on
depression. METHODS: Associations between anxiety, depression, medications for
these illnesses, and obesity were investigated cross-sectionally among women aged
25-74 (n = 3004) who participated as population controls in a cancer case-control
study. Participants self-reported information on anxiety, depression, height,
current weight and weight at age 25. RESULTS: No association was observed between
either anxiety or depression and either current overweight or obesity status.
However, depressed women taking antidepressants were more likely to be obese [OR
= 1.71 (95%CI = 1.16-2.52) daily antidepressant use; OR = 1.89 (95% CI = 1.21
2.96) ever tricyclic antidepressant use]. In the full study sample consistent
positive associations between anxiety, depression and obesity among women with a
history of antidepressant use, and generally negative associations among women
without, were suggested. Finally, weight gain was associated with history of
anxiety [5-19 kg OR = 1.29 (95% CI = 1.06-1.57); >= 20 kg OR = 1.43 (95% CI =
1.08-1.88)] and depression [>= 20 kg OR = 1.28 (95% CI = 0.99-1.65)].
CONCLUSIONS: These results suggest depression and anxiety may be associated with
weight gain and antidepressant use may be associated with obesity.
PMID- 24932473
TI - Depletion of the aryl hydrocarbon receptor in MDA-MB-231 human breast cancer
cells altered the expression of genes in key regulatory pathways of cancer.
AB - The aryl hydrocarbon receptor (AhR), a transcription factor that is best known
for its role in mediating the toxic responses elicited by poly aromatic
hydrocarbons as well as many other environmental factors; is also involved in
breast cancer progression. We previously reported that stable knockdown of AhR
decreased the tumorigenic properties of the highly metastatic MDA-MB-231 breast
cancer cell line; whereas ectopic overexpression of AhR was sufficient to
transform immortalized human mammary epithelial cells to exhibit malignant
phenotypes. In the present study we investigated the genes that are
differentially regulated by AhR and are controlling cellular processes linked to
breast cancer. We used Affymetrix Human GeneChip 1.0-ST whole transcriptome
arrays to analyze alterations of gene expression resulting from stable AhR
knockdown in the MDA-MB-231 breast cancer cell line. The expression of 144 genes
was significantly altered with a >=2.0-fold change and a multiple test corrected
p-value <=0.05, as a result of AhR knockdown. We demonstrate that AhR knockdown
alters the expression of several genes known to be linked to cancer. These genes
include those involved in tryptophan metabolism (KYNU), cell growth (MUC1 and
IL8), cell survival (BIRC3 and BCL3), cell migration and invasion (S100A4 and
ABI3), multi-drug resistance (ABCC3) and angiogenesis (VEGFA and CCL2). The
identification of the genes and pathways affected by AhR depletion provides new
insight into possible molecular events that could explain the reported phenotypic
changes. In conclusion AhR knockdown alters the expression of genes known to
enhance or inhibit cancer progression; tipping the balance towards a state that
counteracts tumor progression.
PMID- 24932475
TI - Anti-biofilm activity: a function of Klebsiella pneumoniae capsular
polysaccharide.
AB - Competition and cooperation phenomena occur within highly interactive biofilm
communities and several non-biocides molecules produced by microorganisms have
been described as impairing biofilm formation. In this study, we investigated the
anti-biofilm capacities of an ubiquitous and biofilm producing bacterium,
Klebsiella pneumoniae. Cell-free supernatant from K. pneumoniae planktonic
cultures showed anti-biofilm effects on most Gram positive bacteria tested but
also encompassed some Gram negative bacilli. The anti-biofilm non-bactericidal
activity was further investigated on Staphylococcus epidermidis, by determining
the biofilm biomass, microscopic observations and agglutination measurement
through a magnetic bead-mediated agglutination test. Cell-free extracts from K.
pneumoniae biofilm (supernatant and acellular matrix) also showed an influence,
although to a lesser extend. Chemical analyses indicated that the active molecule
was a high molecular weight polysaccharide composed of five monosaccharides:
galactose, glucose, rhamnose, glucuronic acid and glucosamine and the main
following sugar linkage residues [-> 2)-alpha-L-Rhap-(1 ->]; [-> 4)-alpha-L-Rhap
(1 ->]; [alpha-D-Galp-(1 ->]; [-> 2,3)-alpha-D-Galp-(1 ->]; [-> 3)-beta-D-Galp-(1
->] and, [-> 4)-beta-D-GlcAp-(1 ->]. Characterization of this molecule indicated
that this component was more likely capsular polysaccharide (CPS) and precoating
of abiotic surfaces with CPS extracts from different serotypes impaired the
bacteria-surface interactions. Thus the CPS of Klebsiella would exhibit a
pleiotropic activity during biofilm formation, both stimulating the initial
adhesion and maturation steps as previously described, but also repelling
potential competitors.
PMID- 24932476
TI - Rate and predictors of mucosal healing in patients with inflammatory bowel
disease treated with anti-TNF-alpha antibodies.
AB - OBJECTIVE: Mucosal healing (MH) is an important treatment goal in patients with
inflammatory bowel disease (IBD), but factors predicting MH under medical therapy
are largely unknown. In this study, we aimed to characterize predictive factors
for MH in anti-TNF-alpha antibody-treated IBD patients. METHODS: We
retrospectively analyzed 248 IBD patients (61.3% CD, 38.7% UC) treated with anti
TNF-alpha antibodies (infliximab and/or adalimumab) for MH, defined as
macroscopic absence of inflammatory lesions (Mayo endoscopy score 0 or SES-CD
score 0) in colonoscopies which were analyzed before and after initiation of an
anti-TNF-alpha antibody treatment. RESULTS: In patients treated with only one
anti-TNF-alpha antibody ("TNF1 group", n = 202), 56 patients (27.7%) achieved
complete MH at follow-up colonoscopy (median overall follow-up time: 63 months).
In a second cohort (n = 46), which comprised patients who were consecutively
treated with two anti-TNF-alpha antibodies ("TNF2 group"), 13 patients (28.3%)
achieved complete MH (median overall follow-up time: 64.5 months). Compared to
patients without MH, CRP values at follow-up colonoscopy were significantly lower
in patients with MH (TNF1 group: p = 8.35*10-5; TNF2 group: p = 0.002).
Multivariate analyses confirmed CRP at follow-up colonoscopy as predictor for MH
in the TNF1 group (p = 0.012). Overall need for surgery was lower in patients
with MH (TNF1 group: p = 0.01; TNF2 group: p = 0.03). CONCLUSIONS: We identified
low serum CRP level at follow-up colonoscopy as predictor for MH, while MH was an
excellent negative predictor for the need for surgery.
PMID- 24932478
TI - Effect of dehydroepiandrosterone administration in patients with poor ovarian
response according to the Bologna criteria.
AB - BACKGROUND: Dehydroepiandrosterone (DHEA) is now widely used as an adjuvant to
IVF treatment protocols in poor responders. However, clinical evidence for DHEA
on improvement of ovarian response and IVF outcome is still limited, the validity
of the results of the earlier studies, especially the varied inclusion criteria,
is a subject of debate. Recently, the ESHRE Working Group developed a new
definition, the Bologna criteria. The aim of the current study was to investigate
the potential effect of DHEA treatment on in vitro fertilization (IVF) outcome of
poor ovarian responders that fulfill the Bologna criteria. METHODS: This study
investigated 386 poor ovarian responders that fulfill the Bologna criteria.
Patients underwent IVF-ET treatment with the GnRH antagonist protocol. The study
group contained 189 patients, who received 75 mg of DHEA daily (25 mg three times
daily) before the IVF cycle. The control group was composed of 197 patients who
received infertility treatment, but did not receive DHEA. The IVF outcome
parameters in each group were compared. RESULTS: The study and control groups did
not show statistically significant differences in terms of patient demographics
characteristics, mean numbers of oocytes retrieved, mature oocytes, fertilization
rate, cleavage rate, or embryo availability. While the DHEA group demonstrated
significantly higher implantation rates (18.7% vs. 10.1%; P<0.01) and ongoing PRs
(26.7% vs. 15.8%; P<0.05) as compared with the control. CONCLUSIONS: DHEA pre
treatment does not significantly increase oocyte yield. However, the ongoing PRs
in this subgroup of women are significantly higher after DHEA administration,
suggesting that DHEA may increase IVF results by improving oocyte and embryo
quality.
PMID- 24932477
TI - Upper girdle imaging in facioscapulohumeral muscular dystrophy.
AB - BACKGROUND: In Facioscapulohumeral muscular dystrophy (FSHD), the upper girdle is
early involved and often difficult to assess only relying on physical
examination. Our aim was to evaluate the pattern and degree of involvement of
upper girdle muscles in FSHD compared with other muscle diseases with scapular
girdle impairment. METHODS: We propose an MRI protocol evaluating neck and upper
girdle muscles. One hundred-eight consecutive symptomatic FSHD patients and 45
patients affected by muscular dystrophies and myopathies with prominent upper
girdle involvement underwent this protocol. Acquired scans were retrospectively
analyzed. RESULTS: The trapezius (100% of the patients) and serratus anterior
(85% of the patients) were the most and earliest affected muscles in FSHD,
followed by the latissimus dorsi and pectoralis major, whilst spinati and
subscapularis (involved in less than 4% of the patients) were consistently spared
even in late disease stages. Asymmetry and hyperintensities on short-tau
inversion recovery (STIR) sequences were common features, and STIR
hyperintensities could also be found in muscles not showing signs of fatty
replacement. The overall involvement appears to be disease-specific in FSHD as it
significantly differed from that encountered in the other myopathies.
CONCLUSIONS: The detailed knowledge of single muscle involvement provides useful
information for correctly evaluating patients' motor function and to set a
baseline for natural history studies. Upper girdle imaging can also be used as an
additional tool helpful in supporting the diagnosis of FSHD in unclear
situations, and may contribute with hints on the currently largely unknown
molecular pathogenesis of this disease.
PMID- 24932479
TI - Understanding cultivar-specificity and soil determinants of the cannabis
microbiome.
AB - Understanding microbial partnerships with the medicinally and economically
important crop Cannabis has the potential to affect agricultural practice by
improving plant fitness and production yield. Furthermore, Cannabis presents an
interesting model to explore plant-microbiome interactions as it produces
numerous secondary metabolic compounds. Here we present the first description of
the endorhiza-, rhizosphere-, and bulk soil-associated microbiome of five
distinct Cannabis cultivars. Bacterial communities of the endorhiza showed
significant cultivar-specificity. When controlling cultivar and soil type the
microbial community structure was significantly different between plant
cultivars, soil types, and between the endorhiza, rhizosphere and soil. The
influence of soil type, plant cultivar and sample type differentiation on the
microbial community structure provides support for a previously published two
tier selection model, whereby community composition across sample types is
determined mainly by soil type, while community structure within endorhiza
samples is determined mainly by host cultivar.
PMID- 24932480
TI - Feasibility of rapid discharge after transoral robotic surgery of the oropharynx.
AB - OBJECTIVES/HYPOTHESIS: To investigate the use of an algorithm for rapid discharge
after transoral robotic surgery (TORS) and its effect on postoperative
complications. STUDY DESIGN: Retrospective cohort study. METHODS: A retrospective
analysis of TORS cases from September 2009 to February 2013 was conducted. The
effect of patient and tumor characteristics on postoperative length of stay (LOS)
and complications were analyzed. RESULTS: A total of 91 patients were included;
79 underwent TORS for malignancy and 12 for a benign process. The mean LOS was
1.51 days (range, 1-5 days) with a median of 1 day. The mean time to initiation
of oral diet was 1.26 days (range, 1-7 days) with a median of 1 day. Eleven (12%)
patients experienced one or more complications during their postoperative course.
Multivariate analysis demonstrated a significant association between patient and
procedure variables and postoperative complications. TORS base of tongue
reduction for obstructive sleep apnea (OSA) was associated with a significantly
greater mean incremental time to initiation of oral diet (1.0 days, 95%
confidence interval [CI]: 0.4 to 1.7, P < .001). A significantly greater mean
incremental LOS was observed for patients with advanced comorbidity and a delay
in initiation of oral diet beyond 24 hours. CONCLUSIONS: Rapid initiation of oral
diet and rapid discharge home is feasible and not associated with postoperative
complications. Similarly, the performance of a concurrent neck dissection does
not contribute to LOS or the development of postoperative complications. Patients
undergoing TORS for OSA are at greater risk of delay in initiation of oral diet
and increased LOS. LEVEL OF EVIDENCE: 4
PMID- 24932482
TI - Stochasticity in Ca2+ increase in spines enables robust and sensitive information
coding.
AB - A dendritic spine is a very small structure (~0.1 um3) of a neuron that processes
input timing information. Why are spines so small? Here, we provide functional
reasons; the size of spines is optimal for information coding. Spines code input
timing information by the probability of Ca2+ increases, which makes robust and
sensitive information coding possible. We created a stochastic simulation model
of input timing-dependent Ca2+ increases in a cerebellar Purkinje cell's spine.
Spines used probability coding of Ca2+ increases rather than amplitude coding for
input timing detection via stochastic facilitation by utilizing the small number
of molecules in a spine volume, where information per volume appeared optimal.
Probability coding of Ca2+ increases in a spine volume was more robust against
input fluctuation and more sensitive to input numbers than amplitude coding of
Ca2+ increases in a cell volume. Thus, stochasticity is a strategy by which
neurons robustly and sensitively code information.
PMID- 24932481
TI - miRNA-1236 inhibits HIV-1 infection of monocytes by repressing translation of
cellular factor VprBP.
AB - Primary monocytes are refractory to HIV-1 infection and become permissive upon
differentiation into monocyte-derived dendritic cells (MDDCs) or macrophages.
Multiple mechanisms have been proposed to interpret HIV-1 restriction in
monocytes. Human cellular miRNAs can modulate HIV-1 infection by targeting either
conserved regions of the HIV-1 genome or host gene transcripts. We have recently
reported that the translation of host protein pur-alpha is repressed by abundant
cellular miRNAs to inhibit HIV-1 infection in monocytes. Here, we report that the
transcript of another cellular factor, VprBP [Vpr (HIV-1)-binding protein], was
repressed by cellular miRNA-1236, which contributes to HIV-1 restriction in
monocytes. Transfection of miR-1236 inhibitors enhanced translation of VprBP in
monocytes and significantly promoted viral infection; exogenous input of
synthesized miR-1236 mimics into MDDCs suppressed translation of VprBP, and,
accordingly, significantly impaired viral infection. Our data emphasize the role
of miRNA in modulating differentiation-dependent susceptibility of the host cell
to HIV-1 infection. Understanding the modulation of HIV-1 infection by cellular
miRNAs may provide key small RNAs or the identification of new important protein
targets regulated by miRNAs for the development of antiviral strategies.
PMID- 24932483
TI - A re-evaluation of the size of the white shark (Carcharodon carcharias)
population off California, USA.
AB - White sharks are highly migratory and segregate by sex, age and size. Unlike
marine mammals, they neither surface to breathe nor frequent haul-out sites,
hindering generation of abundance data required to estimate population size. A
recent tag-recapture study used photographic identifications of white sharks at
two aggregation sites to estimate abundance in "central California" at 219 mature
and sub-adult individuals. They concluded this represented approximately one-half
of the total abundance of mature and sub-adult sharks in the entire eastern North
Pacific Ocean (ENP). This low estimate generated great concern within the
conservation community, prompting petitions for governmental endangered species
designations. We critically examine that study and find violations of model
assumptions that, when considered in total, lead to population underestimates. We
also use a Bayesian mixture model to demonstrate that the inclusion of transient
sharks, characteristic of white shark aggregation sites, would substantially
increase abundance estimates for the adults and sub-adults in the surveyed sub
population. Using a dataset obtained from the same sampling locations and widely
accepted demographic methodology, our analysis indicates a minimum all-life
stages population size of >2000 individuals in the California subpopulation is
required to account for the number and size range of individual sharks observed
at the two sampled sites. Even accounting for methodological and conceptual
biases, an extrapolation of these data to estimate the white shark population
size throughout the ENP is inappropriate. The true ENP white shark population
size is likely several-fold greater as both our study and the original published
estimate exclude non-aggregating sharks and those that independently aggregate at
other important ENP sites. Accurately estimating the central California and ENP
white shark population size requires methodologies that account for biases
introduced by sampling a limited number of sites and that account for all life
history stages across the species' range of habitats.
PMID- 24932484
TI - Nosocomial transmission of C. difficile in English hospitals from patients with
symptomatic infection.
AB - BACKGROUND: Recent evidence suggests that less than one-quarter of patients with
symptomatic nosocomial Clostridium difficile infections (CDI) are linked to other
in-patients. However, this evidence was limited to one geographic area. We aimed
to investigate the level of symptomatic CDI transmission in hospitals located
across England from 2008 to 2012. METHODS: A generalized additive mixed-effects
Poisson model was fitted to English hospital-surveillance data. After adjusting
for seasonal fluctuations and between-hospital variation in reported CDI over
time, possible clustering (transmission between symptomatic in-patients) of CDI
cases was identified. We hypothesised that a temporal proximity would be
reflected in the degree of correlation between in-hospital CDI cases per week.
This correlation was modelled through a latent autoregressive structure of order
1 (AR(1)). FINDINGS: Forty-six hospitals (33 general, seven specialist, and six
teaching hospitals) located in all English regions met our criteria. In total,
12,717 CDI cases were identified; seventy-five per cent of these occurred >48
hours after admission. There were slight increases in reports during winter
months. We found a low, but statistically significant, correlation between
successive weekly CDI case incidences (phi = 0.029, 95%CI: 0.009-0.049). This
correlation was five times stronger in a subgroup analysis restricted to teaching
hospitals (phi = 0.104, 95%CI: 0.048-0.159). CONCLUSIONS: The results suggest
that symptomatic patient-to-patient transmission has been a source of CDI
acquisition in English hospitals in recent years, and that this might be a more
important transmission route in teaching hospitals. Nonetheless, the weak
correlation indicates that, in line with recent evidence, symptomatic cases might
not be the primary source of nosocomial CDI in England.
PMID- 24932485
TI - Effect of fungal colonization of wheat grains with Fusarium spp. on food choice,
weight gain and mortality of meal beetle larvae (Tenebrio molitor).
AB - Species of Fusarium have significant agro-economical and human health-related
impact by infecting diverse crop plants and synthesizing diverse mycotoxins.
Here, we investigated interactions of grain-feeding Tenebrio molitor larvae with
four grain-colonizing Fusarium species on wheat kernels. Since numerous
metabolites produced by Fusarium spp. are toxic to insects, we tested the
hypothesis that the insect senses and avoids Fusarium-colonized grains. We found
that only kernels colonized with F. avenaceum or Beauveria bassiana (an insect
pathogenic fungal control) were avoided by the larvae as expected. Kernels
colonized with F. proliferatum, F. poae or F. culmorum attracted T. molitor
larvae significantly more than control kernels. The avoidance/preference
correlated with larval feeding behaviors and weight gain. Interestingly, larvae
that had consumed F. proliferatum- or F. poae-colonized kernels had similar
survival rates as control. Larvae fed on F. culmorum-, F. avenaceum- or B.
bassiana-colonized kernels had elevated mortality rates. HPLC analyses confirmed
the following mycotoxins produced by the fungal strains on the kernels:
fumonisins, enniatins and beauvericin by F. proliferatum, enniatins and
beauvericin by F. poae, enniatins by F. avenaceum, and deoxynivalenol and
zearalenone by F. culmorum. Our results indicate that T. molitor larvae have the
ability to sense potential survival threats of kernels colonized with F.
avenaceum or B. bassiana, but not with F. culmorum. Volatiles potentially along
with gustatory cues produced by these fungi may represent survival threat signals
for the larvae resulting in their avoidance. Although F. proliferatum or F. poae
produced fumonisins, enniatins and beauvericin during kernel colonization, the
larvae were able to use those kernels as diet without exhibiting increased
mortality. Consumption of F. avenaceum-colonized kernels, however, increased
larval mortality; these kernels had higher enniatin levels than F. proliferatum
or F. poae-colonized ones suggesting that T. molitor can tolerate or metabolize
those toxins.
PMID- 24932486
TI - Reduction of high levels of internal radio-contamination by dietary intervention
in residents of areas affected by the Fukushima Daiichi nuclear plant disaster: a
case series.
AB - Maintaining low levels of chronic internal contamination among residents in
radiation-contaminated areas after a nuclear disaster is a great public health
concern. However, the efficacy of reduction measures for individual internal
contamination remains unknown. To reduce high levels of internal radiation
exposure in a group of individuals exposed through environmental sources, we
performed careful dietary intervention with identification of suspected
contaminated foods, as part of mass voluntary radiation contamination screenings
and counseling program in Minamisoma Municipal General Hospital and Hirata
Central Hospital. From a total of 30,622 study participants, only 9 residents
displayed internal cesium-137 (Cs-137) levels of more than 50 Bq/kg. The median
level of internal Cs-137 contamination in these residents at the initial
screening was 4,830 Bq/body (range: 2,130-15,918 Bq/body) and 69.6 Bq/kg (range:
50.7-216.3 Bq/kg). All these residents with high levels of internal contamination
consumed homegrown produce without radiation inspection, and often collected
mushrooms in the wild or cultivated them on bed-logs in their homes. They were
advised to consume distributed food mainly and to refrain from consuming
potentially contaminated foods without radiation inspection and local produces
under shipment restrictions such as mushrooms, mountain vegetables, and meat of
wild life. A few months after the intervention, re-examination of Cs levels
revealed remarkable reduction of internal contamination in all residents.
Although the levels of internal radiation exposure appear to be minimal amongst
most residents in Fukushima, a subset of the population, who unknowingly consumed
highly contaminated foodstuffs, experienced high levels of internal
contamination. There seem to be similarities in dietary preferences amongst
residents with high internal contamination levels, and intervention based on pre-
and post-test counseling and dietary advice from medical care providers about
risky food intake appears to be a feasible option for changing residents' dietary
practices, subsequently resulting in a reduction in Cs internal contamination
levels.
PMID- 24932487
TI - MicroRNA miR-J1-5p as a potential biomarker for JC virus infection in the
gastrointestinal tract.
AB - INTRODUCTION: JC virus (JCV), a human polyomavirus that causes progressive
multifocal leukoencephalopathy (PML), has been linked to colorectal cancer (CRC).
However, determination of JCV infection and its role in carcinogenesis has been
challenging, highlighting the need for better diagnostic strategies for this
virus. JCV-specific microRNAs (miRNAs) were identified and shown to negatively
regulate oncogenic JCV T-Ag. Herein, we determined the pattern of JCV miRNA
expression in clinical specimens from healthy subjects and CRC patients. MATERIAL
AND METHODS: JCV miRNA expression was validated in CRC cell lines transfected
with the JCV T-Ag. Results were confirmed using CRC tissues that were expressed T
Ag. Expression of JCV-specific miR-J1-5p was measured in fresh stool samples from
healthy volunteers, and samples from fecal occult blood test kits from healthy
subject, and patients with colorectal neoplasms. RESULTS: JCV miR-J1-5p was
detected in JCV-transfected, but not vector-transfected, CRC cells, and was
stable between cell passages. MiR-J1-5p was present in all six JCV T-Ag+ CRC
samples. Surprisingly, JCV miRNA was detectable in all normal tissues, but the
expression was much lower in CRC tissues. Similarly, miR-J1-5p expression was
present in all fecal samples, but expression was lower in CRCs compared to
controls or adenoma patients. CONCLUSION: JC virus-specific miR-J1-5p miRNA is a
potential biomarker for viral infection, and the lower expression in patients
with colonic neoplasia highlights its biological role regulating oncogenic T-Ag
expression in CRC. IMPACT: JCV-specific miRNA is a candidate for the development
of a non-invasive screening test, as well as therapeutic intervention for JCV
associated diseases.
PMID- 24932488
TI - Immobilization of His-tagged recombinant xylanase from Penicillium occitanis on
nickel-chelate Eupergit C for increasing digestibility of poultry feed.
AB - Recombinant xylanase 2 from Penicillium occitanis expressed with an His-tag in
Pichia pastoris, termed PoXyn2, was immobilized on nickel-chelate Eupergit C by
covalent coupling reaction with a high immobilization yield up to 93.49%.
Characterization of the immobilized PoXyn2 was further evaluated. The optimum pH
was not affected by immobilization, but the immobilized PoXyn2 exhibited more
acidic and large optimum pH range (pH 2.0-4.0) than that of the free PoXyn2 (pH
3.0). The free PoXyn2 had an optimum temperature of 50 degrees C, whereas that
of the immobilized enzyme was shifted to 65 degrees C. Immobilization increased
both pH stability and thermostability when compared with the free enzyme.
Thermodynamically, increase in enthalpy and free energy change after covalent
immobilization could be credited to the enhanced stability. Immobilized xylanase
could be reused for 10 consecutive cycles retaining 60% of its initial activity.
It was found to be effective in releasing reducing sugar from poultry feed.
Immobilization on Eupergit C is important due to its mechanical resistance at
high pH and temperature. Hence, considerable stability and reusability of bound
enzyme may be advantageous for its industrial application.
PMID- 24932490
TI - Novel method for reducing temperature of i-STAT1 analyzer in extreme
environments.
PMID- 24932489
TI - Identification of a soybean MOTHER OF FT AND TFL1 homolog involved in regulation
of seed germination.
AB - Seed germination is an important event in the life cycle of seed plants, and is
controlled by complex and coordinated genetic networks. Many genes involved in
the regulation of this process have been identified in different plant species so
far. Recent studies in both Arabidopsis and wheat have uncovered a new role of
MOTHER OF FT AND TFL1 (MFT) in seed germination. Here, we reported a homolog of
MFT in soybean (GmMFT) which strongly expressed in seeds. Detailed expression
analysis showed that the mRNA level of GmMFT increased with seed development but
declined during seed germination. The transcription of GmMFT also responded to
exogenous application of ABA and GA3. Ectopic expression of GmMFT CDS in
Arabidopsis moderately inhibited seed germination. All these evidences suggest
that GmMFT may be a negative regulator of seed germination.
PMID- 24932491
TI - Comments on: Laboratory tests for the management of major bleeding complications
and emergency surgery in patients on long-term treatment with direct oral
anticoagulants: proposals of the Working Group on Perioperative Haemostasis
(GIHP): a rebuttal.
PMID- 24932492
TI - Comments on: Laboratory tests for the management of major bleeding complications
and emergency surgery in patients on long-term treatment with direct oral
anticoagulants: proposals of the Working Group on Perioperative Haemostasis
(GIHP).
PMID- 24932493
TI - Clinical disease severity of respiratory viral co-infection versus single viral
infection: a systematic review and meta-analysis.
AB - BACKGROUND: Results from cohort studies evaluating the severity of respiratory
viral co-infections are conflicting. We conducted a systematic review and meta
analysis to assess the clinical severity of viral co-infections as compared to
single viral respiratory infections. METHODS: We searched electronic databases
and other sources for studies published up to January 28, 2013. We included
observational studies on inpatients with respiratory illnesses comparing the
clinical severity of viral co-infections to single viral infections as detected
by molecular assays. The primary outcome reflecting clinical disease severity was
length of hospital stay (LOS). A random-effects model was used to conduct the
meta-analyses. RESULTS: Twenty-one studies involving 4,280 patients were
included. The overall quality of evidence applying the GRADE approach ranged from
moderate for oxygen requirements to low for all other outcomes. No significant
differences in length of hospital stay (LOS) (mean difference (MD) -0.20 days,
95% CI -0.94, 0.53, p = 0.59), or mortality (RR 2.44, 95% CI 0.86, 6.91, p =
0.09) were documented in subjects with viral co-infections compared to those with
a single viral infection. There was no evidence for differences in effects across
age subgroups in post hoc analyses with the exception of the higher mortality in
preschool children (RR 9.82, 95% CI 3.09, 31.20, p<0.001) with viral co-infection
as compared to other age groups (I2 for subgroup analysis 64%, p = 0.04).
CONCLUSIONS: No differences in clinical disease severity between viral co
infections and single respiratory infections were documented. The suggested
increased risk of mortality observed amongst children with viral co-infections
requires further investigation.
PMID- 24932494
TI - Low level constraints on dynamic contour path integration.
AB - Contour integration is a fundamental visual process. The constraints on
integrating discrete contour elements and the associated neural mechanisms have
typically been investigated using static contour paths. However, in our dynamic
natural environment objects and scenes vary over space and time. With the aim of
investigating the parameters affecting spatiotemporal contour path integration,
we measured human contrast detection performance of a briefly presented foveal
target embedded in dynamic collinear stimulus sequences (comprising five short
'predictor' bars appearing consecutively towards the fovea, followed by the
'target' bar) in four experiments. The data showed that participants' target
detection performance was relatively unchanged when individual contour elements
were separated by up to 2 degrees spatial gap or 200 ms temporal gap.
Randomising the luminance contrast or colour of the predictors, on the other
hand, had similar detrimental effect on grouping dynamic contour path and
subsequent target detection performance. Randomising the orientation of the
predictors reduced target detection performance greater than introducing
misalignment relative to the contour path. The results suggest that the visual
system integrates dynamic path elements to bias target detection even when the
continuity of path is disrupted in terms of spatial (2 degrees ), temporal (200
ms), colour (over 10 colours) and luminance (-25% to 25%) information. We discuss
how the findings can be largely reconciled within the functioning of V1
horizontal connections.
PMID- 24932495
TI - Guidelines for therapeutic drug monitoring of vancomycin: a systematic review.
AB - BACKGROUND AND OBJECTIVE: Despite the availability of clinical practice
guidelines (CPGs) for therapeutic drug monitoring (TDM) of vancomycin, vancomycin
serum concentrations still do not reach therapeutic concentrations in many
patients. Thus, we sought to systematically review the quality and consistency of
recommendations for an international cohort of CPGs regarding vancomycin TDM.
METHODS: PubMed, Embase, guidelines' websites and Google were searched for CPGs
for vancomycin TDM. Two independent assessors rated the quality of each CPG using
the Appraisal of Guidelines for Research & Evaluation II (AGREEII) instrument and
data were independently extracted. RESULTS: Twelve guidelines were evaluated and
the overall quality of guidelines for vancomycin TDM was moderate. The highest
score was recorded in the domain of clarity of presentation, and the lowest score
was recorded in the domain of rigor of development and stakeholder involvement.
The specific recommendations for vancomycin TDM were moderately consistent and
guidelines varied in trough concentration monitoring, frequency of TDM, and serum
concentration targets. CONCLUSION: The overall guideline quality for vancomycin
TDM was not optimal and effort is needed to improve guideline quality, especially
in the domain of rigor of development and stakeholder involvement.
PMID- 24932496
TI - Folate intake and the risk of breast cancer: a dose-response meta-analysis of
prospective studies.
AB - BACKGROUND: Previous observational studies regarding the existence of an
association between folate intake and the risk of breast cancer have been
inconsistent. This study aimed to summarize the evidence regarding this
relationship using a dose-response meta-analytic approach. METHODOLOGY AND
PRINCIPAL FINDINGS: We performed electronic searches of the PubMed, EmBase, and
Cochrane Library databases to identify studies published through June 2013. Only
prospective observational studies that reported breast cancer effect estimates
with 95% confidence intervals (CIs) for more than 2 folate intake categories were
included. We excluded traditional case-control studies because of possible bias
from various confounding factors. Overall, we included 14 prospective studies
that reported data on 677,858 individuals. Folate intake had little effect on the
breast cancer risk (relative risk (RR) for highest versus lowest category = 0.97;
95% CI, 0.90-1.05; P = 0.451). Dose-response meta-analysis also suggested that a
100 ug/day increase in folate intake had no significant effect on the risk of
breast cancer (RR = 0.99; 95% CI, 0.98-1.01; P = 0.361). Furthermore, we used
restricted cubic splines to evaluate the nonlinear relationship between folate
intake and the risk of breast cancer, and discovered a potential J-shaped
correlation between folate intake and breast cancer risk (P = 0.007) and revealed
that a daily folate intake of 200-320 ug was associated with a lower breast
cancer risk; however, the breast cancer risk increased significantly with a daily
folate intake >400 ug. CONCLUSION/SIGNIFICANCE: Our study revealed that folate
intake had little or no effect on the risk of breast cancer; moreover, a dose
response meta-analysis suggested a J-shaped association between folate intake and
breast cancer.
PMID- 24932498
TI - Effect of the internet commerce on dispersal modes of invasive alien species.
AB - The spread of invasive alien plants has considerable environmental and economic
consequences, and is one of the most challenging ecological problems. The spread
of invasive alien plant species depends largely on long-distance dispersal, which
is typically linked with human activity. The increasing domination of the
internet will have impacts upon almost all components of our lives, including
potential consequences for the spread of invasive species. To determine whether
the rise of Internet commerce has any consequences for the spread of invasive
alien plant species, we studied the sale of thirteen of some of the most harmful
Europe invasive alien plant species sold as decorative plants from twenty-eight
large, well known gardening shops in Poland that sold both via the Internet and
through traditional customer sales. We also analyzed temporal changes in the
number of invasive plants sold in the largest Polish internet auction portal.
When sold through the Internet invasive alien plant species were transported
considerably longer distances than for traditional sales. For internet sales,
seeds of invasive alien plant species were transported further than were live
plants saplings; this was not the case for traditional sales. Also, with e
commerce the shape of distance distribution were flattened with low skewness
comparing with traditional sale where the distributions were peaked and right
skewed. Thus, e-commerce created novel modes of long-distance dispersal, while
traditional sale resembled more natural dispersal modes. Moreover, analysis of
sale in the biggest Polish internet auction portal showed that the number of
alien specimens sold via the internet has increased markedly over recent years.
Therefore internet commerce is likely to increase the rate at which ecological
communities become homogenized and increase spread of invasive species by
increasing the rate of long distance dispersal.
PMID- 24932497
TI - Stimulatory interactions between human coronary smooth muscle cells and dendritic
cells.
AB - Despite inflammatory and immune mechanisms participating to atherogenesis and
dendritic cells (DCs) driving immune and non-immune tissue injury response, the
interactions between DCs and vascular smooth muscle cells (VSMCs) possibly
relevant to vascular pathology including atherogenesis are still unclear. To
address this issue, immature DCs (iDCs) generated from CD14+ cells isolated from
healthy donors were matured either with cytokines (mDCs), or co-cultured (ccDCs)
with human coronary artery VSMCs (CASMCs) using transwell chambers. Co-culture
induced DC immunophenotypical and functional maturation similar to cytokines, as
demonstrated by flow cytometry and mixed lymphocyte reaction. In turn, factors
from mDCs and ccDCs induced CASMC migration. MCP-1 and TNFalpha, secreted from
DCs, and IL-6 and MCP-1, secreted from CASMCs, were primarily involved. mDCs
adhesion to CASMCs was enhanced by CASMC pre-treatment with IFNgamma and TNFalpha
ICAM-1 and VCAM-1 were involved, since the expression of specific mRNAs for these
molecules increased and adhesion was inhibited by neutralizing antibodies to the
counter-receptors CD11c and CD18. Adhesion was also inhibited by CASMC pre
treatment with the HMG-CoA-reductase inhibitor atorvastatin and the PPARgamma
agonist rosiglitazone, which suggests a further mechanism for the anti
inflammatory action of these drugs. Adhesion of DCs to VSMCs was shown also in
vivo in rat carotid 7 to 21 days after crush and incision injury. The findings
indicate that DCs and VSMCs can interact with reciprocal stimulation, possibly
leading to perpetuate inflammation and vascular wall remodelling, and that the
interaction is enhanced by a cytokine-rich inflammatory environment and down
regulated by HMGCoA-reductase inhibitors and PPARgamma agonists.
PMID- 24932499
TI - Child health and access to health care in France: Evidence on the role of family
income.
AB - BACKGROUND: Our paper investigates the relationship between family income and
child health in France. We first examine whether there is a significant
correlation between family income and child general health, and the evolution of
this relationship across childhood years. We then study the role of specific
health problems, access to health care, and supplemental health insurance
coverage, in the income gradient in general health. We also quantify the role of
income in child anthropometric measurements. Whenever possible, we compare our
results for France with those obtained for other developed countries. METHODS:
Using data on up to approximately 24,000 French children from the Health, Health
Care and Insurance Surveys, we apply econometric techniques to quantify the
correlation between household income, child general health, specific health
problems, anthropometric characteristics, access to health care, and supplemental
insurance coverage. RESULTS: There is a positive and significant correlation
between family income and child general health in France. The income gradient in
child general health is possibly smaller in France than in other developed
countries. The gradient in general health is explained by the greater prevalence
of specific health problems for low-income children. In addition, income is
strongly correlated with anthropometric characteristics. Access to health care,
and supplemental health insurance coverage are probably not major determinants of
the gradient in general health. CONCLUSION: The relationship between income and
health in adulthood has antecedents in childhood. Improving access to health care
services for children from low-income families may not be enough to decrease
social health inequalities in childhood.
PMID- 24932500
TI - Individual and mixture effects of caffeine and sulfamethoxazole on the
daggerblade grass shrimp Palaemonetes pugio following maternal exposure.
AB - Pharmaceuticals and personal care products (PPCPs) such as caffeine and
sulfamethoxazole have been detected in the estuarine environment. The present
study characterized effects of a maternal exposure of these compounds on the
development of the daggerblade grass shrimp Palaemonetes pugio from embryo to
juvenile life stage. Ovigerous females were exposed to either caffeine (20 mg/L),
sulfamethoxazole (60 mg/L), or a mixture of both (20 mg/L caffeine and 60 mg/L
sulfamethoxazole). Embryos were then removed from the females and the effects of
the PPCPs on hatching, metamorphosis, juvenile growth, and overall mortality were
determined. No significant effect was observed on gravid female survival after 5
d of exposure to caffeine, sulfamethoxazole, or the mixture; however, development
of the embryos on the female shrimp was delayed in the mixture. Caffeine and
sulfamethoxazole in the mixture significantly reduced embryo survival. There was
a significant effect of caffeine, sulfamethoxazole, and the mixture on embryo
hatching time. Exposure to sulfamethoxazole alone significantly delayed larval
metamorphosis. Exposure to caffeine and sulfamethoxazole separately led to
significantly smaller length of juvenile shrimp. Maternal exposure to caffeine
and sulfamethoxazole, individually and in mixture, resulted in negative effects
on P. pugio offspring survival and development; however, the concentrations
tested in the present study were well above maximum detected field
concentrations. These results may be incorporated into PPCP risk assessments to
protect sensitive estuarine ecosystems more effectively.
PMID- 24932502
TI - Screening for anal cancer precursors: what is the learning curve for high
resolution anoscopy?
PMID- 24932501
TI - Effect of anti-Mullerian hormone in culture medium on quality of mouse oocytes
matured in vitro.
AB - Anti-mullerian hormone (AMH) is thought to reflect the growth of follicles and
the ovarian function. However, the role of AMH in culture medium during in vitro
maturation (IVM) on oocyte quality and subsequent development potential is
unclear. The objective of this study is to investigate the effect of recombinant
human AMH (rh-AMH) supplemented into IVM medium on oocyte quality. Cumulus-oocyte
complexes (COCs) were obtained from ICR mice and cultured in vitro with the
different concentrations (0-1,000 ng/ml) of rh-AMH. Following 16-18 h of culture,
quantitative PCR and ELISA were performed to analyze GDF9 and BMP15 mRNA
expression and protein production from the oocytes. Subsequently, in vitro
fertilization (IVF) and early embryonic development were employed to further
evaluate the quality of in vitro matured oocytes. The results showed that AMH was
only expressed in cumulus cells but not in the oocytes. However, AMH most
specific receptor, AMHR-II, was expressed in both oocytes and cumulus cells. The
levels of GDF9 and BMP15 expression and blastocyst formation rate were
significantly increased (p<0.05) when the IVM medium was supplemented with 100
ng/ml of rh-AMH. With AdH1-SiRNA/AMH for knocking down of AMH expression during
IVM significantly reduced (p<0.05) the levels of GDF9 and BMP15 expression and
blastocysts formation rate. These results suggest that AHM improves oocytes
quality by up-regulating GDF9 and BMP15 expressions during IVM.
PMID- 24932503
TI - [Contraindications to lung transplantation: evolving limits?].
AB - In France, the higher frequency of pulmonary sample in organ donors and the
enhancement of surgical and perioperative life support techniques, have increased
the number procedures and the short term prognosis of lung transplantation (LT).
In this setting, the classical contraindications of LT need to be reconsidered.
In this article, some of the classical contraindication of LT are confronted to
the experience acquired in other solid organ transplantations or from some LT
centers. Specific situations such as LT in patients with previous cancer, HIV
infection, viral hepatitis, nutritional disorders, acutely ill LT candidates and
aging candidates are addressed. Surgical contraindications are not reviewed.
PMID- 24932505
TI - [Breast cancer-mimicking tuberculosis with pacchypleurite in male].
PMID- 24932504
TI - [Childhood tuberculosis].
AB - Childhood TB is an indication of failing TB control in the community. It allows
disease persistence in the population. Mortality and morbidity due to TB is high
in children. Moreover, HIV co-infection and multidrug-resistant diseases are as
frequent in children as in adults. Infection is more frequent in younger
children. Disease risk after primary infection is greatest in infants younger
than 2 years. In case of exposure, evidence of infection can be obtained using
the tuberculin skin test (TST) or an interferon-gamma assay (IGRA). There is no
evidence to support the use of IGRA over TST in young children. TB suspicion
should be confirmed whenever possible, using new available tools, particularly in
case of pulmonary and lymph node TB. Induced sputum, nasopharyngeal aspiration
and fine needle aspiration biopsy provide a rapid and definitive diagnosis of
mycobacterial infection in a large proportion of patients. Analysis of paediatric
samples revealed higher sensitivity and specificity values of molecular
techniques in comparison with the ones originated from adults. Children require
higher drugs dosages than adults. Short courses of steroids are associated with
TB treatment in case of respiratory distress, bronchoscopic desobstruction is
proposed for severe airways involvement and antiretroviral therapy is mandatory
in case of HIV infection. Post-exposure prophylaxis in children is a highly
effective strategy to reduce the risk of TB disease. The optimal therapy for
treatment of latent infection with a presumably multidrug-resistant Mycobacterium
tuberculosis strain is currently not known.
PMID- 24932506
TI - [Place of bilobectomy in pulmonary oncology and prognostic factors in NSCLC].
AB - INTRODUCTION: Bilobectomy may be performed for different reasons and lung tumors.
There are still controversies regarding the results of this procedure. We
reviewed our experience of bilobectomy to evaluate the particularities of this
resection. METHODS: The clinical files of patients operated on for lung tumors in
two French centers between 1980 and 2009 were prospectively recorded and
retrospectively analyzed. The characteristics, management, pathology, and
survival after right-sided resections for non-small cell lung cancer (NSCLC) were
then compared. RESULTS: During the study period, 3280 right-sided resections were
performed, including 235 bilobectomy (7%), for NSCLC in 192 cases (82%). Lower
middle lobectomy (LML) represented 60% of bilobectomy, with carcinoid tumors and
squamous cell carcinoma being more frequent in this group. Upper-middle lobectomy
(UML) represented 40% of bilobectomy, with less postoperative complications and
mortality in this group. In N0-NSCLC, the rate of postoperative mortality and 5
year survival rates after bilobectomy (4.7% and 46.1%, respectively) were
intermediate between lobectomy (2.7% and 52.6%) and pneumonectomy (9.6% and
31.7%, P<10(-6) for both comparisons). There was no significant difference in 5
year survival rates according to the type of bilobectomy and the performance of
any induction therapy. CONCLUSION: Bilobectomy is associated with acceptable in
hospital mortality and encouraging 5-year survival rates despite an increased
incidence of postoperative complications. Approximation in survival of UML and
pneumonectomy and of LML and lobectomy may be due to differences in histologic
features with different fissure extension and interlobar node involvement.
PMID- 24932507
TI - Increases in myocardial workload induced by rapid atrial pacing trigger
alterations in global metabolism.
AB - OBJECTIVE: To determine whether increases in cardiac work lead to alterations in
the plasma metabolome and whether such changes arise from the heart or peripheral
organs. BACKGROUND: There is growing evidence that the heart influences systemic
metabolism through endocrine effects and affecting pathways involved in energy
homeostasis. METHODS: Nineteen patients referred for cardiac catheterization were
enrolled. Peripheral and selective coronary sinus (CS) blood sampling was
performed at serial timepoints following the initiation of pacing, and metabolite
profiling was performed by liquid chromatography-mass spectrometry (LC-MS).
RESULTS: Pacing-stress resulted in a 225% increase in the median rate.pressure
product from baseline. Increased myocardial work induced significant changes in
the peripheral concentration of 43 of 125 metabolites assayed, including large
changes in purine [adenosine (+99%, p = 0.006), ADP (+42%, p = 0.01), AMP (+79%,
p = 0.004), GDP (+69%, p = 0.003), GMP (+58%, p = 0.01), IMP (+50%, p = 0.03),
xanthine (+61%, p = 0.0006)], and several bile acid metabolites. The CS changes
in metabolites qualitatively mirrored those in the peripheral blood in both
timing and magnitude, suggesting the heart was not the major source of the
metabolite release. CONCLUSIONS: Isolated increases in myocardial work can induce
changes in the plasma metabolome, but these changes do not appear to be directly
cardiac in origin. A number of these dynamic metabolites have known signaling
functions. Our study provides additional evidence to a growing body of literature
on metabolic 'cross-talk' between the heart and other organs.
PMID- 24932508
TI - Multiplex assay for live-cell monitoring of cellular fates of amyloid-beta
precursor protein (APP).
AB - Amyloid-beta precursor protein (APP) plays a central role in pathogenesis of
Alzheimer's disease. APP has a short half-life and undergoes complex proteolytic
processing that is highly responsive to various stimuli such as changes in
cellular lipid or energy homeostasis. Cellular trafficking of APP is controlled
by its large protein interactome, including dozens of cytosolic adaptor proteins,
and also by interactions with lipids. Currently, cellular regulation of APP is
mostly studied based on appearance of APP-derived proteolytic fragments to
conditioned media and cellular extracts. Here, we have developed a novel live
cell assay system based on several indirect measures that reflect altered APP
trafficking and processing in cells. Protein-fragment complementation assay
technology for detection of APP-BACE1 protein-protein interaction forms the core
of the new assay. In a multiplex form, the assay can measure four endpoints:
total cellular APP level, total secreted sAPP level in media, APP-BACE1
interaction in cells and in exosomes released by the cells. Functional validation
of the assay with pharmacological and genetic tools revealed distinct patterns of
cellular fates of APP, with immediate mechanistic implications. This new
technology will facilitate functional genomics studies of late-onset Alzheimer's
disease, drug discovery efforts targeting APP and characterization of the
physiological functions of APP and its proteolytic fragments.
PMID- 24932509
TI - Continuous morphological variation correlated with genome size indicates frequent
introgressive hybridization among Diphasiastrum species (Lycopodiaceae) in
Central Europe.
AB - Introgressive hybridization is an important evolutionary process frequently
contributing to diversification and speciation of angiosperms. Its extent in
other groups of land plants has only rarely been studied, however. We therefore
examined the levels of introgression in the genus Diphasiastrum, a taxonomically
challenging group of Lycopodiophytes, using flow cytometry and numerical and
geometric morphometric analyses. Patterns of morphological and cytological
variation were evaluated in an extensive dataset of 561 individuals from 57
populations of six taxa from Central Europe, the region with the largest known
taxonomic complexity. In addition, genome size values of 63 individuals from
Northern Europe were acquired for comparative purposes. Within Central European
populations, we detected a continuous pattern in both morphological variation and
genome size (strongly correlated together) suggesting extensive levels of
interspecific gene flow within this region, including several large hybrid swarm
populations. The secondary character of habitats of Central European hybrid swarm
populations suggests that man-made landscape changes might have enhanced
unnatural contact of species, resulting in extensive hybridization within this
area. On the contrary, a distinct pattern of genome size variation among
individuals from other parts of Europe indicates that pure populations prevail
outside Central Europe. All in all, introgressive hybridization among
Diphasiastrum species in Central Europe represents a unique case of extensive
interspecific gene flow among spore producing vascular plants that cause serious
complications of taxa delimitation.
PMID- 24932511
TI - Giant thermoelectric effect in graphene-based topological insulators with heavy
adatoms and nanopores.
AB - Designing thermoelectric materials with high figure of merit ZT = S(2)GT/Ktot
requires fulfilling three often irreconcilable conditions, that is, the high
electrical conductance G, small thermal conductance Ktot, and high Seebeck
coefficient S. Nanostructuring is one of the promising ways to achieve this goal
as it can substantially suppress lattice contribution to Ktot. However, it may
also unfavorably influence the electronic transport in an uncontrollable way.
Here, we theoretically demonstrate that this issue can be ideally solved by
fabricating graphene nanoribbons with heavy adatoms and nanopores. The adatoms
locally enhance spin-orbit coupling in graphene thereby converting it into a two
dimensional topological insulator with a band gap in the bulk and robust helical
edge states, which carry electrical current and generate a highly optimized power
factor S(2)G per helical conducting channel due to narrow boxcar-function-shaped
electronic transmission (surpassing even the Mahan-Sofo limit obtained for delta
function-shaped electronic transmission). Concurrently, the array of nanopores
impedes the lattice thermal conduction through the bulk. Using quantum transport
simulations coupled with first-principles electronic and phononic band structure
calculations, the thermoelectric figure of merit is found to reach its maximum ZT
? 3 at low temperatures T ? 40 K. This paves a way to design high-ZT materials by
exploiting the nontrivial topology of electronic states through nanostructuring.
PMID- 24932510
TI - Mining gene expression data of multiple sclerosis.
AB - OBJECTIVES: Microarray produces a large amount of gene expression data,
containing various biological implications. The challenge is to detect a panel of
discriminative genes associated with disease. This study proposed a robust
classification model for gene selection using gene expression data, and performed
an analysis to identify disease-related genes using multiple sclerosis as an
example. MATERIALS AND METHODS: Gene expression profiles based on the
transcriptome of peripheral blood mononuclear cells from a total of 44 samples
from 26 multiple sclerosis patients and 18 individuals with other neurological
diseases (control) were analyzed. Feature selection algorithms including Support
Vector Machine based on Recursive Feature Elimination, Receiver Operating
Characteristic Curve, and Boruta algorithms were jointly performed to select
candidate genes associating with multiple sclerosis. Multiple classification
models categorized samples into two different groups based on the identified
genes. Models' performance was evaluated using cross-validation methods, and an
optimal classifier for gene selection was determined. RESULTS: An overlapping
feature set was identified consisting of 8 genes that were differentially
expressed between the two phenotype groups. The genes were significantly
associated with the pathways of apoptosis and cytokine-cytokine receptor
interaction. TNFSF10 was significantly associated with multiple sclerosis. A
Support Vector Machine model was established based on the featured genes and gave
a practical accuracy of ~86%. This binary classification model also outperformed
the other models in terms of Sensitivity, Specificity and F1 score. CONCLUSIONS:
The combined analytical framework integrating feature ranking algorithms and
Support Vector Machine model could be used for selecting genes for other
diseases.
PMID- 24932512
TI - Development of an ultrahigh-performance liquid chromatography-electrospray
ionization-tandem mass spectrometry method for the simultaneous determination of
salicylic acid, jasmonic acid, and abscisic acid in rose leaves.
AB - This paper describes a method to detect and quantitate the endogenous plant
hormones (+/-)-2-cis-4-trans-abscisic acid, (-)-jasmonic acid, and salicylic acid
by means of ultrahigh-performance liquid chromatography-tandem mass spectrometry
(UHPLC-MS/MS) in hybrid rose leaf matrices. Deuterium-labeled [(2)H6] (+)-2-cis-4
trans-abscisic acid, [(2)H6] (+/-)-jasmonic acid, and [(2)H4]-salicylic acid were
used as internal standards. Rose samples (10 mg) were extracted with
methanol/water/acetic acid (10:89:1) and subsequently purified on an Oasis MCX 1
cm(3) Vac SPE cartridge. Performance characteristics were validated according to
Commission Decision 2002/657/EC. Recovery, repeatability, and within-laboratory
reproducibility were acceptable for all phytohormones tested at three different
concentrations. The decision limit and detection capability for (+/-)-2-cis-4
trans-abscisic acid, (-)-jasmonic acid, and salicylic acid were 0.0075 and 0.015
MUg/g, 0.00015 and 0.00030 MUg/g, and 0.0089 and 0.018 MUg/g, respectively.
Matrix effects (signal suppression or enhancement) appeared to be high for all
substances considered, implying the need for quantitation based on matrix-matched
calibration curves.
PMID- 24932513
TI - Unravelling the S -> O linkage photoisomerization mechanisms in cis- and trans
[Ru(bpy)2(DMSO)2](2+) using density functional theory.
AB - A mechanistic study of the intramolecular S -> O linkage photoisomerization in
the cis and trans isomers of [Ru(bpy)2(DMSO)2](2+) was performed using density
functional theory. This study reveals that for the cis isomer the linkage
photoisomerization of the two DMSO ligands occurs sequentially in the lowest
triplet excited state and can either be achieved by a one-photon or by a two
photon mechanism. A mechanistic picture of the S -> O photoisomerization of the
trans isomer is also proposed. This work especially highlights that both
adiabatic and nonadiabatic processes are involved in these mechanisms and that
their coexistence is responsible for the rich photophysics and photochemical
properties observed experimentally for the studied complexes. The different
luminescent behavior experimentally observed at low temperature between the cis
and trans isomers is rationalized based on the peculiarity of the topology of the
triplet excited-state potential energy surfaces.
PMID- 24932514
TI - Robust T1-weighted structural brain imaging and morphometry at 7T using MP2RAGE.
AB - PURPOSE: To suppress the noise, by sacrificing some of the signal homogeneity for
numerical stability, in uniform T1 weighted (T1w) images obtained with the
magnetization prepared 2 rapid gradient echoes sequence (MP2RAGE) and to compare
the clinical utility of these robust T1w images against the uniform T1w images.
MATERIALS AND METHODS: 8 healthy subjects (29.0 +/- 4.1 years; 6 Male), who
provided written consent, underwent two scan sessions within a 24 hour period on
a 7T head-only scanner. The uniform and robust T1w image volumes were calculated
inline on the scanner. Two experienced radiologists qualitatively rated the
images for: general image quality; 7T specific artefacts; and, local structure
definition. Voxel-based and volume-based morphometry packages were used to
compare the segmentation quality between the uniform and robust images.
Statistical differences were evaluated by using a positive sided Wilcoxon rank
test. RESULTS: The robust image suppresses background noise inside and outside
the skull. The inhomogeneity introduced was ranked as mild. The robust image was
significantly ranked higher than the uniform image for both observers (observer
1/2, p-value = 0.0006/0.0004). In particular, an improved delineation of the
pituitary gland, cerebellar lobes was observed in the robust versus uniform T1w
image. The reproducibility of the segmentation results between repeat scans
improved (p-value = 0.0004) from an average volumetric difference across
structures of ~ 6.6% to ~ 2.4% for the uniform image and robust T1w image
respectively. CONCLUSIONS: The robust T1w image enables MP2RAGE to produce,
clinically familiar T1w images, in addition to T1 maps, which can be readily used
in uniform morphometry packages.
PMID- 24932515
TI - Chrysin alleviates testicular dysfunction in adjuvant arthritic rats via
suppression of inflammation and apoptosis: comparison with celecoxib.
AB - Long standing rheumatoid arthritis (RA) is associated with testicular dysfunction
and subfertility. Few studies have addressed the pathogenesis of testicular
injury in RA and its modulation by effective agents. Thus, the current study
aimed at evaluating the effects of two testosterone boosting agents; chrysin, a
natural flavone and celecoxib, a selective COX-2 inhibitor, in testicular
impairment in rats with adjuvant arthritis, an experimental model of RA. Chrysin
(25 and 50mg/kg) and celecoxib (5mg/kg) were orally administered to Wistar rats
once daily for 21days starting 1h before arthritis induction. Chrysin suppressed
paw edema with comparable efficacy to celecoxib. More important, chrysin, dose
dependently and celecoxib attenuated the testicular injury via reversing lowered
gonadosomatic index and histopathologic alterations with preservation of
spermatogenesis. Both agents upregulated steroidogenic acute regulatory (StAR)
mRNA expression and serum testosterone with concomitant restoration of LH and
FSH. Furthermore, they suppressed inflammation via abrogation of myeloperoxidase,
TNF-alpha and protein expression of COX-2 and iNOS besides elevation of IL-10.
Alleviation of the testicular impairment was accompanied with suppression of
oxidative stress via lowering testicular lipid peroxides and nitric oxide. With
respect to apoptosis, both agents downregulated FasL mRNA expression and caspase
3 activity in favor of cell survival. For the first time, these findings
highlight the protective effects of chrysin and celecoxib against testicular
dysfunction in experimental RA which were mediated via boosting testosterone in
addition to attenuation of testicular inflammation, oxidative stress and
apoptosis. Generally, the 50mg/kg dose of chrysin exerted comparable protective
actions to celecoxib.
PMID- 24932516
TI - Enhancement of CD147 on M1 macrophages induces differentiation of Th17 cells in
the lung interstitial fibrosis.
AB - Lung interstitial fibrosis is a chronic lung disease, and few effective therapies
are available to halt or reverse the progression of the disease. In murine and
human lung fibrosis, the expression of CD147 is increased. However, the role of
CD147 in lung fibrosis has not been identified, and it remains to be determined
whether lung fibrosis would be improved by decreasing the expression of CD147. A
murine bleomycin-induced lung interstitial fibrosis model was used in the
experiments, and HAb18 mAbs and CsA were administered during the induction of
lung fibrosis. In our study, we found that the HAb18 mAbs markedly reduced the
collagen score and down-regulated M1 macrophages and Th17 cells. In vitro, flow
cytometry analysis showed that M1 macrophages induced higher Th17 differentiation
than M2 macrophages. After treatment with HAb18 mAbs or after reducing the
expression of CD147 by lentivirus interference in M1 macrophages, the level of
Th17 cells were significantly inhibited. In conclusion, HAb18 mAbs or CsA
treatment ameliorates lung interstitial fibrosis. CD147 promoted M1 macrophage
and induced the differentiation of Th17 cells in lung interstitial fibrosis,
perhaps by regulating some cytokines such as IL-6, IL-1beta, IL-12 and IL-23.
These results indicated that CD147 may play an important role in the development
of lung interstitial fibrosis.
PMID- 24932517
TI - Native metastable prefibrillar oligomers are the most neurotoxic species among
amyloid aggregates.
AB - Many proteins belonging to the amyloid family share the tendency to misfold and
aggregate following common steps, and display similar neurotoxicity. In the
aggregation pathway different kinds of species are formed, including several
types of oligomers and eventually mature fibers. It is now suggested that the
pathogenic aggregates are not the mature fibrils, but the intermediate, soluble
oligomers. Many kinds of aggregates have been described to exist in a metastable
state and in equilibrium with monomers. Up to now it is not clear whether a
specific structure is at the basis of the neurotoxicity. Here we characterized,
starting from the early aggregation stages, the oligomer populations formed by an
amyloid protein, salmon calcitonin (sCT), chosen due to its very slow aggregation
rate. To prepare different oligomer populations and characterize them by means of
photoinduced cross-linking SDS-PAGE, Energy Filtered-Transmission Electron
Microscopy (EF-TEM) and Circular Dichroism (CD) spectroscopy, we used Size
Exclusion Chromatography (SEC), a technique that does not influence the
aggregation process leaving the protein in the native state. Taking advantage of
sCT low aggregation rate, we characterized the neurotoxic potential of the SEC
separated, non-crosslinked fractions in cultured primary hippocampal neurons,
analyzing intracellular Ca(2+) influx and apoptotic trend. We provide evidence
that native, globular, metastable, prefibrillar oligomers (dimers, trimers and
tetramers) were the toxic species and that low concentrations of these aggregates
in the population was sufficient to render the sample neurotoxic. Monomers and
other kind of aggregates, such as annular or linear protofibers and mature
fibers, were totally biologically inactive.
PMID- 24932519
TI - Total laparoscopic radical trachelectomy in the treatment of early-stage cervical
cancer: review of technique and outcomes.
AB - PURPOSE OF REVIEW: Fertility preservation in early-stage cervical cancer by total
laparoscopic radical trachelectomy (TLRT) is gaining acceptance as more cases are
published in the literature. The objective is to review all the literatures
regarding TLRT especially over the last 12 months and to describe the technique,
the operative outcomes, the oncologic outcomes and the obstetric outcomes of this
procedure. RECENT FINDINGS: As the number of cases reported in the literature
increases, the effectiveness of TLRT for treating early-stage cervical cancer
continues to gain support. Under the enhanced vision of the laparoscopy, it is
easy to preserve the ascending branches of the uterine arteries and to divide the
ligaments surrounding the cervix and vagina. Since TLRT was first reported, about
140 cases of TLRT have been reported. The tumour recurrence rate is 2.9%. Fifty
nine out of 140 patients attempted to conceive after TLRT, and forty-six patients
succeeded. There were 17 miscarriages, 14 preterm births and 11 term births.
SUMMARY: TLRT appears well tolerated and effective when performed in centres with
appropriate experience of laparoscopic techniques. Continued research and
clinical trials are needed to further elucidate the equivalence or superiority of
TLRT to conventional methods in terms of obstetric outcome and patients' quality
of life.
PMID- 24932518
TI - Divalent cation signaling in immune cells.
AB - Divalent cations of two alkaline earth metals Ca(2+) and Mg(2+) and the
transition metal Zn(2+) play vital roles in the immune system, and several immune
disorders are associated with disturbances of their function. Until recently only
Ca(2+) was considered to serve as a second messenger. However, signaling roles
for Mg(2+) and Zn(2+) have been recently described, leading to a reevaluation of
their role as potential second messengers. We review here the roles of these
cations as second messengers in light of recent advances in Ca(2+), Mg(2+), and
Zn(2+) signaling in the immune system. Developing a better understanding of these
signaling cations may lead to new therapeutic strategies for immune disorders.
PMID- 24932520
TI - Gene flow within and between catchments in the threatened riparian plant
Myricaria germanica.
AB - One of the major distinctions of riparian habitats is their linearity. In linear
habitats, gene flow is predicted to follow a one-dimensional stepping stone
model, characterized by bidirectional gene flow between neighboring populations.
Here, we studied the genetic structure of Myricaria germanica, a threatened
riparian shrub which is capable of both wind and water dispersal. Our data led us
to reject the 'one catchment-one gene pool' hypothesis as we found support for
two gene pools, rather than four as expected in a study area including four
catchments. This result also implies that in the history of the studied
populations, dispersal across catchments has occurred. Two contemporary catchment
crossing migration events were detected, albeit between spatially proximate
catchments. Allelic richness and inbreeding coefficients differed substantially
between gene pools. There was significant isolation by distance, and our data
confirmed the one-dimensional stepping-stone model of gene flow. Contemporary
migration was bidirectional within the studied catchments, implying that
dispersal vectors other than water are important for M. germanica.
PMID- 24932521
TI - The structure factor of a wormlike chain and the random-phase-approximation
solution for the spinodal line of a diblock copolymer melt.
AB - An efficient and convenient numerical approach to calculate the structure factor
of a wormlike chain model is proposed by directly dealing with a formal solution
of the Green's function. A precise numerical representation of the structure
factor of the wormlike chain model is then obtained, for arbitrary chain
rigidity. On one hand, in the flexible limit, the numerical results recover the
well-known Debye function of the structure factor of a Gaussian chain and
furthermore predict the correct large-k behavior that a Gaussian model fails to
capture; on the other hand, in the rigid limit, the numerical results recover the
well-known Neugebauer function of the structure factor of a rigid rod. Based on
the calculated structure factor, the random phase approximation is employed to
study the physical properties of the order-disorder transition for asymmetric
wormlike diblock copolymers; particularly, the spinodal line of the disordered
phase is calculated. For the case of symmetric diblock copolymer microphase
separation, the present calculation reproduces the phase boundary previously
determined by self-consistent field theories and yields the entire picture
crossing over from the flexible-chain limit to the rigid-chain limit.
PMID- 24932522
TI - Choosing important health outcomes for comparative effectiveness research: a
systematic review.
AB - BACKGROUND: A core outcome set (COS) is a standardised set of outcomes which
should be measured and reported, as a minimum, in all effectiveness trials for a
specific health area. This will allow results of studies to be compared,
contrasted and combined as appropriate, as well as ensuring that all trials
contribute usable information. The COMET (Core Outcome Measures for Effectiveness
Trials) Initiative aims to support the development, reporting and adoption of
COS. Central to this is a publically accessible online resource, populated with
all available COS. The aim of the review we report here was to identify studies
that sought to determine which outcomes or domains to measure in all clinical
trials in a specific condition and to describe the methodological techniques used
in these studies. METHODS: We developed a multi-faceted search strategy for
electronic databases (MEDLINE, SCOPUS, and Cochrane Methodology Register). We
included studies that sought to determine which outcomes/domains to measure in
all clinical trials in a specific condition. RESULTS: A total of 250 reports
relating to 198 studies were judged eligible for inclusion in the review. Studies
covered various areas of health, most commonly cancer, rheumatology, neurology,
heart and circulation, and dentistry and oral health. A variety of methods have
been used to develop COS, including semi-structured discussion, unstructured
group discussion, the Delphi Technique, Consensus Development Conference, surveys
and Nominal Group Technique. The most common groups involved were clinical
experts and non-clinical research experts. Thirty-one (16%) studies reported that
the public had been involved in the process. The geographic locations of
participants were predominantly North America (n = 164; 83%) and Europe (n = 150;
76%). CONCLUSIONS: This systematic review identified many health areas where a
COS has been developed, but also highlights important gaps. It is a further step
towards a comprehensive, up-to-date database of COS. In addition, it shows the
need for methodological guidance, including how to engage key stakeholder groups,
particularly members of the public.
PMID- 24932523
TI - Impact of Hfq on the Bacillus subtilis transcriptome.
AB - The RNA chaperone Hfq acts as a central player in post-transcriptional gene
regulation in several Gram-negative Bacteria, whereas comparatively little is
known about its role in Gram-positive Bacteria. Here, we studied the function of
Hfq in Bacillus subtilis, and show that it confers a survival advantage. A
comparative transcriptome analysis revealed mRNAs with a differential abundance
that are governed by the ResD-ResE system required for aerobic and anaerobic
respiration. Expression of resD was found to be up-regulated in the hfq- strain.
Furthermore, several genes of the GerE and ComK regulons were de-regulated in the
hfq- background. Surprisingly, only six out of >100 known and predicted small
RNAs (sRNAs) showed altered abundance in the absence of Hfq. Moreover, Hfq
positively affected the transcript abundance of genes encoding type I toxin
antitoxin systems. Taken the moderate effect on sRNA levels and mRNAs together,
it seems rather unlikely that Hfq plays a central role in RNA transactions in
Bacillus subtilis.
PMID- 24932526
TI - Electron beam controlled restructuring of luminescence centers in polycrystalline
diamond.
AB - Color centers in diamond are becoming prime candidates for applications in
photonics and sensing. In this work we study the time evolution of
cathodoluminescence (CL) emissions from color centers in a polycrystalline
diamond film under electron irradiation. We demonstrate room-temperature
activation of several luminescence centers through a thermal mechanism that is
catalyzed by an electron beam. CL activation kinetics were measured in realtime
and are discussed in the context of electron induced dehydrogenation of nitrogen
vacancy-hydrogen clusters and dislocation defects. Our results also show that
(unintentional) electron beam induced chemical etching can take place during CL
analysis of diamond. The etching is caused by residual H2O molecules present in
high vacuum CL systems.
PMID- 24932525
TI - Pasture v. standard dairy cream in high-fat diet-fed mice: improved metabolic
outcomes and stronger intestinal barrier.
AB - Dairy products derived from the milk of cows fed in pastures are characterised by
higher amounts of conjugated linoleic acid and alpha-linolenic acid (ALA), and
several studies have shown their ability to reduce cardiovascular risk. However,
their specific metabolic effects compared with standard dairy in a high-fat diet
(HFD) context remain largely unknown; this is what we determined in the present
study with a focus on the metabolic and intestinal parameters. The experimental
animals were fed for 12 weeks a HFD containing 20 % fat in the form of a pasture
dairy cream (PDC) or a standard dairy cream (SDC). Samples of plasma, liver,
white adipose tissue, duodenum, jejunum and colon were analysed. The PDC mice,
despite a higher food intake, exhibited lower fat mass, plasma and hepatic TAG
concentrations, and inflammation in the adipose tissue than the SDC mice.
Furthermore, they exhibited a higher expression of hepatic PPARalpha mRNA and
adipose tissue uncoupling protein 2 mRNA, suggesting an enhanced oxidative
activity of the tissues. These results might be explained, in part, by the higher
amounts of ALA in the PDC diet and in the liver and adipose tissue of the PDC
mice. Moreover, the PDC diet was found to increase the proportions of two
strategic cell populations involved in the protective function of the intestinal
epithelium, namely Paneth and goblet cells in the small intestine and colon,
compared with the SDC diet. In conclusion, a PDC HFD leads to improved metabolic
outcomes and to a stronger gut barrier compared with a SDC HFD. This may be due,
at least in part, to the protective mechanisms induced by specific lipids.
PMID- 24932524
TI - Mapped clone and functional analysis of leaf-color gene Ygl7 in a rice hybrid
(Oryza sativa L. ssp. indica).
AB - Leaf-color is an effective marker to identify the hybridization of rice. Leaf
color related genes function in chloroplast development and the photosynthetic
pigment biosynthesis of higher plants. The ygl7 (yellow-green leaf 7) is a mutant
with spontaneous yellow-green leaf phenotype across the whole lifespan but with
no change to its yield traits. We cloned gene Ygl7 (Os03g59640) which encodes a
magnesium-chelatase ChlD protein. Expression of ygl7 turns green-leaves to
yellow, whereas RNAi-mediated silence of Ygl7 causes a lethal phenotype of the
transgenic plants. This indicates the importance of the gene for rice plant. On
the other hand, it corroborates that ygl7 is a non-null mutants. The content of
photosynthetic pigment is lower in Ygl7 than the wild type, but its light
efficiency was comparatively high. All these results indicated that the
mutational YGL7 protein does not cause a complete loss of original function but
instead acts as a new protein performing a new function. This new function
partially includes its preceding function and possesses an additional feature to
promote photosynthesis. Chl1, Ygl98, and Ygl3 are three alleles of the OsChlD
gene that have been documented previously. However, mutational sites of OsChlD
mutant gene and their encoded protein products were different in the three
mutants. The three mutants have suppressed grain output. In our experiment, plant
materials of three mutants (ygl7, chl1, and ygl98) all exhibited mutational leaf
color during the whole growth period. This result was somewhat different from
previous studies. We used ygl7 as female crossed with chl1 and ygl98,
respectively. Both the F1 and F2 generation display yellow-green leaf phenotype
with their chlorophyll and carotenoid content falling between the values of their
parents. Moreover, we noted an important phenomenon: ygl7-NIL's leaf-color is
yellow, not yellowy-green, and this is also true of all back-crossed offspring
with ygl7.
PMID- 24932527
TI - Fluorescent monitoring of RNA assembly and processing using the split-spinach
aptamer.
AB - As insights into RNA's many diverse cellular roles continue to be gained,
interest and applications in RNA self-assembly and dynamics remain at the
forefront of structural biology. The bifurcation of functional molecules into
nonfunctional fragments provides a useful strategy for controlling and monitoring
cellular RNA processes and functionalities. Herein we present the bifurcation of
the preexisting Spinach aptamer and demonstrate its utility as a novel split
aptamer system for monitoring RNA self-assembly as well as the processing of pre
short interfering substrates. We show for the first time that the Spinach aptamer
can be divided into two nonfunctional halves that, once assembled, restore the
original fluorescent signal characteristic of the unabridged aptamer. In this
regard, the split-Spinach aptamer is represented as a potential tool for
monitoring the self-assembly of artificial and/or natural RNAs.
PMID- 24932528
TI - Evaluation of a temporal bone prototype by experts in otology.
AB - BACKGROUND: Inexperienced otologists require training on the temporal bone
drilling process, prior to any surgical activity. The shortage of cadaveric
temporal bones exerts pressure to create realistic physical prototypes. This
paper describes the evaluation by otology experts of a specially developed
temporal bone resin model. METHODS: Computed tomography images were transformed
into digital files, and anatomically identical right temporal bone models were
created using stereolithography. These hand-painted resin prototypes were sent to
25 otologists, accompanied by a 20-item questionnaire. RESULTS: Satisfaction rate
was 92 per cent. The overall prototype score was 48.87 out of 60. Average scores
were: 12.63 out of 15 for anatomy-morphology, 6.98 out of 9 for quality of
drilling, 16.74 out of 21 for identification of anatomical elements and 7.41 out
of 9 for stages of drilling. Limitations of the model included an excessively
vivid facial nerve colour and difficulty in identifying the posterior
semicircular canal. Disadvantages related to the thickness of the resin and its
residues were identified. CONCLUSION: The prototype appears to provide an
attractive solution to the shortage of cadaveric temporal bones. However,
interest in the model for drilling technique training for inexperienced
otologists has not yet been assessed.
PMID- 24932530
TI - Confocal laser endomicroscopy for non-invasive head and neck cancer imaging: a
comprehensive review.
AB - Histological assessment is an essential tool in the diagnosis and guidance of the
treatment of various diseases, in particular cancer, of the head and neck. Recent
major advances in optical imaging techniques have made it possible to acquire
high-resolution in vivo images at the cellular scale. Confocal endomicroscopy is
a non-invasive technique, which can be highly useful whenever meaningful in situ
histological information is required. The technical aspects of confocal
endomicroscopy are introduced, followed by an overview of major clinical studies
in the field of head and neck cancer. Ongoing technical developments,
contributing to improvements in imaging of the upper aero-digestive tract, are
also discussed. Finally, the potential complementarities of functional and
molecular imaging, as compared to morphological endomicroscopy, are highlighted.
PMID- 24932529
TI - Detection of human papillomavirus (HPV) in clinical samples: evolving methods and
strategies for the accurate determination of HPV status of head and neck
carcinomas.
AB - Much recent attention has highlighted a subset of head and neck squamous cell
carcinomas (HNSCCs) related to human papillomavirus (HPV) that has an
epidemiologic, demographic, molecular and clinical profile which is distinct from
non-HPV-related HNSCC. The clinical significance of detecting HPV in a HNSCC has
resulted in a growing expectation for HPV testing of HNSCCs. Although the growing
demand for routine testing is understandable and appropriate, it has impelled an
undisciplined approach that has been largely unsystematic. The current state of
the art has now arrived at a point where a better understanding of HPV-related
tumorigenesis and a growing experience with HPV testing can now move wide scale,
indiscriminant and non-standardized testing towards a more directed, clinically
relevant and standardized approach. This review will address the current state of
HPV detection; and will focus on why HPV testing is important, when HPV testing
is appropriate, and how to test for the presence of HPV in various clinical
samples. As no single test has been universally accepted as a best method, this
review will consider the strengths and weaknesses of some of the more commonly
used assays, and will emphasize some emerging techniques that may improve the
efficiency of HPV testing of clinical samples including cytologic specimens.
PMID- 24932531
TI - Species-specific isotope tracers to study the accumulation and biotransformation
of mixtures of inorganic and methyl mercury by the microalga Chlamydomonas
reinhardtii.
AB - The present study demonstrates that species-specific isotope tracing is an useful
tool to precisely measure Hg accumulation and transformations capabilities of
living organisms at concentrations naturally encountered in the environment. To
that end, a phytoplanktonic green alga Chlamydomonas reinhardtii Dangeard
(Chlamydomonadales, Chlorophyceae) was exposed to mixtures of (199)-isotopically
enriched inorganic mercury ((199)IHg) and of (201)-isotopically enriched
monomethylmercury ((201)CH3Hg) at a concentration range between less than 1 pM to
4 nM. Additionally, one exposure concentration of both mercury species was also
studied separately to evaluate possible interactive effects. No difference in the
intracellular contents was observed for algae exposed to (199)IHg and (201)CH3Hg
alone or in their mixture, suggesting similar accumulation capacity for both
species at the studied concentrations. Demethylation of (201)CH3Hg was observed
at the highest exposure concentrations, whereas no methylation was detected.
PMID- 24932533
TI - Self-efficacy moderation and mediation roles on BPSD and social support
influences on subjective caregiver burden in Chinese spouse caregivers of
dementia patients.
AB - ABSTRACT Background: This study aims to explore moderation and mediation roles of
caregiver self-efficacy between subjective caregiver burden and (a) behavioral
and psychological symptoms (BPSD) of dementia; and (b) social support. Methods: A
cross-sectional study with 137 spouse caregivers of dementia patients was
conducted in Shanghai. We collected demographic information for the caregiver
patient dyads, as well as information associated with dementia-related
impairments, caregiver social support, caregiver self-efficacy, and SF-36.
Results: Multiple regression analysis showed that caregiver self-efficacy was a
moderator both between BPSD and subjective caregiver burden, and social support
and subjective caregiver burden. Results also showed a partial mediation effect
of caregiver self-efficacy on the impact of BPSD on subjective caregiver burden,
and a mediation effect of social support on subjective caregiver burden.
Caregiver self-efficacy and subjective burden significantly influenced BPSD and
social support. Conclusion: Caregiver self-efficacy played an important role in
the paths by which the two factors influenced subjective burden. Enhancing
caregiver self-efficacy for symptom management (particularly BPSD) can be an
essential strategy for determining interventions to support dementia caregivers
in China, and possibly in other countries.
PMID- 24932534
TI - Amelioration of radiation-induced oral cavity mucositis and distant bone marrow
suppression in fanconi anemia Fancd2-/- (FVB/N) mice by intraoral GS-nitroxide
JP4-039.
AB - The altered DNA damage response pathway in patients with Fanconi anemia (FA) may
increase the toxicity of clinical radiotherapy. We quantitated oral cavity
mucositis in irradiated Fanconi anemia Fancd2(-/-) mice, comparing this to
Fancd2(+/-) and Fancd2(+/+) mice, and we measured distant bone marrow suppression
and quantitated the effect of the intraoral radioprotector GS-nitroxide, JP4-039
in F15 emulsion. We found that FA mice were more susceptible to radiation injury
and that protection from radiation injury by JP4-039/F15 was observed at all
radiation doses. Adult 10-12-week-old mice, of FVB/N background Fancd2(-/-),
Fancd2(+/-) and Fancd2(+/+) were head and neck irradiated with 24, 26, 28 or 30
Gy (large fraction sizes typical of stereotactic radiosurgery treatments) and
subgroups received intraoral JP4-039 (0.4 mg/mouse in 100 MUL F15 liposome
emulsion) preirradiation. On day 2 or 5 postirradiation, mice were sacrificed,
tongue tissue and femur marrow were excised for quantitation of radiation-induced
stress response, inflammatory and antioxidant gene transcripts, histopathology
and assay for femur marrow colony-forming hematopoietic progenitor cells. Fancd2(
/-) mice had a significantly higher percentage of oral mucosal ulceration at day
5 after 26 Gy irradiation (59.4 +/- 8.2%) compared to control Fancd2(+/+) mice
(21.7 +/- 2.9%, P = 0.0063). After 24 Gy irradiation, Fancd2(-/-) mice had a
higher oral cavity percentage of tongue ulceration compared to Fancd2(+/+) mice
irradiated with higher doses of 26 Gy (P = 0.0123). Baseline and postirradiation
oral cavity gene transcripts were altered in Fancd2(-/-) mice compared to
Fancd2(+/+) controls. Fancd2(-/-) mice had decreased baseline femur marrow CFU
GM, BFUe and CFU-GEMM, which further decreased after 24 or 26 Gy head and neck
irradiation. These changes were not seen in head- and neck-irradiated Fancd2(+/+)
mice. In radiosensitive Fancd2(-/-) mice, biomarkers of both local oral cavity
and distant marrow radiation toxicity were ameliorated by intraoral JP4-039/F15.
We propose that Fancd2(-/-) mice are a valuable radiosensitive animal model
system, which can be used to evaluate potential radioprotective agents.
PMID- 24932536
TI - Heterogeneity of the internal transcribed spacer region in Leishmania tropica
isolates from southern Iran.
AB - Most of cutaneous leishmaniasis cases occur in only 7 countries, including Iran.
Leishmania tropica is the main cause of anthroponotic cutaneous leishmaniasis in
Iran. In order to study the heterogeneity and phylogeny of L. tropica in southern
Iran, a total of 61 isolates were obtained from Bam district and the cities
Kerman and Shiraz. The internal transcribed spacer (ITS) from the ribosomal DNA
locus was amplified and then analysed by sequencing. Analysis of the ITS
sequences showed four haplotypes in the isolates, including 3 haplotypes among
the 58 isolates from the south eastern region, including Bam district and Kerman
city, and 2 haplotypes among the 3 isolates from Shiraz city. The results showed
a monophyletic structure for the south eastern population. In comparison to
GenBank sequences of L. tropica from different countries, most of the southeast
Iranian and Indian isolates are comprised in one cluster, while isolates from
other countries and few other Iranian isolates group in a different cluster.
Analysis of ITS sequences of south eastern L. tropica showed a homogeneous
population which could be the basis for other molecular epidemiology studies
using more discriminative markers and tracing possible changes in the population
structure of L. tropica.
PMID- 24932537
TI - Evidence of inappropriate cardiovascular risk assessment in middle-age women
based on recommended cut-points for waist circumference.
AB - BACKGROUND AND AIMS: Diverse waist circumference thresholds for assessment of
metabolic and cardiovascular risk in Caucasians are recommended by different
health professional organizations. We aimed to determine optimal sex-specific
thresholds for anthropometric measures showing the strongest association with
cardiovascular risk factors in a cohort of middle-aged Germans. METHODS AND
RESULTS: Statistical analyses are based on data from 426 mothers and 267 fathers
of participants of the Ulm Birth Cohort Study undergoing a clinical follow-up
examination in 2008 (median age 41 years) using logistic regression analyses. The
prevalence of many cardiometabolic risk factors was significantly higher in men
than in women; hypertension: 45%/17% (p < 0.0001), apolipoprotein ratio B/A1 >
0.72: 35%/9% (<0.0001), hyperglycemia: 11%/14% (p = 0.3), which is in contrast to
the predicted cardiovascular risk of 52%/70% and 24%/36% based on thresholds for
waist circumference proposed by International Diabetes Federation and American
Heart Association, respectively. We determined optimal thresholds for waist
circumference between 90 and 95 cm for men and women. Using a threshold of 92 cm
the prevalence of abdominal obesity was 59% in men and 24% in women, which was in
agreement with the higher prevalence of overweight and obesity in men than in
women (Body Mass Index (BMI) > 25: 64%/35%). The prediction of cardiometabolic
risk factors by waist circumference and waist-to-height ratio did not outperform
the prediction by BMI. In contrast to BMI, waist circumference was correlated
with body height independent of sex. CONCLUSION: Currently proposed thresholds
for waist circumference spuriously overestimate the cardiovascular risk in women,
but not in men in a German population.
PMID- 24932535
TI - Association of chromosome translocation rate with low dose occupational radiation
exposures in U.S. radiologic technologists.
AB - Chromosome translocations are a well-recognized biological marker of radiation
exposure and cancer risk. However, there is uncertainty about the lowest dose at
which excess translocations can be detected, and whether there is temporal decay
of induced translocations in radiation-exposed populations. Dosimetric
uncertainties can substantially alter the shape of dose-response relationships;
although regression-calibration methods have been used in some datasets, these
have not been applied in radio-occupational studies, where there are also complex
patterns of shared and unshared errors that these methods do not account for. In
this article we evaluated the relationship between estimated occupational
ionizing radiation doses and chromosome translocation rates using fluorescent in
situ hybridization in 238 U.S. radiologic technologists selected from a large
cohort. Estimated cumulative red bone marrow doses (mean 29.3 mGy, range 0-135.7
mGy) were based on available badge-dose measurement data and on questionnaire
reported work history factors. Dosimetric assessment uncertainties were evaluated
using regression calibration, Bayesian and Monte Carlo maximum likelihood
methods, taking account of shared and unshared error and adjusted for
overdispersion. There was a significant dose response for estimated occupational
radiation exposure, adjusted for questionnaire-based personal diagnostic
radiation, age, sex and study group (5.7 translocations per 100 whole genome cell
equivalents per Gy, 95% CI 0.2, 11.3, P = 0.0440). A significant increasing trend
with dose continued to be observed for individuals with estimated doses <100 mGy.
For combined estimated occupational and personal-diagnostic-medical radiation
exposures, there was a borderline-significant modifying effect of age (P =
0.0704), but little evidence (P > 0.5) of temporal decay of induced
translocations. The three methods of analysis to adjust for dose uncertainty gave
similar results. In summary, chromosome translocation dose-response slopes were
detectable down to <100 mGy and were compatible with those observed in other
radiation-exposed populations. However, there are substantial uncertainties in
both occupational and other (personal-diagnostic-medical) doses that may be
imperfectly taken into account in our analysis.
PMID- 24932538
TI - Ambulatory blood pressure monitoring in the elderly: features and perspectives.
AB - Aging leads to a multitude of changes in the cardiovascular system that include a
rise in blood pressure. Age-related changes in blood pressure are mainly
attributable to an increase in systolic blood pressure, generally associated with
a slight decrease diastolic blood pressure. This leads to a widening in pulse
pressure. Ambulatory blood pressure monitoring is a useful tool to understand
these processes and to refine cardiovascular risk assessment. In the light of
emerging data in this area, we reviewed the main features of ambulatory blood
pressure in elderly and discussed the evidence showing that ambulatory blood
pressure is superior to clinic blood pressure to reflect the true pattern of
blood pressure over time. Furthermore, we discussed the role of weight control
obtained by fitness programs to prevent an excessive rise in blood pressure with
age. A thorough understanding of these concepts is of paramount importance and
has therapeutic implications in the growing population of elderly subjects with
increased blood pressure.
PMID- 24932539
TI - Liquid chromatography-tandem mass spectrometry analysis of eicosanoids and
related compounds in cell models.
AB - Enzyme- and free radical-catalyzed oxidation of polyunsaturated fatty acids
(PUFAs) produces the eicosanoids, docosanoids and octadecanoids. This large
family of potent bioactive lipids is involved in many biochemical and signaling
pathways which are implicated in physiological and pathophysiological processes
and can be viable therapeutic targets. Liquid chromatography-tandem mass
spectrometry (LC-MS/MS) offers selectivity, sensitivity, robustness and high
resolution and is able to analyze a large number of eicosanoids in biological
samples in a short time. The present article reviews and discusses reported LC
MS/MS methods and the results obtained from their application in cell models.
Reliable analytical outcomes are critically important for understanding
physiological and pathophysiological cellular processes, such as inflammation,
diseases with inflammatory components (e.g., cardiovascular disease, diabetes,
metabolic syndrome), as well as cancer. Reported findings obtained by using the
LC-MS/MS methodology in cell systems may have important predictive as well as
nutritional and pharmacological implications. We conclude that the LC-MS/MS
methodology is a versatile and reliable analytical tool for the simultaneous
analysis of multiple PUFA-derived metabolites including the eicosanoids in cell
culture samples at concentrations on the pM/nM threshold, i.e. at baseline and
after stimulation.
PMID- 24932540
TI - Reprint of: Liquid chromatographic enzymatic studies with on-line Beta-secretase
immobilized enzyme reactor and 4-(4-dimethylaminophenylazo) benzoic acid/5-[(2
aminoethyl) amino] naphthalene-1-sulfonic acid peptide as fluorogenic substrate.
AB - High throughput screening (HTS) techniques are required for the fast hit
inhibitors selection in the early discovery process. However, in Beta-secretase
(BACE1) inhibitors screening campaign, the most frequently used methoxycoumarin
based peptide substrate (M-2420) is not widely applicable when aromatic or
heterocycle compounds of natural source show auto-fluorescence interferences.
Here, in order to overcome these drawbacks, we propose the use of a highly
selective 4-(4-dimethylaminophenylazo)benzoic acid/5-[(2
aminoethyl)amino]naphthalene-1-sulfonic acid (DABCYL/1,5-EDANS) based peptide
substrate (Substrate IV), whose cleavage product is devoid of spectroscopic
interference. HrBACE1-IMER was prepared and characterized in terms of units of
immobilised hrBACE1. BACE1 catalyzed Substrate IV cleavage was on-line
kinetically characterized in terms of KM and vmax, in a classical Michaelis and
Menten study. The on-line kinetic constants were found consistent with those
obtained with the in solution fluorescence resonance energy transfer (FRET)
standard method. In order to further validate the use of Substrate IV for
inhibition studies, the inhibitory potency of the well-known BACE1 peptide
InhibitorIV (IC50: 0.19 +/- 0.02 MUM) and of the natural compound Uleine (IC50:
0.57 +/- 0.05) were determined in the optimized on-line hrBACE1-IMER. The IC50
values on the hrBACE1-IMER system were found in agreement with that obtained by
the conventional methods confirming the applicability of Substrate IV for on-line
BACE1 kinetic and inhibition studies.
PMID- 24932541
TI - Reply on 'Prerequisites for a reliable introduction of in vitro neurotoxicity
testing within the REACH framework'.
PMID- 24932542
TI - The PERK-eIF2alpha signaling pathway is involved in TCDD-induced ER stress in
PC12 cells.
AB - Studies have shown that 2,3,7,8-tetrachlorodibenzo-p-dioxin (TCDD) induces
apoptotic cell death in neuronal cells. However, whether this is the result of
endoplasmic reticulum (ER) stress-mediated apoptosis remains unknown. In this
study, we determined whether ER stress plays a role in the TCDD-induced apoptosis
of pheochromocytoma (PC12) cells and primary neurons. PC12 cells were exposed to
different TCDD concentrations (1, 10, 100, 200, or 500nM) for varying lengths of
time (1, 3, 6, 12, or 24h). TCDD concentrations much higher than 10nM (100, 200,
or 500nM) markedly increased glucose-regulated protein (GRP78) and C/EBP
homologous protein (CHOP) levels, which are hallmarks of ER stress. We also
evaluated the effects of TCDD on ER morphology in PC12 cells and primary neurons
that were treated with different TCDD concentrations (1, 10, 50, or 200nM) for
24h. Ultrastructural ER alterations were observed with transmission electron
microscopy in PC12 cells and primary neurons treated with high concentrations of
TCDD. Furthermore, TCDD-induced ER stress significantly promoted the activation
of the PKR-like ER kinase (PERK), a sensor for the unfolded protein response
(UPR), and its downstream target eukaryotic translation initiation factor 2 alpha
(eIF2alpha); in contrast, TCDD did not appear to affect inositol-requiring enzyme
1 (IRE1) and activating transcription factor 6 (ATF6), two other UPR sensors.
Importantly, TCDD significantly inhibited eIF2alpha phosphorylation and triggered
apoptosis in PC12 cells after 6-24h of treatment. Salubrinal, which activates the
PERK-eIF2alpha pathway, significantly enhanced eIF2alpha phosphorylation in PC12
cells and attenuated the TCDD-induced cell death. In contrast, knocking down
eIF2alpha using small interfering RNA markedly enhanced TCDD-induced cell death.
Together, these results indicate that the PERK-eIF2alpha pathway plays an
important role in TCDD-induced ER stress and apoptosis in PC12 cells.
PMID- 24932543
TI - Magnetic solid sulfonic acid decorated with hydrophobic regulators: a
combinatorial and magnetically separable catalyst for the synthesis of alpha
aminonitriles.
AB - A three-component, Strecker reaction of a series of aldehydes or ketones, amines,
and trimethylsilyl cyanide for the synthesis of alpha-aminonitriles in the
presence of a catalytic amount of a magnetic solid sulfonic acid catalyst,
Fe3O4@SiO2@Me&Et-PhSO3H under solvent-free conditions have been investigated.
This catalyst, with a combination of hydrophobicity and acidity on the Fe3O4@SiO2
core-shell of the magnetic nanobeads, as well as its water-resistant property,
enabled easy mass transfer and catalytic activity in the Strecker reaction. The
catalyst was easily separated by an external magnet and the recovered catalyst
was reused in 6 successive reaction cycles without any significant loss of
activity.
PMID- 24932544
TI - Hydrogen sulfide measurement using sulfide dibimane: critical evaluation with
electrospray ion trap mass spectrometry.
AB - Accurate measurement of hydrogen sulfide bioavailability remains a technical
challenge due to numerous issues involving sample processing, detection methods
used, and actual biochemical products measured. Our group and others have
reported that reverse phase HPLC detection of sulfide dibimane (SDB) product from
the reaction of H2S/HS(-) with monobromobimane allows for analytical detection of
hydrogen sulfide bioavailability in free and other biochemical forms. However, it
remains unclear whether possible interfering contaminants may contribute to HPLC
SDB peak readings that may result in inaccurate measurements of bioavailable
sulfide. In this study, we critically compared hydrogen sulfide dependent SDB
detection using reverse phase HPLC (RP-HPLC) versus quantitative SRM electrospray
ionization mass spectrometry (ESI/MS) to obtain greater clarity into the validity
of the reverse phase HPLC method for analytical measurement of hydrogen sulfide.
Using an LCQ-Deca ion-trap mass spectrometer, SDB was identified by ESI/MS
positive ion mode, and quantified by selected reaction monitoring (SRM) using
hydrocortisone as an internal standard. Collision induced dissociation (CID)
parameters were optimized at MS2 level for SDB and hydrocortisone. ESI/MS
detection of SDB standard was found to be a log order more sensitive than RP-HPLC
with a lower limit of 0.25 nM. Direct comparison of tissue and plasma SDB levels
using RP-HPLC and ESI/MS methods revealed comparable sulfide levels in plasma,
aorta, heart, lung and brain. Together, these data confirm the use of SDB as
valid indicator of H2S bioavailability and highlights differences between
analytical detection methods.
PMID- 24932545
TI - Working with "H2S": facts and apparent artifacts.
AB - Hydrogen sulfide (H2S) is an important signaling molecule with physiological
endpoints similar to those of nitric oxide (NO). Growing interest in its
physiological roles and pharmacological potential has led to large sets of
contradictory data. The principle cause of these discrepancies can be the common
neglect of some of the basic H2S chemistry. This study investigates how the
experimental outcome when working with H2S depends on its source and dose and the
methodology employed. We show that commercially available NaHS should be avoided
and that traces of metal ions should be removed because these can reduce
intramolecular disulfides and change protein structure. Furthermore, high H2S
concentrations may lead to a complete inhibition of cell respiration,
mitochondrial membrane potential depolarization and superoxide generation, which
should be considered when discussing the biological effects observed upon
treatment with high concentrations of H2S. In addition, we provide chemical
evidence that H2S can directly react with superoxide. H2S is also capable of
reducing cytochrome c(3+) with the concomitant formation of superoxide. H2S does
not directly react with nitrite but with NO electrodes that detect H2S. In
addition, H2S interferes with the Griess reaction and should therefore be removed
from the solution by Cd(2+) or Zn(2+) precipitation prior to nitrite
quantification. 2-Phenyl-4,4,5,5-tetramethylimidazoline-1-oxyl 3-oxide (PTIO) is
reduced by H2S, and its use should be avoided in combination with H2S. All these
constraints must be taken into account when working with H2S to ensure valid
data.
PMID- 24932546
TI - Correlations between brain cortical thickness and cutaneous pain thresholds are
atypical in adults with migraine.
AB - BACKGROUND/OBJECTIVE: Migraineurs have atypical pain processing, increased
expectations for pain, and hypervigilance for pain. Recent studies identified
correlations between brain structure and pain sensation in healthy adults. The
objective of this study was to compare cortical thickness-to-pain threshold
correlations in migraineurs to healthy controls. We hypothesized that migraineurs
would have aberrant relationships between the anatomical neurocorrelates of pain
processing and pain thresholds. METHODS: Pain thresholds to cutaneously applied
heat were determined for 31 adult migraineurs and 32 healthy controls. Cortical
thickness was determined from magnetic resonance imaging T1-weighted sequences.
Regional cortical thickness-to-pain threshold correlations were determined for
migraineurs and controls separately using a general linear model whole brain
vertex-wise analysis. A pain threshold-by-group interaction analysis was then
conducted to estimate regions where migraineurs show alterations in the pain
threshold-to-cortical thickness correlations relative to healthy controls.
RESULTS: Controls had negative correlations (p<0.01 uncorrected) between pain
thresholds and cortical thickness in left posterior cingulate/precuneus, right
superior temporal, right inferior parietal, and left inferior temporal regions,
and a negative correlation (p<0.01 Monte Carlo corrected) with a left superior
temporal/inferior parietal region. Migraineurs had positive correlations (p<0.01
uncorrected) between pain thresholds and cortical thickness in left superior
temporal/inferior parietal, right precuneus, right superior temporal/inferior
parietal, and left inferior parietal regions. Cortical thickness-to-pain
threshold correlations differed between migraine and control groups (p<0.01
uncorrected) for right superior temporal/inferior parietal, right precentral,
left posterior cingulate/precuneus, and right inferior parietal regions and
(p<0.01 Monte Carlo corrected) for a left superior temporal/inferior parietal
region. CONCLUSIONS: Unlike healthy control subjects who have a significant
negative correlation between cortical thickness in a superior temporal/inferior
parietal region with pain thresholds, migraineurs have a non-significant positive
correlation between cortical thickness in a superior temporal/inferior parietal
region with pain thresholds. Since this region participates in orienting and
attention to painful stimuli, absence of the normal correlation might represent a
migraineurs inability to inhibit pain sensation via shifting attention away from
the painful stimulus.
PMID- 24932549
TI - [Testicular tumors - results of primary retroperitoneal lymphadenectomy].
PMID- 24932548
TI - Carnosine ameliorates lens protein turbidity formations by inhibiting calpain
proteolysis and ultraviolet C-induced degradation.
AB - Carnosine (CAR) is an endogenous peptide and present in lens, but there is little
evidence for its effectiveness in calpain-induced proteolysis inhibition and its
differential effects toward different wavelengths of ultraviolet (UV)
irradiation. This study aimed to develop three in vitro cataract models to
compare the mechanisms underlying the protective activities of CAR. Crude
crystallins extracted from porcine lenses were used for antiproteolysis assays,
and purified gamma-crystallins were used for anti-UV assays. The turbidity in
those in vitro models mimics cataract formation and was assayed by measuring
optical density (OD) at 405 nm. The effectiveness of CAR on calpain-induced
proteolysis was studied at 37 and 58 degrees C. Patterns of proteins were then
analyzed by SDS-PAGE. The turbidity was reduced significantly (p<0.05) at 60 min
measurements with the increased concentration of CAR (10-300 mM). SDS-PAGE showed
that the decreased intensities at both ~28 and ~30 kDa protein bands in heat
enhanced assays were ameliorated by CAR at >=10 mM concentrations. In UV-B
studies, CAR (200, 300 mM) reduced the turbidity of gamma-crystallin
significantly (p<0.05) at 6 h observations. The turbidity of samples containing
gamma-crystallins was ameliorated while incubated with CAR (100, 300 mM)
significantly (p<0.05) following 4 h of exposure to UV-C. SDS-PAGE showed that
the presence of CAR reduced UV-B-induced aggregation of gamma-crystallins at ~44
kDa and resulted in less loss of gamma-crystallin following UV-C exposure. The
result of modeling also suggests that CAR acts as an inhibitor of calpain. In
conclusion, CAR protects lens proteins more readily by inhibiting proteolysis and
UV-C-induced degradation than aggregation induced by UV-B irradiation.
PMID- 24932547
TI - Active-state model of a dopamine D2 receptor-Galphai complex stabilized by
aripiprazole-type partial agonists.
AB - Partial agonists exhibit a submaximal capacity to enhance the coupling of one
receptor to an intracellular binding partner. Although a multitude of studies
have reported different ligand-specific conformations for a given receptor,
little is known about the mechanism by which different receptor conformations are
connected to the capacity to activate the coupling to G-proteins. We have now
performed molecular-dynamics simulations employing our recently described active
state homology model of the dopamine D2 receptor-Galphai protein-complex coupled
to the partial agonists aripiprazole and FAUC350, in order to understand the
structural determinants of partial agonism better. We have compared our findings
with our model of the D2R-Galphai-complex in the presence of the full agonist
dopamine. The two partial agonists are capable of inducing different
conformations of important structural motifs, including the extracellular loop
regions, the binding pocket and, in particular, intracellular G-protein-binding
domains. As G-protein-coupling to certain intracellular epitopes of the receptor
is considered the key step of allosterically triggered nucleotide-exchange, it is
tempting to assume that impaired coupling between the receptor and the G-protein
caused by distinct ligand-specific conformations is a major determinant of
partial agonist efficacy.
PMID- 24932550
TI - [Urinary bladder carcinoma - research subject recruitment!].
PMID- 24932551
TI - [Prostate carcinoma - does estrogen administration increase the cardiovascular
risk?].
PMID- 24932552
TI - [Recurrent urinary tract infections - prevention without antibiotics].
PMID- 24932553
TI - [DGU Congress - securing access to care despite the increase in patient numbers].
PMID- 24932554
TI - [Urolithiasis - settle antiplatelets before ureteroscopy?].
PMID- 24932555
TI - [Prostate cancer - androgen deprivation: intermittent or continuous?].
PMID- 24932556
TI - [Imaging - new technique saves unnecessary tissue sampling].
PMID- 24932557
TI - [Double pump system with rapid irrigation changeover].
PMID- 24932558
TI - [Taxes explanation: deductions for contract physicians].
PMID- 24932559
TI - [Discharge against medical advice].
PMID- 24932560
TI - Local and systemic tolerability of a 2'O-methoxyethyl antisense oligonucleotide
targeting interleukin-4 receptor-alpha delivery by inhalation in mouse and
monkey.
AB - Antisense oligonucleotides (ASOs) bind and facilitate degradation of RNA and
inhibit protein expression in pathways not easily targeted with small molecules
or antibodies. Interleukin (IL)-4 and IL-13 potentiate signaling through the
shared IL-4 receptor-alpha (IL-4Ralpha) subunit of their receptors. ASO targeting
of IL-4Ralpha mRNA in a mouse model of asthma led to attenuation of airway
hyperactivity, demonstrating potential benefit in asthma patients. This study
focused on tolerability of inhaled IL-4Ralpha-targeting ASOs. Toxicity studies
were performed with mouse- (ISIS 23189) and human-specific (ISIS 369645)
sequences administered by inhalation. Four week (monkey) or 13 week (mouse)
repeat doses at levels of up to 15 mg/kg/exposure (exp) and 50 mg/kg/exp,
respectively, demonstrated dose-dependent effects limited to increases in
macrophage size and number in lung and tracheobronchial lymph nodes. The changes
were largely non-specific, reflecting adaptive responses that occur during active
exposure and deposition of ASO and other material in the lung. Reversibility was
observed at a rate consistent with the kinetics of tissue clearance of ASO.
Systemic bioavailability was minimal, and no systemic toxicity was observed at
exposure levels appreciably above pharmacological doses and doses proposed for
clinical trials.
PMID- 24932561
TI - Systemic effects of acute cigarette smoke exposure in mice.
AB - CONTEXT: Cigarette smoke (CS) causes both pulmonary and extrapulmonary disorders.
OBJECTIVE: To determine the pulmonary and extrapulmonary effects of acute CS
exposure in regard to inflammation, oxidative stress and DNA damage. MATERIALS
AND METHODS: Mice were exposed to CS for 10 days and then their lungs, heart,
liver, pancreas, kidneys, gastrocnemius muscle and subcutaneous (inguinal and
flank) and visceral (retroperitoneum and periuterus) adipose tissues were
excised. Bronchoalveolar lavage fluid samples were obtained for differential cell
analysis. Inflammatory cell infiltration of the tissues was assessed by
immunohistochemistry for Mac-3(+) cells, F4/80(+) cells and CD45(+) cells.
Oxidative stress was determined by immunohistochemistry for thymidine glycol (a
marker of DNA peroxidation) and 4-hydroxy hexenal (a marker of lipid
peroxidation), by enzyme-linked immunosorbent assay for protein carbonyls (a
marker of protein peroxidation) and by measurements of enzyme activities of
glutathione peroxidase, superoxide dismutase and catalase. DNA double-strand
breaks were assessed by immunohistochemistry for gammaH2AX. RESULTS: CS exposure
induced inflammatory cell infiltration, oxidative stress and DNA damage in the
lung. Neither inflammatory cell infiltration nor DNA damage was observed in any
extrapulmonary organs. However, oxidative stress was increased in the heart and
inguinal adipose tissue. DISCUSSIONS: Induction of inflammatory cell infiltration
and DNA damage by acute CS exposure was confined to the lung. However, an
increased oxidative burden occurred in the heart and some adipose tissue, as well
as in the lung. CONCLUSIONS: Although extrapulmonary effects of CS are relatively
modest compared with the pulmonary effects, some extrapulmonary organs are
vulnerable to CS-induced oxidative stress.
PMID- 24932562
TI - Epidemiology and impact of urinary incontinence, overactive bladder, and other
lower urinary tract symptoms: results of the EPIC survey in Russia, Czech
Republic, and Turkey.
AB - OBJECTIVE: To estimate the prevalence of urinary incontinence (UI), overactive
bladder (OAB), and other lower urinary tract symptoms (LUTS) in the Czech
Republic, Russia, and Turkey. METHODS: Stage one of this population-based survey
consisted of computer-assisted telephone interviews to obtain prevalence
estimates of storage, voiding, and post-micturition LUTS. Stage two face-to-face
interviews evaluated subjects with mixed urinary incontinence (MUI), stress
urinary incontinence (SUI) or OAB (case group) and a control group (subjects with
other incontinence or LUTS complaints, or no symptoms). OUTCOME MEASURE:
Prevalence of LUTS categories were determined for each country based on
International Continence Society (ICS) criteria. RESULTS AND LIMITATIONS: A total
of 3130 individuals agreed to participate in the survey, which found high rates
of LUTS (men 80%; women 84%) and OAB (men 18%; women 28%). Duration of urinary
symptoms was relatively brief (approximately 60% <= 3 years) and was associated
with relatively modest effects on quality of life and work performance in the
majority of individuals. Forty percent had consulted with a healthcare provider
about their urinary symptoms, of whom 37% had consulted with a physician and 34%
with an urologist, and 12% had been treated with a prescription medication. Drug
therapy, while uncommon, was associated with a high degree of self-reported
improvement (96%). Because of between-country population differences, aggregate
results may not always be representative of results for each of the three
countries individually. Study limitations include reliance on patient self
report, and potential bias introduced by patients who declined to participate in
the survey. CONCLUSIONS: The results of this epidemiologic survey found high
rates of LUTS and OAB, but low levels of medical consultation and very low use of
medication treatment, despite high levels of improvement when medications were
used.
PMID- 24932563
TI - Rapid and reliable DNA assembly via ligase cycling reaction.
AB - Assembly of DNA parts into DNA constructs is a foundational technology in the
emerging field of synthetic biology. An efficient DNA assembly method is
particularly important for high-throughput, automated DNA assembly in
biofabrication facilities and therefore we investigated one-step, scarless DNA
assembly via ligase cycling reaction (LCR). LCR assembly uses single-stranded
bridging oligos complementary to the ends of neighboring DNA parts, a
thermostable ligase to join DNA backbones, and multiple denaturation-annealing
ligation temperature cycles to assemble complex DNA constructs. The efficiency of
LCR assembly was improved ca. 4-fold using designed optimization experiments and
response surface methodology. Under these optimized conditions, LCR enabled one
step assembly of up to 20 DNA parts and up to 20 kb DNA constructs with very few
single-nucleotide polymorphisms (<1 per 25 kb) and insertions/deletions (<1 per
50 kb). Experimental comparison of various sequence-independent DNA assembly
methods showed that circular polymerase extension cloning (CPEC) and Gibson
isothermal assembly did not enable assembly of more than four DNA parts with more
than 50% of clones being correct. Yeast homologous recombination and LCR both
enabled reliable assembly of up to 12 DNA parts with 60-100% of individual clones
being correct, but LCR assembly provides a much faster and easier workflow than
yeast homologous recombination. LCR combines reliable assembly of many DNA parts
via a cheap, rapid, and convenient workflow and thereby outperforms existing DNA
assembly methods. LCR assembly is expected to become the method of choice for
both manual and automated high-throughput assembly of DNA parts into DNA
constructs.
PMID- 24932564
TI - Fluorescence investigation of the detachment of aluminum phthalocyanine molecules
from aluminum phthalocyanine nanoparticles in monocytes/macrophages and skin
cells and their localization in monocytes/macrophages.
AB - BACKGROUND: Nanoparticles made from aluminum phthalocyanine (AlPc) are non
fluorescent in the nanoparticle form. Once AlPc molecules become detached from
the particle, fluorescence occurs. Preliminary work showed the benefit of using
aluminum phthalocyanine nanoparticles (nAlPc) for the rating of the rejection
risk of skin autografts in mice by measuring fluorescence intensities of detached
AlPc. Skin autografts showing a high fluorescence intensity were finally rejected
suggesting an inflammatory process. In contrast, autografts with normal
autofluorescence were accepted. This work was focused on the mechanism of this
finding. The aim is detecting inflammatory processes and the potential use of
nAlPc for PDT as a new treatment modality. METHODS: The effect of the
lipopolysaccharide-stimulated monocyte/macrophage murine cell line J774A.1 on the
monomerization of internalized nAlPc was tested. Further, we investigated the
influence of J774A.1 cells and the normal skin cell lines L-929 or HaCaT on the
dissolution of nAlPc by laser scanning microscopy and flow cytometry.
Localization of AlPc molecules after uptake and dissolution of nanoparticles by
the cells was surveyed. RESULTS: In co-culture models composed of J774A.1 and
HaCaT/L-929 cells, the AlPc fluorescence intensity in J774A.1 cells is 1.38/1.89
fold higher, respectively. According to localization measurements in J774A.1
cells it can be assumed that nAlPc is taken up via endocytosis and remains in
endosomes and/or lysosomes dissolving there. Detached molecules of AlPc cause
rapture of the endosomal and/or lysosomal membrane after irradiation to become
quite uniformly distributed in the cytoplasm. CONCLUSIONS: Evidence for
monocytes/macrophages being the origin of the measured AlPc fluorescence in
rejected skin autografts was confirmed.
PMID- 24932566
TI - Time-series panel analysis (TSPA): multivariate modeling of temporal associations
in psychotherapy process.
AB - OBJECTIVE: Processes occurring in the course of psychotherapy are characterized
by the simple fact that they unfold in time and that the multiple factors engaged
in change processes vary highly between individuals (idiographic phenomena).
Previous research, however, has neglected the temporal perspective by its
traditional focus on static phenomena, which were mainly assessed at the group
level (nomothetic phenomena). To support a temporal approach, the authors
introduce time-series panel analysis (TSPA), a statistical methodology explicitly
focusing on the quantification of temporal, session-to-session aspects of change
in psychotherapy. TSPA-models are initially built at the level of individuals and
are subsequently aggregated at the group level, thus allowing the exploration of
prototypical models. METHOD: TSPA is based on vector auto-regression (VAR), an
extension of univariate auto-regression models to multivariate time-series data.
The application of TSPA is demonstrated in a sample of 87 outpatient
psychotherapy patients who were monitored by postsession questionnaires.
Prototypical mechanisms of change were derived from the aggregation of individual
multivariate models of psychotherapy process. In a 2nd step, the associations
between mechanisms of change (TSPA) and pre- to postsymptom change were explored.
RESULTS: TSPA allowed a prototypical process pattern to be identified, where
patient's alliance and self-efficacy were linked by a temporal feedback-loop.
Furthermore, therapist's stability over time in both mastery and clarification
interventions was positively associated with better outcomes. CONCLUSIONS: TSPA
is a statistical tool that sheds new light on temporal mechanisms of change.
Through this approach, clinicians may gain insight into prototypical patterns of
change in psychotherapy.
PMID- 24932565
TI - The Marriage Checkup: a randomized controlled trial of annual relationship health
checkups.
AB - OBJECTIVE: This study assessed the efficacy of the Marriage Checkup (MC) for
improving relationship health and intimacy. METHOD: Cohabiting married couples (N
= 215, Mage women = 44.5 years, men = 47 years, 93.1% Caucasian) recruited from a
northeastern U.S. metropolitan area through print and electronic media were
randomly assigned to MC treatment or wait-list control. Treatment but not control
couples participated in assessment and feedback visits, at the beginning of the
study and again 1 year later. All couples completed 9 sets of questionnaires over
2 years. Outcome measures included the Quality of Marriage Index, the Global
Distress subscale of the Marital Satisfaction Inventory-Revised, the Intimate
Safety Questionnaire, and the Relational Acceptance Questionnaire. RESULTS: A
latent growth curve model indicated significant between-group differences in
intimacy at every measurement point after baseline (d ranged from .20 to .55, Md
= .37), significant between-group differences in women's felt acceptance for
every measurement point after baseline (d ranged from .17 to .47, Md = .34),
significant between-group differences in men's felt acceptance through the 1-year
2-week follow-up (d across follow-up ranged from .11 to .40, Md = .25), and
significant between-group differences in relationship distress through 1-year 6
month follow-up (d across follow-up ranged from .11 to .39, Md = .23).
CONCLUSIONS: Longitudinal analysis of the MC supports the hypothesis that the MC
significantly improves intimacy, acceptance, and satisfaction. Implications for
dissemination are discussed.
PMID- 24932568
TI - Survivors Perceptions of Recovery following Air Medical Transport Accidents.
AB - Abstract Objective: Air medical transport (AMT) teams play an essential role in
the care of the critically ill and injured. Their work, however, is not without
risk. Since the inception of the industry numerous AMT accidents have been
reported. The objective of this research is to gain a better understanding of the
post-accident sequelae for professionals who have survived AMT accidents. The
hope is that this understanding will empower the industry to better support
survivors and plan for the contingencies of post-accident recovery. Methods:
Qualitative methods were used to explore the experience of flight crew members
who have survived an AMT accident. "Accident" was defined using criteria
established by the National Transportation Safety Board. Traditional focus group
methodology explored the survivors' experiences following the accident. Results:
Seven survivors participated in the focus group. Content analysis revealed themes
in four major domains that described the experience of survivors: Physical,
Psychological, Relational and Financial. Across the themes survivors reported
that industry and company response varied greatly, ranging from generous support,
understanding and action to make safety improvements, to little response or
action and lack of attention to survivor needs. Conclusion: Planning for AMT post
accident response was identified to be lacking in scope and quality. More focused
efforts are needed to assist and support the survivors as they regain both their
personal and professional lives following the accident. This planning should
include all stakeholders in safe transport; the individual crewmember, air
medical transport companies, and the industry at large.
PMID- 24932567
TI - Predictors of child weight loss and maintenance among family-based treatment
completers.
AB - OBJECTIVE: To examine general and treatment-specific predictors of children's
weight outcomes during a pediatric weight management trial. METHOD: One hundred
fifty overweight children-69.3% female; M body mass index (BMI) z score (z-BMI) =
2.21 +/- 0.30-completed family-based behavioral weight loss treatment (FBT),
followed by randomization to social facilitation maintenance (SFM) treatment
addressing social support and body image; behavioral skills maintenance treatment
(BSM), which extended FBT skills to maintenance; or a control condition with no
maintenance treatment. Regression and mixed-effects repeated-measures analysis of
covariance (ANCOVA) examined child and parent anthropometric, demographic, and
psychosocial variables in predicting relative weight outcomes over short- and
long-term follow-ups. RESULTS: Among FBT completers, lower child baseline z-BMI
and age, and greater parent BMI reductions during FBT and baseline self-efficacy,
predicted better child relative weight loss following FBT, F(6, 137) = 7.77, p <
.001. Higher child-reported post-FBT eating pathology predicted greater relative
weight loss in SFM than BSM or control from post-FBT to 2-year follow-up,
F(4,255.88) = 3.48, p = .009, whereas higher parent-reported post-FBT social
support predicted greater relative weight loss in BSM than control, F(2,141.65) =
3.28, p = .04. Lower parent-reported post-FBT behavioral problems predicted
greater relative weight loss in SFM and BSM versus control, F(2,147.84) = 7.37, p
< .001; higher problems predicted equivalent outcome across treatments.
CONCLUSION: SFM may improve weight outcomes for FBT completers with initially
higher eating pathology, whereas extending FBT skills may be effective for those
with higher familial support. These results suggest that certain pretreatment
variables moderate the effectiveness of different pediatric weight control
interventions. Further understanding these findings may help optimally match
families to treatments.
PMID- 24932570
TI - The impact of perceived frailty on surgeons' estimates of surgical risk.
AB - BACKGROUND: Physicians are only moderately accurate in estimating surgical risk
based on clinical vignettes. We assessed the impact of perceived frailty by
measuring the influence of a short video of a standardized patient on surgical
risk estimates. METHODS: Thoracic surgeons and cardiothoracic trainees estimated
the risk of major complications for lobectomy based on clinical vignettes of
varied risk categories (low, average, high). After each vignette, subjects viewed
a randomly selected video of a standardized patient exhibiting either vigorous or
frail behavior, then reestimated risk. Subjects were asked to rate 5 vignettes
paired with 5 different standardized patients. RESULTS: Seventy-one physicians
participated. Initial risk estimates varied according to the vignette risk
category: low 15.2%+/-11.2% risk; average 23.7%+/-16.1%; high 37.3%+/-18.9%
(p<0.001 by analysis of variance). Concordant information in vignettes and videos
moderately altered estimates (high risk vignette, frail video 10.6%+/-27.5%
increase in estimate, p=0.006; low risk vignette, vigorous video 14.5%+/-45.0%
decrease, p=0.009). Discordant findings influenced risk estimates more
substantially (high risk vignette, vigorous video 21.2%+/-23.5% decrease in
second risk estimate, p<0.001; low risk vignette, frail video 151.9%+/-209.8%
increase, p<0.001). CONCLUSIONS: Surgeons differentiated relative risk of
lobectomy based on clinical vignettes. The effect of viewing videos was small
when vignettes and videos were concordant; the effect was more substantial when
vignettes and videos were discordant. The information will be helpful in training
future surgeons in frailty recognition and risk estimation.
PMID- 24932569
TI - WWOX at the crossroads of cancer, metabolic syndrome related traits and CNS
pathologies.
AB - WWOX was cloned as a putative tumor suppressor gene mapping to chromosomal
fragile site FRA16D. Deletions affecting WWOX accompanied by loss of expression
are frequent in various epithelial cancers. Translocations and deletions
affecting WWOX are also common in multiple myeloma and are associated with worse
prognosis. Metanalysis of gene expression datasets demonstrates that low WWOX
expression is significantly associated with shorter relapse-free survival in
ovarian and breast cancer patients. Although somatic mutations affecting WWOX are
not frequent, analysis of TCGA tumor datasets led to identifying 44 novel
mutations in various tumor types. The highest frequencies of mutations were found
in head and neck cancers and uterine and gastric adenocarcinomas. Mouse models of
gene ablation led us to conclude that Wwox does not behave as a highly penetrant,
classical tumor suppressor gene since its deletion is not tumorigenic in most
models and its role is more likely to be of relevance in tumor progression rather
than in initiation. Analysis of signaling pathways associated with WWOX
expression confirmed previous in vivo and in vitro observations linking WWOX
function with the TGFbeta/SMAD and WNT signaling pathways and with specific
metabolic processes. Supporting these conclusions recently we demonstrated that
indeed WWOX behaves as a modulator of TGFbeta/SMAD signaling by binding and
sequestering SMAD3 in the cytoplasmic compartment. As a consequence progressive
loss of WWOX expression in advanced breast cancer would contribute to the pro
metastatic effects resulting from TGFbeta/SMAD3 hyperactive signaling in breast
cancer. Recently, GWAS and resequencing studies have linked the WWOX locus with
familial dyslipidemias and metabolic syndrome related traits. Indeed, gene
expression studies in liver conditional KO mice confirmed an association between
WWOX expression and lipid metabolism. Finally, very recently the first human
pedigrees with probands carrying homozygous germline loss of function WWOX
mutations have been identified. These patients are characterized by severe CNS
related pathology that includes epilepsy, ataxia and mental retardation. In
summary, WWOX is a highly conserved and tightly regulated gene throughout
evolution and when defective or deregulated the consequences are important and
deleterious as demonstrated by its association not only with poor prognosis in
cancer but also with other important human pathologies such as metabolic syndrome
and CNS related pathologic conditions.
PMID- 24932573
TI - Immobilization of Trichoderma harzianum alpha-amylase on treated wool:
optimization and characterization.
AB - alpha-Amylase from Trichoderma harzianum was covalently immobilized on activated
wool by cyanuric chloride. Immobilized alpha-amylase exhibited 75% of its initial
activity after 10 runs. The soluble and immobilized alpha-amylases exhibited
maximum activity at pH values 6.0 and 6.5, respectively. The immobilized enzyme
was more thermally stable than the soluble one. Various substrates were
hydrolyzed by immobilized alpha-amylase with high efficiencies compared to those
of soluble alpha-amylase. The inhibition of the immobilized alpha-amylase by
metal ions was low as compared with soluble enzyme. On the basis of the results
obtained, immobilized alpha-amylase could be employed in the saccharification of
starch processing.
PMID- 24932572
TI - Tetrabutylammonium bromide (TBABr)-based deep eutectic solvents (DESs) and their
physical properties.
AB - Density, viscosity and ionic conductivity data sets of deep eutectic solvents
(DESs) formed by tetrabutylammonium bromide (TBABr) paired with ethlyene glycol,
1,3-propanediol, 1,5-pentanediol and glycerol hydrogen bond donors (HBDs) are
reported. The properties of DES were measured at temperatures between 303 K and
333 K for HBD percentages of 66.7% to 90%. The effects of HBDs under different
temperature and percentages are systematically analyzed. As expected, the
measured density and viscosity of the studied DESs decreased with an increase in
temperature, while ionic conductivity increases with temperature. In general,
DESs made of TBABr and glycerol showed the highest density and viscosity and the
lowest ionic conductivity when compared to other DESs. The presence of an extra
hydroxyl group on glycerol in a DES affected the properties of the DES.
PMID- 24932571
TI - Overexpression of piRNA pathway genes in epithelial ovarian cancer.
AB - The importance of the Piwi-interacting RNA (piRNA) pathway for germ cell
maintenance, genome integrity, DNA methylation and retrotransposon control raises
possible roles of this pathway in cancer. Indeed aberrant expression of human
PIWI orthologs and Maelstrom has been observed in various cancers. In this study
we explored the expression and function of piRNA pathway genes in human ovarian
cancer, based on our recent work, which showed widespread expression of piRNA
pathway genes in the mammalian. Our work shows that PIWIL1 and MAEL expression is
significantly increased in malignant EOC (n = 25) compared to benign tumor
tissues (n = 19) and normal ovarian tissue (n = 8). The expression of PIWIL3 is
lower in malignant and benign tissues when compared to normal ovary. Sequencing
of PIWIL1 transcript revealed that in many tumors deletion of exon 17 leads to
the introduction of a premature stop codon in the PIWI domain, likely due to a
splicing error. In situ hybridization on tumor sections revealed that L1, PIWIL1,
2 and MAEL are specifically expressed in epithelial cells (cancerous cells) of
EOC. Furthermore, PIWIL2 and MAEL are co-expressed in the stromal cells adjacent
to tumor cells. Since PIWIL1 and MAEL are up regulated in malignant EOC and
expressed in the epithelial cells, we investigated if these two genes affect
invasiveness of ovarian cancer cell lines that do not normally express these
genes. PIWIL1 and MAEL were transiently over expressed in the ovarian cancer cell
line SKOV3, followed by real-time measurements of cell invasiveness. Surprisingly
both PIWIL1 and MAEL over expression decreased the invasiveness of SKOV3 cells.
Our findings support a growing body of evidence that shows that genes in this
pathway are upregulated in cancer. In ovarian cancer we show for the first time
that Piwil1 transcript may often be abnormal result in non functional product. In
contrast to what has been observed in other cell types, we found that PIWIL1 and
MAEL have a repressive effect on cell invasiveness.
PMID- 24932574
TI - Spontaneous grafting of nitrophenyl groups on carbon: effect of radical scavenger
on organic layer formation.
AB - The effect of a radical scavenger (DPPH: 2,2-diphenyl-1-picrylhydrazyl) on the
spontaneous covalent grafting of nitrophenyl functionalities on a vitreous carbon
substrate using the 4-nitrobenzene diazonium cation has been studied by
electrochemical measurements and X-ray photoelectron spectroscopy. The addition
of micromolar concentrations of DPPH to the diazonium solution efficiently limits
the multilayer formation and leads to monolayer surface coverage. Control of
polyaryl layer formation via the capture of the reactive nitrophenyl radical was
also found to increase the proportion of nitrophenyl groups grafted to the
surface via azo bridges. This work validates the recently reported strategy using
a radical scavenger to prevent the formation of a polyaryl layer without
interfering with direct surface grafting.
PMID- 24932575
TI - Importance of the donor:fullerene intermolecular arrangement for high-efficiency
organic photovoltaics.
AB - The performance of organic photovoltaic (OPV) material systems are hypothesized
to depend strongly on the intermolecular arrangements at the donor:fullerene
interfaces. A review of some of the most efficient polymers utilized in
polymer:fullerene PV devices, combined with an analysis of reported polymer donor
materials wherein the same conjugated backbone was used with varying alkyl
substituents, supports this hypothesis. Specifically, the literature shows that
higher-performing donor-acceptor type polymers generally have acceptor moieties
that are sterically accessible for interactions with the fullerene derivative,
whereas the corresponding donor moieties tend to have branched alkyl substituents
that sterically hinder interactions with the fullerene. To further explore the
idea that the most beneficial polymer:fullerene arrangement involves the
fullerene docking with the acceptor moiety, a family of benzo[1,2-b:4,5
b']dithiophene-thieno[3,4-c]pyrrole-4,6-dione polymers (PBDTTPD derivatives) was
synthesized and tested in a variety of PV device types with vastly different
aggregation states of the polymer. In agreement with our hypothesis, the PBDTTPD
derivative with a more sterically accessible acceptor moiety and a more
sterically hindered donor moiety shows the highest performance in bulk
heterojunction, bilayer, and low-polymer concentration PV devices where fullerene
derivatives serve as the electron-accepting materials. Furthermore, external
quantum efficiency measurements of the charge-transfer state and solid-state two
dimensional (2D) (13)C{(1)H} heteronuclear correlation (HETCOR) NMR analyses
support that a specific polymer:fullerene arrangement is present for the highest
performing PBDTTPD derivative, in which the fullerene is in closer proximity to
the acceptor moiety of the polymer. This work demonstrates that the
polymer:fullerene arrangement and resulting intermolecular interactions may be
key factors in determining the performance of OPV material systems.
PMID- 24932577
TI - Study by Use of 1H NMR Spectroscopy of the Adsorption and Decomposition of
Glycine, Leucine, and Derivatives in TiO2 Photocatalysis.
AB - The photocatalytic decomposition and adsorption of glycine (Gly), Gly-Gly, and
Gly-Gly-Gly, and leucine (Leu), Leu-Gly, Gly-Leu, and Leu-Gly-Gly, in TiO2 (100%
anatase crystal form) aqueous suspension were investigated by 1H NMR
spectroscopy. The side chain of Leu, the carboxylic group, and the peptide bond
were recognized as the adsorptive sites of the peptides on the surface of TiO2.
For Gly-Leu and Leu-Gly-Gly, the photocatalytic decomposition that took place
under UV irradiation resulted from the preferable adsorption of the hydrophobic
side chain of Leu on the TiO2 surface, while for Gly-Gly and Gly-Gly-Gly, the
photodecomposition proceeded by weak adsorption of the peptide bonds on the
surface of TiO2.
PMID- 24932576
TI - Carbon-dot-based ratiometric fluorescent probe for imaging and biosensing of
superoxide anion in live cells.
AB - In this article, a ratiometric fluorescent biosensor for O2(*-) was developed, by
employing carbon dots (C-Dots) as the reference fluorophore and hydroethidine
(HE), a specific organic molecule toward O2(*-), playing the role as both
specific recognition element and response signal. The hybrid fluorescent probe CD
HE only emitted at 525 nm is ascribed to C-Dots, while HE was almost
nonfluorescent, upon excitation at 488 nm. However, after reaction with O2(*-), a
new emission peak ascribed to the reaction products of HE and O2(*-) was clearly
observed at 610 nm. Meanwhile, this peak gradually increased with the increasing
concentration of O2(*-) but the emission peak at 525 nm stayed constant, leading
to a ratiometric detection of O2(*-). The inorganic-organic fluorescent sensor
exhibited high sensitivity, a broad dynamic linear range of ~5 * 10(-7)-1.4 * 10(
4) M, and low detection limit down to 100 nM. The present probe also showed high
accuracy and excellent selectivity for O2(*-) over other reactive oxygen species
(ROS), metal ions, and so on. Moreover, the C-Dot-based inorganic-organic probe
demonstrated long-term stability against pH changes and continuous light
illumination, good cell-permeability, and low cytotoxicity. Accordingly, the
developed fluorescent biosensor was eventually applied for intracellular
bioimaging and biosensing of O2(*-) changes upon oxidative stress.
PMID- 24932578
TI - Immunological disbalance in carcinogenesis.
AB - It is postulated a conception of immunological disbalance between carcinogenesis
inhibiting and stimulating antibodies (Ab). Inhibiting Ab prevent the carcinogens
and estradiol but increase the progesterone penetration into the target cells.
And vise versa do stimulating Ab. Inhibiting Ab could be blocked by corresponding
antiidiotypic Ab. The processes of carcinogenesis initiation and promotion are
intensified when stimulating Ab prevail over inhibiting ones.
PMID- 24932579
TI - A role for cAMP-driven transactivation of EGFR in cancer aggressiveness -
therapeutic implications.
AB - In many common cancers, production of cAMP boosts cancer proliferation, survival,
and aggressiveness, reflecting the fact that, through mechanisms that require
further clarification, cAMP can promote tyrosine phosphorylation, notably
transactivation of the epidermal growth factor receptor (EGFR). Hormones which
activate adenylate cyclase in many cancers include PGE2 - often produced by cox-2
activity within tumors - and adrenergic hormones, acting on beta2 receptors.
NSAID cyclooxygenase inhibitors, including low-dose aspirin, clearly reduce risk
for many adenocarcinomas, but the impact of cox-2 inhibitors in clinical cancer
therapy remains somewhat equivocal. There is increasing evidence that increased
sympathetic drive, often reflecting psychic stress or tobacco usage, increases
risk for, and promotes the aggressiveness of, many cancers. The non-specific beta
antagonist propranolol shows cancer-retardant activity in pre-clinical rodent
studies, especially in stressed animals, and a limited amount of epidemiology
concludes that concurrent propranolol usage is associated with superior prognosis
in breast cancer, ovarian cancer, and melanoma. Epidemiology correlating
increased resting heart rate with increased total cancer mortality can be
interpreted as compelling evidence that increased sympathetic drive encourages
the onset and progression of common cancers. Conversely, hormones which inhibit
adenylate cyclase activity in cancers may have potential for cancer control;
GABA, which can be administered as a well-tolerated nutraceutical, has potential
in this regard. Combination regimens intended to down-regulate cancer cAMP
levels, perhaps used in conjunction with EGFR inhibitors, may have considerable
potential for suppressing the contribution of cAMP/EGFR to cancer aggressiveness.
This model also predicts that certain other hormones which activate adenylate
cylase in various tissue may play a yet-unsuspected role in cancer induction and
spread.
PMID- 24932580
TI - Thiol oxidation of mitochondrial F0-c subunits: a way to switch off antimicrobial
drug targets of the mitochondrial ATP synthase.
AB - A primary goal in antimicrobial drug design is to find molecules which inhibit
key proteins in bacteria without affecting mammalian homologues. To this aim,
structural differences between eukaryotic and prokaryotic enzyme proteins
involved in life processes are widely exploited. The membrane-bound enzyme
complex ATP synthase synthesizes the energy currency molecule of the cell. Due to
its bioenergetic role, it represents "the enzyme of life" of all living beings.
The enzyme complex has the unique bi-functional property of exploiting either the
electrochemical transmembrane gradient to make ATP or, conversely, the free
energy of ATP hydrolysis to build an electrochemical gradient across the
membrane. The catalytic mechanism of ATP synthesis/hydrolysis, based on the
coupling between the two rotary sectors FO and F1 is shared by eukaryotes and
prokaryotes. However slight structural differences distinguish prokaryotic ATP
synthases, embedded in cell membrane, from eukaryotic ones localized in the
mitochondrial inner membrane. In spite of its fundamental task in living
organisms, up to now the ATP synthase has been poorly exploited as target in
antibacterial therapy, mainly due to harmful effects on patients. Recent advances
shoulder the use of drugs targeting the ATP synthase to fight mycobacteria and
treat human tuberculosis. Macrolide antibiotics and other antimicrobial drugs
specifically bind to the c-ring of the membrane-embedded FO domain, thus blocking
ion translocation through FO which is essential for both ATP synthesis and ATP
hydrolysis. Our findings show that, once bound to the ATP synthase, probably
through different binding sites on a common binding region on FO, the macrolide
antibiotics oligomycin, venturicidin and bafilomycin behave as enzyme inhibitors.
Interestingly, the c subunits of mitochondrial ATP synthase contain conserved
cysteine residues which are absent in bacteria. We pointed out that when these
crucial cysteine thiols are oxidized, the common drug binding site of the enzyme
is somehow destabilized, thus weakening the enzyme-drug interactions and making
the ATP synthase insensitive to drug inhibition. On these bases we hypothesize
that the selective oxidation of these cysteine thiols can be exploited to
desensitize the mitochondrial ATP synthase to drugs which target FO and maintain
their inhibitory potency on bacterial ATP synthases. According to our hypothesis,
this strategy could represent an intriguing tool to prevent adverse effects of
antimicrobial drugs in mammals, thus enhancing the number of natural and
synthetic compounds which can be used in therapy. To this aim studies should be
addressed to the identification and formulation of compounds and/or treatments
able to selectively oxidize the crucial cysteine thiols of c-subunits without
affecting the overall functionality of the mitochondrial ATP synthase and other
thiol containing proteins.
PMID- 24932581
TI - Depressive symptoms and career-related goal appraisals: genetic and environmental
correlations and interactions.
AB - In order to further understand why depressive symptoms are associated with
negative goal appraisals, the present study examined the genetic and
environmental correlations and interactions between depressive symptoms and
career-related goal appraisals. A total of 1,240 Finnish twins aged 21-26 years
completed a questionnaire containing items on the appraisal of their career goals
along five dimensions: importance, progress, effort, strain, and self-efficacy.
In the same questionnaire, the 10-item General Behavior Inventory assessed
depressive symptoms. Structural equation modeling was used to evaluate the
genetic and environmental correlations and gene-environment interactions between
the career-goal appraisals and depressive symptoms. Associations were identified,
and were attributed to environmental factors. Of the career-related goal
appraisals, the shared environmental component was of a higher magnitude for the
dimension of strain among the depressed compared with non-depressed subjects. The
results indicate that the interplay between depressive symptoms and negative
career-related goal appraisals is significantly affected by environmental
factors, and thus possibly susceptible to targeted interventions.
PMID- 24932582
TI - The common variant rs4444235 near BMP4 confers genetic susceptibility of
colorectal cancer: an updated meta-analysis based on a comprehensive statistical
strategy.
AB - OBJECTIVE: We performed an updated meta-analysis, using a comprehensive strategy
of a logistic regression and a model-free approach, to evaluate more precisely
the role of the rs4444235 variant near the Bone morphogenetic protein-4 (BMP4)
gene in susceptibility to colorectal cancer (CRC). METHODS: A total of 19 studies
with 28770 cases and 28234 controls were included. Metagen system with logistic
regression was applied to choose the most plausible genetic model for rs4444235.
Generalized odds ratio (ORG) metric was used to provide a global test of
relationship between rs4444235 and CRC risk. RESULTS: Metagen analysis suggested
the rs4444235 fitted best to an additive model. In assessment of the additive
model, heterogeneity was observed (P = 0.059, I2 = 36.1), and pooled per-allele
OR was 1.08 (95% CI = 1.05-1.11). Based on the model-free approach, pooled ORG
was 1.09 (95% CI = 1.05-1.14) under a random-effect model. Stratified analyses
suggested heterogeneity could be in part explained by population ethnicity, study
design, sources of controls, and sample size. Sensitivity analysis further
supported the robust stability of the current results, by showing similar pooled
estimates before and after sequential removal of each study. CONCLUSIONS: This
meta-analysis provides a robust estimate of the positive association between the
rs4444235 and CRC risk and further emphasizes the importance of the rs4444235 in
CRC risk prediction.
PMID- 24932583
TI - Dysplastic hepatocytes develop nuclear inclusions in a mouse model of viral
hepatitis.
AB - Viral hepatitis resulting in chronic liver disease is an important clinical
challenge and insight into the cellular processes that drive pathogenesis will be
critical in order to develop new diagnostic and therapeutic options. Nuclear
inclusions in viral and non-viral hepatitis are well documented and have
diagnostic significance in some disease contexts. However, the origins and
functional consequences of these nuclear inclusions remain elusive. To date the
clinical observation of nuclear inclusions in viral and non-viral hepatitis has
not been explored at depth in murine models of liver disease. Herein, we report
that in a transgenic model of hepatitis B surface antigen mediated hepatitis,
murine hepatocytes exhibit nuclear inclusions. Cells bearing nuclear inclusions
were more likely to express markers of cell proliferation. We also established a
correlation between these inclusions and oxidative stress. N-acetyl cysteine
treatment effectively reduced oxidative stress levels, relieved endoplasmic
reticulum (ER) stress, and the number of nuclear inclusions we observed in the
transgenic mice. Our results suggest that the presence of nuclear inclusions in
hepatocytes correlates with oxidative stress and cellular proliferation in a
model of antigen mediated hepatitis.
PMID- 24932584
TI - Traffic-related air pollution and the onset of myocardial infarction: disclosing
benzene as a trigger? A small-area case-crossover study.
AB - BACKGROUND AND OBJECTIVES: Exposure to traffic is an established risk factor for
the triggering of myocardial infarction (MI). Particulate matter, mainly emitted
by diesel vehicles, appears to be the most important stressor. However, the
possible influence of benzene from gasoline-fueled cars has not been explored so
far. METHODS AND RESULTS: We conducted a case-crossover study from 2,134 MI cases
recorded by the local Coronary Heart Disease Registry (2000-2007) in the
Strasbourg Metropolitan Area (France). Available individual data were age,
gender, previous history of ischemic heart disease and address of residence at
the time of the event. Nitrogen dioxide, particles of median aerodynamic diameter
<10 um (PM10), ozone, carbon monoxide and benzene air concentrations were modeled
on an hourly basis at the census block level over the study period using the
deterministic ADMS-Urban air dispersion model. Model input data were emissions
inventories, background pollution measurements, and meteorological data. We have
found a positive, statistically significant association between concentrations of
benzene and the onset of MI: per cent increase in risk for a 1 ug/m3 increase in
benzene concentration in the previous 0, 0-1 and 1 day was 10.4 (95% confidence
interval 3-18.2), 10.7 (2.7-19.2) and 7.2 (0.3-14.5), respectively. The
associations between the other pollutants and outcome were much lower and in
accordance with the literature. CONCLUSION: We have observed that benzene in
ambient air is strongly associated with the triggering of MI. This novel finding
needs confirmation. If so, this would mean that not only diesel vehicles, the
main particulate matter emitters, but also gasoline-fueled cars--main benzene
emitters-, should be taken into account for public health action.
PMID- 24932587
TI - Gas-forming liver abscess in a patient with multi-organ failure: role of imaging
and management.
AB - We present an unusual case of air-containing liver abscess demonstrated on plain
film and ultrasonography with successful treatment utilizing ultrasound-guided
drainage in a patient in septic shock. Although surgical drainage is often
indicated, ultrasound-guided catheter drainage along with supportive antibiotic
therapy can be a safe treatment alternative in critical patients.
PMID- 24932585
TI - Tight coupling of Na+/K+-ATPase with glycolysis demonstrated in permeabilized rat
cardiomyocytes.
AB - The effective integrated organization of processes in cardiac cells is achieved,
in part, by the functional compartmentation of energy transfer processes.
Earlier, using permeabilized cardiomyocytes, we demonstrated the existence of
tight coupling between some of cardiomyocyte ATPases and glycolysis in rat. In
this work, we studied contribution of two membrane ATPases and whether they are
coupled to glycolysis--sarcoplasmic reticulum Ca2+ ATPase (SERCA) and plasmalemma
Na+/K+-ATPase (NKA). While SERCA activity was minor in this preparation in the
absence of calcium, major role of NKA was revealed accounting to ~30% of the
total ATPase activity which demonstrates that permeabilized cell preparation can
be used to study this pump. To elucidate the contribution of NKA in the pool of
ATPases, a series of kinetic measurements was performed in cells where NKA had
been inhibited by 2 mM ouabain. In these cells, we recorded: ADP- and ATP
kinetics of respiration, competition for ADP between mitochondria and pyruvate
kinase (PK), ADP-kinetics of endogenous PK, and ATP-kinetics of total ATPases.
The experimental data was analyzed using a series of mathematical models with
varying compartmentation levels. The results show that NKA is tightly coupled to
glycolysis with undetectable flux of ATP between mitochondria and NKA. Such tight
coupling of NKA to PK is in line with its increased importance in the
pathological states of the heart when the substrate preference shifts to glucose.
PMID- 24932588
TI - Is malnutrition a problem for multiple sclerosis patients?
AB - Nutritional problems associated with multiple sclerosis (MS) have been observed
in a number of studies and case reports. However, the prevalence of malnutrition
in MS patients is currently unknown. The primary aim of this study was to assess
the prevalence of malnutrition in MS patients and to compare the frequency of
malnutrition in MS to that of other diseases. The second aim of the study was to
determine whether malnutrition was associated with MS type, disease duration or
disability status in MS patients. One hundred two MS patients were included in
the current study. The control group consisted of 50 patients with other chronic
neurological diseases. Neurological examination scores, Kurtzke Functional System
Scale scores, serum albumin levels, sedimentation rate and C reactive protein
(CRP) were recorded for all patients. Chronic malnutrition was defined as serum
albumin levels below 3.5 g/dl with normal sedimentation rate and CRP levels.
Twelve MS patients and one control patient were diagnosed with chronic
malnutrition, but the difference was not statistically significant (p=0.062). In
the MS group, MS type, disease duration, number of attacks, Expanded Disability
State Score and Functional System Scale scores were not significantly different
regardless of patients' serum albumin levels. We found malnutrition was more
prevalent in MS patients than in other chronic diseases. Malnutrition in MS
patients was independent of disease course, disease duration, number of attacks,
disability status and functional system involvement. These results should be
confirmed with further prospective studies in larger MS populations from several
facilities.
PMID- 24932589
TI - Variant rs1906591 on chromosome 4q25 confers increased risk of cardioembolic
stroke in Chinese patients.
AB - Ischemic stroke (IS) is a heterogeneous multifactorial disorder caused by both
genetic and environmental factors. A genome-wide association study on stroke in
Caucasians identified a variant on chromosome 4q25 that is significantly
associated with IS, with the strongest risk for cardioembolic stroke (CES). The
current study aims to investigate the association of the rs1906591 variant on
4q25 with IS through a case-control study in a Chinese Han population. A total of
712 IS patients and 774 control subjects were involved in the current research.
Stroke subtyping was performed according to the Trial of Org 10172 in Acute
Stroke Treatment criteria. The genotypes were determined using the SNaPshot
technique. The association of the genotypes with the risk of IS was estimated
using logistic regression analysis. The rs1906591 single nucleotide polymorphism
variant was associated with the CES subtype in both recessive and additive models
(recessive model: odds ratio [OR]=2.58, 95% confidence interval [CI] 1.47-4.53,
p=0.001, adjusted OR=2.71, 95% CI 1.48-4.96, p=0.001; additive model: OR=2.50,
95% CI 1.19-5.25, p=0.015, adjusted OR=2.83, 95% CI 1.24-6.50, p=0.013). This
result indicates that patients with the AA genotype have a higher rate of CES
than other genotypes. However, the rs1906591 variant was not significantly
associated with the overall incidence of stroke or other stroke subtypes. The
rs1906591 variant is significantly associated with CES in the Chinese Han
population, but not with other stroke subtypes.
PMID- 24932586
TI - Relative hypo- and hypercortisolism are both associated with depression and lower
quality of life in bipolar disorder: a cross-sectional study.
AB - BACKGROUND: Depression in unipolar and bipolar disorders is associated with
hypothalamic-pituitary-adrenal-axis (HPA-axis) hyperactivity. Also, unipolar
disorder has recently been shown to exhibit HPA-axis hypoactivity. We studied for
the first time how HPA-axis hypo- and hyperactivity relate to depression and
disease burden in bipolar disorder. We were interested in studying
hypocortisolism; characterized by increased HPA-axis negative feedback
sensitivity and lower basal cortisol levels together with the opposite HPA-axis
regulatory pattern of hypercortisolism. METHODS: This cross-sectional study
includes 145 type 1 and 2 bipolar outpatients and 145 matched controls. A
dexamethasone-suppression-test (DST) measures the negative feedback sensitivity
and a weight-adjusted very-low-dose DST was employed, which is sensitive in
identifying hypocortisolism and hypercortisolism. The 25th and 75th percentiles
of control post-DST values were used as cut-offs identifying patients exhibiting
relative hypo-, and hypercortisolism. Self-report questionnaires were employed:
Beck-Depression-Inventory (BDI), Montgomery-Asberg-Depression-Rating-Scale (MADRS
S), World-Health-Organization-Quality-of-Life-Assessment-100 and Global
Assessment-of-Functioning. RESULTS: Patients exhibiting relative hypocortisolism
expectedly exhibited lowered basal cortisol levels (p = 0.046). Patients
exhibiting relative hypercortisolism expectedly exhibited elevated basal levels
(p<0.001). Patients exhibiting relative hypocortisolism showed 1.9-2.0 (BDI, p =
0.017, MADRS-S, p = 0.37) and 6.0 (p<0.001) times increased frequencies of
depression and low overall life quality compared with patients exhibiting mid
post-DST values (eucortisolism). Adjusted Odds Ratios (OR:s) for depression
ranged from 3.8-4.1 (BDI, p = 0.006, MADRS-S, p = 0.011) and was 23.4 (p<0.001)
for life quality. Patients exhibiting relative hypercortisolism showed 1.9-2.4
(BDI, p = 0.017, MADRS-S, p = 0.003) and 4.7 (p<0.001) times higher frequencies
of depression and low overall life quality compared with patients exhibiting
eucortisolism. Adjusted OR:s for depression ranged from 2.2-2.7 (BDI, p = 0.068,
MADRS-S, p = 0.045) and was 6.3 (p = 0.008) for life quality. LIMITATIONS: The
cross-sectional design and lack of pre-established reference values of the DST
employed. CONCLUSIONS: Relative hypocortisolism and relative hypercortisolism
were associated with depression and lower life quality, providing novel insights
into the detrimental role of stress in bipolar disorder.
PMID- 24932590
TI - Evaluating initial spine trauma response: injury time to trauma center in PA,
USA.
AB - Historical perceptions regarding the severity of traumatic spinal cord injury has
led to considerable disparity in triage to tertiary care centers. This article
retrospectively reviews a large regional trauma database to analyze whether the
diagnosis of spinal trauma affected patient transfer timing and patterns. The
Pennsylvania Trauma database was retrospectively reviewed. All acute trauma
patient entries for level I and II centers were categorized for diagnosis,
mechanism, and location of injury, analyzing transportation modality and its
influence on time of arrival. A total of 1162 trauma patients were identified
(1014 blunt injuries, 135 penetrating injuries and 12 other) with a mean
transport time of 3.9 hours and a majority of patients arriving within 7 hours
(>75%). Spine trauma patients had the longest mean arrival time (5.2 hours)
compared to blunt trauma (4.2 hours), cranial neurologic injuries (4.35 hours),
and penetrating injuries (2.13 hours, p<0.0001). There was a statistically
significant correlation between earlier arrivals and both cranial trauma
(p=0.0085) and penetrating trauma (p<0.0001). The fastest modality was a fire
rescue (0.93 hours) or police (0.63 hours) vehicle with Philadelphia County (1.1
hour) having the quickest arrival times. Most trauma patients arrived to a
specialty center within 7 hours of injury. However subsets analysis revealed that
spine trauma patients had the greatest transit times. Present research trials for
spinal cord injuries suggest earlier intervention may lead to improved recovery.
Therefore, it is important to focus on improvement of the transportation triage
system for traumatic spinal patients.
PMID- 24932591
TI - Smoking increases the risk of multiple sclerosis in Queensland, Australia.
AB - There is growing evidence for the role of smoking in the aetiology of multiple
sclerosis (MS). We have undertaken a large case-control study of smoking in MS
and assessed this using a regression model. We have confirmed an association
between increased risk of MS and smoking in Queensland, Australia, a region of
intermediate risk for MS. The overall adjusted odds ratio was 1.9 (95% confidence
interval 1.5-2.5) for ever smokers. There was no statistically significant
difference in the risks for males and females. A number of potential mechanisms
to explain this association have been postulated including direct and indirect
(via vitamin D) effects on the immune system.
PMID- 24932592
TI - Methods of committing suicide among 2,347 people in Ohio.
AB - The present study explored gender differences in suicidal methods, aiming to
identify ways to improve our identification of individuals at risk for suicide.
Preferred suicide methods vary by demographics; however, method-specific risk
factors have not been consistently identified. All suicidal deaths (N=2,347) in a
large urban county were identified over a 15-year period (1994-2008). The
majority of men used shooting and hanging. In contrast, women relied on a variety
of methods, including self-poisoning, shooting, hanging, and carbon monoxide
poisoning. Significant demographic differences are evident among individuals who
die by shooting and self-poisoning.
PMID- 24932593
TI - Reframing the goals of care conversation: "we're in a different place".
AB - PURPOSE: Existing recommendations for communicating with patients with metastatic
cancer about redefining goals of care when anticancer treatment is unlikely to
provide benefit are based on limited evidence. This study was designed to elicit
patient and family views on commonly used communication practices. STUDY DESIGN
AND METHODS: Participants were 37 patients with metastatic gastrointestinal
cancer and 20 bereaved family members who listened to audiorecordings of oncology
fellows instructed to discuss a transition in goals of care with a standardized
patient for whom evidence-based palliative chemotherapy was no longer effective.
During semistructured qualitative interviews, participants commented on the
audiorecordings to give feedback on what they liked or disliked about the
oncologist's communication. These comments were transcribed and analyzed.
RESULTS: Three preferred communication practices were identified from
participants' comments. The first practice involves a necessary disruption of the
patient's expectations about "trying another chemo" ("We're in a different
place"). The second practice is offering actionable responses to the disruption
("Here's what we can do now"). The third practice is to find a new place that
acknowledges death is closer yet still allows for "living forward" ("Use your
inner wisdom"). CONCLUSION: This study of patient and family feedback indicates
that patients and families perceive a conversation about goals of care to require
disruption of an existing routine, followed by a process of searching and then
reconfiguration, rather than a logical decision process. These findings suggest
that assessing quality from patient perspectives must take into account a period
of disruption and chaos.
PMID- 24932594
TI - Interfacial mobility scale determines the scale of collective motion and
relaxation rate in polymer films.
AB - Thin polymer films are ubiquitous in manufacturing and medical applications, and
there has been intense interest in how film thickness and substrate interactions
influence film dynamics. It is appreciated that a polymer-air interfacial layer
with enhanced mobility plays an important role in the observed changes and recent
studies suggest that the length scale xi of this interfacial layer is related to
film relaxation. In the context of the Adam-Gibbs and random first-order
transition models of glass formation, these results provide indirect evidence for
a relation between xi and the scale of collective molecular motion. Here we
report direct evidence for a proportionality between xi and the average length L
of string-like particle displacements in simulations of polymer films supported
on substrates with variable interaction strength and rigidity. This relation
explicitly links xi to the theoretical scale of cooperatively rearranging
regions, offering a promising route to experimentally determine this scale of
cooperative motion.
PMID- 24932596
TI - Search for information-bearing components in neural data.
AB - Multivariate empirical mode decomposition (MEMD) is an important extension of
EMD, suitable for processing multichannel data. It can adaptively decompose
multivariate data into a set of intrinsic mode functions (IMFs) that are matched
both in number and in frequency scale. This method is thus holds great potential
for the analysis of multi- channel neural recordings as it is capable of ensuring
all the intrinsic oscillatory modes are aligned not only across channels, but
also across trials. Given a plethora of IMFs derived by MEMD, a question of
significant interest is how to identify which IMFs contain information, and which
IMFs are noise. Existing methods that exploit the dyadic filter bank structure of
white noise decomposition are insufficient since the IMFs do not always adhere to
the presumed dyadic relationship. Here we propose a statistical procedure to
identify information-bearing IMFs, which is built upon MEMD that allows adding
noise as separate channels to serve as a reference to facilitate IMF
identification. In this procedure, Wasserstein distance is used to measure the
similarity between the reference IMF and that from data. Simulations are
performed to validate the method. Local field potentials from cortex of monkeys
while performing visual tasks are used for demonstration.
PMID- 24932595
TI - Tools for diagnosis, monitoring and screening of Schistosoma infections utilizing
lateral-flow based assays and upconverting phosphor labels.
AB - The potential of various quantitative lateral flow (LF) based assays utilizing up
converting phosphor (UCP) reporters for the diagnosis of schistosomiasis is
reviewed including recent developments. Active infections are demonstrated by
screening for the presence of regurgitated worm antigens (genus specific
polysaccharides), whereas anti-Schistosoma antibodies may indicate ongoing as
well as past infections. The circulating anodic antigen (CAA) in serum or urine
(and potentially also saliva) is identified as the marker that may allow
detection of single-worm infections. Quantitation of antigen levels is a reliable
method to study effects of drug administration, worm burden and anti-fecundity
mechanisms. Moreover, the ratio of CAA and circulating cathodic antigen (CCA) is
postulated to facilitate identification of either Schistosoma mansoni or
Schistosoma haematobium infections. The UCP-LF assays allow simultaneous
detection of multiple targets on a single strip, a valuable feature for antibody
detection assays. Although antibody detection in endemic regions is not a useful
tool to diagnose active infections, it gains potential when the ratio of
different classes of antibody specific for the parasite/disease can be
determined. The UCP-LF antibody assay format allows this type of multiplexing,
including testing a linear array of up to 20 different targets. Multiple test
spots would allow detection of specific antibodies, e.g. against different
Schistosoma species or other pathogens as soil-transmitted helminths. Concluding,
the different UCP-LF based assays for diagnosis of schistosomiasis provide a
collection of tests with relatively low complexity and high sensitivity, covering
the full range of diagnostics needed in control programmes for mapping, screening
and monitoring.
PMID- 24932598
TI - Need for comprehensive hormonal workup in the management of adrenocortical tumors
in children.
AB - OBJECTIVE: Clinical findings do not reflect the excess hormonal status in
adrenocortical tumors (ACTs) in children. Identification of abnormal hormone
secretion may help provide the tumor marker and delineate those patients with a
risk of adrenal suppression following tumor removal. To analyze the impact of
complete hormonal assessment regardless of the clinical presentation in hormone
secreting ACTs in childhood. METHODS: Association of hormonal workup at diagnosis
with the clinical findings and frequency of adrenal suppression postoperatively
were analyzed in 18 children with ACT. RESULTS: Seventeen of the 18 patients had
functional ACT. Clinical findings suggested isolated virilization and isolated
Cushing's syndrome in 38.8% and 17.6% of patients, respectively. Hormonal workup
revealed a frequency of 83.3% for hyperandrogenism. The majority of the tumors
(50%) had mixed type hormonal secretion. Hypercortisolism existed in 28.5% of
children with isolated virilization and hyperandrogenism was found in 2/3 of
children with isolated Cushing's syndrome. Various androgens other than
dehydroepiandrosterone sulfate were also determined to be high in
hyperandrogenism. Increased testosterone was a highly prevalent tumor marker.
Nine patients (3 with no signs of hypercortisolism) had adrenal suppression
following tumor removal which lasted 1-24 months. CONCLUSION: Complete hormonal
workup showed the predominance of mixed hormone-secreting type of tumor in the
patients who lacked the appropriate clinical findings and also showed that
patients lacking signs of Cushing's syndrome could have postoperative adrenal
suppression. Clinical findings may not reflect the abnormal hormone secretion in
all cases and tumor markers as well as risk of postoperative adrenal suppression
can best be determined by complete hormonal evaluation at the time of diagnosis.
PMID- 24932599
TI - Effects of carbohydrate counting method on metabolic control in children with
type 1 diabetes mellitus.
AB - OBJECTIVE: Medical nutritional therapy is important for glycemic control in
children and adolescents with type 1 diabetes mellitus (T1DM). Carbohydrate
(carb) counting, which is a more flexible nutritional method, has become popular
in recent years. This study aimed to investigate the effects of carb counting on
metabolic control, body measurements and serum lipid levels in children and
adolescents with T1DM. METHODS: T1DM patients aged 7-18 years and receiving
flexible insulin therapy were divided into carb counting (n=52) and control
(n=32) groups and were followed for 2 years in this randomized, controlled study.
Demographic characteristics, body measurements, insulin requirements, hemoglobin
A1c (HbA1c) and serum lipid levels at baseline and at follow-up were evaluated.
RESULTS: There were no statistically significant differences between the groups
in mean HbA1c values in the year preceding the study or in age, gender, duration
of diabetes, puberty stage, total daily insulin dose, body mass index (BMI)
standard deviation score (SDS) and serum lipid values. While there were no
differences in BMI SDS, daily insulin requirement, total cholesterol, low-density
lipoprotein and triglyceride values between the two groups (p>0.05) during the
follow-up, annual mean HbA1c levels of the 2nd year were significantly lower in
the carb counting group (p=0.010). The mean values of high-density lipoprotein
were also significantly higher in the first and 2nd years in the carb counting
group (p=0.02 and p=0.043, respectively). CONCLUSION: Carb counting may provide
good metabolic control in children and adolescents with T1DM without causing any
increase in weight or in insulin requirements.
PMID- 24932597
TI - Prader-Willi syndrome and growth hormone deficiency.
AB - Prader-Willi syndrome (PWS) is a rare multisystem genetic disorder demonstrating
great variability with changing clinical features during patient's life. It is
characterized by severe hypotonia with poor sucking and feeding difficulties in
early infancy, followed by excessive eating and gradual development of morbid
obesity in later infancy or early childhood. The phenotype is most probably due
to hypothalamic dysfunction which is also responsible for growth hormone (GH) and
thyroid-stimulating hormone (TSH) deficiencies, central adrenal insufficiency and
hypogonadism. The multidimensional problems of patients with PWS can be managed
with multidisciplinary approach. Reduced GH secretion, low peak GH response to
stimulation, decreased spontaneous GH secretion and low serum IGF-1 levels in PWS
patients have been documented in many studies. GH therapy has multiple beneficial
effects on growth and body composition, motor and mental development in PWS
patients. The recommended dosage for GH is 0.5-1 mg/m2/day. GH therapy should not
be started in the presence of obstructive sleep apnea syndrome, adenotonsillar
hypertrophy, severe obesity and diabetes mellitus. GH treatment should be
considered for patients with genetically confirmed PWS in conjunction with
dietary, environmental and life-style measures.
PMID- 24932600
TI - Identification of novel ROR2 gene mutations in Indian children with Robinow
syndrome.
AB - OBJECTIVE: Robinow syndrome (RS) is an extremely rare genetic disorder
characterized by short-limbed dwarfism, defects in vertebral segmentation and
abnormalities in the head, face and external genitalia. Mutations in the ROR2
gene cause autosomal recessive RS (RRS) whereas mutations in WNT5A are
responsible for the autosomal dominant (AD) form of RS. In AD Robinow patients,
oral manifestations are more prominent, while hemivertebrae and scoliosis rarely
occur and facial abnormalities tend to be milder. METHODS: Three unrelated
patients from different parts of India were studied. These patients were
diagnosed as RRS due to presence of characteristic fetal facies, mesomelia, short
stature, micropenis, hemivertebrae and rib abnormalities. One of the patients had
fetal facies and micropenis but unusually mild skeletal features. This patient's
mother had mild affection in the form of short stature and prominent eyes.
Testosterone response to human chorionic gonadotropin was investigated in two
patients and were normal. The exons and exon-intron boundaries of the ROR2 gene
were sequenced for all probands. Bioinformatics analysis was done for putative
variants using SIFT, PolyPhen2 and Mutation Taster. RESULTS: Patients 1, 2 and 3
were homozygous for c.G545A or p.C182Y in exon 5, c.227G>A or p.G76D in exon 3
and c.668G>A or p.C223Y in exon 6 respectively. Prenatal diagnosis could be
performed in an ongoing pregnancy in one family and the fetus was confirmed to be
unaffected. CONCLUSION: ROR2 mutations were documented for the first time in the
Indian population. Knowledge of the molecular basis of the disorder served to
provide accurate counseling and prenatal diagnosis to the families.
PMID- 24932601
TI - The impact of psycho-educational training on the psychosocial adjustment of
caregivers of osteogenesis imperfecta patients.
AB - OBJECTIVE: To investigate the impact of a psycho-educational program developed
for the caregivers of patients diagnosed with osteogenesis imperfecta (OI).
METHODS: The participants consisted of 16 caregivers. The study was designed as a
quasi-experimental pre-test/post-test type study consisting of 10 semi-structured
three-hour training sessions. The data were collected using the "Introductory
Information Form" and appropriate scales (Burden Interview, Coping Strategies
Scale, Problem-Solving Inventory and Psychosocial Adjustment to Illness Scale).
The results were evaluated by descriptive statistics, correlation analysis, one
way variance analysis and Bonferroni analysis. RESULTS: Psychosocial adjustment
levels of the caregivers of OI patients before their participation in the
educational program were found to be associated with styles of coping with
stress, problem-solving skills and care burden. After the psycho-educational
training, the majority of the participants reported favorable changes in their
lives. Following the offered psycho-education resulted in positive changes in the
mean scores of the caregivers (p<0.05). CONCLUSION: Before the education program,
the participants were not able to deal efficiently with many aspects of their
caregiver responsibilities and suffered from an emotional burden due to lack of
knowledge. The program appears to have provided them both with support to achieve
significant psychosocial transformation and with an opportunity to reconsider
their lives in multiple dimensions.
PMID- 24932602
TI - Generation of monocyte-derived insulin-producing cells from non-human primates
according to an optimized protocol for the generation of PCMO-derived insulin
producing cells.
AB - OBJECTIVE: The vision of potential autologous cell therapy for the cure of
diabetes encourages ongoing research. According to a previously published
protocol for the generation of insulin-producing cells from human monocytes, we
analyzed whether the addition of growth factors could increase insulin
production. This protocol was then transferred to a non-human primate model by
using either blood- or spleen-derived monocytes. METHODS: Human monocytes were
treated to dedifferentiate into programmable cells of monocytic origin (PCMO). In
addition to the published protocol, PCMOs were then treated with either activin
A, betacellulin, exendin 3 or 4. Cells were characterized by protein expression
of insulin, Pdx-1, C-peptide and Glut-2. After identifying the optimal protocol,
monocytes from baboon blood were isolated and the procedure was repeated. Spleen
monocytes following splenectomy of a live baboon were differentiated and analyzed
in the same manner and calculated in number and volume. RESULTS: Insulin content
of human cells was highest when cells were treated with activin A and their
insulin content was 13,000 uU/1 million cells. Insulin-producing cells form
primate monocytes could successfully be generated despite using human growth
factors and serum. Expression of insulin, Pdx-1, C-peptide and Glut-2 was
comparable to that of human neo-islets. Total insulin content of activin A
treated baboon monocytes was 16,000 uU/1 million cells. CONCLUSION: We were able
to show that insulin-producing cells can be generated from baboon monocytes with
human growth factors. The amount generated from one spleen could be enough to
cure a baboon from experimentally induced diabetes in an autologous cell
transplant setting.
PMID- 24932603
TI - Lack of association between peripheral activity of thyroid hormones and elevated
TSH levels in childhood obesity.
AB - OBJECTIVE: An elevated thyroid stimulating hormone (TSH) level is a frequent
finding in obese children, but its association with peripheral hormone metabolism
is not fully understood. We hypothesized that in obesity, the changes in thyroid
hormone metabolism in peripheral tissues might lead to dysregulation in the
thyroid axis. The purpose of this study was to investigate the association of TSH
with thyroid hormones in a group of obese children as compared to normal-weight
controls. METHODS: Serum TSH, free thyroxine (fT4) and free triiodothyronine
(fT3) levels were measured in 101 obese children and in 40 controls. Serum
reverse T3 (rT3) levels were also measured in a subgroup of 51 obese children and
in 15 controls. RESULTS: Serum TSH level was significantly higher in obese
children compared to controls (2.78 vs. 1.99 mIU/L, p<0.001), while no difference
was found in fT4, fT3, rT3 levels and in fT3/rT3 ratio. In the obese group, fT3
level positively correlated with fT4 (r=0.217, p=0.033) and inversely with rT3
(r=-0.288, p=0.045). However, thyroid hormone levels and TSH levels were not
correlated. CONCLUSION: In obese children, normal fT4, fT3 and rT3 levels suggest
an undisturbed peripheral hormone metabolism. These levels show no correlation
with elevated TSH levels.
PMID- 24932604
TI - Epidemiology of congenital hypothyroidism in Markazi Province, Iran.
AB - OBJECTIVE: The aim of this study was to investigate the epidemiology of
congenital hypothyroidism (CH) among newborns in Markazi Province, Iran. METHODS:
This cross-sectional study was conducted from 2006 to 2012. Blood samples were
taken between 3 to 5 days after birth from the heel. Thyroid stimulating hormone
(TSH) was tested using the enzyme-linked immunosorbent assay method and was
employed as the screening test. Newborns with abnormal screening results (TSH >5
mIU/L) were re-examined. The data were analyzed using SPSS. RESULTS: A total of
127 112 infants were screened. Of these, 51.2% were male and 48.8% were female.
The coverage rate of the screening program was 100%. Of 6102 recalled subjects
(re-call rate 4.8%), 414 cases with CH were detected, yielding a CH prevalence of
1:307 (female:male ratio 1:0.95). The prevalence of permanent and transient CH
was 1:581 and 1:628, respectively. CONCLUSION: This study reveals that the
prevalence of CH is higher compared to worldwide levels. Comprehensive and
complementary studies for recognizing related risk factors should be a priority
for health system research in this province.
PMID- 24932605
TI - Metabolic bone disease of prematurity: report of four cases.
AB - Osteopenia of prematurity has become a common problem recently because of
improved survival rates of infants with very low birth weight (VLBW). The
incidence of neonatal osteopenia is inversely correlated with gestational age and
birth weight. Herein, we present four cases of preterm osteopenia that were
referred to the pediatric endocrinology outpatient clinic with diverse clinical
and laboratory findings and we discuss the clinical course of these infants with
regard to bone disease after discharge from the neonatal intensive care unit
(NICU). This report highlights the importance of enteral calcium, phosphorus and
vitamin D support at adequate doses following discharge from NICU for preterm
infants with VLBW who are at risk of metabolic bone disease.
PMID- 24932606
TI - Acceleration of puberty during growth hormone therapy in a child with septo-optic
dysplasia.
AB - Septo-optic dysplasia (SOD) is a heterogeneous disorder of the central nervous
system characterized by various endocrinological and neurological findings. It is
a complex disease caused by a combination of genetic and environmental factors.
Herein, we report the case of a 5.5-year-old girl who presented with short
stature and strabismus. Ophthalmological examination revealed bilateral optic
nerve hypoplasia. Ectopic posterior pituitary and bilateral optic hypoplasia were
detected on brain magnetic resonance imaging. The presence of bilateral optic
nerve hypoplasia and hypopituitarism led to the diagnosis of SOD. An abated
growth hormone (GH) response was found in the GH stimulation test and GH
replacement therapy was initiated. At the end of the first year of clinical
follow-up, secondary hypothyroidism was detected and L-thyroxine was added to the
treatment. At the age of 8.25 years, thelarche was noted and 6 months later, the
patient presented with menarche. At this time, the bone age was 12 years and the
basal luteinizing hormone level was 7 mIU/mL. These findings indicated
acceleration in the process of pubertal development. We report this case (i) to
emphasize the need to investigate hypopituitarism in cases with bilateral optic
nerve hypoplasia and (ii) to draw attention to the fact that during the follow-up
of SOD cases receiving GH therapy, inappropriate acceleration of growth velocity
and rapid improvement in bone age may be predictive of central precocious puberty
development.
PMID- 24932607
TI - A combination of nifedipine and octreotide treatment in an hyperinsulinemic
hypoglycemic infant.
AB - Hyperinsulinemic hypoglycemia (HH) is the commonest cause of persistent
hypoglycemia in the neonatal and infancy periods. Mutations in the ABCC8 and
KCNJ11 genes, which encode subunits of the ATP-sensitive potassium channel in the
pancreatic beta cell, are identified in approximately 50% of these patients. The
first-line drug in the treatment of HH is diazoxide. Octreotide and glucagon can
be used in patients who show no response to diazoxide. Nifedipine, a calcium
channel blocker, has been shown to be an effective treatment in a small number of
patients with diazoxide-unresponsive HH. We report a HH patient with a homozygous
ABCC8 mutation (p.W1339X) who underwent a near-total pancreatectomy at 2 months
of age due to a lack of response to diazoxide and octreotide treatment. Severe
hypoglycemic attacks continued following surgery, while the patient was being
treated with octreotide. These attacks resolved when nifedipine was introduced.
Whilst our patient responded well to nifedipine, the dosage could not be
increased to 0.75 mg/kg/day due to development of hypotension, a reported side
effect of this drug. Currently, our patient, now aged 4 years, is receiving a
combination of nifedipine and octreotide treatment. He is under good control and
shows no side effects. In conclusion, nifedipine treatment can be started in
patients with HH who show a poor response to diazoxide and octreotide treatment.
PMID- 24932608
TI - Ring chromosome 13 and ambiguous genitalia.
AB - Ambiguous genitalia, known to be associated with sex chromosome disorders, may
also be seen with autosomal chromosome anomalies. Herein, we report a case with
ambiguous genitalia and ring chromosome 13. Ring chromosome 13 is a rare genetic
anomaly in which the loss of genetic material determines the clinical spectrum.
PMID- 24932609
TI - Heparin-induced hyperkalemia in an extremely-low-birth-weight infant: a case
report.
AB - Heparin may cause hyperkalemia by blocking aldosterone biosynthesis in the
adrenal gland. Dizygotic twin sisters were born by Cesarean section at 25 weeks'
gestation. The younger sister developed acute hyperkalemia (7.4 mEq/L) at 10 days
of age. At the time of the development of the hyperkalemia, there were no signs
of systemic infection, cardiac or renal failure, adrenal insufficiency, or sudden
anemia. She was receiving no medication other than heparin to maintain the
vascular catheter. Heparin was changed to dalteparin at 12 days of age. The
plasma potassium level normalized after 14 days of age. After this change, the
urinary potassium concentration and the aldosterone and plasma renin activity
increased. The urinary aldosterone levels before and after the changes were 31
and 183 pg/MUg creatinine, respectively. When heparin-induced hyperkalemia is
suspected, stopping the heparin administration facilitates diagnosis and
treatment; if anticoagulant therapy is required; one treatment option is changing
from unfractionated heparin to low-molecular-weight heparin.
PMID- 24932612
TI - Phonon bandgap engineering of strained monolayer MoS2.
AB - The phonon band structure of monolayer MoS2 is characteristic of a large energy
gap between acoustic and optical branches, which protects the vibration of
acoustic modes from being scattered by optical phonon modes. Therefore, the
phonon bandgap engineering is of practical significance for the manipulation of
phonon-related mechanical or thermal properties in monolayer MoS2. We perform
both phonon analysis and molecular dynamics simulations to investigate the
tension effect on the phonon bandgap and the compression induced instability of
the monolayer MoS2. Our key finding is that the phonon bandgap can be narrowed by
the uniaxial tension, and is completely closed at epsilon = 0.145; while the
biaxial tension only has a limited effect on the phonon bandgap. We also
demonstrate the compression induced buckling for the monolayer MoS2. The critical
strain for buckling is extracted from the band structure analysis of the flexure
mode in the monolayer MoS2 and is further verified by molecular dynamics
simulations and the Euler buckling theory. Our study illustrates the uniaxial
tension as an efficient method for manipulating the phonon bandgap of the
monolayer MoS2, while the biaxial compression as a powerful tool to intrigue
buckling in the monolayer MoS2.
PMID- 24932610
TI - Feedback-related negativity in children with two subtypes of attention deficit
hyperactivity disorder.
AB - OBJECTIVE: The current model of ADHD suggests abnormal reward and punishment
sensitivity, although differences in ADHD subgroups are unclear. This study aimed
to investigate the effect of feedback valence (reward or punishment) and
punishment magnitude (small or large) on Feedback-Related Negativity (FRN) and
Late Positive Potential (LPP) in two subtypes of ADHD (ADHD-C and ADHD-I)
compared to typically developing children (TD) during a children's gambling task.
METHODS: Children with ADHD-C (n = 16), children with ADHD-I (n = 15) and
typically developing children (n = 15) performed a children's gambling task under
three feedback conditions: large losses, small losses and gains. FRN and LPP
components in brain potentials were recorded and analyzed. RESULTS: In TD
children and children with ADHD-C, large loss feedback evoked more negative FRN
amplitudes than small loss feedback, suggesting that brain sensitivity to the
punishment and its magnitude is not impaired in children with ADHD-C. In contrast
to these two groups, the FRN effect was absent in children with ADHD-I. The LPP
amplitudes were larger in children with ADHD-C in comparison with those with ADHD
I, regardless of feedback valence and magnitude. CONCLUSION: Children with ADHD-C
exhibit intact brain sensitivity to punishment similar to TD children. In
contrast, children with ADHD-I are significantly impaired in neural sensitivity
to the feedback stimuli and in particular, to punishment, compared to TD and ADHD
C children. Thus, FRN, rather than LPP, is a reliable index of the difference in
reward and punishment sensitivity across different ADHD-subcategories.
PMID- 24932611
TI - CoCl2, a mimic of hypoxia, induces formation of polyploid giant cells with stem
characteristics in colon cancer.
AB - The induction of polyploidy is considered the reproductive end of cells, but
there is evidence that polyploid giant cancer cells (PGCCs) contribute to cell
repopulation during tumor relapse. However, the role of these cells in the
development, progression and response to therapy in colon cancer remains
undefined. Therefore, the main objective of this study was to investigate the
generation of PGCCs in colon cancer cells and identify mechanisms of formation.
Treatment of HCT-116 and Caco-2 colon cancer cells with the hypoxia mimic CoCl2
induced the formation of cells with larger cell and nuclear size (PGCCs), while
the cells with normal morphology were selectively eliminated. Cytometric analysis
showed that CoCl2 treatment induced G2 cell cycle arrest and the generation of a
polyploid cell subpopulation with increased cellular DNA content. Polyploidy of
hypoxia-induced PGCCs was confirmed by FISH analysis. Furthermore, CoCl2
treatment effectively induced the stabilization of HIF-1alpha, the differential
expression of a truncated form of p53 (p47) and decreased levels of cyclin D1,
indicating molecular mechanisms associated with cell cycle arrest at G2.
Generation of PGCCs also contributed to expansion of a cell subpopulation with
cancer stem cells (CSCs) characteristics, as indicated by colonosphere formation
assays, and enhanced chemoresistance to 5-fluorouracil and oxaliplatin. In
conclusion, the pharmacological induction of hypoxia in colon cancer cells causes
the formation of PGCCs, the expansion of a cell subpopulation with CSC
characteristics and chemoresistance. The molecular mechanisms involved, including
the stabilization of HIF-1 alpha, the involvement of p53/p47 isoform and cell
cycle arrest at G2, suggest novel targets to prevent tumor relapse and treatment
failure in colon cancer.
PMID- 24932613
TI - Mitochondrial DNA variation and virologic and immunological HIV outcomes in
African Americans.
AB - OBJECTIVE: To evaluate the impact of mitochondrial DNA (mtDNA) haplogroups on
virologic and immunological outcomes of HIV infection. DESIGN: HAART-naive
African American adolescent participants to the Reaching for Excellence in
Adolescent Care and Health study. METHODS: The mtDNA haplogroups were inferred
from sequenced mtDNA hypervariable regions HV1 and HV2 and their predictive value
on HIV outcomes were evaluated in linear mixed models, controlled for human
leukocyte antigen (HLA)-B27, HLA-B57 and HLA-B35-Px alleles and other covariates.
RESULTS: We report data showing that the mtDNA L2 lineage, a group composed of
L2a, L2b and L2e mtDNA haplogroups in the studied population, is significantly
associated (beta = -0.08; Bonferroni-adjusted P = 0.004) with decline of CD4 T
cells (median loss of 8 +/- 1 cells per month) in HAART-naive HIV-infected
individuals of African American descent (n = 133). No significant association (P
< 0.05) with set-point viral load was observed with any of the tested mtDNA
haplogroups. The present data concur with previous findings in the AIDS Clinical
Trials Group study 384, implicating the L2 lineage with slower CD4 T-cell
recovery after antiretroviral therapy in African Americans. CONCLUSIONS: Whereas
the L2 lineage showed an association with unfavorable immunological outcomes of
HIV infection, its phylogenetic divergence from J and U5a, two lineages
associated with accelerated HIV progression in European Americans, raises the
possibility that interactions with common nucleus-encoded variants drive HIV
progression. Disentangling the effects of mitochondrial and nuclear gene variants
on the outcomes of HIV infection is an important step to be taken toward a better
understanding of HIV/AIDS pathogenesis and pharmacogenomics.
PMID- 24932615
TI - Energy balance at a crossroads: translating the science into action.
AB - One of the major challenges facing the United States is the high number of
overweight and obese adults and the growing number of overweight and unfit
children and youth. To improve the nation's health, young people must move into
adulthood without the burden of obesity and its associated chronic diseases.
PURPOSE: To address these issues, the American College of Sports Medicine, the
Academy of Nutrition and Dietetics, and the US Department of
Agriculture/Agriculture Research Service convened an expert panel meeting in
October 2012 titled "Energy Balance at a Crossroads: Translating the Science into
Action." Experts in the fields of nutrition and exercise science came together to
identify the biological, lifestyle, and environmental changes that will most
successfully help children and families attain and manage energy balance and tip
the scale toward healthier weights. METHODS: Two goals were addressed: 1)
professional training and 2) consumer/community education. The training goal
focused on developing a comprehensive strategy to facilitate the integration of
nutrition and physical activity (PA) using a dynamic energy balance approach for
regulating weight into the training of undergraduate and graduate students in
dietetics/nutrition science, exercise science/PA, and pre-K-12 teacher
preparation programs and in training existing cooperative extension faculty. The
education goal focused on developing strategies for integrating dynamic energy
balance into nutrition and PA educational programs for the public, especially
programs funded by federal/state agencies. RESULTS: The meeting expert presenters
and participants addressed three key areas: 1) biological and lifestyle factors
that affect energy balance, 2) undergraduate/graduate educational and training
issues, and 3) best practices associated with educating the public about dynamic
energy balance. CONCLUSIONS: Specific consensus recommendations were developed
for each goal.
PMID- 24932614
TI - Antiretroviral therapy modifies the genetic effect of known type 2 diabetes
associated risk variants in HIV-infected women.
AB - OBJECTIVE: Type 2 diabetes mellitus incidence is increased in HIV-infected
persons. We examined the associations of diabetes mellitus with known diabetes
mellitus-risk alleles from the general population in the context of HIV
infection, and explored effect modification by combination antiretroviral therapy
(cART). METHODS: The Women's Interagency HIV Study is a prospective cohort of HIV
infected women. Seventeen European-derived diabetes mellitus-risk polymorphisms
were genotyped in the eligible participants of the Women's Interagency HIV Study.
Analyses were run separately for non-African Americans (Whites, Hispanics,
Asians, and other; n = 378, 49 with incident diabetes mellitus) and African
Americans (n = 591, 49 with incident diabetes mellitus). Cox proportional-hazards
models were fit to estimate hazard ratios for diabetes mellitus overall and
within strata of cART. RESULTS: In non-African Americans, heterogeneity across
cART regimen was observed for nine of the 14 polymorphisms (phet < 0.05). One
polymorphism was statistically significantly inversely associated with diabetes
mellitus risk among women taking two nucleotide reverse transcriptase inhibitors
(NRTIs) + non-nucleotide reverse transcriptase inhibitor (NNRTI). Five
polymorphisms were statistically significantly associated with diabetes mellitus
among women treated with at least two NRTIs + at least one protease inhibitor and
one polymorphism was associated with diabetes mellitus among those treated with
at least three NRTIs +/- NNRTI. The hazard ratio per risk allele for IGF2BP2
rs1470579 was 2.67 (95% confidence interval 1.67-4.31) for women taking cART with
at least two NRTIs + at least one protease inhibitor and 2.45 (95% confidence
interval 1.08-5.53) in women taking at least three NRTIs +/- NNRTI (phet = 2.50 *
10-3). No such associations were observed in the African Americans. CONCLUSIONS:
Genetic susceptibility to diabetes mellitus, based on the variants studied, is
substantially elevated among HIV-infected women using cART containing three or
more NRTI/protease inhibitor components. A personalized medicine approach to cART
selection may be indicated for HIV-infected persons carrying these diabetes
mellitus-risk variants.
PMID- 24932617
TI - Association between total, processed, red and white meat consumption and all
cause, CVD and IHD mortality: a meta-analysis of cohort studies.
AB - An association between processed and red meat consumption and total mortality has
been reported by epidemiological studies; however, there are many controversial
reports regarding the association between meat consumption and CVD and IHD
mortality. The present meta-analysis was carried out to summarise the evidence
from prospective cohort studies on the association between consumption of meat
(total, red, white and processed) and all-cause, CVD and IHD mortality. Cohort
studies were identified by searching the PubMed and ISI Web of Knowledge
databases. Risk estimates for the highest v. the lowest consumption category and
dose-response meta-analysis were calculated using a random-effects model.
Heterogeneity among the studies was also evaluated. A total of thirteen cohort
studies were identified (1 674 272 individuals). Subjects in the highest category
of processed meat consumption had 22 and 18 % higher risk of mortality from any
cause and CVD, respectively. Red meat consumption was found to be associated with
a 16 % higher risk of CVD mortality, while no association was found for total and
white meat consumption. In the dose-response meta-analysis, an increase of 50 g/d
in processed meat intake was found to be positively associated with all-cause and
CVD mortality, while an increase of 100 g/d in red meat intake was found to be
positively associated with CVD mortality. No significant associations were
observed between consumption of any type of meat and IHD mortality. The results
of the present meta-analysis indicate that processed meat consumption could
increase the risk of mortality from any cause and CVD, while red meat consumption
is positively but weakly associated with CVD mortality. These results should be
interpreted with caution due to the high heterogeneity observed in most of the
analyses as well as the possibility of residual confounding.
PMID- 24932616
TI - A predictive model of the dynamics of body weight and food intake in rats
submitted to caloric restrictions.
AB - Dynamics of body weight and food intake can be studied by temporally perturbing
food availability. This perturbation can be obtained by modifying the amount of
available food over time while keeping the overall food quantity constant. To
describe food intake dynamics, we developed a mathematical model that describes
body weight, fat mass, fat-free mass, energy expenditure and food intake dynamics
in rats. In addition, the model considers regulation of food intake by leptin,
ghrelin and glucose. We tested our model on rats experiencing temporally variable
food availability. Our model is able to predict body weight and food intake
variations by taking into account energy expenditure dynamics based on a memory
of the previous food intake. This model allowed us to estimate this memory lag to
approximately 8 days. It also explains how important variations in food
availability during periods longer than these 8 days can induce body weight
gains.
PMID- 24932618
TI - Objective measures for functional diagnostic of the upper airways: practical
aspects.
AB - OBJECTIVE: To review the main papers published on the main available tests to
obtain objective values of nasal patency and to demonstrate aspects of their use
in medical practice. METHODOLOGY: We performed a non-systematic review of the
MEDLINE and LILACS databases, and the most relevant articles were selected.
RESULTS: Objective evaluations are important in epidemiological studies and in
monitoring of patients with nasal obstruction. There is a wide variety of
objective tests of nasal function; among them acoustic rhinometry,
rhinomanometry, and peak nasal inspiratory flow (PNIF) are currently the most
used tests. CONCLUSION: The choice of the method to evaluate nasal function
depends on the conditions of each health service. PNIF has been highlighted as a
simple and reliable alternative that provides easy-to-interpret results, and is
thus an attractive method for clinical practice.
PMID- 24932619
TI - Cocaine induced midline destructive lesions.
AB - PURPOSE: Review of the literature concerning cocaine induced midline destructive
lesions (CIMDL). METHODS: We reviewed the English literature regarding CIMDL
involving the nose and its surrounding structures. The review is based on a
search of the US National Library of Medicine (PubMed) online database from
January 1st, 1982 to March 31st, 2013. RESULTS: CIMDL is a pathology that mimics
systemic diseases with positive anti-neutrophil cytoplasmic antibodies (ANCA).
The prevalence of CIMDL is considered to be about 4.8% among cocaine users.
Clinical manifestations include hyposmia, facial pain, crusting, ulcers, nasal
septal perforation, palatal perforation, sinus wall destruction, orbital erosion
and damage of the anterior skull base. The presence of ANCA directed against
human neutrophil elastase (HNE) is the most distinguishing feature of CIMDL.
Toxicological tests, indirect immunofluorescence microscopy, antigen specific
solid assay testing, histopathological analysis, apoptosis assay and MRI imaging
concur in the clinical identification of CIMDL. The pathogenesis of CIMDL is
poorly understood and implicates inflammatory, infective, proapoptotic and
autoimmune mechanisms. CONCLUSION: CIMDL must be readily recognized by clinicians
to provide appropriate treatment. Immunosuppressive therapy has no role in the
treatment of CIMDL. Only abstinence can interrupt the progression of the disease.
PMID- 24932620
TI - Use of peak nasal inspiratory flowmetry and nasal decongestant to evaluate
outcome of septoplasty with radiofrequency coblation of the inferior turbinate.
AB - BACKGROUND: To investigate the role of peak nasal inspiratory flowmetry (PNIF) in
evaluating inspiratory improvements in patients who underwent both septoplasty
and inferior turbinate coblation by radiofrequency (ITC-RF). METHODS: One hundred
and eight patients underwent both Cottle's septoplasty and ITC-RF. PNIF
measurements were performed in all patients in the preoperative period and 6
months postoperatively. All measurements were made both before and after
decongestion of the nasal cavity with oxymetazoline spray. RESULTS: Mean
preoperative PNIF measurements differed significantly: 104.3 +/- 33.6 L/min vs
136.1 +/- 27.7 L/min before and after oxymetazoline decongestion, respectively.
Mean postoperative PNIF measurements were 139.2 +/- 30.8 L/min and 151.2 +/- 32.3
L/min before and after decongestion, respectively. Preoperatively the mean
difference between before and after decongestion was 32.1 +/- 16.3 L/min.
Postoperatively the mean difference was 11.8 +/- 11.1 L/min. CONCLUSION: PNIF can
be used in the assessment of ITC-RF outcomes with the aid of nasal decongestants,
even in patients who also underwent septoplasty.
PMID- 24932621
TI - Nasal peak inspiratory flow (NPIF) as a diagnostic tool for differentiating
decongestable from structural nasal obstruction.
AB - BACKGROUND: Identifying the cause of nasal obstruction is critical before
surgical intervention. Structural nasal obstruction, due to nasal valve stenosis,
is unlikely to benefit from simple septoplasty and turbinate reduction. This
study assesses changes in nasal peak inspiratory flow (NPIF) as a tool for
discriminating decongestable versus structural obstruction. METHODOLOGY: Cross
sectional study of patients undergoing nasal airflow assessment was performed.
Rhinomanometry, nasal obstruction visual analogue scores (VAS) and NPIF were
performed pre- and post-decongestion. Population groups were defined with
decongestable or structural obstruction by relative post-decongestion changes in
airways resistance and symptoms. RESULTS: Fifty two patients were assessed, 24
with decongestable, 28 with structural obstruction. Pre- and post-decongestion
NPIF were similar between groups. Absolute and percentage NPIF change were larger
with decongestable versus structural obstruction. Sensitivity and specificity for
predicting decongestable obstruction were 75.0% and 60.7% for NPIF increase >20
L/min; 75.0% and 64.3% for NPIF increase >20%. The respective positive predictive
values were 62.1% and 64.3%. CONCLUSION: NPIF increase after decongestion is
larger with decongestable than structural nasal obstruction. NPIF alone cannot
discriminate the two conditions and does not replace more formal assessment.
PMID- 24932622
TI - Examination versus subjective nasal obstruction in the evaluation of the nasal
septal deviation.
AB - BACKGROUND: Nasal septal deviation (NSD) is a frequent complaint among patients
in an otolaryngology clinic. The prevalence of NSD varies in different
populations and NSD classification schemes are complex. METHODS: We aimed to
determine the prevalence of NSD in a population of Turkish young males using a
new NSD classification method that we developed. We compared the results with
patients' complaints. All patients underwent two nasal examinations, which were
performed using a nasal speculum with and without administration of
vasoconstrictor agents. Inferior Concha Hypertrophy (ICH) was evaluated in the
first examination and NSDs were scored during the second examination. All
findings were recorded according to our classification scheme. Severity of nasal
obstruction was subjectively evaluated by using a visual analogue scale (VAS).
RESULTS: We found a significant association between prevalence of NSD and nasal
injuries, but there was no association between the mode of delivery and
prevalence of NSD. Surprisingly, about 30 % of the participants with NSD had no
complaint of nasal obstruction. Although most of the participants in the study
had no severe nasal obstruction complaint, we found an association between NSD
presence and patient's VAS. CONCLUSION: NSD is very frequent in the Turkish
population and most often related to trauma; however, its effect on patient
quality of life varies.
PMID- 24932623
TI - External nasal valve collapse: validation of novel outcome measurement tool.
AB - BACKGROUND: We aim to validate a clinical scoring system of external nasal valve
collapse. External nasal valve collapse is a rare and challenging condition. We
attempted to simplify the examination of the external valve, the surgical
planning and the outcome measure. To validate our external valve score, we first
assessed its reliability (inter-rater agreement and test-retest repeatability).
We secondly considered the clinical relevance by using our scoring system in
patients undergoing septorhinoplasty for external valve collapse. METHODOLOGY:
For validation, 16 Rhinologists scored patients separately on two occasions. For
the clinical relevance, 26 patients with external valve collapse were scored pre-
and post-operatively (responsiveness). The external valve score was correlated to
peak nasal inspiratory flow. RESULTS: The devised scoring system was reliable
(substantial agreement between 16 surgeons with reproducibility over time). All
patients in our prospective series showed significant improvement in their
external valve score. The quality of life measured by the SNOT-22 tool showed
significant improvement after surgery. CONCLUSION: External nasal valve collapse
can be diagnosed and graded using this simple scoring system in the outpatient
clinic. This paper reinforces the pivotal role of septorhinoplasty surgery in
nasal airway reconstruction and the ongoing need to quantify success.
PMID- 24932624
TI - Application of Peak Nasal Inspiratory Flow reference values in the treatment of
allergic rhinitis.
AB - OBJECTIVE: To assess the applicability of the Peak Nasal Inspiratory Flow (PNIF)
curves in follow-up of children in the treatment of allergic rhinitis. METHODS:
Prospective study of 40 patients with AR, grouped in corticosteroid spray versus
physiological saline solution use. Follow up for 10 weeks through clinical score
and PNIF percentages in relation to the reference curves, with was-out at week 8.
Statistical assessment of the effect of treatment on variation of PNIF and
clinical score was calculated by ANOVA model and Multiple Comparison of Means
Test - Least Significant Difference. RESULTS: There was a statistically
significant influence of the group, time and interaction between time and group
on PNIF percentages. Throughout follow up, patients from the treatment group had
mean PNIF percentages significantly higher than the placebo group. Clinical score
results also demonstrated a statistically significant influence between the
groups, time and interaction between time and group. CONCLUSION: Increase in PNIF
percentage values observed in children treated with intranasal corticosteroids
revealed the applicability of PNIF curves in their follow up.
PMID- 24932625
TI - A critical appraisal of analyzing nasal provocation test results in allergen
immunotherapy trials.
AB - BACKGROUND: The statistical analysis of nasal provocation tests is very complex.
We compared the conventional analysis with the maximally selected test statistics
and the hierarchical ordered logistic model. METHODS: We re-analyzed data from a
trial with 112 patients suffering from grass pollen allergy. The patients had
been randomized to receive either intralymphatic immunotherapy (ILIT) or
subcutaneous immunotherapy (SCIT). RESULTS: The conventional analysis indicated
that the logarithmized ratio between the pre- and the post-treatment threshold
concentration was significantly lower for ILIT than for SCIT. The maximally
selected test statistics was used to test different threshold symptom scores that
would imply positive clinical symptoms at the given allergen concentration. A
threshold score of 3 maximised the difference in improvement between the ILIT and
the SCIT groups. The hierarchical ordered logistic model does not take threshold
allergen concentrations as the basis for analysis, but the single scores measured
at each concentration. This approach simultaneously considers the treatment
effect (ILIT versus SCIT), the time effect (pre- versus post-treatment), and the
dose effect (different allergen concentrations). The hierarchical ordered
logistic model revealed that the clinical improvement was greater after ILIT than
after SCIT. CONCLUSION: As the choice of method can affect the outcome,
guidelines for analysis are highly needed.
PMID- 24932626
TI - Role of inflammation in non-allergic rhinitis.
AB - OBJECTIVE: To investigate the role of inflammation in non-allergic rhinitis (NAR)
patients in a large series to establish the prevalence of different NAR-subtypes,
clinical features and the role of nasal cytology in the diagnostic algorithm.
METHODOLOGY: Patients were selected out of 3650 individuals who spontaneously
presented at our institution. We consecutively enrolled 519 NAR-patients in an
analytical cross-sectional study between November 2007 and June 2013 (level of
evidence: 3b). All patients underwent rhinological evaluation including symptoms
questionnaire, endoscopy, CT scan, allergy tests and nasal cytology. RESULTS: The
inflammatory cell infiltrate affects the severity of symptoms differently,
allowing for identification of different phenotypes of NAR. We distinguished two
groups: "NAR without inflammation"(NAR-) and "NAR with inflammation"(NAR+), in
addition to different NAR-subtypes with inflammation. A significant difference
was observed in terms of clinical symptoms and association with comorbidities
(previously diagnosed asthma and aspirin intolerance) between NAR-, NAR+ and
between different NAR+ subtypes. CONCLUSION: Our data suggest that NAR- and NAR
with neutrophils behave similarly, showing lower symptom score values and a lower
risk of association with comorbidities compared to NAR with eosinophils and mast
cells (singularly or mixed). In our belief it is very important to establish the
presence and type of inflammation in non-allergic rhinitis patients and nasal
cytology is a very useful test in correct differential diagnosis.
PMID- 24932627
TI - Bacterial biofilms in patients with chronic rhinosinusitis: a confocal scanning
laser microscopy study.
AB - BACKGROUND: Recent research into the pathophysiology of chronic rhinosinusitis
suggests an important role for biofilms. They can be detected in both healthy and
diseased nasal mucosa. Several different methods of detecting biofilms have been
described. This study investigates the presence of biofilm in a larger group of
patients with chronic rhinosinusitis undergoing primary functional endoscopic
surgery. METHODS: Sixty-one patients with chronic rhinosinusitis and 25 controls,
with septal deviation, were included from 2010 to 2012. Endonasal biopsies were
harvested during surgery, snap frozen in isopentane, cooled on dry ice and stored
at -80oC. The samples were prepared with Invitrogens' BacLight LiveDead kit, and
investigated with confocal scanning laser microscopy for the presence of biofilm.
RESULTS: In the chronic rhinosinusitis group 55/61 were biofilm positive as
opposed to 14/25 in the control group. The difference was highly significant. The
odds ratio was 7.2. CONCLUSION: Patients with chronic rhinosinusitis have a
highly significant increased point prevalence of biofilms compared to controls.
PMID- 24932628
TI - An unexpected route for otolaryngology bacterial contamination with a Venturi
atomizer.
AB - BACKGROUND: The Venturi-principle atomizer is a commonly used device in
otolaryngology practices. The purpose of this study is to evaluate the possible
route of bacterial contamination from the nasal vestibule to the atomizer tip
through the jet airflow created during the use of the Venturi atomizer. METHODS:
Thirty nostrils from 15 enrolled volunteers were tested. The aerosols generated
by spraying sterilized saline into the nostrils were collected using a specially
made aerosol-collecting nozzle cap. The collected samples were sent for bacterial
culture, and nasal vestibular swab cultures were performed for comparison.
RESULTS: In the aerosol-exposed group, 18 out of 30 samples (60%) were positive
for bacterial growth, confirming the bacterial contamination from the nasal
vestibule to the atomizer tip through the reverse jet airflow. The bacteria
species in 8 of the 18 positive samples were identical to those from the nasal
swab culture results from the same nostril. CONCLUSION: In ordinary
otolaryngology practices, there are significant risks for bacterial contamination
from the nasal vestibule to the tip of the Venturi atomizer even without direct
contact. Clinicians must be more aware of this pattern of contamination, which
has not been reported in the existing literature.
PMID- 24932629
TI - Mechanical disfunction in the mucosal oedema formation of patients with nasal
polyps.
AB - BACKGROUND: Nasal polyposis (NP) is characterized by an anomalous tissue growth
with oedema and a lack of extracellular matrix. In this study, we investigated
whether a mechanical disfunction of the forces that act in oedema formation is
present in NP. METHODS: We compared the interstitial hydrostatic pressure
behaviour during a saline solution infusion between healthy nasal mucosa
(inferior and middle turbinate from 10 patients) and inflamed nasal mucosa from
NP patients (inferior, middle turbinate and a nasal polyp from 6 patients). We
used Controlled Disc Stimulation equipment to compare the curve Pressure/Volume
created during the saline solution infusion. RESULTS: The pressure at 0.2 ml
infusion was lower in the middle turbinate of NP patients than in the middle
turbinate of control patients. The lowest P/V mean assessed was in the polypoid
tissue. CONCLUSIONS: The interstitial hydrostatic pressure showed different
behaviour during liquid infusion in nasal mucosa from NP patients when compared
with healthy nasal mucosa. This study allows us to cogitate on a new
pathophysiological mechanism contributing to the development of the NP.
PMID- 24932630
TI - Importance of nasal septal cartilage perichondrium for septum strength mechanics:
a cadaveric study.
AB - OBJECTIVE: This study aimed to investigate the biomechanical qualities of the
perichondrium and cartilage, and to determine the strength of the septal
cartilage against bending forces. STUDY DESIGN: This paper describes an
experimental cadaver study. MATERIALS AND METHODS: The nasal septal cartilages of
14 fresh cadavers (8 hours postmortem) were excised from the cadavers and cut
into two strips: one with the perichondrium (group A) and one without
perichondrium (group B). A bending test was then performed on the strips.
RESULTS: The deflection of group A strips was larger than the deflection of group
B strips. Flexural strength was also larger in group A strips compared to group B
strips. The average modulus of elasticity was 122% higher in group A compared to
group B. All conducted tests revealed statistically significant differences
between groups. CONCLUSION: This study objectively shows that the perichondrium
provides the cartilage with a 25% bending strength.
PMID- 24932631
TI - Cultural adaptation of an olfactory test: the odour in bottle test. [corrected].
AB - OBJECTIVES: To compare the size of the nasal septal body (SB) and inferior
turbinate (IT) of subjects grouped by sex and age. METHOD: We measured SB and IT
areas (in cm2) bilaterally in computed tomography (CT) sections of 150 paranasal
sinuses from 72 males and 78 females. RESULTS: The right and left SB areas were
smaller in females than in males. In the <= 25-year-old group, the right IT (RIT)
was significantly smaller in females than in males. In the 26-35 and 46-45 age
groups, the right SB (RSB) was significantly smaller in females than in males.
CONCLUSION: The nasal SB may play a role in nasal physiology similar to a
turbinate and help support optimal airflow. The vascular and glandular structures
of the SB should be investigated in detail, and minimal invasive procedures
should be performed in nasal surgery to avoid damaging essential structures.
PMID- 24932632
TI - Resonator properties of paranasal sinuses: preliminary results of an anatomical
study.
AB - BACKGROUND: The contribution of the nasal and paranasal cavities to vocal tract
resonator properties is unclear as are voice effects of sinus surgery. Here we
investigate resonance phenomena of paranasal sinuses with and without selective
occlusion of the middle meatus and maxillary ostium in a cadaver. METHODOLOGY:
Nasal and paranasal cavities of a Thiel-embalmed cadaver were excited by sine
tone sweeps from an earphone in the epipharynx. The response was picked up by a
microphone at the nostrils. Different conditions with blocked and unblocked
middle meatus were tested. Additionally, infundibulotomy was performed allowing
direct access to and selective occlusion of the maxillary ostium. RESULTS:
Responses showed high reproducibility. Minor effects appeared after removal of
meatal occlusion. A marked low frequency dip was detected after removal of
occlusion of maxillary ostium following infundibulotomy. CONCLUSION: Reproducible
frequency responses of nasal tract can be derived from cadaver measurements.
Marked acoustic effects of the maxillary sinus appeared only after direct
exposure of the maxillary ostium following infundibulotomy.
PMID- 24932633
TI - Multiple causes for rhinolithiasis.
AB - BACKGROUND: Rhinoliths are rare affections of the main nasal cavity and the
paranasal sinuses. Initially, as a result of their low incidence, they are often
incorrectly classified as calcified tumors in clinical examination. METHODOLOGY:
We have identified three cases in our patient population and evaluated the
clinical symptoms as well as the pathological findings and the causes of the
disease. RESULTS: Due to their extension and the respective clinical pattern, all
masses were surgically removed under endotracheal anesthesia. The
histopathological findings comprised an ectopic tooth, a vegetable (most likely a
leaf from the garden) as well as a textile foreign body (probably of iatrogenic
origin). CONCLUSION: Undiscovered foreign bodies of the main nasal cavity are a
common cause for the formation of rhinoliths. We have also displayed the
respective incidence and the therapeutic options.
PMID- 24932634
TI - The wine and beer yeast Dekkera bruxellensis.
AB - Recently, the non-conventional yeast Dekkera bruxellensis has been gaining more
and more attention in the food industry and academic research. This yeast species
is a distant relative of Saccharomyces cerevisiae and is especially known for two
important characteristics: on the one hand, it is considered to be one of the
main spoilage organisms in the wine and bioethanol industry; on the other hand,
it is 'indispensable' as a contributor to the flavour profile of Belgium lambic
and gueuze beers. Additionally, it adds to the characteristic aromatic properties
of some red wines. Recently this yeast has also become a model for the study of
yeast evolution. In this review we focus on the recently developed molecular and
genetic tools, such as complete genome sequencing and transformation, to study
and manipulate this yeast. We also focus on the areas that are particularly well
explored in this yeast, such as the synthesis of off-flavours, yeast detection
methods, carbon metabolism and evolutionary history.
PMID- 24932635
TI - Anaphylaxis to iodinated contrast media: clinical characteristics related with
development of anaphylactic shock.
AB - OBJECTIVE: Anaphylaxis is the most severe form of radiocontrast media (RCM)
induced hypersensitivity and can be life-threatening if profound hypotension is
combined. With increased use of iodine based RCM, related hypersensitivity is
rapidly growing. However, the clinical characteristics and risk factors of RCM
induced anaphylaxis accompanied by hypotension (anaphylactic shock) are not
clearly defined. This study was performed to investigate the risk factors of RCM
induced anaphylactic shock and the clinical value of RCM skin testing to identify
causative agents in affected patients. METHODS: We analyzed the data of RCM
induced anaphylaxis monitored by an inhospital pharmacovigilance center at a
tertiary teaching hospital from January 2005 to December 2012 and compared the
clinical features and skin test results according to the accompanying
hypotension. RESULTS: Among total of 104 cases of RCM induced anaphylaxis, 34.6%
of patients, developed anaphylaxis on their first exposure to RCM. Anaphylactic
patients presenting with shock were older (57.4 vs. 50.1 years, p = 0.026) and
had a history of more frequently exposure to RCM (5.1+/-7.8 vs. 1.9+/-3.3, p =
0.004) compared to those without hypotension. Among RCMs, hypotension was more
frequent in anaphylaxis related to iopromide compared to other agents (85.0% vs.
61.4%, p = 0.011). Skin tests were performed in 51 patients after development of
RCM induced anaphylaxis. Overall skin test positivity to RCM was 64.7% and 81.8%
in patients with anaphylactic shock. CONCLUSION: RCM induced anaphylactic shock
is related to multiple exposures to RCM and most patients showed skin test
positivity to RCM.
PMID- 24932636
TI - The nuclear receptor REV-ERBalpha regulates Fabp7 and modulates adult hippocampal
neurogenesis.
AB - The function of the nuclear receptor Rev-erbalpha (Nr1d1) in the brain is, apart
from its role in the circadian clock mechanism, unknown. Therefore, we compared
gene expression profiles in the brain between wild-type and Rev-erbalpha knock
out (KO) animals. We identified fatty acid binding protein 7 (Fabp7, Blbp) as a
direct target of repression by REV-ERBalpha. Loss of Rev-erbalpha manifested in
memory and mood related behavioral phenotypes and led to overexpression of Fabp7
in various brain areas including the subgranular zone (SGZ) of the hippocampus,
where neuronal progenitor cells (NPCs) can initiate adult neurogenesis. We found
increased proliferation of hippocampal neurons and loss of its diurnal pattern in
Rev-erbalpha KO mice. In vitro, proliferation and migration of glioblastoma cells
were affected by manipulating either Fabp7 expression or REV-ERBalpha activity.
These results suggest an important role of Rev-erbalpha and Fabp7 in adult
neurogenesis, which may open new avenues for treatment of gliomas as well as
neurological diseases such as depression and Alzheimer.
PMID- 24932637
TI - SemFunSim: a new method for measuring disease similarity by integrating semantic
and gene functional association.
AB - BACKGROUND: Measuring similarity between diseases plays an important role in
disease-related molecular function research. Functional associations between
disease-related genes and semantic associations between diseases are often used
to identify pairs of similar diseases from different perspectives. Currently, it
is still a challenge to exploit both of them to calculate disease similarity.
Therefore, a new method (SemFunSim) that integrates semantic and functional
association is proposed to address the issue. METHODS: SemFunSim is designed as
follows. First of all, FunSim (Functional similarity) is proposed to calculate
disease similarity using disease-related gene sets in a weighted network of human
gene function. Next, SemSim (Semantic Similarity) is devised to calculate disease
similarity using the relationship between two diseases from Disease Ontology.
Finally, FunSim and SemSim are integrated to measure disease similarity. RESULTS:
The high average AUC (area under the receiver operating characteristic curve)
(96.37%) shows that SemFunSim achieves a high true positive rate and a low false
positive rate. 79 of the top 100 pairs of similar diseases identified by
SemFunSim are annotated in the Comparative Toxicogenomics Database (CTD) as being
targeted by the same therapeutic compounds, while other methods we compared could
identify 35 or less such pairs among the top 100. Moreover, when using our method
on diseases without annotated compounds in CTD, we could confirm many of our
predicted candidate compounds from literature. This indicates that SemFunSim is
an effective method for drug repositioning.
PMID- 24932638
TI - Evaluating the feasibility of an agglomerative hierarchy clustering algorithm for
the automatic detection of the arterial input function using DSC-MRI.
AB - During dynamic susceptibility contrast-magnetic resonance imaging (DSC-MRI), it
has been demonstrated that the arterial input function (AIF) can be obtained
using fuzzy c-means (FCM) and k-means clustering methods. However, due to the
dependence on the initial centers of clusters, both clustering methods have poor
reproducibility between the calculation and recalculation steps. To address this
problem, the present study developed an alternative clustering technique based on
the agglomerative hierarchy (AH) method for AIF determination. The performance of
AH method was evaluated using simulated data and clinical data based on
comparisons with the two previously demonstrated clustering-based methods in
terms of the detection accuracy, calculation reproducibility, and computational
complexity. The statistical analysis demonstrated that, at the cost of a
significantly longer execution time, AH method obtained AIFs more in line with
the expected AIF, and it was perfectly reproducible at different time points. In
our opinion, the disadvantage of AH method in terms of the execution time can be
alleviated by introducing a professional high-performance workstation. The
findings of this study support the feasibility of using AH clustering method for
detecting the AIF automatically.
PMID- 24932640
TI - Psychometric properties of abbreviated and ultra-brief versions of the Penn State
Worry Questionnaire.
AB - The Penn State Worry Questionnaire (PSWQ) is a 16-item self-report measure
considered the gold-standard assessment instrument for worry. Two abbreviated
versions of the PSWQ have also been developed. An 8-item measure (PSWQ-A) was
designed to address poor model fit of the full version with older adult samples,
and a 3-item version (PSWQ-3) was developed in a clinical setting to avoid
problems related to the reverse-scored items and to increase clinical utility.
Preliminary examinations of the abbreviated forms have been promising, but
additional psychometric evaluation is needed to confirm their reliability and
validity. The current study compared psychometric properties of the 3 versions of
the PSWQ in a heterogeneous clinical sample of 272 patients presenting for
treatment in a partial hospital setting. Results suggested that scores for all 3
versions had good internal consistency; convergent validity with anxiety, stress,
intolerance of uncertainty, negative problem orientation, and negative beliefs
about worry; as well as adequate discriminant validity with depression, emotional
lability, and substance abuse. On all 3 versions, individuals with generalized
anxiety disorder (GAD) scored higher than those without the disorder, and across
all participants, scores decreased from pre- to posttreatment. Finally, scores on
the 3 versions showed similar levels of sensitivity and specificity as screening
tools for GAD. Overall, the PSWQ-A and PSWQ-3 scores appear to be internally
consistent and valid measures of worry that performed similarly to the full 16
item PSWQ. Given the strong psychometric properties of the shorter form scores,
clinicians may prefer such forms, as they are quick to administer and easy to
score in session.
PMID- 24932639
TI - The quaternary lidocaine derivative QX-314 produces long-lasting intravenous
regional anesthesia in rats.
AB - BACKGROUND: The lidocaine derivative, QX-314, produces long-lasting regional
anesthesia in various animal models. We designed this study to examine whether QX
314 could produce long-lasting intravenous regional anesthesia (IVRA) in a rat
model. METHODS: IVRA was performed on tail of rats. EC50 (median effective
concentration) of QX-314 in IVRA was determined by up-and-down method. IVRA on
tail of rats was evaluated by tail-flick and tail-clamping tests. For comparison
between QX-314 and lidocaine, 60 Sprague-Dawley rats were randomly divided into 6
groups (n = 10/group), respectively receiving 0.5 ml of 0.5% lidocaine, 0.25% QX
314, 0.5% QX-314, 1.0% QX-314, 2.0% QX-314 and normal saline. To explore the role
of TRPV1 channel in IVRA of QX-314, 20 rats were randomly divided into 2 groups
(n = 10/group), respectively receiving 0.5 ml of 1% QX-314 and 1% QX-314+75 ug/ml
capsazepine. Toxicities of QX-314 on central nervous system and cardiac system
were measured in rats according to Racine's convulsive scale and by
electrocardiogram, respectively. RESULTS: QX-314 could produce long-lasting IVRA
in a concentration-dependent manner. EC50 of QX-314 in rat tail IVRA was 0.15 +/-
0.02%. At concentration of 0.5%, IVRA duration of QX-314 (2.5 +/- 0.7 hour) was
significantly longer than that of 0.5% lidocaine (0.3 +/- 0.2 hour, P<0.001).
TRPV1 channel antagonist (capsazepine) could significantly reduce the effect of
QX-314. For evaluation of toxicities, QX-314 at doses of 5 or 10 mg/kg did not
induce any serious complications. However, QX-314 at dose of 20 mg/kg (1% QX-314
0.5 ml for a rat weighing 250 g) induced death in 6/10 rats. CONCLUSIONS: QX-314
could produce long-lasting IVRA in a concentration-dependent manner. This long
lasting IVRA was mediated by activation of TRPV1 channels. Evaluation of toxic
complications of QX-314 confirmed that low but relevant doses of QX-314 did not
result in any measurable toxicity.
PMID- 24932641
TI - Development and validation of the Peer Interaction Macro-Coding System Scales
(PIMS): a new tool for observational measurement of social competence in youth
with spina bifida.
AB - Many children with chronic health conditions encounter enduring difficulties in
their peer interactions and friendships. This study aimed to create and validate
scales derived from an observational coding system (i.e., Peer Interaction Macro
Coding System, or PIMS) in a sample of children with spina bifida and their
peers. Participants were 106 target child-peer dyads who completed a battery of
questionnaires and interviews and were videotaped performing 4 interaction tasks,
which were then coded across multiple domains of social functioning. Five scales
(i.e., Control, Prosocial Skills, Positive Affect, Conflict, and Dyadic Cohesion)
were rationally derived based on a review of the literature and a panel of
experts. Internal consistency and interrater reliability at the scale level were
good to excellent for all 5 scales. Interscale correlations were in the low-to
moderate range for 4 of the scales, although the Dyadic Cohesion Scale was highly
correlated with two other scales and was subsequently dropped. Convergent
validity and discriminant validity were established by examining the 4 remaining
scales in association with comparable questionnaire and interview data. The 4
PIMS scales appear to be reliable and valid measures of social competence and may
enhance future multimethod research efforts aimed at learning more about peer
interactions and overall social competence.
PMID- 24932643
TI - A test of the International Personality Item Pool representation of the Revised
NEO Personality Inventory and development of a 120-item IPIP-based measure of the
five-factor model.
AB - There has been a substantial increase in the use of personality assessment
measures constructed using items from the International Personality Item Pool
(IPIP) such as the 300-item IPIP-NEO (Goldberg, 1999), a representation of the
Revised NEO Personality Inventory (NEO PI-R; Costa & McCrae, 1992). The IPIP-NEO
is free to use and can be modified to accommodate its users' needs. Despite the
substantial interest in this measure, there is still a dearth of data
demonstrating its convergence with the NEO PI-R. The present study represents an
investigation of the reliability and validity of scores on the IPIP-NEO.
Additionally, we used item response theory (IRT) methodology to create a 120-item
version of the IPIP-NEO. Using an undergraduate sample (n = 359), we examined the
reliability, as well as the convergent and criterion validity, of scores from the
300-item IPIP-NEO, a previously constructed 120-item version of the IPIP-NEO
(Johnson, 2011), and the newly created IRT-based IPIP-120 in comparison to the
NEO PI-R across a range of outcomes. Scores from all 3 IPIP measures demonstrated
strong reliability and convergence with the NEO PI-R and a high degree of
similarity with regard to their correlational profiles across the criterion
variables (rICC = .983, .972, and .976, respectively). The replicability of these
findings was then tested in a community sample (n = 757), and the results closely
mirrored the findings from Sample 1. These results provide support for the use of
the IPIP-NEO and both 120-item IPIP-NEO measures as assessment tools for
measurement of the five-factor model.
PMID- 24932642
TI - Temporal stability of DSM-5 posttraumatic stress disorder criteria in a problem
drinking sample.
AB - The 5th edition of the Diagnostic and Statistical Manual of Mental Disorders (DSM
5) reformulated posttraumatic stress disorder (PTSD) based partially on research
showing there were 4 main factors that underlie the symptoms of the disorder. The
primary aim of this study was to examine the temporal stability of the DSM-5
factors as measured by the Posttraumatic Stress Disorder Checklist for DSM-5 (PCL
5; Weathers et al., 2010). Confirmatory factor analyses were conducted to examine
the structure of DSM-5 PTSD, and temporal stability over 3 time points was
examined to determine if the measure reflects a consistent construct over time.
Our sample was 507 combat-exposed veterans of Iraq and Afghanistan who enrolled
in an online intervention for problem drinking and combat-related stress (Brief
et al., 2013). We administered the PCL-5 at baseline, 8-week postintervention,
and 3-month follow-up assessments. The DSM-5 model provided an adequate fit to
the data at baseline. Tests of equality of form and equality of factor loadings
demonstrated stability of the factor structure over time, indicating temporal
stability. This study confirmed the results of previous research supporting the
DSM-5 model of PTSD symptoms (Elhai et al., 2012; Miller et al., 2013). This is
the 1st study to demonstrate the temporal stability of the PCL-5, indicating its
use in longitudinal studies measures the same construct over time.
PMID- 24932644
TI - Ratings of ADHD symptoms and academic impairment by mothers, fathers, teachers,
and aides: construct validity within and across settings as well as occasions.
AB - A Multiple Indicator * Multiple Trait * Multiple Source * Multiple Occasion
design was used to evaluate invariance, convergent and discriminant validity of
ADHD-inattention (IN), ADHD-hyperactivity/impulsivity (HI), and academic
impairment scores from the Child and Adolescent Disruptive Behavior Inventory
(CADBI) using confirmatory factor analysis. Mothers, fathers, teachers, and aides
completed the CADBI on 811 Spanish 1st-grade children (54% boys) twice (6-week
separation). For mothers and fathers, like loadings, thresholds/intercepts,
factor means, factor variances, and factor covariances/correlations were
invariant across sources and occasions. All 3 factors also showed convergent
(convergent correlations from .69 to .83) and significant discriminant validity.
For teachers and aides, there was also invariance of parameters along with
convergent and discriminant validity over sources and occasions (convergent
correlations from .67 to .87). With construct validity established for home and
school, it was meaningful to test construct validity between home and school.
Like-item loadings and thresholds/intercepts were invariant between home and
school, with the ADHD-HI factor mean being lower at school. Convergent validity
of ADHD-IN, ADHD-HI, and academic impairment factors, especially ADHD-IN and ADHD
HI, was much weaker between home and school (convergent correlations from .36 to
.47 for IN and HI). The strong convergent validity of ADHD-IN and ADHD-HI scores
within home and school in conjunction with weak convergent validity across home
and school has implications for the assessment and diagnosis of ADHD (i.e., the
diagnostic criteria of symptom occurrence in 2 or more settings).
PMID- 24932645
TI - Resilience in a sample of Mexican American adolescents with substance use
disorders.
AB - Resolving the many tasks of adolescent development requires resilience. However,
understanding the role that resilience plays in adolescent development involves
adequate measurement of the construct. The Connor-Davidson Resilience Scale (CD
RISC) is a widely used measure of resilience, but a stable latent factor
structure has not been identified across studies. The measure has typically been
examined in adult samples while little attention has been given to its use with
adolescents in general and ethnic minority adolescents in particular. The primary
purpose of the current study is to identify a latent factor structure of the CD
RISC in a sample of primarily Mexican American adolescents (N = 106). Two
competing model structures were tested via confirmatory factor analysis and
results supported a 7-item unidimensional factor model. Support was also found
for the construct validity of the measure in relation to ethnic identity and
depressive symptoms for adolescents in this sample. Implications of the study
findings for adolescents and avenues of future research are discussed.
PMID- 24932646
TI - Factor structure and diagnostic validity of the Beck Depression Inventory-II with
adult clinical inpatients: comparison to a gold-standard diagnostic interview.
AB - Little is known about the psychometric properties and clinical utility of the
Beck Depression Inventory-II (BDI-II) among adult clinical inpatients, a group at
high risk for major depressive disorder (MDD). Data from 1,904 adult inpatients
were analyzed using confirmatory factor analysis (CFA), Cronbach's alpha, and
Pearson's correlations. Receiver operating characteristic (ROC) analyses
evaluating MDD diagnostic performance were conducted with a subsample (n = 467)
using a structured diagnostic interview for reference. CFA of 3 previous 2-factor
oblique solutions, observed in adolescent and older adult inpatient clinical
samples, and 3 corresponding bifactor solutions indicated that BDI-II common item
variance was overwhelmingly accounted for by 1 general factor specified to all
items, with minor additional variance contributed by 2 specific factors. Analyses
revealed high internal consistency (Cronbach's alpha = .93) and significant (p <
.01) intercorrelations between the BDI-II total scale and Behavior and Symptom
Identification Scale-24's Depression/Functioning (r = .79) and Overall (r = .82)
subscales. ROC analyses generated low area under the curve (.695; 95% confidence
interval [.637, .752]) and cutoff scores with poor sensitivity/specificity
balance. BDI-II use as a screening instrument for overall depressive symptomology
was supported, but MDD diagnostic performance was suboptimal. Clinicians are
advised to use the BDI-II to gauge severity of depression and measure clinical
changes to depressive symptomology over time but to be mindful of the limitations
of the BDI-II as a diagnostic tool for adult inpatients.
PMID- 24932647
TI - Does field reliability for Static-99 scores decrease as scores increase?
AB - This study examined the field reliability of Static-99 (Hanson & Thornton, 2000)
scores among 21,983 sex offenders and focused on whether rater agreement
decreased as scores increased. As expected, agreement was lowest for high-scoring
offenders. Initial and most recent Static-99 scores were identical for only about
40% of offenders who had been assigned a score of 6 during their initial
evaluations, but for more than 60% of offenders who had been assigned a score of
2 or lower. In addition, the size of the difference between scores increased as
scores increased, with pairs of scores differing by 2 or more points for about
30% of offenders scoring in the high-risk range. Because evaluators and systems
use high Static-99 scores to identify sexual offenders who may require intensive
supervision or even postrelease civil commitment, it is important to recognize
that there may be more measurement error for high scores than low scores and to
consider adopting procedures for minimizing or accounting for measurement error.
(PsycINFO Database Record (c) 2014 APA, all rights reserved).
PMID- 24932648
TI - Comparing short forms of the Social Interaction Anxiety Scale and the Social
Phobia Scale.
AB - The Social Interaction Anxiety Scale (SIAS) and the Social Phobia Scale (SPS;
Mattick & Clarke, 1998) are companion scales developed to measure anxiety in
social interaction and performance situations, respectively. The measures have
strong discriminant and convergent validity; however, their factor structures
remain debated, and furthermore, the combined administration length (i.e., 39
items) can be prohibitive for some settings. There have been 4 attempts to assess
the factor structures of the scales and reduce the item content: the 14-item
Social Interaction Phobia Scale (SIPS; Carleton et al., 2009), the 12-item SIAS
6/SPS-6 (Peters, Sunderland, Andrews, Rapee, & Mattick, 2012), the 21-item
abbreviated SIAS/SPS (ASIAS/ASPS; Kupper & Denollet, 2012), and the 12-item
Readability SIAS and SPS (RSIAS/RSPS; Fergus, Valentiner, McGrath, Gier-Lonsway,
& Kim, 2012). The current study compared the short forms on (a) factor structure,
(b) ability to distinguish between clinical and non-clinical populations, (c)
sensitivity to change following therapy, and (d) convergent validity with related
measures. Participants included 3,607 undergraduate students (55% women) and 283
patients with social anxiety disorder (43% women). Results of confirmatory factor
analyses, sensitivity analyses, and correlation analyses support the robust
utility of items in the SIPS and the SPS-6 and SIAS-6 relative to the other short
forms; furthermore, the SIPS and the SPS-6 and SIAS-6 were also supported by
convergent validity analyses within the undergraduate sample. The RSIAS/RSPS and
the ASIAS/ASPS were least supported, based on the current results and the
principle of parsimony. Accordingly, researchers and clinicians should consider
carefully which of the short forms will best suit their needs.
PMID- 24932649
TI - The influence of contextual information on lay judgments of childhood mental
health concerns.
AB - Decisions about whether a person is in need of mental health care are often made
by laypeople with no training in the identification of mental health concerns.
For example, the parent of a child displaying problematic behavior has to decide
whether this behavior is likely related to mental health concerns and
necessitates professional care. The process of identifying mental health concerns
is made more complicated by the rich background of real-world environmental
factors or contexts in which concerns can present-contexts that might or might
not relate to the presence of mental health concerns. We investigated whether
laypeople use contextual information to make judgments regarding childhood mental
health disorder symptoms. In Experiment 1, we demonstrated that laypeople's
judgments of the likelihood of a mental disorder are influenced by non-diagnostic
contextual information that surrounds symptoms of the disorder. In Experiment 2,
we demonstrated that providing a causal origin for such disorder symptoms
accentuates the use of context, regardless of the nature of the causal process
(i.e., environmental vs. biological). These findings indicate that contextual
influences on judgments about mental health concerns may reflect a more general
set of mental reasoning processes than indicated by previous work focused on
clinicians' judgments. Consequently, these findings have important implications
for how we think about the influence of contextual information on decision making
more generally as well as for improving our ability to both reliably identify
children in need of mental health care and increase children's access to such
care.
PMID- 24932651
TI - The use of assistive technology in the everyday lives of young people living with
dementia and their caregivers. Can a simple remote control make a difference?
AB - BACKGROUND: This study was a part of a larger study exploring the impact of
assistive technology on the lives of young people living with dementia (YPD).
This paper focuses on one of the most useful devices, the simple remote control
(SRC). The objective was to explore the reason why the SRC is significant and
beneficial in the everyday lives of YPD and their caregivers. METHODS: This
qualitative longitudinal study had a participatory design. Eight participants
received an SRC. The range for using it was 0-15 months. In-depth interviews and
observations were conducted at baseline and repeated every third month up to 18
months. A situated learning approach was used in the analysis to provide a deeper
understanding of the significance and use of SRC. RESULTS: Young people having
dementia spend a substantial amount of time alone. Watching television was
reported to be important, but handling remote controls was challenging and
created a variety of problems. YPD learned to use SRC, which made important
differences in the everyday lives of all family members. Comprehensive support
from caregivers and professionals was important for YPD in the learning process.
CONCLUSIONS: The SRC was deemed a success because it solved challenges regarding
the use of television in everyday lives of families. The design was recognizable
and user-friendly, thus allowing YPD to learn its operation. Access to
professional support and advice regarding assistive technology is vital for
establishing a system for follow-up and continued collaboration to make future
adaptations and adjustments.
PMID- 24932652
TI - Endosonography-guided drainage of malignant fluid collections using lumen
apposing, fully covered self-expanding metal stents.
AB - Endosonography (EUS)-guided drainage of paragastric fluid collections using fully
covered self-expanding metal stents (FCSEMS) is now a well-established procedure.
Recently, new and specially designed lumen-apposing, fully-covered metal
cystgastrostomy stents have been employed for this indication. In this case
series, the use of these new stents for the drainage of malignant fluid
collections in three symptomatic patients is described. Cases included a large
pancreatic pseudocyst, secondary to underlying acute lymphoblastic leukemia, and
two large collections of loculated ascites due to metastatic ovarian and cervical
cancer, respectively. Technical success in inserting the new stents was achieved
in all three patients, and resulted in symptomatic relief. There were no
clinically significant complications directly attributed to the stents. These new
lumen-apposing cystgastrostomy stents may provide a viable, minimally invasive,
and effective alternative for drainage of malignant fluid collections, either for
definitive treatment or for palliation of symptoms.
PMID- 24932650
TI - Development and validation of the Hookup Motives Questionnaire (HMQ).
AB - Despite the high prevalence rates and growing research on hooking up among
college students, no multidimensional inventory exists in the literature to
assess motivations for hooking up. In the current study, we report on the
development and validation of the Hookup Motives Questionnaire (HMQ), designed to
assess the various reasons for hooking up. Exploratory and confirmatory factor
analyses were conducted using 2 samples of college students (Campus 1, N = 401;
Campus 2, N = 367). Exploratory factor analysis was undertaken to explore the
psychometric properties of an initial set of 25 items, and confirmatory factor
analysis was conducted to evaluate additional properties of the factor structure.
The final factor structure of the HMQ contained 19 items that tapped 5 subscales
representing social-sexual, social-relationship, enhancement, coping, and
conformity motives. Results demonstrated good internal consistency and
discriminant validity for the subscales. Moreover, criterion-related validity was
satisfied by showing that HMQ subscales significantly correlated with hookup
approval and behavior. Gender differences on the measures were found. The
inventory offers considerable potential as a psychometrically sound instrument
that may be administered to understand reasons for engaging in potentially risky
hookup behaviors and used to inform the design of sexual health programs and
interventions targeting young adults.
PMID- 24932653
TI - The diagnosis and management of common sleep disorders in adolescents.
AB - PURPOSE OF REVIEW: Sleep problems in adolescents are very common and negatively
impact the quality of their health and lives, yet often go undiagnosed. This
review is meant to familiarize pediatricians with some of the more commonly
encountered sleep disorders in this age group, and to review their diagnosis and
management. RECENT FINDINGS: Recent findings reinforce the ubiquity of
insufficient and poor-quality sleep in teens and their consequences on physical
and mental health, cognition, and behavior. Increasing use of technology by
teens, especially at night, plays a growing role in this. Parentally set bedtimes
can be effective in increasing the sleep duration, thereby diminishing the
consequences of insufficient sleep. Parasomnias, common in early childhood,
usually diminish with the transition into adolescence. An almost 10-fold increase
in the incidence of narcolepsy has been reported following the use of one type of
vaccination against influenza H1N1 in Europe. Recent guidelines for the diagnosis
and management of obstructive sleep apnea are reviewed, as are recent guidelines
pertaining to the management of sleep disorders of children on the autism
spectrum. SUMMARY: Sleep disorders in adolescents are both very common and
underdiagnosed, adversely affecting their overall well being.
PMID- 24932655
TI - Closure technique for labyrinthine fistula by "underwater" endoscopic ear
surgery.
PMID- 24932654
TI - The involvement of MiR-1-clathrin pathway in the regulation of phagocytosis.
AB - Phagocytosis, one of the most powerful immune responses, is a complicated process
regulated by many factors. However the regulation of phagocytosis mediated by
microRNAs has not been extensively investigated. To address this issue, the
regulation of phagocytosis by miR-1 was characterized in this study. The results
showed that miR-1 played an important role in the phagocytosis regulation in
shrimp in vivo. The sequence analysis indicated that miR-1 was highly conserved
from invertebrates to mammals, suggesting that miR-1 might share the similar or
same functions in phagocytosis of shrimp hemocytes and mammalian macrophages. The
data presented that miR-1 was significantly downregulated in cancerous macrophage
RAW264.7 cells compared with those in the isolated murine macrophage and in the
immortalized macrophage ANA-1. The findings showed that miR-1 had a great effect
on the regulation of phagocytosis in cancerous macrophage by the inhibition of
clathrin heavy chain 1 (CLTC1) gene. Therefore our study presented a novel miR-1
mediated regulation of phagocytosis both in invertebrate and in vertebrate.
PMID- 24932656
TI - Effect of instant cooked giant embryonic rice on body fat weight and plasma lipid
profile in high fat-fed mice.
AB - The comparative effects of instant cooked rice made from giant embryo mutant or
ordinary normal rice on body weight and lipid profile in high fat-fed mice were
investigated. The animals were given experimental diets for seven weeks: normal
control (NC), high fat (HF), and HF supplemented with instant normal white (HF
NW), normal brown (HF-NB), giant embryonic white (HF-GW), or giant embryonic
brown (HF-GB) rice. The HF group showed markedly higher body weight, body fat,
plasma and hepatic triglyceride and cholesterol concentrations, and atherogenic
index relative to NC group. However, instant rice supplementation counteracted
this high fat-induced hyperlipidemia through regulation of lipogenesis and
adipokine production. The GB rice exhibited greater hypolipidemic and body fat
lowering effects than the GW or NB rice. These findings illustrate that the giant
embryo mutant may be useful as functional biomaterial for the development of
instant rice with strong preventive action against high fat diet-induced
hyperlipidemia and obesity.
PMID- 24932658
TI - Hand movements reflect competitive processing in numerical cognition.
AB - Traditional models of numerical cognition are based on the computer-based
metaphor of cognition that assumes numerical judgments are stage-based and
independent of bodily effectors. However, recent studies have indicated that the
traditional metaphor may be inadequate for describing the processes involved in
numerical decisions. In the present study, I provide further evidence that number
processing proceeds in a continuous, competitive manner tightly coupled with
feedback from the motor system. Forty-five adult participants' hand movements
were recorded as they used a computer mouse to choose the correct parity
(odd/even) for single-digit numerals. Distributional analyses of these hand
movements indicated that responses resulted from competition between parallel and
partially active mental representations rather than occurring in discrete stages.
PMID- 24932657
TI - Bioavailability of zinc in Wistar rats fed with rice fortified with zinc oxide.
AB - The study of zinc bioavailability in foods is important because this mineral
intake does not meet the recommended doses for some population groups. Also, the
presence of dietary factors that reduce zinc absorption contributes to its
deficiency. Rice fortified with micronutrients (Ultra Rice(r)) is a viable
alternative for fortification since this cereal is already inserted into the
population habit. The aim of this study was to evaluate the bioavailability of
zinc (Zn) in rice fortified with zinc oxide. During 42 days, rats were divided
into four groups and fed with diets containing two different sources of Zn (test
diet: UR(r) fortified with zinc oxide, or control diet: zinc carbonate (ZnCO3)),
supplying 50% or 100%, respectively, of the recommendations of this mineral for
animals. Weight gain, food intake, feed efficiency ratio, weight, thickness and
length of femur; retention of zinc, calcium (Ca) and magnesium (Mg) in the femur
and the concentrations of Zn in femur, plasma and erythrocytes were evaluated.
Control diet showed higher weight gain, feed efficiency ratio, retention of Zn
and Zn concentration in the femur (p < 0.05). However, no differences were
observed (p > 0.05) for dietary intake, length and thickness of the femur,
erythrocyte and plasmatic Zn between groups. Although rice fortified with zinc
oxide showed a lower bioavailability compared to ZnCO3, this food can be a viable
alternative to be used as a vehicle for fortification.
PMID- 24932659
TI - The evaluation of readiness of medical personnel to act under conditions of
chemical contamination.
AB - OBJECTIVE: We evaluated the knowledge of physicians, nurses, and paramedics in
Poland about the procedures in a chemical contamination. METHODS: An anonymous
survey was mailed to 600 randomly selected physicians, nurses, and paramedics.
The survey included questions concerning the process of decontamination,
knowledge of toxidromes, and the use of selected antidotes. RESULTS: Completed
surveys were received from 510 respondents (85%). A very low level of knowledge
was observed regarding decontamination techniques (from 8.3% to 34.2%), use of
antidotes (from 13.7% to 61%), and knowledge of toxidromes (from 10.2% to 22.7%).
CONCLUSIONS: Our findings showed that for all aspects of chemical rescue
procedures queried, the knowledge of medical personnel was not satisfactory. Both
practical and theoretical training of medical personnel is urgently needed for
life-saving procedures during a chemical contamination.
PMID- 24932660
TI - The synergistic effect of nanotopography and sustained dual release of
hydrophobic and hydrophilic neurotrophic factors on human mesenchymal stem cell
neuronal lineage commitment.
AB - A combination of nanotopography and controlled release is a potential platform
for neuronal tissue engineering applications. Previous studies showed that
combining both physical and chemical guidance was more effective than individual
cues in the directional promotion of neurite outgrowth. Nanotopography can direct
human mesenchymal stem cells (hMSCs) into neuronal lineage, while controlled
release of neurotrophic factors can deliver temporally controlled biochemical
signals. Hypothesizing that the synergistic effect will enhance neuronal lineage
commitment of hMSCs, a fabrication method for multiple neurotrophic factors
delivery from a single nanopatterned (350 nm gratings), poly-E-caprolactone (PCL)
film was developed and evaluated. Our results showed a synergistic effect on hMSC
differentiation cultured on substrates with both nanotopographical and
biochemical cues. The protein/drug encapsulation into PCL nanopatterned films was
first optimized using a hydrophilic model protein, bovine serum albumin. The
hydrophobic retinoic acid (RA) molecule was directly incorporated into PCL films.
To achieve sustained release, hydrophilic nerve growth factor (NGF) was first
encapsulated within polyelectrolyte complexation fibers before they were embedded
within the nanopatterned PCL film. Our results showed that nanotopography on the
fabricated polymer films remained intact, while release of bioactive RA and NGF
was sustained over a period of 3 weeks. Under the combinatorial effect of
physical and biochemical cues, we observed an enhanced upregulation of neuronal
genes such as microtubule-associated protein 2 (MAP2) and neurofilament light
(NFL) as compared with sustained delivery of individual cues and bolus delivery.
Quantitative polymerase chain reaction analysis showed that MAP2 and NFL gene
upregulation in hMSCs was most pronounced on the nanogratings with sustained
release of both RA and NGF. The fabricated platforms supported the sustained
delivery of multiple neurotrophins, including both hydrophobic and hydrophilic
therapeutic agents, while providing surface patterning versatility for
application in neural regeneration and tissue engineering.
PMID- 24932661
TI - Elevated adropin: a candidate diagnostic marker for myocardial infarction in
conjunction with troponin-I.
AB - Myocardial infarction (MI; "heart attack") can cause injury to or death of heart
muscle tissue (myocardium) owing to prolonged ischemia and hypoxia. Troponins and
CK-MB are released from heart muscle cells during MI. It has been demonstrated
that energy expenditure is regulated by adropin expressed in the endocardium,
myocardium, and epicardium. We hypothesized that adropin is released into the
bloodstream during myocardial muscle injury caused by MI, so the serum level
rises as myocytes die. Therefore, we examined the association between adropin
expression and myocardial infarction in isoproterenol-induced myocardial
infarction. Rats were randomly allocated to six groups. After treatment they were
decapitated and their blood and tissues were collected for adropin measurement.
Changes in adropin synthesis in rat heart, kidney and liver tissues in
isoproterenol (ISO)-induced MI were demonstrated immunohistochemically. Serum
adropin concentrations were measured by ELISA, and troponin-I, CK and CK-MB
concentrations by autoanalysis. The results demonstrated that cardiac muscle
cells, glomerular, peritubular and renal cortical interstitial cells, hepatocytes
and liver sinusoidal cells all synthesize adropin, and synthesis increased 1-24 h
after MI except in the liver cells. The findings elucidate the pathogenesis of
MI, and the gradual increase in serum adropin could be a novel diagnostic marker
and serve as an alternative to troponin-I measurement for diagnosing MI.
PMID- 24932663
TI - Citrus fruit intake and bladder cancer risk: a meta-analysis of observational
studies.
AB - Epidemiological studies have investigated the association between citrus fruit
and bladder cancer risk; however, the results are inconsistent. To assess these
issues, we conducted a meta-analysis of currently available studies. We
identified relevant articles by searching the MEDLINE and EMBASE databases. We
calculated the summary relative risk (RR) with 95% confidence interval (95% CI)
using a random effect model. We included eight case-control studies and six
cohort studies in the meta-analysis. There was a significant inverse association
between citrus fruit intake and bladder cancer risk in all pooled studies (RR:
0.85; 95% CI, 0.76-0.94) and case-control studies (RR: 0.77; 95% CI, 0.64-0.92),
but not in the cohort studies (RR: 0.96; 95% CI, 0.87-1.07). Our results suggest
that citrus fruit intake is related to decreased bladder cancer risk. Subsequent
well-designed, large prospective studies are needed to obtain better
understanding of this relationship.
PMID- 24932664
TI - Is the red cell distribution width strong predictor for treatment response in
primary glomerulonephritides?
AB - BACKGROUND: Novel biomarkers are needed to predict the response to treatment in
patients with nephrotic syndrome (NS) due to primary glomerulonephritides (PGN).
We aimed to test the predictive value of red blood cell distribution width (RDW)
for estimation of response to therapy in adult patients with NS. Study design,
setting & participants, and intervention: We performed a prospective study
including 176 patients with NS due to PGN. Patients were divided into three
groups according to their response to the treatment. Group 1 was composed of
patients with complete remission whereas group 2 was composed of patients with
partial remission and group 3 was composed of patients who were resistant to the
treatment. RESULTS: The highest baseline mean RDW value was found in group 3
patients (17.8 +/- 1.8) whereas the lowest in group 1 (13.4 +/- 0.7) before
treatment (p<0.05). We found a significant decrease in RDW value after an
effective treatment in groups 1 and group 2 (p<0.05). However, there was no
significant change in RDW values after treatment in group 3 (p>0.05). Most of the
patient with complete remission had base-line RDW level <= 14% (n=45, 90%)
(p<0.001, Kendal Tau: -0.86), and most of the patients who were resistant to the
treatment had base-line RDW level p>15% (n=68, 86.1%) (p<0.001, Kendal Tau:
0.87). CONCLUSION: Our results suggest that pre-treatment RDW value is a
promising novel biomarker for predicting response to the treatment in adult
patients with NS due to PGN.
PMID- 24932666
TI - Stability of the southern European border of Echinococcus multilocularis in the
Alps: evidence that Microtus arvalis is a limiting factor.
AB - SUMMARY The known range of the zoonotic fox tapeworm Echinococcus multilocularis
has expanded since the 1990s, and today this parasite is recorded in higher
abundances throughout large parts of Europe. This phenomenon is mostly attributed
to the increasing European fox populations and their invasion of urban habitats.
However, these factors alone are insufficient to explain the heterogeneous
distribution of the parasite in Europe. Here, we analysed the spatial
interrelationship of E. multilocularis with the known distribution of seven vole
species in Ticino, southern Switzerland. Among 404 necropsied foxes (1990-2006)
and 79 fox faecal samples (2010-2012), E. multilocularis was consistently found
in the north of the investigated area. No expansion of this endemic focus was
recorded during the 22 years of the study period. This stable endemic focus is
coincident with the known distribution of the vole species Microtus arvalis but
not, or only partly, with the distribution of the other autochthonous vole
species. Our results give evidence that this vole species plays a crucial role in
the maintenance of the parasite's life cycle and that its absence could be a
limiting factor for the spread of E. multilocularis in this region.
PMID- 24932665
TI - Interplay between HDAC6 and its interacting partners: essential roles in the
aggresome-autophagy pathway and neurodegenerative diseases.
AB - Cytoplasmic localization and possession of two deacetylase domains and a
ubiquitin-binding domain make histone deacetylase 6 (HDAC6) a unique histone
deacetylase. HDAC6 interacts with a number of proteins in the cytoplasm. Some of
these proteins can be deacetylated by HDAC6 deacetylase activity. Others can
affect HDAC6 functions by modulating its catalytic activity or ubiquitin-binding
capability. Over the last decade, HDAC6 has been shown to play important roles in
the aggresome-autophagy pathway, which selectively targets on protein aggregates
or damaged organelles for their accumulation and clearance in cells. HDAC6
interacting partners are integral components in this pathway with regard to their
regulatory roles through interaction with HDAC6. The aggresome-autophagy pathway
appears to be an attractive therapeutic target for the treatment of
neurodegenerative diseases as accumulation of protein aggregates are hallmarks in
these diseases. In the current review, I discuss the molecular details of how
HDAC6 and its interacting partners regulate each individual step in the aggresome
autophagy pathway and also provide perspectives of how HDAC6 can be targeted in
treating neurodegenerative diseases.
PMID- 24932667
TI - Rh-catalyzed sequential oxidative C-H and N-N bond activation: conversion of
azines into isoquinolines with air at room temperature.
AB - A rhodium-catalyzed sequential oxidative C-H annulation reaction between
ketazines and internal alkynes has been developed via C-H and N-N bond activation
with air as an external oxidant, which led to an efficient approach toward
isoquinolines with high atom efficiency at rt. Utilizing the distinctive
reactivity of this catalysis, both N-atoms of the azines could be efficiently
incorporated to the desired isoquinolines under very robust and mild reaction
conditions.
PMID- 24932668
TI - Design and characterization of a synthetically accessible, photodegradable
hydrogel for user-directed formation of neural networks.
AB - Hydrogels with photocleavable units incorporated into the cross-links have
provided researchers with the ability to control mechanical properties temporally
and study the role of matrix signaling on stem cell function and fate. With a
growing interest in dynamically tunable cell culture systems, methods to
synthesize photolabile hydrogels from simple precursors would facilitate broader
accessibility. Here, a step-growth photodegradable poly(ethylene glycol) (PEG)
hydrogel system cross-linked through a strain promoted alkyne-azide cycloaddition
(SPAAC) reaction and degraded through the cleavage of a nitrobenzyl ether moiety
integrated into the cross-links is developed from commercially available
precursors in three straightforward synthetic steps with high yields (>95%). The
network evolution and degradation properties are characterized in response to one
and two-photon irradiation. The PEG hydrogel is employed to encapsulate
embryonic stem cell-derived motor neurons (ESMNs), and in situ degradation is
exploited to gain three-dimensional control over the extension of motor axons
using two-photon infrared light. Finally, ESMNs and their in vivo synaptic
partners, myotubes, are coencapsulated, and the formation of user-directed neural
networks is demonstrated.
PMID- 24932670
TI - Continuous Infusion of Ketamine for Out-of-hospital Isolated Orthopedic Injuries
Secondary to Trauma: A Randomized Controlled Trial.
AB - Abstract Objective. Although ketamine has recently been demonstrated to provide a
morphine-sparing effect, no previous study reports the effect of continuous
infusion of ketamine for analgesia in out-of-hospital environments. The aim of
this study was to compare the effect of a continuous infusion of ketamine (IK
group) vs. a continuous infusion of saline (IS group) on morphine requirements in
out-of-hospital trauma patients suffering from severe acute pain. Methods. In
this prospective, multicenter, randomized, single-blind clinical study, patients
suffering from isolated orthopedic injuries secondary to trauma with severe acute
pain received a low-dose intravenous (IV) bolus of ketamine (0.2 mg.kg-1)
combined with an IV bolus of morphine (0.1 mg.kg-1) and were randomized either in
the IK group (IV continuous infusion of ketamine 0.2 mg.kg-1.h-1), or in the IS
group (IV continuous infusion of saline at the same volume). The primary endpoint
was morphine requirements in terms of total dose of morphine (excluding the
baseline bolus) injected at the end of prehospital emergency care at hospital
admission (final time, Tf). The secondary endpoint was evaluation of pain with
visual analogic scale (VAS). Results. Sixty-six patients were enrolled. Total
morphine dose was not significantly reduced with continuous infusion of ketamine
(0.048 [0.000; 0.150] vs. 0.107 [0.052; 0.150] in IK and IS groups), with similar
mean duration of care (median 35.0 min). Analgesia was as efficient without any
significant difference in VAS at Tf between groups (3.1 +/- 2.3 (IK group) vs.
3.7 +/- 2.7 (IS group), p = 0.5). Conclusions. Continuous ketamine infusion did
not reduce morphine requirements in severe acute pain trauma patients in the out
of-hospital emergency settings.
PMID- 24932669
TI - Forcefield_NCAA: ab initio charge parameters to aid in the discovery and design
of therapeutic proteins and peptides with unnatural amino acids and their
application to complement inhibitors of the compstatin family.
AB - We describe the development and testing of ab initio derived, AMBER ff03
compatible charge parameters for a large library of 147 noncanonical amino acids
including beta- and N-methylated amino acids for use in applications such as
protein structure prediction and de novo protein design. The charge parameter
derivation was performed using the RESP fitting approach. Studies were performed
assessing the suitability of the derived charge parameters in discriminating the
activity/inactivity between 63 analogs of the complement inhibitor Compstatin on
the basis of previously published experimental IC50 data and a screening
procedure involving short simulations and binding free energy calculations. We
found that both the approximate binding affinity (K*) and the binding free energy
calculated through MM-GBSA are capable of discriminating between active and
inactive Compstatin analogs, with MM-GBSA performing significantly better. Key
interactions between the most potent Compstatin analog that contains a
noncanonical amino acid are presented and compared to the most potent analog
containing only natural amino acids and native Compstatin. We make the derived
parameters and an associated web interface that is capable of performing
modifications on proteins using Forcefield_NCAA and outputting AMBER-ready
topology and parameter files freely available for academic use at
http://selene.princeton.edu/FFNCAA . The forcefield allows one to incorporate
these customized amino acids into design applications with control over size, van
der Waals, and electrostatic interactions.
PMID- 24932671
TI - Methylenetetrahydrofolate reductase (MTHFR) C677T polymorphism among Gaddi tribe
of Indian state of Himachal Pradesh.
AB - BACKGROUND: Methylenetetrahydrofolate reductase (MTHFR) C677T polymorphism has
been suggested to be positively associated with several disorders. Distribution
of the mutant T-allele varies in ethnic and geographical populations of the
world. AIM: The aim of the present study was to investigate the distribution of
methylenetetrahydrofolate reductase (MTHFR) C677T polymorphism in a transhumant
(Gaddi) tribal population of Himachal Pradesh dwelling at high and middle
altitude and exposed to strong ultraviolet radiation. METHOD AND RESULTS: A total
of 486 samples (141 males and 345 females) were randomly enrolled from the
individuals aged 25-75 years who were unrelated up to first cousin. Among Gaddis,
genotype frequencies of CC, CT and TT were 67.90%, 27.78% and 4.32%,
respectively. Among males and females distribution of genotype frequencies also
followed a similar trend. The studied population was in Hardy-Weinberg
equilibrium (chi(2 )= 2.213, df = 1, p = 0.136). Frequency of mutant T-allele in
the Gaddi population was found to be 0.183, which might be due to European
ancestry, endogamous nature and selection.
PMID- 24932673
TI - Synthesis and characterization of eight compounds of the MU8Q17 family: ScU8S17,
CoU8S17, NiU8S17, TiU8Se17, VU8Se17, CrU8Se17, CoU8Se17, and NiU8Se17.
AB - The solid-state MU8Q17 compounds ScU8S17, CoU8S17, NiU8S17, TiU8Se17, VU8Se17,
CrU8Se17, CoU8Se17, and NiU8Se17 were synthesized from the reactions of the
elements at 1173 or 1123 K. These isostructural compounds crystallize in space
group C2h3 - C2/m of the monoclinic system in the CrU8S17 structure type. X-ray
absorption near-edge structure spectroscopic studies of ScU8S17 indicate that it
contains Sc3+, and hence charge balance is achieved with a composition that
includes U3+ as well as U4+. The other compounds charge balance with M2+ and U4+.
Magnetic susceptibility measurements on ScU8S17 indicate antiferromagnetic
couplings and a highly reduced effective magnetic moment. Ab Initio calculations
find the compound to be metallic. Surprisingly, the Sc-S distances are actually
longer than all the other M-S interactions, even though the ionic radii of Sc3+,
low-spin Cr2+, and Ni2+ are similar.
PMID- 24932672
TI - Comparative metabolomics and structural characterizations illuminate colibactin
pathway-dependent small molecules.
AB - The gene cluster responsible for synthesis of the unknown molecule "colibactin"
has been identified in mutualistic and pathogenic Escherichia coli. The pathway
endows its producer with a long-term persistence phenotype in the human bowel, a
probiotic activity used in the treatment of ulcerative colitis, and a
carcinogenic activity under host inflammatory conditions. To date, functional
small molecules from this pathway have not been reported. Here we implemented a
comparative metabolomics and targeted structural network analyses approach to
identify a catalog of small molecules dependent on the colibactin pathway from
the meningitis isolate E. coli IHE3034 and the probiotic E. coli Nissle 1917. The
structures of 10 pathway-dependent small molecules are proposed based on
structural characterizations and network relationships. The network will provide
a roadmap for the structural and functional elucidation of a variety of other
small molecules encoded by the pathway. From the characterized small molecule
set, in vitro bacterial growth inhibitory and mammalian CNS receptor antagonist
activities are presented.
PMID- 24932674
TI - Life meaning is associated with suicidal ideation among depressed veterans.
AB - Suicide is a major public health concern among U.S. veterans. Even when asked
directly, veterans who die by suicide have been found to deny suicidal thoughts.
Psychological assessment needs to go beyond the current risk factors and evaluate
underlying factors that may increase suicide risk. In the present study,
diagnostic interviews and self-report questionnaires were used to measure life
meaning and suicidal ideation in a sample of 110 depressed veterans. Life meaning
was significantly associated with suicidal ideation, even after accounting for
depression and suicide history. Life meaning may be an important, previously
ignored indicator of suicide risk.
PMID- 24932675
TI - Influence of noncovalent modification on dispersion state of multiwalled carbon
nanotubes in melt-mixed immiscible polymer blends.
AB - Multiwalled carbon nanotubes (MWNTs) were melt-mixed with polyamide6 (PA6) and
acrylonitrile butadiene styrene copolymer (ABS) to obtain electrically conducting
composites. MWNTs were noncovalently modified with sodium salt of 6-aminocaproic
acid (MWNTs-m1) and 3-pyrenealdehyde (MWNTs-m2) to 'deagglomerate' MWNTs. Raman
spectroscopic analysis indicated a G-band shift from ~1581.9 cm(-1) for pristine
MWNTs to ~1590.2 cm(-1) for MWNTs-m1 and ~1588.8 cm(-1) for MWNTs-m2, indicating
the interaction between MWNTs and the respective modifier molecules. Blends
showed 'co-continuous' morphology on the addition of MWNTs. TEM observations
showed that a higher population of pristine MWNTs exhibited a 'nanoagglomerated'
state in PA6 and ABS phases in the case of a 40/60 PA6/ABS blend, unlike a 60/40
blend, which depicted a higher population of 'individualized' MWNTs. Further, the
corresponding blends with MWNTs-m1 and MWNTs-m2 showed 'nanoagglomerated' and
'individualized' MWNTs. Blends with pristine MWNTs showed an increase in DC
electrical conductivity with an increase in PA6 concentration in the blend.
Moreover, the corresponding blends with MWNTs-m1 and MWNTs-m2 exhibited an
increased DC electrical conductivity value as compared to the corresponding blend
with pristine MWNTs. Ratio of the intensity (H1/H2) of the crystallization peak
at lower temperature (H1) to the intensity of the crystallization peak at higher
temperature (H2) depicted lower values for blends with pristine MWNTs as compared
to the corresponding blends with MWNTs-m1 and MWNTs-m2. TGA studies indicated the
formation of a thicker 'interphase' involving MWNTs and the interacting polymer
chains.
PMID- 24932676
TI - The electrophoretic mobility of a weakly charged "soft" sphere in a charged
hydrogel: application of the Lorentz reciprocal theorem.
AB - The electrophoretic mobility of a dilute, weakly charged "soft" particle in a
charged hydrogel modeled as an effective medium is investigated in this work.
This is closely related to previous work (Li, F.; Allison, S. A.; Hill, R. J. J.
Colloid Interface Sci. 2014, 423, 129-142) but approached in a different way
using the Lorentz reciprocal theorem. Under the limiting conditions of the
present work, it is possible to avoid numerical solution of differential
equations. An analytical equation is derived for the mobility and applied to a
number of cases.
PMID- 24932677
TI - Evaporation-induced flows inside a confined droplet of diluted saline solution.
AB - Flow patterns inside a droplet of diluted aqueous NaCl solution confined by two
flat substrates under natural evaporation were investigated both experimentally
and numerically. We focused on natural convection-driven flows inside confined
droplets at high Rayleigh numbers (i.e., the ratio of buoyancy to diffusion, Ra),
where the convection of solutes is strongly dominant, compared to diffusion. The
evaporated water at the free surface of the droplet builds up a concentration
gradient inside the solution, which induces the Rayleigh convection flow. Three
dimensional trajectories of tracer particles in the droplet were tracked, and
axisymmetric flow motions induced by the Rayleigh convection were experimentally
measured by using a digital in-line holographic microscopy technique. In
addition, the effects of the confined droplet's aspect ratio and the liquid's
molar concentration on the evaporation-induced flows were investigated. The
convection velocity is found to be increased as molar concentration increases,
because Rayleigh convection becomes significant at high the molar concentration
is high (i.e. high Ra). Our numerical simulation based on the Boussinesq
approximation fairly well predicted the velocity profiles of evaporating confined
droplets at low concentrations. Consequently, evaporation kinetics inside the
confined droplets can be controlled with varying droplet's aspect ratio and the
liquid's molar concentration, which provides helpful information for the design
of biochemical microplating with limited resources and for tuning self-assembly
micro/nanoparticle clusters.
PMID- 24932678
TI - Heavy metal? Recognizing complications of metal on metal hip arthroplasty.
PMID- 24932680
TI - Conjugation of a reactive thiol at the nucleotide binding site for site-specific
antibody functionalization.
AB - Described here is a UV photo-cross-linking method that utilizes the NBS
(nucleotide binding site) for site-specific covalent functionalization of
antibodies with reactive thiol moieties (UV-NBS(Thiol)), while preserving
antibody activity. By synthesizing an indole-3-butyric acid (IBA) conjugated
version of cysteine we site-specifically photo-cross-linked a reactive thiol
moiety to antibodies at the NBS. This thiol moiety can then be used as an
orthogonally reactive location to conjugate various types of functional ligands
that possess a thiol reactive group through disulfide bond formation or reaction
with a maleimide functionalized ligand. Our results demonstrate the utility of
the UV-NBS(Thiol) method by successfully functionalizing a prostate specific
antigen antibody (IgG(PSA)) with IBA-Thiol and subsequent reaction with maleimide
fluorescein. An optimal UV energy of 0.5-1.5 J/cm(2) was determined to yield the
most efficient photo-cross-linking and resulted in 1-1.5 conjugations per
antibody while preserving antibody/antigen binding activity and Fc recognition.
Utilizing the IBA-Thiol ligand allows for an efficient means of site-specifically
conjugating UV sensitive functionalities to antibody NBS that would otherwise not
have been amenable by the previously described UV-NBS photo-cross-linking method.
The UV-NBS(Thiol) conjugation strategy can be utilized in various diagnostic and
therapeutic applications with nearly limitless potential for the preparation of
site-specific covalent conjugation of affinity tags, fluorescent molecules,
peptides, and chemotherapeutics to antibodies.
PMID- 24932679
TI - School social fragmentation, economic deprivation and social cohesion and
adolescent physical inactivity: a longitudinal study.
AB - OBJECTIVES: To examine the independent influence of school economic deprivation,
social fragmentation, and social cohesion on the likelihood of participating in
no physical activity among students. METHODS: Data are from a large-scale
longitudinal study of schools based in disadvantaged communities in Quebec,
Canada. Questionnaires were administered every year between 2002 and 2008 among n
= 14,924 students aged 12 to 18 from a sample of 70 schools. Cross-sectional and
longitudinal analyses were conducted. Multilevel modeling was utilized to account
for the clustering of students within schools. Schools were categorized as being
low, moderate or high economic deprivation, social fragmentation and social
cohesion. Those who indicated that they do no participate in any physical
activity during the week were identified as being physically inactive. RESULTS:
In baseline multilevel cross-sectional analyses, adolescents attending schools in
the highest (compared to the lowest) levels of socioeconomic deprivation and
social fragmentation were more likely to be physically inactive (OR = 1.33, 95%
CI = 1.03, 1.72; and OR = 1.24, 95% CI = 0.98, 1.56, respectively). Conversely,
students attending schools with the highest cohesion were less likely to be
physically inactive (OR = 0.78, 95% CI = 0.61, 0.99). In longitudinal analysis,
physically active students who attended schools with the highest social
fragmentation were more likely to become physically inactive over two years (OR =
1.65, 95% CI = 1.09, 2.51). CONCLUSION: The school socioeconomic environment
appears to be an important contextual influence on participation in no physical
activity among adolescents. Following adolescents beyond two years is necessary
to determine if these environments have a lasting effect on physical activity
behavior.
PMID- 24932681
TI - Long term effect of curcumin in regulation of glycolytic pathway and angiogenesis
via modulation of stress activated genes in prevention of cancer.
AB - Oxidative stress, an important factor in modulation of glycolytic pathway and
induction of stress activated genes, is further augmented due to reduced
antioxidant defense system, which promotes cancer progression via inducing
angiogenesis. Curcumin, a naturally occurring chemopreventive phytochemical, is
reported to inhibit carcinogenesis in various experimental animal models.
However, the underlying mechanism involved in anticarcinogenic action of curcumin
due to its long term effect is still to be reported because of its rapid
metabolism, although metabolites are accumulated in tissues and remain for a
longer time. Therefore, the long term effect of curcumin needs thorough
investigation. The present study aimed to analyze the anticarcinogenic action of
curcumin in liver, even after withdrawal of treatment in Dalton's lymphoma
bearing mice. Oxidative stress observed during lymphoma progression reduced
antioxidant enzyme activities, and induced angiogenesis as well as activation of
early stress activated genes and glycolytic pathway. Curcumin treatment resulted
in activation of antioxidant enzyme super oxide dismutase and down regulation of
ROS level as well as activity of ROS producing enzyme NADPH:oxidase, expression
of stress activated genes HIF-1alpha, cMyc and LDH activity towards normal level.
Further, it lead to significant inhibition of angiogenesis, observed via MMPs
activity, PKCalpha and VEGF level, as well as by matrigel plug assay. Thus
findings of this study conclude that the long term effect of curcumin shows
anticarcinogenic potential via induction of antioxidant defense system and
inhibition of angiogenesis via down regulation of stress activated genes and
glycolytic pathway in liver of lymphoma bearing mice.
PMID- 24932682
TI - Human 45,X fibroblast transcriptome reveals distinct differentially expressed
genes including long noncoding RNAs potentially associated with the
pathophysiology of Turner syndrome.
AB - Turner syndrome is a chromosomal abnormality characterized by the absence of
whole or part of the X chromosome in females. This X aneuploidy condition is
associated with a diverse set of clinical phenotypes such as gonadal dysfunction,
short stature, osteoporosis and Type II diabetes mellitus, among others. These
phenotypes differ in their severity and penetrance among the affected
individuals. Haploinsufficiency for a few X linked genes has been associated with
some of these disease phenotypes. RNA sequencing can provide valuable insights to
understand molecular mechanism of disease process. In the current study, we have
analysed the transcriptome profiles of human untransformed 45,X and 46,XX
fibroblast cells and identified differential expression of genes in these two
karyotypes. Functional analysis revealed that these differentially expressing
genes are associated with bone differentiation, glucose metabolism and gonadal
development pathways. We also report differential expression of lincRNAs in X
monosomic cells. Our observations provide a basis for evaluation of cellular and
molecular mechanism(s) in the establishment of Turner syndrome phenotypes.
PMID- 24932683
TI - Genome-wide identification and characterization of long intergenic non-coding
RNAs in Ganoderma lucidum.
AB - Ganoderma lucidum is a white-rot fungus best-known for its medicinal activities.
We have previously sequenced its genome and annotated the protein coding genes.
However, long non-coding RNAs in G. lucidum genome have not been analyzed. In
this study, we have identified and characterized long intergenic non-coding RNAs
(lincRNA) in G. lucidum systematically. We developed a computational pipeline,
which was used to analyze RNA-Seq data derived from G. lucidum samples collected
from three developmental stages. A total of 402 lincRNA candidates were
identified, with an average length of 609 bp. Analysis of their adjacent protein
coding genes (apcGenes) revealed that 46 apcGenes belong to the pathways of
triterpenoid biosynthesis and lignin degradation, or families of cytochrome P450,
mating type B genes, and carbohydrate-active enzymes. To determine if lincRNAs
and these apcGenes have any interactions, the corresponding pairs of lincRNAs and
apcGenes were analyzed in detail. We developed a modified 3' RACE method to
analyze the transcriptional direction of a transcript. Among the 46 lincRNAs, 37
were found unidirectionally transcribed, and 9 were found bidirectionally
transcribed. The expression profiles of 16 of these 37 lincRNAs were found to be
highly correlated with those of the apcGenes across the three developmental
stages. Among them, 11 are positively correlated (r>0.8) and 5 are negatively
correlated (r<-0.8). The co-localization and co-expression of lincRNAs and those
apcGenes playing important functions is consistent with the notion that lincRNAs
might be important regulators for cellular processes. In summary, this represents
the very first study to identify and characterize lincRNAs in the genomes of
basidiomycetes. The results obtained here have laid the foundation for study of
potential lincRNA-mediated expression regulation of genes in G. lucidum.
PMID- 24932684
TI - MIF family members cooperatively inhibit p53 expression and activity.
AB - The tumor suppressor p53 is induced by genotoxic stress in both normal and
transformed cells and serves to transcriptionally coordinate cell cycle
checkpoint control and programmed cell death responses. Macrophage migration
inhibitory factor (MIF) is an autocrine and paracrine acting cytokine/growth
factor that promotes lung adenocarcinoma cell motility, anchorage-independence
and neo-angiogenic potential. Several recent studies indicate that the only known
homolog of MIF, D-dopachrome tautomerase (D-DT - also referred to as MIF-2), has
functionally redundant activities with MIF and cooperatively promotes MIF
dependent pro-tumorigenic phenotypes. We now report that MIF and D-DT
synergistically inhibit steady state p53 phosphorylation, stabilization and
transcriptional activity in human lung adenocarcinoma cell lines. The combined
loss of MIF and D-DT by siRNA leads to dramatically reduced cell cycle
progression, anchorage independence, focus formation and increased programmed
cell death when compared to individual loss of MIF or D-DT. Importantly, p53
mutant and p53 null lung adenocarcinoma cell lines were only nominally rescued
from the cell growth effects of MIF/D-DT combined deficiency suggesting only a
minor role for p53 in these transformed cell growth phenotypes. Finally,
increased p53 activation was found to be independent of aberrantly activated AMP
activated protein kinase (AMPK) that occurs in response to MIF/D-DT-deficiency
but is dependent on reactive oxygen species (ROS) that mediate aberrant AMPK
activation in these cells. Combined, these findings suggest that both p53
wildtype and mutant human lung adenocarcinoma tumors rely on MIF family members
for maximal cell growth and survival.
PMID- 24932685
TI - Insulin-like growth factor 2 silencing restores taxol sensitivity in drug
resistant ovarian cancer.
AB - Drug resistance is an obstacle to the effective treatment of ovarian cancer. We
and others have shown that the insulin-like growth factor (IGF) signaling pathway
is a novel potential target to overcome drug resistance. The purpose of this
study was to validate IGF2 as a potential therapeutic target in drug resistant
ovarian cancer and to determine the efficacy of targeting IGF2 in vivo. An
analysis of The Cancer Genome Atlas (TCGA) data in the serous ovarian cancer
cohort showed that high IGF2 mRNA expression is significantly associated with
shortened interval to disease progression and death, clinical indicators of drug
resistance. In a genetically diverse panel of ovarian cancer cell lines, the IGF2
mRNA levels measured in cell lines resistant to various microtubule-stabilizing
agents including Taxol were found to be significantly elevated compared to the
drug sensitive cell lines. The effect of IGF2 knockdown on Taxol resistance was
investigated in vitro and in vivo. Transient IGF2 knockdown significantly
sensitized drug resistant cells to Taxol treatment. A Taxol-resistant ovarian
cancer xenograft model, developed from HEY-T30 cells, exhibited extreme drug
resistance, wherein the maximal tolerated dose of Taxol did not delay tumor
growth in mice. Blocking the IGF1R (a transmembrane receptor that transmits
signals from IGF1 and IGF2) using a monoclonal antibody did not alter the
response to Taxol. However, stable IGF2 knockdown using short-hairpin RNA in HEY
T30 effectively restored Taxol sensitivity. These findings validate IGF2 as a
potential therapeutic target in drug resistant ovarian cancer and show that
directly targeting IGF2 may be a preferable strategy compared with targeting
IGF1R alone.
PMID- 24932686
TI - Helicobacter hepaticus induces an inflammatory response in primary human
hepatocytes.
AB - Helicobacter hepaticus can lead to chronic hepatitis and hepatocellular carcinoma
in certain strains of mice. Until now the pathogenic role of Helicobacter species
on human liver tissue is still not clarified though Helicobacter species
identification in human liver cancer was successful in case controlled studies.
Therefore we established an in vitro model to investigate the interaction of
primary human hepatocytes (PHH) with Helicobacter hepaticus. Successful co
culturing of PHH with Helicobacter hepaticus was confirmed by visualization of
motile bacteria by two-photon-microscopy. Isolated human monocytes were
stimulated with PHH conditioned media. Changes in mRNA expression of acute phase
cytokines and proteins in PHH and stimulated monocytes were determined by Real
time PCR. Furthermore, cytokines and proteins were analyzed in PHH culture
supernatants by ELISA. Co-cultivation with Helicobacter hepaticus induced mRNA
expression of Interleukin-1 beta (IL-1beta), Tumor necrosis factor-alpha,
Interleukin-8 (IL-8) and Monocyte chemotactic protein-1 (MCP-1) in PHH (p<0.05)
resulting in a corresponding increase of IL-8 and MCP-1 concentrations in PHH
supernatants (p<0.05). IL-8 and IL-1beta mRNA expression was induced in monocytes
stimulated with Helicobacter hepaticus infected PHH conditioned media (p<0.05).
An increase of Cyclooxygenase-2 mRNA expression was observed, with a concomitant
increase of prostaglandin E2 concentration in PHH supernatants at 24 and 48 h
(p<0.05). In contrast, at day 7 of co-culture, no persistent elevation of
cytokine mRNA could be detected. High expression of intercellular adhesion
molecule-1 on PHH cell membranes after co-culture was shown by two-photon
microscopy and confirmed by flow-cytometry. Finally, expression of Cytochrome
P450 3A4 and albumin mRNA were downregulated, indicating an impairment of
hepatocyte synthesis function by Helicobacter hepaticus presence. This is the
first in vitro model demonstrating a pathogenic effect of a Helicobacter spp. on
human liver cells, resulting in an inflammatory response with increased synthesis
of inflammatory mediators and consecutive monocyte activation.
PMID- 24932688
TI - Clearance of Aspergillus fumigatus is impaired in the airway in allergic
inflammation.
AB - BACKGROUND: Aspergillus fumigatus (Af) sometimes colonizes and persists within
the respiratory tree in some patients with asthma. To date, the precise reasons
why the clearance of Af is impaired in patients with asthma remain unknown.
OBJECTIVE: To characterize the effects of allergic airway inflammation on
clearance of Af. METHODS: Control and Dermatophagoides farinae (Df) allergen
sensitized BALB/c mice were intranasally infected with Af. After 2 and 9 days of
infection, the pathology, fungal burden, and cytokine profile in lung tissue were
compared. In a different set of experiments, the phagocytotic activity of
alveolar macrophages and the expression of their pathogen recognition receptors
also were determined. RESULTS: The Af conidia and neutrophilic airway
inflammation disappeared by day 9 after infection in control mice. In Df
sensitized mice, Af conidia and neutrophilic and eosinophilic airway inflammation
persisted at day 9 after infection. Compared with control mice, Df allergen
sensitized mice showed significant increases in interleukin (IL)-5 and decreases
in IL-12 and interferon-gamma in lung tissues at day 2 after infection. Most
importantly, compared with Af-infected non-Df-sensitized mice, IL-17 in lung
tissues was significantly decreased in Df allergen-sensitized Af-infected mice at
day 2 after infection but was significantly increased at day 9. Alveolar
macrophages isolated from Df allergen-sensitized mice exhibited significant
decreases in phagocytotic activity and expression of Toll-like receptor-4 and
dectin-1 compared with those from control mice. CONCLUSION: In the airway of
patients with allergy, T-helper cell type 2-dominant immunity potentially affects
the expression of pathogen recognition receptors and attenuates cellular defense
against Af. Prolonged IL-17 production also could play an important role.
PMID- 24932689
TI - Chemokine expression in diverse nonimmediate drug hypersensitivity reactions:
focus on thymus activation-regulated chemokine, cutaneous T-cell-attracting
chemokine, and interleukin-10.
AB - BACKGROUND: Skin infiltration of different types of T lymphocytes is responsible
for inflammatory profiles of nonimmediate drug hypersensitivity reactions
(niDHRs). Important chemokines attracting skin-specific homing T cells include
thymus activation-regulated chemokine (TARC) and cutaneous T-cell-attracting
chemokine (CTACK). Interleukin-10 (IL-10) is a potent chemokine attracting CD8(+)
T cells. OBJECTIVE: To investigate serum levels of TARC, CTACK, and IL-10 in
patients with niDHRs and evaluate the correlation among these 3 chemokines.
METHODS: Forty patients, including 19 patients with Stevens-Johnson syndrome and
toxic epidermal necrolysis and 21 patients with maculopapular exanthema, and 21
healthy donors were recruited into the study. Clinical data of patients were
obtained. Serum TARC, CTACK, and IL-10 levels were determined by enzyme-linked
immunosorbent assay. RESULTS: Serum levels of TARC, CTACK, and IL-10 were
significantly elevated in patients with niDHRs compared with those in normal
controls (P < .05, P < .001, P < .001, respectively). The CTACK and IL-10 levels
were significantly higher (P < .05, P < .001) in patients with Stevens-Johnson
syndrome and toxic epidermal necrolysis than in normal controls. Patients with
maculopapular exanthema exhibited higher levels of TARC, CTACK, and IL-10
compared with normal controls (P < .001, P < .001, P < .05). Serum CTACK levels
were positively correlated with TARC levels in all 40 patients (rs = 0.3422, P <
.05). Serum CTACK levels positively correlated with detachment of body surface
area in patients with Stevens-Johnson syndrome and toxic epidermal necrolysis (rs
= 0.510, P < .05). CONCLUSION: These results support a role for TARC, CTACK, and
IL-10 in the pathogenesis of niDHRs for their chemotactic ability to attract
different T-cell subtypes and different functional severities in niDHRs.
PMID- 24932690
TI - Extracellular polysaccharide production by a novel osmotolerant marine strain of
Alteromonas macleodii and its application towards biomineralization of silver.
AB - The present study demonstrates exopolysaccharide production by an osmotolerant
marine isolate and also describes further application of the purified
polysaccharide for production of colloidal suspension of silver nanoparticles
with narrow size distribution. Phylogenetic analysis based on 16S r RNA gene
sequencing revealed close affinity of the isolate to Alteromonas macleodii.
Unlike earlier reports, where glucose was used as the carbon source, lactose was
found to be the most suitable substrate for polysaccharide production. The strain
was capable of producing 23.4 gl(-1) exopolysaccharide with a productivity of 7.8
gl(-1) day(-1) when 15% (w/v) lactose was used as carbon source. Furthermore, the
purified polysaccharide was able to produce spherical shaped silver nanoparticles
of around 70 nm size as characterized by Uv-vis spectroscopy, Dynamic light
scattering and Transmission electron microscopy. These observations suggested
possible commercial potential of the isolated strain for production of a
polysaccharide which has the capability of synthesizing biocompatible metal
nanoparticle.
PMID- 24932691
TI - Febuxostat in adenosine phosphoribosyltransferase deficiency.
PMID- 24932694
TI - Introduction to the World Society for Reconstructive Microsurgery 2013 meeting.
PMID- 24932692
TI - Regulation of HIV-Gag expression and targeting to the endolysosomal/secretory
pathway by the luminal domain of lysosomal-associated membrane protein (LAMP-1)
enhance Gag-specific immune response.
AB - We have previously demonstrated that a DNA vaccine encoding HIV-p55gag in
association with the lysosomal associated membrane protein-1 (LAMP-1) elicited a
greater Gag-specific immune response, in comparison to a DNA encoding the native
gag. In vitro studies have also demonstrated that LAMP/Gag was highly expressed
and was present in MHCII containing compartments in transfected cells. In this
study, the mechanisms involved in these processes and the relative contributions
of the increased expression and altered traffic for the enhanced immune response
were addressed. Cells transfected with plasmid DNA constructs containing p55gag
attached to truncated sequences of LAMP-1 showed that the increased expression of
gag mRNA required p55gag in frame with at least 741 bp of the LAMP-1 luminal
domain. LAMP luminal domain also showed to be essential for Gag traffic through
lysosomes and, in this case, the whole sequence was required. Further analysis of
the trafficking pathway of the intact LAMP/Gag chimera demonstrated that it was
secreted, at least in part, associated with exosome-like vesicles. Immunization
of mice with LAMP/gag chimeric plasmids demonstrated that high expression level
alone can induce a substantial transient antibody response, but targeting of the
antigen to the endolysosomal/secretory pathways was required for establishment of
cellular and memory response. The intact LAMP/gag construct induced
polyfunctional CD4+ T cell response, which presence at the time of immunization
was required for CD8+ T cell priming. LAMP-mediated targeting to
endolysosomal/secretory pathway is an important new mechanistic element in LAMP
mediated enhanced immunity with applications to the development of novel anti-HIV
vaccines and to general vaccinology field.
PMID- 24932695
TI - Introduction to the World Society for Reconstructive Microsurgery 2013 scientific
meeting and paper issue.
PMID- 24932693
TI - Transformation products and human metabolites of triclocarban and triclosan in
sewage sludge across the United States.
AB - Removal of triclocarban (TCC) and triclosan (TCS) from wastewater is a function
of adsorption, abiotic degradation, and microbial mineralization or
transformation, reactions that are not currently controlled or optimized in the
pollution control infrastructure of standard wastewater treatment. Here, we
report on the levels of eight transformation products, human metabolites, and
manufacturing byproducts of TCC and TCS in raw and treated sewage sludge. Two
sample sets were studied: samples collected once from 14 wastewater treatment
plants (WWTPs) representing nine states, and multiple samples collected from one
WWTP monitored for 12 months. Time-course analysis of significant mass fluxes
(alpha=0.01) indicate that transformation of TCC (dechlorination) and TCS
(methylation) occurred during sewage conveyance and treatment. Strong linear
correlations were found between TCC and the human metabolite 2'-hydroxy-TCC
(r=0.84), and between the TCC-dechlorination products dichlorocarbanilide (DCC)
and monochlorocarbanilide (r=0.99). Mass ratios of DCC-to-TCC and of methyl
triclosan (MeTCS)-to-TCS, serving as indicators of transformation activity,
revealed that transformation was widespread under different treatment regimes
across the WWTPs sampled, though the degree of transformation varied
significantly among study sites (alpha=0.01). The analysis of sludge sampled
before and after different unit operation steps (i.e., anaerobic digestion,
sludge heat treatment, and sludge drying) yielded insights into the extent and
location of TCC and TCS transformation. Results showed anaerobic digestion to be
important for MeTCS transformation (37-74%), whereas its contribution to partial
TCC dechlorination was limited (0.4-2.1%). This longitudinal and nationwide
survey is the first to report the occurrence of transformation products, human
metabolites, and manufacturing byproducts of TCC and TCS in sewage sludge.
PMID- 24932696
TI - Neuroprotection against Abeta25-35-induced apoptosis by Salvia miltiorrhiza
extract in SH-SY5Y cells.
AB - The neurotoxicity of beta-amyloid protein (Abeta) contributes significantly to
the pathogenesis of Alzheimer's disease (AD), and hence the attractive
therapeutic strategies focusing on the modulation of Abeta-induced neurotoxicity
are warranted. The present study aims to investigate the neuroprotection and
underlying mechanisms by which Salvia miltiorrhiza Bunge (Lamiaceae) extract
(SME) protects against Abeta25-35-induced apoptosis in SH-SY5Y cells. 2h Pre
treatment of SH-SY5Y cells with SME (0.01, 0.1 or 0.2mg raw herb/ml)
concentration-dependently attenuated Abeta25-35-induced cell death, as evidenced
by the increase in cell viability and decrease in neuronal apoptosis. In
addition, SME suppressed the increased intracellular reactive oxygen species
levels, decreased the protein expression of cleaved caspase-3, cytosolic
cytochrome c, and Bax/Bcl-2 ratio. These findings taken together suggest that SME
provides substantial neuroprotection against Abeta25-35-induced neurotoxicity in
SH-SY5Y cells, at least in part, via inhibiting oxidative stress and attenuating
the mitochondria-dependent apoptotic pathway. The approach used in this study may
also be useful for the screening of therapeutic agents for AD and other related
neurodegenerative disease.
PMID- 24932697
TI - Gastrodin protects against MPP(+)-induced oxidative stress by up regulates heme
oxygenase-1 expression through p38 MAPK/Nrf2 pathway in human dopaminergic cells.
AB - Although the etiology of PD remains unclear, increasing evidence has shown that
oxidative stress plays an important role in its pathogenesis and that of other
neurodegenerative disorders. The phenolic glucoside gastrodin, a main constituent
of a Chinese herbal medicine Gastrodia elata (GE) Blume, has been known to
display antioxidant activity. The present study aimed to investigate the
protective effects of gastrodin on 1-methyl-4-phenylpyridinium (MPP(+))-induced
oxidative cytotoxicity in human dopaminergic SH-SY5Y cells and the underlying
mechanism for this neuroprotection. Results indicate that pre-treatment with
gastrodin for 1h significantly reduced the MPP(+)-induced viability loss,
apoptotic rate and attenuated MPP(+)-mediated ROS production. In addition,
gastrodin inhibited MPP(+)-induced lowered membrane potential, decreased Bcl
2/Bax ratio. Moreover, we have revealed the gastrodin increased Nrf2 nuclear
translocation, which is upstream of heme oxygenase-1 (HO-1) expression and for
the first time revealed gastrodin could increased antioxidant enzyme HO-1
expression in concentration-dependent and time-dependent manners. HO-1 siRNA
transfection was employed, and confirmed gastrodin could active the expression of
HO-1. And the increase in HO-1 expression was correlated with the protective
effect of gastrodin against MPP(+)-induced injury. Because the inhibitor of HO-1
activity, ZnPP reversed the protective effect of gastrodin against MPP(+)-induced
cell death. We also demonstrated that the specific p38 MAPK inhibitor, SB203580,
concentration-dependently blocked on gastrodin-induced HO-1 expression, and
meanwhile SB203580 reversed the protective effect of gastrodin against MPP(+)
induced cell death. Taken together, these findings suggest that gastrodin can
induce HO-1 expression through activation of p38 MAPK/Nrf2 signaling pathway,
thereby protecting the SH-SY5Y cells from MPP(+)-induced oxidative cell death.
Thus our study indicates that gastrodin has a partial cytoprotective role in
dopaminergic cell culture systems and could be of importance for the treatment of
PD and other oxidative stress-related diseases.
PMID- 24932698
TI - WITHDRAWN: Long-term ventilation in children: Ten years later.
AB - This article has been withdrawn for editorial reasons because the journal will be
published only in English. In order to avoid duplicated records, this article can
be found at http://dx.doi.org/10.1016/j.rppnen.2014.03.017. The Publisher
apologizes for any inconvenience this may cause. The full Elsevier Policy on
Article Withdrawal can be found at
http://www.elsevier.com/locate/withdrawalpolicy.
PMID- 24932699
TI - Detection of mercury(II) ions using colorimetric gold nanoparticles on paper
based analytical devices.
AB - An on-field colorimetric sensing strategy employing gold nanoparticles (AuNPs)
and a paper-based analytical platform was investigated for mercury ion (Hg(2+))
detection at water sources. By utilizing thymine-Hg(2+)-thymine (T-Hg(2+)-T)
coordination chemistry, label-free detection oligonucleotide sequences were
attached to unmodified gold nanoparticles to provide rapid mercury ion sensing
without complicated and time-consuming thiolated or other costly labeled probe
preparation processes. Not only is this strategy's sensing mechanism specific
toward Hg(2+), rather than other metal ions, but also the conformational change
in the detection oligonucleotide sequences introduces different degrees of AuNP
aggregation that causes the color of AuNPs to exhibit a mixture variance. To
eliminate the use of sophisticated equipment and minimize the power requirement
for data analysis and transmission, the color variance of multiple detection
results were transferred and concentrated on cellulose-based paper analytical
devices, and the data were subsequently transmitted for the readout and storage
of results using cloud computing via a smartphone. As a result, a detection limit
of 50 nM for Hg(2+) spiked pond and river water could be achieved. Furthermore,
multiple tests could be performed simultaneously with a 40 min turnaround time.
These results suggest that the proposed platform possesses the capability for
sensitive and high-throughput on-site mercury pollution monitoring in resource
constrained settings.
PMID- 24932700
TI - Stiff filamentous virus translocations through solid-state nanopores.
AB - The ionic conductance through a nanometer-sized pore in a membrane changes when a
biopolymer slides through it, making nanopores sensitive to single molecules in
solution. Their possible use for sequencing has motivated numerous studies on how
DNA, a semi-flexible polymer, translocates nanopores. Here we study voltage
driven dynamics of the stiff filamentous virus fd with experiments and
simulations to investigate the basic physics of polymer translocations. We find
that the electric field distribution aligns an approaching fd with the nanopore,
promoting its capture, but it also pulls fd sideways against the membrane after
failed translocation attempts until thermal fluctuations reorient the virus for
translocation. fd is too stiff to translocate in folded configurations. It
therefore translocates linearly, exhibiting a voltage-independent mobility and
obeying first-passage-time statistics. Surprisingly, lengthwise Brownian motion
only partially accounts for the translocation velocity fluctuations. We also
observe a voltage-dependent contribution whose origin is only partially
determined.
PMID- 24932701
TI - GATA4 is essential for bone mineralization via ERalpha and TGFbeta/BMP pathways.
AB - Osteoporosis is a disease characterized by low bone mass, leading to an increased
risk of fragility fractures. GATA4 is a zinc-finger transcription factor that is
important in several tissues, such as the heart and intestines, and has recently
been shown to be a pioneer factor for estrogen receptor alpha (ERalpha) in
osteoblast-like cells. Herein, we demonstrate that GATA4 is necessary for
estrogen-mediated transcription and estrogen-independent mineralization in vitro.
In vivo deletion of GATA4, driven by Cre-recombinase in osteoblasts, results in
perinatal lethality, decreased trabecular bone properties, and abnormal bone
development. Microarray analysis revealed GATA4 suppression of TGFbeta signaling,
necessary for osteoblast progenitor maintenance, and concomitant activation of
BMP signaling, necessary for mineralization. Indeed, pSMAD1/5/8 signaling,
downstream of BMP signaling, is decreased in the trabecular region of conditional
knockout femurs, and pSMAD2/3, downstream of TGFbeta signaling, is increased in
the same region. Together, these experiments demonstrate the necessity of GATA4
in osteoblasts. Understanding the role of GATA4 to regulate the tissue
specificity of estrogen-mediated osteoblast gene regulation and estrogen
independent bone differentiation may help to develop therapies for postmenopausal
osteoporosis.
PMID- 24932702
TI - Association between temperature and emergency room visits for cardiorespiratory
diseases, metabolic syndrome-related diseases, and accidents in metropolitan
Taipei.
AB - OBJECTIVE: This study evaluated risks of the emergency room visits (ERV) for
cerebrovascular diseases, heart diseases, ischemic heart disease, hypertensive
diseases, chronic renal failure (CRF), diabetes mellitus (DM), asthma, chronic
airway obstruction not elsewhere classified (CAO), and accidents associated with
the ambient temperature from 2000 to 2009 in metropolitan Taipei. METHODS: The
distributed lag non-linear model was used to estimate the cumulative relative
risk (RR) and confidence interval (CI) of cause-specific ERV associated with
daily temperature from lag 0 to lag 3 after controlling for potential
confounders. RESULTS: This study identified that temperatures related to the
lowest risk of ERV was 26 degrees C for cerebrovascular diseases, 18 degrees C
for CRF, DM, and accidents, and 30 degrees C for hypertensive diseases, asthma,
and CAO. These temperatures were used as the reference temperatures to measure RR
for the corresponding diseases. A low temperature (14 degrees C) increased the
ERV risk for cerebrovascular diseases, hypertensive diseases, and asthma, with
respective cumulative 4-day RRs of 1.56 (95% CI: 1.23, 1.97), 1.78 (95% CI: 1.37,
2.34), and 2.93 (95% CI: 1.26, 6.79). The effects were greater on, or after, lag
one. At 32 degrees C, the cumulative 4-day RR for ERV was significant for CRF (RR
= 2.36; 95% CI: 1.33, 4.19) and accidents (RR = 1.23; 95% CI: 1.14, 1.33) and the
highest RR was seen on lag 0 for CRF (RR = 1.69; 95% CI: 1.01, 3.58), DM (RR =
1.69; 95% CI: 1.09, 2.61), and accidents (RR = 1.19; 95% CI: 1.11, 1.27).
CONCLUSIONS: Higher temperatures are associated with the increased ERV risks for
CRF, DM, and accidents and lower temperatures with the increased ERV risks for
cerebrovascular diseases, hypertensive diseases, and asthma in the subtropical
metropolitan.
PMID- 24932703
TI - Subcutaneous and intravenous ceftriaxone administration in patients more than 75
years of age.
AB - OBJECTIVE: We wanted to compare the first line intravenous administration of
ceftriaxone to a subcutaneous administration in patients more than 75 years of
age. METHOD: We performed a retrospective monocentric study on all patients more
than 75 years of age admitted to the Ales hospital between January 1 and December
31, 2011, having received at least two doses of ceftriaxone intravenously (IV) or
subcutaneously (SC). RESULTS: One hundred and forty-eight patients (70 females/78
males patients) were included, 110 received ceftriaxone IV and 38 SC. They were a
mean age of 84.7 years, older in the SC group (86.9 years) than in the IV group
(83.9 years) (P = 0.0052). The SC group patients presented more frequently with
dementia (57% vs. 25% P = 0.001), were more often bedridden (22% vs. 7% P =
0.023), had a higher mean World Health Organization status (3.13 vs. 2.76, P =
0.0181), and higher ADL score (7.79 vs. 5.76, P = 0.0056). There was no
statistical difference for isolated bacteria, site of infection, death rate, and
patients cured. CONCLUSION: Subcutaneous ceftriaxone administration seems to be
preferred for fragile elderly patients independently of disease severity. This
administration is not associated to an impaired effectiveness or to an increased
death rate.
PMID- 24932705
TI - Electrocution-related mortality: a review of 71 deaths by low-voltage electrical
current in Guangdong, China, 2001-2010.
AB - The aim of this study was to investigate the epidemiological characteristics of
low-voltage electrocution deaths in Guangdong, China. Three thousand three
hundred seventy autopsy reports from the Department of Forensic Pathology,
Zhongshan School of Medicine, Sun Yat-Sen University, over a period of 10 years
(2001-2010) were reviewed, of which 71 low-voltage electrocution cases were
identified. The descriptive statistical analyses were carried out with the
application of SPSS 19.0 software. Electrocution accounted for 2.11% of all
autopsied cases. The age range was 3 to 57 years with a mean age of 31.77 +/-
11.0 years. The average age of male victims was 33.08 +/- 10.77 years, and that
of female victims was 22.63 +/- 11.06 years. The majority of the victims (87.33%)
were male. Among the circumstances leading to electrocution, most of them
occurred in factory and in the street. Considering the contact details, deaths
were caused most frequently by touching electrical wires (n = 27, 38.02%),
followed by touching charged machine (n = 20, 28.17%). There were no suicide and
homicide cases. Of all electrocution cases, 50.70% occurred during the summer
period from June through August. The upper extremity was the most frequently
involved contact site (59.72%). No electrical burn marks were present in 14 cases
(19.72%). Our results indicated that most deaths from electrocution occur more
often in factories, in summer seasons, and to young male workers, which can help
in the development of a differentiated strategy for the prevention of
electrocution, while taking into consideration sex, age, occupation, and season
of the year.
PMID- 24932704
TI - Medulloblastoma in China: clinicopathologic analyses of SHH, WNT, and non-SHH/WNT
molecular subgroups reveal different therapeutic responses to adjuvant
chemotherapy.
AB - Medulloblastoma (MB) is one of the most common primary central nervous system
tumors in children. Data is lacking of a large cohort of medulloblastoma patients
in China. Also, our knowledge on the sensitivity of different molecular subgroups
of MB to adjuvant radiation therapy (RT) or chemotherapy (CHT) is still limited.
The authors performed a retrospective study of 173 medulloblastoma patients
treated at two institutions from 2002 to 2011. Formalin-fixed paraffin embedded
(FFPE) tissues were available in all the cases and sections were stained to
classify histological and molecular subgroups. Univariate and multivariate
analyses were used to investigate prognostic factors. Of 173 patients, there were
118 children and 55 adults, 112 males and 61 females. Estimated 5-year overall
survival (OS) rates for all patients, children and adults were 52%, 48% and 63%,
respectively. After multivariate analysis, postoperative primary radiation
therapy (RT) and chemotherapy (CHT) were revealed as favorable prognostic factors
influencing OS and EFS. Postoperative primary chemotherapy (CHT) was found
significantly improving the survival of children (p<0.001) while it was not a
significant prognostic factor for adult patients. Moreover, patients in WNT
subtype had better OS (p = 0.028) than others (SHH and Non-SHH/WNT subtypes)
given postoperative adjuvant therapies. Postoperative primary RT was found to be
a strong prognostic factor influencing the survival in all histological and
molecular subgroups (p<0.001). Postoperative primary CHT was found significantly
to influence the survival of classic medulloblastoma (CMB) (OS p<0.001, EFS
p<0.001), SHH subgroup (OS p = 0.020, EFS p = 0.049) and WNT subgroup (OS p =
0.003, EFS p = 0.016) but not in desmoplastic/nodular medulloblastoma (DMB) (OS p
= 0.361, EFS p = 0.834) and Non-SHH/WNT subgroup (OS p = 0.127, EFS p = 0.055).
Our study showed postoperative primary CHT significantly influence the survival
of CMB, SHH subgroup and WNT subgroup but not in DMB and Non-SHH/WNT subgroup of
MB.
PMID- 24932706
TI - Molecular approaches for detection of the multi-drug resistant tuberculosis (MDR
TB) in Bangladesh.
AB - The principal obstacles in the treatment of tuberculosis (TB) are delayed and
inaccurate diagnosis which often leads to the onset of the drug resistant TB
cases. To avail the appropriate treatment of the patients and to hinder the
transmission of drug-resistant TB, accurate and rapid detection of resistant
isolates is critical. Present study was designed to demonstrate the efficacy of
molecular techniques inclusive of line probe assay (LPA) and GeneXpert MTB/RIF
methods for the detection of multi-drug resistant (MDR) TB. Sputum samples from
300 different categories of treated and new TB cases were tested for the
detection of possible mutation in the resistance specific genes (rpoB, inhA and
katG) through Genotype MTBDRplus assay or LPA and GeneXpert MTB/RIF tests.
Culture based conventional drug susceptibility test (DST) was also carried out to
measure the efficacy of the molecular methods employed. Among 300 samples, 191
(63.7%) and 193 (64.3%) cases were found to be resistant against rifampicin in
LPA and GeneXpert methods, respectively; while 189 (63%) cases of rifampicin
resistance were detected by conventional DST methods. On the other hand, 196
(65.3%) and 191 (63.7%) isolates showed isoniazid resistance as detected by LPA
and conventional drug susceptibility test (DST), respectively. Among the drug
resistant isolates (collectively 198 in LPA and 193 in conventional DST), 189
(95.6%) and 187 (96.9%) were considered to be MDR as examined by LPA and
conventional DST, respectively. Category-II and -IV patients encountered higher
frequency of drug resistance compared to those from category-I and new cases.
Considering the higher sensitivity, specificity and accuracy along with the
required time to results significantly shorter, our study supports the adoption
of LPA and GeneXpert assay as efficient tools in detecting drug resistant TB in
Bangladesh.
PMID- 24932707
TI - Management of atypical cranial meningiomas, part 1: predictors of recurrence and
the role of adjuvant radiation after gross total resection.
AB - BACKGROUND: Indications for external beam radiation therapy (EBRT) for atypical
meningiomas (AMs) remain unclear. OBJECTIVE: To analyze features associated with
recurrence in AM patients after gross total resection (GTR) and to assess the
relative benefit of EBRT in a retrospective cohort study. METHODS: One hundred
fifty-one primary AMs after GTR (88 female patients; median follow-up, 45.0
months) were examined for possible predictors of recurrence (age, sex, location,
volume, bone involvement, brain invasion). The Fisher exact and Wilcoxon rank-sum
tests were used to analyze the association between these predictors and use of
EBRT. The impact on recurrence for these predictors and EBRT was analyzed with
Kaplan-Meier and Cox regression. RESULTS: Of 151 patients, 13 (8.6%) experienced
recurrence after GTR (median, 47.0 months). Multivariate analysis identified
elevated mitotic index (P = .007) and brain invasion (P = .002) as predictors of
recurrence. Larger volume (P = .96) was not associated with recurrence but was
more likely to prompt EBRT (P = .001). Recurrences occurred in 11 of 112 with GTR
(9.8%; median, 44 months) and 2 of 39 with GTR/EBRT (5.1%; median, 133 months).
The 2-, 5-, and 10-year progression-free survival rates after GTR vs GTR/EBRT
were 97%, 86%, and 68% vs 100%, 100%, and 78%. Kaplan-Meier analysis demonstrated
no difference in progression-free survival or overall survival after GTR vs
GTR/EBRT (P = .8, P > .99). CONCLUSION: Brain invasion and high mitotic rates may
predict recurrence. After GTR of AMs, EBRT appears not to affect progression-free
survival and overall survival, suggesting that observation rather than EBRT may
be indicated after GTR.
PMID- 24932708
TI - Management of atypical cranial meningiomas, part 2: predictors of progression and
the role of adjuvant radiation after subtotal resection.
AB - BACKGROUND: The efficacies of adjuvant stereotactic radiosurgery (SRS) and
external beam radiation therapy (EBRT) for atypical meningiomas (AMs) after
subtotal resection (STR) remain unclear. OBJECTIVE: To analyze the clinical,
histopathological, and radiographic features associated with progression in AM
patients after STR. METHODS: Fifty-nine primary AMs after STR were examined for
predictors of progression, including the impact of SRS and EBRT, in a
retrospective cohort study. RESULTS: Twenty-seven patients (46%) progressed after
STR (median, 30 months). On univariate analysis, spontaneous necrosis positively
(hazard ratio = 5.2; P = .006) and adjuvant radiation negatively (hazard ratio =
0.3; P = .009) correlated with progression; on multivariate analysis, only
adjuvant radiation remained independently significant (hazard ratio = 0.3; P =
.006). SRS and EBRT were associated with greater local control (LC; P = .02) and
progression-free survival (P = .007). The 2-, 5-, and 10-year actuarial LC rates
after STR vs STR/EBRT were 60%, 34%, and 34% vs 96%, 65%, and 45%. The 2-, 5-,
and 10-year actuarial progression-free survival rates after STR vs STR/EBRT were
60%, 30%, and 26% vs 96%, 65%, and 45%. Compared with STR alone, adjuvant
radiation therapy significantly improved LC in AMs that lack spontaneous necrosis
(P = .003) but did not improve LC in AMs with spontaneous necrosis (P = .6).
CONCLUSION: Adjuvant SRS or EBRT improved LC of AMs after STR but only for tumors
without spontaneous necrosis. Spontaneous necrosis may aid in decisions to
administer adjuvant SRS or EBRT after STR of AMs.
PMID- 24932709
TI - Large pontine cavernous malformations: resection via the telovelar approach and
mapping of the fourth ventricular floor: 3-dimensional operative video.
PMID- 24932710
TI - The history of neurosurgery at the University of Alabama at Birmingham.
AB - : The Division of Neurosurgery at the University of Alabama at Birmingham was
formally founded in 1954 under the leadership of James Garber Galbraith. The
following 60 years would see neurosurgery at the forefront of the development of
a nationally recognized medical center in the heart of Birmingham, Alabama. The
Department of Neurosurgery now employs 14 faculty members, performs more than
4500 neurosurgical procedures annually, is active in clinical and laboratory
research, and boasts a contemporary, comprehensive residency training program.
PMID- 24932711
TI - Mechanism of 2-hydropropyl-beta-cyclodextrin in the stabilization of frozen
formulations.
AB - Freezing of commonly used parenteral products to increase pharmaceutical
stability for cost-saving purposes is a common practice in patient care. However,
frozen meropenem, a model drug, in saline has a shelf life of less than a month
due to the low glass transition temperature (Tg'): below -40 degrees C. When
meropenem is formulated with the 2-hydroxypropyl-beta-cyclodextrin (HPBC) the
shelf life (?90% potency) is extrapolated to be greater than one year at -25
degrees C based on data for storage at 6months. The mechanisms that may explain
meropenem-HPBC formulation frozen stability include vitrification and/or
formation of an inclusion complex. Although NMR data indicated complexation of
meropenem by HPBC in a ratio of 0.6:1, inclusion was unlikely to be the mechanism
as stability was not extended to the thawed solutions. Therefore, vitrification
is concluded to be the stabilization mechanism. The Tg' for meropenem-HPBC
(13.3%) formulation at pH 7.9 was -17.75 degrees C which was similar to that of a
meropenem solution formulated with a known vitrifying agent, Dextran 40. This
higher Tg' for HPBC was unexpected based on trends predicted by the Fox-Flory
equation. Trial formulations containing either Dextran 1, Dextran 40,
hydroxyethyl starch, or sulfobutyl-beta-cyclodextrin heptasodium (Captisol(r))
were also unable to stabilize meropenem as the Tg' values were below the frozen
storage temperature. Upon 6-month storage, potency losses were -3.0% and -7.7%
for meropenem frozen premix formulated in 13.3% HPBC (pH 7.9) at -25 and -20
degrees C storage, respectively; versus -31.2% and -60.8% for controls. Frozen
premixes with high ionic strength (containing NaCl or Captisol(r)) and/or at pH
7.3 were also found to be unstable.
PMID- 24932712
TI - Topological characterization of a bacterial cellulose-acrylic acid polymeric
matrix.
AB - This paper focuses on the micro- and nano-topological organization of a hydrogel,
constituted by a mixture of bacterial cellulose and acrylic acid, and intended
for biomedical applications. The presence of acrylic acid promotes the formation
of two interpenetrated continuous phases: the primary "pores phase" (PP)
containing only water and the secondary "polymeric network phase" (PNP)
constituted by the polymeric network swollen by the water. Low field Nuclear
Magnetic Resonance (LF NMR), rheology, Scanning Electron Microscopy (SEM) and
release tests were used to determine the characteristics of the two phases. In
particular, we found that this system is a strong hydrogel constituted by 81%
(v/v) of PP phase the remaining part being occupied by the PNP phase. Pores
diameters span in the range 10-100 MUm, the majority of them (85%) falling in the
range 30-90 MUm. The high PP phase tortuosity indicates that big pores are not
directly connected to each other, but their connection is realized by a series of
interconnected small pores that rend the drug path tortuous. The PNP is
characterized by a polymer volume fraction around 0.73 while mesh size is around
3 nm. The theoretical interpretation of the experimental data coming from the
techniques panel adopted, yielded to the micro- and nano-organization of our
hydrogel.
PMID- 24932713
TI - Framework for engineering finite state machines in gene regulatory networks.
AB - Finite state machines are fundamental computing devices at the core of many
models of computation. In biology, finite state machines are commonly used as
models of development in multicellular organisms. However, it remains unclear to
what extent cells can remember state, how they can transition from one state to
another reliably, and whether the existing parts available to the synthetic
biologist are sufficient to implement specified finite state machines in living
cells. Furthermore, how complex multicellular behaviors can be realized by
multiple cells coordinating their states with signaling, growth, and division is
not well understood. Here, we describe a method by which any finite state machine
can be built using nothing more than a suitably engineered network of readily
available repressing transcription factors. In particular, we show the
mathematical equivalence of finite state machines with a Boolean model of gene
regulatory networks. We describe how such networks can be realized with a small
class of promoters and transcription factors. To demonstrate the effectiveness of
our approach, we show that the behavior of the coarse grained ideal Boolean
network model approximates a fine grained delay differential equation model of
gene expression. Finally, we explore a framework for the design of more complex
systems via an example, synthetic bacterial microcolony edge detection, that
illustrates how finite state machines could be used together with cell signaling
to construct novel multicellular behaviors.
PMID- 24932714
TI - Duration of melatonin regulates seasonal plasticity in subtropical Indian weaver
bird, Ploceus philippinus.
AB - Day length regulates seasonal plasticity connected with reproduction in birds.
Rhythmic pineal melatonin secretion is a reliable indicator of the night length,
hence day length. Removal of rhythmic melatonin secretion by exposure to constant
bright light (LLbright) or by pinealectomy renders several species of songbirds
including Indian weaver bird (Ploceus philippinus) arrhythmic. Present study
investigated whether rhythmic melatonin is involved in the regulation of key
reproductive neuropeptides (GnRH I and GnIH) and reproduction linked neural
changes, viz. song control nuclei, in Indian weaver birds. Two experiments were
performed using birds in an arrhythmic condition with low (under LLbright) or no
(in the absence of pineal gland) endogenous melatonin. In experiment I, three
groups of birds (n=5 each) entrained to 12L:12D were exposed to LLbright (25lux)
for two weeks. Beginning on day 15 of LLbright, a control group received vehicle
for 16h and two treatment groups were given melatonin in drinking water for 8h or
16h. In experiment II, one group of sham-operated and three groups of
pinealectomized birds (n=5 each) entrained to 12L:12D were exposed to constant
dim light (LLdim, 0.5lux). Beginning on day 15 of LLdim, three groups received
similar treatment as in experiment I. Birds were perfused after thirty cycles of
the melatonin treatment, and brain sections were immunohistochemically double
labeled for GnRH I and GnIH or Nissl stained. Activity was recorded throughout
the experiments, while body mass and testes were measured at the beginning and
end of the experiment. Birds were synchronized with melatonin cycles and measured
the duration of melatonin as "night". Pinealectomized birds that received 16h of
melatonin had significantly higher GnIH-ir cells than those received 8h
melatonin; there was no difference in the GnRH I immunoreactivity between two
treatment groups however. Intact birds that received long duration melatonin
cycles exhibited small song control nuclei, specifically the high vocal center
(HVC) and the robust nucleus of the arcopallium (RA), while birds that received
short duration melatonin or no melatonin exhibited large HVC and RA. Thus,
melatonin possibly regulates seasonal reproduction via GnIH secretion, and also
controls seasonal neuroplasticity in the song control system in songbirds.
PMID- 24932715
TI - Leptin expression is rhythmic in brain and liver of goldfish (Carassius auratus).
Role of feeding time.
AB - Daily rhythms of feeding regulators are currently arousing research interest due
to the relevance of the temporal harmony of endocrine regulators for growth and
welfare in vertebrates. However, it is unknown the leptin circadian pattern in
fish. The aim of this study is to investigate if leptin (gLep-aI and gLep-aII)
expression is rhythmic in goldfish (Carassius auratus) liver and brain, and if
such rhythms are driven by feeding time through a food entrainable oscillator.
Fish maintained under 12-h light:12-h dark photoperiod and a scheduled feeding
time showed 24-h locomotor activity and glycaemia rhythms. Moreover, hepatic gLep
aI and brain gLep-aI and gLep-aII expression were rhythmic with different daily
profiles, showing a postprandial increase of leptin expression in the liver but
not in the brain. Under constant light and different feeding regimes (scheduled
fed at 10:00, 22:00 or randomly fed), feeding time synchronized daily rhythms in
locomotor activity, glycaemia and clock gene expression (gPer1a, gPer3 and
gCry3), but the rhythmic expression of hepatic gLep-aI and brain gLep-aII only
remained in fed fish at 10:00. In summary, daily rhythms of leptin expression in
goldfish are differently regulated at central and peripheral level, and they are
not directly driven by clock genes. The role of food entrained oscillators on
leptin expression rhythms in fish remains to be demonstrated.
PMID- 24932716
TI - The epidemiology and outcomes of infective endocarditis in a tertiary care
hospital in Oman.
AB - OBJECTIVES: We undertook this study to describe the epidemiological and clinical
features of infective endocarditis (IE) and to study the complications and
management of IE in a tertiary care hospital in Oman. METHODS: This is a
retrospective study of 58 adult patients (>13 years of age) admitted to the Royal
Hospital with IE from June 2006 to June 2011. RESULTS: Of the 58 patients, 40
(69%) were males, and 18 (31%) were females (ratio 2.2). The median age was 43.6
years (range: 14-85). Forty-eight cases (82.7%) had native valves, nine patients
(15.6%) had prosthetic valves, and one case (1.7%) had a pacemaker. The most
commonly involved valves were mitral (33, 56.9%) and aortic (23, 39.7%). The
blood cultures were positive in 47 (81%) patients, and the most commonly isolated
organisms were Streptococci spp., which were found in 20 (34.5%) patients, and
Staphylococci spp., which were found in 19 (32.8%) patients. The complication
rate was 21%. A total of 15 (25.9%) patients underwent surgical intervention, and
the in-hospital mortality rate was 27.6%. CONCLUSIONS: Native-valve IE is the
predominant type of endocarditis and is more of an acute disease. The prevalence
of Staphylococci spp. IE is similar to that of Streptococci spp. IE, and its
associated mortality remains high.
PMID- 24932717
TI - Surface sediments in the marsh-sandy land transitional area: sandification in the
western Songnen Plain, China.
AB - The development of sandification process was studied, by monitoring the changes
of sediment characteristics, at marsh-sandy land intersections in China's Songnen
region. A series of sediment collection plates were deployed in the region; after
one year, sediments in these plates were analyzed for changes of mass and
chemical characteristics. The sediment flux and the sand content of the sediments
decreased with the increasing longitudinal distance between the sampling site and
the centre line of a sand dune. The mean sediment flux was 29 +/- 14 kg m(-2) yr(
1) and 0.6 +/- 0.3 kg m(-2) yr(-1) in the sandy land and marsh, respectively.
Strong, positive correlations were found between the concentrations of organic
matter, total nitrogen, P, Fe, Ti, V and Zr, all of which were also negatively
correlated with the sand content. The concentrations of organic matter, total
nitrogen, P, Fe, Ti, V and Zr in the marsh sediment samples were all
significantly greater than the corresponding concentrations of the sandy land
(p<0.001). Sand content and Ti, V and Zr concentrations all proved to be valid
indicators of sandification intensity, and they showed that the marsh could be
divided into three distinct zones. Sand expansion extended about 88 m into the
marsh. The mean sand content in the sediments of the sandy land was 91% and then
64% in the marsh, which in turn was higher than that of marshes outside the
influence of sandification, suggesting that the marsh in the marsh-sandy land
transitional area has already undergone extensive sandification in the past. The
study results provide information on the wetland's function of indicating and
buffering the sandification process.
PMID- 24932719
TI - Development of multilayer microcapsules by a phase coacervation method based on
ionic interactions for textile applications.
AB - The present study describes the development of multilayer microcapsules by 11
alternate additions of chitosan (Chi) and sodium dodecyl sulfate (SDS) in a
combined emulsification and phase coacervation method based on ionic
interactions. After an alkali treatment, microcapsules are applied on polyester
(PET) fabric by a padding process to investigate their wash-durability on fabric.
Air atmospheric plasma treatment is performed on PET fabric to modify the surface
properties of the textiles. Zeta potential, X-ray photoelectron spectroscopy
(XPS), wetting measurements, scanning electron microscopy (SEM), and atomic force
microscopy (AFM) with surface roughness measurements are realized to characterize
and determine wash durability of microcapsule samples onto PET. After alkali
treatment, the microcapsules are selected for textile application because they
are submicron sized with the desired morphology. The results obtained from
various characterization techniques indicate that microcapsules are wash-durable
on PET fabric pre activated by air plasma atmospheric as Chi based microcapsules
can interact directly with PET by ionic interactions.
PMID- 24932720
TI - Convergence angles of metal ceramic crowns prepared by dental students.
AB - STATEMENT OF PROBLEM: Convergence angles of crown preparations achieved by dental
students vary from the recommended angles and depend on many variables and
factors. Sex of operators and examination conditions in which preparations were
made have not been reported in the literature. PURPOSE: The purpose of this study
was to determine the mean convergence angles produced by fifth-year dental
students at King Abdulaziz University. MATERIAL AND METHODS: Maxillary first
premolar abutment preparations for metal ceramic restoration were made by fifth
year dental students on typodonts under preclinical examination conditions. A
total of 68 preparations, made by 38 male and 30 female students, were randomly
selected for evaluation of the buccolingual and mesiodistal convergence angles of
each abutment tooth with an optical preparation scanner and digitizer to produce
3-dimensional digital objects. Two-sided t tests were performed to compare the
buccolingual and mesiodistal angles between the sexes. RESULTS: The mean
mesiodistal angle of all preparations was 11.11 +/-4.79 degrees, and the mean
buccolingual angle was 10.49 +/-3.95 degrees. The mean buccolingual angle in
preparations by male students was 10.16 +/-3.90 degrees, compared with 11.46 +/
5.06 degrees in preparations by female students. The mean mesiodistal angle was
10.92 +/-4.03 degrees in preparations by male students, compared to 10.66 +/-4.45
degrees in preparations by female students. No statistical difference was
observed between the sexes for either angle (buccolingual, P=.435; mesiodistal,
P=.497). The smallest and largest angles observed were 2.59 and 24.64 degrees.
Approximately 12% of male students and 10% of female students made preparations
with less than a 6-degree (ideal convergence angle) buccolingual or mesiodistal
angle. CONCLUSIONS: The average convergence angles produced were between 10.16
and 11.46 degrees. Several students were able to achieve ideal convergence
angles. Male and female students achieved similar buccolingual and mesiodistal
convergence angles.
PMID- 24932721
TI - Improving the tolerance of Escherichia coli to medium-chain fatty acid
production.
AB - Microbial fatty acids are an attractive source of precursors for a variety of
renewable commodity chemicals such as alkanes, alcohols, and biofuels. Rerouting
lipid biosynthesis into free fatty acid production can be toxic, however, due to
alterations of membrane lipid composition. Here we find that membrane lipid
composition can be altered by the direct incorporation of medium-chain fatty
acids into lipids via the Aas pathway in cells expressing the medium-chain
thioesterase from Umbellularia californica (BTE). We find that deletion of the
aas gene and sequestering exported fatty acids reduces medium-chain fatty acid
toxicity, partially restores normal lipid composition, and improves medium-chain
fatty acid yields.
PMID- 24932723
TI - Spatial orienting following dynamic cues in infancy: grasping hands versus
inanimate objects.
AB - Movement perception facilitates spatial orienting of attention in infants
(Farroni, Johnson, Brockbank, & Simion, 2000). In a series of 4 experiments, we
investigated how orienting of attention in infancy is modulated by dynamic
stimuli. Experiment 1 (N = 36) demonstrated that 5-month-olds as well as 7-month
olds orient to the direction of a dynamically grasping human hand. Experiment 2
(N = 36) showed that 7-month-olds orient covertly to direction of a geometrical
shape moving on the trajectory of the grasping movement, but 5-month-olds do not.
Experiment 3 (N = 18) showed that mere linear translating object movement does
not elicit covert orienting of attention in 7-month-olds. In Experiment 4 (N =
18), we found that 7-month-olds process both grasping direction and movement
direction, which resulted in increased reaction times when these cues conflicted.
These findings suggest that orienting of attention reflects rapid detection of
goal-directed agents. Five-month-olds need the information of both movement and
grasping direction, whereas 7-month-olds can use each of these directional cues
in isolation.
PMID- 24932722
TI - Parent relationship quality buffers against the effect of peer stressors on
depressive symptoms from middle childhood to adolescence.
AB - During the transition to adolescence, several developmental trends converge to
increase the importance of peer relationships, the likelihood of peer-related
stressors, and the experience of depressive symptoms. Simultaneously, there are
significant changes in parent-child relationships. The current study sought to
evaluate whether positive relationship quality with parents continued to serve a
protective effect by buffering the relationship between stressful life events,
especially peer stress, and increases in depressive symptoms throughout the
transition to adolescence. Participants in a large (N = 692) 2-site accelerated
longitudinal study were recruited in 3rd, 6th, and 9th grade and followed every 3
months for 1 year. At baseline, parents and youth reported on parent-child
relationship quality, and every 3 months thereafter reported on their levels of
stressors and depressive symptoms. Parent relationship quality moderated the
relationship of person-level fluctuations in peer stressors, such that there was
a stronger association between peer stressors and increases in depressive
symptoms in youth with lower levels of positive parental relationship quality.
This effect was specific to peer stressors. These results suggest that low levels
of parent relationship quality leave youth particularly vulnerable to the
depressogenic effects of peer stressors from childhood through adolescence.
PMID- 24932724
TI - Probability versus representativeness in infancy: can infants use naive physics
to adjust population base rates in probabilistic inference?
AB - A rich tradition in developmental psychology explores physical reasoning in
infancy. However, no research to date has investigated whether infants can reason
about physical objects that behave probabilistically, rather than
deterministically. Physical events are often quite variable, in that similar
looking objects can be placed in similar contexts with different outcomes. Can
infants rapidly acquire probabilistic physical knowledge, such as some leaves
fall and some glasses break by simply observing the statistical regularity with
which objects behave and apply that knowledge in subsequent reasoning? We taught
11-month-old infants physical constraints on objects and asked them to reason
about the probability of different outcomes when objects were drawn from a large
distribution. Infants could have reasoned either by using the perceptual
similarity between the samples and larger distributions or by applying physical
rules to adjust base rates and estimate the probabilities. Infants learned the
physical constraints quickly and used them to estimate probabilities, rather than
relying on similarity, a version of the representativeness heuristic. These
results indicate that infants can rapidly and flexibly acquire physical knowledge
about objects following very brief exposure and apply it in subsequent reasoning.
PMID- 24932725
TI - Genome-wide analysis of repeat diversity across the family Musaceae.
AB - BACKGROUND: The banana family (Musaceae) includes genetically a diverse group of
species and their diploid and polyploid hybrids that are widely cultivated in the
tropics. In spite of their socio-economic importance, the knowledge of Musaceae
genomes is basically limited to draft genome assemblies of two species, Musa
acuminata and M. balbisiana. Here we aimed to complement this information by
analyzing repetitive genome fractions of six species selected to represent
various phylogenetic groups within the family. RESULTS: Low-pass sequencing of M.
acuminata, M. ornata, M. textilis, M. beccarii, M. balbisiana, and Ensete
gilletii genomes was performed using a 454/Roche platform. Sequence reads were
subjected to analysis of their overall intra- and inter-specific similarities
and, all major repeat families were quantified using graph-based clustering.
Maximus/SIRE and Angela lineages of Ty1/copia long terminal repeat (LTR)
retrotransposons and the chromovirus lineage of Ty3/gypsy elements were found to
make up most of highly repetitive DNA in all species (14-34.5% of the genome).
However, there were quantitative differences and sequence variations detected for
classified repeat families as well as for the bulk of total repetitive DNA. These
differences were most pronounced between species from different taxonomic
sections of the Musaceae family, whereas pairs of closely related species (M.
acuminata/M. ornata and M. beccarii/M. textilis) shared similar populations of
repetitive elements. CONCLUSIONS: This study provided the first insight into the
composition and sequence variation of repetitive parts of Musaceae genomes. It
allowed identification of repetitive sequences specific for a single species or a
group of species that can be utilized as molecular markers in breeding programs
and generated computational resources that will be instrumental in repeat masking
and annotation in future genome assembly projects.
PMID- 24932726
TI - Hydrophilization of poly(caprolactone) copolymers through introduction of
oligo(ethylene glycol) moieties.
AB - In this study, a new family of poly(epsilon-caprolactone) (PCL) copolymers that
bear oligo(ethylene glycol) (OEG) moieties is described. The synthesis of three
different oligo(ethylene glycol) functionalized epoxide monomers derived from 2
methyl-4-pentenoic acid, and their copolymerization with epsilon-caprolactone
(CL) to poly(CL-co-OEG-MPO) copolymers is presented. The statistical
copolymerization initiated with SnOct2/BnOH yielded the copolymers with varying
OEG content and composition. The linear relationship between feed ratio and
incorporation of the OEG co-monomer enables control over backbone functional
group density. The introduction of OEG moieties influenced both the thermal and
the hydrophilic characteristics of the copolymers. Both increasing OEG length and
backbone content resulted in a decrease in static water contact angle. The
introduction of OEG side chains in the PCL copolymers had no adverse influence on
MC-3TE3-E1 cell interaction. However, changes to cell form factor (Phi) were
observed. While unmodified PCL promoted elongated (anisotropic) morphologies (Phi
= 0.094), PCL copolymer with tri-ethylene glycol side chains at or above seven
percent backbone incorporation induced more isotropic cell morphologies (Phi =
0.184) similar to those observed on glass controls (Phi = 0.151).
PMID- 24932727
TI - Hydrographic processes driven by seasonal monsoon system affect siphonophore
assemblages in tropical-subtropical waters (western North Pacific Ocean).
AB - This work is a part of the Taiwan Cooperative Oceanic Fisheries Investigation,
the first large scale hydrographic and plankton survey around Taiwan (21-26
degrees N, 119-123 degrees E). The present study examined the influence of
hydrodynamic and biological variables driven by monsoon system on the
siphonophore assemblages through an annual cycle in 2004. Calycophorans, namely
Chelophyes appendiculata, Diphyes chamissonis, Lensia subtiloides, Bassia
bassensis, and Muggiaea atlantica, were the most dominant siphonophore species.
Maximum abundance of these dominant species generally occurred during the warm
period (May and August), while M. atlantica had a significantly peak abundance in
February. Although no apparently temporal difference in siphonophore abundance
was observed in the study, siphonophore assemblage was more diverse in August
than in other sampling times. Result of a cluster analysis indicated that
assemblage structure of siphonophores in the waters around Taiwan varied at
temporal and spatial scales during the sampling period. The intrusions of the
Kuroshio Branch Current and China Coastal Current to the study area play an
important role on the transportation of siphonophores. Also, the distribution of
siphonophore assemblage was closely related to the hydrographic characteristics,
with temperature, chlorophyll a concentration, and zooplankton abundance being
the major environmental factors affecting the spatio-temporal variability of
siphonophores. This study contributes substantially to the new knowledge of the
siphonophore assemblage in the tropical-temperate waters of Taiwan.
PMID- 24932728
TI - ELN gene triplication responsible for familial supravalvular aortic aneurysm.
AB - Supravalvular aortic aneurysms are less frequent than abdominal ones. Among
Supravalvular aortic aneurysm aetiologies, we focused on dystrophic lesions as
they can be secondary to genetic causes such as elastin anomaly. We report on a
familial 7q11.23 triplication - including the ELN gene - segregating with a
supravalvular aortic aneurysm. During her first pregnancy, our index patient was
diagnosed with tuberous sclerosis and with a Supravalvular aortic aneurysm. The
foetus was affected equally. For the second pregnancy, parents applied for
preimplantation diagnosis, and a subsequent prenatal diagnosis was offered to the
couple, comprising TSC1 molecular analysis, karyotype, and multiplex ligation
probe amplification. TSC1 mutation was not found on foetal deoxyribo nucleic
acid. Foetal karyotype was normal, but multiplex ligation probe amplification
detected a 7q11.23 duplication. Quantitative-polymerase chain reaction and array
comparative genomic hybridisation carried out to further assess this chromosome
imbalance subsequently identified a 7q11.23 triplication involving ELN and LIMK1.
Foetal heart ultrasound identified a Supravalvular aortic aneurysm. A familial
screening was offered for the 7q11.23 triplication and, when found, heart
ultrasound was performed. The triplication was diagnosed in our index case as
well as in her first child. Of the 17 individuals from this family, 11 have the
triplication. Of the 11 individuals with the triplication, 10 were identified to
have a supravalvular aortic aneurysm. Of them, two individuals received a medical
treatment and one individual needed surgery. We provide evidence of supravalvular
aortic aneurysm segregating with 7q11.23 triplication in this family. We would
therefore recommend cardiac surveillance for individuals with 7q11.23
triplication. It would also be interesting to offer a quantitative-polymerase
chain reaction or an array-comparative genomic hybridisation to a larger cohort
of patients presenting with isolated supravalvular aortic aneurysm, as it may
provide further information.
PMID- 24932729
TI - Genetic and ecological outcomes of Inga vera subsp. affinis (Leguminosae) tree
plantations in a fragmented tropical landscape.
AB - Planting of native trees for habitat restoration is a widespread practice, but
the consequences for the retention and transmission of genetic diversity in
planted and natural populations are unclear. Using Inga vera subsp. affinis as a
model species, we genotyped five natural and five planted populations in the
Atlantic forest of northeastern Brazil at polymorphic microsatellite loci. We
studied the breeding system and population structure to test how much genetic
diversity is retained in planted relative to natural populations. We then
genotyped seedlings from these populations to test whether genetic diversity in
planted populations is restored by outcrossing to natural populations of I. vera.
The breeding system of natural I. vera populations was confirmed to be highly
outcrossing (t = 0.92; FIS = -0.061, P = 0.04), with populations showing weak
population substructure (FST = 0.028). Genetic diversity in planted populations
was 50% less than that of natural populations (planted: AR = 14.9, HO = 0.865 and
natural: AR = 30.8, HO = 0.655). However, seedlings from planted populations
showed a 30% higher allelic richness relative to their parents (seedlings AR =
10.5, parents AR = 7.6). Understanding the processes and interactions that shape
this system are necessary to provide ecologically sensible goals and successfully
restore hyper-fragmented habitats. Future restoration plans for I. vera must
consider the genetic diversity of planted populations and the potential for gene
flow between natural populations in the landscape, in order to preserve
ecological interactions (i.e. pollination), and promote opportunities for
outcrossing.
PMID- 24932731
TI - Persistence of Toxoplasma gondii tissue stages in poultry over a conventional
fattening cycle.
AB - Toxoplasma gondii is a widely spread protozoon in humans, mammals and poultry.
Regarding the latter, nothing is known yet about the duration of T. gondii
persistence and distribution over a conventional fattening cycle of turkeys and
chickens. Twenty-four turkeys and 12 broiler chickens were infected intravenously
with 1*10(6) T. gondii tachyzoites (strain NED). Serum antibody levels were
determined weekly by ELISA (turkeys) or immunofluorescent antibody test
(chickens). Turkeys were slaughtered at 4, 8, 12 and 16 weeks post-infection
(p.i.), and chickens 5 or 10 weeks p.i. (n = 6 per group). Sixteen different
tissue samples per bird were analysed for T. gondii by PCR. All infected animals
showed seroconversion. In turkeys, 15.9% of all samples were tested positive for
T.-gondii-DNA. Among the edible tissues (drumstick, thigh, breast muscle, heart,
liver and gizzard) 7.8% tested positive. Among poultry slaughtered after
different periods of time after infection no significant differences (P>0.05)
regarding the number of positive samples were observed. Only 4 out of 192 samples
(2.1%) from infected chickens contained detectable T. gondii DNA.The PCR findings
suggested that T. gondii may persist in poultry. Particularly in turkey it was
shown that edible tissues stay infected for at least 16 weeks p.i. which
indicates a potential risk for consumers of undercooked turkey meat whereas
chickens appear less susceptible to T. gondii infection.
PMID- 24932730
TI - Chondroitin sulfate proteoglycan CSPG4 as a novel hypoxia-sensitive marker in
pancreatic tumors.
AB - CSPG4 marks pericytes, undifferentiated precursors and tumor cells. We assessed
whether the shed ectodomain of CSPG4 (sCSPG4) might circulate and reflect
potential changes in CSPG4 tissue expression (pCSPG4) due to desmoplastic and
malignant aberrations occurring in pancreatic tumors. Serum sCSPG4 was measured
using ELISA in test (n = 83) and validation (n = 221) cohorts comprising donors
(n = 11+26) and patients with chronic pancreatitis (n = 11+20) or neoplasms:
benign (serous cystadenoma SCA, n = 13+20), premalignant (intraductal dysplastic
IPMNs, n = 9+55), and malignant (IPMN-associated invasive carcinomas, n = 4+14;
ductal adenocarcinomas, n = 35+86). Pancreatic pCSPG4 expression was evaluated
using qRT-PCR (n = 139), western blot analysis and immunohistochemistry. sCSPG4
was found in circulation, but its level was significantly lower in pancreatic
patients than in donors. Selective maintenance was observed in advanced IPMNs and
PDACs and showed a nodal association while lacking prognostic relevance.
Pancreatic pCSPG4 expression was preserved or elevated, whereby neoplastic cells
lacked pCSPG4 or tended to overexpress without shedding. Extreme pancreatic
overexpression, membranous exposure and tissue(high)/sera(low)-discordance
highlighted stroma-poor benign cystic neoplasm. SCA is known to display hypoxic
markers and coincide with von-Hippel-Lindau and Peutz-Jeghers syndromes, in which
pVHL and LBK1 mutations affect hypoxic signaling pathways. In vitro testing
confined pCSPG4 overexpression to normal mesenchymal but not epithelial cells,
and a third of tested carcinoma cell lines; however, only the latter showed
pCSPG4-responsiveness to chronic hypoxia. siRNA-based knockdowns failed to reduce
the malignant potential of either normoxic or hypoxic cells. Thus, overexpression
of the newly established conditional hypoxic indicator, CSPG4, is apparently non
pathogenic in pancreatic malignancies but might mark distinct epithelial lineage
and contribute to cell polarity disorders. Surficial retention on tumor cells
renders CSPG4 an attractive therapeutic target. Systemic 'drop and restoration'
alterations accompanying IPMN and PDAC progression indicate that the interference
of pancreatic diseases with local and remote shedding/release of sCSPG4 into
circulation deserves broad diagnostic exploration.
PMID- 24932732
TI - Vitamin D status and its determinants in children and adults among families in
late summer in Denmark.
AB - The impact of the familial relationship on vitamin D status has not been
investigated previously. The objective of the present cross-sectional study was
to assess serum 25-hydroxyvitamin D (25(OH)D) concentration and its determinants
in children and adults among families in late summer in Denmark (56 degrees N).
Data obtained from 755 apparently healthy children (4-17 years) and adults (18-60
years) recruited as families (n 200) in the VitmaD study were analysed. Blood
samples were collected in September-October, and serum 25(OH)D concentration was
measured by liquid chromatography-tandem MS. Information on potential
determinants was obtained using questionnaires. The geometric mean serum 25(OH)D
concentration was 72.1 (interquartile range 61.5-86.7) nmol/l (range 9-162
nmol/l), with 9 % of the subjects having 25(OH)D concentrations < 50 nmol/l. The
intra-family correlation was 0.27 in all subjects, 0.24 in the adults and 0.42 in
the children. Serum 25(OH)D concentration was negatively associated with BMI (P<
0.001) and positively associated with dietary vitamin D intake (P= 0.008),
multivitamin use (P= 0.019), solarium use (P= 0.006), outdoor stay (P= 0.001),
sun preference (P= 0.002) and sun vacation (P< 0.001), but was not associated
with lifestyle-related factors in the adults when these were assessed together
with the other determinants. In conclusion, the majority of children and adults
among the families had serum 25(OH)D concentrations >50 nmol/l in late summer in
Denmark. Both dietary and sun-related factors were determinants of vitamin D
status and the familial component was stronger for the children than for the
adults.
PMID- 24932733
TI - Structure-induced enhancement of thermal conductivities in electrospun polymer
nanofibers.
AB - Polymers that are thermally insulating in bulk forms have been found to exhibit
higher thermal conductivities when stretched under tension. This enhanced heat
transport performance is believed to arise from the orientational alignment of
the polymer chains induced by tensile stretching. In this work, a novel high
sensitivity micro-device platform was employed to determine the axial thermal
conductivity of individual Nylon-11 polymer nanofibers fabricated by
electrospinning and post-stretching. Their thermal conductivity showed a
correlation with the crystalline morphology measured by high-resolution wide
angle X-ray scattering. The relationship between the nanofiber internal
structures and thermal conductivities could provide insights into the
understanding of phonon transport mechanisms in polymeric systems and also guide
future development of the fabrication and control of polymer nanofibers with
extraordinary thermal performance and other desired properties.
PMID- 24932734
TI - Prehospital Transfusion of Plasma and Red Blood Cells in Trauma Patients.
AB - Abstract Objective. Earlier use of plasma and red blood cells (RBCs) has been
associated with improved survival in trauma patients with substantial hemorrhage.
We hypothesized that prehospital transfusion (PHT) of thawed plasma and/or RBCs
would result in improved patient coagulation status on admission and survival.
Methods. Adult trauma patient records were reviewed for patient demographics,
shock, coagulopathy, outcomes, and blood product utilization from September 2011
to April 2013. Patients arrived by either ground or two different helicopter
companies. All patients transfused with blood products (either pre- or in
hospital) were included in the study. One helicopter system (LifeFlight, LF) had
thawed plasma and RBCs while the other air (OA) and ground transport systems used
only crystalloid resuscitation. Patients receiving PHT were compared with all
other patients meeting entry criteria to the study cohort. All comparisons were
adjusted in multilevel regression models. Results. A total of 8,536 adult trauma
patients were admitted during the 20-month study period, of which 1,677 met
inclusion criteria. They represented the most severely injured patients (ISS = 24
and mortality = 26%). There were 792 patients transported by ground, 716 by LF,
and 169 on OA. Of the LF patients, 137 (19%) received prehospital transfusion.
There were 942 units (244 RBCs and 698 plasma) placed on LF helicopters, with
1.9% wastage. PHT was associated with improved acid-base status on hospital
admission, decreased use of blood products over 24 hours, a reduction in the risk
of death in the sickest patients over the first 6 hours after admission, and
negligible blood products wastage. In this small single-center pilot study, there
were no differences in 24-hour (odds ratio 0.57, p = 0.117) or 30-day mortality
(odds ratio 0.71, p = 0.441) between LF and OA. Conclusions. Prehospital plasma
and RBC transfusion was associated with improved early outcomes, negligible blood
products wastage, but not an overall survival advantage. Similar to the data
published from the ongoing war, improved early outcomes are associated with
placing blood products prehospital, allowing earlier infusion of life-saving
products to critically injured patients.
PMID- 24932735
TI - Coxsackievirus A6 associated hand, foot and mouth disease in adults: clinical
presentation and review of the literature.
AB - BACKGROUND: Hand, foot, and mouth disease (HFMD) is generally considered a rare
illness in adults. Classically, HFMD has been strongly associated with
coxsackievirus strain A16 and enterovirus 71. The coxsackievirus A6 (CVA6) strain
has been linked to severe worldwide outbreaks since 2008. CVA6 is associated with
a more severe and profound course of disease, affecting both children and adults.
OBJECTIVES: To present a series of five adult patients diagnosed with HFMD due to
CVA6. We investigate method of diagnosis and compare clinical presentation of
adult cases to those in children. STUDY DESIGN: Each patient underwent a full
body skin exam as well as inspection of the oral cavity. Rapid plasma reagin
(RPR) and serologic assays by complement fixation against coxsackievirus B (1-6)
and A (2,4,7,9,10,16) were performed as indicated. As standard serological
testing does not detect CVA6, real-time reverse transcription-polymerase chain
reaction (qRT-PCR) of serum, buccal swabs, and skin scrapings were performed by
the Centers for Disease Control and Prevention (CDC). RESULTS: Each patient had
clinical findings consistent with various stages of HFMD. One patient presented
with delayed onychomadesis and desquamation of the palms and soles. RPR and
serologic assays by complement fixation against CVB (1-6) and CVA (2,4,7,9,10,16)
were mostly negative, although elevated in two patients due to cross-reactivity.
qRT-PCR identified CVA6 genetic material in samples from all patients.
CONCLUSION: This series demonstrates that there is a wide array of disease
presentation of CVA6 associated HFMD in adults.
PMID- 24932736
TI - Association of dolutegravir and rilpivirine, enhanced by foscarnet induction, in
effective salvage antiretroviral therapy.
AB - We report the case of a multi-experienced patient, infected with an HIV-1 strain,
with selected multiple resistance mutations. We designed a novel well-tolerated
and effective rescue treatment including dolutegravir, rilpivirine, and
foscarnet, allowing a 60-week sustained virological response for the first time
in 23 years of HIV infection.
PMID- 24932737
TI - Evaluation of the Bio-Rad Geenius HIV-1/2 test as a confirmatory assay.
AB - OBJECTIVES: We have evaluated the recently Conformite Europeenne (CE)-marked Bio
Rad Geenius human immunodeficiency virus (HIV)1/2 as a rapid and simple
alternative to western blot for confirmation of HIV screening results. METHODS: A
total of 160 serum samples were tested: 44 HIV-1 reactive samples by a fourth
generation Murex HIV Ag/Ab and/or Vidas HIV Duo Ultra, five HIV-2 reactive
samples, 15 HIV-1 non-B subtype samples and 11 confirmed HIV-1 early
seroconversion samples, 72 nonreactive samples, eight indeterminate samples by MP
HIV BLOT 2.2 confirmed negative after follow-up and five low-reactive samples by
enzyme immunoassay (EIA) negative by MP HIV BLOT 2.2. The samples were tested
according to the manufacturer's guidelines. RESULTS: The overall sensitivity for
Bio-Rad Geenius HIV1/2 assay was 92%. Five out of 11 early seroconversion samples
were tested positive, four negative and two indeterminate. All HIV-1 non-B
subtype samples were tested positive. Two out of the five HIV-2 reactive samples
were tested positive HIV-2, two positive HIV-2 with HIV-1 cross-reaction and one
HIV positive untypable. After excluding early seroconversion samples, the
sensitivity of Bio-Rad Geenius HIV1/2 assay reached 100%. Overall specificity was
96%. All HIV negative serums by fourth-generation EIA were tested negative. All
five low-reactive samples by EIA, negative by HIV BLOT 2.2 were tested negative
by Bio-Rad Geenius HIV1/2. Two out of the eight indeterminate samples by MP HIV
BLOT 2.2 that were confirmed negative after follow-up were tested indeterminate
and one invalid, the other five were negative. After excluding these last 13
samples, the specificity of Bio-Rad Geenius HIV1/2 assay reached 100%. In
comparison with MP HIV BLOT 2.2, the Bio-Rad Geenius HIV1/2 assay was markedly
time saving, allowed full traceability, automatic reading and interpretation.
CONCLUSIONS: The Bio-Rad Geenius HIV1/2 confirmatory system represents a reliable
alternative to other confirmatory assays in HIV testing algorithms and provides
clear improvement in quality management.
PMID- 24932738
TI - Meningitis caused by a lipopolysaccharide deficient Neisseria meningitidis.
AB - OBJECTIVE: Lipopolysaccharide (LPS) is a major component of the Neisseria
meningitidis outer membrane. Here we report a patient with meningococcal
meningitis of which the causative isolate lacked LPS. Thus far, no naturally
occurring LPS-deficient meningococcal isolate has been known to cause clinical
disease. METHODS: We used SDS-PAGE, silver staining and LPS-specific antibodies
in whole cell ELISA to determine LPS presence in the causative isolate.
Meningococcal whole genome sequencing was performed using Roche 454-sequencing.
The N. meningitidis strain MC58 was used to compare all LPS biosynthesis
associated genes. We compared growth characteristics of Escherichia coli
transformed with a plasmid containing 2 lpxH types. RESULTS: The patient
presented with isolated thunderclap headache. Analysis of the causative N.
meningitidis showed no LPS. Whole genome sequencing revealed a mutation located
in lpxH explaining LPS-deficiency. Expression of this lpxH variant in E. coli
resulted in growth impairment compared to E. coli expressing the meningococcal
wild type lpxH variant. In addition, inactivating lpxH in N. meningitidis H44/76
by insertional inactivation with a kanamycin cassette resulted in a LPS-deficient
phenotype. CONCLUSIONS: We describe invasive meningococcal disease caused by a
naturally occurring LPS-deficient meningococcal isolate.
PMID- 24932739
TI - Ability of horse anti-Tityus discrepans F(ab')2 ELISA assay to recognize Tityus
discrepans venom toxins.
AB - Anti-Tityus discrepans F(ab')2 ELISA recognition of T. discrepans toxins was
measured with regression analysis and its slope called ELISA recognition value
(ERv). Fractions containing toxins affecting mammal macrophages or Na(+)-channels
have Ervs >19. Toxins affecting potassium channels or insect NaV channels have
ERvs <10. Fractions including curarizing or antineoplasic peptides had ERvs <1.
Erv increases in proportion to mammalian toxin toxicity rather than to toxin
molecular mass.
PMID- 24932740
TI - Phosphodiesterase from Daboia russelli russelli venom: purification, partial
characterization and inhibition of platelet aggregation.
AB - Phosphodiesterases (PDEs) belong to a super-family of enzymes that have multiple
roles in the metabolism of extracellular nucleotides and regulation of nucleotide
based intercellular signalling. A PDE from Russell's viper (Daboia russelli
russelli) venom (DR-PDE) was purified by gel filtration, ion exchange and
affinity chromatographies. Homogeneity of the preparation was verified by SDS
PAGE, SE-HPLC and mass spectrometry. It was free from 5'-nucleotidase, alkaline
phosphatase and protease activities. Identity of the enzyme was ensured from
partial sequence homology with other PDEs. DR-PDE was inactivated by polyvalent
anti-venom serum and metal chelators. The enzyme was partially inhibited by the
root extracts of four medicinal plants but remained unaffected by inhibitors of
intracellular PDEs. DR-PDE hydrolyses ADP and thus, strongly inhibits ADP-induced
platelet aggregation in human platelet rich plasma. This study leads to better
understanding of a component of Russell's viper venom that affects homoeostatic
system of the victim.
PMID- 24932741
TI - NF-kappaB plays a key role in microcystin-RR-induced HeLa cell proliferation and
apoptosis.
AB - Microcystins (MCs) are well-known cyanobacterial toxins produced in eutrophic
waters and can act as potential carcinogens and have caused serious risk to human
health. However, pleiotropic even paradoxical actions of cells exposure to MCs
have been reported, and the mechanisms of MC-induced tumorigenesis and apoptosis
are still unknown. In this study, we performed the first comprehensive in vitro
investigation on carcinogenesis associated with nuclear factor kappa B (NF
kappaB) and its downstream genes in HeLa cells (Human cervix adenocarcinoma cell
line from epithelial cells) exposure to MC-RR. HeLa cells were treated with 0,
20, 40, 60, and 80 ug/mL MC-RR for 4, 8, 12, and 24 h. HeLa cells presented
dualistic responses to different doses of MCs. CCK8 assay showed that MC-RR
exposure evidently enhanced cell viability of HeLa cells at lower MCs doses. Cell
cycle and apoptosis analysis revealed that lower MCs doses promoted G1/S
transition and cell proliferation while higher doses of MCs induced apoptosis,
with a dose-dependent manner. Electrophoretic mobility shift assay (EMSA)
revealed that MC-RR could increase/decrease NF-kappaB activity at lower/higher MC
RR doses, respectively. Furthermore, the expression of NF-kappaB downstream
target genes including c-FLIP, cyclinD1, c-myc, and c-IAP2 showed the same
variation trend as NF-kappaB activity both at mRNA and protein levels, which were
induced by lower doses of MC-RR and suppressed by higher doses. Our data verified
for the first time that NF-kappaB pathway may mediate MC-induced cell
proliferation and apoptosis and provided a better understanding of the molecular
mechanism for potential carcinogenicity of MC-RR.
PMID- 24932743
TI - The human biology of the past.
PMID- 24932744
TI - Unity in diversity: an overview of the genomic anthropology of India.
AB - CONTEXT: India is considered a treasure for geneticists and evolutionary
biologists due to its vast human diversity, consisting of more than 4500
anthropologically well-defined populations (castes, tribes and religious groups).
Each population differs in terms of endogamy, language, culture, physical
features, geographic and climatic position and genetic architecture. These
factors contributed to India-specific genetic variations which may be responsible
for various common diseases in India and its migratory populations. As a result,
interpretations of the origins and affinities of Indian populations as well as
health and disease conditions require complex and sophisticated genetic analysis.
Evidence of ancient human dispersals and settlements is preserved in the genome
of Indian inhabitants and this has been extensively analysed in conventional and
genomic analyses. OBJECTIVE AND METHODS: Using genomic analyses of STRs and Alu
on a set of populations, this study estimates the level and extent of genetic
variation and its implications. RESULTS: The results show that Indian populations
have a higher level of unique genetic diversity which is structured by many
social processes and geographical attributes of the country. CONCLUSION: This
overview highlights the need to study the anthropological structure and
evolutionary history of Indian populations while designing genomic and epigenomic
investigations.
PMID- 24932742
TI - A rationale to target the SWI/SNF complex for cancer therapy.
AB - SWI/SNF is a multisubunit chromatin-remodeling complex that performs fundamental
roles in gene regulation, cell lineage specification, and organismal development.
Mutations that inactivate SWI/SNF subunits are found in nearly 20% of human
cancers, which indicates that the proper functioning of this complex is necessary
to prevent tumor formation in diverse tissues. Recent studies show that SWI/SNF
mutant cancers depend on residual SWI/SNF complexes for their aberrant growth,
thus revealing synthetic lethal interactions that could be exploited for
therapeutic purposes. Other studies reveal that certain acute leukemias and small
cell lung cancers, which lack SWI/SNF mutations, can be vulnerable to inhibition
of the SWI/SNF ATPase subunit BRG1, whereas several normal and malignant cell
types do not show this sensitivity. Here, we review the emerging evidence that
implicates SWI/SNF as a tumor-dependency and candidate drug target in human
cancer.
PMID- 24932745
TI - Reticulate evolution and the human past: an anthropological perspective.
AB - CONTEXT: The evidence is mounting that reticulate (web-like) evolution has shaped
the biological histories of many macroscopic plants and animals, including non
human primates closely related to Homo sapiens, but the implications of this non
hierarchical evolution for anthropological enquiry are not yet fully understood.
When they are understood, the result may be a paradigm shift in evolutionary
anthropology. OBJECTIVE/METHODS: This paper reviews the evidence for reticulated
evolution in the non-human primates and human lineage. Then it makes the case for
extrapolating this sort of patterning to Homo sapiens and other hominins and
explores the implications this would have for research design, method and
understandings of evolution in anthropology. RESULTS/CONCLUSION: Reticulation was
significant in human evolutionary history and continues to influence societies
today. Anthropologists and human scientists-whether working on ancient or modern
populations-thus need to consider the implications of non-hierarchic evolution,
particularly where molecular clocks, mathematical models and simplifying
assumptions about evolutionary processes are used. This is not just a problem for
palaeoanthropology. The simple fact of different mating systems among modern
human groups, for example, may demand that more attention is paid to the
potential for complexity in human genetic and cultural histories.
PMID- 24932746
TI - Diagnosing Homo sapiens in the fossil record.
AB - BACKGROUND: Diagnosing Homo sapiens is a critical question in the study of human
evolution. Although what constitutes living members of our own species is
straightforward, in the fossil record this is still a matter of much debate. The
issue is complicated by questions of species diagnoses and ideas about the mode
by which a new species is born, by the arguments surrounding the behavioural and
cognitive separateness of the species, by the increasing appreciation of
variation in the early African H. sapiens record and by new DNA evidence of
hybridization with extinct species. METHODS AND RESULTS: This study synthesizes
thinking on the fossils, archaeology and underlying evolutionary models of the
last several decades with recent DNA results from both H. sapiens and fossil
species. CONCLUSION: It is concluded that, although it may not be possible or
even desirable to cleanly partition out a homogenous morphological description of
recent H. sapiens in the fossil record, there are key, distinguishing
morphological traits in the cranium, dentition and pelvis that can be usefully
employed to diagnose the H. sapiens lineage. Increasing advances in retrieving
and understanding relevant genetic data provide a complementary and perhaps
potentially even more fruitful means of characterizing the differences between H.
sapiens and its close relatives.
PMID- 24932747
TI - Combining radiographic and histological data for dental development to compare
growth in the past and the present.
AB - BACKGROUND: Being able to estimate the age at death of fossil hominins enables
meaningful comparisons of both dental and general growth, past and present. AIM:
The aim of this study was to use data for modern permanent canine formation
derived from separate histological and radiographic studies to estimate the age
at death of an early African Homo erectus specimen (KNM-WT 15 000) with a
developing permanent maxillary canine. METHODS: Ground sections of 18 sexed
modern human canines were used to reconstruct growth in tooth height along the
enamel-dentine junction (EDJ) and onwards into root formation along the cement
dentine junction (CDJ). Daily rates of enamel and dentine formation were used to
put a time scale to cumulative fractions of tooth height. RESULTS: Age estimates
for KNM-WT 15 000 averaged 7.89-8.8 years of age (range = 6.6-10.3 years) and
were close to previous histological estimates for this individual (7.6-8.8
years). CONCLUSIONS: Stages of dental development in KNM-WT 15 000 were easily
accommodated within this age distribution of a modern sample. However, body mass
and stature estimates for KNM-WT 15 000 fell well beyond those reported for a
modern sample of 438 Sudanese children aged between 7.0-10 years.
PMID- 24932748
TI - Isotopic and trace element evidence of dietary transitions in early life.
AB - CONTEXT: Nursing behaviour has significant implications for individual health and
population dynamics. Reconstruction of infant and early childhood diet has become
a key focus in studies of past populations. OBJECTIVE: This paper reviews
knowledge about how trace element and stable isotope composition of bone and
dental tissues changes during development and how this may influence
interpretation of biology and behaviour in past populations. METHODS: Studies of
recent populations and osteological samples were reviewed. RESULTS: Four distinct
stages of nutritional intake are recognized: gestation, exclusive breastfeeding,
a transitional or weaning stage and fully weaned. The trace element and stable
isotope composition of developing foetal and infant tissues differs during each
of these stages due to differences in the source of nutrients and changes in
metabolic parameters. CONCLUSION: Interpretation of early lifetime diet from
trace element or stable isotope values requires a comprehensive and validated
physiological model for changes during and at the transition between dietary
stages. Micro-sampling of dental tissues offers the potential to reconstruct an
individual record of early lifetime diet within a chronological framework and has
several advantages over traditional cross-sectional techniques. Further research
is necessary to understand the factors influencing intra-tooth variability in
recovered signals and their chronological interpretation.
PMID- 24932749
TI - Parturition lines in modern human wisdom tooth roots: do they exist, can they be
characterized and are they useful for retrospective determination of age at first
reproduction and/or inter-birth intervals?
AB - BACKGROUND: Parturition lines have been described in the teeth of a number of
animals, including primates, but never in modern humans. These accentuated lines
in dentine are comprised of characteristic dark and light component zones. AIM:
The aim of this study was to review the physiology underlying these lines and to
ask if parturition lines exist in the third molar tooth roots of mothers known to
have had one or more children during their teenage years. METHODS: Brief
retrospective oral medical obstetric histories were taken from four mothers and
compared with histological estimates for the timing of accentuated markings
visible in longitudinal ground sections of their wisdom teeth. RESULTS: Evidence
of accentuated markings in M3 root dentine matched the age of the mother at the
time their first child was born reasonably well. However, the dates calculated
for inter-birth intervals did not match well. CONCLUSIONS: Parturition lines
corresponding to childbirth during the teenage years can exist in human M3 roots,
but may not always do so. Without a written medical history it would not be
possible to say with confidence that an accentuated line in M3 root dentine was
caused by stress, illness or was a parturition line.
PMID- 24932750
TI - Humans are not cooperative breeders but practice biocultural reproduction.
AB - CONTEXT: Alloparental care and feeding of young is often called "cooperative
breeding" and humans are increasingly described as being a cooperative breeding
species. OBJECTIVE: To critically evaluate whether the human offspring care
system is best grouped with that of other cooperative breeders. METHODS: (1)
Review of the human system of offspring care in the light of definitions of
cooperative, communal and social breeding; (2) re-analysis of human lifetime
reproductive effort. RESULTS: Human reproduction and offspring care are distinct
from other species because alloparental behaviour is defined culturally rather
than by genetic kinship alone. This system allows local flexibility in
provisioning strategies and ensures that care and resources often flow between
unrelated individuals. This review proposes the term "biocultural reproduction"
to describe this unique human reproductive system. In a re-analysis of human life
history data, it is estimated that the intense alloparenting typical of human
societies lowers the lifetime reproductive effort of individual women by 14-29%
compared to expectations based upon other mammals. CONCLUSION: Humans are not
cooperative breeders as classically defined; one effect of the unique strategy of
human biocultural reproduction is a lowering of human lifetime reproductive
effort, which could help explain lifespan extension.
PMID- 24932752
TI - Eponyms in cardiothoracic radiology: part III--interstitium.
AB - Eponyms serve the purpose of honoring individuals who have made important
observations and discoveries. As with other fields of medicine, eponyms are
frequently encountered in radiology, particularly in chest radiology. However,
inappropriate use of an eponym may lead to potentially dangerous
miscommunication. Moreover, an eponym may honor the incorrect person or a person
who falls into disrepute. Despite their limitations, eponyms are still widespread
in the medical literature. Furthermore, in some circumstances, more than one
individual may have contributed to the description or discovery of a particular
anatomical structure or disease, whereas in others, an eponym may have been
incorrectly applied initially and propagated for years in the medical literature.
Nevertheless, radiologic eponyms are a means of honoring those who have made
lasting contributions to the field of radiology, and familiarity with these
eponyms is critical for proper reporting and accurate communication. In addition,
the acquisition of some historical knowledge about those whose names are
associated with various structures or pathologic conditions conveys a sense of
humanity in the science of medicine. In this third installment of this series,
the authors discuss a number of chest radiology eponyms as they relate to the
pulmonary interstitium, including relevant clinical and imaging features, as well
biographical information of the respective eponym's namesake.
PMID- 24932751
TI - TCA cycle-mediated generation of ROS is a key mediator for HeR-MRSA survival
under beta-lactam antibiotic exposure.
AB - Methicillin-resistant Staphylococcus aureus (MRSA) is a major multidrug resistant
pathogen responsible for several difficult-to-treat infections in humans.
Clinical Hetero-resistant (HeR) MRSA strains, mostly associated with persistent
infections, are composed of mixed cell populations that contain organisms with
low levels of resistance (hetero-resistant HeR) and those that display high
levels of drug resistance (homo-resistant HoR). However, the full understanding
of beta-lactam-mediated HeR/HoR selection remains to be completed. In previous
studies we demonstrated that acquisition of the HoR phenotype during exposure to
beta-lactam antibiotics depended on two key elements: (1) activation of the SOS
response, a conserved regulatory network in bacteria that is induced in response
to DNA damage, resulting in increased mutation rates, and (2) adaptive metabolic
changes redirecting HeR-MRSA metabolism to the tricarboxylic acid (TCA) cycle in
order to increase the energy supply for cell-wall synthesis. In the present work,
we identified that both main mechanistic components are associated through TCA
cycle-mediated reactive oxygen species (ROS) production, which temporally affects
DNA integrity and triggers activation of the SOS response resulting in enhanced
mutagenesis. The present work brings new insights into a role of ROS generation
on the development of resistance to beta-lactam antibiotics in a model of natural
occurrence, emphasizing the cytoprotective role in HeR-MRSA survival mechanism.
PMID- 24932753
TI - Are numbers, size and brightness equally efficient in orienting visual attention?
Evidence from an eye-tracking study.
AB - A number of studies have shown strong relations between numbers and oriented
spatial codes. For example, perceiving numbers causes spatial shifts of attention
depending upon numbers' magnitude, in a way suggestive of a spatially oriented,
mental representation of numbers. Here, we investigated whether this phenomenon
extends to non-symbolic numbers, as well as to the processing of the continuous
dimensions of size and brightness, exploring whether different quantitative
dimensions are equally mapped onto space. After a numerical (symbolic Arabic
digits or non-symbolic arrays of dots; Experiment 1) or a non-numerical cue
(shapes of different size or brightness level; Experiment 2) was presented,
participants' saccadic response to a target that could appear either on the left
or the right side of the screen was registered using an automated eye-tracker
system. Experiment 1 showed that, both in the case of Arabic digits and dot
arrays, right targets were detected faster when preceded by large numbers, and
left targets were detected faster when preceded by small numbers. Participants in
Experiment 2 were faster at detecting right targets when cued by large-sized
shapes and left targets when cued by small-sized shapes, whereas brightness cues
did not modulate the detection of peripheral targets. These findings indicate
that looking at a symbolic or a non-symbolic number induces attentional shifts to
a peripheral region of space that is congruent with the numbers' relative
position on a mental number line, and that a similar shift in visual attention is
induced by looking at shapes of different size. More specifically, results
suggest that, while the dimensions of number and size spontaneously map onto an
oriented space, the dimension of brightness seems to be independent at a certain
level of magnitude elaboration from the dimensions of spatial extent and number,
indicating that not all continuous dimensions are equally mapped onto space.
PMID- 24932754
TI - A workplace violence educational program: a repeated measures study.
AB - Violence against healthcare employees is a profound problem in the emergency
department worldwide. One strategy to reduce the risk of violence is prevention
focused education. The purpose of this paper was to report the learning outcomes
of a workplace violence educational prevention program tailored to the needs of
emergency department employees. A quasi-experimental design was used to determine
the knowledge retention of program content following a hybrid (online and
classroom) educational intervention. One hundred twenty emergency department
employees that completed the workplace violence prevention program participated
in the study. A repeated-measures analysis of variance was conducted to determine
if individual test scores increased significantly between baseline, posttest, and
six month posttest periods. The results indicated a significant time effect,
Wilk's Lambda = .390, F (2, 118) = 26.554, p < .001, eta2 = .310. Follow-up
polynomial contrasts indicated a significant linear effect with means increasing
over time, F (1, 119) = 53.454, p < .001, eta2 = .310, while individual test
scores became significantly higher over time. It was concluded that the use of a
hybrid modality increases the probability that significant learning outcomes and
retention will be achieved.
PMID- 24932755
TI - Educational gradients in psychotropic medication use among older adults in Costa
Rica and the United States.
AB - OBJECTIVE: The relationship of education, psychiatric diagnoses, and use of
psychotropic medication has been explored in the United States, but little is
known about this relationship in poorer countries, despite the high burden of
mental illness in these countries. This study estimated educational gradients in
diagnosis and psychotropic drug use in the United States and Costa Rica, a middle
income country with universal health insurance. METHODS: Analyses were conducted
by using data of older adults (>=60) from the 2005 U.S. Medical Expenditure Panel
Survey (N=4,788) and the 2005 Costa Rican Longevity and Healthy Aging Study
(N=2,827). Logistic regressions examined the effect of education level (low,
medium, or high) and urban residence on the rates of self-reported mental health
diagnoses, screening diagnosis, and psychotropic medication use with and without
an associated psychiatric diagnosis. RESULTS: Rates of self-reported diagnoses
were lower in the United States (12%) than in Costa Rica (20%), possibly
reflecting differences in survey wording. In both countries, the odds of having
depression were significantly lower among persons with high education. In Costa
Rica, use of psychotropic medication among persons with self-reported diagnoses
increased by education level. CONCLUSIONS: The educational gradients in
medication use were different in the United States and Costa Rica, and stigma and
access to care in these countries may play an important role in these
differences, although type of insurance did not affect educational gradients in
the United States. These analyses increase the evidence of the role of education
in use of the health care system.
PMID- 24932756
TI - New generation of bioorthogonally applicable fluorogenic dyes with visible
excitations and large Stokes shifts.
AB - Synthesis of a set of new, azide bearing, biorthogonally applicable fluorogenic
dyes with large Stokes shifts is presented herein. To assess the fluorogenic
performance of these new dyes we have labeled a genetically modulated,
cyclooctyne-bearing protein in lysate medium. Studies showed that the labels
produce specific signal with minimal background fluorescence. We also provide
theoretical insights into the design of such fluorogenic labels.
PMID- 24932757
TI - Melatonin secretion is impaired in women with preeclampsia and an abnormal
circadian blood pressure rhythm.
AB - Non-dipping circadian blood pressure (BP) is a common finding in preeclampsia,
accompanied by adverse outcomes. Melatonin plays pivotal role in biological
circadian rhythms. This study investigated the relationship between melatonin
secretion and circadian BP rhythm in preeclampsia. Cases were women with
preeclampsia treated between January 2006 and June 2007 in the University
Hospital of Larissa. Volunteers with normal pregnancy, matched for chronological
and gestational age, served as controls. Twenty-four hour ambulatory BP
monitoring was applied. Serum melatonin and urine 6-sulfatoxymelatonin levels
were determined in day and night time samples by enzyme-linked immunoassays.
Measurements were repeated 2 months after delivery. Thirty-one women with
preeclampsia and 20 controls were included. Twenty-one of the 31 women with
preeclampsia were non-dippers. Compared to normal pregnancy, in preeclampsia
there were significantly lower night time melatonin (48.4 +/- 24.7 vs. 85.4 +/-
26.9 pg/mL, p<0.001) levels. Adjustment for circadian BP rhythm status ascribed
this finding exclusively to non-dippers (p<0.01). Two months after delivery, in
11 of the 21 non-dippers both circadian BP and melatonin secretion rhythm
reappeared. In contrast, in cases with retained non-dipping status (n=10)
melatonin secretion rhythm remained impaired: daytime versus night time melatonin
(33.5 +/- 13.0 vs. 28.0 +/- 13.8 pg/mL, p=0.386). Urinary 6-sulfatoxymelatonin
levels were, overall, similar to serum melatonin. Circadian BP and melatonin
secretion rhythm follow parallel course in preeclampsia, both during pregnancy
and, at least 2 months after delivery. Our findings may be not sufficient to
implicate a putative therapeutic effect of melatonin, however, they clearly
emphasize that its involvement in the pathogenesis of a non-dipping BP in
preeclampsia needs intensive further investigation.
PMID- 24932758
TI - Fluorescent protein-expressing neural progenitor cells as a tool for
transplantation studies.
AB - The purpose of this study was to generate quadruple fluorescent protein (QFP)
transgenic mice as a source for QFP-expressing neural stem and progenitor cells
(NSCs/NPCs) that could be utilized as a tool for transplantation research. When
undifferentiated, these NSCs only express cyan fluorescent protein (CFP);
however, upon neuronal differentiation, the cells express yellow fluorescent
protein (YFP). During astrocytic differentiation, the cells express green
fluorescent protein (GFP), and during oligodendrocytic differentiation, the cells
express red fluorescent protein (DsRed). Using immunocytochemistry,
immunoblotting, flow cytometry and electrophysiology, quadruple transgenic NPCs
(Q-NPCs) and GFP-sorted NPCs were comprehensively characterized in vitro.
Overall, the various transgenes did not significantly affect proliferation and
differentiation of transgenic NPCs in comparison to wild-type NPCs. In contrast
to a strong CFP and GFP expression in vitro, NPCs did not express YFP and dsRed
either during proliferation or after differentiation in vitro. GFP-positive
sorted NPCs, expressing GFP under the control of the human GFAP promoter,
demonstrated a significant improvement in astroglial differentiation in
comparison to GFP-negative sorted NPCs. In contrast to non-sorted and GFP
positive sorted NPCs, GFP-negative sorted NPCs demonstrated a high proportion of
neuronal differentiation and proved to be functional in vitro. At 6 weeks after
the intracerebroventricular transplantation of Q-NPCs into neonatal wild-type
mice, CFP/DCX (doublecortin) double-positive transplanted cells were observed.
The Q-NPCs did not express any other fluorescent proteins and did not mature into
neuronal or glial cells. Although this model failed to visualize NPC
differentiation in vivo, we determined that activation of the NPC glial
fibrillary acid protein (GFAP) promoter, as indicated by GFP expression, can be
used to separate neuronal and glial progenitors as a valuable tool for
transplantation studies.
PMID- 24932759
TI - Ultrafine carbon black induces glutamate and ATP release by activating connexin
and pannexin hemichannels in cultured astrocytes.
AB - Ultrafine particles could enter central nervous system and were associated with
brain damage. The underlying mechanisms have not been fully elucidated. Glutamate
and ATP are important signaling molecules in brain physiology and pathology. We
investigated whether ultrafine carbon black (ufCB) could regulate the release of
glutamate and ATP from cultured cortical astrocytes and the involvement of
hemichannels in the release mechanism. Our results showed that ufCB dose
dependently increased glutamate and ATP release and activated hemichannels in
astrocytes. ufCB-activated hemichannels were attributed to the activation of both
connexin 43 (Cx43) and pannexin1 (Panx1) hemichannels, which was based on the
finding of increased protein expression and distribution on cell surface of Cx43
and Panx1, and the inhibiting effects of hemichannel inhibitor carbenoxolone,
Cx43 hemichannel inhibitor (43)Gap27 and Panx1 hemichannel inhibitor (10)Panx1 on
hemichannel activation. Furthermore, ufCB-induced glutamate and ATP release were
dependent on Cx43 and Panx1 hemichannels, because carbenoxolone and (43)Gap27
inhibited ufCB-induced glutamate and ATP release, and (10)Panx1 inhibited ufCB
induced ATP release. Taken together, we demonstrated, for the first time, that
ufCB could induce glutamate and ATP release by activating Cx43 and Panx1
hemchannels in astrocytes. Our findings suggest a novel mechanism for
neurotoxicity caused by ultrafine particles.
PMID- 24932760
TI - Photoelectrochemical DNA biosensors.
PMID- 24932761
TI - Modified robotic-assisted thyroidectomy: an initial experience with the
retroauricular approach.
AB - OBJECTIVES/HYPOTHESIS: New approaches for robotic-assisted thyroidectomy,
including the retroauricular approach, were recently described. We have modified
the established surgical approach for retroauricular robotic thyroidectomy.
Herein, we report our initial experience to identify challenges and limitations
of this new surgical approach. STUDY DESIGN: Prospective case series. METHODS:
This study was performed under institutional review board approval for patients
who underwent retroauricular robotic hemithyroidectomy at an academic North
American institution. The retroauricular approach was modified by using the space
between the two heads of the sternocleidomastoid muscle as our working space.
Additionally, selected patients underwent concomitant neck lift surgery with
robotic thyroid surgery. Clinical characteristics, total operative time, blood
loss, surgical outcomes, and length of hospital stay were evaluated. RESULTS:
Twelve female patients were included in this study. Mean age was 45 +/- 4.43
years, and mean body mass index was 28.6 +/- 2.15. Mean thyroid nodule size was
1.15 +/- 0.26 cm(3). All cases were completed successfully via single
retroauricular incision. There was no conversion to an open approach. Four out of
12 patients (33%) underwent additional concomitant neck lift surgery, with a mean
total operative time of 156 +/- 15.88 minutes. The mean operative time for the
remaining eight patients who underwent the robotic approach without additional
neck lift surgery was 145.4 +/- 10.08 minutes. There were no cases of permanent
vocal cord paralysis or permanent hypoparathyroidism. Mean blood loss was 22.4 +/
4.32 mL. Four patients (33%) were discharged home on the same day of surgery,
and the remaining eight patients were discharged after an overnight stay.
CONCLUSIONS: Single-incision retroauricular robotic hemithyroidectomy can be a
safe and feasible alternative to other remote access techniques. Neck lift
surgery can be performed safely in a select group of patients. However, future
studies are warranted to further evaluate the benefits and limitations of this
novel approach.
PMID- 24932763
TI - Personality * hormone interactions in adolescent externalizing psychopathology.
AB - The "dual-hormone" hypothesis predicts that testosterone and cortisol will
jointly regulate aggressive and socially dominant behavior in children and adults
(e.g., Mehta & Josephs, 2010). The present study extends research on the dual
hormone hypothesis by testing the interaction between testosterone, cortisol, and
personality disorder (PD) traits in predicting externalizing problems in a
community sample of adolescent males and females. Participants were 106 youth
from the community, ranging in age from 13-18 (Mage = 16.01 years, SDage = 1.29),
and their parents. Parents and youth provided ratings on an omnibus measure of
personality pathology and externalizing problems. Youth provided saliva samples
via passive drool from which testosterone and cortisol levels were obtained.
Robust moderation of the joint effects of testosterone and cortisol on parent
reported externalizing problems was found for both higher-order PD traits
associated with externalizing psychopathology (Disagreeableness and Emotional
Instability). Higher testosterone was associated with externalizing outcomes, but
only when cortisol was low, and only among youth with high levels of
Disagreeableness and Emotional Instability. These findings provide the first
evidence for the dual-hormone hypothesis in a mixed-sex sample of community
adolescents, but importantly offer novel evidence for the importance of
personality traits. Examination of the joint regulation of externalizing problems
by testosterone and cortisol in the context of adolescent personality may help to
clarify inconsistent main effects of testosterone and cortisol on clinical
externalizing phenotypes.
PMID- 24932762
TI - Psychopathic and externalizing offenders display dissociable dysfunctions when
responding to facial affect.
AB - Despite similarity in their disinhibited behaviors, the cognitive-affective
mechanisms that characterize psychopathy and externalizing are relatively
distinct. One theoretical perspective suggests that psychopathy is associated
with an early attention bottleneck that precludes the processing of contextual
information, leading to a rigid goal-directed focus. Alternatively, externalizing
may be associated with an overallocation of processing resources to
motivationally salient information, which disrupts the use of cognitive control.
In this study, male prisoners assessed on psychopathic and externalizing traits
performed a new gaze detection task involving affective faces. As predicted,
psychopathy but not externalizing was associated with superior performance on the
gaze-detection task when the necessity of using contextual affect to regulate
goal-directed behavior was minimized. Conversely, externalizing but not
psychopathy was associated with increased errors on trials that required
participants to use affective expressions, specifically fear, as a cue to inhibit
dominant responses. These results have theoretical and applied significance for
both psychopathic and externalizing forms of disinhibition. Recognition and
utilization of facial affect are important for socialization and interpersonal
interactions; therefore, any cognitive-affective processes that interrupt the
fluency with which this information is processed may be important for
understanding the underpinnings of disinhibition.
PMID- 24932764
TI - Psychopathy, DSM-5, and a caution.
AB - Recently developed models of psychopathy include such traits as fearlessness,
boldness, and invulnerability. Section III of Diagnostic and Statistical Manual
of Mental Disorders (DSM-5) includes as well a psychopathy specifier that is
modeled after these traits. The purpose of the current study was to test
empirically the convergent and discriminant validity of the Psychopathic
Personality Inventory-Revised (PPI-R), the Triarchic Psychopathy Measure (TriPM),
the Elemental Psychopathy Assessment (EPA), and the Personality Inventory for DSM
5 (PID-5) with respect to their relationship to one another, with traditional
measures of psychopathy and antisocial personality disorder, and with a measure
of the 5-factor model. Participants were 2 samples of community adults (280 and
196) who indicated that they have engaged in criminal activities. The results
indicated good convergent and discriminant validity for the PPI-R, TriPM, EPA,
and the PID-5 psychopathy specifiers, as well as relationships with a measure of
the 5 factor model that were quite distinct from the relationships obtained for
traditional measures of antisocial personality disorder and psychopathy. However,
concerns are raised with respect to a reliance on reverse-coded items for the
assessment of components of psychopathy.
PMID- 24932767
TI - Boston keratoprosthesis type 1 for herpes simplex and herpes zoster keratopathy.
AB - PURPOSE: The aim of this study was to evaluate and compare the outcomes of Boston
keratoprosthesis type 1 (Kpro-1) in eyes with herpes simplex virus (HSV) and
herpes zoster virus (HZV) keratopathy. METHODS: A retrospective review was
performed of the medical records of every patient treated with a Boston Kpro-1 at
the University of Iowa Hospitals and Clinics between January 1, 2008 and July 1,
2012. Eyes with visual loss due to HSV or HZV keratopathy were included in the
statistical analysis. The main outcome measures were graft retention,
postoperative complications, and visual outcome. RESULTS: Nine eyes met the
inclusion criteria, including 5 eyes in the HSV group and 4 eyes in the HZV
group. The graft retention rate was 100% in the HSV group after a mean follow-up
of 48.4 months, compared with 25% in the HZV group after 50.5 months (P = 0.048).
There were 3 cases of microbial keratitis, including 2 eyes that also developed
endophthalmitis, in the HZV group, compared with no cases in the HSV group (P =
0.048). There was significantly better best-corrected visual acuity at the most
recent examination in the HSV group than in the HZV group (P = 0.019). All 5 HSV
eyes had improved best-corrected visual acuity compared with preoperative acuity,
whereas only 1 HZV eye experienced a similar result (P = 0.048). CONCLUSIONS:
Kpro-1 is associated with an excellent prognosis for graft retention, acceptably
low prevalence of sight-threatening complications, and highly satisfactory visual
improvement in eyes with HSV keratopathy, but not in eyes with HZV keratopathy.
PMID- 24932765
TI - Effects of intravenous dexmedetomidine on emergence agitation in children under
sevoflurane anesthesia: a meta-analysis of randomized controlled trials.
AB - OBJECTIVE: Emergence agitation (EA) is a common complication in children under
sevoflurane anesthesia. The aim of this meta-analysis was to evaluate the effects
of intravenous dexmedetomidine on EA in children under sevoflurane anesthesia.
METHODS: A comprehensive literature search was conducted to identify clinical
trials that evaluated the effects of intravenous dexmedetomidine and placebo on
EA in children under sevoflurane anesthesia. The search collected trials from
MEDLINE, Cochrane Central Register of Controlled Trials (CENTRAL), Embase, and
Web of Science. Analysis was conducted using STATA version 12.0. Data from each
trial were pooled using relative ratio (RR) for dichotomous data or weighted mean
difference (WMD) for continuous data and corresponding 95% confidence interval
(95% CI). Heterogeneity assessment, sensitivity analysis, and publication bias
were performed. RESULTS: Twelve trials, in which 459 patients received
dexmedetomidine and 353 patients received placebo, were included in this
analysis. We found that intravenous dexmedetomidine decreased the incidences of
EA (RR = 0.346, 95% CI 0.263 to 0.453, P<0.001), and postoperative pain (RR =
0.405, 95% CI 0.253 to 0.649, P<0.001). Intravenous dexmedetomidine also
prolonged extubation time (WMD = 0.617, 95% CI 0.276 to 958, P<0.001), and
emergence time (WMD = 0.997, 95% CI 0.392 to 1.561, P = 0.001). Further evidences
are required to evaluate the incidence of postoperative nausea and vomiting
(PONV). Sensitivity analysis strengthened evidences for lower incidences of EA,
pain, and prolonged extubation time, and emergence time. Funnel plots did not
detect any significant publication bias. CONCLUSION: Meta-analysis demonstrated
that dexmedetomidine decreased the incidence of EA in children under sevoflurane
anesthesia.
PMID- 24932768
TI - Scalable production of microbially mediated zinc sulfide nanoparticles and
application to functional thin films.
AB - A series of semiconducting zinc sulfide (ZnS) nanoparticles were scalably,
reproducibly, controllably and economically synthesized with anaerobic metal
reducing Thermoanaerobacter species. These bacteria reduced partially oxidized
sulfur sources to sulfides that extracellularly and thermodynamically
incorporated with zinc ions to produce sparingly soluble ZnS nanoparticles with
~5nm crystallites at yields of ~5gl(-1)month(-1). A predominant sphalerite
formation was facilitated by rapid precipitation kinetics, a low cation/anion
ratio and a higher zinc concentration compared to background to produce a
naturally occurring hexagonal form at the low temperature, and/or water
adsorption in aqueous conditions. The sphalerite ZnS nanoparticles exhibited
narrow size distribution, high emission intensity and few native defects. Scale
up and emission tunability using copper doping were confirmed spectroscopically.
Surface characterization was determined using Fourier transform infrared and X
ray photoelectron spectroscopies, which confirmed amino acid as proteins and
bacterial fermentation end products not only maintaining a nano-dimensional
average crystallite size, but also increasing aggregation. The application of ZnS
nanoparticle ink to a functional thin film was successfully tested for potential
future applications.
PMID- 24932769
TI - Bone and metal: an orthopaedic perspective on osseointegration of metals.
AB - The area of implant osseointegration is of major importance, given the predicted
significant rise in the number of orthopaedic procedures and an increasingly
ageing population. Osseointegration is a complex process involving a number of
distinct mechanisms affected by the implant bulk properties and surface
characteristics. Our understanding and ability to modify these mechanisms through
alterations in implant design is continuously expanding. The following review
considers the main aspects of material and surface alterations in metal implants,
and the extent of their subsequent influence on osseointegration. Clinically,
osseointegration results in asymptomatic stable durable fixation of orthopaedic
implants. The complexity of achieving this outcome through incorporation and
balance of contributory factors is highlighted through a clinical case report.
PMID- 24932770
TI - Biomineralization special issue.
PMID- 24932771
TI - Tuning the architecture of three-dimensional collagen hydrogels by physiological
macromolecular crowding.
AB - Macromolecular crowding is an optimal physiological feature in intracellular and
extracellular spaces, and results from a variety of macromolecules occupying
space and contributing to a fractional volume occupancy. Here, we show that soft
collagen hydrogels assembled in nature-inspired crowded conditions feature
enhanced biophysical properties. We demonstrate that crowding tunes the rate of
collagen nucleation and fiber growth, affecting fiber diameter and organization.
Adjustments of crowding levels during collagen assembly tune the gel pore size,
protein permeability, transparency and resistance to enzymatic degradation.
Furthermore, gels assembled in crowded conditions are twice as resistant to
mechanical stress as the controls, inducing a 70% boost of proliferation of stem
cells cultured on tuned hydrogels. Emulating the crowdedness of interstitial
fluids therefore represents a way to optimize the properties of soft collagen
gels, with promising applications in soft biomaterials design.
PMID- 24932772
TI - Highly enantioselective synthesis of chiral cyclic allylic amines via Rh
catalyzed asymmetric hydrogenation.
AB - Highly regioselective and enantioselective asymmetric hydrogenation of cyclic
dienamides catalyzed by an Rh-DuanPhos complex has been developed, which provides
a readily accessible method for the synthesis of chiral cyclic allylic amines in
excellent enantioselectivities (up to 99% ee). The products are valuable chiral
building blocks and could be easily transformed to multisubstituted cyclohexane
derivatives.
PMID- 24932773
TI - Modified montmorillonite clay microparticles for stable oil-in-seawater
emulsions.
AB - Environmentally benign clay particles are of great interest for the stabilization
of Pickering emulsions. Dodecane-in-synthetic seawater (SSW) emulsions formed
with montmorillonite (MMT) clay microparticles modified with bis(2
hydroxyethyl)oleylamine were stable against coalescence, even at clay
concentrations down to 0.1% w/v. Remarkably, as little as 0.001% w/v surfactant
lowered the hydrophilicity of the clay to a sufficient level for stabilization of
oil-in-SSW emulsions. The favorable effect of SSW on droplet size reduction and
emulsion stability enhancement is hypothesized to be due to reduced electrostatic
repulsion between adsorbed clay particles and a consequent increase in the
continuous phase (an aqueous clay suspension) viscosity. Water/oil (W/O)
emulsions were inverted to O/W either by decreasing the mass ratio of surfactant
to-clay (transitional inversion) or by increasing the water volume fraction
(catastrophic inversion). For both types of emulsions, coalescence was minimal
and the sedimentation or creaming was highly correlated with the droplet size.
For catastrophic inversions, the droplet size of the emulsions was smaller in the
case of the preferred curvature. Suspensions of concentrated clay in oil
dispersions in the presence of surfactant were stable against settling. The mass
transfer pathways during emulsification of oil containing the clay particles were
analyzed on the droplet size/stability phase diagrams to provide insight for the
design of dispersant systems for remediating surface and subsurface oceanic oil
spills.
PMID- 24932775
TI - Diffusion models: still promoting progresses. Comments on "Multiscale approach to
pest insect monitoring: random walks, pattern formation, synchronization and
networks" by S. Petrovskii, N. Petrovskaya and D. Bearup.
PMID- 24932774
TI - Geographic variability in the association between socioeconomic status and BMI in
the USA and Canada.
AB - OBJECTIVE: Reported associations between socioeconomic status (SES) and obesity
are inconsistent depending on gender and geographic location. Globally, these
inconsistent observations may hide a variation in the contextual effect on
individuals' risk of obesity for subgroups of the population. This study explored
the regional variability in the association between SES and BMI in the USA and in
Canada, and describes the geographical variance patterns by SES category.
METHODS: The 2009-2010 samples of the Behavioral Risk Factor Surveillance System
(BRFSS) and the Canadian Community Health Survey (CCHS) were used for this
comparison study. Three-level random intercept and differential variance
multilevel models were built separately for women and men to assess region
specific BMI by SES category and their variance bounds. RESULTS: Associations
between individual SES and BMI differed importantly by gender and countries. At
the regional-level, the mean BMI variation was significantly different between
SES categories in the USA, but not in Canada. In the USA, whereas the county
specific mean BMI of higher SES individuals remained close to the mean, its
variation grown as SES decreased. At the county level, variation of mean BMI
around the regional mean was 5 kg/m2 in the high SES group, and reached 8.8 kg/m2
in the low SES group. CONCLUSIONS: This study underlines how BMI varies by
country, region, gender and SES. Lower socioeconomic groups within some regions
show a much higher variation in BMI than in other regions. Above the BMI regional
mean, important variation patterns of BMI by SES and place of residence were
found in the USA. No such pattern was found in Canada. This study suggests that a
change in the mean does not necessarily reflect the change in the variance.
Analyzing the variance by SES may be a good way to detect subtle influences of
social forces underlying social inequalities.
PMID- 24932776
TI - Emodin opposes chronic unpredictable mild stress induced depressive-like behavior
in mice by upregulating the levels of hippocampal glucocorticoid receptor and
brain-derived neurotrophic factor.
AB - Emodin, the major active component of Rhubarb, has shown neuroprotective
activity. This study is attempted to investigate whether emodin possesses
beneficial effects on chronic unpredictable mild stress (CUMS)-induced behavioral
deficits (depression-like behaviors) and explore the possible mechanisms. ICR
mice were subjected to chronic unpredictable mild stress for 42 consecutive days.
Then, emodin and fluoxetine (positive control drug) were administered for 21
consecutive days at the last three weeks of CUMS procedure. The classical
behavioral tests: open field test (OFT), sucrose preference test (SPT), tail
suspension test (TST) and forced swimming test (FST) were applied to evaluate the
antidepressant effects of emodin. Then plasma corticosterone concentration,
hippocampal glucocorticoid receptor (GR) and brain-derived neurotrophic factor
(BDNF) levels were tested to probe the mechanisms. Our results indicated that 6
weeks of CUMS exposure induced significant depression-like behavior, with high,
plasma corticosterone concentration and low hippocampal GR and BDNF expression
levels. Whereas, chronic emodin (20, 40 and 80 mg/kg) treatments reversed the
behavioral deficiency induced by CUMS exposure. Treatment with emodin normalized
the change of plasma corticosterone level, which demonstrated that emodin could
partially restore CUMS-induced HPA axis impairments. Besides, hippocampal GR
(mRNA and protein) and BDNF (mRNA) expressions were also up-regulated after
emodin treatments. In conclusion, emodin remarkably improved depression-like
behavior in CUMS mice and its antidepressant activity is mediated, at least in
part, by the up-regulating GR and BDNF levels in hippocampus.
PMID- 24932777
TI - Lignan formation in hairy root cultures of Edelweiss (Leontopodium nivale ssp.
alpinum (Cass.) Greuter).
AB - A hairy root line of Edelweiss (Leontopodium nivale ssp. alpinum (Cass.) Greuter)
was obtained upon transformation with Agrobacterium rhizogenes strain ATCC15834.
Elicitation of this line with silver nitrate, sucrose, methyl jasmonate and yeast
extract at various concentrations in most cases resulted in a stimulation of
lignan biosynthesis. Through elicitation with 6% sucrose the roots accumulated
the pharmacologically active lignans leoligin and 5-methoxy-leoligin at levels of
0.0678% and 0.0372%, respectively, without significant growth inhibition. These
lignan levels were comparable to those found in intact roots of cultivated
Edelweiss. The biotechnological production of leoligin could be an attractive
option for the continuous, field culture-independent production of the valuable
secondary metabolites leoligin and 5-methoxy-leoligin.
PMID- 24932778
TI - Part 2: Sensitivity comparisons of the mayfly Centroptilum triangulifer to
Ceriodaphnia dubia and Daphnia magna using standard reference toxicants; NaCl,
KCl and CuSO4.
AB - Criteria for establishing water quality standards that are protective for 95% of
the native species are generally based upon laboratory toxicity tests. These
tests utilize common model organisms that have established test methods. However,
for invertebrates these species represent mostly the zooplankton community and
are not inclusive of all taxa. In order to examine a potential under
representation in emerging aquatic invertebrates the US Environmental Protection
Agency has cultured a parthenogenetic mayfly, Centroptilum triangulifer
(Ephemeroptera: Baetidae). This study established a 48h acute and a 14-day short
term chronic testing procedure for C. triangulifer and compared its sensitivity
to two model invertebrates, Ceriodaphnia dubia and Daphnia magna. Toxicity tests
were conducted to determine mortality and growth effects using standard reference
toxicants: NaCl, KCl and CuSO4. In 48-h acute tests, the average LC50 for the
mayfly was 659mgL(-1) NaCl, 1957mgL(-1) KCl, and 11MUgL(-1) CuSO4. IC25 values,
using dry weight as the endpoint, were 228mgL(-1) NaCl, 356mgL(-1) KCl and 5MUgL(
1) CuSO4. C. triangulifer was the most sensitive species in NaCl acute and
chronic growth tests. At KCl concentrations tested, C. triangulifer was less
sensitive for acute tests but was equally or more sensitive than C. dubia and D.
magna for growth measurements. This study determined C. triangulifer has great
potential and benefits for use in ecotoxicological studies.
PMID- 24932779
TI - Heuristic modeling of carcinogenesis for the population with dichotomous
susceptibility to cancer: a pancreatic cancer example.
AB - At present, carcinogenic models imply that all individuals in a population are
susceptible to cancer. These models either ignore a fall of the cancer incidence
rate at old ages, or use some poorly identifiable parameters for its accounting.
In this work, a new heuristic model is proposed. The model assumes that, in a
population, only a small fraction (pool) of individuals is susceptible to cancer
and decomposes the problem of the carcinogenic modeling on two sequentially
solvable problems: (i) determination of the age-specific hazard rate in
individuals susceptible to cancer (individual hazard rate) from the observed
hazard rate in the population (population hazard rate); and (ii) modelling of the
individual hazard rate by a chosen "up" of the theoretical hazard function
describing cancer occurrence in individuals in time (age). The model considers
carcinogenesis as a failure of individuals susceptible to cancer to resist cancer
occurrence in aging and uses, as the theoretical hazard function, the three
parameter Weibull hazard function, often utilized in a failure analysis. The
parameters of this function, providing the best fit of the modeled and observed
individual hazard rates (determined from the population hazard rates), are the
outcomes of the modeling. The model was applied to the pancreatic cancer data. It
was shown that, in the populations stratified by gender, race and the geographic
area of living, the modeled and observed population hazard rates of pancreatic
cancer occurrence have similar turnovers at old ages. The sizes of the pools of
individuals susceptible to this cancer: (i) depend on gender, race and the
geographic area of living; (ii) proportionally influence the corresponding
population hazard rates; and (iii) do not influence the individual hazard rates.
The model should be further tested using data on other types of cancer and for
the populations stratified by different categorical variables.
PMID- 24932780
TI - Event-related potentials for post-error and post-conflict slowing.
AB - In a reaction time task, people typically slow down following an error or
conflict, each called post-error slowing (PES) and post-conflict slowing (PCS).
Despite many studies of the cognitive mechanisms, the neural responses of PES and
PCS continue to be debated. In this study, we combined high-density array EEG and
a stop-signal task to examine event-related potentials of PES and PCS in sixteen
young adult participants. The results showed that the amplitude of N2 is greater
during PES but not PCS. In contrast, the peak latency of N2 is longer for PCS but
not PES. Furthermore, error-positivity (Pe) but not error-related negativity
(ERN) was greater in the stop error trials preceding PES than non-PES trials,
suggesting that PES is related to participants' awareness of the error. Together,
these findings extend earlier work of cognitive control by specifying the neural
correlates of PES and PCS in the stop signal task.
PMID- 24932781
TI - Poly(ADP-ribose) polymerase inhibition improves endothelin-1-induced endothelial
dysfunction in rat thoracic aorta.
AB - AIM: The aim of this study was to investigate whether poly(ADP-ribose) polymerase
(PARP) inhibition improves endothelin-1 (ET-1)-induced endothelial dysfunction
(ED). METHODS: Isolated rat thoracic aorta rings were incubated with ET-1 (10
nmol/L) in the presence or absence of either polyethylene glycol-superoxide
dismutase (PEG-SOD; a cell-permeable superoxide radical scavenger, 41 U/mL) plus
apocynin (a NADPH oxidase inhibitor, 300 umol/L) or PJ34 (an inhibitor of polyADP
ribose polymerase, 3 umol/L) for 18 h. Isometric tension studies were performed
in response to acetylcholine (ACh; an endothelium-dependent vasodilator), sodium
nitroprusside (SNP; an endothelium-independent vasodilator), and phenylephrine
(Phe). PARP-1 and PAR (an end-product of PARP activity) expressions were
evaluated by both Western blot and immunohistochemistry. RESULTS: Incubation of
thoracic aorta rings with ET-1 resulted in a significant inhibition of the
response to ACh, while SNP-induced relaxation was unaffected. The contractile
response to Phe increased in arteries that were incubated with ET-1. PARP-1 and
PAR expressions increased after ET-1 incubation. The diminished vasoreactivity as
well as changes in expressions of PARP-1 and PAR in ET-1-incubated vessels were
improved by both PEG-SOD plus apocynin and PJ34. CONCLUSION: Our studies
demonstrate that ED induced by ET-1 seems to be effected via oxidative stress in
the thoracic aorta endothelium with subsequent activation of the PARP pathway.
PMID- 24932782
TI - Genetic risk score and adiposity interact to influence triglyceride levels in a
cohort of Filipino women.
AB - BACKGROUND/OBJECTIVES: Individually, genetic variants only moderately influence
cardiometabolic (CM) traits, such as lipid and inflammatory markers. In this
study we generated genetic risk scores from a combination of previously reported
variants influencing CM traits, and used these scores to explore how adiposity
levels could mediate genetic contributions to CM traits. SUBJECTS/METHODS:
Participants included 1649 women from the 2005 Cebu Longitudinal Health and
Nutrition Survey. Three genetic risk scores were constructed for C-reactive
protein (CRP), high-density lipoprotein cholesterol (HDL-C) and triglycerides
(TGs). We used linear regression models to assess the association between each
genetic risk score and its related trait. We also tested for interactions between
each score and measures of adiposity. RESULTS: Each genetic risk score explained
a greater proportion of variance in trait levels than any individual genetic
variant. We found an interaction between the TG genetic risk score (2.29-14.34
risk alleles) and waist circumference (WC) (Pinteraction=1.66 * 10(-2)). Based on
model predictions, for individuals with a higher TG genetic risk score (75th
percentile=12), having an elevated WC (?80 cm) increased TG levels from 1.32 to
1.71 mmol l(-1). However, for individuals with a lower score (25th percentile=7),
having an elevated WC did not significantly change TG levels. CONCLUSIONS: The TG
genetic risk score interacted with adiposity to synergistically influence TG
levels. For individuals with a genetic predisposition to elevated TG levels, our
results suggest that reducing adiposity could possibly prevent further increases
in TG levels and thereby lessen the likelihood of adverse health outcomes such as
cardiovascular disease.
PMID- 24932783
TI - Preoperative angiotensin axis blockade therapy, intraoperative hypotension, and
the risks of postoperative acute kidney injury.
PMID- 24932784
TI - What do patients consider to be the most important outcomes for effectiveness
studies on migraine treatment? Results of a Delphi study.
AB - BACKGROUND: The outcome measures most frequently used in studies on the
effectiveness of migraine treatment are whether the patient is free of pain,
nausea, and free of photophobia/phonophobia within two hours. However, no patient
centred outcome measures are available. Therefore, we performed an online Delphi
procedure to compile a list of outcome measures deemed most important to migraine
patients. METHODS: From a large database of migraine patients, we randomly
selected 150 males and 150 females patients. We asked the open-ended question:
'If a new medicine was developed for migraine attacks, what would you wish the
effect of this medication to be?' In the second and third rounds, we presented
the answers of the first round and asked the patients to rate the importance of
each item. RESULTS: The initial response rate was 56% (n = 169). In the
subsequent rounds the response rates were 90% (n = 152), and 97% (n = 147),
respectively. Patients wanted their attack medication to treat the headache
within 30 min, to prevent the attack from getting worse, to ensure they could
function properly within 1 h, and prevent the recurrence of symptoms during the
same day. CONCLUSIONS: The currently used outcome measures in migraine research
do not sufficiently reflect the wishes of patients. Patients want the medication
to work faster, to take away pain at an earlier stage, to make them able to
function properly quickly, and to prevent recurrence. These aspects should be
considered in future evaluation of new attack medication for migraine.
PMID- 24932785
TI - Global emission inventories for C4-C14 perfluoroalkyl carboxylic acid (PFCA)
homologues from 1951 to 2030, Part I: production and emissions from quantifiable
sources.
AB - We quantify global emissions of C4-C14 perfluoroalkyl carboxylic acid (PFCA)
homologues during the life-cycle of products based on perfluorooctanoic acid
(PFOA), perfluorononanoic acid (PFNA), perfluorooctane sulfonyl fluoride (POSF),
and fluorotelomer compounds. We estimate emissions of 2610-21400 tonnes of C4-C14
PFCAs in the period from 1951 to 2015, and project 20-6420 tonnes to be emitted
from 2016 to 2030. The global annual emissions steadily increased in the period
1951-2002, followed by a decrease and then another increase in the period 2002
2012. Releases from fluoropolymer production contributed most to historical PFCA
emissions (e.g. 55-83% in 1951-2002). Since 2002, there has been a geographical
shift of industrial sources (particularly fluoropolymer production sites) from
North America, Europe and Japan to emerging Asian economies, especially China.
Sources differ between PFCA homologues, sometimes considerably, and the relative
contributions of each source change over time. For example, whereas 98-100% of
historical (1951-2002) PFOA emissions are attributed to direct releases during
the life-cycle of products containing PFOA as ingredients or impurities, a much
higher historical contribution from PFCA precursor degradation is estimated for
some other homologues (e.g. 9-78% for PFDA). We address the uncertainties of the
PFCA emissions by defining a lower and a higher emission scenario, which differ
by approximately a factor of eight.
PMID- 24932786
TI - Role of nurse practitioners in encouraging use of personal health records.
AB - With increased mobility, it is important that individuals keep their own personal
health records (PHRs). Nurse practitioners are encouraged to assume greater
responsibility for PHR adoption and recognize the key role they play in
recommending PHR use. This article also briefly discusses college students'
perceptions of their exposure to online PHRs.
PMID- 24932787
TI - Beyond the exam room.
PMID- 24932788
TI - Dimethyl fumarate (Tecfidera) for multiple sclerosis.
PMID- 24932789
TI - Alpha-1 antitrypsin deficiency.
PMID- 24932790
TI - Federal Trade Commission policy paper promotes unrestricted practice.
PMID- 24932793
TI - Late-onset postpartum preeclampsia: a case study.
AB - Late-onset postpartum preeclampsia is atypical and potentially life-threatening.
Diagnosis is frequently delayed because signs and symptoms of significant disease
are subtle, thus, nurse practitioners should have a high index of suspicion.
Immediate consultation, diagnosis, initial management, pathophysiology, and
breastfeeding support are discussed as well as patient education and future
health implications.
PMID- 24932794
TI - Reducing the burden of medication costs to improve medication adherence.
AB - The high cost of medications is one reason that patients do not adhere to
treatment plans. Providers can take actions to relieve the economic burden such
as prescribing generic medications and offering patients information on various
resources, including discount generic drug lists, discount cards, mail-order
pharmacies, and prescription assistance programs.
PMID- 24932795
TI - A snapshot of the latest heart failure guidelines.
AB - Heart failure (HF) is a complex chronic condition with high morbidity and
mortality. The purpose of this article is to present a snapshot of the 2013
ACCF/AHA guidelines focusing on management and treatment of HF in primary care.
PMID- 24932797
TI - Effect of stress echocardiography testing on changes in cardiovascular risk
behaviors in postmenopausal women: a prospective survey study.
AB - BACKGROUND: We evaluated the impact of contrast stress echocardiography (CSE)
testing results on cardiovascular (CV) risk behaviors in postmenopausal women
presenting with chest pain symptoms. This was a substudy of the Stress
Echocardiography in Menopausal Women at Risk for Coronary Artery Disease (SMART)
trial. METHODS: From 2004 to 2007, 366 women (mean age 54.4 +/- 5.5 years, range
40-65; body mass index (BMI) 31.4 +/- 6.68; Caucasian in 95%) completed CSE and
were invited to participate in the Women's Heart Clinic Risk Assessment
Questionnaire (WHCRAQ survey) at the time of CSE and after 2 years. Of the 366,
203 (55%) postmenopausal women completed both the baseline and 2-year follow-up
surveys (age 61 +/- 5 years; Framingham risk score 6 +/- 4%, 81% treadmill CSE,
19% dobutamine CSE). WHCRAQ assessed medical history, hormone therapy (HT), and
CV risk behaviors (cigarette smoking history, including current smoking and mean
cigarettes smoked per day; physical activity or exercise, including mean exercise
minutes per week; and dietary fat intake, alcohol intake, and mean alcohol drinks
per week). Abnormal CSE was defined as a new or worsening stress wall motion
abnormality. Post-CSE changes in CV risk behaviors were determined by comparing
baseline versus 2-year data. RESULTS: Of the 203 women who completed the survey
at baseline and 2-year CSE, 29 were excluded to avoid confounding effect
(coronary angiography [CA] was performed during follow-up). Of 174 women (55%
hypertensive, 10% diabetic, 76% hyperlipidemic, and 25% on HT), CSE was abnormal
in 10%. Baseline characteristics were not significantly different in normal
versus abnormal CSE, apart from diabetes (8% vs. 24%, p=0.04). Slightly more
women with normal CSE were taking HT than not (27% vs. 12%, p=0.19). CV risk
behaviors that were different in normal versus abnormal CSE included current
smoking, alcohol drinks per week, and higher fat diet (8% vs. 24%, p=0.03; 2.5 +/
3.5 vs. 1.94+/-5.2, p=0.031; and 92% vs. 76%, p=0.03, respectively). Cigarette
smoking decreased (mean difference of -1.5 cigarettes per day, p=0.014) in the
abnormal-CSE group, whereas number of alcohol drinks per week increased (mean
difference +0.38, p=0.009) in the normal-CSE group. CONCLUSION: We observed an
association of lifestyle changes with CSE test results in postmenopausal women.
PMID- 24932799
TI - Evaluation of genotoxicity testing of FDA approved large molecule therapeutics.
AB - Large molecule therapeutics (MW>1000daltons) are not expected to enter the cell
and thus have reduced potential to interact directly with DNA or related
physiological processes. Genotoxicity studies are therefore not relevant and
typically not required for large molecule therapeutic candidates. Regulatory
guidance supports this approach; however there are examples of marketed large
molecule therapeutics where sponsors have conducted genotoxicity studies. A
retrospective analysis was performed on genotoxicity studies of United States FDA
approved large molecule therapeutics since 1998 identified through the Drugs@FDA
website. This information was used to provide a data-driven rationale for
genotoxicity evaluations of large molecule therapeutics. Fifty-three of the 99
therapeutics identified were tested for genotoxic potential. None of the
therapeutics tested showed a positive outcome in any study except the peptide
glucagon (GlucaGen(r)) showing equivocal in vitro results, as stated in the
product labeling. Scientific rationale and data from this review indicate that
testing of a majority of large molecule modalities do not add value to risk
assessment and support current regulatory guidance. Similarly, the data do not
support testing of peptides containing only natural amino acids. Peptides
containing non-natural amino acids and small molecules in conjugated products may
need to be tested.
PMID- 24932798
TI - Chronic treatment with mood-stabilizers attenuates abnormal hyperlocomotion of
GluA1-subunit deficient mice.
AB - Abnormal excitatory glutamate neurotransmission and plasticity have been
implicated in schizophrenia and affective disorders. Gria1-/- mice lacking GluA1
subunit (encoded by Gria1 gene) of AMPA-type glutamate receptor show robust
novelty-induced hyperactivity, social deficits and heightened approach features,
suggesting that they could be used to test for anti-manic activity of drugs.
Here, we tested the efficacy of chronic treatment with established anti-manic
drugs on behavioural properties of the Gria1-/- mice. The mice received standard
mood stabilizers (lithium and valproate) and novel ones (topiramate and
lamotrigine, used more as anticonvulsants) as supplements in rodent chow for at
least 4 weeks. All drugs attenuated novelty-induced locomotor hyperactivity of
the Gria1-/- mice, especially by promoting the habituation, while none of them
attenuated 2-mg/kg amphetamine-induced hyperactivity as compared to control diet.
Treatment with lithium and valproate reversed the elevated exploratory activity
of Gria1-/- mice. Valproate treatment also reduced struggling behaviour in tail
suspension test and restored reciprocally-initiated social contacts of Gria1-/-
mice to the level shown by the wild-type Gria1+/+ mice. Gria1-/- mice consumed
slightly more sucrose during intermittent sucrose exposure than the wild-types,
but ran similar distances on running wheels. These behaviours were not
consistently affected by lithium and valproate in the Gria1-/- mice. The efficacy
of various anti-manic drug treatments on novelty-induced hyperactivity suggests
that the Gria1-/- mouse line can be utilized in screening for new therapeutics.
PMID- 24932800
TI - Oral 4-week and 13-week toxicity studies of polyvinyl acetate vinyl laurate
copolymer in rats.
AB - Polyvinyl acetate vinyl laurate copolymer (PVAcVL) is a useful component of gum
base for chewing gum production. The safety of PVAcVL was examined in a 4-week
and a 13-week oral toxicity study in rats. Finely powdered PVAcVL was
administered with the diet at levels of 1.25%, 2.0% and 5% in the 4-week study
and 1.25%, 2.5% and 5% in the 13-week study. There were no treatment related
effects on mortality, bodyweight gains feed efficiency, ophthalmoscopic findings,
hematological and clinical chemical parameters, neurobehavioral observations as
well as gross and histopathological changes of standard organs and tissues. The
highest dose tested in the 13-week study (3783 and 4396mg/kgbw/d for males and
females, respectively) proved to be a NOAEL.
PMID- 24932801
TI - Generation of giant unilamellar liposomes containing biomacromolecules at
physiological intracellular concentrations using hypertonic conditions.
AB - Artificial cells, particularly cell-sized liposomes, serve as tools to improve
our understanding of the physiological conditions of living cells. However, such
artificial cells typically contain a more dilute solution of biomacromolecules
than that found in living cells (300 mg mL(-1)). Here, we reconstituted the
intracellular biomacromolecular conditions in liposomes using hyperosmotic
pressure. Liposomes encapsulating 80 mg mL(-1) of macromolecules of BSA or a
protein mixture extracted from Escherichia coli were immersed in hypertonic
sucrose. The concentration of macromolecules in BSA-containing liposomes was
increased in proportion to the initial osmotic pressure ratio between internal
and external media. On the other hand, the concentration of the protein mixture
in liposomes could be saturated to reach the physiological concentration of
macromolecules in cells. Furthermore, membrane transformation after the
hypertonic treatment differed between BSA- and protein mixture-containing
liposomes. These results strongly suggested that the crowded environment in cells
is different from that found in typical single-component systems.
PMID- 24932802
TI - miRNAs in circulation: mirroring bone conditions?
PMID- 24932803
TI - In vivo tracing uptake and elimination of organic pesticides in fish muscle.
AB - Bioconcentration factors (BCFs) measured in the laboratory are important for
characterizing the bioaccumulative properties of chemicals entering the
environment, especially the potential persistent organic pollutants (POPs), which
can pose serious adverse effects on ecosystem and human health. Traditional
lethal analysis methods are time-consuming and sacrifice too many experimental
animals. In the present study, in vivo solid-phase microextraction (SPME) was
introduced to trace the uptake and elimination processes of pesticides in living
fish. BCFs and elimination kinetic coefficients of the pesticides were recorded
therein. Moreover, the metabolism of fenthion was also traced with in vivo SPME.
The method was time-efficient and laborsaving. Much fewer experimental animals
were sacrificed during the tracing. In general, this study opened up an
opportunity to measure BCFs cheaply in laboratories for the registering of
emerging POPs and inspecting of suspected POPs, as well as demonstrated the
potential application of in vivo SPME in the study of toxicokinetics of
pollutants.
PMID- 24932804
TI - Histone deacetylase inhibition induces long-lasting changes in maternal behavior
and gene expression in female mice.
AB - In many species, including mice, maternal responsiveness is experience-dependent
and permanent, lasting for long periods (months to years). We have shown that
after brief exposures to pups, virgin female mice continue to respond maternally
toward pups for at least one month. Administration of a histone deacetylase
inhibitor (HDACi) reduces the amount of maternal experience required to affect
maternal behavior and gene expression. In this set of studies, we examined the
epigenetic mechanisms that underlie these motivated behaviors. We assessed
whether the effects of HDACi persisted 1 month after the initial experience (in
the absence of continued pup experience or HDACi treatment) and whether the
maintenance of maternal memory was associated with stable changes in gene
expression. Using chromatin immunoprecipitation, we examined whether Esr2 and Oxt
gene expression might be mediated by recruitment of the histone acetyltransferase
cAMP response element binding protein (CBP) to their promoter regions after
maternal memory consolidation. We report that HDACi treatment induced long
lasting changes in maternal responsiveness. Maternal learning was associated with
increased recruitment of CBP to the Esr2 and Oxt gene promoters during the
consolidation of maternal memory as well as a persistent increase in estrogen
receptor-beta (Esr2) mRNA and decreased expression of the de novo DNA
methyltransferase Dnmt3a within the medial preoptic area. The consolidation of
the maternal experience may involve the CBP recruitment and stable changes in
gene expression, which maintain increased maternal responsiveness for long
periods of time.
PMID- 24932805
TI - Pancreatic beta-cell-specific ablation of TASK-1 channels augments glucose
stimulated calcium entry and insulin secretion, improving glucose tolerance.
AB - Calcium entry through voltage-dependent Ca(2+) channels (VDCCs) is required for
pancreatic beta-cell insulin secretion. The 2-pore-domain acid-sensitive
potassium channel (TASK-1) regulates neuronal excitability and VDCC activation by
hyperpolarizing the plasma membrane potential (Deltapsip); however, a role for
pancreatic beta-cell TASK-1 channels is unknown. Here we examined the influence
of TASK-1 channel activity on the beta-cell Deltapsip and insulin secretion
during secretagogue stimulation. TASK-1 channels were found to be highly
expressed in human and rodent islets and localized to the plasma membrane of beta
cells. TASK-1-like currents of mouse and human beta-cells were blocked by the
potent TASK-1 channel inhibitor, A1899 (250nM). Although inhibition of TASK-1
currents did not influence the beta-cell Deltapsip in the presence of low (2mM)
glucose, A1899 significantly enhanced glucose-stimulated (14mM) Deltapsip
depolarization of human and mouse beta-cells. TASK-1 inhibition also resulted in
greater secretagogue-stimulated Ca(2+) influx in both human and mouse islets.
Moreover, conditional ablation of mouse beta-cell TASK-1 channels reduced K2P
currents, increased glucose-stimulated Deltapsip depolarization, and augmented
secretagogue-stimulated Ca(2+) influx. The Deltapsip depolarization caused by
TASK-1 inhibition resulted in a transient increase in glucose-stimulated mouse
beta-cell action potential (AP) firing frequency. However, secretagogue
stimulated beta-cell AP duration eventually increased in the presence of A1899 as
well as in beta-cells without TASK-1, causing a decrease in AP firing frequency.
Ablation or inhibition of mouse beta-cell TASK-1 channels also significantly
enhanced glucose-stimulated insulin secretion, which improved glucose tolerance.
Conversely, TASK-1 ablation did not perturb beta-cell Deltapsip, Ca(2+) influx,
or insulin secretion under low-glucose conditions (2mM). These results reveal a
glucose-dependent role for beta-cell TASK-1 channels of limiting glucose
stimulated Deltapsip depolarization and insulin secretion, which modulates
glucose homeostasis.
PMID- 24932806
TI - A rapid cytoplasmic mechanism for PI3 kinase regulation by the nuclear thyroid
hormone receptor, TRbeta, and genetic evidence for its role in the maturation of
mouse hippocampal synapses in vivo.
AB - Several rapid physiological effects of thyroid hormone on mammalian cells in
vitro have been shown to be mediated by the phosphatidylinositol 3-kinase (PI3K),
but the molecular mechanism of PI3K regulation by nuclear zinc finger receptor
proteins for thyroid hormone and its relevance to brain development in vivo have
not been elucidated. Here we show that, in the absence of hormone, the thyroid
hormone receptor TRbeta forms a cytoplasmic complex with the p85 subunit of PI3K
and the Src family tyrosine kinase, Lyn, which depends on two canonical
phosphotyrosine motifs in the second zinc finger of TRbeta that are not conserved
in TRalpha. When hormone is added, TRbeta dissociates and moves to the nucleus,
and phosphatidylinositol (3, 4, 5)-trisphosphate production goes up rapidly.
Mutating either tyrosine to a phenylalanine prevents rapid signaling through PI3K
but does not prevent the hormone-dependent transcription of genes with a thyroid
hormone response element. When the rapid signaling mechanism was blocked
chronically throughout development in mice by a targeted point mutation in both
alleles of Thrb, circulating hormone levels, TRbeta expression, and direct gene
regulation by TRbeta in the pituitary and liver were all unaffected. However, the
mutation significantly impaired maturation and plasticity of the Schaffer
collateral synapses on CA1 pyramidal neurons in the postnatal hippocampus. Thus,
phosphotyrosine-dependent association of TRbeta with PI3K provides a potential
mechanism for integrating regulation of development and metabolism by thyroid
hormone and receptor tyrosine kinases.
PMID- 24932807
TI - Rab5 activity regulates GLUT4 sorting into insulin-responsive and non-insulin
responsive endosomal compartments: a potential mechanism for development of
insulin resistance.
AB - Glucose transporter isoform 4 (GLUT4) is the insulin-responsive glucose
transporter mediating glucose uptake in adipose and skeletal muscle. Reduced
GLUT4 translocation from intracellular storage compartments to the plasma
membrane is a cause of peripheral insulin resistance. Using a chronic
hyperinsulinemia (CHI)-induced cell model of insulin resistance and Rab5 mutant
overexpression, we determined these manipulations altered endosomal sorting of
GLUT4, thus contributing to the development of insulin resistance. We found that
CHI induced insulin resistance in 3T3-L1 adipocytes by retaining GLUT4 in a Rab5
activity-dependent compartment that is unable to equilibrate with the cell
surface in response to insulin. Furthermore, CHI-mediated retention of GLUT4 in
this non-insulin-responsive compartment impaired filling of the transferrin
receptor (TfR)-positive and TfR-negative insulin-responsive storage compartments.
Our data suggest that hyperinsulinemia may inhibit GLUT4 by chronically
maintaining GLUT4 in the Rab5 activity-dependent endosomal pathway and impairing
formation of the TfR-negative and TfR-positive insulin-responsive GLUT4 pools.
This model suggests that an early event in the development of insulin-resistant
glucose transport in adipose tissue is to alter the intracellular localization of
GLUT4 to a compartment that does not efficiently equilibrate with the cell
surface when insulin levels are elevated for prolonged periods of time.
PMID- 24932809
TI - Regulation of GLUT transporters by flavonoids in androgen-sensitive and
insensitive prostate cancer cells.
AB - Cancer cells show different metabolic requirements from normal cells. In prostate
cancer, particularly, glycolytic metabolism differs in androgen-responsive and
nonresponsive cells. In addition, some natural compounds with antiproliferative
activities are able to modify glucose entry into cells by either modulating
glucose transporter (GLUT) expression or by altering glucose binding. The aim of
this work was to study the regulation of some GLUTs (GLUT1 and GLUT4) in both
androgen-sensitive (LNCaP) and -insensitive (PC-3) prostate cancer cells by 4
structurally different flavonoids (ie, genistein, phloretin, apigenin, and
daidzein). Glucose uptake was measured using nonradiolabeled 2-deoxyglucose. The
evaluation of protein levels as well as subcellular distribution of GLUT1/4 were
analyzed by Western blot and immunocytochemistry, respectively. Androgen
insensitive LNCaP-R and androgen-sensitive PC-3-AR cells were used to study the
effect of androgen signaling. Additionally, a docking simulation was employed to
compare interactions between flavonoids and XylE, a bacterial homolog of GLUT1 to
-4. Results show for the first time the presence of functionally relevant GLUT4
in prostate cancer cells. Furthermore, differences in GLUT1 and GLUT4 levels and
glucose uptake were found, without differences on subcellular distribution, after
incubation with flavonoids. Docking simulation showed that all compounds interact
with the same location of transporters. More importantly, differences between
androgen-sensitive and -insensitive prostate cancer cells were found in both GLUT
protein levels and glucose uptake. Thus, phenotypic characteristics of prostate
cancer cells are responsible for the different effects of these flavonoids in
glucose uptake and in GLUT expression rather than their structural differences,
with the most effective in reducing cell growth being the highest in modifying
glucose uptake and GLUT levels.
PMID- 24932810
TI - Phloridzin improves lipoprotein lipase activity in stress-loaded mice via AMPK
phosphorylation.
AB - Long-term stress exposure can lead to disturbed homeostasis and cause many life
style diseases. Phloridzin possesses various bioactivities, but the understanding
of the effects of phloridzin on stress-related lipid metabolism disorder is
limited. Our results demonstrate that phloridzin improved plasma lipoprotein
lipase (LPL) activity and triglyceride metabolism in restrained mice. A decrease
of angiopoietin-like protein 4 (ANGPTL4) mRNA expression and an increase of AMP
activated protein kinase (AMPK) phosphorylation were observed after phloridzin
treatment. After inhibiting AMPK phosphorylation, the effects of phloridzin on
the amelioration of plasma LPL activity and suppression of ANGPTL4 expression
were blocked. In addition, cardiac AMPK phosphorylation, plasma LPL activity and
ANGPTL4 expression were also affected by phloridzin, even if the glucocorticoid
receptor was blocked. Taken together, the down-regulation of ANGPTL4 expression
by phloridzin was probably via a direct activation of AMPK pathway. This
discovery can provide a biochemical and nutritional basis for the use of
phloridzin-containing food and beverage in daily life.
PMID- 24932808
TI - Biochemical and cell biological properties of the human prohormone convertase 1/3
Ser357Gly mutation: a PC1/3 hypermorph.
AB - Satiety and appetite signaling are accomplished by circulating peptide hormones.
These peptide hormones require processing from larger precursors to become
bioactive, often by the proprotein convertase 1/3 (PC1/3). Several subcellular
maturation steps are necessary for PC1/3 to achieve its optimal enzymatic
activity. Certain PC1/3 variants found in the general population slightly
attenuate its enzymatic activity and are associated with obesity and diabetes.
However, mutations that increase PC1/3 activity and/or affect its specificity
could also have physiological consequences. We here present data showing that the
known human Ser357Gly PC1/3 mutant (PC1/3(S357G)) represents a PC1/3 hypermorph.
Conditioned media from human embryonic kidney-293 cells transfected with
PC1/3(WT) and PC1/3(S357G) were collected and enzymatic activity characterized.
PC1/3(S357G) exhibited a lower calcium dependence; a higher pH optimum (neutral);
and a higher resistance to peptide inhibitors than the wild-type enzyme.
PC1/3(S357G) exhibited increased cleavage to the C-terminally truncated form, and
kinetic parameters of the full-length and truncated mutant enzymes were also
altered. Lastly, the S357G mutation broadened the specificity of the enzyme; we
detected PC2-like specificity on the substrate proCART, the precursor of the
cocaine- and amphetamine regulated transcript neuropeptide known to be associated
with obesity. The production of another anorexigenic peptide normally synthesized
only by PC2, alphaMSH, was increased when proopiomelanocortin was coexpressed
with PC1/3(S357G). Considering the aberrant enzymatic profile of PC1/3(S357G), we
hypothesize that this enzyme possesses unusual processing activity that may
significantly change the profile of circulating peptide hormones.
PMID- 24932812
TI - Clinical outcome in heart transplant recipients receiving everolimus in
combination with dosage reduction of the calcineurin inhibitor cyclosporine A or
tacrolimus.
AB - The mTOR inhibitor everolimus (EVL) can be used for calcineurin inhibitor-sparing
immunosuppression in heart transplantation (HTx). However, comparable data
regarding clinical outcomes in HTx recipients receiving EVL either with dosage
reduction of cyclosporine A (CSA) or with dosage reduction of tacrolimus (TAC) is
scarce. In a retrospective data analysis, we compared 5-year clinical outcomes in
154 maintenance patients receiving EVL with CSA (n=106) or TAC (n=48). The
primary endpoint was a composite of death, graft loss and EVL discontinuation
(treatment failure). Secondary endpoints were kidney function, cardiac rejection,
cytomegalovirus infection and biochemical safety parameters. In the CSA and TAC
group, the primary endpoint was reached by 59.8% and 53.1%, respectively
(P=0.716). Five-year mortality was 30.4% (CSA group) and 23.13% (TAC group),
respectively (P=0.371), and freedom from EVL discontinuation was 53.3% and 59.6%
(P=0.566) in the respective groups. Covariate-adjusted relative risk of treatment
failure was in the CSA group=1.28 (95% CI: 0.70-2.34; P=0.43) compared with the
TAC group. The course of covariate-adjusted estimated glomerular filtration rate
and freedom from cytomegalovirus infection was similar in the two groups (P=0.502
and P=0.476), whereas covariate-adjusted freedom from rejection was lower in the
CSA group compared with the TAC group (P=0.023). Lipid status and blood cell
counts were comparable between groups. In conclusion, data indicate that EVL plus
reduced TAC is not superior to EVL plus reduced CSA regarding treatment failure
and kidney function. However, compared with EVL plus reduced CSA, EVL plus
reduced TAC seems to reduce cardiac rejections.
PMID- 24932811
TI - Late-onset neutropenia and acute rejection in ABO-incompatible kidney transplant
recipients receiving rituximab and mycophenolate mofetil.
AB - INTRODUCTION: Using rituximab, we have performed successful ABO-incompatible
kidney transplantations in recipients without splenectomy as well as in those
with high pretransplant anti-A/B antibody titers. A common and increasingly
recognized toxicity of rituximab is late-onset neutropenia (LON), defined as
unexplained grades III to IV neutropenia occurring at least 4weeks after the last
dose of rituximab in the absence of an alternative explanation. PATIENTS AND
METHODS: Between May 2006 and December 2011, 25 patients who received rituximab
underwent successful ABO-incompatible kidney transplantation and were enrolled as
the subjects in this study. The incidence rate and clinical features of LON as
well as the relationship between LON and acute rejection in these patients were
studied. RESULTS: Twelve recipients (48%) experienced LON 2 to 12months after
transplantation. Five of the 12 patients (41.6%) who developed LON had an episode
of biopsy-confirmed acute cellular rejection, as compared with one of the 13
patients (7.7%) who did not develop LON. Moreover, 3 patients who experienced LON
developed steroid and deoxyspergualin-resistant acute cellular rejection
requiring OKT-3 administration. CONCLUSIONS: The frequency of acute cellular
rejection was higher in ABO-incompatible kidney transplant recipients with LON
than in those without LON. Our findings suggested that these recipients who
developed LON after rituximab administration may be at an increased risk for
acute cellular rejection.
PMID- 24932814
TI - Pediatric sensorineural hearing loss.
PMID- 24932815
TI - Endoscopic view of osteogenesis imperfecta of the maxilla.
PMID- 24932816
TI - An unusual presentation of anterior subglottic stenosis.
PMID- 24932817
TI - Rhabdomyosarcoma of the supraglottis in an adult.
PMID- 24932818
TI - Mitek bone-anchored static suture suspension of the oral commissure.
PMID- 24932813
TI - Clinicopathological correlations of podoplanin (gp38) expression in rheumatoid
synovium and its potential contribution to fibroblast platelet crosstalk.
AB - INTRODUCTION: Synovial fibroblasts (SF) undergo phenotypic changes in rheumatoid
arthritis (RA) that contribute to inflammatory joint destruction. This study was
undertaken to evaluate the clinical and functional significance of ectopic
podoplanin (gp38) expression by RA SF. METHODS: Expression of gp38 and its CLEC2
receptor was analyzed by immunohistochemistry in synovial arthroscopic biopsies
from RA patients and normal and osteoarthritic controls. Correlation between gp38
expression and RA clinicopathological variables was analyzed. In patients
rebiopsied after anti-TNF-alpha therapy, changes in gp38 expression were
determined. Platelet-SF coculture and gp38 silencing in SF were used to analyze
the functional contribution of gp38 to SF migratory and invasive properties, and
to SF platelet crosstalk. RESULTS: gp38 was abundantly but variably expressed in
RA, and it was undetectable in normal synovial tissues. Among clinicopathologigal
RA variables, significantly increased gp38 expression was only found in patients
with lymphoid neogenesis (LN), and RF or ACPA autoantibodies. Cultured synovial
but not dermal fibroblasts showed strong constitutive gp38 expression that was
further induced by TNF-alpha. In RA patients, anti-TNF-alpha therapy
significantly reduced synovial gp38 expression. In RA synovium, CLEC2 receptor
expression was only observed in platelets. gp38 silencing in cultured SF did not
modify their migratory and invasive properties but reduced the expression of IL-6
and IL-8 genes induced by SF-platelet interaction. CONCLUSIONS: In RA, synovial
expression of gp38 is strongly associated to LN and it is reduced after anti-TNF
alpha therapy. Interaction between gp38 and CLEC2 platelet receptor is feasible
in RA synovium in vivo and can specifically contribute to gene expression by SF.
PMID- 24932819
TI - Postauricular fascia in augmentation rhinoplasty.
AB - Ten rhinoplasty operations performed using postauricular fascia for the purpose
of augmenting the radix and dorsum of the nose were analyzed retrospectively. All
the operations were performed over a 1-year period, between 2005 and 2006. The
fascia of the postauricular area has been used as a source of pliable soft-tissue
grafts in primary and revision rhinoplasty. It may be easily accessed using a
single sulcus incision that also enables harvesting of ear cartilage grafts.
Deficiency in the radix is an overlooked abnormality seen in many patients
undergoing primary as well as revision rhinoplasty after aggressive hump removal.
Recent trends in rhinoplasty have been to avoid the overly reduced nasal skeleton
and to create a more balanced nasal surgery result. This article presents the use
of the postauricular fascia as a radix graft that has been found to be simple to
carry out, reliable, and long lasting. In addition, the fascia graft is useful in
the camouflage of various nasal deformities in the dorsum and sidewalls. The
average patient follow-up for the study was 24 months.
PMID- 24932820
TI - Condyloma acuminatum of the buccal mucosa.
AB - Condyloma acuminatum is a human papillomavirus (HPV)-induced disease. It is
usually transmitted sexually, and it frequently occurs in the anogenital area. A
finding of condyloma acuminatum in the oral cavity is rare. Besides HPV, other
risk factors for oral condyloma include chewing betel quid and smoking. We report
the case of a 52-year-old man who presented with a 2 * 2-cm verrucous white patch
on his buccal mucosa. He was habituated to both betel quid and cigarette smoking.
A biopsy of the lesion identified it as a verrucous hyperplasia of the squamous
epithelium with HPV-related koilocytic changes. The lesion was excised, and
further histopathology identified it as condyloma acuminatum. The patient was
disease-free 9 months postoperatively. The possibility of condyloma acuminatum
should be considered in the differential diagnosis of an oral white lesion. The
most common treatments are surgical excision, cryosurgery, electrocautery, and
laser excision. There is no known role for antiviral therapy.
PMID- 24932821
TI - Ossifying fibromyxoid tumor metastatic to the thyroid: a case report and review
of the literature.
AB - Ossifying fibromyxoid tumors are rare soft-tissue neoplasms, usually with an
indolent course. However, atypical/malignant variants have been described,
showing either local recurrence after complete excision or metastasis to the
lungs, mediastinum, adrenals, or soft tissue. We report the case of an ossifying
fibromyxoid tumor of the left ankle that metastasized to the lung and thyroid
gland 12 years after the initial diagnosis and surgical treatment. To our
knowledge, this is the first reported case of this neoplasm metastasizing to the
thyroid gland.
PMID- 24932822
TI - Report of a rare case of carcinosarcoma of the maxillary sinus with sternal
metastasis.
AB - Carcinosarcoma is a highly aggressive and infiltrative tumor. A finding of this
tumor in a paranasal sinus is exceedingly rare. We describe the case of a 61-year
old man who presented with a mass on the left side of his face. The mass was
excised via a total maxillectomy with a modified radical neck dissection.
Histologic analysis identified a mixture of carcinomatous and sarcomatous
components. Within 1 month of surgery, the patient developed a sternal
metastasis, and he died within a short period of time. The aggressive nature of
this tumor and its metastases demand early diagnosis and prompt treatment.
PMID- 24932823
TI - Endoscopic management of spontaneous CSF rhinorrhea with septal graft and middle
turbinate rotational flap technique: a review of 31 cases.
AB - Spontaneous cerebrospinal fluid (CSF) rhinorrhea poses a major challenge to
rhinologists. Much controversy attends its cause, pathophysiology, management,
and prognosis. It has been suggested that endoscopic placement of a septal graft
with a middle turbinate rotational flap may represent the ideal approach to
closing skull base defects. We conducted a retrospective chart review to compile
the results of this approach in 31 patients with spontaneous CSF rhinorrhea-22
women and 9 men, aged 18 to 67 years (mean 38.5 +/- 8.96) at diagnosis. After one
surgery, success had been achieved in 27 of these patients (87.1%). The remaining
4 patients underwent a second surgery, and 2 of them experienced a successful
closure. In the remaining 2 patients, a third surgery was still unsuccessful, and
they were referred to the neurosurgical team for a shunt procedure. Thus, the
overall success rate with the septal graft and a middle turbinate rotational flap
was 93.5% (29/31). Septal grafts and middle turbinate flaps are easy to harvest
and easy to place. Accurate localization of the defect, meticulous surgical
technique, and cerebral dehydrating measures may improve outcomes. Further study
of spontaneous CSF rhinorrhea to better evaluate its pathophysiology and
prognostic factors is warranted.
PMID- 24932824
TI - Metastatic hepatocellular carcinoma presenting as a sphenoid sinus mass and
meningeal carcinomatosis.
AB - We report the case of a 30-year-old woman who was referred to us for evaluation
of a 2-week history of fever, headache, vomiting, bilateral ptosis, and blurred
vision. Imaging obtained by the referring institution had identified a sphenoid
sinus mass and diffuse meningeal infiltration, which was thought to represent an
infective process. We subsequently identified the mass as a metastatic
hepatocellular carcinoma (HCC). The patient was placed under palliative care, and
she died 1 month later. Metastases to the sphenoid sinus from any primary source
are very rare, and they are generally not considered in the radiologic
differential diagnosis. HCC is known to metastasize to the lung, lymph nodes, and
musculoskeletal system; again, reported cases of metastasis to the sphenoid sinus
are rare. Indeed, our review of the English-language literature found only 6
previously reported cases of sinonasal metastasis of a primary HCC. A diagnosis
of a sinonasal metastasis is more difficult in a patient who has no previous
diagnosis of a primary malignancy. In presenting this case, our aim is to remind
readers of this possibility.
PMID- 24932825
TI - Helical tomotherapy of the complete scalp and the ipsilateral lymph nodes in a
case of scalp angiosarcoma.
AB - Scalp angiosarcoma represents a therapeutic challenge to all disciplines. This
case report demonstrates the potential usefulness of helical tomotherapy (HT) as
a new radiotherapeutic treatment option. A 71-year-old woman presented with a
superficial angiosarcoma of the scalp, forehead, and left pre- and postauricular
areas, with several nodular ulcerating and bleeding lesions. Irradiation of the
gross tumor was performed with a total dose of 70 Gy in 2-Gy fractions and of the
left cervical lymph nodes with 56 Gy in 1.6-Gy fractions. Good target coverage
was achieved without compromising organs at risk, notably the brain. Treatment
was very fast (661 seconds per fraction) and was administered with minimal acute
toxicity (National Cancer Institute Common Toxicity Criteria: grade 2 erythema
and grade 2 dysphagia). During treatment, tumor nodules dissolved into
hyperkeratosis. We conclude that with HT, irradiation of the scalp and cervical
lymph nodes can be conducted with minimal acute toxicity and without junction
problems.
PMID- 24932826
TI - Sebaceous carcinoma of the parotid gland: a case report and review of the
literature.
AB - Sebaceous carcinoma is a rare malignancy primarily affecting the periocular
sebaceous glands. Sebaceous carcinoma of the parotid gland is exceedingly rare,
with only 30 cases reported in the literature. Our case brings this total to 31.
We present a case involving a 57-year-old man with a slowly enlarging, right tail
of-parotid mass abutting the ear lobule with overlying skin discoloration.
Excision of the mass with superficial parotidectomy was performed, and
microscopic examination demonstrated sebaceous carcinoma with positive margins.
Subsequently, the patient underwent a completion parotidectomy and inferior
auriculectomy with no evidence of residual tumor. Six months after postoperative
adjuvant radiotherapy, the patient remains tumor-free. The clinical and
morphologic features of this tumor are discussed.
PMID- 24932827
TI - Nasal vestibule schwannoma: report of a rare case.
AB - Schwannomas of the nasal cavity and paranasal sinuses are quite rare, especially
in the nasal vestibule. We report the case of a 61-year-old woman who presented
with a 2-month history of progressively worsening right-sided epistaxis and nasal
blockage. Rigid nasoendoscopy showed a mobile, smooth, globular mass occupying
the right nasal vestibule. The mass arose from the lateral nasal wall and
impinged on the anterior part of the middle turbinate posteriorly. Computed
tomography of the paranasal sinuses showed a 3.8 * 1.7-cm enhancing mass in the
right nostril. The mass obliterated the nasal cavity and caused mild deviation of
the septum. The preoperative histopathologic examination showed positivity for
vimentin and S-100 protein, suggesting a diagnosis of schwannoma. The patient
underwent an intranasal laser-assisted excision biopsy. The histopathologic
examination confirmed the diagnosis of schwannoma. Postoperative recovery was
uneventful, and no recurrence was seen in the follow-up period.
PMID- 24932828
TI - Harmonic Scalpel versus electrocautery and surgical clips in head and neck free
flap harvesting.
AB - We sought to determine the safety and utility of Harmonic Scalpel-assisted free
flap harvesting as an alternative to a combined electrocautery and surgical clip
technique. The medical records of 103 patients undergoing radial forearm free
flap reconstruction (105 free flaps) for head and neck surgical defects between
2006 and 2008 were reviewed. The use of bipolar electrocautery and surgical clips
for division of small perforating vessels (n = 53) was compared to ultrasonic
energy (Harmonic Scalpel; Ethicon Endo-Surgery, Inc., Cincinnati, Ohio) (n = 52)
free-tissue harvesting techniques. Flap-harvesting time was reduced with the use
of the Harmonic Scalpel when compared with electrocautery and surgical clip
harvest (31.4 vs. 36.9 minutes, respectively; p = 0.06). Two patients who
underwent flap harvest with electrocautery and surgical clips developed
postoperative donor site hematomas, whereas no donor site complications were
noted in the Harmonic Scalpel group. Recipient site complication rates for
infection, fistula, and hematoma were similar for both harvesting techniques (p =
0.77). Two flap failures occurred in the clip-assisted radial forearm free-flap
harvest group, and none in the Harmonic Scalpel group. Median length of
hospitalization was significantly reduced for patients who underwent free-flap
harvest with the Harmonic Scalpel when compared with the other technique (7 vs. 8
days; p = 0.01). The Harmonic Scalpel is safe, and its use is feasible for radial
forearm free-flap harvest.
PMID- 24932829
TI - Extensive basal cell carcinoma of the face: an extreme case of denial.
AB - Patients with head and neck cancer are particularly susceptible to using denial
as a coping mechanism. While some forms of denial may help patients achieve
better levels of physical functioning, persistent denial can serve as a major
barrier to treatment. We report a case of extreme denial by a 60-year-old woman
with an extensive basal cell carcinoma of the face that had been neglected for
more than 20 years. We present this case to raise awareness of the potential
danger of denial, and we discuss strategies that physicians can undertake to
properly manage patients who engage in it. Since the diagnosis and treatment of
head and neck cancer can result in profound psychological trauma, gaining an
appreciation for how patients cope with it is an important part of the
comprehensive care of head and neck oncology patients.
PMID- 24932830
TI - How do pediatric adenoidectomy and adenotonsillotomy influence maternal
psychological status?
AB - We conducted a study to determine the impact that pediatric adenoidectomy or
adenotonsillotomy (adenoidectomy with a partial tonsillectomy) had on the short
term psychological status of the children's mothers. Mothers of 100 treated
children were examined with the 14-item Hospital Anxiety and Depression Scale
(HADS) questionnaire immediately before the operation and 3 days afterward; to
establish a baseline for control purposes, they completed another questionnaire
10 days postoperatively. We also compiled data for the mothers' demographic
information and the children's physical status. In the preoperative period, we
found that (1) the anxiety scores of half the mothers were abnormal, (2)
depression scores were higher in the adenotonsillotomy group, and (3) anxiety and
depression scores were lower in the mothers with more education and in the
mothers who had a personal or family history of previous surgery. At 3 days
postoperatively, anxiety and depression scores were again lower in the more
educated mothers, and lower in the absence of postoperative fever. We conclude
that mothers whose children are undergoing adenoidectomy or adenotonsillotomy,
particularly the latter, and those with less education may require some
psychological intervention. Such help may also be needed when postoperative
complications occur.
PMID- 24932831
TI - Bacteriology and antimicrobial susceptibility of ENT infections in a tropical
hospital.
AB - We conducted a retrospective observational study to determine the spectrum and
antibiotic sensitivity pattern of organisms isolated in otorhinolaryngologic
(ORL) infections. We reviewed the laboratory culture and sensitivity records of
4,909 patients-2,773 males (56.5%) and 2,136 females (43.5%), aged 2 to 90 years
(mean: 45.3 +/- 12.6)-who had been seen at two government hospitals in Malaysia.
Of this group, 4,332 patients had a respiratory tract infection (88.2%), 206 had
an ear infection (4.2%), 188 had a deep neck infection (3.8%), and 183 had an
oropharyngeal infection (3.7%). The most common isolated organisms were
Klebsiella spp, Pseudomonas aeruginosa, methicillin-resistant Staphylococcus
aureus, methicillin-susceptible S aureus, coagulase-negative S aureus, and
Acinetobacter baumannii. We also identified the antimicrobial susceptibility of
these organisms. We conclude that since the spectrum of causative pathogens in
some infections differs between tropical and nontropical areas of the world,
tropical hospitals should not completely adopt the antibiotic guidelines for ORL
infections that have been recommended for hospitals in nontropical regions. We
hope that our review and analysis of local data will help practitioners in
Malaysia develop an appropriate prescribing policy with respect to ORL pathogens
and antimicrobial susceptibility. The goal is to reduce the morbidity and
mortality associated with these infections.
PMID- 24932832
TI - Automastoidectomy.
PMID- 24932833
TI - An abnormal sigmoid sinus with a dire clinical implication.
PMID- 24932834
TI - Metastatic ovarian sex-cord stromal tumor with annular tubules in a patient
without Peutz-Jeghers syndrome.
AB - Sex-cord stromal tumors (SCSTs) with annular tubules (SCTATs) are a small class
of ovarian lesions that possess histologic features of both Sertoli and granulosa
cells. Approximately one-third of patients with SCTAT also have Peutz-Jaghers
syndreome, which makes these cases especially rare. Patients with non-PJS
associated SCTAT make up the remaining two-thirds; 20% of these cases have a
metastatic presentation. Metastasis of these tumors to the head and neck region
has only been reported in a few instances. In this article we report a case of a
25-year-old woman who presented with "a lump in her throat" and was ultimately
diagnosed with SCTAT. We also discuss the current protocols in the diagnosis and
treatment of this entity.
PMID- 24932835
TI - Synthesis of truncated analogues of preptin-(1-16), and investigation of their
ability to stimulate osteoblast proliferation.
AB - Preptin, a 34-amino acid residue peptide hormone is co-secreted with insulin from
the beta-pancreatic cells and is active in fuel metabolism. We have previously
established that a shorter fragment of preptin, namely preptin-(1-16), stimulates
bone growth by proliferation and increasing the survival rate of osteoblasts.
This was demonstrated in both in vitro and in vivo models. These findings suggest
that preptin-(1-16) could play an important role in the anabolic therapy of
osteoporosis. However, due to the large size of the peptide it is not an ideal
therapeutic agent. The aim of this study was to identify the shortest preptin
analogue that retains or even increases the bone anabolic activity as compared to
the parent preptin-(1-16) peptide. Truncations were made in a methodical manner
from both the N-terminus and the C-terminus of the peptide, and the effect of
these deletions on the resulting biological activity was assessed. In order to
improve the enzymatic stability of the shortest yet active analogue identified,
ruthenium-catalysed ring closing metathesis was used to generate a macrocyclic
peptide using allylglycine residues as handles for ring formation. We have
successfully identified a short 8-amino acid preptin (1-8) fragment that retains
an anabolic effect on the proliferation of primary rat osteoblasts and enhances
bone nodule formation. Preptin (1-8) is a useful lead compound for the
development of orally active therapeutics for the treatment of osteoporosis.
PMID- 24932837
TI - Will management of appendicitis have the same fate as management of duodenal
ulcer?
PMID- 24932836
TI - Development of a simplified and convenient assay for cell-mediated immunity to
the mumps virus.
AB - Because methods for measuring cell-mediated immunity (CMI) to the mumps virus are
expensive, time-consuming, and technically demanding, the role of CMI in mumps
virus infection remains unclear. To address this issue, we report here the
development of a simplified method for measuring mumps virus-specific CMI that is
suitable for use in diverse laboratory and clinical settings. A mumps vaccine was
cultured with whole blood, and interferon (IFN)-gamma released into the culture
supernatant was measured using an enzyme-linked immunosorbent assay. IFN-gamma
production in blood from vaccinated subjects markedly increased in response to
the vaccine and decreased before the antibody titer decreased in some cases,
suggesting that this assay may be used as a simple surrogate method for measuring
CMI specific for the mumps virus.
PMID- 24932838
TI - Spectroscopy of homo- and heterodimers of silver and gold nanocubes as a function
of separation: a DDA simulation.
AB - The plasmonic fields of silver and gold nanocubes are known to be among the
strongest of any plasmonic metallic nanoparticles. Aggregation dominates their
use in imaging and sensing applications due to the resulting enhancement of the
plasmonic field in between the nanoparticles (hot spots). The first step in the
aggregation process is dimerization. In the present work, we used the discrete
dipole approximation (DDA) to calculate the interdimer separation dependence of
the absorption and scattering components of the localized surface plasmon
resonance (LSPR) extinction of homo and heterodimers of Ag and Au nanocubes when
excited parallel to their interparticle axis. We also examined the changes in the
nanocube surface plasmonic field distributions as the dimer separation was
varied. The results from the homodimers were as expected: as the cubes were
brought together, there was a red shift in the primary plasmon band in accordance
with the universal scaling law. Additionally, as the particles moved together,
scattering contributed more to the overall extinction. By examining the E-field
distributions, we found that the hot spot geometry changes abruptly at small
separations. At very short distances, the hot spot is located between the
adjacent faces and away from the corners of these faces. At larger separations it
moves toward the adjacent corners. We observed apparently anomalous behavior for
the heterodimer. First, the E-field resulting from excitation of the Ag dominated
plasmon resonance was significantly weaker than expected. Second, the red shift
of the gold dominated plasmon resonance did not follow the universal scaling law.
The most likely explanation for these observations is that the silver plasmon
mixes strongly with the energetically resonant, but nonplasmonic, gold interband
transition to form a hybrid resonance that produces weaker overall field
intensity on the two nanocubes at short separation.
PMID- 24932839
TI - Photochemical behavior of sethoxydim in the presence of vegetable oils.
AB - The photodecomposition of herbicides may be affected by adding vegetable oils to
the spray tank. In this study nine vegetable oils were compared to assess the
photodecomposition of sethoxydim under natural light conditions. The experiment
was conducted as completely randomized factorial design with three replicates at
the College of Agriculture, Ferdowsi University of Mashhad, Iran, in 2013. Each
herbicidal solution (with and without vegetable oil) was exposed to sunshine with
time intervals of 0, 5, 10, 20, 30, 60, 120, and 240 min. The results revealed
that the half-life value was increased by adding castor bean and cottonseed oils
to 1.39- and 1.18-fold, respectively, compared to nonvegetable oil. These values
for turnip, olive, corn, soybean, sunflower, canola, and sesame oils were
decreased down to 4.74-, 2.38-, 1.81-, 1.75-, 1.52-, 1.28-, and 1.11-fold,
respectively. A positive relationship existed between the half-life of sethoxydim
in the presence of vegetable oils and their viscosity. However, a negative
relationship was monitored between unsaturated/saturated fatty acids ratio and
the monounsaturated value with half-life. A positive relationship also existed
between saturated fatty acids, polyunsaturated fatty acids, palmitic acid, and
linoleic acid with half-life. This study revealed that the amount of fatty acids
in vegetable oils is a determining factor in preventing or facilitating the
photodecomposition of sethoxydim.
PMID- 24932841
TI - An anionic aluminabenzene bearing aromatic and ambiphilic contributions.
AB - The synthesis and structure of an anionic aluminabenzene, which is the first
example of an aluminum-containing heterobenzene, are reported. The molecular
structure of this aluminabenzene exhibits a planar six-membered ring, and the
absence of any bond alternation between its unsaturated bonds is consistent with
the structural criteria of aromaticity. Theoretical calculations and the NMR
spectroscopic analysis of this anionic aluminabenzene furthermore suggest that,
in addition to the aromatic conjugation of six pi-electrons, an ambiphilic
contribution from a Lewis acidic aluminum center and an anionic pentadienyl
moiety are present. Due to this contribution, the aluminabenzene is able to react
with Lewis bases such as 4-dimethylaminopyridine and electrophiles such as methyl
iodide.
PMID- 24932840
TI - The impact of insurance and socioeconomic status on outcomes for patients with
left ventricular assist devices.
AB - BACKGROUND: There has been a steady increase of patients living in the community
with Left Ventricular Assist Devices (LVADs). There is a significant gap in our
fund of knowledge with respect to the impact that insurance and socioeconomic
status has on outcomes for LVAD patients. We thus hypothesize that low
neighborhood socioeconomic status and receipt of Medicaid, respectively, lead to
earlier readmissions, earlier death, as well as longer time to transplantation
among LVAD patients. METHODS: This was a retrospective review of 101 patients
using existing data in the medical information warehouse database at The Ohio
State University Medical Center. Primary outcomes measured included time to first
event (first readmission or death), death, and time to rehospitalization. Our
secondary outcome of interest included time from LVAD implantation to cardiac
transplantation. RESULTS: Recipients of Medicaid did not have an increased risk
of adverse events compared with patients without Medicaid coverage. Low Median
Household Income (MHI) was associated with an increased risk of readmission (log
rank P = 0.0069) and time to first event (log-rank P = 0.0088). Bridge to
transplantation was the only independent predictor of time to death (Hazard Ratio
2.1, [95% confidence interval = 1.03-4.37]). Low MHI and a history of
atherosclerosis were both significant predictors for readmission and time to
first event. Aldosterone antagonist use decreased the risk of readmission or time
to first event by 46%. CONCLUSIONS: LVAD recipients with a low MHI were more
likely to be readmitted to the hospital after LVAD implantation. Whether these
patients are adequately monitored on an outpatient basis remains unclear.
PMID- 24932843
TI - Searching the expressive face: evidence for both the right hemisphere and valence
specific hypotheses.
AB - Quick and accurate judgments of emotional expressivity and attractiveness
facilitate social interactions. Eye tracking was used to examine left/right
asymmetries across 2 studies. Fixations to each hemiface, and to the eyes and
mouth, when judging attractiveness and emotional expressivity were examined.
Overall, more fixations occurred on the left hemiface (from the viewer's point of
view), even when mirror-reversed, supporting the suggestion that we intuitively
know the left hemiface is more expressive. The right side of the mouth was
fixated more when judging happiness, whereas the left eye was fixated more for
sadness and the left mouth when rating emotional expressivity. The present
findings support the notion that the right hemisphere and valence-specific
hypotheses are not mutually exclusive. The right hemisphere hypothesis is
supported when assessing global facial qualities (i.e., hemiface); however,
hemispheric processing differences emerge when exploring the eyes and mouth. The
current findings highlight the importance of not only considering how the face is
examined more generally, but of also exploring smaller regions of interest to
investigate lateral biases. Future research should therefore include analyses of
fixations to the hemifaces, as well as to these smaller regions of interest.
PMID- 24932842
TI - How arousal modulates the visual contrast sensitivity function.
AB - Recent evidence indicates that emotion enhances contrast thresholds in subsequent
visual perception (Phelps, Ling, & Carrasco, 2006) and perceptual sensitivity for
low-spatial frequency but not high-spatial frequency targets (Bocanegra &
Zeelenberg, 2009b). However, these studies just report responses to various
frequencies at a fixed contrast level or responses to various contrasts at a
fixed frequency. In the current study, we measured the full contrast sensitivity
function as a function of emotional arousal in order to investigate potential
interactions between spatial frequency and contrast. We used a Bayesian adaptive
inference with a trial-to-trial information gain strategy (Lesmes, Lu, Baek, &
Albright, 2010) and a fear-conditioned stimulus to manipulate arousal level. The
spatial frequency at which people showed peak contrast sensitivity shifted to
lower spatial frequencies in the arousing condition compared with the nonarousing
condition and people had greater contrast sensitivity function bandwidth in the
arousing than in the nonarousing condition.
PMID- 24932844
TI - Ultrasound-guided interventions in children.
AB - There are a variety of available imaging modalities used for minimally invasive
procedures in children, however, among the most frequently used is ultrasound
(US). The advantages of US are vast and include real-time visualization, lack of
ionizing radiation, and all-around versatility. US is also inexpensive, portable
and widely available. In general US guided procedures in children have
applications in nearly every aspect of medical therapy. Properly trained
practitioners with US imaging experience and detailed knowledge of the relevant
anatomy provide an invaluable service to the care of pediatric patients in many
centers. This paper will discuss many of the image guided procedures that are
performed in children and offer practical techniques from the collective
experience of our practice at a large pediatric tertiary care center.
PMID- 24932845
TI - Basics, principles, techniques and modern methods in paediatric ultrasonography.
AB - Ultrasonography (US) is the mainstay of paediatric Radiology. This review aims at
revisiting basic US principles, to list specific needs throughout childhood, and
to discuss the application of new and modern US methods. The various sections
elude to basic US physics, technical requisites and tips for handling,
diagnostically valuable applications of modern techniques, and how to properly
address hazards, risks and limitations. In conclusion, US holds vast potential
throughout childhood in almost all body regions and many childhood specific
queries - helping to reduce the need for or to optimize more invasive or
irradiating imaging. Make the most of US and offerings a dedicated paediatric US
service throughout the day, the week and the year thus is and will stay a major
task of Paediatric Radiology.
PMID- 24932846
TI - Low energy mammogram obtained in contrast-enhanced digital mammography (CEDM) is
comparable to routine full-field digital mammography (FFDM).
AB - PURPOSE: Contrast enhanced digital mammography (CEDM) uses low energy and high
energy exposures to produce a subtracted contrast image. It is currently
performed with a standard full-field digital mammogram (FFDM). The purpose is to
determine if the low energy image performed after intravenous iodine injection
can replace the standard FFDM. METHODS: And Materials: In an IRB approved HIPAA
compatible study, low-energy CEDM images of 170 breasts in 88 women (ages 26-75;
mean 50.3) undergoing evaluation for elevated risk or newly diagnosed breast
cancer were compared to standard digital mammograms performed within 6 months.
Technical parameters including posterior nipple line (PNL) distance, compression
thickness, and compression force on the MLO projection were compared.
Mammographic findings were compared qualitatively and quantitatively. Mixed
linear regression using generalized estimating equation (GEE) method was
performed. Intraclass correlation coefficients (ICC) with 95% confidence interval
(95%CI) were estimated to assess agreement. RESULTS: No statistical difference
was found in the technical parameters compression thickness, PNL distance,
compression force (p-values: 0.767, 0.947, 0.089). No difference was found in the
measured size of mammographic findings (p-values 0.982-0.988). Grouped
calcifications had a mean size/extent of 2.1cm (SD 0.6) in the low-energy
contrast images, and a mean size/extent of 2.2 cm (SD 0.6) in the standard
digital mammogram images. Masses had a mean size of 1.8 cm (SD 0.2) in both
groups. Calcifications were equally visible on both CEDM and FFDM. CONCLUSION:
Low energy CEDM images are equivalent to standard FFDM despite the presence of
intravenous iodinated contrast. Low energy CEDM images may be used for
interpretation in place of the FFDM, thereby reducing patient dose.
PMID- 24932847
TI - MRI evidence for preserved regulation of intracranial pressure in patients with
cerebral arteriovenous malformations.
AB - PURPOSE: The purpose of this study was to investigate intracranial pressure and
associated hemo- and hydrodynamic parameters in patients with cerebral
arteriovenous malformations AVMs. METHODS: Thirty consecutive patients with
arteriovenous malformations (median age 38.7 years, 27/30 previously treated with
radiosurgery) and 30 age- and gender-matched healthy controls were investigated
on a 3.0T MR scanner. Nidus volume was quantified on dynamic MR angiography.
Total arterial cerebral blood flow (tCBF), venous outflow as well as aqueductal
and craniospinal stroke volumes were obtained using velocity-encoded cine-phase
contrast MRI. Intracranial volume change during the cardiac cycle was calculated
and intracranial pressure (ICP) was derived from systolic intracranial volume
change (ICVC) and pulse pressure gradient. RESULTS: TCBF was significantly higher
in AVM patients as compared to healthy controls (median 799 vs. 692 mL/min,
p=0.007). There was a trend for venous flow to be increased in both the
ipsilateral internal jugular vein (IJV, 282 vs. 225 mL/min, p=0.16), and in the
contralateral IJV (322 vs. 285 mL/min, p=0.09), but not in secondary veins. There
was no significant difference in median ICP between AVM patients and control
subjects (6.9 vs. 8.6 mmHg, p=0.30) and ICP did not correlate with nidus volume
in AVM patients (rho=-0.06, p=0.74). There was a significant positive correlation
between tCBF and craniospinal CSF stroke volume (rho=0.69, p=0.02). CONCLUSIONS:
The elevated cerebral blood flow in patients with AVMs is drained through an
increased flow in IJVs but not secondary veins. ICP is maintained within ranges
of normal and does not correlate with nidus volume.
PMID- 24932848
TI - Intrinsic subtypes and tumor grades in breast cancer are associated with distinct
3-D power Doppler sonographic vascular features.
AB - PURPOSE: This study aimed to investigate the three-dimensional (3-D) power
Doppler ultrasonographic (PDUS) vascular features of breast carcinoma according
to intrinsic subtypes, nodal stage, and tumor grade. MATERIALS AND METHODS: Total
115 receiving mastectomy breast carcinomas (mean size, 2.5 cm; range, 0.7-6.5
cm), including 102 invasive ductal carcinomas (IDC), 10 ductal carcinomas in situ
(DCIS), and 3 invasive lobular carcinomas (ILC) diagnosed after mastectomy, were
used in this retrospective study. Sixty IDC had nodal status and histopathologic
tumor grades available for analysis. Vascular features, including number of
vascular trees (NV), longest path length (LPL), total vessel length (TVL), number
of bifurcations (NB), distance metric (DM), inflection count metric (ICM), vessel
diameter (VD), and vessel-to-volume ratio (VVR) were extracted using 3-D thinning
method. The Mann-Whitney U test, Student's t-test, one-way ANOVA, and Kruskal
Wallis test were performed as appropriate. RESULTS: There was no significant
difference of vascular features among IDC, DCIS and ILC. Except VD, vascular
features in luminal type were significantly lower compared to HER2-enriched or
triple negative types (p<0.05). Compared to ER+ (estrogen receptor positive)
tumors, all features in ER- (estrogen receptor negative) tumors were
significantly higher (p<0.01). Despite some significantly higher vascular
features in high grade IDC compared to low and intermediate grade, there was no
significant correlation between vascular features and nodal stages. CONCLUSION:
Differences in 3-D PDUS vascular features among intrinsic types of IDC are
attributed to their ER status. Vascular features extracted by 3-D PDUS correlate
with tumor grades but not nodal stage in IDC.
PMID- 24932849
TI - Real world biodiversity-ecosystem functioning: a seafloor perspective.
AB - The effective application of biodiversity-ecosystem function (BEF) research to
societal needs amid the Anthropocene represents the next grand challenge for
ecology. Biodiversity knowledge that is most meaningful to society must reconcile
insights derived from theory with detailed experiments and broad-scale trends.
This perspective requires science that addresses high species richness,
redundancy, and natural variability, which simplified 'model systems' cannot
mimic. Here, we illustrate solutions of biodiversity knowledge to management and
societal problems that combine BEF with scaling experiments, analysis of BEF
along environmental gradients, and mapping technologies. We primarily draw
examples from biophysical interactions in seafloor environments, which cover 70%
of the Earth and add significantly to global ecosystem functions and services.
PMID- 24932850
TI - Climate relicts and their associated communities as natural ecology and evolution
laboratories.
AB - Climate relicts, marginal populations that have become isolated via climate
driven range shifts, preserve ecological and evolutionary histories that can span
millennia. Studies point to climate relicts as 'natural laboratories' for
investigating how long-term environmental change impacts species and populations.
However, we propose that such research should be expanded to reveal how climate
change affects 'interacting' species in ways that reshape community composition
and evolution. Biotic interactions and their community and ecosystem effects are
often genetically based and driven by associations with foundation species. We
discuss evolution in climate relicts within the context of the emerging fields of
community and ecosystem genetics, exploring the idea that foundation relicts are
also natural community and ecosystem laboratories and windows to future
landscapes.
PMID- 24932851
TI - Scapholunate ligament reconstruction using a flexor carpi radialis tendon graft.
AB - PURPOSE: To review the results of scapholunate ligament reconstruction using a
flexor carpi radialis tendon graft. METHODS: We performed a retrospective review
of 22 patients with post-traumatic scapholunate instability who were treated with
a modification of the Brunelli, a flexor carpi radialis tendon graft. RESULTS:
The mean follow-up was 61 months. The average age was 40 years. The average loss
of flexion was 23 degrees and of extension was 22 degrees compared with the
contralateral side. Grip strength averaged 67% of the nonoperated side. All
patients except 2 returned to work. Degenerative changes were seen in 3 patients
at the time of revision. Complications occurred in 2 patients and included
avascular necrosis of the scaphoid. CONCLUSIONS: Perfect biomechanical
reconstruction might not be possible for scapholunate dissociation. Our results
show, however, that ligament reconstruction led to satisfactory results from the
patient's point of view. TYPE OF STUDY/LEVEL OF EVIDENCE: Therapeutic IV.
PMID- 24932852
TI - Cytomegalovirus-related hemorrhagic cystitis in an immunocompetent child.
AB - Cytomegalovirus (CMV) infections are mostly seen in immunocompromised patients.
However, unusual manifestations or complications of acquired CMV infections in
immunocompetent patients are rarely reported. CMV-related hemorrhagic cystitis is
extremely rare but should be considered even in immunocompetent patients. We
present a case of a 3-year-old immunocompetent boy with intermittent, terminal
gross hematuria lasting for 1 month. There was no history of genitourinary trauma
or stone disease. Urine analysis revealed hematuria with eumorphic red blood
cells and no proteinuria. Urine culture was negative. Ultrasonography showed
increased bladder wall thickness and irregularity at inferior of bladder.
Cystoscopy revealed hyperemia and edema. Histopathological examination was
consistent with CMV infection, viral DNA by polymerase chain reaction in
peripheral blood and urine were positive. Clinical, laboratory, and imaging
features pointed towards hemorrhagic cystitis due to CMV. He was followed-up with
no treatment. After 1 month, repeated investigations showed complete resolution
of finding. This is a rare description of an immunocompetent child with CMV
induced cystitis.
PMID- 24932853
TI - Reducing unnecessary preoperative blood orders and costs by implementing an
updated institution-specific maximum surgical blood order schedule and a remote
electronic blood release system.
AB - BACKGROUND: Using blood utilization data acquired from the anesthesia information
management system, an updated institution-specific maximum surgical blood order
schedule was introduced. The authors evaluated whether the maximum surgical blood
order schedule, along with a remote electronic blood release system, reduced
unnecessary preoperative blood orders and costs. METHODS: At a large academic
medical center, data for preoperative blood orders were analyzed for 63,916
surgical patients over a 34-month period. The new maximum surgical blood order
schedule and the electronic blood release system (Hemosafe; Haemonetics Corp.,
Braintree, MA) were introduced mid-way through this time period. The authors
assessed whether these interventions led to reductions in unnecessary
preoperative orders and associated costs. RESULTS: Among patients having surgical
procedures deemed not to require a type and screen or crossmatch (n = 33,216),
the percent of procedures with preoperative blood orders decreased by 38% (from
40.4% [7,167 of 17,740 patients] to 25.0% [3,869 of 15,476 patients], P < 0.001).
Among all hospitalized inpatients, the crossmatch-to-transfusion ratio decreased
by 27% (from 2.11 to 1.54; P < 0.001) over the same time period. The proportion
of patients who required emergency release uncrossmatched blood increased from
2.2 to 3.1 per 1,000 patients (P = 0.03); however, most of these patients were
having emergency surgery. Based on the realized reductions in blood orders,
annual costs were reduced by $137,223 ($6.08 per patient) for surgical patients,
and by $298,966 ($6.20/patient) for all hospitalized patients. CONCLUSION:
Implementing institution-specific, updated maximum surgical blood order schedule
directed preoperative blood ordering guidelines along with an electronic blood
release system results in a substantial reduction in unnecessary orders and
costs, with a clinically insignificant increase in requirement for emergency
release blood transfusions.
PMID- 24932854
TI - Feasibility and Safety of Intra-arterial Pericyte Progenitor Cell Delivery
Following Mannitol-Induced Transient Blood-Brain Barrier Opening in a Canine
Model.
AB - Stem cell therapy is currently being studied with a view to rescuing various
neurological diseases. Such studies require not only the discovery of potent
candidate cells but also the development of methods that allow optimal delivery
of those candidates to the brain tissues. Given that the blood-brain barrier
(BBB) precludes cells from entering the brain, the present study was designed to
test whether hyperosmolar mannitol securely opens the BBB and enhances intra
arterial cell delivery. A noninjured normal canine model in which the BBB was
presumed to be closed was used to evaluate the feasibility and safety of the
tested protocol. Autologous adipose tissue-derived pericytes with platelet
derived growth factor receptor beta positivity were utilized. Cells were
administered 5 min after mannitol pretreatment using one of following techniques:
(1) bolus injection of a concentrated suspension, (2) continuous infusion of a
diluted suspension, or (3) bolus injection of a concentrated suspension that had
been shaken by repeated syringe pumping. Animals administered a concentrated cell
suspension without mannitol pretreatment served as a control group. Vital signs,
blood parameters, neurologic status, and major artery patency were kept stable
throughout the experiment and the 1-month posttreatment period. Although ischemic
lesions were noted on magnetic resonance imaging in several mongrel dogs with
concentrated cell suspension, the injection technique using repeated syringe
shaking could avert this complication. The cells were detected in both
ipsilateral and contralateral cortices and were more frequent at the ipsilateral
and frontal locations, whereas very few cells were observed anywhere in the brain
when mannitol was not preinjected. These data suggest that intra-arterial cell
infusion with mannitol pretreatment is a feasible and safe therapeutic approach
in stable brain diseases such as chronic stroke.
PMID- 24932855
TI - Pneumocystis jiroveci pneumonia in patients receiving dasatinib treatment.
AB - Dasatinib may cause various adverse effects such as myelosuppression and pleural
effusion. It is well known that dasatinib may affect cellular immunity, which
leads to the subsequent risk of a myriad of infections and viral reactivations,
especially cytomegalovirus. Pneumocystis jiroveci pneumonia (PJP) is an
opportunistic infection that typically occurs in immunocompromised hosts.
Although pneumonia is not uncommon among dasatinib-treated patients, dasatinib
associated PJP has been reported only once in the literature, without a
description of the clinical details. We report herein two cases of PJP in
patients receiving treatment containing dasatinib. One patient developed PJP at 7
months following dasatinib in combination with chemotherapy for the treatment of
acute lymphoblastic leukemia. The other patient developed pleural effusion and
PJP at 2 years following dasatinib treatment for chronic myeloid leukemia. Both
patients recovered well after management with sulfamethoxazole/trimethoprim. Our
experience illustrates that PJP is a potentially important complication of
dasatinib-based treatment. Raising clinical awareness is important as prompt
diagnosis and timely management are the cornerstones of successful treatment.
PMID- 24932856
TI - Species identification of Mycobacterium abscessus subsp. abscessus and
Mycobacterium abscessus subsp. bolletii using rpoB and hsp65, and susceptibility
testing to eight antibiotics.
AB - OBJECTIVES: To separate Mycobacterium abscessus subsp. bolletii from
Mycobacterium abscessus subsp. abscessus using species identification, and to
investigate the in vitro activity of amikacin, cefoxitin, imipenem, levofloxacin,
moxifloxacin, clarithromycin, azithromycin, and linezolid against Mycobacterium
abscessus. METHODS: Seventy M. abscessus isolates, previously identified by 16S
rRNA sequencing, were further identified by comparative sequence analysis of rpoB
and hsp65. Drug susceptibility testing was conducted using the microplate Alamar
Blue assay in accordance with Clinical and Laboratory Standards Institute (CLSI)
guidelines and interpreted using CLSI breakpoints. RESULTS: Of the 70 strains, 45
(64%) were M. abscessus subsp. abscessus and 25 (36%) were M. abscessus subsp.
bolletii. The majority of M. abscessus isolates were susceptible to azithromycin,
amikacin, linezolid, and imipenem (M. abscessus subsp. abscessus: 93%, 98%, 93%,
and 73%, respectively; M. abscessus subsp. bolletii: 96%, 96%, 80%, and 68%,
respectively). Approximately half of the M. abscessus isolates were moderately
susceptible to cefoxitin and moxifloxacin (M. abscessus subsp. abscessus 53% and
49%; M. abscessus subsp. bolletii 72% and 68%). Nearly all the M. abscessus
isolates were resistant to levofloxacin (M. abscessus subsp. abscessus 96%, M.
abscessus subsp. bolletii 100%). Inducible clarithromycin resistance was found in
M. abscessus. After 14 days of incubation, 83% M. abscessus subsp. abscessus and
36% M. abscessus subsp. bolletii were resistant to clarithromycin. CONCLUSIONS:
Using rpoB and hsp65, M. abscessus subsp. bolletii could be distinguished from M.
abscessus subsp. abscessus. Amikacin and azithromycin showed excellent activity
against M. abscessus in vitro. Imipenem, linezolid, cefoxitin, and moxifloxacin
also showed good activity. Levofloxacin was inactive against M. abscessus.
Although clarithromycin showed excellent activity against M. abscessus on day 3,
inducible resistance occurred, and after 14 days clarithromycin showed little
activity against M. abscessus subsp. abscessus, but still had good activity
against M. abscessus subsp. bolletii.
PMID- 24932858
TI - Durability of the efficacy of integrated care in schizophrenia: a five-year
randomized controlled study.
AB - OBJECTIVE: The aim of the study was to evaluate the durability of efficacy of the
Integrated Care (IC) program in a Swedish context. The IC program is a person
centered flexible assertive community treatment approach delivered through a
novel mechanism: a resource group clinical microsystem for each patient. METHODS:
All patients with schizophrenia in a Gothenburg urban-sector catchment area were
randomly assigned to either the IC or the Rational Rehabilitation (RR) programs.
Sixty-six patients were interviewed and assessed by independent interviewers
before treatment, after treatment (24 months), and at follow-up (five years).
Analysis was by intention to treat. RESULTS: At the five-year follow-up,
significant improvements were noted in social functioning and consumer
satisfaction in the IC group (N=35) compared with the RR group (N=31). No
patients were lost to services in either program. CONCLUSIONS: The major finding
was the durability of efficacy of the IC program.
PMID- 24932860
TI - Rapid fibroblast activation in mammalian cells induced by silicon nanowire
arrays.
AB - Activated tumor-associated fibroblasts (TAFs) with abundant fibroblast activation
protein (FAP) expression attract tremendous attention in tumor progression
studies. In this work, we report a rapid 24 h FAP activation method for
fibroblasts using silicon nanowires (SiNWs) as culture substrates instead of
growth factors or chemokines. In contrast with cells cultured on flat silicon
which rarely express FAP, SiNW cultivated cells exhibit FAP levels similar to
those found in cancerous tissue. We demonstrated that activated cells grown on
SiNWs maintain their viability and proliferation in a time-dependent manner.
Moreover, environmental scanning electron microscopy (ESEM) and focused ion beam
and scanning electron microscopy (FIB-SEM) analysis clearly revealed that
activated cells on SiNWs adapt to the structure of their substrates by filling
inter-wire cavities via filopodia in contrast to cells cultured on flat silicon
which spread freely. We further illustrated that the expression of FAP was rarely
detected in activated cells after being re-cultured in Petri dishes, suggesting
that the unique structure of SiNWs may have a certain influence on FAP
activation.
PMID- 24932857
TI - Brain processing of emotional scenes in aging: effect of arousal and affective
context.
AB - Research on emotion showed an increase, with age, in prevalence of positive
information relative to negative ones. This effect is called positivity effect.
From the cerebral analysis of the Late Positive Potential (LPP), sensitive to
attention, our study investigated to which extent the arousal level of negative
scenes is differently processed between young and older adults and, to which
extent the arousal level of negative scenes, depending on its value, may
contextually modulate the cerebral processing of positive (and neutral) scenes
and favor the observation of a positivity effect with age. With this aim, two
negative scene groups characterized by two distinct arousal levels (high and low)
were displayed into two separate experimental blocks in which were included
positive and neutral pictures. The two blocks only differed by their negative
pictures across participants, as to create two negative global contexts for the
processing of the positive and neutral pictures. The results show that the
relative processing of different arousal levels of negative stimuli, reflected by
LPP, appears similar between the two age groups. However, a lower activity for
negative stimuli is observed with the older group for both tested arousal levels.
The processing of positive information seems to be preserved with age and is also
not contextually impacted by negative stimuli in both younger and older adults.
For neutral stimuli, a significantly reduced activity is observed for older
adults in the contextual block of low-arousal negative stimuli. Globally, our
study reveals that the positivity effect is mainly due to a modulation, with age,
in processing of negative stimuli, regardless of their arousal level. It also
suggests that processing of neutral stimuli may be modulated with age, depending
on negative context in which they are presented to. These age-related effects
could contribute to justify the differences in emotional preference with age.
PMID- 24932861
TI - Underpinning sustainable vector control through informed insecticide resistance
management.
AB - BACKGROUND: There has been rapid scale-up of malaria vector control in the last
ten years. Both of the primary control strategies, long-lasting pyrethroid
treated nets and indoor residual spraying, rely on the use of a limited number of
insecticides. Insecticide resistance, as measured by bioassay, has rapidly
increased in prevalence and has come to the forefront as an issue that needs to
be addressed to maintain the sustainability of malaria control and the drive to
elimination. Zambia's programme reported high levels of resistance to the
insecticides it used in 2010, and, as a result, increased its investment in
resistance monitoring to support informed resistance management decisions.
METHODOLOGY/PRINCIPAL FINDINGS: A country-wide survey on insecticide resistance
in Zambian malaria vectors was performed using WHO bioassays to detect resistant
phenotypes. Molecular techniques were used to detect target-site mutations and
microarray to detect metabolic resistance mechanisms. Anopheles gambiae s.s. was
resistant to pyrethroids, DDT and carbamates, with potential organophosphate
resistance in one population. The resistant phenotypes were conferred by both
target-site and metabolic mechanisms. Anopheles funestus s.s. was largely
resistant to pyrethroids and carbamates, with potential resistance to DDT in two
locations. The resistant phenotypes were conferred by elevated levels of
cytochrome p450s. CONCLUSIONS/SIGNIFICANCE: Currently, the Zambia National
Malaria Control Centre is using these results to inform their vector control
strategy. The methods employed here can serve as a template to all malaria
endemic countries striving to create a sustainable insecticide resistance
management plan.
PMID- 24932862
TI - Quantitative detection of 8-Oxo-7,8-dihydro-2'-deoxyguanosine using chemical
tagging and qPCR.
AB - 8-Oxo-7,8-dihydro-2'-deoxyguanosine (8-oxodGuo) is a commonly formed DNA lesion
that is useful as a biomarker for oxidative stress. Although methods for
selective quantification of 8-oxodGuo exist, there is room for additional methods
that are sensitive and utilize instrumentation that is widely available. We
previously took advantage of the reported reactivity of 8-oxodGuo to develop a
method for detecting the lesion by selectively covalently tagging it with a
molecule equipped with a biotin label that can be used subsequently with a
reporting method ( Xue , L. and Greenberg , M. M. ( 2007 ) J. Am. Chem. Soc. 129
, 7010 ). We now report a method that can detect as little as 14 amol of 8
oxodGuo by tagging DNA with a reagent containing a disulfide that reduces
background due to nonspecific binding. The reagent also contains biotin that
enables capturing target DNA on streptavidin-coated magnetic beads. The captured
DNA is quantified using quantitative PCR. The method is validated by comparing
the amount of 8-oxodGuo detected as a function of Fe(2+)/H2O2/ascorbate-dose to
that reported previously using mass spectrometry.
PMID- 24932863
TI - MRI shows limited mixing between systemic and pulmonary circulations in foetal
transposition of the great arteries: a potential cause of in utero pulmonary
vascular disease.
AB - OBJECTIVES: To investigate the relationship between foetal haemodynamics and
postnatal clinical presentation in patients with transposition of the great
arteries using phase-contrast cardiovascular magnetic resonance. BACKGROUND: A
severe and irreversible form of persistent pulmonary hypertension of the newborn
occurs in up to 5% of patients with transposition and remains an important cause
of morbidity and mortality in these infants. Restriction at the foramen ovale and
ductus arteriosus has been identified as a risk factor for the development of
pulmonary hypertension, and this can now be studied with magnetic resonance
imaging using a new technique called metric optimised gating. METHODS: Blood flow
was measured in the major vessels of four foetuses with transposition with intact
ventricular septum (gestational age range: 35-38 weeks) and compared with values
from 12 normal foetuses (median gestational age: 37 weeks; range: 34-40 weeks).
RESULTS: We found significantly reduced flows in the ductus arteriosus (p<0.01)
and foramen ovale (p=0.03) and increased combined ventricular output (p=0.01),
ascending aortic (p=0.001), descending aortic (p=0.03), umbilical vein (p=0.03),
and aorto-pulmonary collateral (p<0.001) flows in foetuses with transposition
compared with normals. The foetus with the lowest foramen ovale shunt and highest
aorto-pulmonary collateral flow developed fatal pulmonary vascular disease.
CONCLUSIONS: We found limited mixing between the systemic and pulmonary
circulations in a small group of late-gestation foetuses with transposition. We
propose that the resulting hypoxia of the pulmonary circulation could be the
driver behind increased aorto-pulmonary collateral flow and contribute to the
development of pulmonary vascular disease in some foetuses with transposition.
PMID- 24932864
TI - Stereo camera based virtual cane system with identifiable distance tactile
feedback for the blind.
AB - In this paper, we propose a new haptic-assisted virtual cane system operated by a
simple finger pointing gesture. The system is developed by two stages:
development of visual information delivery assistant (VIDA) with a stereo camera
and adding a tactile feedback interface with dual actuators for guidance and
distance feedbacks. In the first stage, user's pointing finger is automatically
detected using color and disparity data from stereo images and then a 3D pointing
direction of the finger is estimated with its geometric and textural features.
Finally, any object within the estimated pointing trajectory in 3D space is
detected and the distance is then estimated in real time. For the second stage,
identifiable tactile signals are designed through a series of identification
experiments, and an identifiable tactile feedback interface is developed and
integrated into the VIDA system. Our approach differs in that navigation guidance
is provided by a simple finger pointing gesture and tactile distance feedbacks
are perfectly identifiable to the blind.
PMID- 24932866
TI - Occupancy grid mapping in urban environments from a moving on-board stereo-vision
system.
AB - Occupancy grid map is a popular tool for representing the surrounding
environments of mobile robots/intelligent vehicles. Its applications can be dated
back to the 1980s, when researchers utilized sonar or LiDAR to illustrate
environments by occupancy grids. However, in the literature, research on vision
based occupancy grid mapping is scant. Furthermore, when moving in a real dynamic
world, traditional occupancy grid mapping is required not only with the ability
to detect occupied areas, but also with the capability to understand dynamic
environments. The paper addresses this issue by presenting a stereo-vision-based
framework to create a dynamic occupancy grid map, which is applied in an
intelligent vehicle driving in an urban scenario. Besides representing the
surroundings as occupancy grids, dynamic occupancy grid mapping could provide the
motion information of the grids. The proposed framework consists of two
components. The first is motion estimation for the moving vehicle itself and
independent moving objects. The second is dynamic occupancy grid mapping, which
is based on the estimated motion information and the dense disparity map. The
main benefit of the proposed framework is the ability of mapping occupied areas
and moving objects at the same time. This is very practical in real applications.
The proposed method is evaluated using real data acquired by our intelligent
vehicle platform "SeTCar" in urban environments.
PMID- 24932865
TI - Disposable screen printed electrochemical sensors: tools for environmental
monitoring.
AB - Screen printing technology is a widely used technique for the fabrication of
electrochemical sensors. This methodology is likely to underpin the progressive
drive towards miniaturized, sensitive and portable devices, and has already
established its route from "lab-to-market" for a plethora of sensors. The
application of these sensors for analysis of environmental samples has been the
major focus of research in this field. As a consequence, this work will focus on
recent important advances in the design and fabrication of disposable screen
printed sensors for the electrochemical detection of environmental contaminants.
Special emphasis is given on sensor fabrication methodology, operating details
and performance characteristics for environmental applications.
PMID- 24932867
TI - Suitability evaluation of multipoint simultaneous CO2 sampling wireless sensors
for livestock buildings.
AB - The environment in livestock buildings must be controlled to ensure the health
and welfare of both workers and animals, as well as to restrict the emission of
pollutants to the atmosphere. Among the pollutants generated inside these
premises, carbon dioxide (CO2) is of great interest in terms of animal welfare
and ventilation control. The use of inexpensive sensors means that complete
systems can be designed with a number of sensors located around the building.
This paper describes a study of the suitability of multipoint simultaneous CO2
sensors operating in a wireless sensor network, which was found to operate
satisfactorily under laboratory conditions and was found to be the best
alternative for these applications. The sensors showed a highly linear response
to CO2 concentrations, ranging from 500 to 5000 ppm. However, individual sensor
response was found to differ, which made it necessary to calibrate each one
separately. Sensor precision ranged between 80 and 110 ppm CO2, and sensor
response to register a 95% change in concentration was estimated at around 5 min.
These features mean this type of sensor network can be used to monitor animal
welfare and also for environmental control in poorly ventilated livestock
premises. According to the tests conducted in this study, a temporal drift may
occur and therefore a regular calibration of sensors would be needed.
PMID- 24932868
TI - Simulation and implementation of a morphology-tuned gold nano-islands integrated
plasmonic sensor.
AB - This work presents simulation, analysis and implementation of morphology tuning
of gold nano-island structures deposited by a novel convective assembly
technique. The gold nano-islands were simulated using 3D Finite-Difference Time
Domain (FDTD) techniques to investigate the effect of morphological changes and
adsorption of protein layers on the localized surface plasmon resonance (LSPR)
properties. Gold nano-island structures were deposited on glass substrates by a
novel and low-cost convective assembly process. The structure formed by an
uncontrolled deposition method resulted in a nano-cluster morphology, which was
annealed at various temperatures to tune the optical absorbance properties by
transforming the nano-clusters to a nano-island morphology by modifying the
structural shape and interparticle separation distances. The dependence of the
size and the interparticle separation distance of the nano-islands on the LSPR
properties were analyzed in the simulation. The effect of adsorption of protein
layer on the nano-island structures was simulated and a relation between the
thickness and the refractive index of the protein layer on the LSPR peak was
presented. Further, the sensitivity of the gold nano-island integrated sensor
against refractive index was computed and compared with the experimental results.
PMID- 24932869
TI - Improving short term instability for quantitative analyses with portable
electronic noses.
AB - One of the main problems when working with electronic noses is the lack of
reproducibility or repeatability of the sensor response, so that, if this problem
is not properly considered, electronic noses can be useless, especially for
quantitative analyses. On the other hand, irreproducibility is increased with
portable and low cost electronic noses where laboratory equipment like gas zero
generators cannot be used. In this work, we study the reproducibility of two
portable electronic noses, the PEN3 (commercial) and CAPINose (a proprietary
design) by using synthetic wine samples. We show that in both cases short term
instability associated to the sensors' response to the same sample and under the
same conditions represents a major problem and we propose an internal
normalization technique that, in both cases, reduces the variability of the
sensors' response. Finally, we show that the normalization proposed seems to be
more effective in the CAPINose case, reducing, for example, the variability
associated to the TGS2602 sensor from 12.19% to 2.2%.
PMID- 24932871
TI - Early maladaptive schema-related impairment and co-occurring current major
depressive episode-related enhancement of mental state decoding ability in
borderline personality disorder.
AB - Disturbed interpersonal relationships specific to borderline personality disorder
(BPD) suggest biased processing of social information. The goal of this study was
to examine alterations in mental state decoding (MSD) and their associations with
early maladaptive schemas (EMS) that may lead to the misinterpretation of
incoming information. In addition, the authors' aim was to evaluate the effects
of a co-occurring current major depressive episode (MDE) on the MSD performance
of BPD patients. Seventy-eight BPD patients (34 with MDE) and 76 matched healthy
controls (HC) were assessed for Reading the Mind in the Eyes Test (RMET) and the
level of EMS. The authors found that impairment in the total RMET performance, as
well as specific impairment regarding the recognition of positive and neutral
items, was associated with EMS, and enhanced vigilance to negative mental states
was characteristic to BPD with MDE. Results suggest that MSD ability is altered
in two independent ways in BPD.
PMID- 24932870
TI - Freshwater-borne bacteria isolated from a Malaysian rainforest waterfall
exhibiting quorum sensing properties.
AB - One obvious requirement for concerted action by a bacterial population is for an
individual to be aware of and respond to the other individuals of the same
species in order to form a response in unison. The term "quorum sensing" (QS) was
coined to describe bacterial communication that is able to stimulate expression
of a series of genes when the concentration of the signaling molecules has
reached a threshold level. Here we report the isolation from aquatic environment
of a bacterium that was later identified as Enterobacter sp.. Chromobacterium
violaceum CV026 and Escherichia coli [pSB401] were used for preliminary screening
of N-acyl homoserine lactone (AHL) production. The Enterobacter sp. isolated was
shown to produce two types of AHLs as confirmed by analysis using high resolution
tandem mass spectrometry. To the best of our knowledge, this is the first
documentation of an Enterobacter sp. that produced both 3-oxo-C6-HSL and 3-oxo-C8
HSL as QS signaling molecules.
PMID- 24932872
TI - Diagnostic value of the Dutch version of the McLean Screening Instrument for BPD
(MSI-BPD).
AB - Borderline personality disorder (BPD) often goes unrecognized, and therefore a
short but accurate screening tool is desired. The present study investigated the
psychometric properties of the 10-item McLean Screening Instrument for BPD (MSI
BPD) in 159 well-diagnosed female participants. The MSI-BPD showed excellent
internal consistency (alpha = .90). When compared to BPD diagnoses based on a
structured clinical interview (SCID-II), the MSI-BPD showed substantial congruent
validity (receiver operating characteristic area under the curve = 0.96). The
cutoff point proposed by the developers of the MSI-BPD (7 or more) showed high
specificity (.96) and good sensitivity (.71). The optimal cutoff point in the
present study (5 or more) showed somewhat lower specificity (.86), but
importantly better sensitivity (.94). Taken together, the Dutch version of the
MSI-BPD demonstrated good psychometric properties for a screening tool.
PMID- 24932873
TI - Association between childhood maltreatment and normal adult personality traits:
exploration of an understudied field.
AB - We assessed normal personality traits and childhood trauma in approximately 1170
subjects from a general population-based community sample. In bivariate analyses
emotional abuse was most pervasively related to personality, showing significant
detrimental associations with neuroticism, extraversion, openness,
conscientiousness, and agreeableness. Neuroticism was significantly related to
emotional abuse and neglect, physical abuse and neglect, and sexual abuse.
Emotional abuse was related to neuroticism in men more profoundly than in women
(beta = 0.095). Adjusting for the covariance between childhood maltreatment
variables, neuroticism was mainly related to emotional abuse (beta = 0.193),
extraversion to emotional neglect (beta = -0.259), openness to emotional abuse
(beta = 0.175), conscientiousness to emotional abuse (beta = -0.110), and
agreeableness to emotional neglect (beta = -0.153). The proportion of variance
explained was highest in neuroticism (5.6%) and lowest in openness (1.9%) and
conscientiousness (1.8%). These findings help to understand the complex
association between childhood maltreatment and both normal and pathological
personality.
PMID- 24932875
TI - Affective empathy differs in male violent offenders with high- and low-trait
psychopathy.
AB - This study investigated affective and cognitive empathic processes in
incarcerated violent offenders with lower and higher psychopathic traits and
healthy controls. Participants witnessed painful expressions of others displayed
on video clips. Skin conductance responses (SCR) were recorded to assess
autonomic emotional arousal, and various empathy ratings were used as measures of
self-reported vicarious responses. Reduced SCRs occurred during the observation
of pain in others in lower and higher psychopathic-trait participants alike,
compared to controls. Despite these diminished autonomic responses indicating
reduced vicarious responses, only inmates with higher psychopathic traits
provided empathy ratings comparable to those of the controls. These findings
indicate that violent offenders display reduced autonomic arousal in response to
distress cues of others, irrespective of psychopathy. However, only higher
psychopathic-trait offenders were able to provide self-report in a way that let
them appear to be as empathic as controls-enabling them to know, yet not to feel,
what others feel.
PMID- 24932874
TI - A triarchic model analysis of the youth psychopathic traits inventory.
AB - The Triarchic model of psychopathy characterizes this complex condition in terms
of distinct phenotypic constructs of boldness, meanness, and disinhibition. The
current study evaluated the coverage of these constructs provided by a well
established inventory for assessing psychopathy in adolescents, the Youth
Psychopathic Traits Inventory (YPI). A consensus rating approach was used to
identify YPI items relevant to each Triarchic model construct, and convergent and
discriminant validity of the resulting YPI-Triarchic scales were examined in
relation to criterion measures consisting of scores on other psychopathy measures
and relevant personality trait variables (N = 618, M age = 18.8). The YPI
Triarchic scales showed good internal consistency and exhibited properties
largely consistent with predictions based on the Triarchic model, aside from
somewhat greater than expected covariance between boldness and other facet
scales. Findings are discussed in terms of their implications for interpreting
scores on the YPI and for investigating distinctive components of psychopathy in
youth.
PMID- 24932876
TI - Severity of anxiety symptoms reported by borderline patients and Axis II
comparison subjects: description and prediction over 16 years of prospective
follow-up.
AB - The first purpose of this study was to determine the severity of anxiety symptoms
reported by borderline patients and Axis II comparison subjects over 16 years of
follow-up. The second purpose was to determine the most salient predictors of the
severity of anxiety symptoms of borderline patients. Initially, 290 borderline
inpatients and 72 comparison subjects were assessed using measures of anxiety,
childhood adversity, and normal personality. The severity of anxiety symptoms was
reassessed every 2 years. Borderline patients reported approximately twice as
severe symptoms of anxiety as comparison subjects. However, these symptoms
decreased significantly over time for those in both groups. Among borderline
patients, two variables were found to be significant multivariate predictors of
severity of overall anxiety: nonsexual childhood abuse and trait neuroticism. The
results of this study suggest that anxiety symptoms form a distinct profile for
borderline patients-a profile related to both childhood adversity and a
vulnerable temperament.
PMID- 24932877
TI - New pharmacological approaches for cystic fibrosis: promises, progress, pitfalls.
AB - With the discovery of the CFTR gene in 1989, the search for therapies to improve
the basic defects of cystic fibrosis (CF) commenced. Pharmacological manipulation
provides the opportunity to enhance CF transmembrane conductance regulator (CFTR)
protein synthesis and/or function. CFTR modulators include potentiators to
improve channel gating (class III mutations), correctors to improve abnormal CFTR
protein folding and trafficking (class II mutations) and stop codon mutation read
through drugs relevant for patients with premature stop codons (most class I
mutations). After several successful clinical trials the potentiator, ivacaftor,
is now licenced for use in adults and children (>six years), with CF bearing the
class III G551D mutation and FDA licence was recently expanded to include 8
additional class III mutations. Alternative approaches for class I and class II
mutations are currently being studied. Combination drug treatment with correctors
and potentiators appears to be required to restore CFTR function of F508del, the
most common CFTR mutation. Alternative therapies such as gene therapy and
pharmacological modulation of other ion channels may be advantageous because they
are mutation-class independent, however progress is less well advanced. Clinical
trials for CFTR modulators have been enthusiastically embraced by patients with
CF and health care providers. Whilst novel trial end-points are being evaluated
allowing CFTR modulators to be efficiently tested, many challenges related to the
complexity of CFTR and the biology of the epithelium still need to be overcome.
PMID- 24932878
TI - Formation of nanosized islands of dialkyl beta-ketoester bonds for efficient
hydrophobization of a cellulose film surface.
AB - The efficient hydrophobization mechanism of a hydrophilic cellulose film surface
with alkylketene dimer (AKD) was studied in terms of formation of beta-ketoester
bonds at AKD/cellulose interfaces and their nanosized distribution analysis. AKD
treated cellulose and nanocellulose films were sequentially extracted with
chloroform, hot water, and dioxane/water. Atomic force microscopy and high
resolution secondary-ion mass spectrometry were used to analyze the surface
structures of the AKD-treated cellulose films and those after the sequential
extraction. The results showed that the AKD molecules had melted and transformed
into spherical nanoparticles, ~37 nm in diameter, on the film surface during heat
treatment, forming "sea/island"-like structures; the film surface projection area
comprised 99% hydrophilic cellulose and 1% hydrophobic AKD nanoparticles.
Determination of the AKD contents in the films revealed that an extremely small
amount of AKD/cellulose beta-ketoester bonds were likely to form at the
AKD/cellulose interfaces during heating, clearly contributing to the hydrophobic
nature of the sequentially extracted cellulose films.
PMID- 24932880
TI - Association between sugar-sweetened and artificially sweetened soft drinks and
type 2 diabetes: systematic review and dose-response meta-analysis of prospective
studies.
AB - The intake of sugar-sweetened soft drinks has been reported to be associated with
an increased risk of type 2 diabetes, but it is unclear whether this is because
of the sugar content or related lifestyle factors, whether similar associations
hold for artificially sweetened soft drinks, and how these associations are
related to BMI. We aimed to conduct a systematic literature review and dose
response meta-analysis of evidence from prospective cohorts to explore these
issues. We searched multiple sources for prospective studies on sugar-sweetened
and artificially sweetened soft drinks in relation to the risk of type 2
diabetes. Data were extracted from eleven publications on nine cohorts.
Consumption values were converted to ml/d, permitting the exploration of linear
and non-linear dose-response trends. Summary relative risks (RR) were estimated
using a random-effects meta-analysis. The summary RR for sugar-sweetened and
artificially sweetened soft drinks were 1.20/330 ml per d (95 % CI 1.12, 1.29, P<
0.001) and 1.13/330 ml per d (95 % CI 1.02, 1.25, P= 0.02), respectively. The
association with sugar-sweetened soft drinks was slightly lower in studies
adjusting for BMI, consistent with BMI being involved in the causal pathway.
There was no evidence of effect modification, though both these comparisons
lacked power. Overall between-study heterogeneity was high. The included studies
were observational, so their results should be interpreted cautiously, but
findings indicate a positive association between sugar-sweetened soft drink
intake and type 2 diabetes risk, attenuated by adjustment for BMI. The trend was
less consistent for artificially sweetened soft drinks. This may indicate an
alternative explanation, such as lifestyle factors or reverse causality. Future
research should focus on the temporal nature of the association and whether BMI
modifies or mediates the association.
PMID- 24932881
TI - Cloning and characteristic analysis of a novel aspartic protease gene Asp55 from
Trichoderma asperellum ACCC30536.
AB - Proteases secreted by fungi belonging to the genus Trichoderma play important
roles in biocontrol. In this study, the coding sequence and promoter region of
the novel aspartic protease gene Asp55 were cloned from strain Trichoderma
asperellum ACCC30536. Many cis-elements involved in phytopathogenic and
environmental stress responses were identified in the Asp55 promoter region and
may be recognized by MYB or WRKY transcription factors. The expression pattern of
Asp55 under eight culture conditions was investigated by RT-qPCR. The expression
level of Asp55 was up-regulated by poplar stem powder, Alternaria alternata cell
wall fragments and A. alternata fermentation liquid, while it was down-regulated
by carbon and nitrogen source starvation, and by powdered poplar leaves and
roots. Additionally, the expression patterns of 15 genes encoding MYB
transcription factors (Myb1 to Myb15) were also analyzed by RT-qPCR. Myb2 showed
the most similar expression pattern with Asp55. The cDNA of Asp55 was expressed
in Escherichia coli BL21, and recombinant ASP55 (rASP55) was purified. The
purified rASP55 was evaluated for enzymatic activity and showed inhibitory effect
on phytopathogenic A. alternata.
PMID- 24932882
TI - Diversity and distribution of planktonic anaerobic ammonium-oxidizing bacteria in
the Dongjiang River, China.
AB - Anaerobic ammonium-oxidizing (anammox) process has recently been recognized as an
important pathway for removing fixed nitrogen (N) from aquatic ecosystems.
Anammox organisms are widely distributed in freshwater environments. However,
little is known about their presence in the water column of riverine ecosystems.
Here, the existence of a diverse anammox community was revealed in the water
column of the Dongjiang River by analyzing 16S rRNA and hydrazine oxidation (hzo)
genes of anammox bacteria. Phylogenetic analyses of hzo genes showed that
Candidatus Jettenia related clades of anammox bacteria were dominant in the
river, suggesting the ecological microniche distinction from freshwater/estuary
and marine anammox bacteria with Ca. Brocadia and Kuenenia genera mainly detected
in freshwater/estuary ecosystems, and Ca. Scalindua genus mainly detected in
marine ecosystems. The abundance and diversity of anammox bacteria along the
river were both significantly correlated with concentrations of NH4(+)-N based on
Pearson and partial correlation analyses. Redundancy analyses showed the contents
of NH4(+)-N, NO3(-)-N and the ratio of NH4(+)-N to NO2(-)-N significantly
influenced the spatial distributions of anammox bacteria in the water column of
the Dongjiang River. These results expanded our understanding of the distribution
and potential roles of anammox bacteria in the water column of the river
ecosystem.
PMID- 24932883
TI - Improved ethanol tolerance of Saccharomyces cerevisiae in mixed cultures with
Kluyveromyces lactis on high-sugar fermentation.
AB - The influence of non-Saccharomyces yeast, Kluyveromyces lactis, on metabolite
formation and the ethanol tolerance of Saccharomyces cerevisiae in mixed cultures
was examined on synthetic minimal medium containing 20% glucose. In the late
stage of fermentation after the complete death of K. lactis, S. cerevisiae in
mixed cultures was more ethanol-tolerant than that in pure culture. The
chronological life span of S. cerevisiae was shorter in pure culture than mixed
cultures. The yeast cells of the late stationary phase both in pure and mixed
cultures had a low buoyant density with no significant difference in the non
quiescence state between both cultures. In mixed cultures, the glycerol contents
increased and the alanine contents decreased when compared with the pure culture
of S. cerevisiae. The distinctive intracellular amino acid pool concerning its
amino acid concentrations and its amino acid composition was observed in yeast
cells with different ethanol tolerance in the death phase. Co-cultivation of K.
lactis seems to prompt S. cerevisiae to be ethanol tolerant by forming opportune
metabolites such as glycerol and alanine and/or changing the intracellular amino
acid pool.
PMID- 24932885
TI - The role of vascular endothelial growth factor in pulmonary arterial
hypertension. The angiogenesis paradox.
AB - Pulmonary arterial hypertension (PAH) is characterized by dysfunctional
angiogenesis leading to lung vessel obliteration. PAH is widely considered a
proangiogenic disease; however, the role of angiogenic factors, such as the
vascular endothelial growth factor (VEGF) and its receptors, in the pathobiology
of PAH remains incompletely understood. This Review attempts to untangle some of
the complex multilayered actions of VEGF to provide a VEGF-centered perspective
of PAH. Furthermore, we provide a cogent explanation for the paradox of VEGF
receptor blockade-induced pulmonary hypertension that characterizes the SU5416
hypoxia rat model of PAH, and attempt to translate the knowledge gained from the
experimental model to the human disease by postulating the potential role of
endogenous (SU5416-like) VEGF inhibitors. The main objective of this Review is to
promote discussion and investigation of the opposing and complementary actions of
VEGF in PAH. Understanding the balance between angiogenic and antiangiogenic
factors and their role in the pathogenesis of PAH will be necessary before
antiangiogenic drugs can be considered for the treatment of PAH.
PMID- 24932884
TI - Impact of gene molecular evolution on phylogenetic reconstruction: a case study
in the rosids (Superorder Rosanae, Angiosperms).
AB - Rate of substitution of genomic regions is among the most debated intrinsic
features that impact phylogenetic informativeness. However, this variable is also
coupled with rates of nonsynonymous substitutions that underscore the nature and
degree of selection on the selected genes. To empirically address these
variables, we constructed four completely overlapping data sets of plastid matK,
atpB, rbcL, and mitochondrial matR genes and used the rosid lineage (angiosperms)
as a working platform. The genes differ in combinations of overall rates of
nucleotide and amino acid substitutions. Tree robustness, homoplasy, accuracy in
contrast to a reference tree, and phylogenetic informativeness are evaluated. The
rapidly evolving/unconstrained matK faired best, whereas remaining genes varied
in degrees of contribution to rosid phylogenetics across the lineage's 108
million years evolutionary history. Phylogenetic accuracy was low with the slowly
evolving/unconstrained matR despite least amount of homoplasy. Third codon
positions contributed the highest amount of parsimony informative sites,
resolution and informativeness, but magnitude varied with gene mode of evolution.
These findings are in clear contrast with the views that rapidly evolving regions
and the 3rd codon position have inevitable negative impact on phylogenetic
reconstruction at deep historic level due to accumulation of multiple hits and
subsequent elevation in homoplasy and saturation. Relaxed evolutionary constraint
in rapidly evolving genes distributes substitutions across codon positions, an
evolutionary mode expected to reduce the frequency of multiple hits. These
findings should be tested at deeper evolutionary histories.
PMID- 24932886
TI - Pharmacological and behavioral management of some often-overlooked clozapine
induced side effects.
AB - This article reviews four of the milder but still bothersome side effects of
clozapine that are fairly frequent and may have a negative impact on patients'
compliance with the treatment regime. We reviewed the available literature on the
rate and management of four non-life-threatening side effects of clozapine,
including hypersalivation, constipation, tachycardia, and nocturnal enuresis. We
found a variety of pharmacological and behavioral strategies to manage these four
side effects. There is, however, no consensus on a preferred strategy to control
these distressing side effects and there are no guidelines. Psychiatrists should
be aware of the relatively high rate of hypersalivation, constipation,
tachycardia, and nocturnal enuresis in clozapine-treated patients, of the impact
that these side effects may have on patients' quality of life, and should be able
to suggest management strategies to the patients.
PMID- 24932888
TI - Epidemiology of granulomatosis with polyangiitis (Wegener's granulomatosis) in
Northern Italy: a 15-year population-based study.
AB - OBJECTIVE: To investigate the epidemiology of granulomatosis with polyangiitis
(GPA) over a 15-year period in a defined area of northern Italy. METHODS: All
patients with incident GPA diagnosed from January 1, 1995 to December 31, 2009
living in the Reggio Emilia area were identified by looking at computerized
hospital discharge diagnoses, by contacting Reggio Emilia Hospital physicians and
community-based specialists, and by checking the databases of the pathology and
the laboratory departments and the Reggio Emilia district database for rare
diseases. Patients were classified according to the European Medicines Agency
(EMA) algorithm. Patients were followed up from the time of diagnosis until
either their death or December 31, 2011. For each case, we identified 20 control
subjects from the same geographic area matched for age and gender. RESULTS: A
total of 18 patients (7 men and 11 women) with GPA were identified. The overall
age- and sex-adjusted incidence rate (IR) was 2.4 per million (95% CI: 1.2-3.5).
The mean annual IR increased from 1.7/million/year during 1995-1999 to 3.4 during
2005-2009. The highest IR occurred in females aged 70-79 years (13.5 per million;
95% CI: 5.0-30.0) and in males aged >= 80 years (14.9 per million; 95% CI: 2.5
49.4). The prevalence of GPA on December 31, 2009 was 34.3 per million (95% CI:
20.3-54.2). The point prevalence per million increased from 17.8 (95% CI: 7.7
35.1) in 1999 to 34.3 (95% CI: 20.3-54.2) in 2009. Survival among individuals
with GPA was significantly reduced compared to that observed in the matched
control population (p < 0.001). CONCLUSION: In the Italian population, GPA is
very uncommon and GPA patients have reduced survival.
PMID- 24932889
TI - Psoriatic arthritis and nail changes: exploring the relationship.
AB - OBJECTIVE: Psoriatic arthritis (PsA) has a diverse range of clinical
manifestations, both articular and extra-articular. Although the association of
PsA with skin changes is well established, the relationship of PsA with psoriatic
nail changes remains relatively unexplored. METHODS: This report reviews the
current literature surrounding the association of PsA with nail changes. A review
of the literature was completed using PubMed, MEDLINE, and EMBASE in September
2013, encompassing years 1964-2012. RESULTS: A total of 21 articles were
reviewed. On average, 66% [standard deviation (SD) 17.7] of PsA patients had nail
changes. The type of nail changes and their associations varied widely between
studies. CONCLUSIONS: Studies of nail changes in PsA are highly variable with a
wide range of results. Given the variability of results that were observed in
this review, our recommendations are that further large studies on nail changes
in patients with PsA should be conducted.
PMID- 24932890
TI - Synthesis and optical properties of dioxolane-functionalized hexacenes and
heptacenes.
AB - The synthesis of dioxolane-functionalized hexacenes and heptacenes is reported.
While heptacenes were too reactive to be successfully isolated, hexacenes showed
higher stability and characteristic long-wavelength fluorescence both in solution
and in the solid state as crystalline powders.
PMID- 24932887
TI - Multivalent antiviral XTEN-peptide conjugates with long in vivo half-life and
enhanced solubility.
AB - XTENs are unstructured, nonrepetitive protein polymers designed to prolong the in
vivo half-life of pharmaceuticals by introducing a bulking effect similar to that
of poly(ethylene glycol). While XTEN can be expressed as a recombinant fusion
protein with bioactive proteins and peptides, therapeutic molecules of interest
can also be chemically conjugated to XTEN. Such an approach permits precise
control over the positioning, spacing, and valency of bioactive moieties along
the length of XTEN. We have demonstrated the attachment of T-20, an anti
retroviral peptide indicated for the treatment of HIV-1 patients with multidrug
resistance, to XTEN. By reacting maleimide-functionalized T-20 with cysteine
containing XTENs and varying the number and positioning of cysteines in the
XTENs, a library of different peptide-polymer combinations were produced. The T
20-XTEN conjugates were tested using an in vitro antiviral assay and were found
to be effective in inhibiting HIV-1 entry and preventing cell death, with the
copy number and spacing of the T-20 peptides influencing antiviral activity. The
peptide-XTEN conjugates were also discovered to have enhanced solubilities in
comparison with the native T-20 peptide. The pharmacokinetic profile of the most
active T-20-XTEN conjugate was measured in rats, and it was found to exhibit an
elimination half-life of 55.7 +/- 17.7 h, almost 20 times longer than the
reported half-life for T-20 dosed in rats. As the conjugation of T-20 to XTEN
greatly improved the in vivo half-life and solubility of the peptide, the XTEN
platform has been demonstrated to be a versatile tool for improving the
properties of drugs and enabling the development of a class of next-generation
therapeutics.
PMID- 24932891
TI - The 3-dimensional, 4-channel model of human visual sensitivity to grayscale
scrambles.
AB - Previous research supports the claim that human vision has three dimensions of
sensitivity to grayscale scrambles (textures composed of randomly scrambled
mixtures of different grayscales). However, the preattentive mechanisms (called
here "field-capture channels") that confer this sensitivity remain obscure. The
current experiments sought to characterize the specific field-capture channels
that confer this sensitivity using a task in which the participant is required to
detect the location of a small patch of one type of grayscale scramble in an
extended background of another type. Analysis of the results supports the
existence of four field-capture channels: (1) the (previously characterized)
"blackshot" channel, sharply tuned to the blackest grayscales; (2) a (previously
unknown) "gray-tuned" field-capture channel whose sensitivity is zero for black
rising sharply to maximum sensitivity for grayscales slightly darker than mid
gray then decreasing to half-height for brighter grayscales; (3) an "up-ramped"
channel whose sensitivity is zero for black, increases linearly with increasing
grayscale reaching a maximum near white; (4) a (complementary) "down-ramped"
channel whose sensitivity is maximal for black, decreases linearly reaching a
minimum near white. The sensitivity functions of field-capture channels (3) and
(4) are linearly dependent; thus, these four field-capture channels collectively
confer sensitivity to a 3-dimensional space of histogram variations.
PMID- 24932892
TI - Mobile phone reminders for paediatric HIV follow-up care.
PMID- 24932893
TI - Effect of mobile phone reminders on follow-up medical care of children exposed to
or infected with HIV in Cameroon (MORE CARE): a multicentre, single-blind,
factorial, randomised controlled trial.
AB - BACKGROUND: Missed scheduled HIV appointments lead to increased mortality,
resistance to antiretroviral therapy, and suboptimum virological response. We
aimed to assess whether reminders sent to carers by text message, mobile phone
call, or concomitant text message and mobile phone call increase attendance at
medical appointments for HIV care in a population of children infected with or
exposed to HIV in Cameroon. We also aimed to ascertain the most cost-effective
method of mobile-phone-based reminder. METHODS: MORE CARE was a multicentre,
single-blind, factorial, randomised controlled trial in urban, semi-urban, and
rural settings in Cameroon. Carers of children who were infected with or had been
exposed to HIV were randomly assigned electronically in blocks of four and
allocated (1:1:1:1) sequentially to receive a text message and a call, a text
message only, a call only, or no reminder (control). Investigators were masked to
group assignment. Text messages were sent and calls made 2 or 3 days before a
scheduled follow-up appointment. The primary outcomes were efficacy (the
proportion of patients attending a previously scheduled appointment) and
efficiency (attendance/[measures of staff working time * cost of the reminders]),
as a measure of cost-effectiveness. The primary analysis was by intention to
treat. This study is registered with the Pan African Clinical Trials Register,
number PACTR201304000528276. FINDINGS: The study took place between Jan 28 and
May 24, 2013. We randomly assigned 242 adult-child (carer-patient) pairs into
four groups: text message plus call (n=61), call (n=60), text message (n=60), and
control (n=61). 54 participants (89%) in the text message plus call group, 51
(85%) in the call group, 45 (75%) in the text message group, and 31 (51%) in the
control group attended their scheduled appointment. Compared with control, the
odds ratios for improvement in the primary efficacy outcome were 7.5 (95% CI 2.9
19.0; p<0.0001) for text message plus call, 5.5 (2.3-13.1; p=0.0002) for call,
and 2.9 (1.3-6.3; p=0.012) for text message. No significant differences were seen
in comparisons of the three intervention groups with each other, and there was no
synergism between text messages and calls. For the primary efficiency outcome,
the mean difference for text message versus text message plus call was 1.5 (95%
CI 0.7 to 2.4; p=0.002), for call versus text message plus call was 1.2 (0.7 to
1.6; p<0.0001), and for call versus text message was 0.4 (-1.3 to 0.6; p=0.47).
INTERPRETATION: Mobile-phone-based reminders of scheduled HIV appointments for
carers of paediatric patients in low-resource settings can increase attendance.
The most effective method of reminder was text message plus phone call, but text
messaging alone was the most efficient (ie, cost-effective) method. FUNDING: No
external funding.
PMID- 24932894
TI - Comparison of neurodegeneration and cognitive impairment in neonatal mice exposed
to propofol or isoflurane.
AB - BACKGROUND: While previous studies have demonstrated neuronal apoptosis and
associated cognitive impairment after isoflurane or propofol exposure in neonatal
rodents, the effects of these two anesthetics have not been directly compared.
Here, we compare and contrast the effectiveness of isoflurane and propofol to
cause neurodegeneration in the developing brain and associated cognitive
dysfunction. METHODS: Seven-day-old mice were used. Mice in the isoflurane
treatment group received 6 h of 1.5% isoflurane, while mice in propofol treatment
group received one peritoneal injection (150 mg/kg), which produced persistent
anesthesia with loss of righting for at least 6 h. Mice in control groups
received carrying gas or a peritoneal injection of vehicle (intralipid). At 6 h
after anesthetic treatment, a subset of each group was sacrificed and examined
for evidence of neurodegeneration, using plasma levels of S100beta, and apoptosis
using caspase-3 immunohistochemistry in the cerebral cortex and hippocampus and
Western blot assays of the cortex. In addition, biomarkers for inflammation
(interleukin-1, interleukin-6, and tumor necrosis factor alpha) were examined
with Western blot analyses of the cortex. In another subset of mice, learning and
memory were assessed 32 days after the anesthetic exposures using the Morris
water maze. RESULTS: Isoflurane significantly increased plasma S100beta levels
compared to controls and propofol. Both isoflurane and propofol significantly
increased caspase-3 levels in the cortex and hippocampus, though isoflurane was
significantly more potent than propofol. However, there were no significant
differences in the inflammatory biomarkers in the cortex or in subsequent
learning and memory between the experimental groups. CONCLUSION: Both isoflurane
and propofol caused significant apoptosis in the mouse developing brain, with
isoflurane being more potent. Isoflurane significantly increased levels of the
plasma neurodegenerative biomarker, S100beta. However, these neurodegenerative
effects of isoflurane and propofol in the developing brain were not associated
with effects on inflammation or with cognitive dysfunction in later life.
PMID- 24932896
TI - Ecological momentary assessment of acute alcohol use disorder symptoms:
associations with mood, motives, and use on planned drinking days.
AB - Several theories posit that alcohol is consumed both in relation to one's mood
and in relation to different motives for drinking. However, there are mixed
findings regarding the role of mood and motives in predicting drinking.
Ecological momentary assessment (EMA) methods provide an opportunity to evaluate
near real-time changes in mood and motives within individuals to predict alcohol
use. In addition, endorsement of criteria of an alcohol use disorder (AUD) may
also be sensitive to changes within subjects. The current study used EMA with 74
moderate drinkers who responded to fixed and random mood, motive, alcohol use,
and AUD criteria prompts over a 21-day assessment period. A temporal pattern of
daytime mood, evening drinking motivation, and nighttime alcohol use and acute
AUD symptoms on planned drinking days was modeled to examine how these
associations unfold throughout the day. The results suggest considerable
heterogeneity in drinking motivation across drinking days. Additionally, an
affect regulation model of drinking to cope with negative mood was observed.
Specifically, on planned drinking days, the temporal association between daytime
negative mood and the experience of acute AUD symptoms was mediated via coping
motives and alcohol use. The current study found that motives are dynamic, and
that changes in motives may predict differential drinking patterns across days.
Further, the study provides evidence that emotion-regulation-driven alcohol
involvement may need to be examined at the event level to fully capture the ebb
and flow of negative affect motivated drinking.
PMID- 24932897
TI - Male brush-turkeys attempt sexual coercion in unusual circumstances.
AB - Sexual coercion by males is generally understood to have three forms: forced
copulation, harassment and intimidation. We studied Australian brush-turkeys,
Alectura lathami, to determine whether some male behaviours towards females at
incubation mounds could be classified as aggressive, whether males were
attempting sexual coercion and, if so, whether the coercion was successful. We
found that some male behaviours towards females were significantly more likely to
be followed by the cessation of female mound activity, and hence could be
classified as aggressive, while others were significantly more likely to be
followed by the commencement of female mound activity, and hence could be
classified as enticing. Copulation was preceded by higher rates of male
enticement and by higher rates of certain types of male aggression. It therefore
seemed that males were attempting sexual coercion. There was little evidence,
however, that this combination of coercion and enticement was successful in
obtaining copulations. While forced copulation did occur, it was infrequent, and
no evidence could be found for intimidation. We conclude that harassment is the
primary form of sexual coercion by male brush-turkeys. Although sexual coercion
is understood to be a sub-optimal tactic, brush-turkey sexual coercion was
employed as a primary tactic by dominant males who owned incubation mounds. One
possible explanation for this apparent paradox is that aggression is the default
solution for social conflicts in this species, and hence can be interpreted as a
behavioural syndrome.
PMID- 24932898
TI - On evolutionary causes and evolutionary processes.
AB - In this essay I consider how biologists understand 'causation' and 'evolutionary
process', drawing attention to some idiosyncrasies in the use of these terms. I
suggest that research within the evolutionary sciences has been channeled in
certain directions and not others by scientific conventions, many of which have
now become counterproductive. These include the views (i) that evolutionary
processes are restricted to those phenomena that directly change gene
frequencies, (ii) that understanding the causes of both ecological change and
ontogeny is beyond the remit of evolutionary biology, and (iii) that biological
causation can be understood by a dichotomous proximate-ultimate distinction, with
developmental processes perceived as solely relevant to proximate causation. I
argue that the notion of evolutionary process needs to be broadened to
accommodate phenomena such as developmental bias and niche construction that bias
the course of evolution, but do not directly change gene frequencies, and that
causation in biological systems is fundamentally reciprocal in nature. This
article is part of a Special Issue entitled: In Honor of Jerry Hogan.
PMID- 24932895
TI - Cognitive deficits specific to depression-prone smokers during abstinence.
AB - Cigarette smoking is associated with a higher prevalence of depressive symptoms
and individuals with elevated symptoms of depression have more difficulty
quitting smoking. Depression is accompanied by cognitive deficits similar to
those observed during nicotine withdrawal. Depressed smokers may smoke to
alleviate these cognitive symptoms, which are exacerbated upon smoking
abstinence. We hypothesized that following overnight abstinence, depression-prone
smokers (DP+; past history and current depression symptoms; n = 34) would exhibit
deficits in short-term and working memory, and experience greater attentional
bias for affective stimuli, compared with smokers with no history or current
symptoms of depression (DP-; n = 34). All participants underwent two laboratory
sessions, once while smoking abstinent and once while smoking ad libitum (order
counterbalanced, abstinence biochemically verified). Smokers completed measures
of short-term memory (STM; word recognition task), working memory (N-back task),
and attentional bias (Emotional Stroop task). The DP+ group showed declines in
STM during abstinence compared with smoking, whereas the DP- group did not
(interaction p = .02). There were small decrements in working memory accuracy
during abstinence (p = .05), but this did not interact with depression status.
During the Emotional Stroop task, the DP+ group showed an attentional bias toward
positive versus neutral stimuli during abstinence compared with smoking
(interaction p = .01). This study provides initial evidence that depressive
symptoms may moderate abstinence-induced deficits in STM and shift attentional
bias toward emotionally salient stimuli during abstinence. These cognitive
changes may prompt relapse and may help identify novel targets for nicotine
dependence treatment aimed at attenuating these deficits to improve cessation
rates.
PMID- 24932900
TI - A comparison of parotid imaging characteristics and sialendoscopic findings in
obstructive salivary disorders.
AB - OBJECTIVES/HYPOTHESIS: To examine how preoperative imaging characteristics
correlate with sialendoscopic findings and operative outcomes in patients with
obstructive parotid symptoms. STUDY DESIGN: Retrospective review. METHODS: We
identified 112 consecutive patients who underwent 134 unilateral or bilateral
parotid gland sialendoscopies between December 2005 and August 2013. We reviewed
clinical history, radiographic imaging and reports, operative reports, and
clinical outcomes. Available preoperative computed tomography (CT) or magnetic
resonance imaging (MRI) were analyzed for parotid stone size and location
relative to the masseter muscle. RESULTS: For patients with parotid stone on
preoperative imaging, at least one stone was found on sialendoscopy in 63% of
cases. In contrast, for all cases with preoperative imaging negative for stone,
no stone was found on sialendoscopy suggesting that parotid stones are not
radiolucent on CT imaging. Furthermore, stones located anterior to the masseter
were more successfully visualized on sialendoscopy compared to stones located
posterior to the masseter. Anteriorly located stones were also more accessible
for endoscopic management, whereas posterior stones required combined approaches
for management. CONCLUSIONS: Preoperative imaging characteristics such as stone
presence, size, and location provide essential information that can guide
surgical planning and clinical outcome expectations for obstructive parotid
disease management. All parotid CT scans that failed to demonstrate stones on
imaging had no stone found on sialendoscopy. Stones posterior to the masseter
muscle are the most difficult to access with sialendoscopy, and in these cases,
patients should be counseled about the potential need for a transfacial approach
to remove symptomatic stones. LEVEL OF EVIDENCE: 2b.
PMID- 24932899
TI - Adaptation of the lateral distal femur DXA scan technique to adults with
disabilities.
AB - The technique that best addresses the challenges of assessing bone mineral
density in children with neuromuscular impairments is a dual-energy X-ray
absorptiometry (DXA) scan of the lateral distal femur. The purpose of this study
was to adapt this technique to adults with neuromuscular impairments and to
assess the reproducibility of these measurements. Thirty-one adults with cerebral
palsy had both distal femurs scanned twice, with the subject removed and then
repositioned between each scan (62 distal femurs, 124 scans). Each scan was
independently analyzed twice by 3 different technologists of varying experience
with DXA (744 analyses). Precision of duplicate analyses of the same scan was
good (range: 0.4%-2.3%) and depended on both the specific region of interest and
the experience of the technologist. Precision was reduced when comparing
duplicate scans, ranging from 7% in the metaphyseal (cancellous) region to 2.5%
in the diaphyseal (cortical) region. The least significant change was determined
as recommended by the International Society for Clinical Densitometry for each
technologist and each region of interest. Obtaining reliable, reproducible, and
clinically relevant assessments of bone mineral density in adults with
neuromuscular impairments can be challenging. The technique of obtaining DXA
scans of the lateral distal femur can be successfully applied to this population
but requires a commitment to developing the necessary expertise.
PMID- 24932901
TI - Etiopathogenesis of equinovarus foot malformations.
AB - Congenital talipes equinovarus (CTEV) is the most common musculoskeletal birth
defect affecting approximately 1/700-1/1000 of liveborns. Even though extensive
epidemiological and genetic studies have been carried out to address its causes,
the precise mechanisms leading to this common birth defect remain elusive. CTEV
is a multifactorial disorder, hence the combination of genetic and environmental
factors are known contributors to this developmental abnormality. So far a
handful of genes involved in limb patterning like PITX1, HOXA, HOXD, TBX4, and
RBM10, as well as genes involved in muscle contraction, have been identified as
possible players. Among many environmental factors investigated, maternal smoking
seems to hold the strongest consistent association with this disorder. This
article will review and discuss some of the most common genetic and environmental
factors associated with the etiopathogenesis of CTEV.
PMID- 24932902
TI - Infantile hydrocephalus: a review of epidemiology, classification and causes.
AB - Hydrocephalus is a common but complex condition caused by physical or functional
obstruction of CSF flow that leads to progressive ventricular dilatation. Though
hydrocephalus was recently estimated to affect 1.1 in 1000 infants, there have
been few systematic assessments of the causes of hydrocephalus in this age group,
which makes it a challenging condition to approach as a scientist or as a
clinician. Here, we review contemporary literature on the epidemiology,
classification and pathogenesis of infantile hydrocephalus. We describe the major
environmental and genetic causes of hydrocephalus, with the goal of providing a
framework to assess infants with hydrocephalus and guide future research.
PMID- 24932904
TI - Primary ductal adenocarcinoma of the lacrimal gland, associated with abundant
intracytoplasmic lumens containing some eosinophilic hyaline globules:
cytological, histological and ultrastructural findings.
AB - A primary ductal adenocarcinoma (PDA) of the lacrimal gland is a rare distinct
subtype of an epithelial tumor arising in the lacrimal gland. PDA is the
counterpart of salivary duct carcinoma (SDC) resembling an invasive ductal
carcinoma (IDC) of the breast. In our case, PDA revealed histopathological and
immunohistochemical results corresponding to SDC. Interestingly, the tumor cells
showed intracytoplasmic vacuoles containing dense eosinophilic hyaline globules
at light microscopy. Ultrastructurally, the tumor cells exhibited microvilli
lined intracytoplasmic lumen containing homogenous electron-dense secretory
products. A previous study demonstrated that numerous intracytoplasmic lumens of
tumor cells are favored breast malignant tumor, similar to the histopathology of
PDA, rather than benign lesion. This characteristic finding may be meaningful to
diagnose high grade epithelial tumors including PDA.
PMID- 24932903
TI - 15q26.1 microdeletion encompassing only CHD2 and RGMA in two adults with moderate
intellectual disability, epilepsy and truncal obesity.
AB - We report two patients with microdeletions in chromosomal subdomain 15q26.1
encompassing only two genes, CHD2 and RGMA. Both patients present a distinct
phenotype with intellectual disability, epilepsy, behavioral issues, truncal
obesity, scoliosis and facial dysmorphism. CHD2 haploinsufficiency is known to
cause intellectual disability and epilepsy, RGMA haploinsufficiency might explain
truncal obesity with onset around puberty observed in our two patients.
PMID- 24932905
TI - Application of a prediction model for the progression of rheumatoid arthritis in
patients with undifferentiated arthritis.
AB - INTRODUCTION: Different prediction rules have been applied to patients with
undifferentiated arthritis (UA) to identify those that progress to rheumatoid
arthritis (RA). The Leiden Prediction Rule (LPR) has proven useful in different
UA cohorts. OBJECTIVE: To apply the LPR to a cohort of patients with UA of
northeastern Mexico. METHODS: We included 47 patients with UA, LPR was applied at
baseline. They were evaluated and then classified after one year of follow-up
into two groups: those who progressed to RA (according to ACR 1987) and those who
did not. RESULTS: 43% of the AI patients developed RA. In the RA group, 56% of
patients obtained a score <= 6 and only 15% >= 8. 70% who did not progress to RA
had a score between 6 and <= 8. There was no difference in median score of LPR
between groups, p=0.940. CONCLUSION: Most patients who progressed to RA scored
less than 6 points in the LPR. Unlike what was observed in other cohorts, the
model in our population did not allow us to predict the progression of the
disease.
PMID- 24932906
TI - Macrophage activation syndrome as a severe manifestation of adult's Sitll's
disease. Hemophagocytic cells in ascites.
PMID- 24932907
TI - Prosthesis infection by Mycobacterium tuberculosis in a patient with rheumatoid
arthritis: A case report and literature review.
PMID- 24932908
TI - Oncogenic fusion proteins expressed in immature hematopoietic cells fail to
recapitulate the transcriptional changes observed in human AML.
AB - Reciprocal chromosomal translocations are observed in one-third of acute myeloid
leukemia (AML) cases. Targeting and understanding the effects of the resulting
aberrant oncogenic fusion proteins may help developing drugs against specific
leukemic subtypes, as demonstrated earlier by the use of ATRA in acute
promyelocytic leukemia. Hematopoietic stem/progenitor (HSPCs) cells transduced
with oncogenic fusion genes are regarded as promising in vitromodels of their
corresponding AML subtypes. Here, we critically assessed the potential of such in
vitro models using an integrative bioinformatics approach. Surprisingly, we found
that the gene-expression profiles of CD34+ human HSPCs transformed with the
potent oncogenic fusion proteins AML-ETO or MLL-AF9, only weakly resembled those
derived from primary AML samples. Hence, our work raises concerns as to the
relevance of the use of in vitro transduced cells to study the impact of
transcriptional deregulation in human AML.
PMID- 24932909
TI - A model based on environmental factors for diameter distribution in black wattle
in Brazil.
AB - This article discusses the dynamics of a diameter distribution in stands of black
wattle throughout its growth cycle using the Weibull probability density
function. Moreover, the parameters of this distribution were related to
environmental variables from meteorological data and surface soil horizon with
the aim of finding a model for diameter distribution which their coefficients
were related to the environmental variables. We found that the diameter
distribution of the stand changes only slightly over time and that the estimators
of the Weibull function are correlated with various environmental variables, with
accumulated rainfall foremost among them. Thus, a model was obtained in which the
estimators of the Weibull function are dependent on rainfall. Such a function can
have important applications, such as in simulating growth potential in regions
where historical growth data is lacking, as well as the behavior of the stand
under different environmental conditions. The model can also be used to project
growth in diameter, based on the rainfall affecting the forest over a certain
time period.
PMID- 24932910
TI - In response to "Preoperative angiotensin axis blockade therapy, intraoperative
hypotension, and the risks of postoperative acute kidney injury".
PMID- 24932911
TI - Gender differences in awareness and outcomes during acute traumatic brain injury
recovery.
AB - BACKGROUND: Recent literature on traumatic brain injury (TBI), though mixed when
reporting outcomes, seems collectively to suggest possible gender advantage for
women in postinjury recovery, especially in executive functions. Hormonal
neuroprotection, through female reproductive hormones, is often proposed as an
underlying factor in these results. We explored potential gender differences in
an aspect of executive functions, self-awareness (SA), which is often impaired
after TBI, limits patient effort in critical rehabilitation, and increases
caregiver burden. METHODS: Within a prospective survey, repeated-measures design,
121 patients with moderate or severe TBI undergoing acute rehabilitation in a
Level 1 trauma center, a family member or caregiver informant, and a treating
clinician were asked to complete the Patient Competency Rating Scale (PCRS) and
the Frontal Systems Behavior Scale (FrSBe) at admission and discharge. RESULTS:
Although overall, women and men with TBI showed generally similar levels of SA,
women had significantly better awareness of their injury-related deficits at
acute rehabilitation discharge, even when controlling for age, education, and
injury severity. CONCLUSIONS: Mixed findings in this study mirror the pattern of
results that dominate the published literature on gender and TBI. Gender
differences in executive dysfunction may not be as large or robust as some
researchers argue. In addition, complex interplays of socialization, gender-role
expectations, naturally occurring male and female ability differences, and
differences in access to postinjury rehabilitation are understudied potential
moderators.
PMID- 24932912
TI - Molecular characterization and analysis of a novel protein disulfide isomerase
like protein of Eimeria tenella.
AB - Protein disulfide isomerase (PDI) and PDI-like proteins are members of the
thioredoxin superfamily. They contain thioredoxin-like domains and catalyze the
physiological oxidation, reduction and isomerization of protein disulfide bonds,
which are involved in cell function and development in prokaryotes and
eukaryotes. In this study, EtPDIL, a novel PDI-like gene of Eimeria tenella, was
cloned using rapid amplification of cDNA ends (RACE) according to the expressed
sequence tag (EST). The EtPDIL cDNA contained 1129 nucleotides encoding 216 amino
acids. The deduced EtPDIL protein belonged to thioredoxin-like superfamily and
had a single predicted thioredoxin domain with a non-classical thioredoxin-like
motif (SXXC). BLAST analysis showed that the EtPDIL protein was 55-59% identical
to PDI-like proteins of other apicomplexan parasites. The transcript and protein
levels of EtPDIL at different development stages were investigated by real-time
quantitative PCR and western blot. The messenger RNA and protein levels of EtPDIL
were higher in sporulated oocysts than in unsporulated oocysts, sporozoites or
merozoites. Protein expression was barely detectable in unsporulated oocysts.
Western blots showed that rabbit antiserum against recombinant EtPDIL recognized
only a native 24 kDa protein from parasites. Immunolocalization with EtPDIL
antibody showed that EtPDIL had a disperse distribution in the cytoplasm of whole
sporozoites and merozoites. After sporozoites were incubated in complete medium,
EtPDIL protein concentrated at the anterior of the sporozoites and appeared on
the surface of parasites. Specific staining was more intense and mainly located
on the parasite surface after merozoites released from mature schizonts invaded
DF-1 cells. After development of parasites in DF-1 cells, staining intensified in
trophozoites, immature schizonts and mature schizonts. Antibody inhibition of
EtPDIL function reduced the ability of E. tenella to invade DF-1 cells. These
results suggested that EtPDIL might be involved in sporulation in external
environments and in host cell adhesion, invasion and development of E. tenella.
PMID- 24932913
TI - Structure-based virtual screening approach for discovery of covalently bound
ligands.
AB - We present a fast and effective covalent docking approach suitable for large
scale virtual screening (VS). We applied this method to four targets (HCV NS3
protease, Cathepsin K, EGFR, and XPO1) with known crystal structures and known
covalent inhibitors. We implemented a customized "VS mode" of the Schrodinger
Covalent Docking algorithm (CovDock), which we refer to as CovDock-VS. Known
actives and target-specific sets of decoys were docked to selected X-ray
structures, and poses were filtered based on noncovalent protein-ligand
interactions known to be important for activity. We were able to retrieve 71%,
72%, and 77% of the known actives for Cathepsin K, HCV NS3 protease, and EGFR
within 5% of the decoy library, respectively. With the more challenging XPO1
target, where no specific interactions with the protein could be used for
postprocessing of the docking results, we were able to retrieve 95% of the
actives within 30% of the decoy library and achieved an early enrichment factor
(EF1%) of 33. The poses of the known actives bound to existing crystal structures
of 4 targets were predicted with an average RMSD of 1.9 A. To the best of our
knowledge, CovDock-VS is the first fully automated tool for efficient virtual
screening of covalent inhibitors. Importantly, CovDock-VS can handle multiple
chemical reactions within the same library, only requiring a generic SMARTS-based
predefinition of the reaction. CovDock-VS provides a fast and accurate way of
differentiating actives from decoys without significantly deteriorating the
accuracy of the predicted poses for covalent protein-ligand complexes. Therefore,
we propose CovDock-VS as an efficient structure-based virtual screening method
for discovery of novel and diverse covalent ligands.
PMID- 24932915
TI - VMSbase: an R-package for VMS and logbook data management and analysis in
fisheries ecology.
AB - VMSbase is an R package devised to manage, process and visualize information
about fishing vessels activity (provided by the vessel monitoring system--VMS)
and catches/landings (as reported in the logbooks). VMSbase is primarily
conceived to be user-friendly; to this end, a suite of state-of-the-art analyses
is accessible via a graphical interface. In addition, the package uses a database
platform allowing large datasets to be stored, managed and processed vey
efficiently. Methodologies include data cleaning, that is removal of redundant or
evidently erroneous records, and data enhancing, that is interpolation and
merging with external data sources. In particular, VMSbase is able to estimate
sea bottom depth for single VMS pings using an on-line connection to the National
Oceanic and Atmospheric Administration (NOAA) database. It also allows VMS pings
to be assigned to whatever geographic partitioning has been selected by users.
Standard analyses comprise: 1) metier identification (using a modified CLARA
clustering approach on Logbook data or Artificial Neural Networks on VMS data);
2) linkage between VMS and Logbook records, with the former organized into
fishing trips; 3) discrimination between steaming and fishing points; 4)
computation of spatial effort with respect to user-selected grids; 5) calculation
of standard fishing effort indicators within Data Collection Framework; 6) a
variety of mapping tools, including an interface for Google viewer; 7) estimation
of trawled area. Here we report a sample workflow for the accessory sample
datasets (available with the package) in order to explore the potentialities of
VMSbase. In addition, the results of some performance tests on two large datasets
(1*10(5) and 1*10(6) VMS signals, respectively) are reported to inform about the
time required for the analyses. The results, although merely illustrative,
indicate that VMSbase can represent a step forward in extracting and enhancing
information from VMS/logbook data for fisheries studies.
PMID- 24932914
TI - Spectroscopic characterization of a green copper site in a single-domain
cupredoxin.
AB - Cupredoxins are widespread copper-binding proteins, mainly involved in electron
transfer pathways. They display a typical rigid greek key motif consisting of an
eight stranded beta-sandwich. A fascinating feature of cupredoxins is the natural
diversity of their copper center geometry. These geometry variations give rise to
drastic changes in their color, such as blue, green, red or purple. Based on
several spectroscopic and structural analyses, a connection between the geometry
of their copper-binding site and their color has been proposed. However, little
is known about the relationship between such diversity of copper center geometry
in cupredoxins and possible implications for function. This has been difficult to
assess, as only a few naturally occurring green and red copper sites have been
described so far. We report herein the spectrocopic characterization of a novel
kind of single domain cupredoxin of green color, involved in a respiratory
pathway of the acidophilic organism Acidithiobacillus ferrooxidans. Biochemical
and spectroscopic characterization coupled to bioinformatics analysis reveal the
existence of some unusual features for this novel member of the green cupredoxin
sub-family. This protein has the highest redox potential reported to date for a
green-type cupredoxin. It has a constrained green copper site insensitive to pH
or temperature variations. It is a green-type cupredoxin found for the first time
in a respiratory pathway. These unique properties might be explained by a region
of unknown function never found in other cupredoxins, and by an unusual length of
the loop between the second and the fourth copper ligands. These discoveries will
impact our knowledge on non-engineered green copper sites, whose involvement in
respiratory chains seems more widespread than initially thought.
PMID- 24932916
TI - Expression and functional characterization of NOD2 in decidual stromal cells
isolated during the first trimester of pregnancy.
AB - NOD2, one of the cytosolic proteins that contain a nuclear oligomerization domain
(NOD), is a pattern recognition receptor (PRR) involved in innate immune
responses to intracellular pathogens. Little is known, however, about the effect
of NOD2 expression on the maternal-fetal relationship. Our aim was to elucidate
the functions of NOD2 in normal decidual stromal cells (DSCs) from the first
trimester. Tissues and DSCs were isolated from 26 patients with normal
pregnancies that required abortion. The expression of NOD2 in deciduas/decidual
stromal cells (DSCs) was examined by real-time PCR, immunohistochemistry, and In
cell western. DSCs containing NOD2 were stimulated by its ligand, muramyl
dipeptide (MDP). The secretion of various cytokines and chemokines were measured
by ELISA and the apoptotic rate was determined by flow cytometry. Treatment with
MDP significantly elevated the expression of both NOD2 mRNA and protein levels in
DSCs. In addition, MDP activation of NOD2 significantly increased IL-1beta and
MCP-1 cytokine expression in a dose dependent manner but had no effect on IL-12
expression. IL-1beta and TNF-alpha also significantly increased the expression of
NOD2 in DSCs, suggesting a positive feedback loop mechanism. Moreover, MDP
stimulation augmented DSC apoptosis. In summary, the results suggest that NOD2
expression in DSCs plays an important role in protecting the embryo and
preventing infection in the maternal-fetal interface.
PMID- 24932918
TI - Regulation of virus-induced inflammatory response by Dunaliella salina alga
extract in macrophages.
AB - Previous reports have suggested that many constituents within various algal
samples are able to attenuate LPS-induced inflammatory effects. To date no report
has been published on the regulation of virus-induced inflammatory response of
Dunaliella salina carotenoid extract. In the present study, the anti-inflammatory
effect of D. salina carotenoid extract on pseudorabies virus (PRV)-infected RAW
264.7 macrophages was investigated. We evaluated the anti-inflammatory effect of
D. salina carotenoid extract on PRV-infected RAW 264.7 cells by measuring cell
viability, cytotoxicity, production of inflammatory mediators such as NO, iNOS,
COX-2, pro-inflammatory cytokines and anti-virus replication by plaque assay. We
found down-regulation of the expression of the iNOS, COX-2 and pro-inflammatory
genes IL-1beta, IL-6, TNF-alpha, and MCP-1 in a dose-dependent manner. Although
there was no effect on viral replication, there were tendencies toward lower
virus titer and tendencies toward higher cell survival. Most importantly, we
found that inhibition of TLR9, PI3K and Akt phosphorylation plays a crucial role
in the extract-mediated NF-kappaB regulation by modulating IKK-IkappaB signaling
in PRV-infected RAW264.7 cells. These results indicate that D. salina carotenoid
extracts inhibited inflammation by inhibition of NF-kappaB activation by TLR9
dependent via PI3K/Akt inactivation.
PMID- 24932917
TI - Variations in the relation between education and cause-specific mortality in 19
European populations: a test of the "fundamental causes" theory of social
inequalities in health.
AB - Link and Phelan have proposed to explain the persistence of health inequalities
from the fact that socioeconomic status is a "fundamental cause" which embodies
an array of resources that can be used to avoid disease risks no matter what
mechanisms are relevant at any given time. To test this theory we compared the
magnitude of inequalities in mortality between more and less preventable causes
of death in 19 European populations, and assessed whether inequalities in
mortality from preventable causes are larger in countries with larger resource
inequalities. We collected and harmonized mortality data by educational level on
19 national and regional populations from 16 European countries in the first
decade of the 21st century. We calculated age-adjusted Relative Risks of
mortality among men and women aged 30-79 for 24 causes of death, which were
classified into four groups: amenable to behavior change, amenable to medical
intervention, amenable to injury prevention, and non-preventable. Although an
overwhelming majority of Relative Risks indicate higher mortality risks among the
lower educated, the strength of the education-mortality relation is highly
variable between causes of death and populations. Inequalities in mortality are
generally larger for causes amenable to behavior change, medical intervention and
injury prevention than for non-preventable causes. The contrast between
preventable and non-preventable causes is large for causes amenable to behavior
change, but absent for causes amenable to injury prevention among women. The
contrast between preventable and non-preventable causes is larger in Central &
Eastern Europe, where resource inequalities are substantial, than in the Nordic
countries and continental Europe, where resource inequalities are relatively
small, but they are absent or small in Southern Europe, where resource
inequalities are also large. In conclusion, our results provide some further
support for the theory of "fundamental causes". However, the absence of larger
inequalities for preventable causes in Southern Europe and for injury mortality
among women indicate that further empirical and theoretical analysis is necessary
to understand when and why the additional resources that a higher socioeconomic
status provides, do and do not protect against prevailing health risks.
PMID- 24932919
TI - Occurrence and exposure to polycyclic aromatic hydrocarbons in kindling-free
charcoal grilled meat products in Taiwan.
AB - This study aimed to determine the contents of 16 PAHs in kindling-free-charcoal
grilled meat and seafood products by GC-MS coupled with a QuEChERS method, and
estimate the potential risk associated with consumption of those products in
Taiwan. Results showed that the total PAHs contents ranged from 6.3+/-0.9 to
238.8+/-8.3 ng/g in poultry meat, 0.1+/-0.0-547.5+/-12.2 ng/g in red meat, and
6.6+/-1.4-249.7+/-6.4 ng/g in seafood products. Among various PAHs, the highly
carcinogenic benzo[a]pyrene was detected in chicken breast grilled at 84 degrees
C (30 min), chicken heart at 100 degrees C (26 min), chicken drumstick at 74
degrees C (20 min), duck drumstick at 85 degrees C (40 min), and lamb steak at 88
degrees C (12 min), with its level amounting to 1.3+/-0.0, 2.4+/-0.1, 4.0+/-1.3,
3.1+/-0.0, and 5.8+/-0.5 ng/g, respectively. The generation of PAHs was
associated with grilling time, temperature and fat content. Risk assessment of
dietary exposure to PAHs revealed toxicity equivalent to range from ND - 6.174+/
0.505 MUg/g and margin of exposure was >10,000, which agreed with the EFSA's
definition of low public health concern. The lifelong average daily PAHs intake
was higher for adults than for elderly people in Taiwan, however, consumption of
kindling-free-charcoal grilled meat should not be a public health concern based
on cancer risk potency.
PMID- 24932920
TI - Does dietary L-methionine serve as a foe or friend for global DNA
hypomethylation?
PMID- 24932921
TI - Neurofibromin deficiency-associated transcriptional dysregulation suggests a
novel therapy for tibial pseudoarthrosis in NF1.
AB - Neurofibromatosis type 1 (NF1) is an autosomal dominant disease caused by
mutations in NF1. Among the earliest manifestations is tibial pseudoarthrosis and
persistent nonunion after fracture. To further understand the pathogenesis of
pseudoarthrosis and the underlying bone remodeling defect, pseudoarthrosis tissue
and cells cultured from surgically resected pseudoarthrosis tissue from NF1
individuals were analyzed using whole-exome and whole-transcriptome sequencing as
well as genomewide microarray analysis. Genomewide analysis identified multiple
genetic mechanisms resulting in somatic biallelic NF1 inactivation; no other
genes with recurring somatic mutations were identified. Gene expression profiling
identified dysregulated pathways associated with neurofibromin deficiency,
including phosphoinositide 3-kinase (PI3K) and mitogen-activated protein kinase
(MAPK) signaling pathways. Unlike aggressive NF1-associated malignancies, tibial
pseudoarthrosis tissue does not harbor a high frequency of somatic mutations in
oncogenes or other tumor-suppressor genes, such as p53. However, gene expression
profiling indicates that pseudoarthrosis tissue has a tumor-promoting
transcriptional pattern, despite lacking tumorigenic somatic mutations.
Significant overexpression of specific cancer-associated genes in pseudoarthrosis
highlights a potential for receptor tyrosine kinase inhibitors to target
neurofibromin-deficient pseudoarthrosis and promote proper bone remodeling and
fracture healing.
PMID- 24932922
TI - RNA interference-mediated knockdown of three putative aminopeptidases N affects
susceptibility of Spodoptera exigua larvae to Bacillus thuringiensis Cry1Ca.
AB - Aminopeptidase N (APN) isoforms in insects have been documented to be involved in
the mode of action of insecticidal crystal proteins (Cry) from Bacillus
thuringiensis. Here we cloned two novel Seapns from the larval midgut of
Spodoptera exigua, a major pest of many crops of economic importance in China.
According to a phylogenetic analysis, these two novel SeAPNs, along with the four
SeAPN isoforms already described, belong to six different clades. All the six
SeAPNs share similar structural features. From N- to C-terminus a signal peptide,
a gluzincin aminopeptidase motif, a zinc binding/gluzincin motif, and a
glycosylphosphatidylinositol-anchor sequence are located. The six Seapn genes
were highly expressed at the larval stage, especially in the larval gut.
Ingestion during four consecutive days of double-stranded RNAs (dsRNAs) targeting
Seapn1, Seapn2, Seapn3, Seapn4, Seapn5 and Seapn6 significantly reduced
corresponding mRNA levels by 55.6%, 45.5%, 43.2%, 56.8%, 45.4%, and 46.0%
respectively, compared with those recorded in control larvae fed on non-specific
dsRNA (dsegfp). When the larvae that previously ingested phosphate buffered
saline (PBS)-, dsegfp-, or six dsSeapns-overlaid diets were then exposed to a
diet containing Cry1Ca, the larval mortalities were 71.2%, 69.3%, 52.0%, 77.2%,
43.3%, 62.0%, 65.4% and 53.8% respectively recorded after 6days. ANOVA analysis
revealed that the larvae previously fed on dsSeapn1-, dsSeapn3-, and dsSeapn6
overlaid diets had significantly lower mortalities than those previously ingested
PBS-, dsegfp-, dsSeapn2-, dsSeapn4- and dsSeapn5-overlaid diets. Thus, these
results suggest that SeAPN1, SeAPN3 and SeAPN6 may be candidate receptors for
Cry1Ca in S. exigua.
PMID- 24932925
TI - Characterization of a conical intersection in a charge-transfer dimer with two
dimensional time-resolved stimulated Raman spectroscopy.
AB - Photochemical reactions are mediated by conical intersections (CI), which are
difficult to directly probe and characterize. To gain insight into CIs, two
dimensional femtosecond stimulated Raman spectroscopy (2D-FSRS) is used to
examine a model excited-state charge-transfer (CT) complex consisting of an
electron donor, tetramethylbenzene (TMB), and an acceptor,
tetracyanoquinodimethane (TCNQ). Following impulsive excitation, the excited
state transient absorption reveals large-amplitude excited-state wave packet
motion along low-frequency modes, in particular TCNQ's totally symmetric 323 cm(
1) CCN bend, which persist for ~5 ps. These low-frequency coherences modulate the
intensity and peak frequencies of the excited-state FSRS vibrational spectra. In
particular, large-magnitude oscillations at 323 cm(-1) are observed in the peak
frequency (Deltaomega = 2 cm(-1)) and intensity (DeltaOD = 1.5 mOD) of the
nontotally symmetric 1271 cm(-1) C?C rocking mode. The magnitude of these
oscillations is analyzed to determine the first-order anharmonic coupling between
the high- and low-frequency degrees of freedom in the excited state. The
anharmonic coupling between the totally symmetric 323 cm(-1) and the nontotally
symmetric 1271 cm(-1) modes is estimated to be in excess of 50 cm(-1), strongly
suggesting that they are the tuning and coupling modes, respectively, for the CI
that connects the CT excited state to the neutral ground state and controls
charge recombination internal conversion.
PMID- 24932923
TI - Accelerator mass spectrometry detection of beryllium ions in the antigen
processing and presentation pathway.
AB - Exposure to small amounts of beryllium (Be) can result in beryllium sensitization
and progression to Chronic Beryllium Disease (CBD). In CBD, beryllium is
presented to Be-responsive T-cells by professional antigen-presenting cells
(APC). This presentation drives T-cell proliferation and pro-inflammatory
cytokine (IL-2, TNFalpha, and IFNgamma) production and leads to granuloma
formation. The mechanism by which beryllium enters an APC and is processed to
become part of the beryllium antigen complex has not yet been elucidated.
Developing techniques for beryllium detection with enough sensitivity has
presented a barrier to further investigation. The objective of this study was to
demonstrate that Accelerator Mass Spectrometry (AMS) is sensitive enough to
quantify the amount of beryllium presented by APC to stimulate Be-responsive T
cells. To achieve this goal, APC - which may or may not stimulate Be-responsive T
cells - were cultured with Be-ferritin. Then, by utilizing AMS, the amount of
beryllium processed for presentation was determined. Further, IFNgamma
intracellular cytokine assays were performed to demonstrate that Be-ferritin (at
levels used in the experiments) could stimulate Be-responsive T-cells when
presented by an APC of the correct HLA type (HLA-DP0201). The results indicated
that Be-responsive T-cells expressed IFNgamma only when APC with the correct HLA
type were able to process Be for presentation. Utilizing AMS, it was determined
that APC with HLA-DP0201 had membrane fractions containing 0.17-0.59 ng Be and
APC with HLA-DP0401 had membrane fractions bearing 0.40-0.45 ng Be. However, HLA
DP0401 APC had 20-times more Be associated with the whole cells (57.68-61.12 ng)
than HLA-DP0201 APC (0.90-3.49 ng). As these findings demonstrate, AMS detection
of picogram levels of Be processed by APC is possible. Further, regardless of
form, Be requires processing by APC to successfully stimulate Be-responsive T
cells to generate IFNgamma.
PMID- 24932924
TI - Genetic manipulation of outer membrane permeability: generating porous
heterogeneous catalyst analogs in Escherichia coli.
AB - The limited permeability of the E. coli outer membrane can significantly hinder
whole-cell biocatalyst performance. In this study, the SARS coronavirus small
envelope protein (SCVE) was expressed in E. coli cells previously engineered for
periplasmic expression of carbonic anhydrase (CA) activity. This maneuver
increased small molecule uptake by the cells, resulting in increased apparent CA
activity of the biocatalysts. The enhancements in activity were quantified using
methods developed for traditional heterogeneous catalysis. The expression of the
SCVE protein was found to significantly reduce the Thiele moduli (phi), as well
as increase the effectiveness factors (eta), effective diffusivities (De), and
permeabilities (P) of the biocatalysts. These catalytic improvements translated
into superior performance of the biocatalysts for the precipitation of calcium
carbonate from solution which is an attractive strategy for long-term
sequestration of captured carbon dioxide. Overall, these results demonstrate that
synthetic biology approaches can be used to enhance heterogeneous catalysts
incorporated into microbial whole-cell scaffolds.
PMID- 24932926
TI - Less than 1000 days to go for MDGs 4 and 5: where are we and what needs to be
done?
PMID- 24932927
TI - Reducing the burden of maternal and child morbidity and mortality in the Eastern
Mediterranean Region? Yes, we can.
AB - Maternal and child morbidity and mortality are a major public health, development
and human rights challenge globally and in the WHO Eastern Mediterranean Region.
The Region is diverse, with high-, middle- and low- income countries, many
suffering from political instability, conflicts and other complex development
challenges. Although progress has been made towards Millennium Development Goals
4 and 5, it has been uneven both between and within countries. This paper makes
an analysis of the strengths, weaknesses, opportunities and threats to improving
maternal and child mortality and morbidity with a focus on the Region. In answer
to the question whether we can reduce the burden of maternal and child morbidity
and mortality in the Region: yes, we can. However, commitment and collaboration
are needed at the country, regional and international levels.
PMID- 24932928
TI - Community participation eludes Pakistan's maternal, newborn and child health
programme.
AB - This study looked at the comprehensiveness of the primary health care approach
being applied in Pakistan's National Maternal, Newborn and Child Health (MNCH)
Programme launched in 2005. The methods included a review of the programme's
guideline documents, in-depth interviews with managers/advisors and focus group
discussions with community groups and service providers. The MNCH Programme is
applying a selective primary care model. Programme advisors and managers were
concerned about the quality of training, political interference and incomplete
implementation. Service providers were not working together as envisioned.
Community midwives complained about the community's perceptions of them.
Community members were unaware of MNCH Programme implementation in their areas.
Pakistan's primary health care programme needs to be reviewed and revised
according current thinking on community participation and inter-sectoral
collaboration to accelerate progress towards achievement of Millennium
Development Goals 4 and 5.
PMID- 24932929
TI - Expanding the comprehensive national neonatal screening programme in the United
Arab Emirates from 1995 to 2011.
AB - The national neonatal screening programme in the United Arab Emirates currently
includes 16 disorders: congenital hypothyroidism, sickle-cell diseases,
congenital adrenal hyperplasia, biotinidase deficiency and 12 amino acid, organic
acid and fatty acid disorders. This paper reports data since the programme
started in January 1995 up to December 2011 on the incidence of screened
disorders and the molecular basis of positive screened cases. Screening used a
combination of tandem mass spectrometry, molecular technologies and biochemical
analysis. A total of 750 365 infants were screened and 717 babies saved from
associated morbidity and/or mortality. The incidence of screened disorders were
1:1 873 for congenital hypothyroidism, 1:14 544 for phenylketonuria, 1:3 526 for
amino acid, organic acid and fatty acid disorders, 1:9 030 for classical
congenital adrenal hyperplasia, 1:8 300 for biotinidase deficiency, 1:2 384 for
sickle-cell disease and 1:121 for sickle-cell traits. Coverage of neonatal
screening in the population reached 95% in 2010.
PMID- 24932930
TI - Prevalence and factors associated with exclusive breastfeeding at 6 months of
life in Tehran: a population-based study.
AB - Exclusive breastfeeding is the best form of nutrition for infants in the first 6
months of life. The aim of this study was to determine the prevalence of
exclusive breastfeeding in Tehran, Islamic Republic of Iran in the first 6 months
of life, and the factors that influence it. In a population-based, cross
sectional study 538 mothers with children aged 6-24 months completed an interview
questionnaire. Only 46.5% of mothers exclusively breastfed their infant in the
first 6 months of life. In multivariate analysis formula supplementation in the
hospital (OR = 0.41, 95% CI: 0.17-0.95) and mother receiving conflicting infant
feeding advice (OR = 0.53, 95% CI: 0.37-0.78) had a negative effect on exclusive
breastfeeding. Mother's intention to exclusively breastfeed (OR = 5.85, 95% CI:
2.88-11.9) and infant having first breast contact 6-30 minutes after delivery (OR
= 2.35, 95% CI: 1.17-4.72) had positive effects on exclusive breastfeeding.
PMID- 24932931
TI - Prevalence of anaemia in preschool children in Karma Albalad area, Northern
State, Sudan.
AB - Anaemia is a major childhood health problem in developing countries. The aim of
this cross-sectional study was to determine the prevalence of anaemia, and some
of its determinants, in preschool children in a rural village in the Northern
State of Sudan. All children aged 3-6 years attending the 4 village kindergartens
on the day of the study were enrolled. Demographic and socioeconomic data were
collected using a questionnaire completed by parents, and blood samples were
taken for haemoglobin measurement. Out of 163 children, 131 had anaemia
(haemoglobin level < 11 g/dL), a prevalence of 80.4%. This figure is comparable
to data from other developing countries. The prevalence of anaemia was not
significantly associated with any of the studied demographic and socioeconomic
factors (sex, economic status of the family, mother's literacy or family size) or
health of the child (history of pica or number of attacks of malaria in the last
year). A campaign to tackle this serious health issue is urgently needed.
PMID- 24932932
TI - Association between dietary habits and body mass index of adolescent females in
intermediate schools in Riyadh, Saudi Arabia.
AB - Obesity among Saudi youth is a growing public health challenge. This cross
sectional study measured body mass index (BMI) and determined the eating habits
and lifestyle of 107 randomly selected female adolescent students (age 12-15
years) at schools in Riyadh. The students' heights and weights were measured and
a pre-tested questionnaire was used to collect data on diet and lifestyle. The
majority of the students' families had monthly income > 10 000 riyals (US$ 1 =
3.75 riyals). About half the students (53.3%) were within normal weight, 28.6%
were underweight, 12.4% overweight and 5.7% obese. The majority of the students
did not have healthy dietary or exercise habits. There were no significant
differences between BMI category and dietary pattern and lifestyle. Increasing
educational programmes with healthy dietary concepts to improve the dietary
pattern of female adolescents is recommended.
PMID- 24932933
TI - Comparison of two assays in the diagnosis of toxoplasmosis: immunological and
molecular.
AB - Serological tests for Toxoplasma gondii are inadequate because antibody
production either fails or is significantly delayed. This study in eastern Iraq
investigated the IgG-avidity ELISA test for detecting recent T. gondii infections
among pregnant women and compared immunological methods and PCR as molecular
assays in the diagnosis of T. gondii. Serums samples were taken from 130 pregnant
women at risk of toxoplasmosis and a control group of 25 women with normal
pregnancy. Of 50 IgM- and/or IgG-positive samples, only 15 showed low IgG-avidity
antibodies. PCR was performed on 25 selected samples. Toxoplasma DNA was detected
in 15/15 IgM-positive with low IgG-avidity and 1/3 IgM-positive with high IgG
avidity. None of the IgM-negative with high IgG-avidity showed any Toxoplasma
DNA. ELISA IgG-avidity when used in combination with ELISA IgG/IgM is a valuable
assay for the exclusion of ongoing or recently acquired T. gondii infection in
pregnant women.
PMID- 24932934
TI - Prevalence of coeliac disease among adult patients with autoimmune hypothyroidism
in Jordan.
AB - The prevalence of coeliac disease among patients with autoimmune hypothyroidism
has not been studied before in Jordan and other Arab countries. A cross-sectional
record-based review was made of all adult autoimmune hypothyroidism patients who
attended a referral centre in Jordan, during an 8-month period. Coeliac disease
in these patients was diagnosed by the attending physician based on positive
serological tests for anti-endomysial antibodies IgA and IgG followed by duodenal
biopsy to confirm the diagnosis of coeliac disease. Of 914 patients recruited,
117 (12.8%) were seropositive for coeliac disease. Of 87 seropositive patients
who underwent duodenal biopsy, 39 had positive histological findings of coeliac
disease (44.8%). Extrapolating from these findings the overall rate of coeliac
disease among autoimmune hypothyroidism patients was estimated to be 5.7%. In
multivariate logistic regression coeliac disease was significantly associated
with older age (> 40 years), presence of other autoimmune diseases, vitamin B12
deficiency and anaemia.
PMID- 24932935
TI - Physicians' knowledge, attitude and practices regarding management of medications
in Ramadan.
AB - To evaluate knowledge, attitude and practices (KAP) of physicians regarding the
management of medications in Ramadan we used a self-administered questionnaire on
a target sample of 381 physicians at Jordan University Hospital, King Abdulla
University Hospital and a number of private clinics in Amman, during September
and October of 2008. A total of 297 questionnaires were returned. Physicians' KAP
about management of medications in Ramadan was generally insufficient. The main
factors that affected KAP were age, nationality, specialty, and country and year
of last qualification (P < 0.05). Female physicians scored better than males, and
fellows scored better than other groups for knowledge. Most physicians' attitudes
and practices were in line with religious opinion in regard to which routes of
drug administration can nullify fasting, indicating that physicians have adequate
knowledge in this area.
PMID- 24932936
TI - Termination of second and early third trimester pregnancy: comparison of 3
methods.
PMID- 24932937
TI - Human mitochondrial DNA and endogenous bacterial surrogates for risk assessment
of graywater reuse.
AB - Previous graywater risk assessment studies have focused on fecal contamination,
yet the low density of fecal indicators may not provide the most useful approach
to assess pathogen removal during graywater treatment. In this study, we employed
high throughput bacterial sequencing and qPCR to elucidate potential microbial
surrogates in wastewater sourced from an industrial laundry. In addition, we
explored human mitochondrial DNA (HmtDNA) as a new, potentially more reliable
molecular marker, because it can be unambiguously sourced, has a high copy number
per cell, and is persistent when released from cells with no self-replication in
graywater. Pyrosequencing and qPCR revealed that laundry water microbiota was
dominated by the skin-associated bacteria Staphylococcus, Corynebacterium, and
Propionibacterium (6.5, 5.7, 5.4 log10 copies/100 mL, respectively). While HmtDNA
was less abundant (2.8 log10 copies/100 mL), it showed a strong positive
correlation with the opportunistic pathogen Staphylococcus aureus (r=0.54,
P=3.2*10(-4)) and closely followed a first-order exponential decay model
(R2=0.98), remaining detectable in stored laundry graywater for up to 6 days at
20 degrees C. Based on abundance and persistence, we propose HmtDNA and total
Staphylococcus as future laundry graywater treatment surrogates to potentially
assess a wide dynamic range of pathogen removal.
PMID- 24932938
TI - Editorial overview: viral pathogenesis: dealing with complexity in virus-induced
disease.
PMID- 24932940
TI - Comparative analysis of antioxidant activity and functional components of the
ethanol extract of lotus (Nelumbo nucifera) from various growing regions.
AB - The variations in antioxidant activity and concentration of functional components
in the ethanol extracts of lotus seeds and rhizomes based on the growing region
and dryness were investigated. Free radical scavenging activity, total phenolic
and flavonoid content, and concentration of several specific flavonoids and
alkaloids in the ethanol extracts of lotus were measured. Antioxidant activity
and its correlative total phenolic content varied characteristically depending on
the growing region and dryness. High-perfomance liquid chromatography analysis
showed that the ethanol extracts of lotus seeds from Vietnam (Ho Chi Minh City),
raw rhizomes from Korea (Siheung), and dried rhizomes from Japan (Nigata) had the
greatest specific flavonoid content. The ethanol extracts of seeds from China
(Hubei), raw rhizomes from Japan (Nigata), and dried rhizomes from Korea
(Siheung) had the greatest specific alkaloid content. Astragaline, rutin,
isoquercetin, nuciferine, dauricine, isoliensinine, and neferine were identified
in lotus rhizomes for the first time in this study.
PMID- 24932939
TI - Maternal choline supplementation improves spatial mapping and increases basal
forebrain cholinergic neuron number and size in aged Ts65Dn mice.
AB - Down syndrome (DS) is marked by intellectual disability (ID) and early-onset of
Alzheimer's disease (AD) neuropathology, including basal forebrain cholinergic
neuron (BFCN) degeneration. The present study tested the hypothesis that maternal
choline supplementation (MCS) improves spatial mapping and protects against BFCN
degeneration in the Ts65Dn mouse model of DS and AD. During pregnancy and
lactation, dams were assigned to either a choline sufficient (1.1g/kg choline
chloride) or choline supplemented (5.0g/kg choline chloride) diet. Between 13 and
17months of age, offspring were tested in the radial arm water maze (RAWM) to
examine spatial mapping followed by unbiased quantitative morphometry of BFCNs.
Spatial mapping was significantly impaired in unsupplemented Ts65Dn mice relative
to normal disomic (2N) littermates. Additionally, a significantly lower number
and density of medial septum (MS) hippocampal projection BFCNs was also found in
unsupplemented Ts65Dn mice. Notably, MCS significantly improved spatial mapping
and increased number, density, and size of MS BFCNs in Ts65Dn offspring.
Moreover, the density and number of MS BFCNs correlated significantly with
spatial memory proficiency, providing support for a functional relationship
between these behavioral and morphometric effects of MCS for trisomic offspring.
Thus, increasing maternal choline intake during pregnancy may represent a safe
and effective treatment approach for expectant mothers carrying a DS fetus, as
well as a possible means of BFCN neuroprotection during aging for the population
at large.
PMID- 24932941
TI - Rhinorrhea in Parkinson's disease: a consecutive multicenter study in Japan.
AB - Recent reports suggest that rhinorrhea, defined as the presence of a runny nose
unrelated to respiratory infections, allergies, or sinus problems, occurs more
frequently among patients with Parkinson's disease (PD) than among healthy
controls. We conducted a questionnaire survey in a multicenter study throughout
Japan and compared the frequency of rhinorrhea between 231 PD and 187 normal
control (NC) subjects. After excluding patients with rhinitis or paranasal
sinusitis, a total of 159 PD and 59 NC subjects were included in our analysis.
Rhinorrhea occurred more frequently in PD patients than NC subjects (33.3% vs.
11.9%; P=0.01). Among PD patients, rhinorrhea was more common in men than women
(P=0.005). Rhinorrhea was not correlated with disease duration, modified Hoehn
and Yahr score, disease type (akinesia rigidity vs. tremor dominant), or cardiac
sympathetic function (evaluated by (123)I-metaiodobenzylguanidine uptake). To our
knowledge, this is the first multicenter study on the frequency of PD-related
rhinorrhea in Asian countries.
PMID- 24932943
TI - When couples disconnect: rumination and withdrawal as maladaptive responses to
everyday stress.
AB - Previous research has highlighted the importance of examining the interpersonal
context of stress and coping. How individuals in a relationship respond to one
another and cope with stress together have important outcomes on both individual
and dyadic levels. The current study sought to examine 2 deleterious coping
responses, rumination and interpersonal withdrawal, as they relate to
occupational stress and interact in the home setting. An intensive longitudinal
design was employed in a sample of 87 couples in which 1 partner was working as a
paramedic. Over a period of 4 consecutive work shifts, daily reports of marital
tension, spouses' withdrawal, and paramedics' work stress, burnout, and
rumination were collected. Multilevel models incorporating actor and partner
effects examined daily associations. Supporting our first and second hypotheses,
significant associations were observed between paramedics' work stress and
subsequent rumination and withdrawal on the part of paramedics. Paramedics' work
related burnout also predicted increased withdrawal from their respective
spouses. Regarding the role of these coping responses in daily marital
functioning, paramedics' rumination and spouses' withdrawal were associated with
increased marital tension over the 4-day period. On days when spouses withdrew
more from the relationship, the associations between paramedics' rumination and
both partners' reports of marital tension were greater, supporting our third
hypothesis. These findings illustrate the importance of examining both partners'
coping responses as they interact to predict marital tension. They further
underscore the maladaptive nature of rumination and withdrawal specifically in an
interpersonal context. Potential implications for collaborative coping are
discussed. (
PMID- 24932944
TI - Phosphine-olefin ligands based on a planar-chiral (pi-arene)chromium scaffold:
design, synthesis, and application in asymmetric catalysis.
AB - The NMR and X-ray crystallographic studies clarified that planar-chiral
alkenylene-bridged (phosphino-pi-arene)(phosphine)chromium complexes 3 were
capable of coordinating to a rhodium(I) cation in a bidentate fashion at the (pi
arene)-bound phosphorus atom and at the olefin moiety. The P-olefin chelate
coordination of 3 constructs the effective chiral environment at the metal
center, and thus, these rhodium complexes display high performances in various
rhodium-catalyzed asymmetric 1,4- and 1,2-addition reactions with arylboron
nucleophiles. The control experiments demonstrated that the (eta(2)-olefin)-Rh
interaction as well as the bridging structure in 3 played the pivotal roles in
the high enantioselectivity of the Rh-catalyzed asymmetric reactions. To enhance
the synthetic utilities of these phosphine-olefin ligands, an enantiospecific and
scalable synthetic method was developed. The novel synthetic method is flexible
in terms of the substituent variation, and a library of the planar-chiral
(arene)chromium-based phosphine-olefin ligands was established by the
combinatorial approach. Among the newly prepared ligand library, compound 3g,
which is with a bis(3,5-dimethylphenyl)phosphino group on the eta(6)-arene ring,
was found to be a far better chiral ligand in the rhodium-catalyzed asymmetric
reactions showing excellent enantioselectivity and high yields.
PMID- 24932942
TI - Gender minority stress, mental health, and relationship quality: a dyadic
investigation of transgender women and their cisgender male partners.
AB - Research has demonstrated associations between experiences of discrimination,
relationship quality, and mental health. However, critical questions remain
unanswered with regard to how stigma enacted and experienced at the dyadic-level
influences relationship quality and mental health for transgender women and their
cisgender (nontransgender) male partners. The present study sought to examine how
experiences of transgender-related discrimination (i.e., unfair treatment,
harassment) and relationship stigma (i.e., the real or anticipated fear of
rejection based on one's romantic affiliation) were associated with both partners
relationship quality and mental health. Couples (n = 191) were recruited to
participate in cross-sectional survey. Dyadic analyses using actor-partner
interdependence models were conducted to examine the influence of minority
stressors on clinically significant depressive distress and relationship quality.
For both partners, financial hardship, discrimination, and relationship stigma
were associated with an increased odds of depressive distress. For both partners,
financial hardship was associated with lower relationship quality. Among
transgender women, their own and their partner's higher relationship stigma
scores were associated with lower relationship quality; however, among male
partners, only their partner's greater relationship stigma scores were associated
with lower relationship quality. Findings provide preliminary support for dyadic
crossover effects of relationship stigma on the health of partners. Findings
illustrate the importance of minority stress and dyadic stress frameworks in
understanding and intervening upon mental health disparities among transgender
women and their male partners. Couples-based interventions and treatment
approaches to help transgender women and their male partners cope with minority
stressors are warranted to improve the health and well-being of both partners.
PMID- 24932946
TI - Fruits, berries, and nuts: phytochemicals in wound healing.
PMID- 24932949
TI - Was your work bundled into the skilled nursing facility payment?
PMID- 24932950
TI - Cancers mimicking fungal infections.
AB - Primary and metastatic malignancies may occasionally mimic or coexist with
cutaneous fungal infections. The authors report 3 cases of cancers that were
initially presumed to be cutaneous fungal infections. Dermatologists should
maintain a low threshold for skin biopsy in patients with persistent or
refractory fungal infections.
PMID- 24932945
TI - Hair drug testing results and self-reported drug use among primary care patients
with moderate-risk illicit drug use.
AB - BACKGROUND: This study sought to examine the utility of hair testing as a
research measure of drug use among individuals with moderate-risk drug use based
on the internationally validated Alcohol, Smoking, and Substance Involvement
Screening Test (ASSIST). METHODS: This study is a secondary analysis using
baseline data from a randomized trial of brief intervention for drug misuse, in
which 360 adults with moderate-risk drug use were recruited from two community
clinics in New Mexico, USA. The current study compared self-reported drug use on
the ASSIST with laboratory analysis of hair samples using a standard commercially
available 5-panel test with assay screening and gas chromatography/mass
spectrometry (GC/MS) confirmation. Both self-report and hair testing covered a 3
month period. RESULTS: Overall concordance between hair testing and self-report
was 57.5% (marijuana), 86.5% (cocaine), 85.8% (amphetamines), and 74.3%
(opioids). Specificity of hair testing at standard laboratory cut-offs exceeded
90% for all drugs, but sensitivity of hair testing relative to self-report was
low, identifying only 52.3% (127/243) of self-disclosed marijuana users, 65.2%
(30/46) of cocaine users, 24.2% (8/33) of amphetamine users, and 2.9% (2/68) of
opioid users. Among participants who disclosed using marijuana or cocaine in the
past 3 months, participants with a negative hair test tended to report lower
frequency use of those drugs (p<.001 for marijuana and cocaine). CONCLUSIONS:
Hair testing can be useful in studies with moderate-risk drug users, but the
potential for under-identification of low-frequency use suggests that researchers
should consider employing low detection cut-offs and using hair testing in
conjunction with self-report.
PMID- 24932951
TI - Diabetic foot ulcer off-loading: The gap between evidence and practice. Data from
the US Wound Registry.
AB - OBJECTIVE: To evaluate the practice of off-loading diabetic foot ulcers (DFUs)
using real-world data from a large wound registry to better identify and
understand the gap between evidence and practice. DESIGN: Retrospective,
deidentified data were extracted from the US Wound Registry based on
patient/wound characteristics, procedures performed, and at which clinic the DFU
was treated. SETTING: 96 clinics (23 from the United States and Puerto Rico)
PATIENTS: : 11,784 patients; 25,114 DFUs MAIN OUTCOME MEASURES: : Healed/not
healed, amputated, percent off-loading, percent use of total contact casting
(TCC), infection rate MAIN RESULTS: : Off-loading was documented in only 2.2% of
221,192 visits from January 2, 2007, to January 6, 2013. The most common off
loading option was the postoperative shoe (36.8%) and TCC (16.0%). There were
significantly more amputations within 1 year for non-TCC-treated DFUs compared
with TCC-treated DFUs (5.2% vs 2.2%; P = .001). The proportion of healed wounds
was slightly higher for TCC-treated DFUs versus non-TCC-treated DFUs (39.4% vs
37.2%). Infection rates were significantly higher for non-TCC-treated DFUs
compared with TCC-treated DFUs (2.6 vs 1.6; P = 2.1 * 10). Only 59 clinics used
TCC (61%); 57% of those clinics used traditional TCC, followed by TCC-EZ (36%).
Among clinics using any type of TCC, 96.3% of the DFUs that did not receive TCC
were "TCC-eligible" ulcers. Among clinics using "traditional" TCC systems, 1.4%
of DFUs were treated with TCC, whereas clinics using TCC-EZ provided TCC to 6.2%
of DFUs. CONCLUSION: Total contact casting is vastly underutilized in DFU wound
care settings, suggesting that there is a gap in practice for adequate off
loading. New, easier-to-apply TCC kits, such as the TCC-EZ, may increase the
frequency with which this ideal form of adequate off-loading is utilized.
PMID- 24932952
TI - Healing effect of sea buckthorn, olive oil, and their mixture on full-thickness
burn wounds.
AB - OBJECTIVE: The purpose of this study is to evaluate the healing effect of silver
sulfadiazine (SSD), sea buckthorn, olive oil, and 5% sea buckthorn and olive oil
mixture on full-thickness burn wounds with respect to both gross and
histopathologic features. METHODS: Full-thickness burns were induced on 60 rats;
the rats were then were divided into 5 groups and treated with sea buckthorn,
olive oil, a 5% sea buckthorn/olive oil mixture, SSD, and normal saline
(control). They were observed for 28 days, and the wounds' healing process was
evaluated. RESULTS: Wound contraction occurred faster in sea buckthorn, olive
oil, and the sea buckthorn/olive oil mixture groups compared with the SSD and
control groups. The volume of the exudates was controlled more effectively in
wounds treated with the sea buckthorn/olive oil mixture. Purulent exudates were
observed in the control group, but the others did not show infection. The group
treated with sea buckthorn/olive oil mixture revealed more developed re
epithelialization with continuous basement membrane with a mature granulation
tissue, whereas the SSD-treated group showed ulceration, necrosis, and immature
granulation. The results show that sea buckthorn and olive oil individually are
proper dressing for burn wounds and that they also show a synergetic effect when
they are used together. CONCLUSION: A sea buckthorn and olive oil mixture could
be considered as an alternative dressing for full-thickness burns because of
improved wound healing characteristics and antibacterial property.
PMID- 24932953
TI - A novel technique for increasing skin oxygenation.
AB - OBJECTIVE: To develop a novel technique for increasing skin oxygenation based on
diffusion principles and Fick's laws of diffusion. METHODS: A device that allows
the creation of an oxygen-depleted environment immediately to the skin while
simultaneously allowing measurement of the transcutaneous oxygen tension (TcPo2)
levels was created. This device forms an oxygen gradient from the capillary bed
of the subcutaneous tissue, through the skin's semipermeable membrane, and toward
the oxygen-depleted enclosed environment. A healthy adult subject was fitted with
the device. Once baseline TcPo2 levels were recorded, the oxygen concentration
within the device was lowered, and TcPo2 levels were monitored. Effects were also
studied when subjects breathed 100% oxygen for 5 minutes. RESULTS: Oxygen levels
in the device decreased in accordance with increases in skin TcPo2 levels
measured within the device. CONCLUSIONS: The application of this technique
results in an increase in oxygen concentration in the skin and subcutaneous
tissue in healthy subjects with normal, well-perfused skin. Whether this novel
technique produces an increase in the skin oxygenation of subjects with
inappropriate tissue oxygenation and chronic wounds requires further study.
PMID- 24932954
TI - Topical phytochemicals: applications for wound healing.
AB - To maximize the effectiveness of any complementary therapy in treating chronic
wounds, the clinician must fully appreciate the scientific basis in which this
treatment modality influences wound healing. The biological changes influenced by
phytochemical compounds can have a positive effect on wound healing, which often
depends on extract selection and clinical application. A sound understanding of
the physiological changes that are associated with phytochemical compounds will
help the clinician to make an appropriate extract selection and guide treatment
decisions.Tissue adhesion has long been considered a key step in determining a
bacterium's pathogenicity. The process of preventing infections by decreasing
bacterial-tissue adhesion has been reported in the literature, with particular
focus on the antibacterial effects of ingested cranberry juice. Cranberry juice
has been studied primarily as a "home remedy" in the treatment of urinary tract
infection with its antiadhesion and/or antibacterial effects in a chronic wound
needing further investigation.
PMID- 24932956
TI - Alert! July and October 2014 are key to reporting requirements timeline.
PMID- 24932958
TI - Use of augmentation agents for treating depression: analysis of a psychiatric
electronic medical record data set.
AB - OBJECTIVE: This study evaluated the relationship between patient characteristics
and augmentation strategies for the treatment of major depressive disorder.
METHODS: This retrospective, cross-sectional study used data from a psychiatric
electronic medical record database for patients with depression without psychosis
or psychotic features who initiated augmentation therapy between January 2001 and
June 2011. Medical records were evaluated to identify factors predicting use of
specific augmentation agents, and a multivariate logistic regression model was
used to assess clinical and demographic predictors of augmentation strategy.
RESULTS: Of 3,209 patients initiating augmentation therapy for depression, 75%
received augmentation with an antidepressant combination and 11% received
augmentation with second-generation antipsychotics. Baseline clinical severity
(Clinical Global Impressions-Severity score) most strongly and consistently
predicted augmentation with second-generation antipsychotics. CONCLUSIONS:
Treatment of patients in specialty settings with depression was often augmented
with an antidepressant combination, whereas those with severe depression had an
increased likelihood of augmentation with second-generation antipsychotics.
PMID- 24932957
TI - Identification of minimum carbohydrate moiety in N-glycosylation sites of brain
endothelial cell glycoprotein 96 for interaction with Escherichia coli K1 outer
membrane protein A.
AB - Bacterial meningitis is a serious central nervous system infection and
Escherichia coli K1 (E. coli K1) is one of the leading etiological agents that
cause meningitis in neonates. Outer membrane protein A (OmpA) of E. coli K1 is a
major virulence factor in the pathogenesis of meningitis, and interacts with
human brain microvascular endothelial cells (HBMEC) to cross the blood-brain
barrier. Using site-directed mutagenesis, we demonstrate that two N-glycosylation
sites (NG1 and NG2) in the extracellular domain of OmpA receptor, Ecgp96 are
critical for bacterial binding to HBMEC. E. coli K1 invasion assays using CHO
Lec1 cells that express truncated N-glycans, and sequential digestion of HBMEC
surface N-glycans using specific glycosidases showed that GlcNAc1-4GlcNAc
epitopes are sufficient for OmpA interaction with HBMEC. Lack of NG1 and NG2
sites in Ecgp96 inhibits E. coli K1 OmpA induced F-actin polymerization,
phosphorylation of protein kinase C-alpha, and disruption of transendothelial
electrical resistance required for efficient invasion of E. coli K1 in HBMEC.
Furthermore, the microvessels of cortex and hippocampus of the brain sections of
E. coli K1 infected mice showed increased expression of glycosylated Ecgp96.
Therefore, the interface of OmpA and GlcNAc1-4GlcNAc epitope interaction would be
a target for preventative strategies against E. coli K1 meningitis.
PMID- 24932959
TI - A review of the evidence to inform pneumococcal vaccine recommendations for risk
groups aged 2 years and older.
AB - For decades, vaccination with the 23-valent polysaccharide pneumococcal vaccine
(PPV23) has been available for risk groups aged ?2 years to prevent invasive
pneumococcal disease (IPD). Recently, a 13-valent pneumococcal conjugated vaccine
(PCV13) has been licensed for use in all age groups. PCV13 may induce better
protection than PPV23 because of different immunogenic properties. This called
for a revision of vaccine recommendations for risk groups. We therefore reviewed
literature on risk groups for IPD, and effectiveness and safety of pneumococcal
vaccines and supplemented that with information from public health institutes,
expert consultations and data on IPD epidemiology. We included 187 articles. We
discuss the implications of the heterogenic vulnerability for IPD within and
between risk groups, large indirect effects of childhood immunization, and
limited knowledge on additional clinical benefits of PCV13 in combination with
PPV23 for the Norwegian recommendations. These are now step-wise and consider the
need for vaccination, choice of pneumococcal vaccines, and re-vaccination
interval by risk group.
PMID- 24932960
TI - Molecular resolution friction microscopy of Cu phthalocyanine thin films on
dolomite (104) in water.
AB - The reliability of ultrathin organic layers as active components for molecular
electronic devices depends ultimately on an accurate characterization of the
layer morphology and ability to withstand mechanical stresses on the nanoscale.
To this end, since the molecular layers need to be electrically decoupled using
thick insulating substrates, the use of AFM becomes mandatory. Here, we show how
friction force microscopy (FFM) in water allows us to identify the orientation of
copper(ii)phthalocyanine (CuPc) molecules previously self-assembled on a dolomite
(104) mineral surface in ultra-high vacuum. The molecular features observed in
the friction images show that the CuPc molecules are stacked in parallel rows
with no preferential orientation with respect to the dolomite lattice, while the
stacking features resemble well the single CuPc crystal structure. This proves
that the substrate induction is low and makes friction force microscopy in water
a suitable alternative to more demanding dynamic AFM techniques in ultra-high
vacuum.
PMID- 24932961
TI - "Rigid body rotation" of the left ventricle in hypoplastic right-heart syndrome:
a case from the three-dimensional speckle-tracking echocardiographic MAGYAR-Path
Study.
AB - Left ventricular twist results from the movement of two orthogonally oriented
muscular bands of the helical myocardial structure, with a consequent clockwise
rotation of the left ventricular base and counterclockwise rotation of the left
ventricular apex. To the best of the authors' knowledge, this is the first time
that left ventricular "rigid body rotation", the near absence of left ventricular
twist in hypoplastic right-heart syndrome, has been demonstrated.
PMID- 24932962
TI - Marble burying as a test of the delayed anxiogenic effects of acute
immobilisation stress in mice.
AB - A majority of rodent studies characterizing the anxiogenic effects of stress have
utilized exploration-based models, such as the elevated plus-maze. An alternative
strategy has relied on ethologically natural behavior such as defensive burying.
One such paradigm, marble burying, has proven to be an effective behavioral assay
of the anxiolytic effects of pharmacological manipulations, and of genetically
modified mouse models. Relatively little, however, is known about the sensitivity
of this test in assessing the anxiogenic effects of stress. Most of the earlier
reports have examined the immediate, but not more long-term, effects of
pharmacological or environmental manipulations in mice. Hence, we used the marble
burying test to examine if acute immobilization stress leads to enhanced anxiety
like behavior in C57Bl/6 mice if the test is employed with a significant time
delay. We find this test to be sensitive enough to detect the anxiogenic effects
even 10 days after a single episode of 2-h immobilization stress. Our results
suggest that the marble burying test could serve as a useful behavioral paradigm
for not only estimating the gradual progression of the anxiogenic impact of
stress over time, but also raises the possibility of using the temporal delay
after stress to test the potential efficacy of post-stress interventions with
anxiolytic drugs.
PMID- 24932963
TI - Multivariate temporal pattern analysis applied to the study of rat behavior in
the elevated plus maze: methodological and conceptual highlights.
AB - Aim of this article is to illustrate the application of a multivariate approach
known as t-pattern analysis in the study of rat behavior in elevated plus maze.
By means of this multivariate approach, significant relationships among
behavioral events in the course of time can be described. Both quantitative and t
pattern analyses were utilized to analyze data obtained from fifteen male Wistar
rats following a trial 1-trial 2 protocol. In trial 2, in comparison with the
initial exposure, mean occurrences of behavioral elements performed in protected
zones of the maze showed a significant increase counterbalanced by a significant
decrease of mean occurrences of behavioral elements in unprotected zones.
Multivariate t-pattern analysis, in trial 1, revealed the presence of 134 t
patterns of different composition. In trial 2, the temporal structure of behavior
become more simple, being present only 32 different t-patterns. Behavioral
strings and stripes (i.e. graphical representation of each t-pattern onset) of
all t-patterns were presented both for trial 1 and trial 2 as well. Finally,
percent distributions in the three zones of the maze show a clear-cut increase of
t-patterns in closed arm and a significant reduction in the remaining zones.
Results show that previous experience deeply modifies the temporal structure of
rat behavior in the elevated plus maze. In addition, this article, by
highlighting several conceptual, methodological and illustrative aspects on the
utilization of t-pattern analysis, could represent a useful background to employ
such a refined approach in the study of rat behavior in elevated plus maze.
PMID- 24932964
TI - The effect of swallowing treatments on corticobulbar excitability: a review of
transcranial magnetic stimulation induced motor evoked potentials.
AB - Transcranial magnetic stimulation (TMS) has been used extensively as a method of
investigating the corticomotor physiology of many motor tasks, including healthy
and disordered swallowing. Changes in excitability of cortical projections to
various swallowing muscles have been documented in response to treatments with
TMS induced motor evoked potentials (MEPs). These studies have provided valuable
insight into CNS response to swallowing impairment, and more importantly, the
adaptations associated with functional recovery. However, unique obstacles are
presented when investigating corticobulbar neurophysiology associated with the
complex task of swallowing. Stringent methodological control and supplementary
outcome measures are required to ensure robust and clinically applicable
findings. This article offers a tutorial for the researcher who may be
considering the use of TMS for investigating changes in cortical excitability
associated with various swallowing paradigms. Included is a review of the
mechanisms of TMS and what can be measured with this technique, a summary of
existing research using MEPs to investigate swallowing, a review of
methodological factors that may influence outcomes, and proposed directions for
new areas of research.
PMID- 24932965
TI - A simple and reliable method to perform biomechanical evaluation of postoperative
nerve adhesions.
AB - BACKGROUND: Perineural fibrotic adhesions are among the major complications of
peripheral nerve surgery. While different experimental models have been used for
the pre-clinical testing of anti-adherential strategies, the methods used so far
to induce scar tissue appear to be poorly standardized and reproducible. NEW
METHOD: Thirty adult mice were used. Two methods were tested: the first one is
based on burning the perineural muscular bed with a diathermocoagulator, while
the second is based on direct scratching of the nerve surface with a cotton swab.
After 3 weeks, the fibrotic reaction was assessed by measuring the peak pull out
force of the nerve from muscular bed by means of a new tool specifically devised
for biomechanical assessment of scar tissue formation. Moreover, histological
analysis with specific collagen stain was also carried out. RESULTS: Both methods
produced fibrotic reaction. Statistical analysis of biomechanical data showed a
significant difference between burning and scratching group compared to the
control sham operated group. No significant differences were detected between
burning and scratching group. Histological analysis showed the presence of
perineural scar tissue in both groups, though with a different distribution
pattern. COMPARISON WITH OTHER METHODS: This protocol is easier to perform. The
tool used for biomechanical evaluation is reliable and cheap. CONCLUSIONS: Both
methods for perineural scar formation are effective and simple. They represent
reproducible models for the study of the anti-adherential strategies. Yet,
biomechanical testing with the device that we have developed proved to be a
reliable and simple method for the quantitative assessment of the degree of
perineural adhesion formation.
PMID- 24932966
TI - Engineered RNase P ribozymes effectively inhibit human cytomegalovirus gene
expression and replication.
AB - RNase P ribozyme can be engineered to be a sequence-specific gene-targeting agent
with promising application in both basic research and clinical settings. By using
an in vitro selection system, we have previously generated RNase P ribozyme
variants that have better catalytic activity in cleaving an mRNA sequence than
the wild type ribozyme. In this study, one of the variants was used to target the
mRNA encoding human cytomegalovirus (HCMV) essential transcription factor
immediate-early protein 2 (IE2). The variant was able to cleave IE2 mRNA in vitro
50-fold better than the wild type ribozyme. A reduction of about 98% in IE2
expression and a reduction of 3500-fold in viral production was observed in HCMV
infected cells expressing the variant compared to a 75% reduction in IE2
expression and a 100-fold reduction in viral production in cells expressing the
ribozyme derived from the wild type sequence. These results suggest that ribozyme
variants that are selected to be highly active in vitro are also more effective
in inhibiting the expression of their targets in cultured cells. Our study
demonstrates that RNase P ribozyme variants are efficient in reducing HCMV gene
expression and growth and are potentially useful for anti-viral therapeutic
application.
PMID- 24932967
TI - Yeast cell lysis enhances dimethyl trisulfide formation in sake.
AB - The present study showed that the lysis of yeast cells and subsequent release of
cell contents in sake mash accelerated dimethyl trisulfide (DMTS) formation.
Among these, heat unstable and relatively high molecular weight compounds were
assumed to be enzymes; thus, enzymatic reactions probably contribute to DMTS
formation.
PMID- 24932968
TI - Functional analysis of bifidobacterial promoters in Bifidobacterium longum and
Escherichia coli using the alpha-galactosidase gene as a reporter.
AB - Heterologous gene expression in bifidobacteria requires weak, strong, and
inducible promoters depending on the objectives of different expression studies.
Weak promoters in Escherichia coli can also be desirable for stable heterologous
gene cloning. Here, we developed a reporter system using the Bifidobacterium
longum alpha-galactosidase gene and investigated the activity and inducibility of
seven bifidobacterial promoters in B. longum and their activities in E. coli.
These studies revealed diverse promoter activities. Three promoters were highly
active in B. longum, but only slightly active in E. coli. Among these, two
phosphoketolase gene (xfp) promoters exhibited strong activity in B. longum cells
grown on glucose. In contrast, the promoter activity of the fructose transporter
operon (fruEKFG) was strongly induced by carbohydrates other than glucose,
including fructose, xylose, and ribose. These promoters will allow strong or
highly inducible expression in bifidobacteria and stable gene cloning in E. coli.
In contrast to the functions of these promoters, the promoter of sucrose
utilization operon cscBA showed very high activity in E. coli but low activity in
B. longum. Other three promoters were functional in both B. longum and E. coli.
In particular, two sucrose phosphorylase gene (scrP) promoters showed inducible
activity by sucrose and raffinose in B. longum, indicating their applicability
for regulated expression studies. The diverse promoter functions revealed in this
study will contribute to enabling the regulated expression of heterologous genes
in bifidobacteria research.
PMID- 24932969
TI - Polyhydroxyalkanoate production by a novel bacterium Massilia sp. UMI-21 isolated
from seaweed, and molecular cloning of its polyhydroxyalkanoate synthase gene.
AB - We successfully isolated one microorganism (UMI-21) from Ulva, a green algae that
contains starch. The strain UMI-21 can produce polyhydroxyalkanoate (PHA) from
starch, maltotriose, or maltose as a sole carbon source. Taxonomic studies and
16S rDNA sequence analysis revealed that strain UMI-21 was phylogenetically
related to species of the genus Massilia. The PHA content under the cultivation
condition using a 10-L jar fermentor was 45.5% (w/w). This value was higher than
that obtained after cultivation in a flask, suggesting the possibility of large
scale PHA production by UMI-21 from starch. A major issue for the industrial
production of microbial PHAs is the very high production cost. Starch is a
relatively inexpensive substrate that is also found in abundant seaweeds such as
Ulva. Therefore, the strain isolated in this study may be very useful for
producing PHA from seaweeds containing polysaccharides such as starch. In
addition, a 3.7-kbp DNA fragment containing the whole PHA synthase gene (phaC)
was obtained from the strain UMI-21. The results of open reading frame (ORF)
analysis suggested that the DNA fragment contained two ORFs, which were composed
of 1740 (phaC) and 564 bp (phaR). The deduced amino acid sequence of PhaC from
strain UMI-21 shared high similarity with PhaC from Ralstonia eutropha, which is
a representative PHA-producing bacterium with a class I PHA synthase. This is the
first report for the cloning of the PHA synthase gene from Massilia species.
PMID- 24932971
TI - Formation of supported lipid bilayers by vesicle fusion: effect of deposition
temperature.
AB - We have investigated the effect of deposition temperature on supported lipid
bilayer formation via vesicle fusion. By using several complementary surface
sensitive techniques, we demonstrate that despite contradicting literature on the
subject, high-quality bilayers can be formed below the main phase-transition
temperature of the lipid. We have carefully studied the formation mechanism of
supported DPPC bilayers below and above the lipid melting temperature (Tm) by
quartz crystal microbalance and atomic force microscopy under continuous flow
conditions. We also measured the structure of lipid bilayers formed below or
above Tm by neutron reflection and investigated the effect of subsequent cooling
to below the Tm. Our results clearly show that a continuous supported bilayer can
be formed with high surface coverage below the lipid Tm. We also demonstrate that
the high dissipation responses observed during the deposition process by QCM-D
correspond to vesicles absorbed on top of a continuous bilayer and not to a
surface-supported vesicular layer as previously reported.
PMID- 24932970
TI - Contributions of Fusarium virguliforme and Heterodera glycines to the disease
complex of sudden death syndrome of soybean.
AB - BACKGROUND: Sudden death syndrome (SDS) of soybean caused by Fusarium
virguliforme spreads and reduces soybean yields through the North Central region
of the U.S. The fungal pathogen and Heterodera glycines are difficult to manage.
METHODOLOGY/PRINCIPAL FINDINGS: The objective was to determine the contributions
of H. glycines and F. virguliforme to SDS severity and effects on soybean yield.
To quantify DNA of F. virguliforme in soybean roots and soil, a specific real
time qPCR assay was developed. The assay was used on materials from soybean field
microplots that contained in a four-factor factorial-design: (i) untreated or
methyl bromide-fumigated; (ii) non-infested or infested with F. virguliforme;
(iii) non-infested or infested with H. glycines; (iv) natural precipitation or
additional weekly watering. In years 2 and 3 of the trial, soil and watering
treatments were maintained. Roots of soybean 'Williams 82' were collected for
necrosis ratings at the full seed growth stage R6. Foliar symptoms of SDS (area
under the disease progress curve, AUDPC), root necrosis, and seed yield
parameters were related to population densities of H. glycines and the relative
DNA concentrations of F. virguliforme in the roots and soil. The specific and
sensitive real time qPCR was used. Data from microplots were introduced into
models of AUDPC, root necrosis, and seed yield parameters with the frequency of
H. glycines and F. virguliforme, and among each other. The models confirmed the
close interrelationship of H. glycines with the development of SDS, and allowed
for predictions of disease risk based on populations of these two pathogens in
soil. CONCLUSIONS/SIGNIFICANCE: The results modeled the synergistic interaction
between H. glycines and F. virguliforme quantitatively in previously infested
field plots and explained previous findings of their interaction. Under these
conditions, F. virguliforme was mildly aggressive and depended on infection of H.
glycines to cause highly severe SDS.
PMID- 24932972
TI - Long-term intake of soyabean phytosterols lowers serum TAG and NEFA
concentrations, increases bile acid synthesis and protects against fatty liver
development in dyslipidaemic hamsters.
AB - Various human trials and pre-clinical studies have suggested that dietary plant
sterols possess hypotriacylglycerolaemic properties apart from their cholesterol
lowering properties. We hypothesised that phytosterols (PS) might attenuate
triacylglycerolaemia by interfering with the deleterious effects of cholesterol
overload in the liver. In the present study, twenty hamsters (Mesocricetus
auratus) with diet-induced combined hyperlipidaemia were fed a high-fat diet
(HFD, n 10) or a HFD supplemented with soyabean PS (n 10) for 40 d. In parallel,
a healthy group was fed a standard diet (n 10). PS normalised fasting plasma
cholesterol concentrations completely after 20 d and were also able to normalise
serum TAG and NEFA concentrations after 40 d. HFD feeding caused microvesicular
steatosis and impaired the expression of key genes related to fatty acid
oxidation such as PPARA, carnitine palmitoyltransferase-Ialpha (CPT1A) and
phosphoenolpyruvate carboxykinase 1 (PCK1) in the liver. PS treatment completely
protected against HFD-induced steatosis and resulted in a normalised hepatic gene
expression profile. The protection of the hepatic function by PS was paralleled
by increased faecal cholesterol excretion along with a 2-fold increase in the
biliary bile acid (BA):cholesterol ratio. The present study supports the
conclusion that long-term consumption of PS can reduce serum TAG and NEFA
concentrations and can protect against the development of fatty liver via
different mechanisms, including the enhancement of BA synthesis. The results of
the present study place these compounds as promising hepatoprotective agents
against fatty liver and its derived pathologies.
PMID- 24932973
TI - Isolation and characterization of microsatellite markers and analysis of genetic
diversity in Chinese jujube (Ziziphus jujuba Mill.).
AB - Chinese jujube (Ziziphus jujuba Mill, 2n = 2* = 24, Rhamnaceae) is an
economically important Chinese native species. It has high nutritional value, and
its medicinal properties have led to extensive use in traditional oriental
medicine. The characterization of genotypes using molecular markers is important
for genetic studies and plant breeding. However, few simple sequence repeat (SSR)
markers are available for this species. In this study, 1,488 unique SSR clones
were isolated from Z. jujuba 'Dongzao' using enriched genomic libraries coupled
with a three-primer colony PCR screening strategy, yielding a high enrichment
rate of 73.3%. Finally, 1,188 (80.87%) primer pairs were amplified successfully
in the size expected for 'Dongzao'. A total of 350 primer pairs were further
selected and evaluated for their ability to detect polymorphisms across a panel
of six diverse cultivars; among these, 301 primer pairs detected polymorphisms,
and the polymorphism information content (PIC) value across all loci ranged from
0.15 to 0.82, with an average of 0.52. An analysis of 76 major cultivars employed
in Chinese jujube production using 31 primer pairs revealed comparatively high
genetic diversity among these cultivars. Within-population differences among
individuals accounted for 98.2% of the observed genetic variation. Neighbor
joining clustering divided the cultivars into three main groups, none of which
correspond to major geographic regions, suggesting that the genetics and
geographical origin of modern Chinese jujube cultivars might not be linked. The
current work firstly reports the large-scale development of Chinese jujube SSR
markers. The development of these markers and their polymorphic information
represent a significant improvement in the available Chinese jujube genomic
resources and will facilitate both genetic and breeding applications, further
accelerating the development of new cultivars.
PMID- 24932975
TI - Phloretin promotes osteoclast apoptosis in murine macrophages and inhibits
estrogen deficiency-induced osteoporosis in mice.
AB - Bone-remodeling imbalance induced by increased osteoclast formation and bone
resorption is known to cause skeletal diseases such as osteoporosis. The
reduction of estrogen levels at menopause is one of the strongest risk factors
developing postmenopausal osteoporosis. This study investigated osteoprotective
effects of the dihydrochalcone phloretin found in apple tree leaves on bone loss
in ovariectomized (OVX) C57BL/6 female mice as a model for postmenopausal
osteoporosis. OVX demoted bone mineral density (BMD) of mouse femurs, reduced
serum 17beta-estradiol level and enhanced serum receptor activator of NF-kappaB
ligand (RANKL)/osteoprotegerin ratio with uterine atrophy. Oral administration of
10 mg/kg phloretin to OVX mice for 8 weeks improved such effects, compared to
sham-operated mice. Phloretin attenuated TRAP activity and cellular expression of
beta3 integrin and carbonic anhydrase II augmented in femoral bone tissues of OVX
mice. This study further examined that osteogenic activity of phloretin in RANKL
differentiated Raw 264.7 macrophages into mature osteoclasts. Phloretin at 1-20
MUM stimulated Smac expression and capase-3 activation concurrently with nuclear
fragmentation of multi-nucleated osteoclasts, indicating that this compound
promoted osteoclast apoptosis. Consistently, phloretin enhanced bcl-2 induction
but diminished bax expression. Furthermore, phloretin activated ASK-1-diverged
JNK and p38 MAPK signaling pathways in mature osteoclasts, whereas it dose
dependently inhibited the RANKL-stimulated activation of ERK. Therefore,
phloretin manipulated ASK-1-MAPK signal transduction leading to transcription of
apoptotic genes. Phloretin was effective in preventing estrogen deficiency
induced osteoclastogenic resorption.
PMID- 24932976
TI - When can a normal dose be an overdose? Who is at risk?
PMID- 24932974
TI - A monoterpene, unique component of thyme honeys, induces apoptosis in prostate
cancer cells via inhibition of NF-kappaB activity and IL-6 secretion.
AB - We have previously demonstrated that Greek thyme honey inhibits significantly the
cell viability of human prostate cancer cells. Herein, 15 thyme honey samples
from several regions of Greece were submitted to phytochemical analysis for the
isolation, identification and determination (through modern spectral means) of
the unique thyme honey monoterpene, the compound trihydroxy ketone E-4-(1,2,4
trihydroxy-2,6,6-trimethylcyclohexyl)-but-3-en-2-one. We investigated the anti
growth and apoptotic effects of the trihydroxy ketone on PC-3 human androgen
independent prostate cancer cells using MTT assay and Annexin V-FITC
respectively. The molecular pathways involved to such effects were further
examined by evaluating its ability to inhibit (a) the NF-kappaB phosphorylation
(S536), (b) JNK and Akt phosphorylation (Thr183/Tyr185 and S473 respectively) and
(c) IL-6 production, using ELISA method. The anti-microbial effects of the
trihydroxy ketone against a panel of nine pathogenic bacteria and three fungi
were also assessed. The trihydroxy ketone exerted significant apoptotic activity
in PC-3 prostate cancer cells at 100 MUM, while it inhibited NF-kappaB
phosphorylation and IL-6 secretion at a concentration range 10(-6)-10(-4)M. Akt
and JNK signaling were not found to participate in this process. The trihydroxy
ketone exerted significant anti-microbial profile against many human pathogenic
bacteria and fungi (MIC values ranged from 0.04 to 0.57 mg/ml). Conclusively, the
Greek thyme honey-derived monoterpene exerted significant apoptotic activity in
PC-3 cells, mediated, at least in part, through reduction of NF-kappaB activity
and IL-6 secretion and may play a key role in the anti-growth effect of thyme
honey on prostate cancer cells.
PMID- 24932977
TI - Appropriate head position for nasotracheal intubation by using lightwand device
(Trachlight).
AB - The purpose of this study was to determine the relationship between the head
position and the subsequent ease of nasotracheal intubation by using the
lightwand device Trachlight (TL). Patients requiring nasotracheal intubation were
subdivided into 3 groups according to the intubated head position (group S:
sniffing position; group E: extension position; and group N: neutral position).
The number of attempts, the total intubation time, and the failures of the TL
intubation were recorded. Intubation difficulty by means of TL was assessed by
the ordinal 6-point scale. Of the 300 patients enrolled in the study, TL
intubation was successful in 91.3% of them. There was no significant difference
in the success rate of the first attempt between the groups. No correlation
between the ordinal scale and the head position was observed. The total
intubation time and the ratio of "unsuccessful" cases were not significantly
different among the 3 groups. TL is an effective alternative for patients who
require nasotracheal intubation. Our study did not determine the most favorable
head position for nasotracheal intubation with the TL, so we recommend that
nasotracheal intubation with TL be started with the head in the neutral position
and then changed to a more appropriate position, if necessary, on an individual
basis.
PMID- 24932978
TI - Lidocaine concentration in mandibular bone after subperiosteal infiltration
anesthesia decreases with elevation of periosteal flap and irrigation with
saline.
AB - It has been reported that the action of infiltration anesthesia on the jawbone is
attenuated significantly by elevation of the periosteal flap with saline
irrigation in clinical studies; however, the reason is unclear. Therefore, the
lidocaine concentration in mandibular bone after subperiosteal infiltration
anesthesia was measured under several surgical conditions. The subjects were 48
rabbits. Infiltration anesthesia by 0.5 mL of 2% lidocaine with 1 : 80,000
epinephrine (adrenaline) was injected into the right mandibular angle and left
mandibular body, respectively. Under several surgical conditions (presence or
absence of periosteal flap, and presence or absence of saline irrigation), both
mandibular bone samples were removed at a fixed time after subperiosteal
infiltration anesthesia. The lidocaine concentration in each mandibular bone
sample was measured by high-performance liquid chromatography. As a result,
elevation of the periosteal flap with saline irrigation significantly decreased
the lidocaine concentration in the mandibular bone. It is suggested that the
anesthetic in the bone was washed out by saline irrigation. Therefore,
supplemental conduction and/or general anesthesia should be utilized for long
operations that include elevation of the periosteal flap with saline irrigation.
PMID- 24932980
TI - Do patients fear undergoing general anesthesia for oral surgery?
AB - Many patients undergoing major surgery have more fear of the general anesthesia
than the procedure. This appears to be reversed with oral surgery. Therefore,
patients need to be as well informed about this aspect as the surgical operation.
PMID- 24932979
TI - Anesthetic efficacy of a combination of 0.5 M mannitol plus 36.8 mg of lidocaine
with 18.4 MUg epinephrine in maxillary infiltration: a prospective, randomized,
single-blind study.
AB - The purpose of this prospective, randomized, single-blind study was to determine
the anesthetic efficacy of lidocaine with epinephrine compared to lidocaine with
epinephrine plus 0.5 M mannitol in maxillary lateral incisor infiltrations. Forty
one subjects randomly received 2 maxillary lateral infiltrations consisting of a
1.84-mL solution of 36.8 mg lidocaine with 18.4 MUg epinephrine (control
solution) and a 2.90-mL solution of 36.8 mg lidocaine with 18.4 MUg epinephrine
(1.84 mL) plus 0.5 M mannitol (1.06 mL) in 2 separate appointments spaced at
least 1 week apart. The maxillary lateral incisor was blindly electric pulp
tested in 2-minute cycles for 60 minutes postinjection. No response from the
subject to the maximum output (a reading of 80) of the pulp tester was used as
the criterion for pulpal anesthesia. Total percent pulpal anesthesia was defined
as the total of all pulpal anesthesia readings (at output of 80) over the 60
minute test period. Pain during solution deposition and postoperative pain were
also measured. The results demonstrated that a 2.90-mL solution of 36.8 mg
lidocaine with 18.4 MUg epinephrine (1.84 mL) plus 0.5 M mannitol (1.06 mL) was
not statistically significantly superior to a 1.84-mL solution of 36.8 mg
lidocaine with 18.4 MUg epinephrine. The pain of solution deposition was lower
with the lidocaine/mannitol formulation. Postoperative pain was not statistically
significantly different between the lidocaine/mannitol formulation and the
lidocaine formulation without mannitol. We concluded that adding 0.5 M mannitol
to a lidocaine with epinephrine formulation was not significantly more effective
in achieving a greater percentage of total pulpal anesthesia (as defined in this
study) than a lidocaine formulation without mannitol in the maxillary lateral
incisor.
PMID- 24932981
TI - Cerebrovascular accident under anesthesia during dental surgery.
AB - Stroke, or cerebrovascular accident (CVA), is a medical emergency that may lead
to permanent neurological damage, complications, and death. The rapid loss of
brain function due to disruption of the blood supply to the brain is caused by
blockage (thrombosis, arterial embolism) or hemorrhage. The incidence of CVA
during anesthesia for noncardiac nonvascular surgery is as high as 1% depending
on risk factors. Comprehensive preoperative assessment and good perioperative
management may prevent a CVA. However, should an ischemic event occur,
appropriate and rapid management is necessary to minimize the deleterious effects
caused to the patient. This case report describes a patient who had an ischemic
CVA while under general anesthesia for dental alveolar surgery and discusses the
anesthesia management.
PMID- 24932982
TI - Essentials of airway management, oxygenation, and ventilation: part 1: basic
equipment and devices.
AB - Offices and outpatient dental facilities must be properly equipped with devices
for airway management, oxygenation, and ventilation. Optimizing patient safety
using crisis resource management (CRM) involves the entire dental office team
being familiar with airway rescue equipment. Basic equipment for oxygenation,
ventilation, and airway management is mandated in the majority of US dental
offices per state regulations. The immediate availability of this equipment is
especially important during the administration of sedation and anesthesia as well
as the treatment of medical urgencies/emergencies. This article reviews basic
equipment and devices essential in any dental practice whether providing local
anesthesia alone or in combination with procedural sedation. Part 2 of this
series will address advanced airway devices, including supraglottic airways and
armamentarium for tracheal intubation and invasive airway procedures.
PMID- 24932985
TI - Lubricin expression in human osteoarthritic knee meniscus and synovial fluid: a
morphological, immunohistochemical and biochemical study.
AB - The purpose of this study was to investigate the expression of lubricin, the
product of the human PRG4 (proteoglycan 4) gene, in menisci and synovial fluid
from normal donors and patients with osteoarthritis (OA), using a combination of
histology, immunohistochemistry, ELISA and Western blotting analysis, to provide
further insight on the role of this protein in the progression of OA and
pathological processes in the meniscus. Lubricin expression was studied in
samples from 40 patients and in 9 normal donors after arthroscopic partial
meniscectomy. Histological analysis confirmed normal microanatomy and the absence
of structural changes in control samples. Menisci derived from OA patients showed
evidence of structural alterations, fibrillations and clefts. Immunohistochemical
analysis revealed very strong lubricin immunostaining in normal menisci in
contrast to weak/moderate staining seen in osteoarthritic menisci. Quantitative
ELISA and Western blot analysis confirmed the above results. The findings of this
study support the notion that changes in lubricin expression and boundary
lubricating ability of cartilage is followed by the development of OA. This study
could provide the biological foundation for the development of novel therapeutic
treatments, to be applied before the surgery, for the prevention of post
traumatic cartilage damage.
PMID- 24932986
TI - Magnetic resonance-guided high-intensity focused ultrasound treatment of locally
advanced pancreatic adenocarcinoma: preliminary experience for pain palliation
and local tumor control.
AB - PURPOSE: The purpose of this study was to evaluate the feasibility of magnetic
resonance-guided focused ultrasound (MRgFUS) ablation for pain palliation and
local tumor control in selected patients with unresectable primary pancreatic
adenocarcinoma. MATERIALS AND METHODS: After providing dedicated informed
consent, 7 patients with histologically proven unresectable pancreatic
adenocarcinoma underwent MRgFUS treatment on a dedicated 3-T unit featuring a
dedicated ablation system. All lesions were evaluated for device accessibility
before the treatment. Procedures of MRgFUS were performed with the patients under
general anesthesia with constant controlled respiration. Clinical assessment
included evaluation of symptom severity using a visual analog scale before and
after the treatment. Imaging follow-up, including both computed tomographic and
magnetic resonance examinations, was performed immediately after the treatment
and at 3 and 6 months to evaluate the effects of MRgFUS on the targeted tumor and
the occurrence, if any, of procedure-related complications. RESULTS: The MRgFUS
ablation was successfully performed in 6 patients; no adverse events were
observed during or after the procedure. In a single patient, lesion accessibility
was limited at treatment time, and the procedure was suspended. The visual analog
scale score decreased in all patients from a mean (SD) of 7 (1) to 3 (1) after
the treatment. Follow-up imaging results revealed negligible (n = 1) or no (n =
5) tumor regrowth within the ablation area. One patient died because of a
metastatic disease 13 months after the treatment, whereas the other 5 are
nonprogressing survivors at 6 and 8 months after the treatment. CONCLUSIONS: Our
preliminary clinical experience suggests that MRgFUS is a feasible and repeatable
ablative technique in selected patients with unresectable and device-accessible
pancreatic adenocarcinoma.
PMID- 24932987
TI - Modified simple limbal epithelial transplantation using cryopreserved amniotic
membrane for unilateral limbal stem cell deficiency.
AB - PURPOSE: To report the results of simple limbal epithelial transplantation using
a double-layered cryopreserved amniotic membrane graft for the management of
unilateral limbal stem cell deficiency. DESIGN: Retrospective case series.
METHODS: Four consecutive patients with unilateral partial (2 eyes) and total (2
eyes) limbal stem cell deficiency secondary to ocular surface burns (2 eyes),
trauma (1 eye) and conjunctival melanoma treatment (1 eye) underwent modified
simple limbal epithelial transplantation at Bascom Palmer Eye Institute.
Preoperative and postoperative visual acuity and quality of corneal epithelium
were evaluated. RESULTS: The patients were followed up for a mean +/- standard
deviation of 7.5 +/- 1.3 months. The donor eye returned to a healthy state in all
patients. All patients had significant improvement in visual acuity and
resolution of ocular pain in the affected eye. Regular corneal epithelium and a
quiet ocular surface were obtained in all patients by a median of 4 weeks.
CONCLUSIONS: Compared with the currently used surgical techniques for management
of limbal stem cell deficiency, simple limbal epithelial transplantation seems to
be a safe, reproducible, and effective alternative. The use of a double layer
allows more protection for the explant without impacting outcomes. Also, the use
of cryopreserved amniotic membrane allows surgeons to perform this procedure in
the Unites States. More cases with longer follow-up will be needed to assess the
outcomes further.
PMID- 24932988
TI - Pathogenesis and treatment of maculopathy associated with cavitary optic disc
anomalies.
AB - PURPOSE: To propose a unifying theory regarding the pathogenesis of maculopathy
associated with cavitary optic disc anomalies and to describe a rational approach
to achieving a permanent cure in affected eyes. DESIGN: Interpretive essay.
METHODS: Review and synthesis of selected literature, with interpretation and
perspective in relating pathoanatomic features to pathogenesis and treatment.
RESULTS: Congenital cavitary anomalies of the optic disc, including typical
coloboma, optic pit (and other atypical colobomas), morning glory anomaly, and
extrapapillary cavitation, are associated with an enigmatic maculopathy
characterized by schisis-like thickening and serous detachment. The unifying
anatomic theme of these anomalies is the presence of a scleral (or lamina
cribrosa) defect permitting anomalous communications between intraocular and
extraocular spaces. These communications enable the critical pathogenic mechanism
responsible for the maculopathy, namely, dynamic fluctuations in the gradient
between intraocular and intracranial pressures that direct the movement of fluid
(vitreous humor or cerebrospinal fluid) into and under the retina. Vitreous
traction does not seem to play a significant pathogenic role. Permanent cure of
the maculopathy requires either elimination of the translaminar pressure gradient
or closure of the pathway for fluid flow into the retina. We advocate carefully
titrated juxtapapillary laser photocoagulation followed by vitrectomy with gas
tamponade for creation of a permanent intraretinal and subretinal fluid barrier.
CONCLUSIONS: The peculiar features of cavitary optic disc maculopathy can be
explained only by considering the pressure gradients that develop along anomalous
communications between intraocular and extraocular spaces. A permanent cure for
this condition can be achieved by closing the pathway for fluid migration from
the cavitary lesion into and under the retina.
PMID- 24932989
TI - A prospective randomized clinical evaluation of 3 presbyopia-correcting
intraocular lenses after cataract extraction.
AB - PURPOSE: To compare contrast sensitivity, visual acuity (VA), and halos in
subjects bilaterally implanted with 1 of 3 FDA-approved presbyopia-correcting
intraocular lenses. DESIGN: Prospective, randomized, partially masked,
multicenter clinical trial. METHODS: Seventy-eight subjects were randomized
sequentially for bilateral implantation with the Crystalens AO (Bausch & Lomb
Surgical), AcrySof IQ ReSTOR +3.0 (Alcon Laboratories), or Tecnis Multifocal
(Abbott Medical Optics) lenses. Subjects were evaluated through visit 4 (4 to 6
months after surgery) with the following monocular and binocular assessments:
high- and low-contrast VA, contrast sensitivity without glare, halos or
starbursts, defocus curves, optical scatter, retinal point spread function, and
safety. RESULTS: The Crystalens AO and ReSTOR +3.0 demonstrated better monocular
and binocular contrast sensitivity without glare at low to mid spatial
frequencies compared with the Tecnis Multifocal lens. Binocular uncorrected
distance VA was not significantly different between the 3 lenses. The Crystalens
AO had significantly better binocular low-contrast distance-corrected VA than the
ReSTOR +3.0 and better mean monocular low-contrast DCVA than the Tecnis
Multifocal lens. The Crystalens AO demonstrated significantly better monocular
and binocular uncorrected and distance-corrected intermediate VA than the ReSTOR
+3.0 or Tecnis Multifocal lenses. The ReSTOR+3.0 lens had significantly better
monocular and binocular uncorrected and distance-corrected near VA tested at 40
cm compared with the Crystalens AO and Tecnis Multifocal lens. The Crystalens AO
elicited significantly less halos than the Tecnis Multifocal lens and less
optical scatter than the ReSTOR +3.0 or Tecnis Multifocal lens. CONCLUSIONS: The
Crystalens AO had statistically better uncorrected intermediate VA and distance
corrected intermediate VA than the ReSTOR +3.0 or Tecnis Multifocal lenses and
fewer photic phenomenon than the Tecnis Multifocal lens. Both multifocals had
better distance-corrected near VA and uncorrected near VA than the Crystalens AO.
These findings may guide intraocular lens selection for individual patients
seeking to optimize vision at specific vergences or lighting conditions.
PMID- 24932990
TI - Norsampsones A-D, four new decarbonyl polycyclic polyprenylated
acylphloroglucinols from Hypericum sampsonii.
AB - Norsampsones A-D (1-4), four new decarbonyl polycyclic polyprenylated
acylphloroglucinols, together with a new biogenetically related compound
hypersampsone M (5), were isolated from the aerial parts of Hypericum sampsonii.
Norsampsones A-D featured an unprecedented carbon skeleton with the loss of C-2
carbonyl in the phloroglucinol ring. All structures were determined by extensive
NMR spectroscopic methods, ECD calculation, and single-crystal X-ray diffraction.
PMID- 24932991
TI - Exercise enhances wound healing and prevents cancer progression during aging by
targeting macrophage polarity.
AB - Physical activity, which can include regular and repetitive exercise training,
has been shown to decrease the incidence of age-related diseases. Aging is
characterized by aberrant immune responses, including impaired wound healing and
increased cancer risk. The behavior and polarized phenotype of tissue macrophages
are distinct between young and old organisms. The balance of M1 and M2
macrophages is altered in the aged tissue microenvironment, with a tilt towards
an M2-dominant macrophage population, as well as its associated signaling
pathways. These M2-type responses may result in unresolved inflammation and
create an environment that impairs wound healing and is favorable for cancer
growth. We discuss the concept that exercise training can improve the regulation
of macrophage polarization and normalize the inflammatory process, and thereby
exert anticancer effects and enhance wound healing in older humans.
PMID- 24932992
TI - Renzo Guerrini: seeing things other people don't see.
PMID- 24932995
TI - Effectively responding to tactile stimulation: do homologous cue and effector
locations really matter?
AB - We report a study designed to investigate the extent to which speeded behavioral
responses following tactile stimulation are influenced by differences in neural
conduction latencies at different body sites and/or by the characteristics of the
compatibility between the cue and effector. The results showed that it may not be
particularly desirable to present tactile cues (e.g., warning signals) to an
interface operator's feet if a speeded foot response is required, for even though
such an arrangement maximizes the set-level compatibility between the stimulus
and the response, it turns out that response latencies are primarily determined
by conduction latencies through the peripheral nervous system.
PMID- 24932996
TI - Audiovisual beat induction in complex auditory rhythms: point-light figure
movement as an effective visual beat.
AB - This study investigated whether explicit beat induction in the auditory, visual,
and audiovisual (bimodal) modalities aided the perception of weakly metrical
auditory rhythms, and whether it reinforced attentional entrainment to the beat
of these rhythms. The visual beat-inducer was a periodically bouncing point-light
figure, which aimed to examine whether an observed rhythmic human movement could
induce a beat that would influence auditory rhythm perception. In two tasks,
participants listened to three repetitions of an auditory rhythm that were
preceded and accompanied by (1) an auditory beat, (2) a bouncing point-light
figure, (3) a combination of (1) and (2) synchronously, or (4) a combination of
(1) and (2), with the figure moving in anti-phase to the auditory beat.
Participants reproduced the auditory rhythm subsequently (Experiment 1), or
detected a possible temporal change in the third repetition (Experiment 2). While
an explicit beat did not improve rhythm reproduction, possibly due to the
syncopated rhythms when a beat was imposed, bimodal beat induction yielded
greater sensitivity to a temporal deviant in on-beat than in off-beat positions.
Moreover, the beat phase of the figure movement determined where on-beat accents
were perceived during bimodal induction. Results are discussed with regard to
constrained beat induction in complex auditory rhythms, visual modulation of
auditory beat perception, and possible mechanisms underlying the preferred visual
beat consisting of rhythmic human motions.
PMID- 24932994
TI - The effects of school holidays on transmission of varicella zoster virus, England
and Wales, 1967-2008.
AB - BACKGROUND: Changes in children's contact patterns between termtime and school
holidays affect the transmission of several respiratory-spread infections.
Transmission of varicella zoster virus (VZV), the causative agent of chickenpox,
has also been linked to the school calendar in several settings, but temporal
changes in the proportion of young children attending childcare centres may have
influenced this relationship. METHODS: We used two modelling methods (a simple
difference equations model and a Time series Susceptible Infectious Recovered
(TSIR) model) to estimate fortnightly values of a contact parameter (the per
capita rate of effective contact between two specific individuals), using GP
consultation data for chickenpox in England and Wales from 1967-2008. RESULTS:
The estimated contact parameters were 22-31% lower during the summer holiday than
during termtime. The relationship between the contact parameter and the school
calendar did not change markedly over the years analysed. CONCLUSIONS: In England
and Wales, reductions in contact between children during the school summer
holiday lead to a reduction in the transmission of VZV. These estimates are
relevant for predicting how closing schools and nurseries may affect an outbreak
of an emerging respiratory-spread pathogen.
PMID- 24932997
TI - Outcomes of programs serving mothers with psychiatric disabilities and their
young children: a multisite case file abstraction study.
AB - OBJECTIVE: This multisite study examined outcomes of mothers with mental
illnesses receiving integrated clinical, rehabilitation, and parenting services
for their preschool-age children. Mothers' outcomes included independent living
and employment status, custody loss and reunification, psychiatric
hospitalization, and substance abuse. METHOD: Retrospective case file abstraction
yielded data regarding 104 mothers served over 12-month periods during 1995-1999
at 4 programs located in 3 different states. Multivariable logistic regression
(MLR) analysis explored associations between outcomes and predictors from prior
research, controlling for study site. RESULTS: During their first 12 months of
program participation, significant increases were found in the proportions of
mothers employed and living independently. Significant decreases were noted in
the proportion of mothers abusing substances. Although 10% of the women served
lost formal custody during their first year of participation, 22% were reunited
with 1 or more children. In MLR analysis, mothers who abused substances were more
likely to have experienced childhood sexual abuse and custody loss; those who
were psychiatrically hospitalized were more likely to have abused substances,
lost custody of 1 or more children, and had more disabling forms of mental
illness; and those who lost custody of 1 or more children were more likely to
have experienced a psychiatric hospitalization and less likely to be residing
with 3 or more children. CONCLUSIONS: Programs for mothers with mental illness
and their preschool children address service needs in an integrated fashion that
appears to improve clinical and rehabilitation outcomes, while preventing custody
loss and supporting reunification.
PMID- 24932993
TI - Malformations of cortical development: clinical features and genetic causes.
AB - Malformations of cortical development are common causes of developmental delay
and epilepsy. Some patients have early, severe neurological impairment, but
others have epilepsy or unexpected deficits that are detectable only by
screening. The rapid evolution of molecular biology, genetics, and imaging has
resulted in a substantial increase in knowledge about the development of the
cerebral cortex and the number and types of malformations reported. Genetic
studies have identified several genes that might disrupt each of the main stages
of cell proliferation and specification, neuronal migration, and late cortical
organisation. Many of these malformations are caused by de-novo dominant or X
linked mutations occurring in sporadic cases. Genetic testing needs accurate
assessment of imaging features, and familial distribution, if any, and can be
straightforward in some disorders but requires a complex diagnostic algorithm in
others. Because of substantial genotypic and phenotypic heterogeneity for most of
these genes, a comprehensive analysis of clinical, imaging, and genetic data is
needed to properly define these disorders. Exome sequencing and high-field MRI
are rapidly modifying the classification of these disorders.
PMID- 24932998
TI - JAK2, STAT3 and SOCS3 gene expression in women with and without breast cancer.
AB - INTRODUCTION: Breast cancer is a disease that arises from the accumulation of
alterations in the genome of cells that make up the mammary gland. The Janus
kinase 2 (JAK2)/signal transducer and activator of transcription 3 (STAT3)
signaling pathway has been reported to participate in the development of breast
cancer and is activated by adipocytokines such as leptin, which are elevated in
obesity. In contrast, alterations in suppressor of cytokine signaling 3 (SOCS3)
gene expression have been found in patients with decreased breast cancer
metastasis. OBJECTIVE: The current study sought to identify whether JAK2, STAT3
and SOCS3 gene expression is associated with body mass index (BMI) and breast
cancer. METHODS: This was a cross-sectional prospective study. JAK2, STAT3 and
SOCS3 gene expression levels were determined using RT-qPCR from the biopsies of
26 patients with breast cancer and 43 patients with benign breast lesions. We
compared the expression of these genes, relative to the housekeeping genes, ACTB
and GAPDH, against BMI, clinical stage and immunohistochemistry. RESULTS: STAT3
gene expression was increased in breast cancer patients (p<=0.001; AUC=0.65; AUC
95% CI: 0.5-0.8), and SOCS3 expression was decreased in obese patients with
benign breast lesions (p<=0.001; AUC=0.51; AUC 95% CI: 0.36-0.65). With regard to
the clinical stage, there were significant differences in STAT3 gene expression
between stage II and III (p<=0.011) and stage II and IV (p<=0.033) breast
cancers. Among all women, there was a positive correlation between JAK2 and STAT3
expression (R=0.493, p=0.000). In addition, breast cancers that were negative for
HER2 were associated with JAK2 and SOCS3 (R=0.645, p=0.003). CONCLUSION: High
levels of STAT3 expression were associated with early stages of breast cancer
development and patients in the control group with obesity showed higher
expression of SOCS3 regarding overweight.
PMID- 24932999
TI - Exploring the molecular mechanism of acute heat stress exposure in broiler
chickens using gene expression profiling.
AB - The process of heat regulation is complex and its exact molecular mechanism is
not fully understood. In this study, to investigate the global gene regulation
response to acute heat exposure, gene microarrays were exploited to analyze the
effects of heat stress on three tissues (brain, liver, leg muscle) of the yellow
broiler chicken (Gallus gallus). We detected 166 differentially expressed genes
(DEGs) in the brain, 219 in the leg muscle and 317 in the liver. Six of these
genes were differentially expressed in all three tissues and were validated by
qRT-PCR, and included heat shock protein genes (HSPH1, HSP25), apoptosis-related
genes (RB1CC1, BAG3), a cell proliferation and differentiation-related gene (ID1)
and the hunger and energy metabolism related gene (PDK). All these genes might be
important factors in chickens suffering from heat stress. We constructed gene co
expression networks using the DEGs of the brain, leg muscle and liver and two,
four and two gene co-expression modules were identified in these tissues,
respectively. Functional enrichment of these gene modules revealed that various
functional clusters were related to the effects of heat stress, including those
for cytoskeleton, extracellular space, ion binding and energy metabolism. We
concluded that these genes and functional clusters might be important factors in
chickens under acute heat stress. Further in-depth research on the newly
discovered heat-related genes and functional clusters is required to fully
understand their molecular functions in thermoregulation.
PMID- 24933000
TI - Challenges in assessing pathogenicity based on frequency of variants in mismatch
repair genes: an extreme case of a MSH2 variant and a meta-analysis.
AB - The clinical interpretation of variants in mismatch repair (MMR) genes associated
with Lynch syndrome can be confusing when the functional nature of the variant is
not clearly defined. We report an extreme case where a polymorphism in the MSH2
gene which had a low minor allele frequency, was misclassified as a mutation
based on low evidential methods in the database and previous publications. We
expanded this experience to perform a systematic meta-analysis in order to
investigate other variants that have potentially been misclassified. Our results
suggested that the interpretation of pathogenicity should be more cautious and
emphasized the need for solid validation through multiple analyses including
functional analysis for variants in MMR genes.
PMID- 24933001
TI - GC constituents and relative codon expressed amino acid composition in
cyanobacterial phycobiliproteins.
AB - The genomic as well as structural relationship of phycobiliproteins (PBPs) in
different cyanobacterial species are determined by nucleotides as well as amino
acid composition. The genomic GC constituents influence the amino acid
variability and codon usage of particular subunit of PBPs. We have analyzed 11
cyanobacterial species to explore the variation of amino acids and causal
relationship between GC constituents and codon usage. The study at the first,
second and third levels of GC content showed relatively more amino acid
variability on the levels of G3+C3 position in comparison to the first and second
positions. The amino acid encoded GC rich level including G rich and C rich or
both correlate the codon variability and amino acid availability. The fluctuation
in amino acids such as Arg, Ala, His, Asp, Gly, Leu and Glu in alpha and beta
subunits was observed at G1C1 position; however, fluctuation in other amino acids
such as Ser, Thr, Cys and Trp was observed at G2C2 position. The coding selection
pressure of amino acids such as Ala, Thr, Tyr, Asp, Gly, Ile, Leu, Asn, and Ser
in alpha and beta subunits of PBPs was more elaborated at G3C3 position. In this
study, we observed that each subunit of PBPs is codon specific for particular
amino acid. These results suggest that genomic constraint linked with GC
constituents selects the codon for particular amino acids and furthermore, the
codon level study may be a novel approach to explore many problems associated
with genomics and proteomics of cyanobacteria.
PMID- 24933003
TI - The impact of respiratory gating on lung dosimetry in stereotactic body
radiotherapy for lung cancer.
AB - The purpose of this study was to evaluate the impacts of respiratory gating and
different gating windows (GWs) on lung dosimetry in stereotactic body
radiotherapy (SBRT) for lung cancer. Gated SBRT plans were developed using the
four-dimensional computed tomography data from 17 lung cancer patients treated
with SBRT. Using amplitude-based end-exhalation gating, we established 2 fixed
GWs with approximate duty cycles of 50% (50% GW) and 25% (25% GW), respectively,
for this study. For highly mobile tumors (3D mobility > 10 mm), additional
benefits in lung-dose reductions were achieved with the 25% GW, as a result of
inadequate mobility and planning target volume reductions obtained with the 50%
GW. In these tumors, the absolute differences compared to the non-gated and 50%
gated plans, were 0.5 Gy and 0.33 Gy for the mean lung dose and 1.11% and 0.71%
for the V20, respectively. Dosimetric benefits were achieved with the 50% GW,
compared with the non-gated plan, for tumors with both low mobility and small
volume (gross tumor volume <= 10 cc). Among the identified predictive factors of
dosimetric benefits, the lateral distance from midspinal canal and the motion
range in anterior-posterior direction might be stronger factors because of their
correlations with many of the lung-dose parameters and greater predictive
capacity. The results of the present study might facilitate the selection of
appropriate patients and the optimal GW according to the tumor characteristics
for gated lung SBRT.
PMID- 24933002
TI - Common variants of xeroderma pigmentosum genes and prostate cancer risk.
AB - The genetic basis of prostate cancer (PC) is complex and appears to involve
multiple susceptibility genes. A number of studies have evaluated a possible
correlation between several NER gene polymorphisms and PC risk, but most of them
evaluated only single SNPs among XP genes and the results remain inconsistent.
Out of 94 SNPs located in seven XP genes (XPA-XPG) a total of 15 SNPs were
assayed in 720 unselected patients with PC and compared to 1121 healthy adults.
An increased risk of disease was associated with the XPD SNP, rs1799793
(Asp312Asn) AG genotype (OR=2.60; p<0.001) and with the AA genotype (OR=531;
p<0.0001) compared to the control population. Haplotype analysis of XPD revealed
one protective haplotype and four associated with an increased disease risk,
which showed that the A allele (XPD rs1799793) appeared to drive the main effect
on promoting prostate cancer risk. Polymorphism in XPD gene appears to be
associated with the risk of prostate cancer.
PMID- 24933004
TI - Balance as a measurement of fatigue in postcall residents.
AB - OBJECTIVES/HYPOTHESIS: To determine the degree to which balance is impaired by
fatigue in postcall medical residents and to assess the validity of posturography
in quantifying that impairment. STUDY DESIGN: Prospective study. METHODS: Medical
residents underwent the following tests before and immediately after overnight
call: 1) computerized dynamic posturography (CDP) using the Equitest force
platform (Natus Medical Inc., Clackamas, OR); 2) Clinical Test of Sensory
Interaction and Balance, in which the residents stood on a compliant foam mat
with closed eyes and flexed and extended their necks; 3) a computerized reaction
time test (available at www.humanbenchmark.com). All tests were performed before
and after call at approximately the same time of day to exclude circadian rhythm
influence on fatigue. The residents also completed questionnaires, including the
Stanford Sleepiness Scale, describing their level of fatigue before and after
call. RESULTS: A statistically significant difference was found between a
resident's pre- and postcall test with regard to reaction time (P = .01),
Stanford Sleepiness Scale score (P = .001), and preference for the visual system
as measured by CDP (P = .05). Linear regression analysis showed a statistically
significant relationship between sleep deprivation and multiple performance
variables measured by CDP. CONCLUSIONS: With the growing attention paid to
resident duty hours, there is an increasing need for research involving fatigue
and practical ways to measure it. This study shows that residents who are
measurably fatigued (both objectively and subjectively) may have difficulty
utilizing vestibular input during quiet standing but can compensate by means of
somatosensory and visual input. LEVEL OF EVIDENCE: NA.
PMID- 24933005
TI - Proteomic profiling of chikungunya virus-infected human muscle cells: reveal the
role of cytoskeleton network in CHIKV replication.
AB - Chikungunya virus (CHIKV) is an arthropod-borne, positive-sense, single-stranded
RNA virus belonging to genus Alphavirus and family Togaviridae. The clinical
manifestations developed upon CHIKV-infection include fever, myositis, arthralgia
and maculopapular rash. Thus, the re-emergence of CHIKV has posed serious health
threats worldwide. Due to the fact that myositis is induced upon CHIKV-infection,
we sought to understand the dynamic proteomic regulation in SJCRH30, a human
rhabdomyosarcoma cell line, to gain insights on CHIKV pathogenesis. Two
dimensional gel electrophoresis (2DE) in combination of matrix-assisted laser
desorption/ionization time-of-flight mass spectrometry (MALDI-TOF MS) was used to
profile differential cellular proteins expression in CHIKV-infected SJCRH30
cells. 2DE analysis on CHIKV-infected cells has revealed 44 protein spots. These
spots are found to be involved in various biological pathways such as
biomolecules synthesis and metabolism, cell signaling and cellular
reorganization. siRNA-mediated gene silencing on selected genes has elucidated
the biological significance of these gene-translated host proteins involved in
CHIKV-infection. More importantly, the interaction of vimentin with non
structural protein (nsP3) of CHIKV was shown, suggesting the role played by
vimentin during CHIKV replication by forming an anchorage network with the CHIKV
replication complexes (RCs). BIOLOGICAL SIGNIFICANCE: Chikungunya virus (CHIKV)
is a re-emerging virus that has caused various disease outbreaks in Africa and
Asia. The clinical symptoms of CHIKV-infection include fever, skin rash,
recurrent joint paint, and myositis. Neuronal implications and death may be
resulted from the severe viral infection. Up to date, there are no effective
treatments and vaccines against CHIKV-infection. More importantly, little is
known about the differential regulation of host proteins upon CHIKV infection,
hence deciphering the viral-host cell interactions during viral infection provide
critical information on our understanding on the mechanisms of virus infection
and its dependency of host proteins for replication. In light of the muscle
related clinical manifestations of myositis resulting from CHIKV-infection, human
rhabdomyosarcoma cells, SJCRH30 were utilized in this protein profiling study, in
order to decipher the pathogenesis of CHIKV. This study has identified an arrays
of host proteins that are differentially regulated upon CHIKV infection including
that of the cytoskeletal protein, vimentin that plays significant role in aiding
the replication of CHIKV within the host cells through 2DE assay.
Immunofluorescence assay further shows that the novel interaction between
cytoskeleton structure and CHIKV replication complex by forming an intercalating
network around the replication complexes and facilitating various stages of the
virus life cycle. This novel finding has inevitably led to a deeper understanding
of CHIKV pathogenesis in revealing the importance of host proteins during CHIKV
replication, as well as contributing to the development of specific antiviral
strategies against this medically important viral pathogen.
PMID- 24933006
TI - Phospho-proteomic analysis of developmental reprogramming in the moss
Physcomitrella patens.
AB - One of the most common post-translational modifications is protein
phosphorylation, which controls many activities of plant life. However, its role
in the reprogramming of developmental pathways of plant cells remains elusive.
Here, using Physcomitrella patens, we characterize the phospho-proteome for
protonemata, protoplasts made therefrom, and protoplasts regenerated for 2d.
Through a titanium dioxide (TiO2)-based phospho-peptide enrichment method and
liquid chromatography-tandem mass spectrometry (LC-MS/MS), more than 2000 phospho
proteins were identified. Among the 519 proteins with functional annotation in
fresh protoplasts and protoplasts regenerated for 2d, proteins involved in
epigenetic modification, post-transcriptional gene regulation, hormone signal
transduction, and meristem maintenance have been previously reported to be
important for developmental reprogramming. Several novel transcription factors
including SWI/SNF complex protein, SNF2 family protein and MADS-domain
transcription factor appear to be important in developmental reprogramming plant
cells. Phosphorylation of marker proteins such as somatic embryogenesis receptor
kinase and NAC transcription factor, suggests that this post-translational
modification is vital for the cell's ability to adjust its developmental program.
Together, our study presents a more complete understanding of the plant cell's
developmental reprogramming. BIOLOGICAL SIGNIFICANCE: Protoplast regeneration is
an ideal model system for investigating developmental reprogramming in plants.
Here, for Physcomitrella patens, we characterize the phospho-proteome for
protonemata, protoplasts made therefrom, and for protonemata regenerated from the
protoplasts for 2d. Among the 519 proteins with functional annotation in fresh
protoplasts and protoplasts regenerated for 2d, proteins involved in epigenetic
modification, post-transcriptional gene regulation, hormone signal transduction,
and meristem maintenance have been reported to be important for expression of
developmental reprogramming. Together, our study presents a more complete
understanding of the plant cell's developmental reprogramming.
PMID- 24933007
TI - Abnormal hepatocellular mitochondria in methylmalonic acidemia.
AB - Methylmalonic acidemia (MMA) is one of the most frequently encountered forms of
branched-chain organic acidemias. Biochemical abnormalities seen in some MMA
patients, such as lactic acidemia and increased tricarboxylic acid cycle
intermediate excretion, suggest mitochondrial dysfunction. In order to
investigate the possibility of mitochondrial involvement in MMA, we examined
liver tissue for evidence of mitochondrial ultrastructural abnormalities. Five
explanted livers obtained from MMA mut(0) patients undergoing liver
transplantation were biopsied. All patients had previous episodes of metabolic
acidosis, lactic acidemia, ketonuria, and hyperammonemia. All biopsies revealed a
striking mitochondriopathy by electron microscopy. Mitochondria were markedly
variable in size, shape, and conformation of cristae. The inner matrix appeared
to be greatly expanded and the cristae were diminutive and disconnected. No
crystalloid inclusions were noted. This series clearly documents extensive
mitochondrial ultrastructure abnormalities in liver samples from MMA patients
undergoing transplantation, providing pathological evidence for mitochondrial
dysfunction in the pathophysiology of MMA mut(0). Considering the trend to
abnormally large mitochondria, the metabolic effects of MMA may restrict
mitochondrial fission or promote fusion. The correlation between mitochondrial
dysfunction and morphological abnormalities in MMA may provide insights for
better understanding and monitoring of optimized or novel therapeutic strategies.
PMID- 24933009
TI - Response to Snijders et al.
PMID- 24933008
TI - Himalayan-Tibetan plateau uplift drives divergence of polyploid poppies:
Meconopsis Viguier (Papaveraceae).
AB - Meconopsis Viguier (Papaveraceae) is an iconic genus of alpine forbs that
includes medicinal and ornamental species. This study extends previous
phylogenetic analyses of Meconopsis, using ITS sequences representing all the
major Meconopsis clades. Phenotypic traits are also analysed for all described
species. Our results show that Meconopsis evolved as a >= octaploid clade, with
considerable interior structure reflecting further changes in ploidy levels as
well as phenotypic differentiation. We support the exclusion of a few species as
Cathcartia or Papaver, making Meconopsis a Tibetan region clade. Based on average
rates of nucleotide substitution in angiosperm herbs we estimate that the
Meconopsis clade diverged from the Meconella clade of Papaver approximately 16.6
Ma. This is soon after the 'hard' collision of the Indian continent with Asia
caused uplift of the Himalaya and Hengduan ranges, greatly extended the Tibetan
plateau, and initiated monsoonal climates. Eight major clades within Meconopsis
are well supported and these correspond closely to previously recognised subgenus
groups. However, the relationship among the clades is poorly resolved, probably
because they diverged rapidly ~15-11 Ma. Two of these clades are ~dodecaploid but
appear to have originated independently. The eight clades have distinct
distributions, variously associated with the Himalaya, the eastern Plateau and
Hengduan ranges. Some Meconopsis species were not monophyletic, suggesting that a
combination of multilocus molecular and phenotypic traits is required when
defining and revising species.
PMID- 24933010
TI - Nasal NO, high-speed video microscopy, electron microscopy, and genetics: a
primary ciliary dyskinesia puzzle to complete.
PMID- 24933011
TI - Multi-Channel neurodegenerative pattern analysis and its application in
Alzheimer's disease characterization.
AB - Neuroimaging has played an important role in non-invasive diagnosis and
differentiation of neurodegenerative disorders, such as Alzheimer's disease and
Mild Cognitive Impairment. Various features have been extracted from the
neuroimaging data to characterize the disorders, and these features can be
roughly divided into global and local features. Recent studies show a tendency of
using local features in disease characterization, since they are capable of
identifying the subtle disease-specific patterns associated with the effects of
the disease on human brain. However, problems arise if the neuroimaging database
involved multiple disorders or progressive disorders, as disorders of different
types or at different progressive stages might exhibit different degenerative
patterns. It is difficult for the researchers to reach consensus on what brain
regions could effectively distinguish multiple disorders or multiple progression
stages. In this study we proposed a Multi-Channel pattern analysis approach to
identify the most discriminative local brain metabolism features for
neurodegenerative disorder characterization. We compared our method to global
methods and other pattern analysis methods based on clinical expertise or
statistics tests. The preliminary results suggested that the proposed Multi
Channel pattern analysis method outperformed other approaches in Alzheimer's
disease characterization, and meanwhile provided important insights into the
underlying pathology of Alzheimer's disease and Mild Cognitive Impairment.
PMID- 24933012
TI - Learning curve and long-term outcomes of laparoscopy-assisted distal gastrectomy
for gastric cancer.
AB - OBJECTIVE: To discuss the learning curve of laparoscopy-assisted distal
gastrectomy (LADG) with lymph node dissection and the oncological results and
long-term outcomes of different periods in the learning stage. PATIENTS AND
METHODS: One hundred twenty-four patients with gastric cancer who received LADG
with lymph node dissection from January 2004 to December 2009 were
retrospectively reviewed and analyzed. They were divided into three groups (A-C)
according to different operative date: 41 were in Group A (early), 41 in Group B
(middle), and 42 in Group C (laer). There were no significant statistical
differences among the three groups with respect to age, gender, early/advanced
gastric cancer, Union for International Cancer Control stage, and lymph node
dissection. Then the following items were compared in these groups: operative
time, blood loss, number of lymph nodes harvested, postoperative complications,
postoperative hospital stay, and long-term survival. RESULTS: The operative time
in Group A (235.0+/-50.3 minutes) was significantly longer than in Groups B
(201.7+/-39.6 minutes) and C (199.0+/-44.7 minutes), but there was no significant
difference between Groups B and C. The harvest of lymph nodes from Group A
(11.0+/-5.5) was significantly less than from Groups B (16.3+/-9.2) and C (17.2+/
8.7), but there was no significant difference between Groups B and C. The
postoperative hospital stay and complications, overall survival, and disease-free
survival showed no difference among the three groups. CONCLUSIONS: The learning
curve of gastrointestinal surgeons with experience of laparoscopic operation is
about 40 cases. The oncological principles and long-term outcomes were not
sacrificed during the learning stage.
PMID- 24933013
TI - From childhood trauma to elevated C-reactive protein in adulthood: the role of
anxiety and emotional eating.
AB - OBJECTIVE: Childhood trauma is known to be related to inflammatory processes in
adulthood, but underlying psychological/behavioral mechanisms have not been fully
characterized. To investigate associations between childhood trauma and
inflammation (indexed by C-reactive protein [CRP]), we used a structural equation
modeling approach on a subsample of the Midlife in the United States biomarker
project. METHODS: Participants included 687 men and women without history of
cancer, diabetes, cardiovascular disease, or stroke who completed a physical
examination and extensive questionnaires and provided blood. To test for sex
differences, we held as many parameters invariant across sexes as possible while
still retaining good model fit. RESULTS: Tests of direct and indirect effects
revealed that childhood trauma was significantly associated with elevated CRP,
via elevated body mass index (BMI; p < .001). This relationship was mediated by a
broad latent measure of distress, which was associated with using food as a
coping mechanism. Men and women differed in reported levels of physical abuse,
sexual abuse, and physical neglect. Compared with men, women showed a stronger
association between BMI and CRP, whereas men had a stronger association between
use of food to cope and elevated BMI. CONCLUSIONS: Our results are consistent
with a model in which childhood trauma is associated with elevated CRP, a
relationship associated with stress reactivity and compensatory emotional eating.
Men and women may experience trauma in qualitatively distinct patterns but share
many vulnerabilities, which can lead to elevated health risks. Emotional eating
may be an important target for intervention in this population.
PMID- 24933014
TI - Personality facets and all-cause mortality among Medicare patients aged 66 to 102
years: a follow-on study of Weiss and Costa (2005).
AB - OBJECTIVE: To investigate associations between personality facets and survival
during an 8-year follow-up. METHODS: In 597 Medicare recipients (age, 66-102
years) followed up for approximately 8 years, personality domains and facets were
assessed using the Revised NEO Personality Inventory (NEO-PI-R). This study
builds on a previous study which used proportional hazards regression to test
whether the NEO-PI-R factor and selected facet scores were associated with
mortality risk. That study revealed that the neuroticism facet impulsiveness,
agreeableness facet straightforwardness, and conscientiousness facet self
discipline were related to lower risk during 4 years of follow-up. We extended
the follow-up period by 4 years, examined all 30 facets, and used accelerated
failure time modeling as an additional analytic approach. Unlike proportional
hazards regression, accelerated failure time modeling permits inferences about
the median survival length conferred by predictors. Each facet was tested in a
model that included health-related covariates and NEO-PI-R factor scores for
dimensions that did not include that facet. RESULTS: Over the 8-year follow-up
period, impulsiveness was not significant, each standard deviation of
straightforwardness was associated with an 11% increase in median survival time
and, when dichotomized, higher self-discipline was associated with a 34% increase
in median survival time. Each standard deviation of altruism, compliance, tender
mindedness, and openness to fantasy was associated with a 9% to 11% increase in
median survival time. CONCLUSIONS: After extending the follow-up period from 4 to
8 years, self-discipline remained a powerful predictor of survival and facets
associated with imagination, generosity, and higher-quality interpersonal
interactions become increasingly important.
PMID- 24933015
TI - Cisplatin loaded methoxy poly (ethylene glycol)-block-Poly (L-glutamic acid-co-L
Phenylalanine) nanoparticles against human breast cancer cell.
AB - Cisplatin (cis-diaminodichloroplatinum, CDDP) loaded methoxy poly (ethylene
glycol)-block-poly (glutamic acid-co-phenyl alanine) [mPEG-b-P (Glu10 -co-Phe10 )
(PGlu10 ) and mPEG-b-P (Glu20 -co-Phe10 ) (PGlu20 )] nanoparticles with two
different formulations (CDDP/PGlu10 and CDDP/PGlu20 ) are successfully developed
in uniformly sizes. In 190 h, the CDDP/PGlu10 shows 30% release at physiological
pH and 39% at lysosomal pH. Similarly, the CDDP/PGlu20 shows 60% release at
physiological pH and 90% release at lysosomal pH. The sustained and controlled
release of both formulations evidences the in vitro longevity of the
nanoparticles. The cell proliferation inhibition of nanoparticles against human
breast cancer cell line ZR-75-30 is dose and time dependent. Both CDDP/PGlu10 and
CDDP/PGlu20 show excellent hemo compatibility as evaluated by hemolysis
experiments. The in vivo fate of CDDP and CDDP loaded nanoparticles are evaluated
by pharmacokinetics studies. Free CDDP underwgoes instant platinum concentration
decrease after intravenous administration with 1.0 wt% left in 24 h while the
CDDP loaded nanoparticles show prolonged blood circulation time with 5 wt%
(CDDP/PGlu20 ) to 14 wt% (CDDP/PGlu10 ) left in 24 h. This prolonged blood
circulation of CDDP loaded nanoparticles makes them as promising nanocarriers for
tumor targeting delivery.
PMID- 24933016
TI - Using information from historical high-throughput screens to predict active
compounds.
AB - Modern high-throughput screening (HTS) is a well-established approach for hit
finding in drug discovery that is routinely employed in the pharmaceutical
industry to screen more than a million compounds within a few weeks. However, as
the industry shifts to more disease-relevant but more complex phenotypic screens,
the focus has moved to piloting smaller but smarter chemically/biologically
diverse subsets followed by an expansion around hit compounds. One standard
method for doing this is to train a machine-learning (ML) model with the chemical
fingerprints of the tested subset of molecules and then select the next compounds
based on the predictions of this model. An alternative approach would be to take
advantage of the wealth of bioactivity information contained in older (full-deck)
screens using so-called HTS fingerprints, where each element of the fingerprint
corresponds to the outcome of a particular assay, as input to machine-learning
algorithms. We constructed HTS fingerprints using two collections of data: 93 in
house assays and 95 publicly available assays from PubChem. For each source, an
additional set of 51 and 46 assays, respectively, was collected for testing.
Three different ML methods, random forest (RF), logistic regression (LR), and
naive Bayes (NB), were investigated for both the HTS fingerprint and a chemical
fingerprint, Morgan2. RF was found to be best suited for learning from HTS
fingerprints yielding area under the receiver operating characteristic curve
(AUC) values >0.8 for 78% of the internal assays and enrichment factors at 5%
(EF(5%)) >10 for 55% of the assays. The RF(HTS-fp) generally outperformed the LR
trained with Morgan2, which was the best ML method for the chemical fingerprint,
for the majority of assays. In addition, HTS fingerprints were found to retrieve
more diverse chemotypes. Combining the two models through heterogeneous
classifier fusion led to a similar or better performance than the best individual
model for all assays. Further validation using a pair of in-house assays and data
from a confirmatory screen--including a prospective set of around 2000 compounds
selected based on our approach--confirmed the good performance. Thus, the
combination of machine-learning with HTS fingerprints and chemical fingerprints
utilizes information from both domains and presents a very promising approach for
hit expansion, leading to more hits. The source code used with the public data is
provided.
PMID- 24933018
TI - The effects of daily supplementation of Dendrobium huoshanense polysaccharide on
ethanol-induced subacute liver injury in mice by proteomic analysis.
AB - Polysaccharides isolated from edible Dendrobium huoshanense have been shown to
possess a hepatoprotection function for selenium- and carbon tetrachloride
induced liver injury. In this study, we investigated the preventive effects of
daily supplementation with an homogeneous polysaccharide (DHP) purified from D.
huoshanense on ethanol-induced subacute liver injury in mice and its potential
mechanisms in liver protection by a proteomic approach. DHP was found to
effectively depress the increased ratio of liver weight to body weight, reduce
the elevated levels of serum aspartate aminotransferase, total cholesterol, total
bilirubin and low density lipoprotein, and alleviate hepatic steatosis in mice
with ethanol-induced subacute liver injury. Hepatic proteomics analysis performed
by two-dimensional difference gel electrophoresis (2D-DIGE) coupled with matrix
assisted laser desorption ionization time-of-flight mass spectrometry (MALDI
TOF/TOF-MS) revealed that cystathionine beta-synthase (Cbs) and D-lactate
dehydrogenase (Ldhd) were two key proteins regulated by daily DHP intervention,
which may assist in correcting the abnormal hepatic methionine metabolism pathway
and decreasing the level of hepatic methylglyoxal generated from disordered
metabolic pathways caused by ethanol. Our data suggest that DHP can protect liver
function from alcoholic injury with complicated molecular mechanisms involving
regulation of Cbs and Ldhd.
PMID- 24933017
TI - The analytic bilinear discrimination of single-trial EEG signals in rapid image
triage.
AB - The linear discriminant analysis (LDA) method is a classical and commonly
utilized technique for dimensionality reduction and classification in brain
computer interface (BCI) systems. Being a first-order discriminator, LDA is
usually preceded by the feature extraction of electroencephalogram (EEG) signals,
as multi-density EEG data are of second order. In this study, an analytic
bilinear classification method which inherits and extends LDA is proposed. This
method considers 2-dimentional EEG signals as the feature input and performs
classification using the optimized complex-valued bilinear projections. Without
being transformed into frequency domain, the complex-valued bilinear projections
essentially spatially and temporally modulate the phases and magnitudes of slow
event-related potentials (ERPs) elicited by distinct brain states in the sense
that they become more separable. The results show that the proposed method has
demonstrated its discriminating capability in the development of a rapid image
triage (RIT) system, which is a challenging variant of BCIs due to the fast
presentation speed and consequently overlapping of ERPs.
PMID- 24933019
TI - In silico identification of plant miRNAs in mammalian breast milk exosomes--a
small step forward?
AB - MicroRNAs (miRNAs) are a class of small RNA molecules that regulate gene
expression by inhibiting the protein translation or targeting the mRNA cleavage.
They play many important roles in living organism cells; however, the knowledge
on miRNAs functions has become more extensive upon their identification in
biological fluids and recent reports on plant-origin miRNAs abundance in human
plasma and serum. Considering these findings, we performed a rigorous
bioinformatics analysis of publicly available, raw data from high-throughput
sequencing studies on miRNAs composition in human and porcine breast milk
exosomes to identify the fraction of food-derived miRNAs. Several processing and
filtering steps were applied to increase the accuracy, and to avoid false
positives. Through aforementioned analysis, 35 and 17 miRNA species, belonging to
25 and 11 MIR families, were identified, respectively. In the human samples the
highest abundance levels yielded the ath-miR166a, pab-miR951, ptc-miR472a and bdi
miR168, while in the porcine breast milk exosomes, the zma-miR168a, zma-miR156a
and ath-miR166a have been identified in the largest amounts. The consensus
prediction and annotation of potential human targets for select plant miRNAs
suggest that the aforementioned molecules may interact with mRNAs coding several
transcription factors, protein receptors, transporters and immune-related
proteins, thus potentially influencing human organism. Taken together, the
presented analysis shows proof of abundant plant miRNAs in mammal breast milk
exosomes, pointing at the same time to the new possibilities arising from this
discovery.
PMID- 24933021
TI - Survey of the crayfish plague pathogen presence in the Netherlands reveals a new
Aphanomyces astaci carrier.
AB - North American crayfish species as hosts for the crayfish plague pathogen
Aphanomyces astaci contribute to the decline of native European crayfish
populations. At least six American crayfish species have been reported in the
Netherlands but the presence of this pathogenic oomycete with substantial
conservational impact has not yet been confirmed in the country. We evaluated A.
astaci prevalence in Dutch populations of six alien crustaceans using species
specific quantitative PCR. These included three confirmed crayfish carriers
(Orconectes limosus, Pacifastacus leniusculus, Procambarus clarkii), two recently
introduced but yet unstudied crayfish (Orconectes cf. virilis, Procambarus cf.
acutus), and a catadromous crab Eriocheir sinensis. Moderate levels of infection
were observed in some populations of O. limosus and P. leniusculus. Positive
results were also obtained for E. sinensis and two Dutch populations of O. cf.
virilis. English population of the latter species was also found infected,
confirming this taxon as another A. astaci carrier in European waters. In
contrast, Dutch P. clarkii seem only sporadically infected, and the pathogen was
not yet detected in P. cf. acutus. Our study is the first confirmation of
crayfish plague infections in the Netherlands and demonstrates substantial
variation in A. astaci prevalence among potential hosts within a single region, a
pattern possibly linked to their introduction history and coexistence.
PMID- 24933020
TI - An ecosystem-based approach to assess the status of a Mediterranean ecosystem,
the Posidonia oceanica seagrass meadow.
AB - Biotic indices, which reflect the quality of the environment, are widely used in
the marine realm. Sometimes, key species or ecosystem engineers are selected for
this purpose. This is the case of the Mediterranean seagrass Posidonia oceanica,
widely used as a biological quality element in the context of the European Union
Water Framework Directive (WFD). The good quality of a water body and the
apparent health of a species, whether or not an ecosystem engineer such as P.
oceanica, is not always indicative of the good structure and functioning of the
whole ecosystem. A key point of the recent Marine Strategy Framework Directive
(MSFD) is the ecosystem-based approach. Here, on the basis of a simplified
conceptual model of the P. oceanica ecosystem, we have proposed an ecosystem
based index of the quality of its functioning, compliant with the MSFD
requirements. This index (EBQI) is based upon a set of representative functional
compartments, the weighting of these compartments and the assessment of the
quality of each compartment by comparison of a supposed baseline. The index well
discriminated 17 sites in the north-western Mediterranean (French Riviera,
Provence, Corsica, Catalonia and Balearic Islands) covering a wide range of human
pressure levels. The strong points of the EBQI are that it is easy to implement,
non-destructive, relatively robust, according to the selection of the
compartments and to their weighting, and associated with confidence indices that
indicate possible weakness and biases and therefore the need for further field
data acquisition.
PMID- 24933022
TI - First report on vertical transmission of a plasmid DNA in freshwater prawn,
Macrobrachium rosenbergii.
AB - Outbreak of WSSV disease is one of the major stumbling blocks in shrimp
aquaculture. DNA vaccines have shown potential for mass scale vaccination owing
to their stability, cost effectiveness and easy maintenance. Development of
economically feasible delivery strategies remains to be a major challenge. This
study demonstrates vertical transmission of a plasmid DNA in a decapod
Macrobrachium rosenbergii for the first time. Females at three different
maturation stages (immature, matured and berried) and mature males were injected
with a plasmid DNA and allowed to spawn with untreated counterparts. Using
specific primers the plasmid DNA could be amplified from the offspring of all
groups except that of berried females. For this confirmation genomic DNA was
isolated from 3 pools of 10 post larvae in each group. This presents an ideal
strategy to protect young ones at zero stress.
PMID- 24933023
TI - The quick extraction of chitin from an epizoic crustacean species (Chelonibia
patula).
AB - Chitin was isolated from the shells of Chelonibia patula (barnacle, Crustacea),
which lives on blue crab epizoically, following a 10-min demineralisation process
through HCl and a 20-min deproteinisation process through NaOH. Due to the low
crystalline structure, and mineral-rich and low-protein content of the shells,
chitin isolation was convenient. It was observed that the shell structure of C.
patula contains 3.11% chitin per its dry weight. Following characterisation of
the isolated chitin by using Fourier transform infrared spectroscopy,
thermogravimetric analysis, X-ray diffractometry, elemental analysis and scanning
electron microscopy, it was determined that there was close similarity with the
alpha-chitin isolated from crabs, shrimps and insects in various studies. It was
observed that chitin was composed of nanofibres with a width of 10-20 nm. It was
concluded that this was an economically advantageous chitin resource compared
with crustaceans such as shrimp, crayfish and crab, because it is possible to
isolate chitin in a significantly shorter time.
PMID- 24933025
TI - Serum and adipose tissue amino acid homeostasis in the metabolically healthy
obese.
AB - A subgroup of obese individuals, referred to as metabolically healthy obese
(MHO), have preserved insulin sensitivity and a normal lipid profile despite
being obese. The molecular basis for this improved cardiometabolic profile
remains unclear. Our objective was to integrate metabolite and gene expression
profiling to elucidate the molecular distinctions between MHO and metabolically
unhealthy obese (MUO) phenotypes. A subset of individuals were selected from the
Diabetes Risk Assessment study and classified into three groups using
anthropometric and clinical measurements: lean healthy (LH), MHO, and MUO. Serum
metabolites were profiled using gas chromatography coupled to mass spectrometry.
Multivariate data analysis uncovered metabolites that differed between groups,
and these were subsequently validated by capillary electrophoresis coupled to
mass spectrometry. Subcutaneous adipose tissue (SAT) gene expression profiling
using microarrays was performed in parallel. Amino acids were the most relevant
class of metabolites distinguishing MHO from MUO individuals. Serum levels of
glutamic acid, valine, and isoleucine were positively associated (i.e., LH < MHO
< MUO) with homeostasis model assessment-insulin resistance (HOMA-IR) and
glycated hemoglobin (HbA1c) values, while leucine was only correlated with HOMA
IR. The glutamine-to-glutamic acid ratio and glycine were inversely correlated
(i.e., LH > MHO > MUO) with HbA1c values. Concomitantly, SAT gene expression
profiling revealed that genes related to branched-chain amino acid catabolism and
the tricarboxylic acid cycle were less down-regulated in MHO individuals compared
to MUO individuals. Together, this integrated analysis revealed that MHO
individuals have an intermediate amino acid homeostasis compared to LH and MUO
individuals.
PMID- 24933026
TI - From 2,4-dimethoxypyrimidine to 1,3-dimethyluracil: isomerization and
hydrogenation enthalpies and noncovalent interactions.
AB - An enthalpic value for the N-methyllactam/O-methyllactim isomerization, in the
gaseous phase, is reported in this work for the conversion between 2,4
dimethoxypyrimidine and 1,3-dimethyluracil. For this purpose, the enthalpy of
formation of 2,4-dimethoxypyrimidine, in the gaseous phase, was obtained
experimentally combining results from combustion calorimetry and Calvet
microcalorimetry, and the enthalpy of formation of 1,3-dimethyluracil, in the
gaseous phase, reported previously in the literature, is also discussed. The
enthalpy of hydrogenation of 1,3-dimethyluracil is compared with the enthalpy of
hydrogenation of uracil and interpreted in terms of aromaticity, considering the
influence of the hyperconjugation and the hindrance of the solvation of the ring
by the methyl groups. The enthalpy of sublimation of 2,4-dimethoxypyrimidine was
obtained combining Calvet microcalorimetry and differential scanning calorimetry
results. This enthalpy is compared with the enthalpy of sublimation of 1,3
dimethyluracil previously reported in the literature and analyzed herein. From
the interplay between the experimental results and the theoretical simulation of
dimers of these molecules, the influence of stereochemical hindrance on the in
plane intermolecular contacts and aromaticity on the pi...pi interactions is
analyzed.
PMID- 24933024
TI - Denoising MR images using non-local means filter with combined patch and pixel
similarity.
AB - Denoising is critical for improving visual quality and reliability of associative
quantitative analysis when magnetic resonance (MR) images are acquired with low
signal-to-noise ratios. The classical non-local means (NLM) filter, which
averages pixels weighted by the similarity of their neighborhoods, is adapted and
demonstrated to effectively reduce Rician noise without affecting edge details in
MR magnitude images. However, the Rician NLM (RNLM) filter usually blurs small
high-contrast particle details which might be clinically relevant information. In
this paper, we investigated the reason of this particle blurring problem and
proposed a novel particle-preserving RNLM filter with combined patch and pixel
(RNLM-CPP) similarity. The results of experiments on both synthetic and real MR
data demonstrate that the proposed RNLM-CPP filter can preserve small high
contrast particle details better than the original RNLM filter while denoising MR
images.
PMID- 24933027
TI - Label-free determination of hemodynamic parameters in the microcirculaton with
third harmonic generation microscopy.
AB - Determination of blood flow velocity and related hemodynamic parameters is an
important aspect of physiological studies which in many settings requires
fluorescent labeling. Here we show that Third Harmonic Generation (THG)
microscopy is a suitable tool for label-free intravital investigations of the
microcirculation in widely-used physiological model systems. THG microscopy is a
non-fluorescent multi-photon scanning technique combining the advantages of label
free imaging with restriction of signal generation to a focal spot. Blood flow
was visualized and its velocity was measured in adult mouse cremaster muscle
vessels, non-invasively in mouse ear vessels and in Xenopus tadpoles. In
arterioles, THG line scanning allowed determination of the flow pulse velocity
curve and hence the heart rate. By relocating the scan line we obtained velocity
profiles through vessel diameters, allowing shear rate calculations. The cell
free layer containing the glycocalyx was also visualized. Comparison of the
current microscopic resolution with theoretical, diffraction limited resolution
let us conclude that an about sixty-fold THG signal intensity increase may be
possible with future improved optics, optimized for 1200-1300 nm excitation. THG
microscopy is compatible with simultaneous two-photon excited fluorescence
detection. It thus also provides the opportunity to determine important
hemodynamic parameters in parallel to common fluorescent observations without
additional label.
PMID- 24933028
TI - In vitro and in vivo release characteristics of Tacrolimus (FK506) from an
episcleral drug-delivery implant.
AB - PURPOSE: To investigate the in vitro and in vivo release characteristics of
Tacrolimus (FK506) from an episcleral drug-delivery implant. METHODS: For in
vitro experiments, Tacrolimus-loaded implants (0.5 mL; at concentrations of 0.25,
0.5, and 1.0 mg/mL) were immersed in a balanced salt solution. Samples of the
surrounding liquid were aspirated at different times over a 96-h period. For in
vivo experiments, the experimental group received an implant loaded with
Tacrolimus (0.5 mg/mL; 0.5 mL); the control group was given a subconjunctival
injection of 0.5 mL Tacrolimus (0.5 mg/mL). On postoperative days 3, 7, 14, 28,
and 56, 3 animals were sacrificed, and their eyes were enucleated. Tacrolimus
concentrations were determined by liquid chromatographic-tandem mass
spectrometry. Ocular toxicity was evaluated by slit-lamp photography, fundus
photography, intraocular pressure (IOP), and histology. RESULTS: The implants
released Tacrolimus in a biphasic pattern for 96 h in the in vitro study. The
release kinetics were not dependent on the drug concentrations. The in vivo study
showed statistically significant differences between the 2 treatment groups.
Tacrolimus levels were particularly high in the conjunctiva, iris, ciliary body,
cornea, sclera, choroid, and retina in the experimental group, while
concentrations were low and only lasted for 1 week in the controls. Slit-lamp
photography, fundus photography, IOP, and histology showed no evidence of toxic
effects. CONCLUSIONS: The episcleral drug-delivery implant mechanically released
Tacrolimus through the apertures of capsules and, consequently, may be a
promising drug vehicle for the treatment of immune-mediated ocular disorders.
PMID- 24933030
TI - Efficient utilization of greenhouse gases in a gas-to-liquids process combined
with CO2/steam-mixed reforming and Fe-based Fischer-Tropsch synthesis.
AB - Two process models for carbon dioxide utilized gas-to-liquids (GTL) process
(CUGP) mainly producing light olefins and Fischer-Tropsch (F-T) synthetic oils
were developed by Aspen Plus software. Both models are mainly composed of a
reforming unit, an F-T synthesis unit and a recycle unit, while the main
difference is the feeding point of fresh CO2. In the reforming unit, CO2
reforming and steam reforming of methane are combined together to produce syngas
in flexible composition. Meanwhile, CO2 hydrogenation is conducted via reverse
water gas shift on the Fe-based catalysts in the F-T synthesis unit to produce
hydrocarbons. After F-T synthesis, the unreacted syngas is recycled to F-T
synthesis and reforming units to enhance process efficiency. From the simulation
results, it was found that the carbon efficiencies of both CUGP options were
successfully improved, and total CO2 emissions were significantly reduced,
compared with the conventional GTL processes. The process efficiency was
sensitive to recycle ratio and more recycle seemed to be beneficial for improving
process efficiency and reducing CO2 emission. However, the process efficiency was
rather insensitive to split ratio (recycle to reforming unit/total recycle), and
the optimum split ratio was determined to be zero.
PMID- 24933029
TI - Subthalamic nucleus deep brain stimulation induces motor network BOLD activation:
use of a high precision MRI guided stereotactic system for nonhuman primates.
AB - BACKGROUND: Functional magnetic resonance imaging (fMRI) is a powerful method for
identifying in vivo network activation evoked by deep brain stimulation (DBS).
OBJECTIVE: Identify the global neural circuitry effect of subthalamic nucleus
(STN) DBS in nonhuman primates (NHP). METHOD: An in-house developed MR image
guided stereotactic targeting system delivered a mini-DBS stimulating electrode,
and blood oxygenation level-dependent (BOLD) activation during STN DBS in healthy
NHP was measured by combining fMRI with a normalized functional activation map
and general linear modeling. RESULTS: STN DBS significantly increased BOLD
activation in the sensorimotor cortex, supplementary motor area, caudate nucleus,
pedunculopontine nucleus, cingulate, insular cortex, and cerebellum (FDR <
0.001). CONCLUSION: Our results demonstrate that STN DBS evokes neural network
grouping within the motor network and the basal ganglia. Taken together, these
data highlight the importance and specificity of neural circuitry activation
patterns and functional connectivity.
PMID- 24933031
TI - Accumulation of oxidative stress-related gene polymorphisms and the risk of
coronary heart disease events in patients with type 2 diabetes--an 8-year
prospective study.
AB - OBJECTIVE: Oxidative stress, which is provoked in patients with diabetes, plays
critical roles in the pathogenesis of coronary heart disease (CHD). We
simultaneously determined 5 relatively common genetic variants related to
oxidative stress and evaluated the combined effect on CHD. METHODS: We enrolled
1977 Japanese type 2 diabetic subjects without history of CVD (males 66.1%, 59.5
+/- 10.0 years old), determined their genotypes regarding glutamate-cysteine
ligase modifier subunit (GCLM) C-588T, manganese superoxide dismutase (SOD2)
Val16Ala, endothelial nitric oxide synthase (NOS3) G894T, NAD(P)H oxidase p22phox
(CYBA) C242T, and myeloperoxidase (MPO) G-463A polymorphisms, and prospectively
evaluated the association between these polymorphisms and CHD events. RESULTS:
The median follow-up period was 7.5 years and there were 85 new CHD events. The
single association analysis revealed that there were no statistically significant
associations between each polymorphism and the prevalence of CHD. Interestingly,
the risk of CHD event was higher with the increase of the total number of 10
concomitant unfavorable "pro-oxidant alleles" in each subject (p for trend =
0.018, log-rank test). Especially, the carriers of >=8 pro-oxidant alleles had a
significantly increased risk as compared to the carriers of <8 pro-oxidant
alleles, whether the other clinical variables were adjusted (HR 2.92 with 95%CI
1.50-5.67, p = 0.002) or not (HR 2.89 with 95%CI 1.49-5.59, p = 0.002)..
CONCLUSIONS: Accumulation of gene polymorphisms related to oxidative stress is
likely associated with the development of CHD in patients with type 2 diabetes,
suggesting that the combined information about these variants is useful to assess
the risk of CHD.
PMID- 24933032
TI - Insulin-induced glucose control improves HDL cholesterol levels but not reverse
cholesterol transport in type 2 diabetic patients.
AB - Type 2 diabetes (T2D) is characterized by low HDL cholesterol (HDL-C) and HDL
dysfunction. We herein tested whether lowering HbA1c affects HDL-C and reverse
cholesterol transport (RCT). Forty-two uncontrolled T2D patients initiating basal
insulin were included. HbA1c, HDL-C and RCT were assessed at baseline and after 6
months. At baseline, HDL-C and RCT were directly correlated (r = 0.50; p <
0.001). After 6 months of insulin therapy, HbA1c dropped from 8.8 +/- 0.16% to
7.1 +/- 0.1%, while average HDL-C and RCT did not change. Follow-up HDL-C and RCT
were still correlated (r = 0.31; p = 0.033) and DeltaHDL-C correlated with
DeltaRCT (r = 0.32; p = 0.029). DeltaHbA1c correlated with DeltaHDL-C (r = 0.43,
p = 0.001), but not with DeltaRCT. In patients with DeltaHbA1c above the median
value (1.3%), HDL-C (but not RCT) increased significantly. In conclusion, glucose
control correlates with increased HDL-C, but not with improved RCT. Thus,
persistent HDL dysfunction despite improved HbA1c and HDL-C can contribute to
residual cardiovascular risk in T2D.
PMID- 24933033
TI - Optimal part and module selection for synthetic gene circuit design automation.
AB - An integral challenge in synthetic circuit design is the selection of optimal
parts to populate a given circuit topology, so that the resulting circuit
behavior best approximates the desired one. In some cases, it is also possible to
reuse multipart constructs or modules that have been already built and
experimentally characterized. Efficient part and module selection algorithms are
essential to systematically search the solution space, and their significance
will only increase in the following years due to the projected explosion in part
libraries and circuit complexity. Here, we address this problem by introducing a
structured abstraction methodology and a dynamic programming-based algorithm that
guaranties optimal part selection. In addition, we provide three extensions that
are based on symmetry check, information look-ahead and branch-and-bound
techniques, to reduce the running time and space requirements. We have evaluated
the proposed methodology with a benchmark of 11 circuits, a database of 73 parts
and 304 experimentally constructed modules with encouraging results. This work
represents a fundamental departure from traditional heuristic-based methods for
part and module selection and is a step toward maximizing efficiency in synthetic
circuit design and construction.
PMID- 24933035
TI - The mourning after: the potential for critical care nurses to improve family
outcome and experience in end of life care.
PMID- 24933036
TI - Clinical utility of immunohistochemistry and other ancillary techniques in
assessment of uterine tumors.
PMID- 24933034
TI - Therapeutic targeting of autophagy in cancer. Part II: pharmacological modulation
of treatment-induced autophagy.
AB - Autophagy, the catabolic pathway in which cells recycle organelles and other
parts of their own cytoplasm, is increasingly recognised as an important
cytoprotective mechanism in cancer cells. Several cancer treatments stimulate the
autophagic process and when autophagy is inhibited, cancer cells show an enhanced
response to multiple treatments. These findings have nourished the theory that
autophagy provides cancer cells with a survival advantage during stressful
conditions, including exposure to therapeutics. Therefore, interference with the
autophagic response can potentially enhance the efficacy of cancer therapy. In
this review we examine two approaches to modulate autophagy as complementary
cancer treatment: inhibition and induction. Inhibition of autophagy during cancer
treatment eliminates its cytoprotective effects. Conversely, induction of
autophagy combined with conventional cancer therapy exerts severe cytoplasmic
degradation that can ultimately lead to cell death. We will discuss how autophagy
can be therapeutically manipulated in cancer cells and how interactions between
the conventional cancer therapies and autophagy modulation influence treatment
outcome.
PMID- 24933038
TI - Evidenced based review of recommendations addressing the frequency of changing
long-term indwelling urinary catheters in older adults.
AB - The frequency of changing long-term indwelling urinary catheters is a subject of
debate. The focus of this integrative review is to determine if routinely
scheduled changes of long-term indwelling urinary catheters in older adults is
evidence-based. There are no current research studies specifically studying
routine changes of chronic indwelling urinary catheters available to support or
refute this common practice. The frequency of chronic urinary catheter changes
should be tailored to the individual patient and occur as clinically indicated.
Rigorous research studies are needed to advance the science used to provide
evidence-based care for this vulnerable population.
PMID- 24933037
TI - Determination of trace acrylamide in starchy foodstuffs by HPLC using a novel
mixed-mode functionalized calixarene sorbent for solid-phase extraction cleanup.
AB - In this paper, a rapid and effective HPLC method, using
tetraazacalix[2]arene[2]triazine-modified silica gel (NCSi) as solid-phase
extraction (SPE) sorbent, was developed for the purification and determination of
trace acrylamide in starchy foodstuffs. The main influence factors of SPE
including amount of NCSi sorbent, sample flow rate, and volume and composition of
washing solution were investigated and evaluated in the sample pretreatment step.
The optimized purification effect was achieved at the sample flow rate of 3
mL/min with 100 mg of NCSi and 2 mL of washing solution (water, 100%). The HPLC
separation was carried out on a C18 column (250*4.6 mm i.d., 5 MUm) with a mobile
phase of methanol/water (10:90, v/v). The linear range of the calibration curve
was 4-4000 ng/mL with s correlation coefficient of >0.9999. The intraday and
interday RSDs (n=5) of peak areas of acrylamide were 0.22 and 0.90% and the
intraday and interday RSDs (n=5) of retention times were 0.50 and 1.63%,
respectively. In addition, overall recoveries through the extraction and NCSi-SPE
purification ranged from 73.13 to 98%. Compared with the commercial SPE sorbents,
NCSi featured excellent selectivity to retain polar and nonpolar interferences in
the sample matrices. The improved method was simple, rapid, accurate, and
promising for the determination of trace acrylamide in starchy foods with a
complex matrix.
PMID- 24933039
TI - Seroepidemiology of Toxoplasma gondii infection in Bai and Han ethnic groups in
southwestern China.
AB - Eating raw pork and/or liver is a custom of the Bai ethnic group in China. Most
people living in Dali Bai Autonomous Prefecture, Yunnan Province, southwestern
China are of Bai ethnicity. Little is known of the seroprevalence of Toxoplasma
gondii in Bai and Han ethnic populations in this region. In the present survey, a
total of 555 and 595 blood samples were obtained from Bai and Han ethnic groups
in Dali urban and rural areas, respectively. Enzyme-linked immunosorbent assay
was performed to examine T. gondii IgG antibodies. Total positive rate of anti-T.
gondii IgG in Bai and Han groups in this region was 21.6% (248/1150). The total
seroprevalence of T. gondii was significantly higher in the Bai ethnic group
(32.3%, 179/555) than in the Han ethnic group (11.6%, 69/595) (P < 0.01). The
results of statistical analysis indicated that there was no significant
difference between cat feeding/non-cat feeding groups in the Bai ethnic group,
the most important risk factor was consumption of raw pork and/or liver for the
Bai group, but feeding a cat may be the main route of T. gondii infection for the
Han group. Therefore, it is essential to implement integrated strategies to
prevent and control T. gondii infection in this unique region of the world.
PMID- 24933040
TI - The role of social support in dialysis patients' feelings of autonomy and self
esteem: is support more beneficial for patients with specific illness
perceptions?
AB - The purpose of this study was to investigate whether effects of various types of
support on dialysis patients' perceived autonomy and self-esteem depend on
patients' perceived concerns and personal control regarding their illness. One
hundred sixty-six patients completed written questionnaires. Main and interaction
effects of support, concern, and personal control on autonomy and self-esteem
were examined using linear regression analyses. General emotional support was
positively related to autonomy in highly concerned patients (p < .05).
Overprotection was negatively associated with autonomy (p < .05), and this
association was stronger in patients with high perceived personal control (p <
.01). A positive main effect of general emotional support (p < .05) and a
negative main effect of overprotection (p < .01) on self-esteem were observed.
The role of support in dialysis patients' autonomy appears to depend on patients'
illness perceptions, whereas the role of support in patients' self-esteem does
not. These findings suggest that dialysis patients' personal views about their
illness can provide insight into whether patients could benefit from support, and
that the provision of support should be tailored to patients' individual needs.
PMID- 24933041
TI - The beta-adrenergic system as a possible new target for pharmacologic treatment
of neovascular retinal diseases.
AB - Retinal neovascular pathologies, such as diabetic retinopathy, retinopathy of
prematurity (ROP) and age-related macular degeneration, may be treated with
intravitreal injections of drugs targeting vascular endothelial growth factor
(VEGF), the main inducer of neoangiogenesis; however further improvements and
alternative strategies are needed. In the last few years, an intense research
activity has focused on the beta-adrenergic system. The results indicate that, in
different experimental models, a decrease of the beta-adrenergic function may
result either in reduction or in exacerbation of the vascular changes, thus
suggesting possible dual effects of beta-adrenoreceptor (beta-AR) modulation
depending on the experimental setting. In in vivo models of proliferative
retinopathies, most of the data point to a strong inhibitory role against
vascular changes exerted by the blockade of specific beta-ARs. In particular, the
beta2-AR seems to be the mostly involved in these responses, and the beta1-/beta2
AR blocker propranolol results highly effective in inhibiting both the increase
of VEGF expression caused by a hypoxic insult and the consequent neovascular
response. These observations have prompted clinical trials in preterm infants
with ROP, where oral administrations of propranolol produced positive results in
terms of efficacy, although safety problems were also reported. In addition, the
possibility of using topical propranolol administrations in the form of eye drops
opens new potential routes of drug administration in humans. A further point that
should be considered is that there are data demonstrating significant
antiapoptotic effects exerted by beta-ARs, therefore if beta-AR blockers were
used to inhibit aberrant neovascularization, there may be a burden to pay in
terms of impaired neuronal viability.
PMID- 24933043
TI - Role of sterically demanding chiral dirhodium catalysts in site-selective C-H
functionalization of activated primary C-H bonds.
AB - The influence of sterically demanding dirhodium tetracarboxylate catalysts on the
site selectivity of C-H functionalization by means of rhodium carbene-induced C-H
insertion is described. The established dirhodium tetraprolinate-catalyzed
reactions of aryldiazoacetates cause preferential C-H functionalization of
secondary C-H bonds as a result of competing steric and electronic effects. The
sterically more demanding dirhodium tetrakis(triarylcyclopropanecarboxylate)
catalysts, exemplified by dirhodium tetrakis[(R)-(1-(biphenyl)-2,2
diphenylcyclopropanecarboxylate)] [Rh2(R-BPCP)4], favor C-H functionalization of
activated primary C-H bonds. Highly site-selective and enantioselective C-H
functionalization of a variety of simple substrates containing primary benzylic,
allylic, and methoxy C-H bonds was achieved with this catalyst. The utility of
this approach has been demonstrated by the late-stage primary C-H
functionalization of (-)-alpha-cedrene and a steroid.
PMID- 24933042
TI - Stem cells as source for retinal pigment epithelium transplantation.
AB - Inherited maculopathies, age related macular degeneration and some forms of
retinitis pigmentosa are associated with impaired function or loss of the retinal
pigment epithelium (RPE). Among potential treatments, transplantation approaches
are particularly promising. The arrangement of RPE cells in a well-defined tissue
layer makes the RPE amenable to cell or tissue sheet transplantation. Different
cell sources have been suggested for RPE transplantation but the development of a
clinical protocol faces several obstacles. The source should provide a sufficient
number of cells to at least recover the macula area. Secondly, cells should be
plastic enough to be able to integrate in the host tissue. Tissue sheets should
be considered as well, but the substrate on which RPE cells are cultured needs to
be carefully evaluated. Immunogenicity can also be an obstacle for effective
transplantation as well as tumorigenicity of not fully differentiated cells.
Finally, ethical concerns may represent drawbacks when embryo-derived cells are
proposed for RPE transplantation. Here we discuss different cell sources that
became available in recent years and their different properties. We also present
data on a new source of human RPE. We provide a protocol for RPE differentiation
of retinal stem cells derived from adult ciliary bodies of post-mortem donors. We
show molecular characterization of the in vitro differentiated RPE tissue and
demonstrate its functionality based on a phagocytosis assay. This new source may
provide tissue for allogenic transplantation based on best matches through
histocompatibility testing.
PMID- 24933044
TI - Glutathione-facilitated design and fabrication of gold nanoparticle-based logic
gates and keypad lock.
AB - In this paper, we describe how we developed a simple design and fabrication
method for logic gates and a device by using a commercially available tripeptide,
namely glutathione (GSH), together with metal ions and disodium
ethylenediaminetetraacetate (EDTA) to control the dispersion and aggregation of
gold nanoparticles (NPs). With the fast adsorption of GSH on gold NPs and the
strong coordination of GSH with metal ions, the addition of GSH and Pb(2+) ions
immediately resulted in the aggregation of gold NPs, giving rise to an AND
function. Either Pb(2+) or Ba(2+) ions induced the aggregation of gold NPs in the
presence of GSH, supporting an OR gate. Based on the fact that EDTA has a strong
capacity to bind metal ions, thus preventing the aggregation of gold NPs, an
INHIBIT gate was also fabricated. More interestingly, we found that the addition
sequence of GSH and Hg(2+) ions influenced the aggregation of gold NPs in a
controlled manner, which was used to design a sequential logic gate and a three
input keypad lock for potential use in information security. The GSH strategy
addresses concerns of low cost, simple fabrication, versatile design and easy
operation, and offers a promising platform for the development of functional
logic systems.
PMID- 24933045
TI - What is the best fluid for volume resuscitation in critically ill adults with
sepsis? The jury is still out, but a verdict is urgently needed ...*.
PMID- 24933046
TI - On the lack of standardized essential PICU guidelines*.
PMID- 24933047
TI - Identifying the ICU recidivist in the hospital*.
PMID- 24933048
TI - The ongoing challenge of evaluating rescue therapies in acute respiratory
distress syndrome*.
PMID- 24933049
TI - Adipose tissue on CT scans in critical care and trauma are associated with acute
kidney injury*.
PMID- 24933050
TI - Sickle cell patients face death in the ICU*.
PMID- 24933051
TI - Antibiotic dosing during renal replacement therapy: one size does not fit all*.
PMID- 24933052
TI - Timing of corticosteroids in refractory septic shock: a key or wishful
thinking?*.
PMID- 24933054
TI - Survival for the cirrhotic patient with septic shock*.
PMID- 24933053
TI - Exploring the boundaries of systemic inflammation*.
PMID- 24933055
TI - Blood transfusions and infected lungs--worse outcomes?*.
PMID- 24933056
TI - Never waste an opportunity to debrief*.
PMID- 24933057
TI - Chlorhexidine-impregnated dressing: an efficient weapon against catheter-related
bloodstream infection?*.
PMID- 24933058
TI - Paving a new road for generating evidence-based care in sepsis*.
PMID- 24933059
TI - Regional lung strain and the metabolic signature of injury*.
PMID- 24933060
TI - Selepressin in septic shock: sharpening the VASST effects of vasopressin?*.
PMID- 24933061
TI - Muscle-specific inhibition of the classical nuclear factor-kappaB pathway is
protective against diaphragmatic weakness in murine endotoxemia.
AB - OBJECTIVE: Diaphragmatic weakness and acute respiratory failure are common in
sepsis. Nuclear factor-kappaB acts as a general coordinator of the systemic
inflammatory response, but its role within the diaphragm itself during sepsis is
unknown. We investigated the potential protective effect upon the diaphragm of
inhibiting nuclear factor-kappaB only within muscle fibers during acute
endotoxemia. DESIGN: Prospective study in experimental animals. SETTING:
University research laboratory. INTERVENTIONS: Wild-type and transgenic (muscle
specific IkappaBalpha super-repressor) mice with skeletal muscle-specific
inhibition of the classical nuclear factor-kappaB pathway were subjected to acute
endotoxemia. Muscle-specific ubiquitin ligases (muscle RING-finger protein 1 and
atrogin-1), caspase-3 activity, inhibitor of apoptosis proteins, proinflammatory
cytokines (interleukin-1beta, monocyte chemoattractant protein-1, and tumor
necrosis factor-alpha), and diaphragmatic contractility were evaluated after 24
hours. MEASUREMENTS AND MAIN RESULTS: In wild-type mice, endotoxemia
significantly increased proinflammatory cytokines (fold-change messenger RNA:
interleukin-1beta = 7.6, monocyte chemoattractant protein-1 = 15.3, and tumor
necrosis factor-alpha = 2.2) and proteolysis effectors (fold-change messenger
RNA: muscle RING-finger protein 1 = 5.7, atrogin-1 = 2.8; caspase-3 activity
elevated by 28%) in the diaphragm, while reducing its force-generating capacity
by 38%. In nonendotoxemic muscle-specific IkappaBalpha super-repressor
diaphragms, caspase-3 activity was unexpectedly increased by 40% above basal wild
type levels and inhibitors of apoptosis proteins were down-regulated, but force
production remained normal. In muscle-specific IkappaBalpha super-repressor mice
subjected to endotoxemia, proinflammatory cytokines, muscle RING-finger protein
1, and atrogin-1 were not significantly increased above their basal levels, and
diaphragmatic weakness and further increases in caspase-3 activity were
completely prevented. CONCLUSIONS: These results suggest that nuclear factor
kappaB signaling within skeletal muscle fibers is a key pathway leading to
diaphragmatic weakness during acute endotoxemia, most likely via effects on
multiple inflammatory mediators. In addition, inhibition of nuclear factor-kappaB
signaling within diaphragm muscle fibers has complex effects on caspase-3
activation, which could have implications for the treatment of sepsis-induced
diaphragmatic dysfunction.
PMID- 24933062
TI - End-of-life care and deactivation of left ventricular assist devices.
PMID- 24933063
TI - The authors reply.
PMID- 24933064
TI - Time to break down silos: alternative approaches to staffing ICUs.
PMID- 24933065
TI - The authors reply.
PMID- 24933066
TI - Comments on perioperative fluid therapy with tetrastarch and gelatin in cardiac
surgery--a prospective sequential analysis.
PMID- 24933067
TI - The authors reply.
PMID- 24933068
TI - The role of fibroblast growth factor 23 in sepsis.
PMID- 24933069
TI - The authors reply.
PMID- 24933070
TI - Choice of renal replacement therapy modality and long-term dialysis dependence.
Where do we stand after three decades?
PMID- 24933071
TI - The author replies.
PMID- 24933072
TI - Simulation and its role in airway management training.
PMID- 24933073
TI - The authors reply.
PMID- 24933074
TI - External ventricular drain-related ventriculitis prevention: standard preventive
measures still work.
PMID- 24933075
TI - The authors reply.
PMID- 24933076
TI - Can global end-diastolic volume index influence the occurrence of delayed
cerebral ischemia and pulmonary edema after subarachnoid hemorrhage?
PMID- 24933077
TI - Use of therapeutic hypothermia after in-hospital cardiac arrest: did the
physicians know the guidelines?
PMID- 24933078
TI - The authors reply.
PMID- 24933079
TI - Imogolite: an aluminosilicate nanotube endowed with low cytotoxicity and
genotoxicity.
AB - High-aspect-ratio nanomaterials (HARN) (typically, single-walled carbon nanotubes
(SWCNT) or multiwalled carbon nanotubes (MWCNT)) impair airway barrier function
and are toxic to macrophages. Here, we assess the biological effects of nanotubes
of imogolite (INT), a hydrated alumino-silicate [(OH)3Al2O3SiOH] occurring as
single-walled NT, on murine macrophages and human airway epithelial cells. Cell
viability was assessed with resazurin. RT-PCR was used to study the expression of
Nos2 and Arg1, markers of classical or alternative macrophage activation,
respectively, and nitrite concentration in the medium was determined to assess NO
production. Epithelial barrier integrity was evaluated from the trans-epithelial
electrical resistance (TEER). Potential genotoxicity of INT was assessed with
comet and cytokinesis-block micronucleus cytome assays. Compared to MWCNT and
SWCNT, INT caused much smaller effects on RAW264.7 and MH-S macrophage viability.
The incubation of macrophages with INT at doses as high as 120 MUg/cm(2) for 72 h
did not alter either Nos2 or Arg1 expression nor did it increase NO production,
whereas IL6 was induced in RAW264.7 cells but not in MH-S cells. INT did not show
any genotoxic effect in RAW264.7 and A549 cells except for a decrease in DNA
integrity observed in epithelial A549 cells after treatment with the highest dose
(80 MUg/cm(2)). No significant change in permeability was recorded in Calu-3
epithelial cell monolayers exposed to INT, whereas comparable doses of both SWCNT
and MWCNT lowered TEER. Thus, in spite of their fibrous nature, INT appear not to
be markedly toxic for in vitro models of lung-blood barrier cells.
PMID- 24933080
TI - Plasma adiponectin levels and relations with cytokines in children with acute
rheumatic fever.
AB - AIM: We aimed to investigate the role of adiponectin in acute rheumatic fever by
evaluating correlations with cytokines and acute-phase reactants. METHODS:
Patients were divided into three groups by clinical findings. Group 1 included 8
patients with only chorea, Group 2 included 13 patients with arthritis and
carditis, and Group 3 included 12 patients with only carditis. A total of 54 age-
and gender-matched children were enrolled in the control group. Blood samples
were drawn for analysing acute-phase reactants, adiponectin, tumour necrosis
factor-alpha, interleukin-6, and interleukin-8 levels at baseline on Days 2, 5,
10, and 15, and at 8 weeks. RESULTS: There was no statistically significant
difference between baseline age, gender, body mass index, serum triglyceride,
total cholesterol, and low-density lipoprotein levels of the study and control
groups (p>0.05). No correlation was found between baseline plasma adiponectin
levels, age, body mass index, follicle-stimulating hormone, luteinising hormone,
oestradiol, total testosterone, and blood lipid levels of the study and control
groups (p>0.05). We found that adiponectin and interleukin-6 levels increased,
tumour necrosis factor-alpha levels decreased, and interleukin-8 levels remained
unchanged in acute rheumatic fever, which is an inflammatory disease. Moreover,
adiponectin level was higher and tumour necrosis factor-alpha level was lower in
the improvement period in comparison with the acute period, particularly in the
carditis group. CONCLUSION: It was considered that, increasing throughout the
treatment period, adiponectin may have anti-inflammatory effects in acute
rheumatic fever. In addition, adiponectin levels are associated with a decline in
inflammatory mediators in rheumatic fever.
PMID- 24933082
TI - Wilms' tumor--lessons and outcomes--a 25-year single center UK experience.
AB - Wilms' tumor (WT) is a common childhood renal cancer. A 25-year single center UK
experience is reported. During 1985-2010, 97 children underwent immediate
nephrectomy or delayed resection of tumor after chemotherapy. Survival,
morbidity, and late effects following treatment are described. Tumor distribution
was: Stage I, 25.7% (n = 25); Stage II, 24.7% (n = 24); Stage III, 26.8% (n =
26); Stage IV, 17.5% (n = 17); and Stage V, 5.2% (n = 5). Immediate nephrectomy
was performed in 39% (n = 38) patients with elective delayed resection in 61% (n
= 59) cases. Ten patients had cavotomy to excise tumor involving vena cava
territory. Two cases required cardiopulmonary bypass. Tumor rupture was recorded
in eight (8.5%) total operated cases-after immediate (n = 5/37), 13.5% vs delayed
nephrectomy-(n = 3/57), 5.2%; X(2) P = .154. From 2001 onwards, one case of tumor
rupture was recorded at this center after the universal adoption of UKW3 and SIOP
guidelines advocating preoperative chemotherapy and delayed nephrectomy for all
WT. Three treatment-related deaths occurred-hepatic veno-occlusive disease (n =
2) with actinomycin D and a single WT fatality due to vascular injury. Overall
survival was 84.5% (82/97 cases). Two patients developed "late malignancies"
thyroid cancer and a basal cell carcinoma. This study demonstrates excellent
survival for WT comparable with national outcomes and international cooperative
studies. Adverse events with chemotherapy and surgery, including "late onset,"
second malignancies deserve special consideration.
PMID- 24933081
TI - Efficacy assessment of an MVA vectored Rift Valley Fever vaccine in lambs.
AB - The present study has evaluated the protection conferred by a single subcutaneous
dose of a modified vaccinia virus Ankara (MVA) vectored vaccine encoding the Rift
Valley Fever virus (RVFV) glycoproteins Gn and Gc in lambs. Three groups of six
to seven lambs were immunized as follows: one group received the vaccine (termed
rMVA-GnGc), a second group received an MVA vector (vector control) and a third
group received saline solution (non-vaccinated control). Fourteen days later, all
animals were subcutaneously challenged with 10(5) TCID50 of the virulent RVFV
isolate 56/74 and vaccine efficacy assessed using standard endpoints. Two lambs
(one from the vaccine group and one from the vector control group) succumbed to
RVFV challenge, showing characteristic liver lesions. Lambs from both the vector
control and non-vaccinated groups were febrile from days 2 to 5 post challenge
(pc) while those in the rMVA-GnGc group showed a single peak of pyrexia at day 3
pc. RVFV RNA was detected in both nasal and oral swabs from days 3 to 7 pc in
some lambs from the vector control and non-vaccinated groups, but no viral
shedding could be detected in the surviving lambs vaccinated with rMVA-GnGc.
Together, the data suggest that a single dose of the rMVA-GnGc vaccine may be
sufficient to reduce RVFV shedding and duration of viremia but does not provide
sterile immunity nor protection from disease. Further optimization of this
vaccine approach in lambs is warranted.
PMID- 24933083
TI - Does nighttime exercise really disturb sleep? Results from the 2013 National
Sleep Foundation Sleep in America Poll.
AB - OBJECTIVE: To assess the relationship between sleep, time of exercise, and
intensity of exercise in a large American sample. METHODS: The 2013 National
Sleep Foundation Sleep in America Poll was a cross-sectional study of 1000 adults
stratified by age (23-60 years) and U.S. geographical region. Sleep outcomes
included self-reported sleep quality, total sleep time, sleep latency, and waking
unrefreshed. Exercise timing was characterized as morning (>8 h before bed),
afternoon (4-8 h before bed), or evening (<4 h before bed). Exercise intensity
was assessed with a modified version of the International Physical Activity
Questionnaire. RESULTS: After adjustment for confounders, evening moderate or
vigorous exercisers did not differ in any of the reported sleep metrics compared
to non-exercisers. Morning vigorous exercisers had the most favorable sleep
outcomes, including greater likelihood of reporting good sleep quality (OR =
1.88, p < .001) and lower likelihood of waking unrefreshed (OR = 0.56, p = .03).
Most individuals who performed vigorous evening exercise believed that their
sleep was of equal or better quality (97%) and duration (98%) on days they
exercised. CONCLUSION: Evening exercise was not associated with worse sleep.
These findings add to the growing body of evidence that sleep hygiene
recommendations should not discourage evening exercise.
PMID- 24933084
TI - Sleep timing, chronotype, mood, and behavior at an Arctic latitude (69 degrees
N).
AB - OBJECTIVE: Daylight is an important zeitgeber for entraining the circadian rhythm
to a 24 h clock cycle, especially within the Polar circle, which has long Polar
nights several months each year. Phase delays in sleep timing may occur, but the
mean shift is normally small. However, the individual variation in phase shifts
is large, implicating moderating factors. Here we examined the role of several
self-regulatory variables (mood and fatigue, behavioral habits, and psychological
self-regulation) as moderators of seasonality in sleep timing and chronotype.
METHODS: A sample of 162 young adults (76% females; mean age: females 23.4 years,
males 24.3 years) participated in a prospective study across three seasons
(September, December, March) in Tromso/Norway at 69 degrees 39'N Sleep diary and
sleep/health-related questionnaire data were collected at each time-point.
RESULTS: Sleep timing and chronotype were delayed during the dark period
(December) compared with brighter photoperiods (September and March). Comparable
effects were observed for insomnia, fatigue, mood (depression and anxiety),
subjective health complaints, physical activity, and school-related stress. Most
importantly, depression and fatigue moderated the degree of seasonal shifting in
sleep timing, whereas the other self-regulation indicators did not (ie eating
habits, physical activity, and psychological self-regulation). CONCLUSION:
Seasonality in sleep timing and chronotype was confirmed, and it seems that
depressive symptoms during the dark period exacerbate phase-shifting problems for
people living in sub-Arctic regions.
PMID- 24933085
TI - Response to, ''The unnormalized spectral indices of heart rate variability should
be presented in conjunction with normalized versions of the same variables''.
PMID- 24933086
TI - In brief: a naloxone auto-injector (Evzio).
PMID- 24933087
TI - Extended-release hydrocodone (Zohydro) for pain.
PMID- 24933088
TI - Sublingual immunotherapy for allergic rhinitis.
PMID- 24933089
TI - In brief: lowering the dose of Lunesta.
PMID- 24933090
TI - Redox-responsive nanocarrier based on heparin end-capped mesoporous silica
nanoparticles for targeted tumor therapy in vitro and in vivo.
AB - This study reports a smart controlled drug release system based on mesoporous
silica nanoparticles (MSNs) for targeted drug delivery. The system was fabricated
by employing heparin as an end-capping agent to seal the mesopores of MSNs via
disulfide bonds as intermediate linkers for intracellular glutathione triggered
drug release. Lactobionic acid molecules were then coupled to heparin end-capped
MSNs that serve as targeting motifs for facilitating the uptake of doxorubicin
(DOX) loaded MSNs by HepG2 cells and tumors, respectively. Detailed
investigations demonstrated that the fabricated drug delivery systems could
deliver DOX to cancer cells to induce cell apoptosis in vitro and tumor tissue
for the inhibition of tumor growth in vivo with minimal side effects. The study
affords a promising nanocarrier for redox-responsive cargo delivery with high
curative efficiency for cancer therapy.
PMID- 24933091
TI - Dietary L-carnitine supplementation increases lipid deposition in the liver and
muscle of yellow catfish (Pelteobagrus fulvidraco) through changes in lipid
metabolism.
AB - Carnitine has been reported to improve growth performance and reduce body lipid
content in fish. Thus, we hypothesised that carnitine supplementation can improve
growth performance and reduce lipid content in the liver and muscle of yellow
catfish (Pelteobagrus fulvidraco), a commonly cultured freshwater fish in inland
China, and tested this hypothesis in the present study. Diets containing l
carnitine at three different concentrations of 47 mg/kg (control, without extra
carnitine addition), 331 mg/kg (low carnitine) and 3495 mg/kg (high carnitine)
diet were fed to yellow catfish for 8 weeks. The low-carnitine diet significantly
improved weight gain (WG) and reduced the feed conversion ratio (FCR). In
contrast, the high-carnitine diet did not affect WG and FCR. Compared with the
control diet, the low-carnitine and high-carnitine diets increased lipid and
carnitine contents in the liver and muscle. The increased lipid content in the
liver could be attributed to the up-regulation of the mRNA levels of SREBP,
PPARgamma, fatty acid synthase (FAS) and ACCa and the increased activities of
lipogenic enzymes (such as FAS, glucose-6-phosphate dehydrogenase, 6
phosphogluconate dehydrogenase and malic enzyme) and to the down-regulation of
the mRNA levels of the lipolytic gene CPT1A. The increased lipid content in
muscle could be attributed to the down-regulation of the mRNA levels of the
lipolytic genes CPT1A and ATGL and the increased activity of lipoprotein lipase.
In conclusion, in contrast to our hypothesis, dietary carnitine supplementation
increased body lipid content in yellow catfish.
PMID- 24933092
TI - Characterization of a rapid and reliable method for iodide biomonitoring in serum
and urine based on ion chromatography-ICP-mass spectrometry.
AB - An appropriate and controlled supply of thyroid hormones is vital for proper body
function. In turn, an appropriate synthesis of T3 and T4 in the thyroid gland is
dependent on a sufficient and balanced iodide concentration in blood serum. Due
to widespread iodine deficiency or some cases of iodine over exposure, iodide
biomonitoring in serum is important and it is that biomonitoring approach being
closest to the bioavailable I(-) supply for the thyroid gland. Therefore, this
paper describes a biomonitoring method for iodide determination in serum based on
ion chromatography-inductively coupled plasma mass spectrometry (IC-ICP-MS).
Since in literature only very few data are available on iodide in serum but many
in urine the method is also extended to I(-) monitoring in urine. The method was
additionally designed to have short analysis time (8min) for increased sample
throughput, good precision in serial measurement (serum: 4.86%; urine: 1.4%), and
day-to-day determination (serum: 5.7%; urine: 2.28%), high accuracy (serum: 105%;
urine: 101%) and good recovery (serum: 102%; urine: 99%) even in matrix-rich
samples at low I(-) concentration. Also, investigations were performed to
elucidate whether internal standardization during chromatography, sample
preparation for protein-matrix removal or matrix-matched calibration are
advantageous for analytical performance. Finally, limits of detection (3sigma) of
0.12MUg/L or 0.05MUg/L (serum or urine) and limit of quantification (10sigma) of
0.39MUg/L or 0.17MUg/L (serum or urine) were achieved.
PMID- 24933093
TI - Taking it one day at a time: African American women aging with HIV and co
morbidities.
AB - Self-managing HIV/AIDS presents challenges for anyone infected. These challenges
may be further complicated for older HIV-infected African American women who
acquired the disease at younger ages and now have co-morbidities. Little is known
regarding how women's age identity, social responsibilities, co-morbidities, and
romantic relationship status influence their HIV self-management. Five focus
groups were conducted in Washington DC, with HIV-positive African American women
aged 52-65. Topics included HIV and co-morbidity self-management, social support
needs, medication adherence, and future plans for old age. A constant comparison
approach was applied during data analysis. Co-morbidities, including diabetes and
hypertension, were perceived to be more difficult to self-manage than HIV. This
difficulty was not attributed to aging but to daily struggles such as lack of
income and/or health insurance, an inflexible work schedule, and loneliness.
Social responsibilities, including caring for family, positively impacted
participants' ability to self-manage HIV by serving as motivation to stay healthy
in order to continue to help family members. In contrast, inflexible work
schedules negatively impacted women's ability to sustain medication adherence.
Overall, this study demonstrates that HIV and co-morbidity self-management are
inextricably linked. We can no longer afford to view engagement in HIV care as a
single-disease issue and hope to attain optimal health and well-being in our HIV
affected populations. Optimal HIV self-management must be framed within a larger
context that simultaneously addresses HIV and co-morbidities, while considering
how social and cultural factors uniquely intersect to influence older African
American women's self-management strategies.
PMID- 24933094
TI - Relationship of sperm small heat-shock protein 10 and voltage-dependent anion
channel 2 with semen freezability in boars.
AB - Freezability differences between boar ejaculates exist, but there is no useful
method to predict the ejaculate freezability before sperm cryopreservation takes
place. In this context, the present study sought to determine whether the amounts
of small heat-shock protein 10 (also known as outer dense fiber protein 1)
(ODF1/HSPB10) and voltage-dependent anion channel 2 (VDAC2) may be used as boar
sperm freezability markers. With this aim, 26 boar ejaculates were split into two
fractions: one for protein extraction and the other for cryopreservation
purposes. Ejaculates were subsequently classified into two groups (good
freezability ejaculates [GFE] and poor freezability ejaculates [PFE]) based on
viability and sperm motility assessments after 30 and 240 minutes of after
thawing. Although the VDAC2 amounts, analyzed through Western blot, were
significantly higher (P < 0.01) in GFE (1.15 +/- 0.18 density mm(2)) than in PFE
(0.16 +/- 0.03 density mm(2)), no significant differences were observed in
ODF1/HSPB10 between both groups (i.e., 1.97 +/- 0.38 density mm(2) in GFE vs.
1.87 +/- 1.54 density mm(2) in PFE). In addition, principal component and
multiple regression analyses indicated that the component explaining most of the
variance (78.41%) in ejaculate freezability at 240 minutes after thawing resulted
to be significantly (P < 0.05) correlated with VDAC2 content. This result
revealed that the amounts of VDAC2 but not those of ODF1/HSPB10 may be used to
predict the freezability of a given boar ejaculate before starting
cryopreservation procedures.
PMID- 24933095
TI - Inflammatory cytokine concentrations in uterine flush and serum samples from
dairy cows with clinical or subclinical endometritis.
AB - The objective of this study was to compare the concentrations of inflammatory
cytokines in uterine flush and serum from healthy postpartum dairy cows and cows
with clinical or subclinical endometritis. Clinical endometritis was diagnosed by
observation of vaginal discharges (>50% pus) and subclinical endometritis was
diagnosed by evaluation of uterine cytology (neutrophils >18%) at 4 weeks
postpartum. Uterine flush was obtained from 48 cows at 4, 6, and 8 weeks
postpartum for evaluation of tumor necrosis factor-alpha (TNF-alpha), interleukin
(IL)-1beta, IL-6, IL-8, and IL-10 concentrations. Serum samples were obtained
from 34 cows just after calving and at 1, 2, 4, 6, and 8 weeks postpartum for
evaluation of TNF-alpha, IL-1beta, and IL-6 concentrations. Concentrations of TNF
alpha, IL-6, and IL-10 were greater (P < 0.05) in cows with clinical endometritis
than in cows with subclinical endometritis and healthy controls, whereas
concentrations of IL-8 in both cows with clinical and subclinical endometritis
were greater (P < 0.005) than in controls. Overall, IL-6 and IL-10 concentrations
decreased during the postpartum period. IL-1beta concentrations in cows with
clinical endometritis decreased (P < 0.0005) during the postpartum, whereas
concentrations in cows with subclinical endometritis and controls did not change
significantly with time; at 4 weeks postpartum, concentrations were greater (P <
0.0001) in cows with clinical endometritis. There were no significant effects of
group, sampling time, or interaction on serum cytokine concentrations. In
conclusion, cows with endometritis have greater inflammatory cytokine
concentrations in uterine flush than healthy cows, but no differences were
observed in serum.
PMID- 24933096
TI - Accurate early infant HIV diagnosis in primary health clinics using a point-of
care nucleic acid test.
AB - OBJECTIVE: To evaluate the accuracy of a point-of-care (POC) nucleic acid-based
test (NAT) for early infant HIV diagnosis (EID) in primary health clinics in
Mozambique. METHODS: POC and laboratory NAT EID tests were conducted on matched
blood samples collected from 827 HIV-exposed infants younger than 18 months who
were enrolled consecutively at 4 periurban primary health clinics and the central
hospital in Maputo. Lancet heel draw blood collected by nurses was tested on site
for HIV-1/-2 RNA on the Alere HIV NAT POC device and also used to create dried
blood spots for later laboratory EID testing on the Roche Cobas Taqman/Ampliprep
instrument. Results were used to determine the sensitivity, specificity, and
agreement between the POC and laboratory NAT EID tests. RESULTS: The sensitivity
and specificity of POC NAT EID testing were 98.5% (95% confidence interval (CI):
91.7 to 99.9, n = 65) and 99.9% (95% CI: 99.3 to 100, n = 762), respectively,
compared with laboratory EID tests. Overall agreement was high (Cohen kappa =
0.981; 95% CI: 0.96 to 1.00). Positive (98.5%; 95% CI: 96.3 to 100) and negative
99.9% (95% CI: 99.7 to 100) test agreement was also high. CONCLUSIONS: Primary
health care nurses accurately performed POC NAT EID testing within primary health
care clinics. On-site nucleic acid-based EID testing is technically feasible in
clinic settings and could be used in efforts to improve access to pediatric HIV
antiretroviral treatment.
PMID- 24933098
TI - A novel straightforward synthesis of 2,4-disubstituted-1,3,5-triazines via
aerobic copper-catalyzed cyclization of amidines with DMF.
AB - A novel straightforward synthesis of both symmetrical and unsymmetrical 2,4
disubstituted-1,3,5-triazines via aerobic copper-catalyzed cyclization of
amidines with DMF as a one-carbon synthon has been developed. The presented
method allows synthesizing the products that are currently inaccessible or
challenging to prepare with the advantages of operational simplicity, broad
substrate scope, and no need for prefunctionalized reagents, making it a highly
practical approach to access various 2,4-disubstituted-1,3,5-triazines.
PMID- 24933099
TI - Insulin-like modulation of Akt/FoxO signaling by copper ions is independent of
insulin receptor.
AB - Copper ions are known to induce insulin-like effects in various cell lines,
stimulating the phosphoinositide 3'-kinase (PI3K)/Akt signaling cascade and
leading to the phosphorylation of downstream targets, including FoxO
transcription factors. The aim of this work was to study the role of insulin- and
IGF1-receptors (IR and IGF1R) in insulin-like signaling induced by copper in
HepG2 human hepatoma cells. Cells were exposed to Cu(II) at various
concentrations for up to 60 min. While Akt and FoxO1a/FoxO3a were strongly
phosphorylated in copper- and insulin-treated cells at all time points studied,
only faint tyrosine phosphorylation of IR/IGF1R was detected in cells exposed to
Cu(II) by either immunoprecipitation/immunoblot or by immunoblotting using
phospho-specific antibodies, whereas insulin triggered strong phosphorylation at
these sites. Pharmacological inhibition of IR/IGF1R modestly attenuated Cu
induced Akt and FoxO phosphorylation, whereas no attenuation of Cu-induced Akt
activation was achieved by siRNA-mediated IR depletion. Cu(II)-induced FoxO1a
nuclear exclusion was only slightly impaired by pharmacological inhibition of
IR/IGF1R, whereas insulin-induced effects were blunted. In contrast, genistein, a
broad-spectrum tyrosine kinase inhibitor, at concentrations not affecting
IR/IGF1R, attenuated Cu(II)-induced Akt phosphorylation, pointing to the
requirement of tyrosine kinases other than IR/IGF1R for Cu(II)-induced signaling.
PMID- 24933097
TI - Prevalent tuberculosis at HIV diagnosis in Rio de Janeiro, Brazil: the TB/HIV in
Rio (THRio) Cohort.
AB - BACKGROUND: Although Brazil has model HIV care programs, many patients continue
to present late to care. We studied the frequency of tuberculosis (TB) diagnosed
at HIV diagnosis in Rio de Janeiro, Brazil, to quantify missed opportunities for
TB prevention. METHODS: People living with HIV (PLHIV) and enrolled in the TB/HIV
in Rio study between September 1, 2005, and August 31, 2009, were included.
Prevalent TB was defined as TB diagnosed within 60 days of HIV diagnosis or HIV
diagnosis during TB therapy. Survival was measured from HIV diagnosis. We
conducted Kaplan-Meier survival plots and Cox regression analyses. RESULTS: Four
thousand five hundred forty-eight newly diagnosed PLHIV were enrolled: 476
(10.5%) with prevalent TB. Individuals with prevalent TB were older, had lower
CD4 counts, and higher viral loads than did those without TB. Median time to
receiving highly active antiretroviral therapy (HAART) in those with prevalent TB
was 99 days (interquartile range = 58-191) vs. 126 days (interquartile range = 63
301) in those without TB (P = 0.021). Among those with prevalent TB, 17% died
during follow-up compared with 8% among those without TB (P < 0.001). After
adjustment for sex, age, baseline CD4, and baseline viral load, the risk of
occurrence of death remained significantly higher among those with prevalent TB
[adjusted hazard ratio = 1.72 (confidence interval 95% 1.19 to 2.48)].
CONCLUSIONS: More than 10% of new PLHIV in our study presented to care with
concurrent active TB disease and thus missed the opportunity for undergoing TB
preventive therapy. Despite initiating HAART more quickly, these individuals were
at a significantly greater risk of death. Earlier HIV diagnosis is necessary to
provide earlier initiation of HAART and TB preventive therapy to reduce morbidity
and mortality in PLHIV.
PMID- 24933100
TI - Consequences of universal MSI/IHC in screening ENDOMETRIAL cancer patients for
Lynch syndrome.
AB - OBJECTIVE: Determine factors impacting the uptake of genetic counseling and
results of genetic testing following universal tumor testing for Lynch syndrome
in patients with endometrial cancer. METHODS: The study population consisted of
two unselected cohorts of endometrial cancer patients, 408 identified
retrospectively and 206 identified prospectively. Immunohistochemistry for
mismatch repair protein expression and/or microsatellite instability analysis was
performed on these tumors. MLH1 methylation analysis was performed on tumors with
loss of MLH1 protein. Tumor studies were considered suggestive of Lynch Syndrome
if they showed immunohistochemical loss of MSH2, MSH6 or PMS2, loss of MLH1
without MLH1 promoter methylation, and/or microsatellite instability.
Participants with suggestive tumor studies were contacted and offered genetic
counseling and testing. RESULTS: In the retrospective cohort, 11% had tumor
studies suggestive of Lynch syndrome, and 42% was seen for genetic counseling. A
germline mutation was detected in 40%, and one had a variant of uncertain
significance. In the prospective cohort, 8.7% of patients had tumor testing
suggestive of Lynch syndrome; 72% were seen for genetic counseling. Germline
mutations were found in 40%, and one had a variant of uncertain significance.
Common challenges included timing of re-contact, age, perceived lack of
relevance, inability to travel and limited insurance coverage. CONCLUSIONS: There
are several barriers to genetic counseling and testing follow-up after universal
tumor testing, and uninformative genetic test results present a management
challenge. It is important to consider these limitations when implementing an
approach to screening endometrial cancer patients for Lynch syndrome.
PMID- 24933101
TI - Postoperative readmissions following ileostomy formation among patients with a
gynecologic malignancy.
AB - OBJECTIVES: Ileostomy results in a relatively poorer water reabsorption and is
associated with dehydration and renal injury. These problems may be exacerbated
in the setting of gynecologic cancers owing to both patient and disease-related
factors. We evaluated the rate and reasons for hospital readmission within 30
days of ileostomy creation in patients with a gynecologic malignancy. METHODS: We
performed a retrospective review of women with gynecologic malignancies who
underwent ileostomy creation between 2002 and 2013. RESULTS: Fifty-three patients
were eligible for analysis. The mean age was 63.3 years. Most patients had
ovarian cancer (86.5%). Indications for ileostomy included small bowel
obstruction (45.3%), as part of primary debulking (18.9%), or treatment of an
anastomotic leak (15.1%). The 30-day readmission rate was 34%. Co-morbid diseases
such as hypertension (p=0.008) and chronic kidney disease (p=0.010) were more
common among women who were readmitted. The most common reasons for readmission
were dehydration (38.9%) and acute renal failure (33.3%); women readmitted for
these conditions had higher average serum creatinine levels at initial
postoperative discharge (1.00 mg/dL versus 0.71 mg/dL, p=0.017) than women who
did not require readmission. Readmitted women had a trend toward shorter overall
survival (0.41 years versus 1.67 years, p=0.061). CONCLUSIONS: Readmission rates
for gynecologic oncology patients undergoing ileostomy were similar to, but
higher than those previously reported in the colorectal literature. In our
population, patients with preexisting cardiovascular or renal disease were at the
highest risk of readmission and may benefit from preemptive strategies to
decrease high ostomy output and dehydration.
PMID- 24933102
TI - Is bariatric surgery an option for women with gynecologic cancer? Examining
weight loss counseling practices and training among gynecologic oncology
providers.
AB - OBJECTIVE: The objective of this study was to evaluate gynecologic oncology
provider (GOP) practices regarding weight loss (WL) counseling, and to assess
their willingness to initiate weight loss interventions, specifically bariatric
surgery (WLS). METHODS: Members of the Society of Gynecologic Oncology were
invited to complete an online survey of 49 items assessing knowledge, attitudes,
and behaviors related to WL counseling. RESULTS: A total of 454 participants
initiated the survey, yielding a response rate of 30%. The majority of
respondents (85%) were practicing GOP or fellows. A majority of responders
reported that >50% of their patient population is clinically obese (BMI >= 30).
Only 10% reported having any formal training in WL counseling, most often in
medical school or residency. Providers who feel adequate about WL counseling were
more likely to offer multiple WL options to their patients (p<.05). Over 90% of
responders believe that WLS is an effective WL option and is more effective than
self-directed diet and medical management of obesity. Providers who were more
comfortable with WL counseling were significantly more likely to recommend WLS
(p<.01). Approximately 75% of respondents expressed interest in clinical trials
evaluating WLS in obese cancer survivors. CONCLUSIONS: The present study suggests
that GOP appreciate the importance of WL counseling, but often fail to provide
it. Our results demonstrate the paucity of formal obesity training in oncology.
Providers seem willing to recommend WLS as an option to their patients but also
in clinical trials examining gynecologic cancer outcomes in women treated with
BS.
PMID- 24933104
TI - [What would you do with a 29-weeks pregnant woman with generalised pruritus?].
AB - Intrahepatic cholestasis of pregnancy is a reversible disease of variable
frequency. The pathophysiology involves an increase of the bile acids in the
fetal blood of the mother and the fetus. The high concentrations of the bile
acids cause maternal pruritus and high perinatal morbimortality. Primary Care
physicians in close contact with the patient must have a high index of suspicion
when faced with typical symptoms of cholestasis, such as generalized pruritus in
women in the second or third trimester of gestation to be able to act on the
consequences.
PMID- 24933103
TI - Associations of polymorphisms in DNA repair genes and MDR1 gene with chemotherapy
response and survival of non-small cell lung cancer.
AB - OBJECTIVES: We aimed to determine the associations of genetic polymorphisms of
excision repair cross-complementation group 1 (ERCC1) rs11615, xeroderma
pigmentosum group D (XPD/ERCC2) rs13181, X-ray repair cross complementing group 1
(XRCC1) rs25487, XRCC3 rs1799794, and breast cancer susceptibility gene 1 (BRCA1)
rs1799966 from the DNA repair pathway and multiple drug resistance 1 (MDR1/ABCB1)
rs1045642 with response to chemotherapy and survival of non-small cell lung
cancer (NSCLC) in a Chinese population. MATERIALS AND METHODS: A total of 352
NSCLC patients were enrolled to evaluate the associations of the six SNPs with
response to chemotherapy and overall survival. Logistic regressions were applied
to test the associations of genetic polymorphisms with response to chemotherapy
in 161 advanced NSCLC patients. Overall survival was analyzed in 161 advanced and
156 early stage NSCLC patients using the Kaplan-Meier method with log-rank test,
respectively. Multivariate Cox proportional hazards model was performed to
determine the factors independently associated with NSCLC prognosis. RESULTS:
BRCA1 rs1799966 minor allele C (TC+CC vs. TT, OR = 0.402, 95% CI = 0.204-0.794, p
= 0.008) and MDR1/ABCB1 rs1045642 minor allele A (GA +AA vs. GG, OR = 0.478, 95%
CI = 0.244-0.934, p = 0.030) were associated with a better response to
chemotherapy in advanced NSCLC patients. Survival analyses indicated that BRCA1
rs1799966 TC+CC genotypes were associated with a decreased risk of death (HR =
0.617, 95% CI = 0.402-0.948, p = 0.028) in advanced NSCLC patients, and the
association was still significant after the adjustment for covariates.
Multivariate Cox regression analysis showed that ERCC1 rs11615 AA genotype (P =
0.020) and smoking (p = 0.037) were associated with increased risks of death in
early stage NSCLC patients after surgery. CONCLUSIONS: Polymorphisms of genes in
DNA repair pathway and MDR1 could contribute to chemotherapy response and
survival of patients with NSCLC.
PMID- 24933105
TI - Pastoral care use among post-9/11 veterans who screen positive for mental health
problems.
AB - As a result of their military experience, veterans with mental health problems
may have unique motivations for seeking help from clergy. Patterns and correlates
of seeking pastoral care were examined using a nationwide representative survey
that was conducted among veterans of post-9/11 conflicts (adjusted N = 1,068; 56%
response rate). Separate multivariate logistic regression models were used to
examine veteran characteristics associated with seeking pastoral care and seeking
mental health services. Among post-9/11 veterans with a probable mental disorder
(n = 461)-defined as a positive screen for posttraumatic stress disorder, major
depressive disorder, or alcohol misuse-20.2% reported talking to a "pastoral
counselor" in the preceding year, 44.7% reported talking to a mental health
professional, and 46.6% reported talking to neither. In a multivariate analysis
for veterans with a probable mental disorder, seeing a pastoral counselor was
associated with an increased likelihood of seeing a mental health professional in
the past year (OR: 2.16; 95% CI: [1.28, 3.65]). In a separate bivariate analysis,
pastoral counselors were more likely to be seen by veterans who indicated
concerns about stigma or distrust of mental health care. These results suggest
that pastoral and mental health care services may complement one another and
underscore the importance of enhancing understanding and collaboration between
these disciplines so as to meet the needs of the veterans they serve.
PMID- 24933107
TI - A meta-analysis of cognitive functioning in nondemented adults with type 2
diabetes mellitus.
AB - OBJECTIVE: The current investigation sought to determine the pattern and
magnitude of cognitive functioning deficits in persons with type 2 diabetes
mellitus without dementia using meta-analysis to consolidate findings in the
literature. METHODS: MedLine and PsychInfo databases were searched to identify
studies of cognitive functioning in persons with type 2 diabetes. Effect sizes
(Cohen's d) were calculated for the differences in cognitive functioning between
subjects with type 2 diabetes and controls without diabetes on classified
cognitive abilities. Average d values were calculated for all cognitive abilities
across studies. RESULTS: Twenty-five studies meeting the inclusion criteria were
identified. Persons with type 2 diabetes performed significantly lower than
controls without diabetes (p<0.05) on all cognitive abilities evaluated, with
effect sizes ranging from -0.14 to -0.37. The largest effect sizes were
processing speed (with motor task demands), M -0.37 (95% CI, -0.41 to -0.32), and
divided attention/shifting, M -0.36 (95% CI, -0.42 to -0.31). CONCLUSIONS: Type 2
diabetes leads to mild to moderate deficits in all measured cognitive abilities.
There was a lack of published studies investigating type 2 diabetes-associated
variables; therefore, additional meta-analyses investigating the impact of these
variables on cognitive functioning in type 2 diabetes could not be performed. As
such, data from individual studies must be reported consistently to allow for
investigation of variables that may affect the relationship between type 2
diabetes and cognitive functioning. Given the present findings, clinicians
working with patients with type 2 diabetes should be alerted to the possibility
of cognitive changes that could impact type 2 diabetes treatment management or
require referral for neuropsychological assessment.
PMID- 24933106
TI - Effect of atorvastatin on pancreatic Beta-cell function and insulin resistance in
type 2 diabetes mellitus patients: a randomized pilot study.
AB - OBJECTIVE: Statins are commonly used for the management of dyslipidemia in type 2
diabetes mellitus patients. We hypothesized that atorvastatin could modulate the
beta-cell function by altering the levels of proapoptotic and antiapoptotic
lipoproteins and could also have an effect on insulin resistance. The aim of the
present pilot study was to assess the effect of atorvastatin 10 mg on pancreatic
beta-cell function and insulin resistance in patients with hyperlipidemia and
type 2 diabetes by using the homeostasis model assessment-2 (HOMA2) index.
METHODS: Fifty-one type 2 diabetes patients receiving oral antidiabetes drugs,
not taking statins, with baseline low-density lipoprotein cholesterol between 2.6
mmol/L and 4.1 mmol/L were included. Forty-three patients (21 in placebo group
and 22 in atorvastatin group) completed the study and were taken up for final
analysis. Fasting blood samples were obtained at baseline and at 12 weeks to
determine levels of blood glucose, lipid profile, insulin, C-peptide and
glycosylated hemoglobin (A1C). RESULTS: Atorvastatin nonsignificantly increased
fasting serum insulin (+14.29%, p=0.18), accompanied by marginal nonsignificant
increases in fasting plasma glucose and A1C. There was a decrease in HOMA2
percent beta-cell function (-2.9%, p=0.72) and increase in HOMA2 insulin
resistance (+14%, p=0.16) in the atorvastatin group as compared with baseline,
but the difference was not statistically significant. CONCLUSIONS: Atorvastatin
in the dose used failed to produce significant change in pancreatic beta-cell
function and insulin resistance in type 2 diabetes patients as assessed by the
HOMA2 index. The possible explanations include absence of lipotoxicity at
prevailing levels of dyslipidemia at baseline or inadequacy of statin dose used
in the study. (Clinical Trials Registry-India: CTRI/2008/091/000099).
PMID- 24933108
TI - Food and physical activity behaviours of adults attending a prediabetes education
class.
AB - OBJECTIVES: The primary objective of this study was to examine food and physical
activity behaviours of adults with prediabetes. METHODS: For this cross-sectional
study, adults (aged >17 years) with prediabetes (n=1228) who attended a
prediabetes education class were recruited. Participants self-administered an
assessment of food and physical activity behaviours using the Food Behaviour
Checklist and the Godin Leisure Time Exercise Questionnaire. Linear regression
models were performed to identify significant relationships between food,
physical activity, age, body mass index and food access variables. RESULTS:
Participants were 35.8% men with a mean age of 57.7 years (SD 11.6) and 64.2%
women with a mean age of 55.2 years (13.9). Neither group was consuming adequate
fruits and vegetables (17.1% for men and 29.2% for women eating 5 or more
servings a day) or meeting physical activity guidelines of 150 minutes (29.5% for
men and 26.2% for women). Approximately 29.0% of the entire sample "always"
consumed milk or soy products. Men reported higher saturated fat intakes than
women. For the entire sample, 83.4% rated their diet quality as needing
improvement, and most consumed sweetened beverages (71.1% of men and 50.9% of
women). Many participants (88.5%) used nutrition facts panels to choose foods.
Food behaviour subscales and physical activity were significantly associated with
each other and with age, body mass index and food access (p<0.05). CONCLUSIONS:
Overall, adults with prediabetes reported less than optimal food and physical
activity behaviours. Evaluation of lifestyle behaviours using simple tools like
the Food Behaviour Checklist and Godin Leisure Time Exercise Questionnaire can be
helpful for program design, evaluation and delivery.
PMID- 24933109
TI - Modular isotopomer synthesis of gamma-hydroxybutyric acid for a quantitative
analysis of metabolic fates.
AB - Herein we report a study combining metabolomics and mass isotopomer analysis used
for investigation of the biochemical fate of gamma-hydroxybutyric acid (GHB).
Using various (13)C incorporation labeling patterns into GHB, we have discovered
that GHB is catabolized by previously unknown processes that include (i) direct
beta-oxidation to acetyl-CoA and glycolate, (ii) alpha-oxidation to 3
hydroxypropionyl-CoA and formate, and (iii) cleavage of C-4 to yield 3
hydroxypropionate and CO2. We further utilized the unique attributes of our
labeling patterns and the resultant isotopomers to quantitate relative flux down
the identified pathways.
PMID- 24933111
TI - Effects of deafness and cochlear implant use on temporal response characteristics
in cat primary auditory cortex.
AB - We have previously shown that neonatal deafness of 7-13 months duration leads to
loss of cochleotopy in the primary auditory cortex (AI) that can be reversed by
cochlear implant use. Here we describe the effects of a similar duration of
deafness and cochlear implant use on temporal processing. Specifically, we
compared the temporal resolution of neurons in AI of young adult normal-hearing
cats that were acutely deafened and implanted immediately prior to recording with
that in three groups of neonatally deafened cats. One group of neonatally
deafened cats received no chronic stimulation. The other two groups received up
to 8 months of either low- or high-rate (50 or 500 pulses per second per
electrode, respectively) stimulation from a clinical cochlear implant, initiated
at 10 weeks of age. Deafness of 7-13 months duration had no effect on the
duration of post-onset response suppression, latency, latency jitter, or the
stimulus repetition rate at which units responded maximally (best repetition
rate), but resulted in a statistically significant reduction in the ability of
units to respond to every stimulus in a train (maximum following rate). None of
the temporal response characteristics of the low-rate group differed from those
in acutely deafened controls. In contrast, high-rate stimulation had diverse
effects: it resulted in decreased suppression duration, longer latency and
greater jitter relative to all other groups, and an increase in best repetition
rate and cut-off rate relative to acutely deafened controls. The minimal effects
of moderate-duration deafness on temporal processing in the present study are in
contrast to its previously-reported pronounced effects on cochleotopy. Much
longer periods of deafness have been reported to result in significant changes in
temporal processing, in accord with the fact that duration of deafness is a major
factor influencing outcome in human cochlear implantees.
PMID- 24933110
TI - Myo-inositol in Down syndrome amniotic fluid. A case-control study.
PMID- 24933114
TI - The economic implications of multiple pregnancy following ART.
AB - The clinical risks to mothers and babies associated with assisted reproductive
technology (ART) multiple birth pregnancies are well described and widely
recognized. In contrast, the long-term economic consequences that follow are less
appreciated. The few economic analyses that do exist consistently demonstrate the
greater patient, healthcare and societal costs associated with twins and higher
order multiples when compared with singleton infants, and convincingly add to the
argument that single embryo transfer should be standard practice in most patient
groups. Several recent studies have shown that the relative price paid by
patients for ART treatment not only has implications for who can afford to access
treatment, but also plays an important role in incentivizing embryo transfer
practices and thus ART multiple birth rates. This review summarizes the current
literature on the costs and consequences of ART multiple births, the contribution
of ART multiple births to the economic burden associated with preterm birth, the
evidence for the cost-effectiveness of single embryo transfer, and the
association between the cost of treatment and the downstream costs associated
with multiple births.
PMID- 24933113
TI - Myc and its interactors take shape.
AB - The Myc oncoprotein is a key contributor to the development of many human
cancers. As such, understanding its molecular activities and biological functions
has been a field of active research since its discovery more than three decades
ago. Genome-wide studies have revealed Myc to be a global regulator of gene
expression. The identification of its DNA-binding partner protein, Max, launched
an area of extensive research into both the protein-protein interactions and
protein structure of Myc. In this review, we highlight key insights with respect
to Myc interactors and protein structure that contribute to the understanding of
Myc's roles in transcriptional regulation and cancer. Structural analyses of Myc
show many critical regions with transient structures that mediate protein
interactions and biological functions. Interactors, such as Max, TRRAP, and PTEF
b, provide mechanistic insight into Myc's transcriptional activities, while
others, such as ubiquitin ligases, regulate the Myc protein itself. It is
appreciated that Myc possesses a large interactome, yet the functional relevance
of many interactors remains unknown. Here, we discuss future research trends that
embrace advances in genome-wide and proteome-wide approaches to systematically
elucidate mechanisms of Myc action. This article is part of a Special Issue
entitled: Myc proteins in cell biology and pathology.
PMID- 24933115
TI - Ultrastructural study of electron dense deposits in renal tubular basement
membrane: prevalence and relationship to epithelial atrophy.
AB - This study reports the prevalence of immune deposits associated with the proximal
and distal tubules in a series of routine renal biopsies received in our
department during a single calendar year. From 87 cases, 65 (74%) were found to
have glomerular immune deposits by immunofluorescence. Tubular immune deposits
were found in 12 cases (18%), 3 of which had no glomerular deposits. By
transmission electron microscopy (EM), 58 cases (66%) were found to have deposits
of granular or vesicular material associated with the tubular basement membranes
(TBM). Finely granular electron dense deposits appeared to correspond to the
immune deposits seen by immunofluorescence microscopy (IF) and may be a sensitive
marker of immune deposition.
PMID- 24933116
TI - The effect of progesterone on genes involved in preterm labor.
AB - The decidua is known to be a major source of intrauterine PGF2alpha during late
gestation and labor, and inflammatory cytokines, including IL-1beta, IL-6, and IL
8, are elevated in spontaneous preterm deliveries. In the present study, to
elucidate how progesterone blocks the pathways associated with preterm birth, we
determined the effects of P4 on the expression of PTGS-2 and PTGFR mRNA in human
decidua fibroblast cells, as well as the genes, using microarray analysis.
Senescence was induced in primary cultured human decidual cells treated with IL
1beta. The IL-1beta treatment implicated by microarray analysis increased gene
expression levels of PTGS-2, PTGFR, NFkappa-B p65, IL-17, and IL-8. In contrast,
P4+IL-1beta decreased the expression levels of all of these genes in comparison
to treatment with IL-1beta alone (p<0.05). IL-1beta also increased the proportion
of SA-beta-gal-positive cells. Treatment with IL-1beta also increased the p21
protein level in comparison to cells treated either with the vehicle or P4.
Neither the p21 protein level nor the number of SA-beta-gal-positive cells was
increased in normal endometrial glandular cells by IL-1beta (p<0.05). Our studies
demonstrated that P4 changes the level of gene expression in a manner that favors
an anti-inflammatory milieu. Because IL-8 appears to be the cytokine whose
expression is most significantly modulated by P4, further studies evaluating IL-8
as a therapeutic target are needed.
PMID- 24933117
TI - Functional Toll-like receptors in primary first-trimester trophoblasts.
AB - Toll-like receptors (TLRs) are an important part of the body's danger response
system and crucial for initiating inflammation in response to cellular stress,
tissue damage, and infections. Proper placental development is sensitive to
inflammatory activation, and a role for TLRs in trophoblast immune activation has
been suggested, but no overall examination has been performed in primary
trophoblasts of early pregnancy. This study aimed to broadly examine cell surface
and endosomal TLR gene expression and activation in first-trimester trophoblasts.
Gene expression of all ten TLRs was examined by quantitative RT-PCR (RT-qPCR) in
primary first-trimester trophoblasts (n = 6) and the trophoblast cell line BeWo,
and cytokine responses to TLR ligands were detected by quantitative multiplex
immunoassay. Primary first-trimester trophoblasts broadly expressed all ten TLR
mRNAs; TLR1, TLR2, TLR3, TLR4, and TLR6 mRNA were expressed by all primary
trophoblast populations, while TLR5, TLR7, TLR8, TLR9, and TLR10 mRNA expression
was more restricted. Functional response to ligand activation of cell surface
TLR2/1, TLR4, and TLR5 increased IL-6 and/or IL-8 release (P < 0.01) from primary
trophoblasts. For endosomal TLRs, TLR3 and TLR9 ligand exposure increased
receptor-specific production of IL-8 (P < 0.01) and IFN-gamma-induced protein 10
(IP-10; P < 0.001) or vascular endothelial growth factor A (VEGFA; P < 0.01). In
contrast, BeWo cells expressed lower TLR mRNA levels and did not respond to TLR
activation. In conclusion, primary first-trimester trophoblasts broadly express
functional TLRs, with inter-individual variation, suggesting that trophoblast
TLR2, TLR3, TLR4, TLR5, and TLR9 might play a role in early placental
inflammation.
PMID- 24933118
TI - Impact of maternal cholesterol metabolism on ovarian follicle development and
fertility.
AB - The relationship among maternal lipid metabolism, fetal development, and adult
disease of the offspring represents an emerging topic of high epidemiological
relevance. The present review highlights the very early aspects of this process.
Recent data suggest a link between lipid metabolism and reproduction/fertility,
not only on the systemic level, but also locally on the level of the ovary that
maintains its own sterol metabolism, likely in a self-regulated fashion.
Follicular fluid - which surrounds oocytes in a developing follicle - contains
all relevant lipoprotein subclasses that reach the follicular fluid either by
diffusion, in the case of high-density lipoproteins (HDL), or by local production
within the granulosa cells, in the case of very low-density lipoproteins (VLDL).
Here, we summarize current knowledge on lipoprotein metabolism in the ovary in
the context of fertility, and hypothesize that lipoproteins within follicular
fluid are relevant to the development of the early embryo and thereby putatively
also to the programming of metabolic disease later in life.
PMID- 24933119
TI - Perceived top 10 highly effective interventions to prevent adult inpatient fall
injuries by specialty area: a multihospital nurse survey.
AB - PURPOSE: This study identified the perceived top 10 highly effective
interventions to prevent fall injuries of adult inpatients based on the
perceptions of RN staff by specialty area in acute hospital settings. BACKGROUND:
The fall prevention precautions to focus on may vary by patients' medical
problems and thus by specialty area. METHODS: This cross-sectional nurse survey
was conducted at five U.S. health systems (July 2011-February 2012, 68 study
units, 10 specialty areas). 560 staff participants completed the survey, yielding
an overall response rate of 25.81%. This work is part of a larger project.
Descriptive statistics were used. RESULTS: Each specialty area had its own top 10
effective interventions identified by RNs. The complexity and differences in the
top 10 highly effective interventions by the 10 included specialty areas are
apparent. For example, only one common intervention (keeping hospital bed brakes
locked) appeared in the lists from the medical units and surgical units.
CONCLUSION: Addressing the unique needs of the patient population by specialty
area is essential. Adopting the perceived top 10 highly effective interventions
for preventing injurious falls by specialty area with staff consensus might be
more feasible for staff buy-in and compliance in inpatient acute care settings.
Since patients' characteristics may change over time and the science in fall
prevention is advancing, re-prioritizing effective interventions as needed every
2 years is recommended.
PMID- 24933120
TI - Role of vitamin D in ovarian physiology and its implication in reproduction: a
systematic review.
AB - OBJECTIVE: To report an update on the role of vitamin D (VD) in ovarian
physiology with a focus on genes involved in steroidogenesis, follicular
development, and ovarian reserve, as well as ovulatory dysfunction associated
with polycystic ovary syndrome (PCOS), and ovarian response to assisted
reproductive technology (ART). DESIGN: Systematic review. SETTING: Not
applicable. PATIENT(S): Human, animal, and cell culture models. INTERVENTION(S):
Pubmed literature search. MAIN OUTCOME MEASURE(S): Granulosa cell function, serum
antimullerian hormone (AMH), AMH and its receptor gene expression, soluble
receptor for advanced glycation end-products (sRAGE), PCOS parameters, and ART
outcome. RESULT(S): In human granulosa cells, VD alters AMH signaling, FSH
sensitivity, and progesterone production and release, indicating a possible
physiologic role for VD in ovarian follicular development and luteinization. In
the serum, 25-hydroxyvitamin D (25OH-D) is positively correlated with AMH, and
appropriate VD supplementation in VD-depleted women can suppress the seasonal
changes that occur in serum AMH. In VD-deficient women with PCOS, VD
supplementation lowers the abnormally elevated serum AMH levels, possibly
indicating a mechanism by which VD improves folliculogenesis. The
antiinflammatory sRAGE serum levels significantly increase in women with PCOS
after VD replacement. Although follicular fluid 25OH-D correlates with IVF
outcomes, there is a lack of data pertaining to the impact of VD supplementation
on pregnancy rates following IVF. CONCLUSION(S): This review underscores the need
for understanding the mechanistic actions of VD in ovarian physiology and the
critical need for randomized trials to elucidate the impact of VD supplementation
on controlled ovarian hyperstimulation/IVF outcome and ovulatory dysfunction
associated with PCOS.
PMID- 24933121
TI - Alternative views on setting clinical trial futility criteria.
AB - A feature increasingly utilized in clinical trial practice is to allow a study to
stop early when it seems unlikely to achieve its primary efficacy objectives.
This is commonly referred to as stopping for futility, and can be motivated by
ethical and financial considerations. A number of methods for addressing futility
have been described in the literature, including rules based upon conditional
power, predictive probability, beta spending functions, and others. We consider
futility stopping from the point of view of quantifying and providing an
objective sensible balance between risks of incorrect decisions (e.g., stopping
trials which should continue, and continuing trials which should stop), and
discuss how specific considerations within a trial can lead to choice of a
sensible scheme. This approach is not specific to any particular scales in the
literature such as those just mentioned, and we describe interrelationships among
criteria expressed on different scales. As futility may be evaluated multiple
times in a long-term trial and the amount of information available at scheduled
interim analyses may be difficult to predict in advance, we present a specific
optimality criterion and discuss which of the familiar scales tend to produce
schemes simple to describe and implement, and with better behavior across
different timepoints at which futility might be evaluated.
PMID- 24933123
TI - A node linkage approach for sequential pattern mining.
AB - Sequential Pattern Mining is a widely addressed problem in data mining, with
applications such as analyzing Web usage, examining purchase behavior, and text
mining, among others. Nevertheless, with the dramatic increase in data volume,
the current approaches prove inefficient when dealing with large input datasets,
a large number of different symbols and low minimum supports. In this paper, we
propose a new sequential pattern mining algorithm, which follows a pattern-growth
scheme to discover sequential patterns. Unlike most pattern growth algorithms,
our approach does not build a data structure to represent the input dataset, but
instead accesses the required sequences through pseudo-projection databases,
achieving better runtime and reducing memory requirements. Our algorithm
traverses the search space in a depth-first fashion and only preserves in memory
a pattern node linkage and the pseudo-projections required for the branch being
explored at the time. Experimental results show that our new approach, the Node
Linkage Depth-First Traversal algorithm (NLDFT), has better performance and
scalability in comparison with state of the art algorithms.
PMID- 24933125
TI - Service users' priorities and preferences for treatment of psychosis: a user-led
Delphi study.
AB - OBJECTIVE: Service users may have different priorities and preferences for
treatment compared with mental health professionals. Few studies have explored
these potentially important differences. This study sought consensus from a
sample of service users regarding priorities and preferences for treatment of
psychosis. METHODS: A three-stage Delphi study exploring treatment priorities and
preferences was conducted with a sample of mental health service users ("experts
by experience"). After generation of an initial statement list (stage 1, N=6
participants), 64 diverse elements of mental health treatment were rated during a
two-stage online survey process (stage 2, N=32; stage 3, N=21). RESULTS: Fifteen
of 64 treatment-related statements were endorsed as important or essential
treatment priorities or preferences by more than 80% of participants during stage
2. Two further statements were similarly endorsed during stage 3. CONCLUSIONS:
This study is among the first of its kind and highlights the importance of
assessing individual treatment priorities.
PMID- 24933124
TI - A golden gate modular cloning toolbox for plants.
AB - Plant Synthetic Biology requires robust and efficient methods for assembling
multigene constructs. Golden Gate cloning provides a precision module-based
cloning technique for facile assembly of multiple genes in one construct. We
present here a versatile resource for plant biologists comprising a set of
cloning vectors and 96 standardized parts to enable Golden Gate construction of
multigene constructs for plant transformation. Parts include promoters,
untranslated sequences, reporters, antigenic tags, localization signals,
selectable markers, and terminators. The comparative performance of parts in the
model plant Nicotiana benthamiana is discussed.
PMID- 24933122
TI - Common carotid intima media thickness and ankle-brachial pressure index correlate
with local but not global atheroma burden: a cross sectional study using whole
body magnetic resonance angiography.
AB - BACKGROUND: Common carotid intima media thickness (CIMT) and ankle brachial
pressure index (ABPI) are used as surrogate marker of atherosclerosis, and have
been shown to correlate with arterial stiffness, however their correlation with
global atherosclerotic burden has not been previously assessed. We compare CIMT
and ABPI with atheroma burden as measured by whole body magnetic resonance
angiography (WB-MRA). METHODS: 50 patients with symptomatic peripheral arterial
disease were recruited. CIMT was measured using ultrasound while rest and
exercise ABPI were performed. WB-MRA was performed in a 1.5T MRI scanner using 4
volume acquisitions with a divided dose of intravenous gadolinium gadoterate
meglumine (Dotarem, Guerbet, FR). The WB-MRA data was divided into 31 anatomical
arterial segments with each scored according to degree of luminal narrowing: 0 =
normal, 1 = <50%, 2 = 50-70%, 3 = 70-99%, 4 = vessel occlusion. The segment
scores were summed and from this a standardized atheroma score was calculated.
RESULTS: The atherosclerotic burden was high with a standardised atheroma score
of 39.5+/-11. Common CIMT showed a positive correlation with the whole body
atheroma score (beta 0.32, p = 0.045), however this was due to its strong
correlation with the neck and thoracic segments (beta 0.42 p = 0.01) with no
correlation with the rest of the body. ABPI correlated with the whole body
atheroma score (beta -0.39, p = 0.012), which was due to a strong correlation
with the ilio-femoral vessels with no correlation with the thoracic or neck
vessels. On multiple linear regression, no correlation between CIMT and global
atheroma burden was present (beta 0.13 p = 0.45), while the correlation between
ABPI and atheroma burden persisted (beta -0.45 p = 0.005). CONCLUSION: ABPI but
not CIMT correlates with global atheroma burden as measured by whole body
contrast enhanced magnetic resonance angiography in a population with symptomatic
peripheral arterial disease. However this is primarily due to a strong
correlation with ilio-femoral atheroma burden.
PMID- 24933126
TI - Vitamin B12 deficiency in an adolescent girl with polycystic ovarian syndrome.
PMID- 24933127
TI - Field electron emission of layered Bi2Se3 nanosheets with atom-thick sharp edges.
AB - Field electron emission properties of solution processed few-layer Bi2Se3
nanosheets are studied for the first time, which exhibit a low turn-on field of
2.3 V MUm(-1), a high field enhancement factor of up to 6860 and good field
emission stability. This performance is better than that of the as reported
layered MoS2f sheets and is comparable to that of single layer graphene films.
The efficient field emission behaviours are found to be not only attributed to
their lower work function but also related to their numerous sharp edges or
protrusion decorated structure based on our simulation results. Besides, the
contribution of possible two-dimensional electron gas surface states of atom
thick layered Bi2Se3 nanosheets is discussed in this paper. We anticipate that
these solution processed layered Bi2Se3 nanosheets have great potential as robust
high-performance vertical structure electron emitters for future light weight and
highly flexible vacuum micro/nano-electronic device applications.
PMID- 24933128
TI - Pressure-voltage trap for DNA near a solid-state nanopore.
AB - We report the formation of a tunable single DNA molecule trap near a solid-state
nanopore in an electrolyte solution under conditions where an electric force and
a pressure-induced viscous flow force on the molecule are nearly balanced.
Trapped molecules can enter the pore multiple times before escaping the trap by
passing through the pore or by diffusing away. Statistical analysis of many
individually trapped molecules yields a detailed picture of the fluctuation
phenomena involved, which are successfully modeled by a one-dimensional first
passage approach.
PMID- 24933129
TI - STAT4 deficiency protects against neointima formation following arterial injury
in mice.
AB - Signal transducer and activator of transcription 4 (STAT4) has been associated
with susceptibility to autoimmune diseases. Intriguingly, we previously reported
that STAT4 might play a critical role in vascular smooth muscle cell (VSMC)
proliferation. The present study therefore investigated the impact of STAT4 on
VSMC migration, apoptosis and neointimal hyperplasia postinjury, as well as the
underlying mechanisms. Guide-wire injury was associated with development of
intimal neointima, STAT4 and phosphorylated STAT4 (p-STAT4) expressions were
apparently up-regulated in the injured arteries. Neointima was greatly blocked in
STAT4 knockout (KO) mice compared with wild type (WT) mice. A marked loss of
inflammatory cells was identified in the vasculature postinjury in STAT4 KO mice.
VSMC apoptosis was enhanced in the vasculature postinjury in STAT4 KO mice
compared with WT mice. Cultured primary STAT4 KO VSMCs displayed reduced
migration in comparison with WT controls. Mechanically, the deletion of STAT4
potently decreased the level of MCP-1, and its downstream targets MMP1 and MMP2.
The effect of STAT4 on VSMC apoptosis was mainly mediated by the activation of
the mitochondrial apoptotic pathway, as manifested by increased cytochrome c
release and the activation of caspase-3. STAT4 therefore represents a promising
molecular target to limit restenosis after artery intervention.
PMID- 24933130
TI - Genetic epidemiology of cancer predisposition DNA repair genes is probably
related with ancestral surviving under adverse environmental conditions.
AB - AIMS: Surviving under a stressful environment may be a real challenge.
Individuals (and their offspring) with accumulated genetic variation in their DNA
repair genes may have a higher probability to survive under these conditions. A
partially unstable DNA repair system raises the mutagenesis rate and the
probability for an advantageous mutation to appear. This can also explain the
high incidence of specific types of cancer today. The aim of this study was to
find evidence for this phenomenon in humans, trying in this way to explain the
cancer rates that differ worldwide and especially the breast and colon cancer
ones. RESULTS: The last ice age (last glacial maximum) is probably the most
recent example of extreme environmental conditions that existed in many regions
of our planet. Careful comparison of the last ice age Earth map with the cancer
incidence maps of the GLOBOCAN project revealed a high similarity. Human
populations that survived in those regions may indeed have a partially defective
DNA repair system. Adding on to this observation, an evolutionary model is
proposed here for how populations escape extinction, where cancer may have been
increased in modern humans due to an evolutionary site effect. CONCLUSION: A
novel explanation is proposed for the high cancer incidence in specific
geographic regions, based on epidemiological observations and on the assumption
for unstable DNA repair in ancestral individuals.
PMID- 24933131
TI - Shorter dispatcher-assisted CPR time-to-compression using the latest dispatch
protocol.
PMID- 24933132
TI - Reply to letter: shorter dispatcher-assisted CPR time-to-compression using the
latest dispatch protocol.
PMID- 24933134
TI - Impact of expectations on the effects of exercise on psychological distress.
AB - OBJECTIVE: To evaluate the influence of expectations on effectiveness of exercise
in reducing psychological distress. METHOD: Data came from a Swedish longitudinal
population-based study consisting of 4631 people aged 20-64 years. Psychological
distress was measured with the Major Depressive Inventory. Expectations (positive
expectations or indifference) towards exercise were combined with exercise
(regular exercise: yes or no). RESULTS: Indifferent non-exercisers had increased
risk of psychological distress. Regular exercisers who were indifferent towards
exercise as a self-help method were less likely to be psychologically distressed
compared to exercisers with positive expectations. CONCLUSION: The results
encourage systematic use of exercise in prevention and rehabilitation of persons
suffering from psychological distress. People's personal expectations might not
be needed for treatment effect.
PMID- 24933133
TI - Relationship between perceived discrimination and sedentary behavior in adults.
AB - OBJECTIVE: To identify psychosocial factors associated with sedentary behavior,
we tested whether perceived discrimination is associated with sedentary behavior.
METHODS: Black and white men and women (N = 3270) from the Coronary Artery Risk
Development in Young Adults (CARDIA) Study reported experiences of discrimination
and time engaged in total and screen time sedentary behaviors in 2010-11.
RESULTS: There were no associations of discriminatory experiences with total
sedentary behavior time. However, discriminatory experiences were positively
associated with screen time for black men (OR 1.81, 95% CI: 1.14, 2.86) and white
women (OR 1.51, 95% CI: 1.14, 2.00) after adjusting for demographic and
traditional cardiovascular disease risk factors. CONCLUSION: Among black men and
white women, discriminatory experiences were correlated with more screen time
sedentary behavior.
PMID- 24933135
TI - Does culture or illness change a smoker's perspective on cessation?
AB - OBJECTIVES: To explore cultural context for smoking cessation within Chinese
communities in Vancouver, and identify opportunities to support development of
culturally appropriate resources for cessation. METHODS: Applied participatory
approach involving community members, patients, and key-informants in the design
and implementation of the research. RESULTS: Whereas many participants were
motivated to quit, their perceptions of desire to do so were not supported by
effective interventions and many attempts to quit were unsuccessful. CONCLUSION:
Tobacco control clinics and care providers need to adopt culturally and
linguistically relevant interventions to facilitate behavioral modifications and
cessation in ethnic minority communities.
PMID- 24933137
TI - Smiles count but minutes matter: responses to classroom exercise breaks.
AB - OBJECTIVES: To determine the subjective responses of teachers and students to
classroom exercise breaks, and how responses varied by duration. METHODS: This
mixed-methods experimental study included focus groups with teachers (N = 8) and
4(th)- and 5(th)-grade students (N = 96). Students participated in 5-, 10-, and
20-minute exercise breaks and 10 minutes of sedentary activity. In an additional
exploratory analysis, video-tapes of each condition were coded and compared for
positive affect. RESULTS: Students and teachers discussed multiple benefits, but
teachers discussed barriers to implementing regular breaks of 5-minutes or more.
Students exhibited higher positive affect during each exercise condition.
CONCLUSIONS: Classroom exercise breaks are an enjoyable way to increase physical
activity, but additional support may be needed to encourage teachers to implement
breaks of 5 minutes or longer.
PMID- 24933138
TI - Stigma and health literacy: an agenda for advancing research and practice.
AB - OBJECTIVES: To propose a framework addressing various factors contributing to
stigma associated with low health literacy, how stigma is manifested, and how it
may contribute to adverse health consequences. METHOD: The framework incorporated
concepts found in existing empirical research on stigmatized health conditions
with an emphasis on concealable conditions such as mental health, HIV status, and
some chronic illnesses. RESULTS: Pursuing the proposed research agenda would
provide a better understanding of the various factors contributing to stigma
associated with low health literacy, how that stigma is manifested, and how it
may contribute to adverse health consequences. CONCLUSION: The goal of proposing
a wide-ranging research agenda is to encourage research that will inform the
development of a comprehensive framework that addresses factors that influence
stigma associated with health literacy from multiple levels: micro, meso, and
macro.
PMID- 24933139
TI - Predicting illness behavior: health anxiety mediated by locus of control.
AB - OBJECTIVE: To examine the role of 3 dimensions of Health Locus of Control (HLOC)
on the relationship between health anxiety and illness behavior. METHODS: Cross
sectional survey study of 202 college women. Path analysis and bootstrapping
techniques were used to test the significance of mediated models. RESULTS: The
indirect effect of Powerful Others HLOC was significant (beta = .06, p < .001),
and together health anxiety and Powerful Others HLOC explained 27% of the
variance in illness behavior. CONCLUSION: Health anxiety and illness behavior are
common problems in college women, and that Powerful Others HLOC is a key
mechanism underlying the relationship between health anxiety and illness
behavior.
PMID- 24933136
TI - Novel incentives and messaging in an online college smoking intervention.
AB - OBJECTIVES: To examine the feasibility, acceptability, and potential
effectiveness of an online intervention targeting college smokers. The incentives
involved discounted or free goods and services from businesses proximal to each
campus. METHODS: A randomized controlled trial was conducted with 122 current
smokers recruited from 2 Southeastern US universities. The intervention involved
health behavior monitoring, targeted messaging, and incentives for healthy goods
and services versus the American Cancer Society's Guide to Quitting Smoking
online. RESULTS: The intervention achieved greater adherence and utilization (p's
< .001). Overall, 55.6% learned about a local business through this program. At
end-of-treatment, intervention participants less frequently attempted to quit (p
= .02) but smoked fewer cigarettes/day (p = .05). Both groups demonstrated
significant end-of-treatment cessation rates. CONCLUSIONS: This intervention
demonstrated feasibility and acceptability.
PMID- 24933140
TI - Does adolescent weight status predict problematic substance use patterns?
AB - OBJECTIVES: To identify underlying patterns of cigarette smoking, alcohol use,
and marijuana use in young adulthood, and ascertain whether adolescent overweight
or obesity status predicts problematic substance use patterns. METHODS: The study
included 15,119 participants from the National Longitudinal Study of Adolescent
Health (Add Health) at Wave 1 (11-19 years) and Wave 3 (18-26 years). Latent
class analysis was conducted. RESULTS: Participants were classified into a Low
Substance Use (35%), Regular Smokers (12%), High-risk Alcohol use (33%), or High
Substance Use (20%) class. Overweight/obese adolescents had a greater likelihood
of belonging to the Regular Smokers class. CONCLUSIONS: Overweight/obese
adolescents are at higher risk of engaging in regular cigarette smoking without
problematic alcohol or marijuana use.
PMID- 24933142
TI - Food-shopping environment disparities in Texas WIC vendors: a pilot study.
AB - OBJECTIVE: To identify differences in food-shopping environments of Texas WIC
vendors using a culturally adapted instrument. METHODS: A survey tool was
developed for measuring food availability, accessibility, and affordability in
111 WIC vendors in Texas. Two-tailed t-tests and Mann-Whitney tests were used for
rural/urban and Texas-Mexico border/non-border area comparisons. RESULTS: Prices
were higher in rural areas than in urban areas for 2 key foods, fruits (p = .024)
and milk (p = .007); non-border vendors had overall better food availability than
border vendors; non-border vendors had better accessibility for fruits (p = .007)
than border vendors. CONCLUSION: In Texas, disparities in food-shopping
environments are evident and can be assessed using a culturally adapted survey
tool.
PMID- 24933141
TI - Physical activity of preschool-aged Latino children in farmworker families.
AB - OBJECTIVE: To describe time spent in sedentary and moderate-to-vigorous physical
activity (MVPA) by children in Latino farmworker families and delineate sources
of variation in sedentary and MVPA. METHOD: Data were from mother-child dyads (N
= 248) in Latino farmworker households in North Carolina. Physical activity was
assessed using accelerometers; mothers described their children's characteristics
and their physical and social environments. RESULTS: Children spent 6.2 hours/day
sedentary (Median=369 minutes), and 6.0 minutes/day in MVPA. Children in Head
Start spent more time sedentary, whereas children living where dogs roam freely
were less sedentary. Children whose mothers limited screen time spent 2 more
minutes in MVPA. CONCLUSIONS: Preschool-aged Latino children in farmworker
families are sedentary, engaging in little MVPA.
PMID- 24933143
TI - Knowledge, attitudes, and beliefs of patients with chronic liver disease.
AB - OBJECTIVES: To explore knowledge, attitudes, behaviors, and barriers to care
among patients with chronic liver disease (CLD). METHODS: Three separate, one
time-only, 60-minutes focus group sessions were audio-recorded, transcribed, and
analyzed using an editing style of analysis. RESULTS: In total, 13 focus group
participants provided 254 discrete comments. Emerging themes included: negative
lifestyles/behaviors, lack of CLD knowledge, negative attitudes/emotions, stigma
and negativity, health insurance, inaccessible/high cost medical care,
drug/alcohol abuse, and discriminately sharing CLD diagnoses. CONCLUSIONS:
Participants felt lack of CLD knowledge was a key factor in how patients
perceived prevention, risks, causes, and treatment. These findings contribute to
the important, yet limited, base of knowledge about CLD and provide a benchmark
for future, more extensive studies and interventions.
PMID- 24933144
TI - Factors related to hepatitis B screening among Africans in New York City.
AB - OBJECTIVE: To understand factors that US Africans identify as barriers and
facilitators for accessing hepatitis B (HBV) screening. METHODS: In-depth
interviews were conducted and guided by the PEN-3 model to elicit culturally
driven information in minority communities. RESULTS: Interviews were conducted
with 22 US Africans. Salient themes that emerged were HBV knowledge, complexity
of the US medical system, unaccustomed to preventive care, language and health
literacy, availability and accessibility of screening, fear of disclosure,
reliance on faith community, stigma of HBV, primacy towards a higher power on
illnesses, and social systems influences. CONCLUSIONS: Findings were consistent
with other at-risk populations, however, emphasis on privacy and fear of
disclosure are distinct to Africans. This reinforces the need for a culturally
targeted intervention for this at-risk population.
PMID- 24933145
TI - Women's perspectives on smoking and pregnancy and graphic warning labels.
AB - OBJECTIVES: To explore women's knowledge, attitudes, and beliefs about adverse
outcomes associated with smoking during pregnancy and which outcomes might
motivate cessation; to explore reactions to graphic warnings depicting 2 adverse
outcomes. METHODS: Twelve focus groups were conducted with women of childbearing
age who were current smokers. RESULTS: Participants had low to moderate awareness
of many outcomes and believed it was acceptable to smoke in the first trimester
before knowledge of pregnancy. Perceived susceptibility to outcomes was low.
Motivators included risk-focused information, especially serious risks to the
baby (eg, stillbirth, SIDS). Graphic warnings produced strong reactions,
especially the warning with a real photo. CONCLUSIONS: Despite barriers to
reducing rates of smoking during pregnancy, educational information and photos
depicting babies' risks could motivate women to quit.
PMID- 24933146
TI - Weight misperception and health risk behaviors in youth: the 2011 US YRBS.
AB - OBJECTIVES: To evaluate dose-response associations between misperceived weight
and 32 health risk behaviors in a nationally representative sample of US
adolescents. METHODS: Participants included 13,864 US high school students in the
2011 Youth Risk Behavior Survey. Comparing the degree of agreement between
perceived and reported actual weight, weight misperception was determined as 5
categories. Multivariable-adjusted logistic regression analyses evaluated
associations of weight misperception with 32 health risk behaviors. RESULTS: Both
underestimated and overestimated weight were statistically significantly
associated with all 32 health risk behaviors in a dose-response manner after
adjustment for age, sex and race/ethnicity, where greater weight misperception
was associated with higher engagement in health risk behaviors. CONCLUSIONS:
Understanding potential impacts of weight misperception on health risk behaviors
could improve interventions that encourage healthy weight perception and
attainment for adolescents.
PMID- 24933147
TI - Barriers to dental services for older adults.
AB - OBJECTIVE: To explore barriers to older adults' accessing dental care. METHODS:
We performed oral exams on 184 community-dwelling older adults; those who needed
dental care were contacted 6-12 weeks later to determine if they were able to
access treatment. Those who could not access care were interviewed regarding
barriers. RESULTS: Of those examined, 89% needed dental treatment. After 6 weeks,
52% had received treatment, 48% had not. Those unable to access treatment had
fewer teeth, were more likely to be referred regarding dentures, and were less
likely to have a dentist. Reasons cited for not accessing care among the 35
participants we interviewed included a lack of finances, transportation, or
assistance in navigating dental service. CONCLUSIONS: Older adults have a high
burden of oral disease and access barriers remain.
PMID- 24933148
TI - Peer support enhanced social support in adolescent females during weight loss.
AB - OBJECTIVES: To describe the development of a peer support intervention and test
an enhanced version compared to a standard protocol. METHODS: Participants (N =
36 females) were assigned to an Enhanced Peer Support (PS) vs Standard weight
loss group for 4 months. The PS component consisted of skills training and
practice between sessions using social networking. RESULTS: The PS group
perceived significantly more peer support and experienced higher levels of social
interaction. When meeting frequency decreased, the PS group showed an increase in
overall types of support from group members. CONCLUSIONS: Findings suggest that
an intervention targeting peer support skills results in greater feelings of peer
support.
PMID- 24933150
TI - Organic acids tunably catalyze carbonic acid decomposition.
AB - Density functional theory calculations predict that the gas-phase decomposition
of carbonic acid, a high-energy, 1,3-hydrogen atom transfer reaction, can be
catalyzed by a monocarboxylic acid or a dicarboxylic acid, including carbonic
acid itself. Carboxylic acids are found to be more effective catalysts than
water. Among the carboxylic acids, the monocarboxylic acids outperform the
dicarboxylic ones wherein the presence of an intramolecular hydrogen bond hampers
the hydrogen transfer. Further, the calculations reveal a direct correlation
between the catalytic activity of a monocarboxylic acid and its pKa, in contrast
to prior assumptions about carboxylic-acid-catalyzed hydrogen-transfer reactions.
The catalytic efficacy of a dicarboxylic acid, on the other hand, is
significantly affected by the strength of an intramolecular hydrogen bond.
Transition-state theory estimates indicate that effective rate constants for the
acid-catalyzed decomposition are four orders-of-magnitude larger than those for
the water-catalyzed reaction. These results offer new insights into the
determinants of general acid catalysis with potentially broad implications.
PMID- 24933149
TI - Proteomics of neuropathic pain: proteins and signaling pathways affected in a rat
model.
AB - The myriad proteins may be involved in the mechanisms underlying the development
and maintenance of neuropathic pain, an extremely disabling condition that
originates from pathology of the nervous system. To address the mechanisms, we
here analyzed proteins and cellular networks in the dorsal spinal cord mediating
pain processing in a well-established rat model of neuropathic pain induced by
spinal nerve ligation (SNL). Labeling-based proteomic methods together with high
resolution mass spectrometry for proteome analysis were applied. 38 proteins
including synapsin 1 and microtubule-associated protein 2 were identified as
differently expressed in the SNL group. Pathway analysis suggests that
maladaptive changes in the levels of these proteins may contribute to abnormal
synaptic transmission and neuronal intracellular signaling underlying the onset
and development of neuropathic pain.
PMID- 24933151
TI - Care of the post-laryngectomy stoma #281.
PMID- 24933152
TI - SMARCB1 mutations in schwannomatosis and genotype correlations with rhabdoid
tumors.
AB - Mutations in the SMARCB1 gene are involved in several human tumor-predisposing
syndromes. They were established as an underlying cause of the tumor suppressor
syndrome schwannomatosis in 2008. There is a much higher rate of mutation
detection in familial disease than in sporadic disease. We have performed
extensive genetic testing on a cohort of familial and sporadic patients who
fulfilled clinical diagnostic criteria for schwannomatosis. In our updated
cohort, we identified novel mutations within the SMARCB1 gene as well as several
recurrent mutations. Of the schwannomatosis screens reported to date, including
those in our updated cohort, SMARCB1 mutations have been found in 45% of familial
probands and 9% of sporadic patients. The exon 1 mutation, c.41C>A p.Pro14His
(10% in our series), and the 3' untranslated region mutation, c.*82C>T (27%), are
the most common changes reported in patients with schwannomatosis to date,
indicating the presence of mutation hot spots at both 5' and 3' portions of the
gene. Comparison with germline SMARCB1 mutations in patients with rhabdoid tumors
showed that the schwannomatosis mutations were significantly more likely to occur
at either end of the gene and be nontruncating mutations (P < 0.0001). SMARCB1
mutations are found in a significant proportion of schwannomatosis patients, and
an even higher proportion of rhabdoid patients. Whereas SMARCB1 alone seems to
account for rhabdoid disease, there is likely to be substantial heterogeneity in
schwannomatosis even for familial disease. There is a clear genotype-phenotype
correlation, with germline rhabdoid mutations being significantly more likely to
be centrally placed, involve multiple exon deletions, and be truncating
mutations.
PMID- 24933154
TI - Influence of fuel injection timing and pressure on in-flame soot particles in an
automotive-size diesel engine.
AB - The current understanding of soot particle morphology in diesel engines and their
dependency on the fuel injection timing and pressure is limited to those sampled
from the exhaust. In this study, a thermophoretic sampling and subsequent
transmission electron microscope imaging were applied to the in-flame soot
particles inside the cylinder of a working diesel engine for various fuel
injection timings and pressures. The results show that the number count of soot
particles per image decreases by more than 80% when the injection timing is
retarded from -12 to -2 crank angle degrees after the top dead center. The late
injection also results in over 90% reduction of the projection area of soot
particles on the TEM image and the size of soot aggregates also become smaller.
The primary particle size, however, is found to be insensitive to the variations
in fuel injection timing. For injection pressure variations, both the size of
primary particles and soot aggregates are found to decrease with increasing
injection pressure, demonstrating the benefits of high injection velocity and
momentum. Detailed analysis shows that the number count of soot particles per
image increases with increasing injection pressure up to 130 MPa, primarily due
to the increased small particle aggregates that are less than 40 nm in the radius
of gyration. The fractal dimension shows an overall decrease with the increasing
injection pressure. However, there is a case that the fractal dimension shows an
unexpected increase between 100 and 130 MPa injection pressure. It is because the
small aggregates with more compact and agglomerated structures outnumber the
large aggregates with more stretched chain-like structures.
PMID- 24933153
TI - First identification of resident and circulating fibrocytes in Dupuytren's
disease shown to be inhibited by serum amyloid P and Xiapex.
AB - Dupuytren's disease (DD) is a common progressive fibroproliferative disorder
causing permanent digital contracture. Proliferative myofibroblasts are thought
to be the cells responsible for DD initiation and recurrence, although their
source remains unknown. DD tissue has also been shown to harbor mesenchymal and
hematopoietic stem cells. Fibrocytes are circulating cells that show
characteristics of fibroblasts and they express surface markers for both
hematopoietic and mesenchymal stromal cells. Fibrocytes differentiate from
peripheral CD14+ mononuclear cells, which can be inhibited by serum amyloid P
(SAP). In this study we have demonstrated the presence of fibrocytes in DD blood
and tissue, moreover we have evaluated the effects of SAP and Xiapex (Collagenase
Clostridium histolyticum) on fibrocytes derived from DD. H&E staining showed
typical Spindle shaped morphology of fibrocytes. FACS analysis based on a unique
combination of 3 markers, revealed the increased presence of fibrocytes in blood
and tissue of DD patients. Additionally, immunohistology of DD nodule and cord
tissue showed the presence of collagen 1+/CD34+ cells. No difference in plasma
SAP levels was observed between DD and control. Higher concentrations of SAP
significantly inhibited fibrocytes differentiated from DD derived monocytes
compared to control. DD fascia derived fibrocytes showed resistance to growth
inhibition by SAP, particularly nodule derived fibrocytes showed robust growth
even at higher SAP concentrations compared to control. DD derived fibrocytes were
positive for typical fibrocyte dual markers, i.e. Collagen 1/LSP-1 and collagen
1/CD34. Xiapex was more effective in inhibiting the growth of nodule derived
cells compared to commercially available collagenase A. Our results show for the
first time the increased presence of fibrocytes in DD patient's blood and disease
tissue compared to control tissue. Additionally, we evaluate the response of
these fibrocytes to SAP and Xiapex therapy.
PMID- 24933155
TI - ARHGAP22 localizes at endosomes and regulates actin cytoskeleton.
AB - Rho small GTPases control cell morphology and motility through the rearrangement
of actin cytoskeleton. We have previously shown that FilGAP, a Rac-specific GAP,
binds to the actin-cross-linking protein Filamin A (FLNa) and suppresses Rac
dependent lamellae formation and cell spreading. ARHGAP22 is a member of FilGAP
family, and implicated in the regulation of tumor cell motility. However, little
is known concerning the cellular localization and mechanism of regulation at the
molecular level. Whereas FilGAP binds to FLNa and localizes to lamellae, we found
that ARHGAP22 did not bind to FLNa. Forced expression of ARHGAP22 induced
enlarged vesicular structures containing the endocytic markers EEA1, Rab5, and
Rab11. Moreover, endogenous ARHGAP22 is co-localized with EEA1- and Rab11
positive endosomes but not with trans-Golgi marker TNG46. When constitutively
activated Rac Q61L mutant was expressed, ARHGAP22 is co-localized with Rac Q61L
at membrane ruffles, suggesting that ARHGAP22 is translocated from endosomes to
membrane ruffles to inactivate Rac. Forced expression of ARHGAP22 suppressed
lamellae formation and cell spreading. Conversely, knockdown of endogenous
ARHGAP22 stimulated cell spreading. Thus, our findings suggest that ARHGAP22
controls cell morphology by inactivating Rac but its localization is not mediated
by its interaction with FLNa.
PMID- 24933157
TI - Short-term memory development: differences in serial position curves between age
groups and latent classes.
AB - In studies on the development of cognitive processes, children are often grouped
based on their ages before analyzing the data. After the analysis, the
differences between age groups are interpreted as developmental differences. We
argue that this approach is problematic because the variance in cognitive
performance within an age group is considered to be measurement error. However,
if a part of this variance is systematic, it can provide very useful information
about the cognitive processes used by some children of a certain age but not
others. In the current study, we presented 210 children aged 5 to 12 years with
serial order short-term memory tasks. First we analyze our data according to the
approach using age groups, and then we apply latent class analysis to form latent
classes of children based on their performance instead of their ages. We display
the results of the age groups and the latent classes in terms of serial position
curves, and we discuss the differences in results. Our findings show that there
are considerable differences in performance between the age groups and the latent
classes. We interpret our findings as indicating that the latent class analysis
yielded a much more meaningful way of grouping children in terms of cognitive
processes than the a priori grouping of children based on their ages.
PMID- 24933158
TI - R2oDNA designer: computational design of biologically neutral synthetic DNA
sequences.
AB - R2oDNA Designer is a web application that stochastically generates orthogonal
sets of synthetic DNA sequences designed to be biologically neutral. Biologically
neutral sequences may be used for directing efficient DNA assembly by overlap
directed methods, as a negative control for functional DNA, as barcodes, or
potentially as spacer regions to insulate biological parts from local context.
The software creates optimized sequences using a Monte Carlo simulated annealing
approach followed by the elimination of sequences homologous to host genomes and
commonly used biological parts. An orthogonal set is finally determined by using
a network elimination algorithm. Design constraints can be defined using either a
web-based graphical user interface (GUI) or uploading a file containing a set of
text commands.
PMID- 24933156
TI - Tracking endogenous amelogenin and ameloblastin in vivo.
AB - Research on enamel matrix proteins (EMPs) is centered on understanding their role
in enamel biomineralization and their bioactivity for tissue engineering. While
therapeutic application of EMPs has been widely documented, their expression and
biological function in non-enamel tissues is unclear. Our first aim was to screen
for amelogenin (AMELX) and ameloblastin (AMBN) gene expression in mandibular
bones and soft tissues isolated from adult mice (15 weeks old). Using RT-PCR, we
showed mRNA expression of AMELX and AMBN in mandibular alveolar and basal bones
and, at low levels, in several soft tissues; eyes and ovaries were RNA-positive
for AMELX and eyes, tongues and testicles for AMBN. Moreover, in mandibular
tissues AMELX and AMBN mRNA levels varied according to two parameters: 1)
ontogenic stage (decreasing with age), and 2) tissue-type (e.g. higher level in
dental epithelial cells and alveolar bone when compared to basal bone and dental
mesenchymal cells in 1 week old mice). In situ hybridization and
immunohistodetection were performed in mandibular tissues using AMELX KO mice as
controls. We identified AMELX-producing (RNA-positive) cells lining the adjacent
alveolar bone and AMBN and AMELX proteins in the microenvironment surrounding
EMPs-producing cells. Western blotting of proteins extracted by non-dissociative
means revealed that AMELX and AMBN are not exclusive to mineralized matrix; they
are present to some degree in a solubilized state in mandibular bone and
presumably have some capacity to diffuse. Our data support the notion that AMELX
and AMBN may function as growth factor-like molecules solubilized in the aqueous
microenvironment. In jaws, they might play some role in bone physiology through
autocrine/paracrine pathways, particularly during development and stress-induced
remodeling.
PMID- 24933159
TI - Reduction of carcinogenic 4(5)-methylimidazole in a caramel model system:
influence of food additives.
AB - The effect of various food additives on the formation of carcinogenic 4(5)
methylimidazole (4-MI) in a caramel model system was investigated. The
relationship between the levels of 4-MI and various pyrazines was studied. When
glucose and ammonium hydroxide were heated, the amount of 4-MI was 556 +/- 1.3
MUg/mL, which increased to 583 +/- 2.6 MUg/mL by the addition of 0.1 M of sodium
sulfite. When various food additives, such as 0.1 M of iron sulfate, magnesium
sulfate, zinc sulfate, tryptophan, and cysteine were added, the amount of 4-MI
was reduced to 110 +/- 0.7, 483 +/- 2.0, 460 +/- 2.0, 409 +/- 4.4, and 397 +/-
1.7 MUg/mL, respectively. The greatest reduction, 80%, occurred with the addition
of iron sulfate. Among the 12 pyrazines, 2-ethyl-6-methylpyrazine with 4-MI
showed the highest correlation (r = -0.8239).
PMID- 24933160
TI - Recruitment of bone marrow derived cells during anti-angiogenic therapy in GBM:
the potential of combination strategies.
AB - Glioblastoma (GBM) is a highly vascular tumor characterized by rapid and invasive
tumor growth, followed by oxygen depletion, hypoxia and neovascularization, which
generate a network of disorganized, tortuous and permeable vessels. Recruitment
of bone marrow derived cells (BMDC) is crucial for vasculogenesis. These cells
may act as vascular progenitors by integrating into the newly formed blood
vessels or as vascular modulators by releasing pro-angiogenic factors. In
patients with recurrent GBM, anti-vascular endothelial growth factor (VEGF)
therapy has been evaluated in combination with chemotherapy, yielding
improvements in progression-free survival (PFS). However, benefits are temporary
as vascular tumors acquire angiogenic pathways independently of VEGF.
Specifically, acute hypoxia following prolonged VEGF depletion induces the
recruitment of certain myeloid cell subpopulations, which highly contribute to
treatment refractoriness. Here we review the molecular mechanisms of
neovascularization in relation to bevacizumab therapy with special emphasis on
the recruitment of BMDCs and possible combination therapies for GBM patients.
PMID- 24933161
TI - Genomic characterization of a novel picornavirus in Pekin ducks.
AB - A novel picornavirus was detected from Pekin ducks (Anas platyrhynchos domestica)
and completely sequenced. The virus was most closely related to megriviruses,
with amino acid identities of 32-68%, 35-45%, 51-57%, 41-50%, and 61-63% in the
P1, P2, P3, polyprotein, and 2C and 3CD regions, respectively. The virus was thus
identified as an additional species in the genus Megrivirus and named Duck
megrivirus (DMV). Sequence analyses indicated that the DMV genome possessed a
megrivirus-like organization and also exhibited several unique features. The
polyadenylated genome comprised 9700nt, one of the largest among known
picornaviruses. A notable feature was the 2A region, which had an association of
two distinct, function-unknown 2As (2A1 and 2A2) and a parechovirus-like 2A3. The
5' untranslated region (UTR) contained a variant type IVB internal ribosome entry
site (IRES), which possessed a long helix III4 ending with the "8"-like 20-nt
long conserved structure at the top of domain III. The secondary structure model
of inferred domain III of DMV-like IRES was also conserved in quail picornavirus,
pigeon picornavirus B, and megriviruses. Domain II in DMV contained the conserved
internal and apical loops previously identified in groups A and C of
hepacivirus/pestivirus like IRESs. Moreover, DMV was closely related to different
megriviruses in different genomic regions. These findings suggest that
recombination events involving exchange of coding and noncoding regions may have
occurred. DMV was detected in 28 of 117 (23.9%) ducks from four provinces in
China, suggesting a high prevalence of DMV in duck populations.
PMID- 24933162
TI - Clinical and haematological characterisation of Mycoplasma suis infections in
splenectomised and non-splenectomised pigs.
AB - Mycoplasma suis causes infectious anaemia in pigs (IAP), which can manifest in
various degrees of severity depending on the virulence and the host's
susceptibility. As M. suis cannot be cultured in vitro experimental infections of
splenectomised animals play an essential role for pathogenesis research. The aim
of the present study was to characterise the course of experimental infection
using the highly virulent and red blood cell (RBC-) invasive M. suis strain
KI3806, to compare the experimental course in splenectomised and non
splenectomised pigs and to correlate clinical and haematological parameters with
M. suis blood loads. All infected splenectomised pigs (n=7) were PCR-positive 2
days post infection (DPI) with maximum mean bacterial loads of 1.61 * 10(10)M.
suis/mL on 8 DPI. They developed severe anaemia and massive hypoglycaemia by 8
DPI and had to be euthanised preterm (until 8 DPI) without seroconversion. The
non-splenectomised pigs (n=7) became PCR-positive within 23 DPI and reached a
maximum mean M. suis load of 1.64 * 10(5)M. suis/mL on 8 DPI. They developed mild
anaemia, massive skin alterations with petechiae and haemorrhagic diathesis and
seroconverted within 35 DPI. The study demonstrated that experimental infection
of splenectomised pigs with the highly virulent M. suis strain KI3806 induces a
fulminant course of infection. In contrast, M. suis strain KI3806 induces a mild
course of disease in non-splenectomised pigs, which resembles the situation in
naturally infected pigs. Therefore, these infection models are valuable for
future pathogenesis studies on acute and chronic M. suis infections.
PMID- 24933163
TI - No contact transmission of avian bornavirus in experimentally infected cockatiels
(Nymphicus hollandicus) and domestic canaries (Serinus canaria forma domestica).
AB - Avian bornaviruses (ABV) are the causative agents of proventricular dilatation
disease (PDD), a widely distributed disease of parrots. Distinct ABV lineages
were also found in various non-psittacine avian species, such as canaries, but
the pathogenic role of ABV in these species is less clear. Despite the wide
distribution of ABV in captive parrots and canaries, its mode of transmission is
poorly understood: both horizontal transmission via the urofaecal-oral route and
vertical transmission are discussed to play a role. In this study we investigated
pathology and horizontal transmission of ABV in domestic canaries (Serinus
canaria forma domestica) and cockatiels (Nymphicus hollandicus), two natural host
species commonly used for experimental ABV infections. ABV inoculation resulted
in persistent infection of all inoculated animals from both species. ABV-infected
cockatiels exhibited PDD-like symptoms, such as neurologic signs or shedding of
undigested seeds. In contrast, infected domestic canaries did not develop
clinical disease. Interestingly, we did not detect viral RNA in cloacal swabs and
organ samples or ABV-specific antibodies in serum samples of contact-exposed
sentinel birds from either species at any time during a four months observation
period. Our results strongly indicate that horizontal transmission of ABV by
direct contact is inefficient in immunocompetent fully fledged domestic canaries
and cockatiels.
PMID- 24933164
TI - Should we always improve adherence to antimicrobial treatment? I don't think we
should.
PMID- 24933165
TI - Spatial relations of mercury contents in Pike (Esox lucius) and sediments
concentration of the Anzali wetland, along the southern shores of the Caspian
Sea, Iran.
AB - In recent decades, the Anzali wetland has been threatened and destroyed by
environmental pollution from several sources. The purpose of this study was to
determine the possible relationships between mercury concentrations in Pike and
their respective sediments within the assumed multiple activity center scales of
Pike (100, 250 and 500 m in radius). To gain a better understanding spatial
distribution pattern of Hg in sediments and to pursue the main purpose of this
study, kriging (geostatistic spatial interpolation method) was applied. Poor
relationships were found between mercury concentrations of Pike and sediments
within the assumed multiple activity center scales of Pike. The mercury sediment
influence diminished with the increasing radii of assumed activity centers. The
results of the present study indicate that fish and sediment mercury
concentrations in western parts of the Anzali wetland were low in comparison with
the concentrations reported in the literature from other regions.
PMID- 24933166
TI - Major factors influencing the elemental composition of surface estuarine
sediments: the case of 15 estuaries in Portugal.
AB - Upper sediments (0-5 cm) were sampled in 94 sites of water bodies of the fifteen
Portuguese estuaries characterized by distinct settings of climate, topography
and lithology, and marked by diverse anthropogenic pressures. Confined areas
recognized as highly anthropogenic impacted, as well as areas dominated by
erosion or frequently dredged were not sampled. Grain size, organic carbon
(Corg), Al and trace elements (As, Cd, Co, Cr, Cu, Hg, Ni, Pb and Zn) were
determined. Normalisation of trace element concentrations to Al and Corg,
correlations between elements and Principal Component Analysis (PCA) allowed
identifying elemental associations and the relevance of grain-size, lithology and
anthropogenic inputs on sediment chemical composition. Whereas grain-size is the
dominant effect for the majority of the studied estuaries, the southern estuaries
Mira, Arade and Guadiana are dominated by specific lithologies of their river
basins, and anthropogenic effects are identified in Ave, Leca, Tagus and Sado.
This study emphasizes how baseline values of trace elements in sediments may vary
within and among estuarine systems.
PMID- 24933167
TI - The endocannabinoid system and appetite: relevance for food reward.
AB - Mounting evidence substantiates the central role of the endocannabinoid system
(ECS) in the modulation of both homeostatic and hedonic elements of appetite and
food intake. Conversely, feeding status and dietary patterns directly influence
activity of the ECS. Following a general introduction on the functioning of the
ECS, the present review specifically addresses its role in the modulation of
hedonic eating. Humans possess strong motivational systems triggered by rewarding
aspects of food. Food reward is comprised of two components: one appetitive
(orienting towards food); the other consummatory (hedonic evaluation), also
referred to as 'wanting' and 'liking', respectively. Endocannabinoid tone seems
to influence both the motivation to feed and the hedonic value of foods, probably
by modifying palatability. Human physiology underlying hedonic eating is still
not fully understood. A better understanding of the role of the ECS in the
rewarding value of specific foods or diets could offer new possibilities to
optimise the balance between energy and nutrient intake for different target
groups. These groups include the obese and overweight, and potentially
individuals suffering from malnutrition. Examples for the latter group are
patients with disease-related anorexia, as well as the growing population of
frail elderly suffering from persistent loss of food enjoyment and appetite
resulting in malnutrition and involuntary weight loss. It has become clear that
the psychobiology of food hedonics is extremely complex and the clinical failure
of CB1 inverse agonists including rimonabant (Accomplia(r)) has shown that 'quick
wins' in this field are unlikely.
PMID- 24933168
TI - An investigation of implied Miranda waivers and Powell wording in a mock-crime
study.
AB - To guard against coerced self-incrimination, the Supreme Court of the United
States outlined in Miranda v. Arizona (1966) what arresting officers must convey
to custodial suspects for resulting statements to be admissible into evidence.
During the ensuing decades, the Court has continued to grapple with the requisite
wording and practical enforcement of these Constitutional rights. In Florida v.
Powell (2010), the Court upheld the conviction of a defendant whose Miranda
warning affirmed that before questioning he had the right to an attorney, but
failed to specify that during questioning he had this right as well. In Berghuis
v. Thompkins (2010), the Court ruled that the right to silence must be invoked
explicitly, while valid Miranda waivers could be "implied" by a suspect's actions
as well as words. The current study employed a mock crime design to assess the
practical effects of these 2 rulings on waiver decisions. The wording change
enabled by Powell had little effect on Miranda knowledge and reasoning. With
regard to Thompkins, the type of waiver profoundly affected subsequent decisions:
13.7% exercised their rights following implied waivers versus 81.1% with explicit
waivers. Importantly, the implied waiver condition produced much higher
percentages of confessions (17.6% vs. 3.8%) and of admissions about incriminating
information (29.4% vs. 9.4%).
PMID- 24933169
TI - Two sides of the same coin: gender harassment and heterosexist harassment in LGBQ
work lives.
AB - This project investigated the incidence, interplay, and impact of gender- and
sexuality-based harassment, as experienced by lesbian, gay, bisexual, and queer
(LGBQ) employees in higher education. Unlike much queer empirical research,
participants in this study were residents of noncoastal regions of the U.S. that
are predominantly White, rural, and conservative (i.e., "red states"). They
completed surveys about their harassment experiences (gender harassment-sexist,
gender harassment-policing, and heterosexist harassment), perceived support
systems (from supervisors and organizations), and job attitudes (job burnout, job
stress, and job satisfaction). Results showed that gender harassment-both sexist
and policing subtypes-rarely occurred absent heterosexist harassment, and vice
versa. Harassment severity (experiencing moderate to high levels of all three
harassment types) was significantly associated with greater levels of job burnout
(both disengagement and exhaustion) and job dissatisfaction. Even infrequent
experiences of harassment related to large increases in the "threat" variety of
job stress (i.e., sense of feeling hassled and overwhelmed on the job).
Additionally, employees who perceived the lowest organizational support reported
the most harassment. We interpret results in light of research on organizational
behavior and LGBQ psychology. Moreover, we discuss our findings in the context of
Title VII, currently interpreted to protect against harassment based on gender,
sex, and sex stereotyping, but not sexual orientation. Our results can inform
several possible avenues of expanding gay civil rights in employment: broadening
judicial interpretations of Title VII, passing new legislation (e.g., the
Employment Non-Discrimination Act, or ENDA), and strengthening organizational
supports and policies that protect against sexuality-based abuses.
PMID- 24933170
TI - Serious mental illness, criminal risk, parole supervision, and recidivism:
testing of conditional effects.
AB - Adults with serious mental illness (SMI) who are released from prison tend to
recidivate more quickly and at higher rates than similarly situated adults who do
not have SMI. The current study examined whether this relationship with
recidivism is mediated by criminal risk level and whether parole supervision can
ameliorate the effects of SMI on recidivism. Findings indicate that SMI did
exhibit a significant indirect effect with recidivism when considering its
relationship with actuarially assessed risk. However, this indirect effect was
not conditioned by whether the individual was released to parole; specifically
release status did not moderate the relationship between risk and recidivism. The
direct effects of SMI on recidivism were found to be conditioned upon release
status. Specifically, we found no relationship between SMI and recidivism for
parolees and a negative relationship between SMI and recidivism among
nonparolees. Findings indicate a need for paroling authorities to find more
effective ways of reducing criminal risk, which can decrease subsequent
recidivism, among the individuals they supervise.
PMID- 24933171
TI - Changes in dynamic risk and protective factors for violence during inpatient
forensic psychiatric treatment: predicting reductions in postdischarge community
recidivism.
AB - Empirical studies have rarely investigated the association between improvements
on dynamic risk and protective factors for violence during forensic psychiatric
treatment and reduced recidivism after discharge. The present study aimed to
evaluate the effects of treatment progress in risk and protective factors on
violent recidivism. For a sample of 108 discharged forensic psychiatric patients
pre- and posttreatment assessments of risk (HCR-20) and protective factors
(SAPROF) were compared. Changes were related to violent recidivism at different
follow-up times after discharge. Improvements on risk and protective factors
during treatment showed good predictive validity for abstention from violence for
short- (1 year) as well as long-term (11 years) follow-up. This study
demonstrates the sensitivity of the HCR-20 and the SAPROF to change and shows
improvements on dynamic risk and protective factors are associated with lower
violent recidivism long after treatment.
PMID- 24933172
TI - A psychometric examination of treatment change in a multisite sample of treated
Canadian federal sexual offenders.
AB - In the present study, we examined the degree of change and predictive accuracy of
a number of well-known psychological self-report measures intended to identify
treatment targets for sexual offenders. Participants included 392 federally
incarcerated sexual offenders who participated in low, moderate, or high
intensity sexual offender programs offered within penitentiaries under the
jurisdiction of the Correctional Service of Canada. These men were followed in
the community for an average of 5.42 years postrelease. Very small to moderate
pretreatment and posttreatment changes were found on measures of cognitive
distortions, aggression/hostility, empathy, loneliness, social intimacy, and sex
offender acceptance of responsibility. However, pretreatment and posttreatment
scores on these measures frequently demonstrated weak and inconsistent
relationships to sexual, violent, and general recidivism. In addition, within
treatment change on these measures bore little relationship to outcome. However,
when statistically corrected for pretreatment score the relationship of treatment
change to outcome frequently improved, particularly on measures of physical
aggression and anger, even after controlling for Static-99R score. Clinical and
research implications are discussed regarding the assessment and evaluation of
change on psychological risk factors in treated sexual offenders.
PMID- 24933173
TI - Examining pretrial publicity in a shadow jury paradigm: issues of slant,
quantity, persistence and generalizability.
AB - The purpose of this study was to examine the influence of pretrial publicity
(PTP) on mock juror decision making. Specifically, we examined the influence of
quantity and slant of the PTP (proprosecution vs. prodefense), the persistence of
PTP effects over time, and whether the PTP effects demonstrated in research
laboratories would also occur in more naturalistic settings (generalizability).
Using a shadow jury paradigm we examined these effects using a real trial as
stimulus. Mock jurors included 115 jury-eligible community members who were
naturally exposed to PTP in the venue in which the actual case occurred and 156
who were experimentally exposed. We found mock jurors were significantly
influenced by both the slant and quantity of the PTP to which they were exposed,
such that those exposed to proprosecution or prodefense PTP tended to render
decision in support of the party favored in the PTP, and those exposed to greater
quantities of PTP tended to be more biased. Additionally, PTP effects persisted
throughout the course of the trial and continued to influence judgments in face
of trial evidence and arguments. A finding of no significant difference in the
effect of exposure slant between the naturally exposed and experimentally exposed
samples provides support for the external validity of laboratory studies
examining PTP effects. This research helps address some of the concerns raised by
courts with regard to the durability of PTP effects and the application of
laboratory findings to real world settings.
PMID- 24933174
TI - "So, what is a psychopath?" Venireperson perceptions, beliefs, and attitudes
about psychopathic personality.
AB - This study surveyed over 400 individuals attending jury duty regarding various
perceptions, attitudes, and beliefs they had concerning psychopathic personality
(psychopathy). The protocol included (a) prototype ratings of what participants
considered to be core features, using the Comprehensive Assessment of
Psychopathic Personality (CAPP) prototype rating scale; (b) questions concerning
knowledge and beliefs about psychopathy (e.g., prevalence in society); and (c)
attitudinal scales concerning potential associated features (e.g., criminality,
rehabilitation potential), etiological underpinnings, and moral judgments and
legal sanctions. Consistent with results of earlier studies using expert raters,
jury panel members rated most of the 33 individual CAPP items and all 6 CAPP
scales as at least moderately prototypical, with Self and Dominance domains
obtaining the highest mean ratings. Many participants also strongly endorsed
symptoms of psychosis (e.g., delusions) as prototypical of psychopathy. Despite
this, they viewed psychopaths as responsible for their own actions, as capable of
determining right from wrong, and as generally not "insane." Our findings
indicate that jury panel members view the prototypical psychopath as highly
dominant, self-focused, and lacking in remorse and empathy and reinforce the need
for expert witnesses to clearly differentiate between psychopathy and psychotic
spectrum disorders.
PMID- 24933175
TI - Double-blind photo lineups using actual eyewitnesses: an experimental test of a
sequential versus simultaneous lineup procedure.
AB - Eyewitnesses (494) to actual crimes in 4 police jurisdictions were randomly
assigned to view simultaneous or sequential photo lineups using laptop computers
and double-blind administration. The sequential procedure used in the field
experiment mimicked how it is conducted in actual practice (e.g., using a
continuation rule, witness does not know how many photos are to be viewed,
witnesses resolve any multiple identifications), which is not how most lab
experiments have tested the sequential lineup. No significant differences emerged
in rates of identifying lineup suspects (25% overall) but the sequential
procedure produced a significantly lower rate (11%) of identifying known-innocent
lineup fillers than did the simultaneous procedure (18%). The
simultaneous/sequential pattern did not significantly interact with estimator
variables and no lineup-position effects were observed for either the
simultaneous or sequential procedures. Rates of nonidentification were not
significantly different for simultaneous and sequential but nonidentifiers from
the sequential procedure were more likely to use the "not sure" response option
than were nonidentifiers from the simultaneous procedure. Among witnesses who
made an identification, 36% (41% of simultaneous and 32% of sequential)
identified a known-innocent filler rather than a suspect, indicating that
eyewitness performance overall was very poor. The results suggest that the
sequential procedure that is used in the field reduces the identification of
known-innocent fillers, but the differences are relatively small.
PMID- 24933176
TI - Predictors of juveniles' noncompliance with probation requirements.
AB - Probation is the most common disposition for adjudicated youth, but little is
known about which specific requirements are commonly imposed on juveniles, the
requirements with which juveniles most often fail to comply, and how certain
youth characteristics and/or imposed requirements might relate to probation
noncompliance. An investigation of 120 archived files of youth represented by an
urban public defender's office identified 29 probation requirements imposed on
youth and 18 requirements with which youth commonly failed to comply. Results
revealed that 52% of youth failed to comply with at least one probation
requirement; prior probation noncompliance and race were both significantly
associated with noncompliance in the examined probation disposition. In addition,
the probability of probation noncompliance was significantly higher when youth
received either of two substance-related probation requirements: drug tests or
drug and alcohol counseling. Such results may prompt further investigation of
juvenile probation-related predictors, identify areas of need for clinical
service provision to foster successful completion of probation requirements, and
help identify areas of potential biases among juvenile court personnel.
PMID- 24933178
TI - Visible light driven benzyl alcohol dehydrogenation in a dye-sensitized
photoelectrosynthesis cell.
AB - Light-driven dehydrogenation of benzyl alcohol (BnOH) to benzaldehyde and
hydrogen has been shown to occur in a dye-sensitized photoelectrosynthesis cell
(DSPEC). In the DSPEC, the photoanode consists of mesoporous films of TiO2
nanoparticles or of core/shell nanoparticles with tin-doped In2O3 nanoparticle
(nanoITO) cores and thin layers of TiO2 deposited by atomic layer deposition
(nanoITO/TiO2). Metal oxide surfaces were coderivatized with both a ruthenium
polypyridyl chromophore in excess and an oxidation catalyst. Chromophore
excitation and electron injection were followed by cross-surface electron
transfer activation of the catalyst to -Ru(IV)?O(2+), which then oxidizes benzyl
alcohol to benzaldehyde. The injected electrons are transferred to a Pt electrode
for H2 production. The nanoITO/TiO2 core/shell structure causes a decrease of up
to 2 orders of magnitude in back electron-transfer rate compared to TiO2. At the
optimized shell thickness, sustained absorbed photon to current efficiency of
3.7% was achieved for BnOH dehydrogenation, an enhancement of ~10 compared to
TiO2.
PMID- 24933177
TI - Sumoylation regulates ER stress response by modulating calreticulin gene
expression in XBP-1-dependent mode in Caenorhabditis elegans.
AB - Excessive accumulation of unfolded proteins in the endoplasmic reticulum (ER)
lumen causes ER stress, which induces a set of genes, including those encoding ER
resident chaperones, to relieve the detrimental effects and recover homeostasis.
Calreticulin is a chaperone that facilitates protein folding in the ER lumen, and
its gene expression is induced by ER stress in Caenorhabditis elegans.
Sumoylation conjugates small ubiquitin-like modifier (SUMO) proteins with target
proteins to regulate a variety of biological processes, such as protein
stability, nuclear transport, DNA binding, and gene expression. In this study, we
showed that C. elegans X-box-binding protein 1 (Ce-XBP-1), an ER stress response
transcription factor, interacts with the SUMO-conjugating enzyme UBC-9 and a
SUMOylation target. Our results indicated that abolishing sumoylation enhanced
calreticulin expression in an XBP-1-dependent manner, and the resulting increase
in calreticulin counteracted ER stress. Furthermore, sumoylation was repressed in
C. elegans undergoing ER stress. Finally, RNAi against ubc-9 mainly affected the
expression of genes associated with ER functions, such as lipid and organic acid
metabolism. Our results suggest that sumoylation plays a regulatory role in ER
function by controlling the expression of genes required for ER homeostasis in C.
elegans.
PMID- 24933179
TI - The synergetic effect of MoS2 and graphene on Ag3PO4 for its ultra-enhanced
photocatalytic activity in phenol degradation under visible light.
AB - The photo-degradation of organic pollutants using solar light is an attractive
chemical process for water pollution control. In this study, we synthesized a new
composite material consisting of silver phosphate (Ag3PO4) sub-microcrystals
grown on a layered molybdenum disulfide (MoS2) and graphene (GR) hybrid as a high
performance photocatalyst for the degradation of toxic organic pollutants. This
composite photocatalyst was prepared via a simple two-step hydrothermal process
that used sodium molybdate, thiourea and graphene oxide as precursors for the
MoS2/GR hybrid and silver nitrate for the Ag3PO4 sub-microcrystals. The composite
Ag3PO4-0.02(MoS2/0.005GR) was found to be the most effective catalyst for the
photo-decomposition of 2,4-dichlorophenol under simulated solar light and visible
light (lambda >= 420 nm). The photocatalyst was also highly active for the
degradation of nitrophenol and chlorophenol. The ultra photocatalytic activity of
the novel catalyst arose from the synergetic effects of MoS2 and GR as
cocatalysts in the composite. MoS2/GR nanosheets served as electron collectors
for the interfacial electron transfer from Ag3PO4 to electron acceptors in the
aqueous solution and thus enhanced the separation of the photo-generated electron
hole pairs and made the holes more available for organic oxidation. In addition,
the presence of MoS2 and GR provided more active adsorption sites and allowed for
the activation of dissolved O2 for organic degradation in water.
PMID- 24933180
TI - Integrating laptops into an undergraduate nursing curriculum: implementation and
evaluation.
PMID- 24933181
TI - Amazing news for sharable/comparable nursing data to support big data science.
PMID- 24933183
TI - Sunlight-driven photochemical halogenation of dissolved organic matter in
seawater: a natural abiotic source of organobromine and organoiodine.
AB - Reactions of dissolved organic matter (DOM) with photochemically generated
reactive halogen species (RHS) may represent an important natural source of
organohalogens within surface seawaters. However, investigation of such processes
has been limited by difficulties in quantifying low dissolved organohalogen
concentrations in the presence of background inorganic halides. In this work,
sequential solid phase extraction (SPE) and silver-form cation exchange
filtration were utilized to desalt and preconcentrate seawater DOM prior to
nonspecific organohalogen analysis by ICP-MS. Using this approach, native
organobromine and organoiodine contents were found to range from 3.2-6.4 * 10(-4)
mol Br/mol C and 1.1-3.8 * 10(-4) mol I/mol C (or 19-160 nmol Br L(-1) and 6-36
nmol I L(-1)) within a wide variety of natural seawater samples, compared with
0.6-1.2 * 10(-4) mol Br/mol C and 0.6-1.1 * 10(-5) mol I/mol C in terrestrial
natural organic matter (NOM) isolates. Together with a chemical probe method
specific for RHS, the SPE+ICP-MS approach was also employed to demonstrate
formation of nanomolar levels of organobromine and organoiodine during simulated
and natural solar irradiation of DOM in artificial and natural seawaters. In a
typical experiment, the organobromine content of 2.1 * 10(-4) mol C L(-1) (2.5 mg
C L(-1)) of Suwannee River NOM in artificial seawater increased by 69% (from 5.9
* 10(-5) to 1.0 * 10(-4) mol Br/mol C) during exposure to 24 h of simulated
sunlight. Increasing I(-) concentrations (up to 2.0 * 10(-7) mol L(-1)) promoted
increases of up to 460% in organoiodine content (from 8.5 * 10(-6) to 4.8 * 10(
5) mol I/mol C) at the expense of organobromine formation under the same
conditions. The results reported herein suggest that sunlight-driven reactions of
RHS with DOM may play a significant role in marine bromine and iodine cycling.
PMID- 24933184
TI - Physiological changes in blood pressure impact peripheral endothelial function
during adolescence.
AB - Reactive hyperemia index is a measure of endothelial function used to assess
subclinical atherosclerosis. When evaluated in healthy adolescents, significant
changes in endothelial function were correlated with advancing age, pubertal
status, and blood pressure. Blood pressure was the principal contributor to
reactive hyperemia index variability independent of age, lipid profile, body mass
index, and/or pubertal status. Interpretation of this peripheral vascular marker
should include age and maturational changes in paediatric patients.
PMID- 24933185
TI - The role of nitric oxide in the object recognition memory.
AB - The novel object recognition task (NORT) assesses recognition memory in animals.
It is a non-rewarded paradigm that it is based on spontaneous exploratory
behavior in rodents. This procedure is widely used for testing the effects of
compounds on recognition memory. Recognition memory is a type of memory severely
compromised in schizophrenic and Alzheimer's disease patients. Nitric oxide (NO)
is sought to be an intra- and inter-cellular messenger in the central nervous
system and its implication in learning and memory is well documented. Here I
intended to critically review the role of NO-related compounds on different
aspects of recognition memory. Current analysis shows that both NO donors and NO
synthase (NOS) inhibitors are involved in object recognition memory and suggests
that NO might be a promising target for cognition impairments. However, the
potential neurotoxicity of NO would add a note of caution in this context.
PMID- 24933186
TI - Nitric oxide facilitates active avoidance learning via enhancement of glutamate
levels in the hippocampal dentate gyrus.
AB - The hippocampus is a key structure for learning and memory in mammals, and long
term potentiation (LTP) is an important cellular mechanism responsible for
learning and memory. Despite a number of studies indicating that nitric oxide
(NO) is involved in the formation and maintenance of LTP as a retrograde
messenger, few studies have used neurotransmitter release as a visual indicator
in awake animals to explore the role of NO in learning-dependent long-term
enhancement of synaptic efficiency. Therefore, in the present study, the effects
of l-NMMA (a NO synthase inhibitor) and SNP (a NO donor) on extracellular
glutamate (Glu) concentrations and amplitudes of field excitatory postsynaptic
potential (fEPSP) were measured in the hippocampal dentate gyrus (DG) region
during the acquisition and extinction of active-avoidance behavior in freely
moving conscious rats. In the control group, the extracellular concentration of
Glu in the DG was significantly increased during the acquisition of active
avoidance behavior and gradually returned to baseline levels following extinction
training. In the experimental group, the change in Glu concentration was
significantly reduced by local microinjection of l-NMMA, as was the acquisition
of the active-avoidance behavior. In contrast, the change in Glu concentration
was significantly enhanced by SNP, and the acquisition of the active-avoidance
behavior was significantly accelerated. Furthermore, in all groups, the changes
in extracellular Glu were accompanied by corresponding changes in fEPSP amplitude
and active-avoidance behavior. Our results suggest that NO in the hippocampal DG
facilitates active avoidance learning via enhancements of glutamate levels and
synaptic efficiency in rats.
PMID- 24933187
TI - Potential of a smartphone as a stress-free sensor of daily human behaviour.
AB - Behaviour is one of the most powerful objective signals that connotes
psychological functions regulated by neuronal network systems. This study
searched for simple behaviours using smartphone sensors with three axes for
measuring acceleration, angular speed and direction. We used quantitative
analytic methodology of pattern recognition for work contexts, individual workers
and seasonal effects in our own longitudinally recorded data. Our 13 laboratory
members were involved in the care of common marmosets and domestic chicks, which
lived in separate rooms. They attached a smartphone to their front waist-belts
during feeding and cleaning in five care tasks. Behavioural characteristics such
as speed, acceleration and azimuth, pitch, and roll angles were monitored.
Afterwards, participants noted subjective scores of warmth sensation and work
efficiency. The multivariate time series behavioral data were characterized by
the subjective scores and environmental factors such as room temperature, season,
and humidity, using the linear mixed model. In contrast to high-precision but
stress-inducing sensors, the mobile sensors measuring daily behaviours allowed us
to quantify the effects of the psychological states and environmental factors on
the behavioural traits.
PMID- 24933188
TI - Clozapine and glycinamide prevent MK-801-induced deficits in the novel object
recognition (NOR) test in the domestic rabbit (Oryctolagus cuniculus).
AB - Studies in humans indicate that acute administration of sub-anesthetic doses of
ketamine, an NMDA receptor antagonist, provokes schizophrenic-like symptoms in
healthy volunteers, and exacerbates existing symptoms in individuals with
schizophrenia. These and other findings suggest that NMDA receptor hypofunction
might participate in the pathophysiology of schizophrenia, and have prompted the
development of rodent pharmacological models for this disorder based on acute or
subchronic treatment with NMDA receptor antagonists, as well as the development
of novel pharmacotherapies based on increasing extrasynaptic glycine
concentrations. In the present study, we tested whether acute hyperlocomotory
behavior and/or deficits in the novel object recognition (NOR) task, induced in
male rabbits by the acute subcutaneous (s.c.) administration of MK-801 (0.025 and
0.037 mg/kg s.c., respectively), were prevented by prior administration of the
atypcial antipsychotic, clozapine (0.2mg/kg, s.c.), or the glycine pro-drug
glycinamide (56 mg/kg, s.c.). We found that clozapine fully prevented the MK-801
induced hyperlocomotion, and both clozapine and glycinamide prevented MK-801
induced deficits in the NOR task. The present results show that MK-801-induced
hyperlocomotion and deficits in the NOR task in the domestic rabbit demonstrate
predictive validity as an alternative animal model for symptoms of schizophrenia.
Moreover, these results indicate that glycinamide should be investigated in pre
clinical models of neuropsychiatric disorders such as schizophrenia, obsessive
compulsive disorder and anxiety disorders, where augmentation of extrasynaptic
glycine concentrations may have therapeutic utility.
PMID- 24933189
TI - A behavioral and micro positron emission tomography imaging study in a rat model
of hypothyroidism.
AB - Hypothyroidism leads to somatic, neuropsychological, and psychiatric changes that
are similar to depression. The mechanisms underlying the behavioral abnormalities
in adult onset hypothyroidism remain ambiguous. Hypothyroidism was induced in
adult male Wistar rats by the maintenance of 0.05% propylthiouracil (PTU) in
drinking water for 5 weeks (hypothyroid group; HP group); control rats (CON
group) received an equivalent amount of water. The open field and sucrose
preference tests were employed, and the link between behavioral changes and brain
glucose metabolism was evaluated using micro positron emission tomography
imaging. The open field test revealed slightly decreased locomotor activity and
significantly reduced rearing and defecation in the hypothyroid group.
Hypothyroid rats were also characterized by decreased body weight, sucrose
preference, and relative sucrose intake compared to control rats. Hypothyroidism
induced reduced brain glucose metabolism in the bilateral motor cortex, the
caudate putamen, the cortex cingulate, the nucleus accumbens, and the frontal
association cortex. A decreased sucrose preference was positively correlated with
metabolic glucose changes in the caudate putamen and the nucleus accumbens. The
results indicate that the activity pattern in adult onset hypothyroidism is
different from the activity pattern when hypothyroidism is induced in the
developmental period of the central nervous system. Decreased sucrose preference
in hypothyroid rats may be attributed to anhedonia. Furthermore, these findings
suggest there may be a common mechanism underlying adult onset hypothyroidism and
depression.
PMID- 24933190
TI - CRF family peptides are differently altered by acute restraint stress and chronic
unpredictable stress.
AB - Corticotropin-releasing factor (CRF) acts to promote stress-like physiological
and behavioral responses and is mainly expressed in the paraventricular
hypothalamic nucleus (PVN). Urocortin 1 (Ucn1) is also a ligand to CRF type 1 and
2 receptors that has been associated with the stress response. Ucn1 neurons are
primarily found in the Edinger-Westphal (EW) nucleus. It has been previously
proposed that CRF and Ucn1 differently modulate stress responses to distinct
types of stressors. The present study used male Wistar rats to compare the
effects of acute restraint stress and unpredictable chronic stress (UCS) through
Fos-immunoreactivity (Fos-ir) on CRF-containing neurons of PVN and Ucn1
containing EW centrally projecting neurons. Results showed that PVN neurons
responded to both acute restraint and UCS. Also for the PVN, unspecific
variables, dependent on the time animals remained in the laboratory, do not seem
to alter Fos-ir, since no significant differences between acute and chronic
control groups were found. On the other hand, EW neurons were only activated in
response to acute restraint stress. Also, for this nucleus a significant
difference was found between acute and chronic control groups, suggesting that
unspecific variables, dependent on the time animals remain in the laboratory,
interfere with the nucleus activation. These results suggest that CRF/Ucn1
neuronal circuits encompass two interconnected systems, which are coordinated to
respond to acute stressors, but are differentially activated during chronic
unpredictable stress.
PMID- 24933192
TI - Intracardiac thrombus in children: the fine equilibrium between the risk and the
benefit.
AB - The medical records of 16 patients diagnosed as intracardiac thrombus were
searched. The size, location and outcome of thrombus together with demographic
data of patients were assessed. The median age of the patients was 2.2 years. Six
patients were newborn and two patients were infant. The median size of thrombus
was 9 mm. The localization was right atrium in seven, right ventricle in five,
left ventricle in one, pulmonary artery in one, and superior vena cava in two
patients. There was prematurity in five, ciyanotic congenital heart disease in
one, blood culture positivity in three, malignancy in four, nephrotic syndrome in
one, indwelling catheters in 10, and acquired or genetic thrombophilia in six
patients as risk factors. In the treatment, the first choice was tissue
plasminogen activator in two patients, heparin infusion in one patient and low
molecular weight heparin in remaining 12 patients. In nine patients, therapy
included parenteral antimicrobials together with anticoagulants. The result was
complete resolution in 15 patients and in one patient thrombus was surgically
removed. The median time was 16 (2-70) days for 50% resolution and 26 (3-93) days
for complete resolution. There was a statistically significant (P = .027 and r =
0.5) correlation between the size and the complete resolution time. There was no
anticoagulant therapy related major complication. In patients with intracardiac
thrombus, selection of anticoagulant therapy may decrease the risk of
complications. Surgery is rarely required and thrombolytics are not usually
necessary for resolution of thrombus.
PMID- 24933191
TI - Experimenter effects on behavioral test scores of eight inbred mouse strains
under the influence of ethanol.
AB - Eight standard inbred mouse strains were evaluated for ethanol effects on a
refined battery of behavioral tests in a study that was originally designed to
assess the influence of rat odors in the colony on mouse behaviors. As part of
the design of the study, two experimenters conducted the tests, and the study was
carefully balanced so that equal numbers of mice in all groups and times of day
were tested by each experimenter. A defect in airflow in the facility compromised
the odor manipulation, and in fact the different odor exposure groups did not
differ in their behaviors. The two experimenters, however, obtained markedly
different results for three of the tests. Certain of the experimenter effects
arose from the way they judged behaviors that were not automated and had to be
rated by the experimenter, such as slips on the balance beam. Others were not
evident prior to ethanol injection but had a major influence after the injection.
For several measures, the experimenter effects were notably different for
different inbred strains. Methods to evaluate and reduce the impact of
experimenter effects in future research are discussed.
PMID- 24933193
TI - The association between apelin-12 levels and paroxysmal supraventricular
tachycardia.
AB - AIMS: Our aim was to investigate the apelin-12 levels in patients with
atrioventricular tachyarrhythmias and compare with those in patients with lone
atrial fibrillation. METHODS: Forty four patients with supraventricular
tachycardia as atrial fibrillation, 44 patients with paroxysmal supraventricular
tachycardia (P-SVT) as atrioventricular tachyarrhythmias, including
atrioventricular nodal reentrant tachycardia or atrioventricular reentrant
tachycardia, and 30 age- and sex-matched healthy individuals were included in the
study. RESULTS: The apelin-12 levels were significantly lower in both atrial
fibrillation and P-SVT groups than control group. In post-hoc analysis, there was
no significant difference in apelin-12 levels between atrial fibrillation and P
SVT groups (P = 0.9). Patients in atrial fibrillation group and patients in P-SVT
group had significantly lower apelin-12 levels than control group, separately (P
< 0.001 and P < 0.001, respectively). The sensitivity and specificity values of
the apelin-12 levels for predicting SVT, including both atrial fibrillation and
atrioventricular reentrant tachycardia or atrioventricular nodal reentrant
tachycardia were 64.77 and 90%, respectively (cut-off value was 0.87). The area
under the receiver operator characteristic curve was 0.834 for the apelin-12
levels (P = 0.0001). CONCLUSION: Apelin-12 levels are lower in patients with
atrial fibrillation and P-SVT than control groups. Lower apelin levels in
patients with atrial fibrillation and P-SVT would be expected to result in a
decrease in the conduction velocity.
PMID- 24933194
TI - Myocardial biomarkers and delayed enhanced cardiac magnetic resonance
relationship in clinically suspected myocarditis and insight on clinical outcome.
AB - AIMS: The relationship of cardiac magnetic resonance (CMR) late gadolinium
enhancement (LGE) with myocardial biomarkers and markers of inflammation in acute
viral myocarditis is not clearly defined. We assessed the relationship of LGE
with myocardial and inflammatory biomarkers measured during the acute phase of
myocarditis and their predictive value on clinical outcome. METHODS: Patients
with first clinical episode of acute viral myocarditis and complete CMR study,
including cine and LGE images, were included. The peak values of troponin I,
creatine kinase, C-reactive protein value at admission and LGE extent were
reported for each case. A 29-month clinical follow-up was performed, and cardiac
symptoms and adverse cardiac events (all-cause death, heart transplant,
hospitalization for heart failure) were reported. RESULTS: Forty-one patients (39
+/- 15 years and 78% men) were included. Median LGE extent was 13% [interquartile
range (IQR) (9%, 19%)] of left-ventricular mass and mean left-ventricular
ejection fraction was 56 +/- 11%. There was a significant correlation between
peak troponin I and LGE extent (r = 0.51, P < 0.001), and between peak creatine
kinase and LGE extent (r = 0.66, P < 0.001). There was no correlation between C
reactive protein at admission and LGE extent (r = 0.27, P = 0.09). At follow-up,
eight (20%) patients had an adverse clinical event. LGE extent was significantly
associated with a worse New York Heart Association status at follow-up [odds
ratio (OR) 1.21, 95% confidence interval (CI) 1.07, 1.37, P = 0.002]. After
adjustment for left-ventricular ejection fraction, age and clinical presentation
category, LGE extent remained an independent predictor of cardiovascular events
(hazard ratio 1.42; 95% CI 1.05, 1.95, P = 0.027). CONCLUSIONS: LGE extent on CMR
studies is significantly correlated to biomarkers of myocardial injury in
patients with acute viral myocarditis, and is a significant independent predictor
of adverse cardiovascular outcome.
PMID- 24933195
TI - The roles of nitric oxide and hydrogen sulfide in the anti-atherosclerotic effect
of atorvastatin.
AB - AIMS: To investigate antiatherosclerosis effect of atorvastatin (ATV) in a rat
atherosclerosis model, and to explore roles of nitric oxide and hydrogen sulfide
(H2S) in this event. METHODS: After being fed a high-fat diet, the rats were
treated with ATV, ATV combined with cystathionine-gamma-lyase (CSE) inhibitor DL
propargylglycine, and ATV combined with endothelial nitric oxide synthase (eNOS)
inhibitor N'-nitro-L-arginine-methyl ester hydrochloride from 9 to 12 weeks,
respectively. At the end of the experiment, the animals were sacrificed.
Pathologic changes of aortic arch were observed to assay the degree of
atherosclerotic lesions. Serum total cholesterol (TC), triglyceride, low-density
lipoprotein cholesterol (LDL-C), and high-density lipoprotein cholesterol were
determined. Further, nitric oxide, total nitric oxide synthase and eNOS, and H2S
and CSE were also measured. RESULTS: Compared with the normal control group,
serum TC, triglyceride, and LDL-C levels in the model group were significantly
elevated (P < 0.05). Pathological result suggested typical atherosclerotic
lesions after the high-fat diet. The serum nitric oxide, eNOS, H2S, and CSE
significantly decreased (P < 0.05). Quantitative reverse transcription polymerase
chain reaction (qRT-PCR) showed that mRNA levels of eNOS and CSE in the aortic
arch of the model rats were significantly downregulated (P < 0.05). Actually, ATV
significantly ameliorated atherosclerotic lesions. ATV also significantly
downregulated increased serum TC and LDL-C, and upregulated decreased serum
nitric oxide and eNOS. However, it had no significant effects on serum H2S and
CSE (P > 0.05). ATV combined with DL-propargylglycine significantly reduced serum
H2S and CSE, and increased serum nitric oxide and eNOS as compared to single ATV
treatment (P < 0.05). ATV combined with N'-nitro-L-arginine-methyl ester
hydrochloride significantly increased serum TC, LDL-C, H2S, and CSE, and
decreased nitric oxide and eNOS as compared to the single ATV (P < 0.05).
CONCLUSIONS: ATV significantly ameliorates atherosclerotic lesions and enhances
the activity of serum nitric oxide system, but not H2S system. The blockage of
nitric oxide pathway, but not H2S pathway, significantly weakens
antiatherosclerosis of ATV.
PMID- 24933196
TI - Separation of mediastinal shed blood during aortic valve surgery elicits a
reduced inflammatory response.
AB - AIMS: The detrimental effects of inflammation following cardiopulmonary bypass
(CPB) could negatively affect the postoperative outcome in a specific subset of
high-risk patients. We therefore investigated the impact of a CPB circuit
(Admiral, Eurosets, Italy) that allows separation of intracavitary and
mediastinal blood on the release of biochemical markers and clinical outcome when
compared with a conventional circuit. METHODS: Thirty patients undergoing aortic
valve surgery were prospectively enrolled and assigned to Admiral group (Group 1,
G1, n = 15) or conventional CPB group (Group 2, G2, n = 15). The Admiral
oxygenator allows for a separate collection of mediastinal blood processed
through a cell-saver before retransfusion. Clinical data and biochemical
parameters were measured preoperatively, during CPB and at different time-points
postoperatively. RESULTS: Preoperative demographics, intraoperative data (as CPB
and aortic cross-clamping time) and perioperative complications did not differ
between groups. Inflammatory response was significantly decreased in G1, as
assessed by means of D-dimer (G1 = 1332.3 +/- 953.9 vs. G2 = 2791.9 +/-
1740.7 ng/ml, P = 0.02), C-reactive protein (G1 = 169.1 +/- 164.8 vs. G2 =
57.1 +/- 39.3 mg/l, P = 0.04), interleukin-6 (G1 = 11.8 +/- 12.5 vs. G2 =
26.5 +/- 24.9 pg/ml, P = 0.02) and tumour necrosis factor-alpha (G1 = 29 +/-
28.7 vs. G2 = 45.5 +/- 23.6 pg/ml, P = 0.03). CONCLUSION: Although no
considerable difference was detected in terms of perioperative outcomes, the
Admiral oxygenator did result in a significant reduction of inflammatory markers
during the early postoperative course.
PMID- 24933197
TI - Treating cardiac myxomas: a 16-year Chinese single-center study.
AB - AIMS: Currently, myxoma is the most common type of primary cardiac tumor
diagnosed. This article describes the experience over the past 16 years with
cases of cardiac myxoma in Chinese patients and elucidated the differences
between solid and papillary myxomas. METHODS: The clinical details of 68 patients
with cardiac myxomas who underwent surgery between January 1996 and January 2012
at our center were retrospectively analyzed. RESULTS: The left atrium was the
primary tumor site in 88% of the patients included in this study. The most common
implant site was the interatrial septum (69%), especially for patients with solid
tumors. Common clinical symptoms included dyspnea and palpitation, whereas
embolic events occurred in 12 patients. Myxoma resection involved a midline
sternotomy utilizing cardiopulmonary bypass. According to pathological
classification, solid myxomas were present in 28 patients (47%), whereas
papillary myxomas were detected in 40 patients (53%). In the solid group,
arrhythmias and a larger tumor volume were more common. Correspondingly, in 97.4
+/- 2.5% of cases, secondary surgery was not needed after 10 years. Overall, the
actuarial survival for patients undergoing surgical excision of myxoma was 98.4
+/- 1.6% at 5 years and 96.0 +/- 2.8% at 10 years. CONCLUSION: Solid myxomas
were associated with more arrhythmias, a larger tumor volume, implantation in the
interatrial septum, and a need for concomitant surgery compared with papillary
myxomas. Further studies should determine whether serum or histological markers
could be routinely used in combination with echocardiograms, MRI and computed
tomography for the predictions of recurrent myxomas during annual follow-up
examinations.
PMID- 24933198
TI - Adipokines and endothelial dysfunction in acute myocardial infarction and the
risk of recurrent cardiovascular events.
AB - AIMS: The aim of the study was to evaluate the prognostic role of adipokines
(adiponectin, apelin, resistin, and visfatin) in patients with acute myocardial
infarction (AMI) in relation to the extent of glucose metabolism impairment and
intensity of systemic low-grade inflammation. METHODS: This case-control study
covered 131 patients with coronary artery disease: 104 consecutive patients with
AMI (74% men, mean age of 62 +/- 11 years) treated with primary percutaneous
coronary intervention with stent implantation, and 27 patients with stable angina
(70% men, mean age of 63 +/- 11 years), who were initially assessed in terms of
adipokine levels, C-reactive protein and various echocardiographic and vascular
parameters. Major adverse cardiovascular events were recorded in the AMI group
during 3-year follow-up. RESULTS: Resistin and visfatin serum levels were
significantly higher (P < 0.001), and adiponectin and apelin were lower (P <
0.001) in AMI patients as compared to patients with stable angina. In AMI
patients, adipokine levels were not related to glucose metabolism disturbances,
yet adiponectin (P = 0.03) and resistin (P = 0.001) concentrations were related
to the number of affected coronary vessels. Serum adiponectin level correlated
negatively (r = -0.608, P < 0.05), whereas resistin and visfatin correlated
positively (r = 0.526, P < 0.05 and r = 0.352, P < 0.05, respectively) with C
reactive protein levels. All of the analyzed adipokines significantly accounted
for the flow-mediated dilation variability (Radjusted 32%) in the AMI group. The
Cox survival analysis indicated that resistin and visfatin were independent risk
factors of recurrent AMI/unstable angina, with the diagnostic threshold above
12.2 ng/ml for resistin and above 11.8 ng/ml for visfatin concentrations.
CONCLUSION: An abnormal profile in serum adipokines observed in AMI is related to
systemic inflammation and the degree of atherosclerosis independently of glucose
metabolism disturbances and heralds major adverse cardiovascular event occurrence
in long-term observation.
PMID- 24933199
TI - Changed outcomes of fetuses with congenital heart disease: new Italian
Multicentre study.
AB - OBJECTIVES: To analyse the outcomes of fetuses with congenital heart disease
between 2000 and 2005 in comparison to a previous multicentre study regarding the
period 1983-1996. PARTICIPANTS AND METHODS: Data of seven centres were
prospectively collected, the inclusion criteria being a confirmed fetal diagnosis
after birth or at autopsy and a known follow-up, for at least 6 months after
birth. Data of 649 fetuses, median age at diagnosis 24 weeks' gestation (15-37),
340/649 (52.4%) diagnosed before 24 weeks, were analysed. RESULTS: Sixty seven
and 59 cases had chromosomal or extracardiac anomalies (10.3 and 9.1%).
Termination of pregnancy was chosen in 21.6% of cases versus 28.9% in the
previous study, being significantly lower in cases with early diagnosis (P
<0.001). Out of 509 fetuses continuing pregnancy, 23 died in utero (4.5%) and 110
(21.1%) postnatally, versus 43% in the previous study (P <0.0017). Total
surgical/postprocedure death occurred in 20.6% (59/287 infants) versus 37%
previously (P <0.003), 67 infants being premature and 35 with associated
chromosomal or extracardiac anomalies. The current overall mortality rate was
higher in cases with chromosomal or extracardiac anomalies (59.5 and 51.35%,
respectively, whereas it was 20.1% in isolated congenital heart disease). Overall
current survival was 376 of 509 (73.9%) versus 45% in the previous study (P
<0.0001). CONCLUSION: Our data show a reduced overall and surgical mortality,
with respect to our previous study, resulting from resulting from an improved
perinatal management and treatment of affected fetuses in the more recent era.
PMID- 24933200
TI - Glycated haemoglobin and long-term mortality in patients with ST Elevation
Myocardial Infarction.
AB - BACKGROUNDS/OBJECTIVES: We aimed at assessing the impact of increased HbA1c
(>=6.5%) on 1-year mortality in consecutive patients with ST Elevation Myocardial
Infarction (STEMI) submitted to primary percutaneous coronary intervention (PCI).
METHODS: The study population comprises 1205 STEMI patients treated with primary
PCI and consecutively admitted to our Center from 1 January 2004 to 31 December
2011. RESULTS: Two hundred and seventy-six patients with previously diagnosed
diabetes (276/1205, 22.9%, Group A), 78 patients without previously known
diabetes and HbA1c at least 6.5% (78/1205, 6.5%, Group B) and 851 patients
without previously known diabetes and HbA1c less than 6.5% (851/1205, 70.1%,
Group C).At Cox regression analysis, HbA1c at least 6.5% was not related to 1
year postdischarge mortality in patients with previously diagnosed diabetes nor
in those without previously known diabetes.Kaplan-Meier survival curve analysis
showed that patients in Group A exhibited the lowest survival rate, while
patients in Group B (i.e. patients without previously known diabetes and with
HbA1c >=6.5%) showed a significant reduction in their survival rate since 6
months after discharge. CONCLUSION: In a large series of STEMI patients submitted
to primary PCI, HbA1c levels were not related with outcomes at multivariable
analysis.
PMID- 24933201
TI - Orthostatic hypotension: definition, diagnosis and management.
AB - Orthostatic hypotension commonly affects elderly patients and those suffering
from diabetes mellitus and Parkinson's disease. It is a cause of significant
morbidity in the affected patients. The goal of this review is to outline the
pathophysiology, evaluation, and management of the patients suffering from
orthostatic hypotension.
PMID- 24933202
TI - Mind injuries after cardiac surgery.
AB - After cardiac surgery, delirium, cognitive dysfunction, depression, or anxiety
disorders frequently occur, and profoundly affect patients' prognosis and quality
of life. This narrative review focuses on the main clinical presentations of
cognitive and psychological problems ('mind injuries') that occur postoperatively
in absence of ascertainable focal neurologic deficits, exploring their
pathophysiological mechanisms and possible strategies for prevention and
treatment. Postoperative cognitive dysfunction is a potentially devastating
complication that can involve several mechanisms and several predisposing,
intraoperative, and postoperative risk factors, which can result in or be
associated to cerebral microvascular damage. Postoperative depression is
influenced by genetic or psychosocial predisposing factors, by neuroendocrine
activation, and by the release of several pro-inflammatory factors. The net
effect of these changes is neuroinflammation. These complex biochemical
alterations, along with an aspecific response to stressful life events, might
target the function of several brain areas, which are thought to represent a
trigger factor for the onset of depression.
PMID- 24933203
TI - Right heart failure due to a fistula between a giant aortic pseudoaneurysm and
the left atrium: a late complication after cardiovascular surgery.
AB - : A 69-year-old woman, treated 5 years before with mechanical aortic valve and
vascular prosthesis of ascending aorta implantation for severe aortic
regurgitation and dilation of ascending aorta, was referred to our center for
dyspnea and peripheral edema. The transthoracic echocardiography showed a giant
pseudoaneurysm incorporating the vascular prosthesis and a fistula of it with the
left atrium. These findings were confirmed using the transesophageal
echocardiogram, cardiac computed tomography, and during cardiac surgery, which
was performed 5 days later. The reparative intervention consisted of suturing of
both the connections; the patient survived the surgery, but she died 6 weeks
later due to infectious complications.
PMID- 24933204
TI - The impact of the metabolic syndrome on the outcome after aortic valve
replacement.
AB - OBJECTIVES: The aim of this study was to examine the influence of the metabolic
syndrome on the left ventricular geometry as well as on the early and mid-time
outcome in patients with aortic stenosis who underwent aortic valve replacement.
METHODOLOGY: The study included 182 patients who underwent aortic valve
replacement due to aortic stenosis. The metabolic syndrome was defined by the
presence of at least three AHA-NHLB (American Heart Association/National Heart,
Lung and Blood Institute) criteria. All the patients were followed for at least 2
years after the surgery. RESULTS: The metabolic syndrome did not influence the
severity of aortic stenosis (mean gradient and aortic valve area). However, the
metabolic syndrome was associated with the reduced prevalence of the normal left
ventricular geometry and the increased risk of concentric left ventricular
hypertrophy in patients with aortic stenosis. Among the metabolic syndrome
criteria, only increased blood pressure was simultaneously associated with the
short-term and mid-term outcome, independently of other risk factors. Increased
fasting glucose level was an independent predictor of the only 30-day outcome
after the valve replacement. The metabolic syndrome and left ventricular
hypertrophy were, independently of hypertension and diabetes, associated with the
30-day outcome, as well as incidence of major cerebrovascular and cardiovascular
events in the 2-year postoperative period. CONCLUSION: The metabolic syndrome
does not change severity of the aortic stenosis, but significantly impacts the
left ventricular remodeling in these patients. The metabolic syndrome and left
ventricular hypertrophy, irrespective of hypertension and diabetes, are
predictors of the short-term and mid-term outcome of patients with aortic
stenosis who underwent aortic valve replacement.
PMID- 24933205
TI - Airflow reversibility and long-term outcomes in patients with COPD without
comorbidities.
AB - BACKGROUND: The forced expiratory volume at first second (FEV(1)) during
spirometry reflects the severity of chronic obstructive pulmonary disease (COPD)
and is known to be an important prognostic factor. It is uncertain whether the
response to short-acting bronchodilators may predict long-term outcomes such as
hospitalizations and mortality. METHODS: We retrospectively studied a total of
1203 consecutive COPD patients without significant comorbidities during a mean
(+/-SD) of 69 +/- 39 months of follow-up. At baseline the subjects were
classified as those with positive or negative bronchodilator test (BDT) and also
in quartiles of absolute bronchodilator response to 400 MUg of salbutamol.
Hospital visits and mortality were the end points. RESULTS: A positive
bronchodilator test was observed in 332 (27.6%) of the patients. There were 73
(21.9%) deaths in patients with a positive BDT versus 253 (28.7%) in those with a
negative BDT (p = 0.04). In adjusted Cox regression analysis a positive BDT was
significantly associated with a prolonged time to first hospitalization. After
stratifying the population by quartiles of response to BDT, a dose-response
relationship was observed with the best outcomes in the quartile with highest
level of airflow reversibility, even after controlling for age, sex, BMI, smoking
status and baseline postbronchodilator FEV(1). CONCLUSIONS: In a large population
of well characterized COPD patients without significant comorbidities, those
demonstrating higher levels of reversibility at baseline presented better long
term outcomes even after controlling for other known prognostic factors.
PMID- 24933206
TI - Solute concentration-dependent contact angle hysteresis and evaporation stains.
AB - The presence of nonvolatile solutes in a liquid drop on a solid surface can
affect the wetting properties. Depending on the surface-activity of the solutes,
the extent of contact angle hysteresis (CAH) can vary with their concentration
and the pattern of the evaporation stain is altered accordingly. In this work,
four types of concentration-dependent CAH and evaporation stains are identified
for a water drop containing polymeric additives on polycarbonate. For polymers
without surface-activity such as dextran, advancing and receding contact angles
(thetaa and thetar) are independent of solute concentrations, and a concentrated
stain is observed in the vicinity of the drop center after complete evaporation.
For polymers with weak surface-activity such as poly(ethylene glycol) (PEG), both
thetaa and thetar are decreased by solute addition, and the stain pattern varies
with increasing PEG concentration, including a concentrated stain and a mountain
like island. For polymers with intermediate surface-activity such as sodium
polystyrenesulfonate (NaPSS), thetaa descends slightly, but thetar decreases
significantly after the addition of a substantial amount of NaPSS, and a ring
like stain pattern is observed. Moreover, the size of the ring stain can be
controlled by NaPSS concentration. For polymers with strong surface-activity such
as poly(vinylpyrrolidone) (PVP), thetaa remains essentially a constant, but
thetar is significantly lowered after the addition of a small amount of PVP, and
the typical ring-like stain is seen.
PMID- 24933207
TI - Plerixafor and G-CSF for autologous stem cell mobilization in AL amyloidosis.
PMID- 24933208
TI - Clinical impact of pre-transplant diastolic function on outcome after allogeneic
hematopoietic SCT.
PMID- 24933209
TI - Essential requirements for setting up a stem cell processing laboratory.
AB - The Graft Processing subcommittee of the Worldwide Network for Blood and Marrow
Transplantation wrote this guideline to assist physicians and laboratory
technologists with the setting up of a cell processing laboratory (CPL) to
support a hematopoietic stem cell transplant program, thereby facilitating the
start-up of a transplant program in a new location and improving patient access
to transplantation worldwide. This guideline describes the minimal essential
features of designing such a laboratory and provides a list of equipment and
supply needs and staffing recommendations. It describes the typical scope of
services that a CPL is expected to perform, including product testing services,
and discusses the basic principles behind the most frequent procedures. Quality
management (QM) principles specific to a CPL are also discussed. References to
additional guidance documents that are available worldwide to assist with QM and
regulatory compliance are also provided.
PMID- 24933210
TI - New frontiers in pediatric Allo-SCT: novel approaches for children and
adolescents with ALL.
AB - Although most children with ALL can be cured by chemotherapy approaches,
allogeneic hematopoietic cell transplant (HCT) therapy offers a better chance of
cure to selected high-risk patients in first remission and most children who
relapse. Although transplant-related mortality has decreased significantly in the
past decade, relapse remains high after HCT for ALL; developing strategies to
decrease relapse and improve survival are vital. Recent studies have shown that
relapse risk can be accurately defined using measurements of minimal residual
disease (MRD) both pre- and post-HCT and by knowing whether patients get GVHD in
the first 2 months after transplant. With these risk definitions in hand,
investigators are now applying novel agents and immunotherapeutic methods in
attempt to lower MRD before transplant and modulate the GVL effect after
transplant. With powerful new immunological approaches coming on line, the
transplant process itself will likely expand to include pre and/or post-HCT
interventions aimed at reducing relapse.
PMID- 24933212
TI - Pitfalls in the use of randomised controlled trials for fish oil studies with
cardiac patients.
AB - Randomised controlled trials (RCT) examining the effects of fish oil
supplementation on cardiac outcomes have yielded varying results over time.
Although RCT are placed at the top of the evidence hierarchy, this methodology
arose in the framework of pharmaceutical development. RCT with pharmaceuticals
differ in important ways from RCT involving fish oil interventions. In
particular, in pharmaceutical RCT, the test agent is present only in the
intervention group and not in the control group, whereas in fish oil RCT, n-3
fats are present in the diet and in the tissues of both groups. Also, early phase
studies with pharmaceuticals determine pharmacokinetics and pharmacodynamics to
design the dose of the RCT intervention so that it is in a predicted linear dose
response range. None of this happens in fish oil RCT, and there is evidence that
both baseline n-3 intake and tissue levels may be sufficiently high in the dose
response range that it is not possible to demonstrate a clinical effect with a
RCT. When these issues are considered, it is possible that the changing pattern
of fish consumption and fish oil use over time, especially in cardiac patients,
can explain the disparity where benefit was observed in the early fish oil trials
but not in the more recent trials.
PMID- 24933211
TI - Notoginsenoside R1 attenuates atherosclerotic lesions in ApoE deficient mouse
model.
AB - AIMS: Atherosclerosis is the primary cause of cardiovascular diseases and stroke.
The current study evaluated the interventional effects of a naturally occurring
compound Notoginsenoside R1 (NR1) on atherosclerosis in ApoE-/- mice. METHODS AND
RESULTS: The atherosclerotic lesion was significantly alleviated by NR1 treatment
and this attenuation was marked by reduction in lipid deposition, fibrosis and
oxidative stress. Increased serum levels of GSH and SOD and decreased level of
MDH were observed in NR1-treated ApoE-/- mice. NR1 treatment also significantly
decreased the levels of CHO, TG, ox-LDL and increased the level of HDL.
Additionally, the levels of inflammatory cytokines including IL-2, IL-6, TNF
alpha and gamma-IFN were markedly reduced in NR1-treated ApoE-/- mice.
Furthermore, significantly increased aortic expression of miR-26a, miR-21, miR
126a, miR-132, miR-146 and miR-155 and decreased expression of miR-20a and miR
92a were observed in the vehicle-treated ApoE-/- mice. While NR1 treatment led to
a significant reduction in the expression of miR-21, miR-26a, miR-126 and
increased expression of miR-20a. CONCLUSION: Collectively, our results
demonstrated for the first time the anti-atherosclerotic effects of NR1, which
could be in part mediated through its multiple targeting effects on inflammation,
oxidative stress, lipid metabolism and microRNA expression. These results
therefore justify further evaluation of NR1 as a therapeutic agent treating
atherosclerosis.
PMID- 24933213
TI - Exercise training decreases gene expression of endo- and xeno-sensors in rat
small intestine.
AB - The purpose of the study was to test the hypothesis that gene expression of
members of the nuclear receptor (NR) superfamily known to act as endo- and xeno
sensors is reduced in the ileum of exercise-trained (Tr) rats. Healthy female
rats were either treadmill-trained for 8 weeks, 5 times/week, or remained
sedentary (Sed). Training resulted in a significant (p < 0.05) decrease in plasma
free fatty acid (0.18 +/- 0.01 to 0.15 +/- 0.01 mmol/L) and glycerol (24.8 +/-
0.8 to 18.7 +/- 0.8 mg/L) concentrations. Gene expressions of NRs farnesoid X
receptor (FXR; p < 0.05), liver X receptor (LXR; p < 0.05), pregnane X receptor
(PXR; p < 0.01), and retinoid X receptor (RXR; p < 0.06) were reduced in the
ileum of Tr compared with Sed animals. Tr was also associated with a reduction (p
< 0.05) in gene expression of FXR downstream heterodimeric organite solute
transporters alpha (OSTalpha) and beta (OSTbeta) involved in the transport of
bile acids, LXR downstream genes heterodimeric ATP-binding cassette transporters
(ABCG5/G8) involved in transport of absorbed cholesterol back to the lumen, and
Niemann-Pick C1-like 1 (NPC1L1) involved in cholesterol absorption. These data
indicate that exercise training lowers the expression of molecules involved in
the defense system of the ileum against endobiotic and xenobiotic insults under
normal conditions, thus, suggesting that regular exercise contributes to the
intestinal maintenance of cholesterol and bile acid homeostasis.
PMID- 24933214
TI - Tracking functional status across the spinal cord injury lifespan: linking
pediatric and adult patient-reported outcome scores.
AB - OBJECTIVE: To use item response theory (IRT) methods to link scores from 2
recently developed contemporary functional outcome measures, the adult Spinal
Cord Injury-Functional Index (SCI-FI) and the Pedi SCI (both the parent version
and the child version). DESIGN: Secondary data analysis of the physical
functioning items of the adult SCI-FI and the Pedi SCI instruments. We used a
nonequivalent group design with items common to both instruments and the Stocking
Lord method for the linking. Linking was conducted so that the adult SCI-FI and
Pedi SCI scaled scores could be compared. SETTING: Community. PARTICIPANTS: This
study included a total sample of 1558 participants. Pedi SCI items were
administered to a sample of children (n=381) with SCI aged 8 to 21 years, and of
parents/caregivers (n=322) of children with SCI aged 4 to 21 years. Adult SCI-FI
items were administered to a sample of adults (n=855) with SCI aged 18 to 92
years. INTERVENTIONS: Not applicable. MAIN OUTCOME MEASURES: Five scales common
to both instruments were included in the analysis: Wheelchair, Daily Routine/Self
care, Daily Routine/Fine Motor, Ambulation, and General Mobility functioning.
RESULTS: Confirmatory factor analysis and exploratory factor analysis results
indicated that the 5 scales are unidimensional. A graded response model was used
to calibrate the items. Misfitting items were identified and removed from the
item banks. Items that function differently between the adult and child samples
(ie, exhibit differential item functioning) were identified and removed from the
common items used for linking. Domain scores from the Pedi SCI instruments were
transformed onto the adult SCI-FI metric. CONCLUSIONS: This IRT linking allowed
estimation of adult SCI-FI scale scores based on Pedi SCI scale scores and vice
versa; therefore, it provides clinicians with a means of tracking long-term
functional data for children with an SCI across their entire lifespan.
PMID- 24933216
TI - School climate and bullying victimization: a latent class growth model analysis.
AB - Researchers investigating school-level approaches for bullying prevention are
beginning to discuss and target school climate as a construct that (a) may
predict prevalence and (b) be an avenue for school-wide intervention efforts
(i.e., increasing positive school climate). Although promising, research has not
fully examined and established the social-ecological link between school climate
factors and bullying/peer aggression. To address this gap, we examined the
association between school climate factors and bullying victimization for 4,742
students in Grades 3-12 across 3 school years in a large, very diverse urban
school district using latent class growth modeling. Across 3 different models
(elementary, secondary, and transition to middle school), a 3-class model was
identified, which included students at high-risk for bullying victimization.
Results indicated that, for all students, respect for diversity and student
differences (e.g., racial diversity) predicted within-class decreases in reports
of bullying. High-risk elementary students reported that adult support in school
was a significant predictor of within-class reduction of bullying, and high-risk
secondary students report peer support as a significant predictor of within-class
reduction of bullying.
PMID- 24933215
TI - Mapping the academic problem behaviors of adolescents with ADHD.
AB - This study possessed 2 aims: (a) to develop and validate a clinician-friendly
measure of academic problem behavior that is relevant to the assessment of
adolescents with attention deficit/hyperactivity disorder (ADHD) and (b) to
better understand the cross-situational expression of academic problem behaviors
displayed by these youth. Within a sample of 324 adolescents with the Diagnostic
and Statistical Manual of Mental Disorders, 4th Edition, Text Revision diagnosed
ADHD (age M = 13.07, SD = 1.47), parent, teacher, and adolescent self-report
versions of the Adolescent Academic Problems Checklist (AAPC) were administered
and compared. Item prevalence rates, factorial validity, interrater agreement,
internal consistency, and concurrent validity were evaluated. Findings indicated
the value of the parent and teacher AAPC as a psychometrically valid measure of
academic problems in adolescents with ADHD. Parents and teachers offered unique
perspectives on the academic functioning of adolescents with ADHD, indicating the
complementary roles of these informants in the assessment process. According to
parent and teacher reports, adolescents with ADHD displayed problematic academic
behaviors in multiple daily tasks, with time management and planning deficits
appearing most pervasive. Adolescents with ADHD display heterogeneous academic
problems that warrant detailed assessment prior to treatment. As a result, the
AAPC may be a useful tool for clinicians and school staff conducting targeted
assessments with these youth.
PMID- 24933217
TI - Well-being, school climate, and the social identity process: a latent growth
model study of bullying perpetration and peer victimization.
AB - The present study concerns longitudinal research on bullying perpetration and
peer victimization. A focus is on school factors of school climate (academic
support, group support) and school identification (connectedness or belonging),
which are conceptualized as related but distinct constructs. Analysis of change
on these factors as well as individual well-being across time contributes to
understanding bullying behavior. Latent growth modeling was employed to examine
the predictors of anxiety, depression, 2 school climate factors and school
identification in understanding change in physical and verbal bullying behavior.
The sample included 492 Australian school students (means age 15 years, 53.5%
male) in Grades 7 to 10 who completed measures over 3 years. Academic support and
group support were the strongest predictors of change in bullying and
victimization. Positive change in school identification also predicted a decrease
in bullying behavior over time. An increase in depression or anxiety across time
predicted an increase in rates of both bullying and victimization over time.
Future research should continue to examine the complex relationship between
individual-psychological and social-psychological variables in impacting on
incidence of school-based bullying. On a practical note, school-based
intervention programs may benefit from an approach that aims to target the school
climate, social identity with the school, and promote individual psychological
well-being.
PMID- 24933218
TI - Understanding and measuring student engagement in school: the results of an
international study from 12 countries.
AB - The objective of the present study was to develop a scale that is appropriate for
use internationally to measure affective, behavioral, and cognitive dimensions of
student engagement. Psychometric properties of this scale were examined with data
of 3,420 students (7th, 8th, and 9th grade) from 12 countries (Austria, Canada,
China, Cyprus, Estonia, Greece, Malta, Portugal, Romania, South Korea, the United
Kingdom, and the United States). The intraclass correlation of the full-scale
scores of student engagement between countries revealed that it was appropriate
to aggregate the data from the 12 countries for further analyses. Coefficient
alphas revealed good internal consistency. Test-retest reliability coefficients
were also acceptable. Confirmatory factor analyses indicated that the data fit
well to a second-order model with affective, behavioral, and cognitive engagement
as the first-order factors and student engagement as the second-order factor. The
results support the use of this scale to measure student engagement as a
metaconstruct. Furthermore, the significant correlations of the scale with
instructional practices, teacher support, peer support, parent support, emotions,
academic performance, and school conduct indicated good concurrent validity of
the scale. Considerations and implications regarding the international use of
this student engagement in school measure are discussed.
PMID- 24933219
TI - Evaluation of toxicity of Calophyllum brasiliense stem bark extract by in vivo
and in vitro assays.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Calophyllum brasiliense Camb., Clusiaceae, is
commonly known as "guanandi" and its stem bark is used in Brazilian traditional
medicine to treat rheumatism, vein problems, hemorrhoids and gastric ulcers. The
aim of this study was to evaluate the toxicity of hexane extract of Calophyllum
brasiliense stem bark (HECb) using in vitro and in vivo experimental models.
MATERIALS AND METHODS: In vitro toxicity was evaluated by Alamar Blue
cytotoxicity assay and micronucleus test, using Chinese hamster ovary (CHO-k1)
epithelial cells. in vivo toxicity was evaluated by oral acute and subchronic
toxicity assays. In the oral acute toxicity screening, a single dose of HECb was
administered to mice at doses ranging from 250 to 1000 mg/kg. In the subchronic
study, HECb was administered orally for 30 days to Wistar rats at doses of 100
mg/kg and 500 mg/kg. Phytochemical analyses were performed by HPLC/UV-vis,
secondary metabolites were quantified by spectrophotometric methods. RESULTS:
HECb presented IC50=119.94+/-4.31 ug/mL after a 24 h cytotoxicity test using CHO
k1 cells, showing low cytotoxicity. However, when the cells were exposed to HECb
for 72 h, the IC50 value was 8.39+/-2.00 ug/mL, showing in this case, a
pronounced cytotoxic effect. In the oral acute toxicity studies, doses up to 500
mg/kg of HECb did not cause any changes in both male and female mice. At 1000
mg/kg, male mice showed signs typical of depression and stimulation that were
reversed at 72 h. Besides, female mice were more sensitive to the toxic effect of
HECb at 1000 mg/kg, which initially presented typical agitation signals, followed
by depression signals, leading to death of all the animals at 24h. In subchronic
assay with rats, HECb administered orally at doses of 100 and 500 mg/kg did not
cause significant changes in all clinical parameters evaluated. Histopathological
analyses showed no deleterious effect in the vital organs of rats. Preliminary
phytochemical analysis revealed the presence of phenolic compounds, steroids, and
volatile coumarins. Analysis by HPLC showed two major peaks characteristic of
chromanones. CONCLUSIONS: In vitro toxicological tests showed that HECb exhibited
cytotoxicity especially after 72 h of exposition, and mutagenicity on the highest
tested dose. The in vivo studies demonstrated that HECb produced some toxicity
signs at the highest dose tested, particularly, in the acute toxicity test but
showed no significant signs of toxicity in the subchronic assay. Based on these
and previous pharmacological studies, it is possible to say that HECb did not
exhibit significant toxicity at its effective dose. This suggests that HECb is
relatively safe in humans at its effective dose.
PMID- 24933220
TI - A survey on medicinal materials used in traditional systems of medicine in Sri
Lanka.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Sri Lanka has rich traditional systems of
medicine, which cater to 60-70% of the rural population's primary health care
needs. However, development of existing systems has been hindered by the
unavailability of up-to-date information on medicinal materials and other related
issues. For streamlining purposes, we investigated the present-day scenario of
country's medicinal plant industry by gathering up-to-date information on the
types of raw materials required, their aggregate quantities, heavily used and
rare materials, family wise distribution, challenges faced by stakeholders as
well as other pertinent issues. MATERIALS AND METHODS: The present survey covered
the selected government Ayurveda hospitals, traditional and Ayurveda
practitioners, large and small-scale herbal drug and cosmetic manufactures,
importers, collectors and Ayurveda commissioners throughout the country. A
systematic questionnaire was distributed and face-to-face interviews were
conducted. Collected data were tabulated and analyzed. RESULTS: A diverse range
of medicinal materials, including 290 species (64.73%) from dried plants, 59
(13.17%) from fresh plants, 69 (15.40%) from minerals, 18 (4.02%) from animal
sources and 12 (2.68%) from other sources were recorded. A total of 302 plant
species belonging to 95 families, dominated by Leguminosae family, was listed.
Out of these, 46 species belonging to 35 families were used intensively. A large
portion of herbal materials was of completely local origin (71.13%) while 26%
were imported and the rest (2.87%) can be obtained by both routes. Leaves were
the most highly used part of the plant (22.2%). High price, poor quality,
insufficient or totally absence of continuous supply and adulteration were the
main constraints faced by the stakeholders. The unavailability of systematic
cultivation and processing protocols, incorrect identification, and lack of
proper quality control methodologies were identified as major challenges of the
industry. CONCLUSION: The present study revealed a currently bleak scenario of
the medicinal material industry in Sri Lanka. The results clearly demonstrated
the need to implement a national strategy to address the major challenges faced
by different stakeholders. Information generated through this study could be
effectively incorporated for the formulation of a sustainable development
strategy for this industry.
PMID- 24933221
TI - Tongue diagnosis system for quantitative assessment of tongue coating in patients
with functional dyspepsia: a clinical trial.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Tongue diagnosis is a significant procedure to
examine the physiological and pathological changes of the human body in oriental
medicine. However, the conventional method of tongue diagnosis including direct
observation of tongue has limitations because of various external factors and
subjective factors. Therefore, the current study investigated the usefulness of
the tongue diagnosis system (TDS) as a diagnostic tool for evaluating tongue
coating thickness (TCT) by assessing the agreement between the TDS and a gold
standard established by assessors using the conventional method. MATERIALS AND
METHODS: The present study was designed as a prospective clinical trial including
60 patients with functional dyspepsia. The TDS was used to capture tongue images
twice within a 30-min interval to assess its reproducibility. Tongue coating
percentage was measured by the TDS, and TCT was classified as either no coating,
thin coating, or thick coating according to the existing diagnostic criteria.
After both TDS examinations, the weight of tongue coating was quantitatively
measured, and the correlation between the actual quantity of tongue coating and
the percentage of the coating measured by the TDS was analyzed using Pearson's
correlation. After collecting tongue images in all 60 patients, tongue coating
was evaluated using a conventional method by 5 well-trained assessors to
establish the gold standard for evaluating TCT, which allowed us to assess the
diagnostic agreement between the TDS and the gold standard. After 2 weeks, TCT
evaluation was repeated by the same assessors using the same images but in a
random order. RESULTS: The agreement between the TDS and the gold standard for
evaluating TCT was almost perfect (weighted kappa, 0.840), as was the
reproducibility of the TDS (weighted kappa, 0.851). The percentage of tongue
coating measured by the TDS was significantly correlated with the weight of
tongue coating (r=0.442, p <0.001). The levels of intra-rater reliability ranged
from substantial to almost perfect (range of weighted kappa, 0.777-0.923). The
inter-rater reliability of 5 assessors was moderate (weighted kappa, 0.563).
CONCLUSIONS: The present study demonstrated that the TDS can be used as a
diagnostic tool for the objective and standardized evaluation of TCT in actual
clinical practice.
PMID- 24933222
TI - Complement activity of polysaccharides from three different plant parts of
Terminalia macroptera extracted as healers do.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Water decoctions of the root bark, stem bark and
leaves of Terminalia macroptera are used by traditional healers in Mali to cure a
wide range of illnesses, such as wounds, hepatitis, malaria, fever, cough and
diarrhea as well as tuberculosis. Plant polysaccharides isolated from crude water
extracts have previously shown effects related to the immune system. The aims of
this study are comparing the properties of the polysaccharides among different
plant parts, as well as relationship between chemical characteristics and
complement fixation activities when the plant material has been extracted as the
traditional healers do, with boiling water directly. MATERIALS AND METHODS: Root
bark, stem bark and leaves of Terminalia macroptera were extracted by boiling
water, and five purified polysaccharide fractions were obtained by anion exchange
chromatography and gel filtration. Chemical compositions were determined by GC of
the TMS derivatives of the methyl-glycosides and the linkage determined after
permethylation and GC-MS of the derived partly methylated alditol acetates. The
bioactivity was determined by the complement fixation assay of the crude extracts
and purified fractions. RESULTS: The acidic fraction TRBD-I-I isolated from the
root bark was the most active of the fractions isolated. Structural studies
showed that all purified fractions are of pectic nature, containing
rhamnogalacturonan type I backbone. Arabinogalactan type II side chains were
present in all fractions except TRBD-I-II. The observed differences in complement
fixation activities among the five purified polysaccharide fractions are probably
due to differences in monosaccharide compositions, linkage types and molecular
sizes. CONCLUSION: The crude extracts from root bark and stem bark have similar
total activities, both higher than those from leaves. The root bark, leaves and
stem bark are all good sources for fractions containing bioactive
polysaccharides. But due to sustainability, it is prefer to use leaves rather
than the other two plant parts, and then the dosage by weight must be higher when
using leaves.
PMID- 24933223
TI - Sclederma of Poria cocos exerts its diuretic effect via suppression of renal
aquaporin-2 expression in rats with chronic heart failure.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Sclederma of Poria cocos (Hoelen) has been used
as a diuretic in traditional Asian medicine. However, the underlying mechanism by
which Sclederma of Poria cocos (hoelen) exerts its diuretic effect has not been
well identified. The aim of the present study was to evaluate the effects of
Sclederma of Poria cocos (hoelen) in rats with chronic heart failure (CHF)
induced by acute myocardial infarction and to investigate the underlying
mechanisms. MATERIALS AND METHODS: An aqueous extract of Sclederma of Poria cocos
(hoelen) (2.4 g/kg/d, 1.2 g/kg/d or 0.6 g/kg/d) or furosemide (20 mg/kg/d) was
administered orally to male Sprague-Dawley rats starting on the day of coronary
ligation. The urine output of all rats was quantified and collected every day for
1 or 4 weeks. The expression of aquaporin-2 (AQP2) was examined after treatment
for 1 or 4 weeks. RESULTS: Urinary output increased significantly and urinary
osmolality decreased after oral administration of Sclederma of Poria cocos
(hoelen) for both 1 and 4 weeks. Sclederma of Poria cocos (hoelen) caused less
electrolyte disorder than furosemide. Furthermore, Sclederma of Poria cocos
(hoelen) reduced the levels of plasma BNP in CHF rats, whereas furosemide had no
effect. Importantly, both mRNA and protein expression of AQP2 were down-regulated
and urinary excretion of AQP2 was decreased after administration of Sclederma of
Poria cocos (hoelen) to CHF rats. Similarly, Sclederma of Poria cocos (hoelen)
reduced plasma arginine vasopressin (AVP) level and down-regulated vasopressin
type 2 receptor (V2R) mRNA expression. CONCLUSIONS: Sclederma of Poria cocos
(hoelen) exerts its diuretic effect and improves cardiac function in CHF rats via
the AVP-V2R-AQP2 axis.
PMID- 24933224
TI - Semen Astragali Complanati: an ethnopharmacological, phytochemical and
pharmacological review.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Semen Astragali Complanati (SAC), the dried ripe
seed of Flatstem Milkvetch (Astragalus complanatus Bunge) (Leguminosae), is
commonly used in traditional Chinese medicine (TCM) for treating muscle, liver,
kidney, blood, skin and reproductive system diseases. MATERIALS AND METHODS:
Relevant information about SAC was gathered via "Google Scholar", "ISI Web of
Knowledge", "PubMed", "ScienceDirect", "Medline Plus", "ACS", "CNKI" and "Wiley
Online Library" and from books in local libraries. RESULTS: The major contents of
SAC include fatty acids, amino acids, polysaccharides, flavonoids, triterpene
glycosides and trace elements. Previous scientific studies have reported that SAC
exhibits a number of therapeutic effects on chronic diseases such as
cardiovascular diseases, diabetes mellitus and cancers. It has been found that
flavonoids are the main bioactive component in SAC. However most of the previous
studies have shown the effects brought by the total flavonoid fraction extracted
from SAC only; further studies are warranted for the biological effects produced
by individual components. There are only a few studies on the toxicity of SAC and
the overall results show that its toxicity is quite low or even non-existent.
CONCLUSIONS: SAC is a valuable TCM herb with multiple pharmacological effects for
treating some chronic diseases. More studies on SAC will help us to have a better
understanding of its pharmacological mechanisms so as to provide more scientific
evidence to explain its traditional uses, identify its therapeutic potential on
other diseases and understand its possible harmful effects. Based on previous
studies, it is easy to identify that antioxidant effect of SAC might play an
important role on its pharmacological effects. Studying the effects of SAC on
handling intracellular reactive oxygen species may be a potential direction to
help understanding the molecular mechanisms of SAC on preventing and/or treating
chronic diseases.
PMID- 24933225
TI - Triptolide: progress on research in pharmacodynamics and toxicology.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Tripterygium wilfordii Hook. f. (Tripterygium
wilfordii), also known as Huangteng and gelsemium elegan, is a traditional
Chinese medicine that has been marketed in China as Tripterygium wilfordii
glycoside tablets. Triptolide (TP), an active component in Tripterygium wilfordii
extracts, has been used to treat various diseases, including lupus, cancer,
rheumatoid arthritis and nephritic syndrome. This review summarizes recent
developments in the research on the pharmacodynamics, pharmacokinetics, pharmacy
and toxicology of TP, with a focus on its novel mechanism of reducing toxicity.
This review provides insight for future studies on traditional Chinese medicine,
a field that is both historically and currently important. MATERIALS AND METHODS:
We included studies published primarily within the last five years that were
available in online academic databases (e.g., PubMed, Google Scholar, CNKI,
SciFinder and Web of Science). RESULTS: TP has a long history of use in China
because it displays multiple pharmacological activities, including anti
rheumatism, anti-inflammatory, anti-tumor and neuroprotective properties. It has
been widely used for the treatment of various diseases, such as rheumatoid
arthritis, nephritic syndrome, lupus, Behcet's disease and central nervous system
diseases. Recently, numerous breakthroughs have been made in our understanding of
the pharmacological efficacy of TP. Although TP has been marketed as a
traditional Chinese medicine, its multi-organ toxicity prevents it from being
widely used in clinical practice. CONCLUSIONS: Triptolide, a biologically active
natural product extracted from the root of Tripterygium wilfordii, has shown
promising pharmacological effects, particularly as an anti-tumor agent.
Currently, in anti-cancer research, more effort should be devoted to
investigating effective anti-tumor targets and confirming the anti-tumor spectrum
and clinical indications of novel anti-tumor pro-drugs. To apply TP
appropriately, with high efficacy and low toxicity, the safety and non-toxic dose
range for specific target organs and diseases should be determined, the altered
pathways and mechanisms of exposure need to be clarified, and an early warning
system for toxicity needs to be established. With further in-depth study of the
efficacy and toxicity of TP, we believe that TP will become a promising multi-use
drug with improved clinical efficacy and safety in the future.
PMID- 24933226
TI - Dose-response of oridonin on hepatic cytochromes P450 mRNA expression and
activities in mice.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Oridonin, the major terpene found in Rabdosia
rubescens, is widely used as a dietary supplement or therapeutic drug. The
effects of oridonin on drug processing genes, such as cytochrome P450 and nuclear
receptors, were still unclear. Therefore, the present study investigated the
influence of oridonin on the hepatic drug metabolizing system to evaluate the
safety through its drug interaction potential. MATERIALS AND METHODS: In this
study, eight-week-old male C57BL/6 mice were treated oridonin orally (0, 25, 50,
100, 200 mg/kg, i.g.) for 15 days. The effects of oridonin on major Cyps in mice
livers were examined at both the mRNA and enzyme activity levels. RESULTS: In
general, there are no significant influence of various dose of oridonin on mice
liver function. However, oridonin significantly increased Cyps (1a, 2a, 2d, 2e,
2c and 3a family) mRNA expression. In addition, it could induce Cyps activity in
microsome incubation at maximum dosage. To our knowledge, it is the first time to
identify oridonin as a Cyps inducer in vivo. It also promotes the expression of
CAR, PXR and POR. CONCLUSION: These results indicate that, if studies in mice
extrapolate to humans by orthologous genes, oridonin appears to be a risk to herb
drug interactions due to its induction effects on drug processing genes
expression and activation.
PMID- 24933227
TI - The mechanism of hepatoprotective effect of sesquiterpene rich fraction from
Cichorum glandulosum Boiss. et Huet on immune reaction-induced liver injury in
mice.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Cichorum glandulosum Boiss. et Huet is a
traditional Uygur herbal medicine that has been used as a cholagogic and diuretic
agent to improve liver function. However, the mechanism is not known for the
liver-protective function. We investigated the antioxidant effects of plant
extraction (CGE60) in vitro and in vivo, and find the mechanism of liver
protection in Bacille Calmette-Guerin vaccine (BCG)+Lipopolysaccharides (LPS)
induced liver injury in mice. MATERIALS AND METHODS: CGE60 was made, and the
antioxidant activity was investigated by comparing the ability of scavenging 1,1
diphenyl-2-picrylhydrazyl (DPPH), and 2,2-azinobis(3-ehtylbenzothiazolin-6
sulfnicAcid) diammonium salt (ABTS) free radicals in vitro. Then, CGE60 was
administrated in mice of liver damage model which was induced in mice using the
BCG+LPS protocol. The CGE 60 extract was tested at three dosages: 50 mg/kg, 100
mg/kg, and 200 mg/kg. Product of lipid peroxidation (MDA), superoxide dismutase
(SOD), catalase (CAT), glutathione peroxidase (GSH-PX,), nitric oxide (NO),
nitric oxide synthetase (NOS), hydroxyproline and alkaline phosphatase (ALP)
contents were evaluated in liver to determine the CGE60 activity in the hepatic
injury model. Tumor necrosis factor-alpha (TNF-alpha), interleukin-6 (IL-6) and
transforming growth factor-beta (TGF-beta) proteins were determined in the liver
tissues using ELSIA. The signaling activities were evaluated in Western blot.
RESULTS: CGE60 exhibited strong antioxidant ability in vitro. With oral
administration, CGE60 significantly increased the activity of CAT, SOD, GSH-PX,
and decreased the level of NO, NO synthase, hydroxyproline, ALP and lipid
peroxidation liver of in the BDG+ LPS model. CGE60 attenuated hepatic
inflammation via down- regulation of TNF-alpha, IL-6 and TGF-beta. CGE60 blocked
protein expression of cytochrome P450 2E1 (CYP2E1), nuclear factor kappa-B (NF
kappaB), phosphorylation of extracellular signal-regulated kinase (p-ERK1/2), and
cyclooxygenase-2 (COX-2),but activated the expression of p-P38 MAPK. CONCLUSION:
This study suggests that CGE60 possesses antioxidant activity and this activity
associates with hepatoprotective effect in the mice of BCG +LPS model, and the
mechanisms underlying these effects may involve antioxidant actions and anti
inflammation activities.
PMID- 24933228
TI - Toxicological evaluation of the hydroethanolic extract of Dilodendron bipinnatum
Radlk.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Dilodendron bipinnatum Radlk., Sapindaceae, is
popularly known as 'mulher-pobre'. Its stem bark macerate or decoction is
popularly used mainly to treat uterine inflammation and bone fractures. Acute
oral and subchronic toxicities of the hydroethanolic extract of Dilodendron
bipinnatum (HEDb) were investigated as well as the classes of phytochemical
present in the extract. MATERIALS AND METHODS: Acute toxicity of HEDb was
investigated by hippocratic screening in Swiss mice, cytotoxicity and potential
genotoxic effect were evaluated with micronucleus test in the CHO-k1cells.
Subchronic oral toxicity of HEDb was assessed in Wistar rats with graded doses of
HEDb repeatedly administered for 30 days, in order to evaluate the behavioral
changes, weight gain, water and feed consumption, urine and feces excretion,
hematological and biochemical parameters and histopathological examinations of
vital organs. RESULTS: In hippocratic screening doses up to 5000 mg/kg p.o. did
not cause any changes in female mice, while signs of reduction in motility,
increased respiratory rate and tail erection were observed in male mice but were
all reversed within an hour. In cytotoxicity assay, IC50 was 118+/-1.55 ug/mL in
CHO-k1 cells. Micronucleus test demonstrated that the plant extract is non
genotoxic. In subchronic toxicity studies, minor change was observed as an
increase in the urine output in the last 18 days of treatment with 500 mg/kg of
HEDb, while other parameters remained unchanged. All alterations observed were
discrete and minor in nature and were not accompanied by any relevant clinical
signs or any toxicologically significant biochemical, hematological and
histopathological changes. Preliminary phytochemical analysis revealed the
presence of aurones, chalcones, coumarins, flavonones, flavononoids, phenols, and
saponins. CONCLUSION: The results demonstrate high safety profile of HEDb in both
experimental animals and cell models assays. However, there may be risk of
hepatotoxicity in the use of the extract at high doses for a prolonged period.
PMID- 24933229
TI - Resins-based denture soft lining materials modified by chlorhexidine salt
incorporation: an in vitro analysis of antifungal activity, drug release and
hardness.
AB - OBJECTIVES: To evaluate the in vitro growth inhibition of Candida albicans, the
rate of chlorhexidine release and shore A hardness from resins-based denture soft
lining materials modified by chlorhexidine diacetate (CDA) or chlorhexidine
hydrochloride (CHC) incorporation. METHODS: Resin discs were prepared from soft
denture liners based on poly (methyl methacrylate) (PMMA) or poly (ethyl
methacrylate) (PEMA) containing 0.5, 1.0 and 2.0 wt.% of CDA or CHC. For
antifungal activity resin discs were placed on agar plates inoculated with C.
albicans, after 48 h at 37 degrees C the diameters of inhibition zones were
measured. For the chlorhexidine release, discs were immersed into distilled water
at 37 degrees C, and spectral measurements were made after 48 h. Shore A hardness
was evaluated at the baseline, 2 and 7 days, using 6mm thick rectangular
specimens also immersed into distilled water at 37 degrees C. Data were
statistically processed by SigmaStat software using ANOVA and all pairwise
multiple comparison procedures was done using the Holm-Sidak method, with
alpha=0.05 (p<0.001). RESULTS: CDA added to PMMA soft liner and PEMA soft liner
had a dose-related inhibitory effect on C. albicans and on chlorhexidine release
rate (p<0.001). The PMMA and PEMA hardness increased statistically by time but
not for the different CDA concentrations. CHC had no inhibitory effect on C.
albicans. SIGNIFICANCE: Chlorhexidine diacetate released from resins-based soft
lining materials can be convenient to reduce the biofilm development on the
material surface and treat denture stomatitis, without depending on patient
compliance.
PMID- 24933230
TI - Full-arch prostheses from translucent zirconia: accuracy of fit.
AB - OBJECTIVES: The aim of this study was to evaluate the marginal and internal fit
of single crowns, compared to 14-unit frameworks made of translucent yttria
stabilized zirconia. We hypothesized that there is an influence of the type of
restoration on the marginal and internal fit. METHODS: Eight teeth (FDI locations
17, 15, 13, 11, 21, 23, 25 and 27) of a typodont maxillary model were provided
with a chamfer preparation to accommodate a 14-unit prosthesis or four single
crowns (SCs). Ten 14-unit fixed dental prostheses (FDPs) and 40 single crowns
were fabricated using a computer aided design (CAD)/computer aided manufacturing
(CAM) system with pre-sintered translucent yttria-stabilized zirconia blanks. The
restorations were cemented onto twenty master dies, which were sectioned into
four pieces each. Then, the marginal and internal fits were examined using a
binocular microscope. In order to detect the differences between the two types of
restorations a non-parameteric test (Mann-Whitney-U) was carried out; to detect
differences between the abutment teeth and the abutment surfaces non-parametric
tests (Kruskal-Wallis) and pairwise post hoc analyses (Mann-Whitney-U) were
performed after testing data for normal distribution (method according to Shapiro
Wilk). Level of significance was set at 5%. RESULTS: The mean (SD) marginal
opening gap dimensions were 18 MUm (14) for the single crowns and 29 MUm (27) for
the 14-unit FDPs (p<0.001). Abutment 21 of the FDPs showed statistical
differences concerning the location of the teeth in both marginal and internal
fit (p<0.001). The measured gaps (types I-IV) revealed statistical differences
between all types, when comparing SCs to the FDPs (p<0.001). SIGNIFICANCE: Single
crowns showed significantly better accuracy of fit, compared to the 14-unit FDPs.
However, both restorations showed clinically acceptable marginal and internal
fit.
PMID- 24933231
TI - Associations between the common HNF1A gene variant p.I27L (rs1169288) and risk of
type 2 diabetes mellitus are influenced by weight.
AB - AIM: The common variants p.I27L (rs1169288), p.A98V (rs1800574) and p.S487N
(rs2464196) of the hepatocyte nuclear factor 1-alpha (HNF1A) gene have been
inconsistently associated with impaired glucose tolerance and/or an increased
risk of type 2 diabetes mellitus (T2DM). The present study aimed to investigate
whether these associations are affected by weight. METHODS: A cross-sectional
analysis was conducted among 861 Japanese subjects (males: 65.5%; 61.8+/
12.3years) attending a health-screening programme. Interactive effects between
HNF1A variants and weight status on risk of T2DM or dysglycaemic status were
determined. RESULTS: The 27L variant carriers were at higher risk of T2DM and
dysglycaemic status than non-carriers, but only in normal-weight subjects [odds
ratio (OR): 2.04, P=0.03 and OR: 2.56, P=0.01, respectively]. An interactive
effect of the p.I27L (rs1169288) variant and weight status on the risk of
dysglycaemic status was found (P=0.04). Age, but not body mass index (BMI), was a
risk factor for dysglycaemic status in the 27L carriers (OR: 1.05, P=0.0003),
whereas BMI was a risk factor in non-carriers (OR: 1.23, P=0.008). No carriers of
98V were identified, and 487N was not associated with either T2DM or dysglycaemic
status in our study population. CONCLUSION: These findings suggest that the HNF1A
p.I27L (rs1169288) variant may be a significant risk factor of T2DM in normal
weight subjects and that earlier inconsistent results may have been due, in part,
to subjects' weight status. Further investigations in larger cohorts are needed
to verify these findings.
PMID- 24933232
TI - Anti-sRAGE autoimmunity in obesity: downturn after bariatric surgery is
independent of previous diabetic status.
AB - AIM: Morbid obesity increases the risk of cardiovascular disease (CVD). The
receptor for advanced glycation end-products (RAGE) is implicated in
proinflammatory processes that underlie CVD. Its soluble form (sRAGE) has been
proposed as a vascular biomarker. Recently, anti-sRAGE autoantibodies were
described and found to be increased in diseases where RAGE is overexpressed. This
study aimed to investigate serum levels of anti-sRAGE autoantibodies in morbidly
obese patients. METHODS: After exclusion based on specific criteria, 150 subjects
(50 normoglycemics, 50 glucose-intolerants and 50 diabetics) were randomly
recruited from a cohort of 750 obese patients (ABOS). Serum sRAGE and anti-sRAGE
autoantibodies were measured before bariatric surgery. Sixty-nine patients were
followed for up to 1year after gastric bypass, and their levels of sRAGE and anti
sRAGE autoantibodies measured. The control group consisted of healthy blood
donors. RESULTS: Compared with controls, baseline levels of sRAGE and anti-sRAGE
autoantibodies were significantly higher in all obese patients independently of
glucose regulation (P<0.001). At 1year after gastric bypass, sRAGE and anti-sRAGE
were decreased (P<0.001). The decrease in anti-sRAGE autoantibodies was
correlated with an increase in high-density lipoprotein (HDL; P=0.02).
CONCLUSION: Independently of previous diabetic status, morbid obesity increases
sRAGE and anti-sRAGE levels. Weight loss after gastric bypass is followed by a
decrease in both titres. The decrease in anti-sRAGE correlates with an increase
in HDL.
PMID- 24933233
TI - Synthesis of the tetrasaccharide outer core fragment of Burkholderia multivorans
lipooligosaccharide.
AB - The first synthesis of the outer core fragment of Burkholderia multivorans
lipooligosaccharide [beta-D-Glc-(1->3)-alpha-D-GalNAc-(1->3)-beta-D-GalNAc-(1->3)
L-Rha] as alpha-allyl tetrasaccharide was accomplished. The glycosylations
involving GalNAc units were studied in depth testing them under several
conditions. This allowed the building of both the alpha- and the beta-configured
glycosidic bonds by employing the same GalNAc glycosyl donor, thus considerably
shortening the total number of synthetic steps. The target tetrasaccharide was
synthesized with an allyl aglycone to allow its future conjugation with an
immunogenic protein en route to the development of a synthetic neoglycoconjugate
vaccine against the Burkholderia cepacia pathogens.
PMID- 24933234
TI - Anomeric spirocycles by solvent incorporation: reactions of O-peracylated
(glyculopyranose and glyculopyranosyl bromide)onamide derivatives with ketones.
AB - Reactions of O-peracetylated (alpha-D-galacto-heptulopyranosyl bromide)onamide
and O-perbenzoylated (alpha-D-gluco-heptulopyranosyl bromide)onamide with ketones
in the presence of silver(I) salt promoters gave the corresponding O-peracylated
1',5'-anhydro-D-glycitol-spiro-[1',5]-4-imino-2,2-disubstituted-1,3-dioxolanes.
The D-galacto configured starting compounds furnished both spiro epimers, while
the D-gluco counterparts yielded only configurationally inverted products. Under
acidic conditions, O-perbenzoylated alpha-D-gluco-heptulopyranosonamide and
ketones yielded the protected 1',5'-anhydro-D-glucitol-spiro-[1',5]-2,2
disubstituted-oxazolidin-4-ones, which were O-debenzoylated by the Zemplen
protocol. These compounds had no inhibition against rabbit muscle glycogen
phosphorlyase b.
PMID- 24933235
TI - Consumer knowledge and attitudes toward nutritional labels.
AB - OBJECTIVE: To determine Mauritian consumers' attitudes toward nutritional labels
based on the Kano model and to identify determinants of the use and understanding
of nutrition labels. DESIGN: The researchers also used a Kano model questionnaire
to determine consumers' attitudes toward nutrition labeling. SETTING: Four
hundred consumers residing in Mauritius. PARTICIPANTS: Information was elicited
via a questionnaire that assessed nutritional knowledge and information about the
use and understanding of nutritional labels and demographic factors. MAIN OUTCOME
MEASURES: Nutritional label use and understanding, nutrition knowledge, and
association of demographic factors with label use. ANALYSIS: Statistical tests
performed included 1-way ANOVA and independent samples t tests. RESULTS:
Statistically significant relationships (P < .05) were found for nutritional
knowledge and nutritional label usage with demographic factors. All demographic
factors with the exception of gender were significantly associated (P < .05) with
nutritional label understanding. Based on the outcome of the Kano survey, calorie
content, trans fat content, protein content, and cholesterol content were found
to be must-be attributes: that is, attributes that, when not present, result in
consumer dissatisfaction. CONCLUSIONS AND IMPLICATIONS: Age, education, income,
household size, and nutrition knowledge had an impact on nutritional label use.
Health promoters should aim to increase the use of nutritional labels.
PMID- 24933236
TI - A true branchial fistula in the context of branchiootic syndrome: challenges of
diagnosis and management.
AB - BACKGROUND: The presence of a branchial fistula with communication both
internally and externally: a 'true' branchial fistula is rare, and may arise in
the context of autosomal dominant conditions such as branchiootic syndrome and
branchiootorenal syndrome. STUDY: We discuss the case of a true branchial
fistula, which recurred after initial surgical excision, in a patient with
branchiootic syndrome. The residual tract was dissected in a second operation
through stepladder neck incisions and removed in toto via an intraoral approach.
No renal abnormalities were detected on investigation with ultrasound.
DISCUSSION: Incomplete excision of a branchial sinus is likely to cause
recurrence however intraoperative visualisation of the tract can can sometimes
prove challenging. An combined intraoral and external approach aids delineation
and tract definition when there is a true branchial fistula and can therefore
facilitate a complete excision. Suspicion of an hereditary aetiology should be
raised in patients with bilateral or preauricular features, or a positive family
history, which may then prompt additional renal and genetic investigation.
PMID- 24933237
TI - Surgical treatment of severe hidradenitis suppurativa of the axilla:
thoracodorsal artery perforator (TDAP) flap versus split skin graft.
AB - BACKGROUND: Hidradenitis suppurativa (HS) is a chronic, inflammatory disease
affecting the apocrine glands of the axillary, groin and mammary regions with
significant physical and psychosocial sequelae. Surgical excision of the affected
tissue is the gold standard treatment. Severe axillary HS is associated with high
rates of recurrence and requires extensive surgical resection with challenging
reconstruction associated with risk of post-operative complications. The most
effective method for reconstruction of the axilla after excision of HS is yet to
be identified. We present a prospective observational study comparing thoraco
dorsal artery perforator (TDAP) flap and split-skin graft (SSG). METHODS: Over 4
years, we enrolled 27 consecutive patients with Hurley's Stage III HS of the
axilla who underwent surgical excision with reconstruction using either SSG
(n=12) or TDAP flap reconstruction (n=15). We evaluated and compared
intraoperative and post-operative data, quality of life (dermatology life quality
index questionnaire) and pain/discomfort (visual analogue scale) before and after
surgery. RESULTS: Patients who underwent TDAP flap reconstruction had
significantly faster recovery, fewer complications and fewer overall number of
procedures than those who underwent SSG reconstruction. All patients reported an
improved quality of life (QOL) after their operation and the TDAP group showed
significantly more improvement than the SSG group. All patients reported a
reduction in pain/discomfort but there was no significant difference between
groups. CONCLUSION: TDAP flap and SSG both improve QOL for patients with severe
axillary HS. The TDAP flap showed greater benefits in terms of QOL, recovery,
rate of complications and number of overall procedures.
PMID- 24933238
TI - No need to cut the nerve in LD reconstruction to avoid jumping of the breast: a
prospective randomized study.
AB - BACKGROUND AND AIM: It has been shown that the myocutaneous latissimus dorsi flap
volume and consistency remain mainly the same regardless the nerve is cut or not
in breast reconstruction. It is controversial how big an impact the flap
innervation has on the muscle activity of the flap. The aim of the study was to
prospectively evaluate the influence of latissimus dorsi flap innervation on the
functional and aesthetic outcome of delayed breast reconstruction. METHODS:
Between 2007 and 2008, 28 breast reconstructions were performed and randomly
divided into denervation group (surgical denervation by excision of 1 cm of
proximal thoracodorsal nerve, n=14) and innervation group (thoracodorsal nerve
saved intact, n=14). Patients were clinically evaluated and a questionnaire
considering functional and aesthetic outcome was filled 1-year after operation.
Muscular twitching, pain, tightness, shape and symmetry of the breasts were
evaluated. In addition, the mobility of the shoulder joint on the operated side
was evaluated and the patients self-estimated the activities of daily living.
RESULTS: There was no significant difference in latissimus dorsi flap twitching,
pain and tightness of the breast and symmetry and shape of the breasts between
denervated and innervated groups. The shoulder joint mobility was not found to be
changed significantly in either of the groups and there were no limitations in
activities of daily living. CONCLUSIONS: Thoracodorsal nerve division or
preservation does not significantly affect muscle contraction activity of the
latissimus dorsi flap and distortion of the breast when latissimus dorsi muscle
humeral insertion is also detached. Therefore, both cutting and saving the nerve
are justified in latissimus dorsi flap breast reconstruction depending on whether
the humeral insertion of the muscle is preserved intact or divided and the flap
islanded. The study shows that there is no tangible benefit in dividing the nerve
when the flap is islanded. Clinical trial has been registered in public trials
registry. Trial registry name is 'The significance of latissimus dorsi flap
innervation in delayed breast reconstruction'. Registration number is NCT01239524
and URL is https://register.clinicaltrials.gov.
PMID- 24933239
TI - The Tessier number 3 cleft: a report of 10 cases and review of literature.
AB - The Tessier number 3 cleft is one of the most intricate and destructive of all
facial clefts, presenting surgeons with a difficult task for reconstruction. We
present a series of 10 patients with this rare cleft all treated by a single
surgeon over 30 years. All patients with Tessier number 3 clefts treated between
1978 and 2008 by the senior surgeon were reviewed. Demographic data and all
associated clinical findings including cranial and extracranial anomalies were
recorded. Methods used to reconstruct each patient were also noted. Seven males
and three females were identified and age at initial treatment ranged from 12
months to 12 years. Mean follow-up was 6.3 years. Multiple craniofacial anomalies
were appreciated including other rare facial clefts, hypertelorbitism, lacrimal
obstruction, anophthalmia, choanal atresia, and hemifacial microsomia. Amniotic
banding was the most prominent extracranial finding noted in these patients.
Tessier number 3 clefts can be associated with multiple other craniofacial
anomalies making reconstruction challenging. Soft tissue and bony reconstruction
must be considered separately, and a variety of tools may be employed to
accomplish each goal. As the presentation can be highly variable, an
individualized treatment plan must be made to meet each patient's specific needs.
PMID- 24933240
TI - Unilateral approach for bilateral foramen decompression in minimally invasive
transforaminal interbody fusion.
AB - OBJECTIVE: To evaluate the efficiency and safety of a unilateral approach for
bilateral foramen decompression in minimally invasive transforaminal lumbar
interbody fusion (miTLIF) for the treatment of bilateral foraminal stenosis.
METHODS: Treatment of 52 levels of bilateral foraminal stenosis in 48 patients
was performed using a unilateral approach for bilateral foramen decompression in
miTLIF. The foramen areas on bilateral sides, the cross-sectional areas of the
dura mater, and the structural preservation of the multifidus muscle on bilateral
sides were compared preoperatively and 1 year postoperatively. Visual analog
scale scores of pain, 36-item short form health survey results, and complications
were recorded after reviewing patient charts. Fusion rates were evaluated 1 year
postoperatively. RESULTS: The average age of patients was 62.48 years. The mean
follow-up period was 12.78 months. The foramen areas on bilateral sides and the
cross-sectional area of the dura mater increased significantly postoperatively (P
< 0.05). Structural preservation of the multifidus muscle was observed in 20 of
54 levels (37%) on ipsilateral sides and in 48 of 54 levels (89%) on
contralateral sides. No major complications were observed, and the incidences of
minor complications and incidental durotomy were 28% and 4%, respectively.
Improvements in average visual analog scale pain and 36-item short form health
survey 6 (preoperative to 1 year postoperatively) scores were from 5.9 to 1.8 (P
< 0.05) and from 51.3 to 71.3 (P < 0.01), respectively. The radiologic criteria
of bony fusion were met by 40 patients (83%). CONCLUSIONS: A unilateral approach
for bilateral foramen decompression in miTLIF provides a safe and efficient
method for the treatment of bilateral foramen stenosis in patients with
degenerative spinal disorders.
PMID- 24933241
TI - Cost savings associated with antibiotic-impregnated shunt catheters in the
treatment of adult and pediatric hydrocephalus.
AB - BACKGROUND: Cerebrospinal fluid (CSF) shunt infection is a major cause of
morbidity and mortality in the treatment of hydrocephalus and is associated with
significant medical cost. Several studies have demonstrated the efficacy of
antibiotic-impregnated (AI) shunt catheters in reducing CSF shunt infection;
however, providers remain reluctant to adopt AI catheters into practice because
of the increased upfront cost. The objective of this study was to determine if
the use of AI catheters provided cost savings in a large nationwide database.
METHODS: Hospital discharge and billing records from the Premier Perspective
Database from 2003-2009 were retrospectively reviewed to identify all adult and
pediatric patients undergoing de novo ventricular shunt placement. The incidence
of shunt infection within 1 year of implantation was determined. Shunt infection
related cost was defined as all inpatient billing costs incurred during
hospitalization for treatment of shunt infection. RESULTS: In 287 U.S. hospitals,
10,819 adult (AI catheters, 963; standard catheters, 9856) and 1770 pediatric (AI
catheters, 229; standard catheters, 1541) patients underwent ventricular shunt
placement. AI catheters were associated with significant reduction in infection
for both adult (2.2% vs. 3.6%, P = 0.02) and pediatric (2.6% vs. 7.1%, P < 0.01)
patients. Total infection-related costs were $17,371,320 ($45,714 +/- $49,745 per
shunt infection) for adult patients and $6,508,064 ($56,104 +/- $65,746 per shunt
infection) for pediatric patients. Infection-related cost per 100 de novo shunts
placed was $120,534 for AI catheters and $162,659 for standard catheters in adult
patients and $165,087 for AI catheters and $395,477 for standard catheters in
pediatric patients. CONCLUSIONS: In analysis of this large, nationwide database,
AI catheters were found to be associated with a significant reduction in
infection incidence, resulting in tremendous cost savings. AI catheters were
associated with a cost savings of $42,125 and $230,390 per 100 de novo shunts
placed in adult and pediatric patients, respectively.
PMID- 24933242
TI - The efficacy of bypass surgery using a short interposition graft for the
treatment of intracranial complex aneurysm.
AB - OBJECTIVE: To evaluate the efficacy of a short interposition graft using
saphenous vein or radial artery to connect the proximal superficial temporal
artery and the M2 segments of the middle cerebral artery for the treatment of
complex intracranial aneurysm. METHODS: From March 2007 to February 2012, short
interposition graft bypass operations were performed in 13 patients with complex
intracranial aneurysms. There were 6 ruptured aneurysms, including blood blister
like aneurysms in 3 patients, giant aneurysms in 2 patients, and fusiform
dissecting aneurysm in 1 patient. Among 7 patients with unruptured aneurysms,
there were 5 giant aneurysms and 2 large fusiform aneurysms in the M2 segment.
RESULTS: Parent artery occlusion with endovascular coiling (3 patients) or
trapping by direct clipping (10 patients) was used to treat complex cerebral
aneurysms. Complete occlusion of the aneurysm was demonstrated in 11 patients
(85%); the other 2 patients did not demonstrate occlusion immediately
postoperatively. Except for 2 patients who presented with poor-grade subarachnoid
hemorrhage, patients (n = 11; 85%) demonstrated good scores on the Glasgow
Outcome Scale. No new neurologic deficits developed in relation to insufficient
blood flow through the bypass graft. In all 13 patients, graft patency was good
at long-term follow-up (overall mean follow-up, 28.2 months). CONCLUSIONS:
Superficial temporal artery-middle cerebral artery bypass surgery using a short
interposition graft for intracranial complex aneurysms seems to be safe and
efficient hemodynamically after occlusion of the parent artery. It could be
regarded as a good alternative to high-flow bypass surgery.
PMID- 24933243
TI - Parental acceptance of minimally invasive fetal and neonatal autopsy compared
with conventional autopsy.
AB - OBJECTIVE: To determine parental acceptance of minimally invasive autopsy (MIA)
involving postmortem imaging and organ tissue sampling compared with conventional
autopsy and to compare the acceptability of percutaneous versus laparoscopic
guided biopsy. METHODS: Following termination of pregnancy parents were offered
the option of traditional autopsy and subsequently interviewed about their
acceptance of MIA. The McNemar test for paired samples was used to assess the
difference in acceptance of MIA and conventional autopsy. The Wilcoxon signed
rank test for paired samples was used to compare the acceptance score for
percutaneous versus laparoscopic-guided biopsy. Logistic regression was selected
to study the association of parental acceptance of conventional autopsy and MIA
with different variables. RESULTS: Conventional autopsy was accepted by 42
(60.0%) of the 70 parents. Regression analysis showed that non-Muslim faith was
the only factor significantly associated with acceptance of conventional autopsy
(p = 0.030). Of 28 parents who initially refused conventional autopsy, 13(46.4%)
subsequently accepted MIA, increasing acceptance to 78.6% (p < 0.001). Regression
analysis showed that none of the factors significantly affected MIA acceptance.
Parents expressed no preference between postmortem percutaneous versus
laparoscopic-guided biopsy (p = 0.061). CONCLUSION: Post-mortem imaging combined
with systematic organ biopsies is highly acceptable among all parents independent
of their religion and the method used for organ biopsy.
PMID- 24933246
TI - Functional cognitive and cortical abnormalities in chronic and first-admission
schizophrenia.
AB - Evoked and induced event-related neural oscillations have recently been proposed
as a key mechanism supporting higher-order cognition. Cognitive decay and
abnormal electromagnetic sensory gating reliably distinguish schizophrenia (SZ)
patients and healthy individuals, demonstrated in chronic (CHR) and first
admission (FA) patients. Not yet determined is whether altered event-related
modulation of oscillatory activity is manifested at early stages of SZ, thus
reflects and perhaps embodies the development of psychopathology, and provides a
mechanism for the gating deficit. The present study compared behavioral and
functional brain measures in CHR and FA samples. Cognitive test performance
(MATRICS Consortium Cognitive Battery, MCCB), neuromagnetic event-related fields
(M50 gating ratio), and oscillatory dynamics (evoked and induced modulation of 8
12Hz alpha) during a paired-click task were assessed in 35 CHR and 31 FA patients
meeting the criteria for ICD-10 diagnoses of schizophrenia as well as 28 healthy
comparison subjects (HC). Both patient groups displayed poorer cognitive
performance, higher M50 ratio (poorer sensory gating), and less induced
modulation of alpha activity than did HC. Induced alpha power decrease in
bilateral posterior regions varied with M50 ratio in HC but not SZ, whereas
orbitofrontal alpha power decrease was related to M50 ratio in SZ but not HC.
Results suggest disruption of oscillatory dynamics at early stages of illness,
which may contribute to deficient information sampling, memory updating, and
higher cognitive functioning.
PMID- 24933247
TI - FKBP51 controls cellular adipogenesis through p38 kinase-mediated phosphorylation
of GRalpha and PPARgamma.
AB - Glucocorticoid receptor-alpha (GRalpha) and peroxisome proliferator-activated
receptor-gamma (PPARgamma) are critical regulators of adipogenic responses. We
have shown that FK506-binding protein 51 (FKBP51) represses the Akt-p38 kinase
pathway to reciprocally inhibit GRalpha but stimulate PPARgamma by targeting
serine 112 (PPARgamma) and serines 220 and 234 (GRalpha). Here, this mechanism is
shown to be essential for GRalpha and PPARgamma control of cellular adipogenesis.
In 3T3-L1 cells, FKBP51 was a prominent marker of the differentiated state and
knockdown of FKBP51 showed reduced lipid accumulation and expression of
adipogenic genes. Compared with wild-type (WT), FKBP51 knockout (51KO) mouse
embryonic fibroblasts (MEFs) showed dramatic resistance to differentiation, with
almost no lipid accumulation and greatly reduced adipogenic gene expression.
These features were rescued by reexpression of FKBP51 in 51KO cells. 51KO MEFs
exhibited reduced fatty acid synthase activity, increased sensitivity to GRalpha
induced lipolysis, and reduced PPARgamma activity at adipogenic genes
(adiponectin, CD36, and perilipin) but elevated GRalpha transrepression at these
same genes. A p38 kinase inhibitor increased lipid content in WT cells and also
restored lipid levels in 51KO cells, showing that elevated p38 kinase activity is
a major contributor to adipogenic resistance in the 51KO cells. In 51KO cells,
the S112A mutant of PPARgamma and the triple S212A/S220A/S234A mutant of GRalpha
both increased lipid accumulation, identifying these residues as targets of the
FKBP51/p38 axis. Our combined investigations have uncovered FKBP51 as a key
regulator of adipogenesis via the Akt-p38 pathway and as a potential target in
the treatment of obesity and related disorders.
PMID- 24933244
TI - Sleep quality and body mass index in college students: the role of sleep
disturbances.
AB - OBJECTIVE: Obesity and its comorbidities have emerged as a leading public health
concern. The aim of this study was to explore the relationship between body mass
index (BMI) and sleep patterns, including duration and disturbances. METHODS: A
convenience sample of 515 college students completed an online survey consisting
of the Pittsburgh Sleep Quality Index (PSQI), and self-reported height and weight
to calculate BMI. Univariate and multivariate logistic regression analyses were
performed using components of the PSQI as predictors of overweight (BMI >= 25).
RESULTS: One-third of the participants had BMI >= 25, and 51% were poor-quality
sleepers (PSQI > 5). Controlling for age and sex, only sleep disturbances were
associated with overweight (odds ratio = 1.66, 95% confidence interval [1.08,
2.57]). CONCLUSIONS: Sleep disturbances, rather than sleep duration, predicted
overweight among young adults; this is consistent with the most recent evidence
in the literature. These findings support expanding the scope of wellness
programs to promote healthy sleep among students.
PMID- 24933248
TI - FKBP51 reciprocally regulates GRalpha and PPARgamma activation via the Akt-p38
pathway.
AB - FK506-binding protein 51 (FKBP51) is a negative regulator of glucocorticoid
receptor-alpha (GRalpha), although the mechanism is unknown. We show here that
FKBP51 is also a chaperone to peroxisome proliferator-activated receptor-gamma
(PPARgamma), which is essential for activity, and uncover the mechanism
underlying this differential regulation. In COS-7 cells, FKBP51 overexpression
reduced GRalpha activity at a glucocorticoid response element-luciferase
reporter, while increasing PPARgamma activity at a peroxisome proliferator
response element reporter. Conversely, FKBP51-deficient (knockout) (51KO) mouse
embryonic fibroblasts (MEFs) showed elevated GRalpha but reduced PPARgamma
activities compared with those in wild-type MEFs. Phosphorylation is known to
exert a similar pattern of reciprocal modulation of GRalpha and PPARgamma.
Knockdown of FKBP51 in 3T3-L1 preadipocytes increased phosphorylation of
PPARgamma at serine 112, a phospho-residue that inhibits activity. In 51KO cells,
elevated phosphorylation of GRalpha at serines 220 and 234, phospho-residues that
promote activity, was observed. Because FKBP51 is an essential chaperone to the
Akt-specific phosphatase PH domain leucine-rich repeat protein phosphatase, Akt
signaling was investigated. Elevated Akt activation and increased activation of
p38 kinase, a downstream target of Akt that phosphorylates GRalpha and PPARgamma,
were seen in 51KO MEFs, causing activation and inhibition, respectively.
Inactivation of p38 with PD169316 reversed the effects of FKBP51 deficiency on
GRalpha and PPARgamma activities and reduced PPARgamma phosphorylation. Last,
loss of FKBP51 caused a shift of PPARgamma from cytoplasm to nucleus, as
previously shown for GRalpha. A model is proposed in which FKBP51 loss
reciprocally regulates GRalpha and PPARgamma via 2 complementary mechanisms:
activation of Akt-p38-mediated phosphorylation and redistribution of the
receptors to the nucleus for direct targeting by p38.
PMID- 24933252
TI - Optimal immunosuppression for HIV-positive kidney transplants: long-term
randomized controlled trials needed.
PMID- 24933253
TI - The authors' reply.
PMID- 24933249
TI - Research resource: Gene profiling of G protein-coupled receptors in the arcuate
nucleus of the female.
AB - The hypothalamic arcuate nucleus controls many critical homeostatic functions
including energy homeostasis, reproduction, and motivated behavior. Although G
protein-coupled receptors (GPCRs) are involved in the regulation of these
functions, relatively few of the GPCRs have been identified specifically within
the arcuate nucleus. Here, using TaqMan low-density arrays we quantified the mRNA
expression of nonolfactory GPCRs in mouse arcuate nucleus. An unprecedented
number of GPCRs (total of 292) were found to be expressed, of which 183 were
known and 109 were orphan GPCRs. The known GPCR genes expressed were classified
into several functional clusters including hormone/neurotransmitter, growth
factor, angiogenesis and vasoactivity, inflammation and immune system, and lipid
messenger receptors. The plethora of orphan genes expressed in the arcuate
nucleus were classified into 5 structure-related classes including class A
(rhodopsin-like), class B (adhesion), class C (other GPCRs), nonsignaling 7
transmembrane chemokine-binding proteins, and other 7-transmembrane proteins.
Therefore, for the first time, we provide a quantitative estimate of the numerous
GPCRs expressed in the hypothalamic arcuate nucleus. Finally, as proof of
principle, we documented the expression and function of one of these receptor
genes, the glucagon-like peptide 1 receptor (Glp1r), which was highly expressed
in the arcuate nucleus. Single-cell RT-PCR revealed that Glp1r mRNA was localized
in proopiomelanocortin neurons, and using whole-cell recording we found that the
glucagon-like peptide 1-selective agonist exendin-4 robustly excited
proopiomelanocortin neurons. Thus, the quantitative GPCR data emphasize the
complexity of the hypothalamic arcuate nucleus and furthermore provide a valuable
resource for future neuroendocrine/endocrine-related experiments.
PMID- 24933254
TI - Multiple Aspergillus brain abscesses after liver transplantation.
PMID- 24933255
TI - Update on the provisional estimation of developing iatrogenic variant Creutzfeldt
Jakob disease from human islet cell transplantation procedures.
PMID- 24933256
TI - Late donor bone marrow failure after allogeneic hematopoietic stem cell
transplantation.
PMID- 24933257
TI - Measurement of cooling and warming rates in vitrification-based plant
cryopreservation protocols.
AB - Cryopreservation protocols include the use of additives and pretreatments aimed
to reduce the probability of ice nucleation at all temperatures, mainly through
micro-viscosity increase. Still, there is a risk of ice formation in the
temperature region comprised between the equilibrium freezing (Tf ) and the glass
transition (TG ) temperatures. Consequently, fast cooling and warming, especially
in this region, is a must to avoid ice-derived damage. Vitrification and droplet
vitrification techniques, frequently used cryopreservation protocols based in
fast cooling, were studied, alongside with the corresponding warming procedures.
A very fast data acquisition system, able to read very low temperatures, down to
that of liquid nitrogen, was employed. Cooling rates, measured between -20
degrees C and -120 degrees C, ranged from ca. 5 degrees C s(-1) to 400 degrees C
s(-1) , while warming rates spanned from ca. 2 degrees C s(-1) to 280 degrees C
s(-1) , for the different protocols and conditions studied. A wider measuring
window (0 degrees C to -150 degrees C) produced lower rates for all cases. The
cooling and warming rates were also related to the survival observed after the
different procedures. Those protocols with the faster rates yielded the highest
survival percentages.
PMID- 24933258
TI - Control of protein function through optochemical translocation.
AB - Controlled manipulation of proteins and their function is important in almost all
biological disciplines. Here, we demonstrate control of protein activity with
light. We present two different applications-light-triggered transcription and
light-triggered protease cleavage-both based on the same concept of protein
mislocation, followed by optochemically triggered translocation to an active
cellular compartment. In our approach, we genetically encode a photocaged lysine
into the nuclear localization signal (NLS) of the transcription factor SATB1.
This blocks nuclear import of the protein until illumination induces caging group
removal and release of the protein into the nucleus. In the first application,
prepending this NLS to the transcription factor FOXO3 allows us to optochemically
switch on its transcription activity. The second application uses the developed
light-activated NLS to control nuclear import of TEV protease and subsequent
cleavage of nuclear proteins containing TEV cleavage sites. The small size of the
light-controlled NLS (only 20 amino acids) minimizes impact of its insertion on
protein function and promises a general approach to a wide range of optochemical
applications. Since the light-activated NLS is genetically encoded and optically
triggered, it will prove useful to address a variety of problems requiring
spatial and temporal control of protein function, for example, in stem-cell,
developmental, and cancer biology.
PMID- 24933259
TI - Primary liver cells cultured on carbon nanotube substrates for liver tissue
engineering and drug discovery applications.
AB - Here, we explore the use of two- and three-dimensional scaffolds of multiwalled
carbon nanotubes (MWNTs) for hepatocyte cell culture. Our objective is to study
the use of these scaffolds in liver tissue engineering and drug discovery. In our
experiments, primary rat hepatocytes, the parenchymal (main functional) cell type
in the liver, were cultured on aligned nanogrooved MWNT sheets, MWNT yarns, or
standard 2-dimensional culture conditions as a control. We find comparable cell
viability between all three culture conditions but enhanced production of the
hepatocyte-specific marker albumin for cells cultured on MWNTs. The basal
activity of two clinically relevant cytochrome P450 enzymes, CYP1A2 and CYP3A4,
are similar on all substrates, but we find enhanced induction of CYP1A2 for cells
on the MWNT sheets. Our data thus supports the use of these substrates for
applications including tissue engineering and enhancing liver-specific functions,
as well as in in vitro model systems with enhanced predictive capability in drug
discovery and development.
PMID- 24933260
TI - Barriers to mental health treatment for military wives.
AB - OBJECTIVE: An Internet-based survey sought information about barriers to mental
health services for military wives. METHODS: On the basis of qualitative work, an
Internet-based program was created to identify military wives who may have major
depressive disorder. RESULTS: Women (N=569, ages 18 to 56) were recruited from 45
states and eight foreign countries. Most participants (78%) reported mild to
severe depression. Many (44%) reported unaddressed mental health needs. Barriers
included inability to attend daytime appointments (38%), inability to find a
counselor who understands the needs of military spouses (35%), inability to find
a counselor the participant could trust (29%), concerns about confidentiality
(26%), and lack of knowledge about where to get services (25%). The barriers
reported differed markedly from those described by distressed women in the
general population. CONCLUSIONS: Military wives are an underserved population.
Knowledge of military culture is essential for civilian mental health providers
working with military wives.
PMID- 24933261
TI - Structure of kif14: an engaging molecular motor.
PMID- 24933262
TI - Template-free synthesis of mesoporous polymers.
AB - Mesoporous polyacrylamides (PAMs) with tunable porosities were synthesized in 1
alkyl-3-methylimidazolium tetrafluoroborates ([Cnmim][BF4], n = 4, 6, 8, 10). The
as-synthesized PAM was used as a support for Pd nanoparticles and the Pd/PAM
composite showed high catalytic activity and selectivity for the hydrogenation of
p-chloronitrobenzene reaction to yield p-chloroaniline.
PMID- 24933263
TI - Individual effects of seasonal changes, visitor density, and concurrent bear
behavior on stereotypical behaviors in captive polar bears (Ursus maritimus).
AB - Stereotypical behaviors in captive polar bears (Ursus maritimus) can be
detrimental to their welfare. These behaviors can be reduced through enrichment
programs but are often not completely eliminated, so identifying potential
triggers is important. The present study investigated the influences of seasonal
changes, visitor density, and concurrent bear activity on stereotypical behaviors
exhibited by 3 captive polar bears at the Toronto Zoo. All bears exhibited these
behaviors; however, individual differences were found in duration and form. The
male exhibited less stereotypical behavior during spring, and the females
exhibited less stereotypical behavior during winter. An increase in visitor
density was associated with more stereotypical behavior in 1 female but less
stereotypical behavior in the other 2 bears. All bears engaged in more
stereotypical behaviors when the other bears were inactive, and 1 female engaged
in more stereotypical behaviors when the other bears were out of sight. Further,
when conspecifics were active, all bears engaged in less stereotypical behaviors.
Given the variability among individual bears, future enrichment programs must be
tailored to the needs of individuals to maximize efficacy.
PMID- 24933264
TI - Exploring universal patterns in human home-work commuting from mobile phone data.
AB - Home-work commuting has always attracted significant research attention because
of its impact on human mobility. One of the key assumptions in this domain of
study is the universal uniformity of commute times. However, a true comparison of
commute patterns has often been hindered by the intrinsic differences in data
collection methods, which make observation from different countries potentially
biased and unreliable. In the present work, we approach this problem through the
use of mobile phone call detail records (CDRs), which offers a consistent method
for investigating mobility patterns in wholly different parts of the world. We
apply our analysis to a broad range of datasets, at both the country (Portugal,
Ivory Coast, and Saudi Arabia), and city (Boston) scale. Additionally, we compare
these results with those obtained from vehicle GPS traces in Milan. While
different regions have some unique commute time characteristics, we show that the
home-work time distributions and average values within a single region are indeed
largely independent of commute distance or country (Portugal, Ivory Coast, and
Boston)-despite substantial spatial and infrastructural differences. Furthermore,
our comparative analysis demonstrates that such distance-independence holds true
only if we consider multimodal commute behaviors-as consistent with previous
studies. In car-only (Milan GPS traces) and car-heavy (Saudi Arabia) commute
datasets, we see that commute time is indeed influenced by commute distance.
Finally, we put forth a testable hypothesis and suggest ways for future work to
make more accurate and generalizable statements about human commute behaviors.
PMID- 24933265
TI - Age-related changes in intracortical inhibition are mental-cognitive state
dependent.
AB - INTRODUCTION: This study aimed to assess the impact of aging and 'state
dependency' on cortical excitability. Two studies investigated these factors
using a motor task and found that the age-related differences observed at rest
disappeared in the task condition. However, as both their tasks and excitability
measurements involved the motor cortex, their results could be specific only to
the motor system. To overcome this problem, the present study used a cognitive
task to control mental state. METHOD: Intracortical inhibition/facilitation
(ICI/ICF) were assessed in young and older adults at rest and during the
cognitive task. The cortical silent period (CSP) was also evaluated. RESULTS: ICI
and CSP were reduced with aging. However, ICI differences between young and old
people disappeared when they performed the cognitive task. CONCLUSION: Age and
mental state modify cortical excitability. Taking these factors into
consideration is crucial to clinical research using cortical excitability as a
possible biomarker of pathology.
PMID- 24933266
TI - Quantitative proteome profiling of CNS-infiltrating autoreactive CD4+ cells
reveals selective changes during experimental autoimmune encephalomyelitis.
AB - Experimental autoimmune encephalomyelitis (EAE) is a murine model of multiple
sclerosis, a chronic neurodegenerative and inflammatory autoimmune condition of
the central nervous system (CNS). Pathology is driven by the infiltration of
autoreactive CD4(+) lymphocytes into the CNS, where they attack neuronal sheaths
causing ascending paralysis. We used an isotope-coded protein labeling approach
to investigate the proteome of CD4(+) cells isolated from the spinal cord and
brain of mice at various stages of EAE progression in two EAE disease models:
PLP139-151-induced relapsing-remitting EAE and MOG35-55-induced chronic EAE,
which emulate the two forms of human multiple sclerosis. A total of 1120 proteins
were quantified across disease onset, peak-disease, and remission phases of
disease, and of these 13 up-regulated proteins of interest were identified with
functions relating to the regulation of inflammation, leukocyte adhesion and
migration, tissue repair, and the regulation of transcription/translation.
Proteins implicated in processes such as inflammation (S100A4 and S100A9) and
tissue repair (annexin A1), which represent key events during EAE progression,
were validated by quantitative PCR. This is the first targeted analysis of
autoreactive cells purified from the CNS during EAE, highlighting fundamental
CD4(+) cell-driven processes that occur during the initiation of relapse and
remission stages of disease.
PMID- 24933267
TI - Marriage, cohabitation, and men's use of preventive health care services.
AB - Previous research has demonstrated that married men are more likely than not
married men to seek preventive health care services because their spouses
encourage them to do so (1,2). It was not known, however, whether cohabiting
partners of not-married men play a health-promoting role similar to that of
spouses. With data from the 2011-2012 National Health Interview Survey (NHIS),
selected measures of preventive health care service use were compared for three
groups of men aged 18-64: married men (defined as those living with a spouse),
cohabiting men (defined as those living with a partner who is not a spouse), and
other not-married men. The consistency of observed differences by age and health
insurance coverage status was also investigated.
PMID- 24933268
TI - Shear wave elastography contribution in ultrasound diagnosis management of breast
lesions.
AB - PURPOSE: To determine the diagnosis performance of shear wave elastography in the
differentiation of benign and malignant breast lesions and the factors
influencing the elasticity values. To suggest an appropriate management of breast
lesions using the ultrasound-elastography combination. PATIENTS AND METHODS:
Monocentric retrospective study of 167 breast lesions classified by conventional
ultrasound as BI-RADS category 3 or higher that underwent an elastography study
and histological analysis. RESULTS: The analysis of qualitative parameters,
according to the classification established in this study, allows us to obtain a
sensitivity of 91.1% and a specificity of 92.3%. These values are very close to
or better than the quantitative parameters Emax and Emean. Different Emax
thresholds values were established based on the long axis of the lesion and its
palpable character, which appeared to be significant factors influencing
elasticity. The management of breast lesions by combining ultrasound and
elastography, as proposed here, allows us to keep the sensitivity of an
ultrasound (96%), while doubling its specificity (86.2% versus 43.1%).
CONCLUSION: With the complementary nature of their performance, the combination
of conventional ultrasound and shear wave elastography can improve the management
of breast lesions. The qualitative classification proposed appears to be relevant
assistance in lesion characterization.
PMID- 24933269
TI - Advanced technologies applied to physiopathological analysis of central nervous
system aneurysms and vascular malformations.
AB - While depiction and definition of morphological and architectural characteristics
of CNS vascular disorders remains the first step of an MR analysis, emerging
imaging techniques offer new functional information that might help to
characterize rupture risk of CNS vascular disorders. Two main orientations are
suggested by recent studies: inflammation of the vessel wall and analysis of
physical constraints of blood flow using 4D flow imaging (shear parietal). This
paper will focus on radiological application of 4D flow imaging and inflammation
imaging, in the characterization of potential prognostic markers of CNS vascular
disorders. We will review the basic technical considerations of 4D flow MRA,
inflammation imaging and discuss their applications in CNS vascular disorders:
aneurysms, arteriovenous malformation, dural arteriovenous fistulas. We will
illustrate their potential in the development of individual rupture risk criteria
in brain vascular disorders.
PMID- 24933270
TI - Treatment of hepatic and pulmonary metastases with radiofrequency.
AB - Although metastatic disease indicates diffusion of a cancer at a distance from
its site of origin, in some cases pulmonary and hepatic metastases are isolated
and slowly progressive, making them suitable for local treatment. Thermo-ablation
techniques are associated with low morbidity and reduced collateral parenchymal
damage; they therefore play an important role in such patients, where the disease
is slow and chronic, requiring repeated local treatments. Unlike radiotherapy, a
second treatment is possible in the event of local failure.
PMID- 24933271
TI - Exposure to mutagenic disinfection byproducts leads to increase of antibiotic
resistance in Pseudomonas aeruginosa.
AB - Bacterial antibiotic resistance (BAR) in drinking water has become a global issue
because of its risks on the public health. Usually, the antibiotic concentrations
in drinking water are too low to select antibiotic resistant strains effectively,
suggesting that factors other than antibiotics would contribute to the emergence
of BAR. In the current study, the impacts of mutagenic disinfection byproducts
(DBPs) on BAR were explored, using four typical DBPs: dibromoacetic acid,
dichloroacetonitrile, potassium bromate, and 3-chloro-4-(dichloromethyl)-5
hydroxy-2(5H)-furanone (MX). After exposure to DBPs, resistances to 10 individual
antibiotics and multiple antibiotics were both raised by various levels,
norfloxacin and polymycin B resistances were enhanced even greater than 10-fold
compared with control. MX increased the resistance most observably in the
selected DBPs, which was consistent with its mutagenic activity. The resistant
mutants showed hereditary stability during 5-day culturing. The increase of BAR
was caused by the mutagenic activities of DBPs, since mutation frequency declined
by adding ROS scavenger. Mutagenesis was further confirmed by sequencing of the
related genes. Our study indicated that mutagenic activities of the selected DBPs
could induce antibiotic resistance, even multidrug resistance, which may
partially explain the lack of agreement between BAR and antibiotic levels in
drinking water.
PMID- 24933272
TI - No one knew.
PMID- 24933273
TI - A Protein Data Bank survey reveals shortening of intermolecular hydrogen bonds in
ligand-protein complexes when a halogenated ligand is an H-bond donor.
AB - Halogen bonding in ligand-protein complexes is currently widely exploited, e.g.
in drug design or supramolecular chemistry. But little attention has been
directed to other effects that may result from replacement of a hydrogen by a
strongly electronegative halogen. Analysis of almost 30000 hydrogen bonds between
protein and ligand demonstrates that the length of a hydrogen bond depends on the
type of donor-acceptor pair. Interestingly, lengths of hydrogen bonds between a
protein and a halogenated ligand are visibly shorter than those estimated for the
same family of proteins in complexes with non-halogenated ligands. Taking into
account the effect of halogenation on hydrogen bonding is thus important when
evaluating structural and/or energetic parameters of ligand-protein complexes.
All these observations are consistent with the concept that halogenation
increases the acidity of the proximal amino/imino/hydroxyl groups and thus makes
them better, i.e. stronger, H-bond donors.
PMID- 24933275
TI - Using a five-gene phylogeny to test morphology-based hypotheses of Smittium and
allies, endosymbiotic gut fungi (Harpellales) associated with arthropods.
AB - Smittium, one of the first described genera of gut fungi, is part of a larger
group of endosymbiotic microorganisms (Harpellales) that live predominantly in
the digestive tracts of aquatic insects. As a diverse and species-rich taxon,
Smittium has helped to advance our understanding of the gut fungi, in part due to
the relative success of attempts to culture species of Smittium as compared to
other members of Harpellales. Approximately 40% of the 81 known species of
Smittium have been cultured. This is the first Smittium multigene dataset and
phylogenetic analysis, using the 18S and 28S rRNA genes, as well as RPB1, RPB2,
and MCM7 translated protein sequences. Several well-supported clades were
recovered within Smittium. One includes the epitype S. mucronatum (the "True
Smittium" clade), and another contains many species including S. simulii and S.
orthocladii (the "Parasmittium" clade). Ancestral states were reconstructed for
holdfast shape, thallus branching type, as well as asexual (trichospore) and
sexual (zygospore) spore morphology. Two of these characters, holdfast shape and
trichospore morphology, supported the split of the two main clades revealed by
the molecular phylogeny, suggesting these are natural clades and these traits may
have evolutionary and perhaps ecological significance.
PMID- 24933274
TI - Rule-based design of synthetic transcription factors in eukaryotes.
AB - To design and build living systems, synthetic biologists have at their disposal
an increasingly large library of naturally derived and synthetic parts. These
parts must be combined together in particular orders, orientations, and spacings
to achieve desired functionalities. These structural constraints can be viewed as
grammatical rules describing how to assemble parts together into larger
functional units. Here, we develop a grammar for the design of synthetic
transcription factors (sTFs) in eukaryotic cells and implement it within GenoCAD,
a Computer-Aided Design (CAD) software for synthetic biology. Knowledge derived
from experimental evidence was captured in this grammar to guide the user to
create designer transcription factors that should operate as intended. The
grammar can be easily updated and refined as our experience with using sTFs in
different contexts increases. In combination with grammars that define other
synthetic systems, we anticipate that this work will enable the more reliable,
efficient, and automated design of synthetic cells with rich functionalities.
PMID- 24933277
TI - The attentional boost effect in schizophrenia.
AB - The present study reports 2 experiments examining the Attentional Boost Effect
(ABE) in schizophrenic patients and matched healthy controls, using visual and
verbal materials. The ABE refers to the surprising finding that, in a divided
attention condition, images and words encoded with targets are remembered better
than images and words encoded with distractors. Unlike controls (who showed the
typical ABE), schizophrenic patients reported no memory advantage for stimuli
presented together with targets in the divided attention condition. On the other
hand, the interference effect on the recognition of stimuli presented with
distractors was not exacerbated in patients (as compared with controls). In line
with the dual-task interaction model proposed by Swallow and Jiang (2013), the
absence of a significant facilitation indicates that schizophrenic patients have
a deficit in the process of attentional enhancement triggered by target
detection. A number of neural mechanisms potentially underlying this impairment
are discussed, as well as implications for the characterization of the
attentional deficits involved in schizophrenia.
PMID- 24933276
TI - Cognitive load and emotional processing in generalized anxiety disorder:
electrocortical evidence for increased distractibility.
AB - Generalized anxiety disorder (GAD) may be characterized by emotion regulation
deficits attributable to an imbalance between top-down (i.e., goal-driven) and
bottom-up (i.e., stimulus-driven) attention. In prior work, these attentional
processes were examined by presenting unpleasant and neutral pictures within a
working memory paradigm. The late positive potential (LPP) measured attention
toward task-irrelevant pictures. Results from this prior work showed that working
memory load reduced the LPP across participants; however, this effect was
attenuated for individuals with greater self-reported state anxiety, suggesting
reduced top-down control. In the current study, the same paradigm was used with
106 medication-free female participants-71 with GAD and 35 without GAD.
Unpleasant pictures elicited larger LPPs, and working memory load reduced the
picture-elicited LPP. Compared with healthy controls, participants with GAD
showed large LPPs to unpleasant pictures presented under high working memory
load. Self-reported symptoms of anhedonic depression were related to a reduced
effect of working memory load on the LPP elicited by neutral pictures. These
results indicate that individuals with GAD show less flexible modulation of
attention when confronted with unpleasant stimuli. Furthermore, among those with
GAD, anhedonic depression may broaden attentional deficits to neutral
distracters.
PMID- 24933279
TI - Integrating oddity traits in a dimensional model for personality pathology
precursors.
AB - Current dimensional measures of early personality pathology (e.g., the
Dimensional Personality Symptom Item Pool, DIPSI; De Clercq, De Fruyt, Van
Leeuwen, & Mervielde, 2006) describe personality difficulties within a 4
dimensional framework. The present study corroborates recent evidence on the
relevance of including a 5th Oddity-related domain for a more comprehensive
description of personality pathology, and presents the construction of an
empirically based taxonomy of early Oddity features. Psychometric and factor
analytic procedures were conducted on self- and maternal ratings of adolescents
(N = 434), resulting in 4 internally consistent facets that empirically collapse
in 1 higher-order "Oddity" factor. From a structural perspective, this Oddity
factor emerged as a clear 5th factor beyond the earlier proposed 4-dimensional
structure of child and adolescent personality pathology. Significant associations
of Oddity with both general and maladaptive trait equivalents support the
construct validity of this 5th factor, and challenge current hypotheses on the
applicability of the continuity hypothesis on general and maladaptive trait
variance within the openness field. The results further suggest that Oddity
traits are meaningfully associated with general psychopathology at a young age.
These findings are discussed in terms of the importance of including a 5th Oddity
related factor in dimensional models of developmental personality pathology in
order to acquire a more comprehensive description of the building blocks that
underlie early personality difficulties.
PMID- 24933278
TI - The many faces of affect: a multilevel model of drinking frequency/quantity and
alcohol dependence symptoms among young adults.
AB - This research tested a multilevel structural equation model of associations
between 3 aspects of affective functioning (state affect, trait affect, and
affective lability) and 3 alcohol outcomes (likelihood of drinking, quantity on
drinking days, and dependence symptoms) in a sample of 263 college students.
Participants provided 49 days of experience sampling data over 1.3 years in a
longitudinal burst design. Within-person results: At the daily level, positive
affect was directly associated with greater likelihood and quantity of alcohol
consumption. Daily negative affect was directly associated with higher
consumption on drinking days and with higher dependence symptoms. Between-person
direct effects: Affect lability was associated with higher trait negative, but
not positive, affect. Trait positive affect was inversely associated with the
proportion of drinking days, whereas negative affectivity predicted a greater
proportion of drinking days. Affect lability exhibited a direct association with
dependence symptoms. Between-person indirect effects: Trait positive affect was
associated with fewer dependence symptoms via proportion of drinking days. Trait
negative affect was associated with greater dependence symptoms via proportion of
drinking days. The results distinguish relations of positive and negative affect
to likelihood versus amount of drinking and state versus trait drinking outcomes,
and highlight the importance of affect variability for predicting alcohol
dependence symptoms.
PMID- 24933280
TI - Out of the frying pan, into the fire: mixed affective reactions to social
proximity in borderline and avoidant personality disorders in daily life.
AB - Social proximity typically helps individuals meet their belongingness needs, but
several forms of psychopathology, including borderline and avoidant personality
disorders (BPD and APD, respectively) are characterized by social difficulties.
This experience-sampling study is one of the first to directly investigate the
affective reactions of individuals with BPD and APD (compared with healthy
controls [HC]) to social proximity in daily life. We examined both person-level
and day-level reactions. At the person level, the rate of social proximity across
the diary period was associated with diminished feelings of rejection, isolation,
shame, and dissociation in the HC group. In contrast, it was not associated with
any affective reaction in the BPD group, and was associated with decreased
rejection and isolation on the one hand, but also with increased anxiety in the
APD group. At the day level, we used multilevel regression to examine affective
reactions when in social proximity. The HC group showed a consistent benefit when
in social proximity. In contrast, both PD groups exhibited mixed affective
reactions to social proximity; specifically, benefits (increased positive affect,
decreased rejection, isolation, and dissociation) were interspersed with costs
(increased shame for both PD groups; increased anger for BPD; increased anxiety
for APD). The mixed reactions found in both PDs may contribute to the disturbed
relationships of individuals with these disorders.
PMID- 24933281
TI - Network analysis of persistent complex bereavement disorder in conjugally
bereaved adults.
AB - Persistent complex bereavement disorder (PCBD) is a bereavement-specific syndrome
characterized by prolonged and impairing grief. Most research on this syndrome
rests on the traditional latent variable model, whereby symptoms reflect an
underlying entity. The network (or causal system) approach offers an alternative
framework for understanding PCBD that does not suffer from limitations inherent
in the latent entity approach. The network approach to psychopathology
conceptualizes the relation between symptoms and disorder as mereological, not
reflective. That is, symptoms do not reflect an inferred, unobservable category
or dimension, but rather are themselves constitutive of the disorder.
Accordingly, we propose that PCBD constitutes a causal system of mutually
reinforcing symptoms that arise following the death of a loved one and settle
into a pathological equilibrium. In this study, we used data from the Changing
Lives of Older Couples database to identify symptoms central to PCBD, to
distinguish the PCBD network from an overlapping but distinct network of
depression symptoms, and to examine how previously identified risk factors may
contribute to the maintenance or development of PCBD. Together, these findings
provide an important first step toward understanding the nature and etiology of
the PCBD network.
PMID- 24933283
TI - Change in emotion regulation strategy use and its impact on adolescent
nonsuicidal self-injury: a three-year longitudinal analysis using latent growth
modeling.
AB - This longitudinal study examines the extent to which changes in the use of
cognitive reappraisal, expressive suppression, and rumination impact on
frequency, duration, and medical severity of nonsuicidal self-injury (NSSI) among
adolescents. Data from 3,143 predominantly female high school students recruited
from 40 Australian secondary schools were analyzed using latent growth curve
modeling. Significant differences in the psychological factors between the 555
participants with a history of NSSI and non-self-injurers were reported at
baseline. Self-injurers experienced significantly greater accumulation of life
stressors over time compared with non-self-injurers. After controlling for
adverse life events, psychological distress and other emotion regulation
strategies, use of cognitive reappraisal at baseline was associated with less
severe NSSI presentations, and slower growth in medical severity of NSSI over
time. Findings indicate that while both cohorts have similar emotion regulation
trajectories, adolescents who self-injure start off at a disadvantage and have a
propensity to engage in less helpful processes that tend to heighten negative
emotional states. Results recommend increasing focus on improving adolescents'
frequency and skills in use of cognitive reappraisal in efforts to reduce NSSI
among this population.
PMID- 24933282
TI - Response monitoring and adjustment: differential relations with psychopathic
traits.
AB - Studies on the relation between psychopathy and cognitive functioning often show
mixed results, partially because different factors of psychopathy have not been
considered fully. Based on previous research, we predicted divergent results
based on a 2-factor model of psychopathy (interpersonal-affective traits and
impulsive-antisocial traits). Specifically, we predicted that the unique variance
of interpersonal-affective traits would be related to increased monitoring (i.e.,
error-related negativity) and adjusting to errors (i.e., posterror slowing),
whereas impulsive-antisocial traits would be related to reductions in these
processes. Three studies using a diverse selection of assessment tools, samples,
and methods are presented to identify response monitoring correlates of the 2
main factors of psychopathy. In Studies 1 (undergraduates), 2 (adolescents), and
3 (offenders), interpersonal-affective traits were related to increased
adjustment following errors and, in Study 3, to enhanced monitoring of errors.
Impulsive-antisocial traits were not consistently related to error adjustment
across the studies, although these traits were related to a deficient monitoring
of errors in Study 3. The results may help explain previous mixed findings and
advance implications for etiological models of psychopathy.
PMID- 24933284
TI - Chemical analysis and antihyperglycemic activity of an original extract from
burdock root (Arctium lappa).
AB - In the present study, we obtained a dried burdock root extract (DBRE) rich in
caffeoylquinic acids derivatives. We performed the chemical characterization of
DBRE and explored its antihyperglycemic potential in both in vitro and in vivo
experiments. Chemical analysis of DBRE using LC-MS and GC-MS revealed the
presence of a great majority of dicaffeoylquinic acid derivatives (75.4%) of
which 1,5-di-O-caffeoyl-4-O-maloylquinic acid represents 44% of the extract. In
the in vitro experiments, DBRE is able to increase glucose uptake in cultured L6
myocytes and to decrease glucagon-induced glucose output from rat isolated
hepatocytes together with a reduction of hepatic glucose 6-phosphatase activity.
DBRE did not increase insulin secretion in the INS-1 pancreatic beta-cell line.
In vivo, DBRE improves glucose tolerance both after intraperitoneal and oral
subchronic administration. In conclusion, our data demonstrate that DBRE
constitutes an original set of caffeoylquinic acid derivatives displaying
antihyperglycemic properties.
PMID- 24933285
TI - Ultrasound-accelerated versus standard catheter-directed thrombolysis in 102
patients with acute and subacute limb ischemia.
AB - PURPOSE: To compare the safety and efficacy of ultrasound-accelerated
thrombolysis (UAT) and standard catheter-directed thrombolysis (CDT) in patients
with acute and subacute limb ischemia. MATERIALS AND METHODS: Medical records of
all patients treated with thrombolysis for acute and subacute limb ischemia
between August 2005 and January 2012 were reviewed. Coprimary (increase in ankle
brachial index, degree of lysis) and secondary endpoints (technical success,
distal embolization, bleeding complications, need for additional interventions)
were assessed. UAT was performed in 75 patients, and CDT was performed in 27
patients. Patients' baseline demographic and clinical parameters and procedure
details, including lytic drug infusion rate (P = .704 and P = .987), total
infusion time (P = .787 and P = .377), and use of adjunctive procedures (P =
.457), did not differ significantly between the two groups. RESULTS: Complete
lysis was achieved in 72.0% (UAT) and 63.0% (CDT) of patients (P = .542);
hemodynamic success was achieved in 91.8% (UAT) and 92.3% (CDT) (P = .956).
Overall major and minor bleeding complications were observed in 6.9% (UAT) and
3.9% (CDT) of patients. Major (P = .075) and minor (P = .276) bleeding
independently did not differ between UAT and CDT. Major and minor bleeding
combined was lower: 6.7% (UAT) versus 22.2% (CDT) (P = .025). Overall target
vessel patency after 8.0 months (range, 1.5-20.5 mo) was 73.5%; target vessel
patency for UAT was 75.9% versus 64.3% for CDT (P = .379). Median long-term
survival was not significantly different between UAT and CDT: 3.6 years (range,
2.42-5.33 y) versus 1.8 years (range, 1.33-4.92 y) (P = .061). CONCLUSIONS: Both
UAT and CDT are safe and efficient treatment modalities for patients with acute
and subacute limb ischemia. The observed lower risk of total bleeding for UAT
versus CDT may warrant prospective comparative trials.
PMID- 24933286
TI - Ethosuximide reduces electrographical and behavioral correlates of alcohol
withdrawal seizure in DBA/2J mice.
AB - Chronic alcohol abuse depresses the nervous system and, upon cessation, rebound
hyperexcitability can result in withdrawal seizure. Withdrawal symptoms,
including seizures, may drive individuals to relapse, thus representing a
significant barrier to recovery. Our lab previously identified an upregulation of
the thalamic T-type calcium (T channel) isoform CaV3.2 as a potential contributor
to the generation and propagation of seizures in a model of withdrawal. In the
present study, we examined whether ethosuximide (ETX), a T-channel antagonist,
could decrease the severity of ethanol withdrawal seizures by evaluating
electrographical and behavioral correlates of seizure activity. DBA/2J mice were
exposed to an intermittent ethanol exposure paradigm. Mice were treated with
saline or ETX in each withdrawal period, and cortical EEG activity was recorded
to determine seizure severity. We observed a progression in seizure activity with
each successive withdrawal period. Treatment with ETX reduced ethanol withdrawal
induced spike and wave discharges (SWDs), in terms of absolute number, duration
of events, and contribution to EEG power in the 6-10 Hz frequency range. We also
evaluated the effects of ETX on handling-induced convulsions. Overall, we
observed a decrease in handling-induced convulsion severity in mice treated with
ETX. Our findings suggest that ETX may be a useful pharmacological agent for
studies of alcohol withdrawal and treatment of resulting seizures.
PMID- 24933287
TI - First llama (Lama glama) pregnancy obtained after in vitro fertilization and in
vitro culture of gametes from live animals.
AB - The aim of this study was to evaluate the developmental competence and pregnancy
rate of llama hatched blastocysts produced in vitro using gametes from live
animals and two different culture conditions. Fifteen adult females were
superstimulated with 1500 IU of eCG, eleven (73%) responded to the treatment and
were used as oocyte donors. Follicular aspiration was conducted by flank
laparotomy. Semen collections were performed under general anesthesia by
electroejaculation of the male. Sixty-six COCs were recovered from 77 aspirated
follicles (86% recovery) and were randomly placed in Fertil-TALP microdroplets
with the sperm suspension (20 * 10(6)live spermatozoa/ml). After 24 h, they were
placed in SOFaa medium supplemented with FCS and randomly assigned to one of two
culture conditions. Culture condition 1 (CC1) consisted of 6 days of culture
(n=28) and culture condition 2 (CC2) consisted of renewing the culture medium
every 48 h (n=35). In CC1, the blastocyst rate was 36% (10/28) and the hatched
blastocyst rate was 28% (8/28) whereas in CC2, the blastocyst rate was 34%
(12/35) and the hatched blastocyst rate was 20% (7/35) (p>0.05). No pregnancies
were obtained after embryo transfer (ET) of CC1 blastocysts (0/8) while one
pregnancy was obtained (1/7) after transferring a hatched blastocyst from CC2.
Forty-two days after the ET, the pregnancy was lost. This study represents the
first report of a pregnancy in the llama after intrauterine transfer of embryos
produced by in vitro fertilization using gametes from live animals.
PMID- 24933288
TI - Warm water bath stimulates phase-shifts of the peripheral circadian clocks in
PER2::LUCIFERASE mouse.
AB - Circadian clocks in the peripheral tissues of mice are known to be entrained by
pulse stimuli such as restricted feeding, novel wheel running, and several other
agents. However, there are no reports on high temperature pulse-mediated
entrainment on the phase-shift of peripheral clocks in vivo. Here we show that
temperature treatment of mice for two days at 41 degrees C, instead of 37 degrees
C, for 1-2 h during the inactive period, using a temperature controlled water
bath stimulated phase-advance of peripheral clocks in the kidney, liver, and
submandibular gland of PER2::LUCIFERASE mice. On the other hand, treatment for 2
days at 35 degrees C ambient room temperature for 2 h did not cause a phase
advance. Maintenance of mice at 41 degrees C in a water bath, sustained the core
body temperature at 40-41 degrees C. However, the use of 37 degrees C water bath
or the 35 degrees C ambient room temperature elevated the core body temperature
to 38.5 degrees C, suggesting that at least a core body temperature of 40-41
degrees C is necessary to cause phase-advance under light-dark cycle conditions.
The temperature pulse stimulation at 41 degrees C, instead of 37 degrees C water
bath for 2 h led to the elevated expression of Per1 and Hsp70 in the peripheral
tissue of mice. In summary, the present study demonstrates that transient high
temperature pulse using water bath during daytime causes phase-advance in mouse
peripheral clocks in vivo. The present results suggest that hot water bath may
affect the phase of peripheral clocks.
PMID- 24933289
TI - Predictive DFT-based approaches to charge and spin transport in single-molecule
junctions and two-dimensional materials: successes and challenges.
AB - CONSPECTUS: The emerging field of flexible electronics based on organics and two
dimensional (2D) materials relies on a fundamental understanding of charge and
spin transport at the molecular and nanoscale. It is desirable to make
predictions and shine light on unexplained experimental phenomena independently
of experimentally derived parameters. Indeed, density functional theory (DFT),
the workhorse of first-principles approaches, has been used extensively to model
charge/spin transport at the nanoscale. However, DFT is essentially a ground
state theory that simply guarantees correct total energies given the correct
charge density, while charge/spin transport is a nonequilibrium phenomenon
involving the scattering of quasiparticles. In this Account, we critically assess
the validity and applicability of DFT to predict charge/spin transport at the
nanoscale. We also describe a DFT-based approach, DFT+Sigma, which incorporates
corrections to Kohn-Sham energy levels based on many-electron calculations. We
focus on single-molecule junctions and then discuss how the important
considerations for DFT descriptions of transport can differ in 2D materials. We
conclude that when used appropriately, DFT and DFT-based approaches can play an
important role in making predictions and gaining insight into transport in these
materials. Specifically, we shall focus on the low-bias quasi-equilibrium regime,
which is also experimentally most relevant for single-molecule junctions. The
next question is how well can the scattering of DFT Kohn-Sham particles
approximate the scattering of true quasiparticles in the junction? Quasiparticles
are electrons (holes) that are surrounded by a constantly changing cloud of holes
(electrons), but Kohn-Sham particles have no physical significance. However, Kohn
Sham particles can often be used as a qualitative approximation to
quasiparticles. The errors in standard DFT descriptions of transport arise
primarily from errors in the Kohn-Sham energy levels (self-energy errors). These
errors are small in the strong-coupling regime where the molecular levels are
significantly broadened at the Fermi level but are large in the coherent off
resonant tunneling regime where DFT overestimates conductance by orders of
magnitude. The DFT+Sigma approach uses a physically motivated, parameter free
estimate of the self-energy corrections to correct the energy levels in DFT,
giving conductance in quantitative agreement with experiment for a large but
nonexhaustive class of single-molecule junctions. In 2D materials, the self
energy error is relatively small, and critical issues stem instead from the large
length scales in experiments, which make it necessary to consider band-bending
within the 2D material, as well as scattering due to electron-phonon
interactions, spin-flip interactions, defects, etc.
PMID- 24933290
TI - [Whipple's disease and Tropheryma whipplei infections in internal medicine. When
to think about it? How to treat?].
AB - Tropheryma whipplei culture and genome sequencing have, thanks to new tools,
rationalized both diagnosis and treatment of Whipple's disease. Whipple's disease
involves mainly Caucasian male, approximately 50-years-old, suffering from
arthralgia, weight loss and diarrhea. A worsening of clinical manifestations
after immunosuppressive therapy is frequently observed while antibiotics
prescribed for another infection improves the clinical status. Clinical
manifestations may more rarely suggest lymphoma or sarcoidosis. Positive T.
whipplei performed on both saliva and stool samples are strongly suggestive of
Whipple's disease. The diagnosis is confirmed by positive periodic acid Schiff
staining or immunohistochemistry performed on small-bowel biopsies. Localized
chronic infections are defined by the absence of histological duodenal
involvement. Endocarditis mainly occurs in 60-years-old men with arthralgia,
cardiac failure or embolic events. Encephalitis causes mainly cognitive and
psychiatric involvement, and sometimes dementia, ataxia and weight gain. Uveitis
and arthritis evolve chronically, and are frequently resistant to
immunosuppressive treatment. PCR and culture (particularly for cerebrospinal
fluid) performed on various tissues and fluids allow the localized infections
diagnosis. In classic Whipple's disease, the treatment with doxycycline
(200mg/day) and hydroxychloroquine (600 mg/day) for a length of 12 months
followed by a lifetime treatment by doxycycline (200 mg/day) should be
recommended to avoid reinfection. In localized infections, a treatment with
doxycycline (200mg/day) and hydroxychloroquine (600 mg/day) is proposed for 12 to
18 months followed by a lifetime follow-up.
PMID- 24933291
TI - Bloodstream infection outbreaks related to opioid-diverting health care workers:
a cost-benefit analysis of prevention and detection programs.
PMID- 24933293
TI - Factors affecting circulating levels of peptide YY in humans: a comprehensive
review.
AB - As obesity continues to be a global epidemic, research into the mechanisms of
hunger and satiety and how those signals act to regulate energy homeostasis
persists. Peptide YY (PYY) is an acute satiety signal released upon nutrient
ingestion and has been shown to decrease food intake when administered
exogenously. More recently, investigators have studied how different factors
influence PYY release and circulating levels in humans. Some of these factors
include exercise, macronutrient composition of the diet, body-weight status,
adiposity levels, sex, race and ageing. The present article provides a succinct
and comprehensive review of the recent literature published on the different
factors that influence PYY release and circulating levels in humans. Where human
data are insufficient, evidence in animal or cell models is summarised.
Additionally, the present review explores the recent findings on PYY responses to
different dietary fatty acids and how this new line of research will make an
impact on future studies on PYY. Human demographics, such as sex and age, do not
appear to influence PYY levels. Conversely, adiposity or BMI, race and acute
exercise all influence circulating PYY levels. Both dietary fat and protein
strongly stimulate PYY release. Furthermore, MUFA appear to result in a smaller
PYY response compared with SFA and PUFA. PYY levels appear to be affected by
acute exercise, macronutrient composition, adiposity, race and the composition of
fatty acids from dietary fat.
PMID- 24933292
TI - Outbreaks of infections associated with drug diversion by US health care
personnel.
AB - OBJECTIVE: To summarize available information about outbreaks of infections
stemming from drug diversion in US health care settings and describe recommended
protocols and public health actions. PATIENTS AND METHODS: We reviewed records at
the Centers for Disease Control and Prevention related to outbreaks of infections
from drug diversion by health care personnel in US health care settings from
January 1, 2000, through December 31, 2013. Searches of the medical literature
published during the same period were also conducted using PubMed. Information
compiled included health care setting(s), infection type(s), specialty of the
implicated health care professional, implicated medication(s), mechanism(s) of
diversion, number of infected patients, number of patients with potential
exposure to blood-borne pathogens, and resolution of the investigation. RESULTS:
We identified 6 outbreaks over a 10-year period beginning in 2004; all occurred
in hospital settings. Implicated health care professionals included 3 technicians
and 3 nurses, one of whom was a nurse anesthetist. The mechanism by which
infections were spread was tampering with injectable controlled substances. Two
outbreaks involved tampering with opioids administered via patient-controlled
analgesia pumps and resulted in gram-negative bacteremia in 34 patients. The
remaining 4 outbreaks involved tampering with syringes or vials containing
fentanyl; hepatitis C virus infection was transmitted to 84 patients. In each of
these outbreaks, the implicated health care professional was infected with
hepatitis C virus and served as the source; nearly 30,000 patients were
potentially exposed to blood-borne pathogens and targeted for notification
advising testing. CONCLUSION: These outbreaks revealed gaps in prevention,
detection, and response to drug diversion in US health care facilities. Drug
diversion is best prevented by health care facilities having strong narcotics
security measures and active monitoring systems. Appropriate response includes
assessment of harm to patients, consultation with public health officials when
tampering with injectable medication is suspected, and prompt reporting to
enforcement agencies.
PMID- 24933294
TI - Estimating causal effects from multiple-baseline studies: implications for design
and analysis.
AB - Traditionally, average causal effects from multiple-baseline data are estimated
by aggregating individual causal effect estimates obtained through within-series
comparisons of treatment phase trajectories to baseline extrapolations. Concern
that these estimates may be biased due to event effects, such as history and
maturation, motivates our proposal of a between-series estimator that contrasts
participants in the treatment to those in the baseline phase. Accuracy of the new
method was assessed and compared in a series of simulation studies where
participants were randomly assigned to intervention start points. The within
series estimator was found to have greater power to detect treatment effects but
also to be biased due to event effects, leading to faulty causal inferences. The
between-series estimator remained unbiased and controlled the Type I error rate
independent of event effects. Because the between-series estimator is unbiased
under different assumptions, the 2 estimates complement each other, and the
difference between them can be used to detect inaccuracies in the modeling
assumptions. The power to detect inaccuracies associated with event effects was
found to depend on the size and type of event effect. We empirically illustrate
the methods using a real data set and then discuss implications for researchers
planning multiple-baseline studies.
PMID- 24933296
TI - Factors predicting an arrhythmogenic superior vena cava in atrial fibrillation
ablation: insight into the mechanism.
AB - BACKGROUND: The superior vena cava (SVC) is an infrequent but important source of
atrial fibrillation (AF), but is not always easy to identify. OBJECTIVE: This
study aimed to identify predictors of an arrhythmogenic SVC (a-SVC) in patients
undergoing AF ablation. METHODS: Eight hundred thirty-six consecutive patients
undergoing AF ablation were analyzed. All patients underwent pulmonary vein
antrum isolation during the index procedure. An a-SVC, defined as SVC-triggered
AF and an SVC associated with the maintenance of AF, was evaluated by mapping
catheters throughout the procedure. RESULTS: An a-SVC was identified in 44
patients (5.3%) during a total of 1063 procedures. Patients with an a-SVC were
younger, less obese, and had a smaller left atrial (LA) size and more paroxysmal
AF than those without an a-SVC. The presence of structural heart disease and
hypertension was lower, and the coexistence of spontaneous common atrial flutter
(AFL) before or during the index procedure was higher in those with an a-SVC than
in those without. A multiple logistic regression analysis revealed that the LA
size (odds ratio 0.93; 95% confidence interval 0.88-0.99; P = .038) and
coexistence of spontaneous common AFL (odds ratio 2.01; 95% confidence interval
1.00-4.02; P = .048) were independent predictors identifying an a-SVC. Although
19 patients (43.2%) required repeat procedures, 39 (88.6%) were free from any
atrial tachyarrhythmias without antiarrhythmic drugs at a median of 16.5 months
(25th-75th percentiles 9.0-27.0 months) after a mean of 1.5 +/- 0.7 procedures.
CONCLUSION: A smaller LA size and coexistence of spontaneous common AFL were
independent predictors of an a-SVC in the context of AF ablation.
PMID- 24933298
TI - Palliative care provision for patients with a hematological malignancy: can we do
more?
PMID- 24933297
TI - Lanthanide-doped upconversion nanoparticles electrostatically coupled with
photosensitizers for near-infrared-triggered photodynamic therapy.
AB - Lanthanide-doped upconversion nanoparticles (UCNPs) have recently shown great
promise in photodynamic therapy (PDT). Herein, we report a facile strategy to
fabricate an efficient NIR-triggered PDT system based on LiYF4:Yb/Er UCNPs
coupled with a photosensitizer of a beta-carboxyphthalocyanine zinc (ZnPc-COOH)
molecule via direct electrostatic interaction. Due to the close proximity between
UCNPs and ZnPc-COOH, we achieved a high energy transfer efficiency of 96.3% from
UCNPs to ZnPc-COOH, which facilitates a large production of cytotoxic singlet
oxygen and thus an enhanced PDT efficacy. Furthermore, we demonstrate the high
efficacy of such a NIR-triggered PDT agent for the inhibition of tumor growth
both in vitro and in vivo, thereby revealing the great potential of the UCNP
based PDT systems as noninvasive NIR-triggered PDT agents for deep cancer
therapy.
PMID- 24933299
TI - Prophylactic antibiotics for preventing Gram-positive infections associated with
long-term central venous catheters in oncology patients: beneficial or not?
PMID- 24933300
TI - Rare combination of bilateral divided atrial chambers and pulmonary vein stenosis
with review of the literature.
AB - INTRODUCTION: The term cor triatriatum is used to describe a fibromuscular
partition that divides an atrium into two compartments. It was first used by
Borst in 1905, although the lesion had been described before by Church in 1868.
Both described divided left atrial chamber (also referred to as cor triatriatum
sinister). The incidence of the divided left atrial chamber among patients with
congenital heart disease is reported at 0.1% and 0.4% in an autopsy study.
Divided right atrial chamber - also referred to as cor triatriatum dexter - is
even rarer to an extent that there is no reported incidence and only few hundred
cases have been reported so far. A search through pubMed library revealed only 90
publications on humans, either under the term "dexter" or "dextrum". We would
like to report a new association of bilateral divided atrial chambers and
pulmonary vein stenosis, with a review of the literature.
PMID- 24933301
TI - The ghrelin-GHSR-1a system in the ocular neuro-humoral regulation. Pearls and
controversies.
PMID- 24933302
TI - Wolman's disease with secondary hemophagocytic lymphohistiocytosis.
PMID- 24933303
TI - Hemolysin of enterohemorrhagic Escherichia coli: structure, transport, biological
activity and putative role in virulence.
AB - Enterohemorrhagic Escherichia coli (EHEC) cause diarrhea, bloody diarrhea and
hemolytic-uremic syndrome (HUS), a thrombotic microangiopathy affecting the renal
glomeruli, the intestine, and the brain. The pathogenesis of EHEC-mediated
diseases is incompletely understood. In addition to Shiga toxins, the major
virulence factors of EHEC, the contribution of EHEC hemolysin (EHEC-Hly), also
designated EHEC toxin (Ehx), which is a member of the RTX (repeats-in-toxin)
family, is increasingly recognized. The toxin and its activation and secretion
machinery are encoded by the EHEC-hlyCABD operon, in which EHEC-hlyA is the
structural gene for EHEC-Hly and the EHEC-hlyC product mediates post
translational activation of EHEC-Hly; the EHEC-hlyB- and EHEC-hlyD-encoded
proteins form, together with genetically unlinked TolC, the type I secretion
system that transports EHEC-Hly out of the bacterial cell. EHEC-Hly exists in two
biologically active forms: as a free EHEC-Hly, and an EHEC-Hly associated with
outer membrane vesicles (OMVs) that are released by EHEC during growth. The OMV
associated form results from a rapid binding of free EHEC-Hly to OMVs upon its
extracellular secretion. The OMV association stabilizes EHEC-Hly and thus
substantially prolongs its hemolytic activity compared to the free toxin. The two
EHEC-Hly forms differ by their mechanism of toxicity toward human intestinal
epithelial and microvascular endothelial cells, which are the major targets
during EHEC infection. The free EHEC-Hly lyses human microvascular endothelial
cells, presumably by pore formation in the cell membrane. In contrast, the OMV
associated EHEC-Hly does not lyse any of these cell types, but after its cellular
internalization via OMVs it targets mitochondria and triggers caspase-9-mediated
apoptosis. The proinflammatory potential of EHEC-Hly, in particular its ability
to elicit secretion of interleukin-1beta from human monocytes/macrophages, might
be an additional mechanism of its putative contribution to the pathogenesis of
EHEC-mediated diseases. Increasing understanding of molecular mechanisms
underlying interaction of EHEC-Hly with target cells as well as the host cell
responses to the toxin supports the involvement of EHEC-Hly in the pathogenesis
of EHEC-mediated diseases and forms a basis for prevention of the EHEC-Hly
mediated injury during human infection.
PMID- 24933304
TI - Phenotypic and molecular characterization of hyperpigmented group B Streptococci.
AB - Group B Streptococcus (GBS) causes invasive infections in neonates, older adults
and patients with comorbidities. beta-hemolysin/cytolysin is an important GBS
virulence factor. It is encoded by the cyl operon and confers GBS hemolytic
activity. Isolates displaying hyperpigmentation are typically hyperhemolytic.
Comparison of clonally identical isolates displaying different levels of
pigmentation has shown transcriptional dysregulation due to mutations in
components of the control of the virulence S/R (CovS/R) regulatory system. In
addition, hyperpigmented isolates show decreased CAMP factor and decreased
capsule thickness. In analogy to findings in group A Streptococcus, a pivotal
role of CovS/R has been proposed in the host-pathogen interaction of invasive GBS
infection. However, corresponding investigations on multiple clinical GBS
isolates have not been performed. We prospectively collected hyperpigmented
isolates found in a diagnostic laboratory and performed phenotypic, molecular and
transcriptional analyses. In the period from 2008 to 2012, we found 10 isolates
obtained from 10 patients. The isolates reflected both invasive pathogens and
colonizers. In three cases, clonally identical but phenotypically different
variants were also found. Hence, the analyses included 13 isolates. No capsular
serotype was found to be significantly more frequent. Bacterial pigments were
analyzed via spectrophotometry and for their hemolytic activity. Data obtained
for typical absorbance spectra peaks correlated significantly with hemolytic
activity. Molecular analysis of the cyl operon showed that it was conserved in
all isolates. The covR sequence displayed mutations in five isolates; in one
isolate, the CovR binding site to cylX was abrogated. Our results on clinical
isolates support previous findings on CovR-deficient isogenic mutants, but
suggest that - at least in some clinical isolates - for beta-hemolysin/cytolysin
and CAMP factor production, other molecular pathways may be involved.
PMID- 24933305
TI - The use of cone beam computed tomography in the postoperative assessment of
orbital wall fracture reconstruction.
AB - PURPOSE: Computed tomography (CT) is currently the standard in postoperative
evaluation of orbital wall fracture reconstruction, but cone beam computed
tomography (CBCT) offers potential advantages including reduced radiation dose
and cost. The purpose of this study is to examine objectively the image quality
of CBCT in the postoperative evaluation of orbital fracture reconstruction, its
radiation dose, and cost compared with CT. MATERIALS AND METHODS: Four
consecutive patients with orbital wall fractures in whom surgery was indicated
underwent orbital reconstruction with radio-opaque grafts (bone, titanium
reinforced polyethylene, and titanium plate) and were assessed postoperatively
with orbital CBCT. CBCT was evaluated for its ability to provide objective
information regarding the adequacy of orbital reconstruction, radiation dose, and
cost. RESULTS: In all patients, CBCT was feasible and provided hard tissue image
quality comparable to CT with significantly reduced radiation dose and cost.
However, it has poorer soft tissue resolution, which limits its ability to
identify the extraocular muscles, their relationship to the reconstructive graft,
and potential muscle entrapment. CONCLUSIONS: CBCT is a viable alternative to CT
in the routine postoperative evaluation of orbital fracture reconstruction.
However, in the patient who develops gaze restriction postoperatively,
conventional CT is preferred over CBCT for its superior soft tissue resolution to
exclude extraocular muscle entrapment.
PMID- 24933306
TI - Giant epidermal inclusion cyst over the parotid gland mixing parotid tumor.
PMID- 24933307
TI - Esthesioneuroblastoma of the parotid gland.
PMID- 24933308
TI - Comparative study in orthognathic surgery between Dolphin Imaging software and
manual prediction.
PMID- 24933310
TI - Clinical-radiographic analysis of Eagle syndrome.
PMID- 24933311
TI - J-bone graft for the reconstruction of the jaws.
AB - The J-bone graft technique has been used for the reconstruction of glenoid
surfaces with significant bone loss in orthopedic surgery in which the J-shaped
bicortical bone graft is harvested from the iliac crest. Because of the osteotomy
design, the press-fit fixation into the defect is obtained. In this technical
note, the authors adopted this technique to oral and maxillofacial surgery and
described the technique for the reconstruction of the jaws.
PMID- 24933312
TI - Mean platelet volume may not be beneficial for the management of patients with
adenoid hypertrophy.
PMID- 24933313
TI - Why platelet-rich plasma failed to promote bone healing in combination with a
biphasic synthetic graft material in bone defects: a critical comment.
PMID- 24933314
TI - Vertebral artery stenosis is a very unlikely cause of Horner syndrome.
PMID- 24933315
TI - Preferential adsorption from liquid water-ethanol mixtures in alumina pores.
AB - The sorptivity, structure, and dynamics of liquid water-ethanol mixtures confined
in alumina pores were studied by molecular dynamics simulations. Due to an
effective stronger attraction between water and the alumina surface, our
simulations show that water is preferentially adsorbed in alumina nanopores from
bulk solutions of varying composition. These results are in good qualitative
agreement with experimental data reported by Rao and Sircar (Adsorpt. Sci.
Technol. 1993, 10, 93). Analysis of the simulated trajectories allows us to
predict that water diffuses through the narrow pores more easily than ethanol, in
part because of its smaller size. Our results suggest that ethanol has an
antiplasticization effect on water within the narrow pores considered here,
whereas it has a plasticization effect on water in the bulk. Rao and Sircar
suggested that alumina could be used in concentration swing and/or concentration
thermal swing adsorption processes to separate water from ethanol. In addition,
our results suggest the possibility of using alumina for manufacturing
permselective membranes to produce anhydrous ethanol from liquid water-ethanol
solutions.
PMID- 24933316
TI - Comparison of 3D dose distributions for HDR 192Ir brachytherapy sources with
normoxic polymer gel dosimetry and treatment planning system.
AB - Radiation fluence changes caused by the dosimeter itself and poor spatial
resolution may lead to lack of 3-dimensional (3D) information depending on the
features of the dosimeter and quality assurance of dose distributions for high
dose rate (HDR) iridium-192 ((192)Ir) brachytherapy sources is challenging and
experimental dosimetry methods used for brachytherapy sources are limited. In
this study, we investigated 3D dose distributions of (192)Ir brachytherapy
sources for irradiation with single and multiple dwell positions using a normoxic
gel dosimeter and compared them with treatment planning system (TPS)
calculations. For dose calibration purposes, 100-mL gel-containing vials were
irradiated at predefined doses and then scanned in an magnetic resonance (MR)
imaging unit. Gel phantoms prepared in 2 spherical glasses were irradiated with
(192)Ir for the calculated dwell positions, and MR scans of the phantoms were
obtained. The images were analyzed with MATLAB software. Dose distributions and
profiles derived with 1-mm resolution were compared with TPS calculations.
Linearity was observed between the delivered dose and the reciprocal of the T2
relaxation time constant of the gel. The x-, y-, and z-axes were defined as the
sagittal, coronal, and axial planes, respectively, the sagittal and axial planes
were defined parallel to the long axis of the source while the coronal plane was
defined horizontally to the long axis of the source. The differences between
measured and calculated profile widths of 3-cm source length and point source for
70%, 50%, and 30% isodose lines were evaluated at 3 dose levels using 18 profiles
of comparison. The calculations for 3-cm source length revealed a difference of >
3mm in 1 coordinate at 50% profile width on the sagittal plane and 3 coordinates
at 70% profile width and 2 coordinates at 50% and 30% profile widths on the axial
plane. Calculations on the coronal plane for 3-cm source length showed > 3-mm
difference in 1 coordinate at 50% and 70% and 2 coordinates at 30% profile
widths. The point source measurements and calculations for 50% profile widths
revealed a difference > 3mm in 1 coordinate on the sagittal plane and 2
coordinates on the axial plane. The doses of 3 coordinates on the sagittal plane
and 4 coordinates on the axial plane could not be evaluated in 30% profile width
because of low doses. There was good agreement between the gel dosimetry and TPS
results. Gel dosimetry provides dose distributions in all 3 planes at the same
time, which enables us to define the dose distributions in any plane with high
resolution. It can be used to obtain 3D dose distributions for HDR (192)Ir
brachytherapy sources and 3D dose verification of TPS.
PMID- 24933317
TI - A class solution for volumetric-modulated arc therapy planning in
postprostatectomy radiotherapy.
AB - This study is aimed to test a postprostatectomy volumetric-modulated arc therapy
(VMAT) planning class solution. The solution applies to both the progressive
resolution optimizer algorithm version 2 (PRO 2) and the algorithm version 3 (PRO
3), addressing the effect of an upgraded algorithm. A total of 10 radical
postprostatectomy patients received 68 Gy to 95% of the planning target volume
(PTV), which was planned using VMAT. Each case followed a set of planning
instructions; including contouring, field setup, and predetermined optimization
parameters. Each case was run through both algorithms only once, with no user
interaction. Results were averaged and compared against Radiation Therapy
Oncology Group (RTOG) 0534 end points. In addition, the clinical target volume
(CTV) D100, PTV D99, and PTV mean doses were recorded, along with conformity
indices (CIs) (95% and 98%) and the homogeneity index. All cases satisfied PTV
D95 of 68 Gy and a maximum dose < 74.8 Gy. The average result for the PTV D99 was
64.1 Gy for PRO 2 and 62.1 Gy for PRO 3. The average PTV mean dose for PRO 2 was
71.4 Gy and 71.5 Gy for PRO 3. The CTV D100 average dose was 67.7 and 68.0 Gy for
PRO 2 and PRO 3, respectively. The mean homogeneity index for both algorithms was
0.08. The average 95% CI was 1.17 for PRO 2 and 1.19 for PRO 3. For 98%, the
average results were 1.08 and 1.12 for PRO 2 and PRO 3, respectively. All cases
for each algorithm met the RTOG organs at risk dose constraints. A successful
class solution has been established for prostate bed VMAT radiotherapy regardless
of the algorithm used.
PMID- 24933318
TI - Crossover experiments applied to network formation reactions: improved strategies
for counting elastically inactive molecular defects in PEG gels and hyperbranched
polymers.
AB - Molecular defects critically impact the properties of materials. Here we
introduce a paradigm called "isotopic labeling disassembly spectrometry" (ILDaS)
that facilitates unprecedented precise experimental correlations between
elastically inactive network defects (dangling chains and primary loops) and
network formation kinetics and precursor structure. ILDaS is inspired by
classical crossover experiments, which are often used to interrogate whether a
reaction mechanism proceeds via an inter- or intramolecular pathway. We show that
if networks are designed from labeled bifunctional monomers that transfer their
labels to multifunctional junctions upon network formation, then the extent of
junction labeling correlates directly with the number of dangling chains and
cyclic imperfections within the network. We demonstrate two complementary ILDaS
approaches that enable defect measurements with short analysis times, low cost,
and synthetic versatility applicable to a broad range of network materials
including polydisperse polymer precursors. The results will spur new experimental
and theoretical investigations into the interplay between polymer network
structure and properties.
PMID- 24933319
TI - Trichomide A, a natural cyclodepsipeptide, exerts immunosuppressive activity
against activated T lymphocytes by upregulating SHP2 activation to overcome
contact dermatitis.
AB - Increasing numbers of people are suffering from allergic contact dermatitis.
However, the immunosuppressive drug candidate with negligible toxicity is still
deficient. In the present study, we identified a natural cyclodepsipeptide named
trichomide A that effectively inhibited the proliferation of activated T cells
and reduced the production of proinflammatory cytokines but had almost no toxic
effect on naive T cells at 0.3-3 MUM. In addition, trichomide A caused G0/G1
phase arrest, suppressed the activation of AKT and STAT3, and increased the level
of phosphorylated SHP2 in activated T cells in dose- and time-dependent manners.
Furthermore, an in vivo experiment demonstrated that trichomide A significantly
ameliorated picryl chloride (PCI)-induced contact hypersensitivity in mice. Such
effects of trichomide A in the aforementioned experiments were significantly
reversed by the inhibition of SHP2 activity using the SHP2-specific inhibitor
PHPS1 or conditional SHP2 knockout mice in T cells, suggesting the SHP2-dependent
action of trichomide A. Taken together, trichomide A showed an immunosuppressive
activity against T cell-mediated immune responses both in vitro and in vivo,
which has potential for the treatment of immune-related skin diseases.
PMID- 24933321
TI - DC-HIL+ CD14+ HLA-DR no/low cells are a potential blood marker and therapeutic
target for melanoma.
PMID- 24933320
TI - Abnormally differentiating keratinocytes in the epidermis of systemic sclerosis
patients show enhanced secretion of CCN2 and S100A9.
AB - Skin involvement with dermal fibrosis is a hallmark of systemic sclerosis (SSc),
and keratinocytes may be critical regulators of fibroblast function through
secretion of chemo-attracting agents, as well as through growth factors and
cytokines influencing the phenotype and proliferation rate of fibroblasts.
Epithelial-fibroblast interactions have an important role in fibrosis in general.
We have characterized the SSc epidermis and asked whether SSc-injured epidermal
cells release factors capable of promoting fibrosis. Our results show that the
SSc epidermis is hypertrophic, and has altered expression of terminal
differentiation markers involucrin, loricrin, and filaggrin. Multiplex profiling
revealed that SSc epidermal explants release increased levels of CCN2 and S100A9.
CCN2 induction was found to spread into the upper papillary dermis, whereas
S100A9 was shown to induce fibroblast proliferation and to enhance fibroblast
CCN2 expression via Toll-like receptor 4. These data suggest that the SSc
epidermis provides an important source of pro-fibrotic CCN2 and proinflammatory
S100A9 in SSc skin, and therefore contributes to the fibrosis and inflammation
seen in the disease.
PMID- 24933322
TI - Eating 'attentively' reduces later energy consumption in overweight and obese
females.
AB - Attentional and memory processes underpin appetite control, but whether
encouraging overweight individuals to eat more 'attentively' can promote
reductions in energy consumption is unclear. In the present study with a between
subjects design, a total of forty-eight overweight and obese females consumed a
fixed lunchtime meal. Their ad libitum energy intake of high-energy snack food
was observed during a second laboratory session that occurred later that day. In
the focused-attention condition, participants ate their lunch while listening to
audio instructions that encouraged them to pay attention to the food being eaten.
In a control condition, participants ate while listening to an audio book with a
neutral (non-food-related) content. To test whether focused attention influenced
food intake via enhancing the memory of the earlier consumed meal, we measured
the participants' memory of their lunchtime meal. Ad libitum snack intake was
approximately 30 % lower for participants in the focused-attention condition than
for those in the control condition, and this difference was statistically
significant. There was limited evidence that attention decreased later food
intake by enhancing memory representation of the earlier consumed meal. Eating
attentively can lead to a substantial decrease in later energy intake in
overweight and obese individuals. Behavioural strategies that encourage a more
'attentive' way of eating could promote sustained reductions in energy intake and
weight loss.
PMID- 24933323
TI - Exploring the role of conformational heterogeneity in cis-autoproteolytic
activation of ThnT.
AB - In the past decade, there have been major achievements in understanding the
relationship between enzyme catalysis and protein structural plasticity. In
autoprocessing systems, however, there is a sparsity of direct evidence of the
role of conformational dynamics, which are complicated by their intrinsic
chemical reactivity. ThnT is an autoproteolytically activated enzyme involved in
the biosynthesis of the beta-lactam antibiotic thienamycin. Conservative mutation
of ThnT results in multiple conformational states that can be observed via X-ray
crystallography, establishing ThnT as a representative and revealing system for
studing how conformational dynamics control autoactivation at a molecular level.
Removal of the nucleophile by mutation to Ala disrupts the population of a
reactive state and causes widespread structural changes from a conformation that
promotes autoproteolysis to one associated with substrate catalysis. Finer
probing of the active site polysterism was achieved by EtHg derivatization of the
nucleophile, which indicates the active site and a neighboring loop have coupled
dynamics. Disruption of these interactions by mutagenesis precludes the ability
to observe a reactive state through X-ray crystallography, and application of
this insight to other autoproteolytically activated enzymes offers an explanation
for the widespread crystallization of inactive states. We suggest that the N
>O(S) acyl shift in cis-autoproteolysis might occur through a si-face attack,
thereby unifying the fundamental chemistry of these enzymes through a common
mechanism.
PMID- 24933325
TI - Acute dim light at night increases body mass, alters metabolism, and shifts core
body temperature circadian rhythms.
AB - The circadian system is primarily entrained by the ambient light environment and
is fundamentally linked to metabolism. Mounting evidence suggests a causal
relationship among aberrant light exposure, shift work, and metabolic disease.
Previous research has demonstrated deleterious metabolic phenotypes elicited by
chronic (>4 weeks) exposure to dim light at night (DLAN) (~ 5 lux). However, the
metabolic effects of short-term (<2 weeks) exposure to DLAN are unspecified. We
hypothesized that metabolic alterations would arise in response to just 2 weeks
of DLAN. Specifically, we predicted that mice exposed to dim light would gain
more body mass, alter whole body metabolism, and display altered body temperature
(Tb) and activity rhythms compared to mice maintained in dark nights. Our data
largely support these predictions; DLAN mice gained significantly more mass,
reduced whole body energy expenditure, increased carbohydrate over fat oxidation,
and altered temperature circadian rhythms. Importantly, these alterations
occurred despite similar activity locomotor levels (and rhythms) and total food
intake between groups. Peripheral clocks are potently entrained by body
temperature rhythms, and the deregulation of body temperature we observed may
contribute to metabolic problems due to "internal desynchrony" between the
central circadian oscillator and temperature sensitive peripheral clocks. We
conclude that even relatively short-term exposure to low levels of nighttime
light can influence metabolism to increase mass gain.
PMID- 24933324
TI - Daily rhythms are retained both in spontaneously developed sarcomas and in
xenografts grown in immunocompromised SCID mice.
AB - The circadian clock generates and regulates many daily physiological, metabolic
and behavioral rhythms as well as acute responses to various types of stresses
including those induced by anticancer treatment. It has been proposed that
modulatory function of the clock may be used for improving the therapeutic
efficacy of established anti-cancer treatments. In order to rationally exploit
this mechanism, more information is needed to fully characterize the functional
status of the molecular clock in tumors of different cellular origin; however,
the data describing tumor clocks are still inconsistent. Here we tested the
status of clock in two models of tumors derived from connective tissue: sarcomas
spontaneously developed in p53-deficient mice and human fibrosarcoma cells grown
as xenografts in immunocompromised severe combined immunodeficient (SCID) mice.
We show that both types of tumors retain a functional clock, which is
synchronized in phase with normal tissues. We also show that spontaneously
developed tumors are not only oscillating in the context of an organism where
they receive hormonal and metabolic signals but continue oscillating ex vivo in
tissue explants demonstrating that tumors have functional clocks capable of
timing all their functions. We also provide evidence that similar to liver,
tumors can be synchronized by food availability independent of the central
pacemaker in the suprachiasmatic nuclei (SCN). These data provide the basis for
the design of anticancer therapies that take into account the circadian metabolic
and physiological patterns of both the tumor and normal tissues.
PMID- 24933326
TI - To move or not to move: subthalamic deep brain stimulation effects on implicit
motor simulation.
AB - We explored implicit motor simulation processes in Parkinson's Disease (PD)
patients with ON-OFF subthalamic deep brain stimulation (DBS) of the sub-thalamic
nucleus (STN). Participants made lexical decisions about hand action-related
verbs, abstract verbs, and pseudowords presented either within a positive (e.g.,
"Do ...") or a negative (e.g., "Don't ...") sentence context. Healthy controls
showed significantly slower responses for hand-action verbs (vs. abstract verbs)
in the negative (vs. positive) context, which suggests that negative contexts may
suppress motor simulation or preparation processes. The STN-DBS improves cortical
motor functions, thus patients are expected to perform at the same level as
unimpaired subjects in the ON condition. By contrast, the 50% reduced DBS is
expected to result in a reduced activation for motor information, which in turn
might cause a reduced, if not absent, context modulation. PD patients exhibited
the same pattern as controls when their DBS was at 100% ON; however, reducing the
DBS to 50% had a deleterious outcome on the positive faster than negative context
effect, suggesting that the altered inhibition mechanism in PD could be
responsible for the missed effect. In addition, our results confirm the view that
implicit motor simulation mechanisms behind action-related verb processing are
flexible and context-dependent.
PMID- 24933328
TI - Dexmedetomidine decreases inhibitory but not excitatory neurotransmission to
cardiac vagal neurons in the nucleus ambiguus.
AB - Dexmedetomidine, an alpha2 adrenergic agonist, is a useful sedative but can also
cause significant bradycardia. This decrease in heart rate may be due to
decreased central sympathetic output as well as increased parasympathetic output
from brainstem cardiac vagal neurons. In this study, using whole cell voltage
clamp methodology, the actions of dexmedetomidine on excitatory glutamatergic and
inhibitory GABAergic and glycinergic neurotransmission to parasympathetic cardiac
vagal neurons in the rat nucleus ambiguus was determined. The results indicate
that dexmedetomidine decreases both GABAergic and glycinergic inhibitory input to
cardiac vagal neurons, with no significant effect on excitatory input. These
results provide a mechanism for dexmedetomidine induced bradycardia and has
implications for the management of this potentially harmful side effect.
PMID- 24933327
TI - Selective vasopressin-1a receptor antagonist prevents brain edema, reduces
astrocytic cell swelling and GFAP, V1aR and AQP4 expression after focal traumatic
brain injury.
AB - A secondary and often lethal consequence of traumatic brain injury is cellular
edema that we posit is due to astrocytic swelling caused by transmembrane water
fluxes augmented by vasopressin-regulated aquaporin-4 (AQP4). We therefore tested
whether vasopressin 1a receptor (V1aR) inhibition would suppress astrocyte AQP4,
reduce astrocytic edema, and thereby diminish TBI-induced edematous changes. V1aR
inhibition by SR49059 significantly reduced brain edema after cortical contusion
injury (CCI) in rat 5h post-injury. Injured-hemisphere brain water content (n=6
animals/group) and astrocytic area (n=3/group) were significantly higher in CCI
vehicle (80.5+/-0.3%; 18.0+/-1.4 um(2)) versus sham groups (78.3+/-0.1%; 9.5+/
0.9 um(2)), and SR49059 blunted CCI-induced increases in brain edema (79.0+/
0.2%; 9.4+/-0.8um(2)). CCI significantly up-regulated GFAP, V1aR and AQP4 protein
levels and SR49059 suppressed injury induced up regulation (n=6/group). In CCI
vehicle, sham and CCI-SR49059 groups, GFAP was 1.58+/-0.04, 0.47+/-0.02, and
0.81+/-0.03, respectively; V1aR was 1.00+/-0.06, 0.45+/-0.05, and 0.46+/-0.09;
and AQP4 was 2.03+/-0.34, 0.49+/-0.04, and 0.92+/-0.22. Confocal
immunohistochemistry gave analogous results. In CCI-vehicle, sham and CCI-SR49059
groups, fluorescence intensity of GFAP was 349+/-38, 56+/-5, and 244+/-30,
respectively, V1aR was 601+/-71, 117.8+/-14, and 390+/-76, and AQP4 was 818+/
117, 158+/-5, and 458+/-55 (n=3/group). The results support that edema was
predominantly cellular following CCI and documented that V1aR inhibition with
SR49059 suppressed injury-induced up regulation of GFAP, V1A and AQP4, blunting
edematous changes. Our findings suggest V1aR inhibitors may be potential
therapeutic tools to prevent cellular swelling and provide treatment for post
traumatic brain edema.
PMID- 24933330
TI - Determination of liver specific toxicities in rat hepatocytes by high content
imaging during 2-week multiple treatment.
AB - DILI is a major safety issue during drug development and one of the leading
causes for market withdrawal. Despite many efforts made in the past, the
prediction of DILI using in vitro models remains very unreliable. In the present
study, the well-established hepatocyte Collagen I-MatrigelTM sandwich culture was
used, mimicking chronic drug treatment after multiple incubations for 14 days.
Ten drugs associated with different types of specific preclinical and clinical
liver injury were evaluated at non-cytotoxic concentrations. Mrp2-mediated
transport, intracellular accumulation of neutral lipids and phospholipids were
selected as functional endpoints by using CellomicsTM Arrayscan(r) technology and
assessed at five timepoints (day 1, 3, 7, 10, 14). Liver specific functional
impairments after drug treatment were enhanced over time and could be monitored
by HCI already after few days and before cytotoxicity. Phospholipidosis-inducing
drugs Chlorpromazine and Amiodarone displayed the same response as in vivo.
Cyclosporin A, Chlorpromazine, and Troglitazone inhibited Mrp2-mediated biliary
transport, correlating with in vivo findings. Steatosis remained difficult to be
reproduced under the current in vitro testing conditions, resulting into false
negative and positive responses. The present results suggest that the repeated
long-term treatment of rat hepatocytes in the Collagen I-MatrigelTM sandwich
configuration might be a suitable tool for safety profiling of the potential to
induce phospholipidosis and impair Mrp2-mediated transport processes, but not to
predict steatosis.
PMID- 24933331
TI - A REVELation in non-small-cell lung cancer treatment?
PMID- 24933332
TI - Ramucirumab plus docetaxel versus placebo plus docetaxel for second-line
treatment of stage IV non-small-cell lung cancer after disease progression on
platinum-based therapy (REVEL): a multicentre, double-blind, randomised phase 3
trial.
AB - BACKGROUND: Ramucirumab is a human IgG1 monoclonal antibody that targets the
extracellular domain of VEGFR-2. We aimed to assess efficacy and safety of
treatment with docetaxel plus ramucirumab or placebo as second-line treatment for
patients with stage IV non-small-cell-lung cancer (NSCLC) after platinum-based
therapy. METHODS: In this multicentre, double-blind, randomised phase 3 trial
(REVEL), we enrolled patients with squamous or non-squamous NSCLC who had
progressed during or after a first-line platinum-based chemotherapy regimen.
Patients were randomly allocated (1:1) with a centralised, interactive voice
response system (stratified by sex, region, performance status, and previous
maintenance therapy [yes vs no]) to receive docetaxel 75 mg/m(2) and either
ramucirumab (10 mg/kg) or placebo on day 1 of a 21 day cycle until disease
progression, unacceptable toxicity, withdrawal, or death. The primary endpoint
was overall survival in all patients allocated to treatment. We assessed adverse
events according to treatment received. This study is registered with
ClinicalTrials.gov, number NCT01168973. FINDINGS: Between Dec 3, 2010, and Jan
24, 2013, we screened 1825 patients, of whom 1253 patients were randomly
allocated to treatment. Median overall survival was 10.5 months (IQR 5.1-21.2)
for 628 patients allocated ramucirumab plus docetaxel and 9.1 months (4.2-18.0)
for 625 patients who received placebo plus docetaxel (hazard ratio 0.86, 95% CI
0.75-0.98; p=0.023). Median progression-free survival was 4.5 months (IQR 2.3
8.3) for the ramucirumab group compared with 3.0 months (1.4-6.9) for the control
group (0.76, 0.68-0.86; p<0.0001). We noted treatment-emergent adverse events in
613 (98%) of 627 patients in the ramucirumab safety population and 594 (95%) of
618 patients in the control safety population. The most common grade 3 or worse
adverse events were neutropenia (306 patients [49%] in the ramucirumab group vs
246 [40%] in the control group), febrile neutropenia (100 [16%] vs 62 [10%]),
fatigue (88 [14%] vs 65 [10%]), leucopenia (86 [14%] vs 77 [12%]), and
hypertension (35 [6%] vs 13 [2%]). The numbers of deaths from adverse events (31
[5%] vs 35 [6%]) and grade 3 or worse pulmonary haemorrhage (eight [1%] vs eight
[1%]) did not differ between groups. Toxicities were manageable with appropriate
dose reductions and supportive care. INTERPRETATION: Ramucirumab plus docetaxel
improves survival as second-line treatment of patients with stage IV NSCLC.
FUNDING: Eli Lilly.
PMID- 24933333
TI - Different effects of bifeprunox, aripiprazole, and haloperidol on body weight
gain, food and water intake, and locomotor activity in rats.
AB - Following on the success of Aripiprazole with its high clinical efficacy and
minimal side effects, further antipsychotic drugs (such as Bifeprunox) have been
developed based on the same dopamine D2 partial agonist pharmacological profile
as Aripiprazole. However clinical trials of Bifeprunox have found differing
results to that of its predecessor, without the same significant clinical
efficacy. This study has therefore investigated the different effects of 10 week
treatment with Aripiprazole (0.75 mg/kg, 3 times per day), Bifeprunox (0.8 mg/kg,
3 times per day) and Haloperidol (0.1mg/kg, 3 times per day) on body weight gain,
food and water intake, white fat mass, and 8 week treatment on locomotor
activity. Treatment with Bifeprunox was found to significantly reduce all of the
measured parameters except white fat mass compared to the control group. However,
Aripiprazole and Haloperidol treatment reduced water intake compared to the
control, without any significant effects on the other measured parameters. These
findings further demonstrate the potential pharmacological differences between
Aripiprazole and Bifeprunox, and identify potential weight loss side effects and
increased anxiety behaviour with Bifeprunox treatment.
PMID- 24933334
TI - Augmentation of antidepressant effects of duloxetine and bupropion by caffeine in
mice.
AB - There is an unmet need in the treatment of depression suggesting requirement of
new therapeutic approaches having better efficacy and safety profile. Patients
receiving antidepressant therapy generally consume caffeine in the form of tea or
coffee. The objective of the present study was to evaluate the augmentation of
antidepressant effects of duloxetine and/or bupropion with caffeine. Male Swiss
Albino mice received treatment of normal saline (10 ml/kg), 'caffeine alone'
(10mg/kg), 'duloxetine alone' (10mg/kg), 'bupropion alone' (10mg/kg),
caffeine+duloxetine (5mg/kg, each), bupropion+caffeine (5mg/kg, each), and
bupropion+duloxetine (5mg/kg, each) through the intra-peritoneal route. The
immobility period was analyzed 30 min after the treatment in forced swim and tail
suspension tests. Norepinephrine, dopamine, and serotonin levels were analyzed in
hippocampus, cerebral cortex and whole brain using HPLC with fluorescence
detector. Euthanasia was performed 1h after treatment. Comparison between vehicle
treated group and other groups showed significant decrease in immobility in all
drug treated groups in both antidepressant models. Caffeine plus duloxetine
treated group was better among the combination treated groups in terms of
decrease in immobility and increase in norepinephrine, dopamine, and serotonin
levels in hippocampi, cerebral cortices, and whole brain when compared to their
respective monotherapy treated groups. These combination approaches may help in
reducing the dose of duloxetine/bupropion, and consequently lower the associated
side/adverse effects.
PMID- 24933335
TI - Using the five-choice serial reaction time task to examine the effects of
atomoxetine and methylphenidate in the male spontaneously hypertensive rat.
AB - Attention deficit hyperactivity disorder (ADHD) is the most common
neurodevelopmental disorder and is normally treated with either stimulant or non
stimulant medication such as methylphenidate and atomoxetine respectively. The
impact of these drugs on attention and impulsivity has been explored extensively
in healthy animals but there is little research into their effects in an animal
model of ADHD. In the present study we investigated the effects of both drugs on
the spontaneously hypertensive rat (SHR) model of ADHD using the five-choice
serial reaction time task (5CSRTT). We found a number of difficulties associated
with training this vulnerable strain on such a complex task. However, where rats
were able to learn the task we found very small effects of methylphenidate;
increased incorrect responding and therefore decreased accuracy, a marker of
attention at a single dose. There were no significant effects of atomoxetine on
accuracy once multiple comparisons were taken into consideration. We found no
effects of either drug on premature responding, a marker of impulsivity. These
results indicate that the 5CSRTT may not be most sensitive to the impulsivity
displayed in the SHR. Furthermore, they suggest that the SHR may lack predictive
validity and further investigation is needed to optimise use of this model.
PMID- 24933336
TI - High-dose corticosterone after fear conditioning selectively suppresses fear
renewal by reducing anxiety-like response.
AB - Exposure therapy is widely used to treat anxiety disorders, including
posttraumatic stress disorder (PTSD). However, preventing the return of fear is
still a major challenge after this behavioral treatment. An increasing number of
studies suggest that high-dose glucocorticoid treatment immediately after trauma
can alleviate the symptoms of PTSD in humans. Unknown is whether high-dose
glucocorticoid treatment following fear conditioning suppresses the return of
fear. In the present study, a typical fear renewal paradigm (AAB) was used, in
which the fear response to an auditory cue can be restored in a novel context
(context B) when both training and extinction occur in the same context (context
A). We trained rats for auditory fear conditioning and administered
corticosterone (CORT; 5 and 25mg/kg, i.p.) or vehicle with different delays (1
and 24h). Forty-eight hours after drug injection, extinction was conducted with
no drug in the training context, followed by a test of tone-induced freezing
behavior in the same (AAA) or a shifted (AAB) context. Both immediate and delayed
administration of high-dose CORT after fear conditioning reduced fear renewal. To
examine the anxiolytic effect of CORT, independent rats were trained for cued or
contextual fear conditioning, followed by an injection of CORT (5 and 25mg/kg,
i.p.) or vehicle at a 1 or 24h delay. One week later, anxiety-like behavior was
assessed in the elevated plus maze (EPM) before and after fear expression. We
found that high-dose CORT decreased anxiety-like behavior without changing tone-
or context-induced freezing. These findings indicate that a single high-dose CORT
administration given after fear conditioning may selectively suppress fear
renewal by reducing anxiety-like behavior and not by altering the consolidation,
retrieval, or extinction of fear memory.
PMID- 24933337
TI - The effects of the estrus cycle and citalopram on anxiety-like behaviors and c
fos expression in rats.
AB - In rats, hormonal fluctuations during the estrus cycle may have numerous
behavioral and neurobiological consequences. The aim of this study was to
investigate the effects of estrus cycles and citalopram on behavior, ultrasonic
vocalizations, anxiety levels, and c-fos expression in rats. With this aim, the
rats were grouped into two: (1) a control group (n=16) and (2) a citalopram group
(n=16), which received daily intraperitoneal 20mg/kg citalopram from baseline
(D0) to the 10th day (D10). Behavioral analysis and ultrasonic vocalization (USV)
recordings were made on D0 and D10. Next, the rats were further subgrouped
according to estrus phases identified through a vaginal smear (8 proestrus rats
and 8 non-proestrus rats, in each group). The rat's anxiety levels were analyzed
with an elevated plus maze (EPM), and their c-fos expression was measured at the
cingulate cortex, the amygdala, and the paraventricular thalamic nucleus. Our
results showed that the citalopram group showed significantly more grooming
behaviors on D10 than the control group (p=0.002). USVs on D0, D10 and during the
EPM did not show any significant differences between the groups. Proestrus rats
in the control group showed significantly less anxiety-like behavior during the
EPM than the non-proestrus rats in the control group (p=0.028 for time spent in
open arms, and p=0.011 for entries into open arms). There was no significant
difference in anxiety-like behavior between the control and citalopram groups,
and between the proestrus and non-estrous rats in the citalopram group. C-fos
expression at the amygdala (p=0.013) and the paraventricular thalamic nucleus
(p=0.014) was significantly inhibited in the citalopram group. We concluded that
estrus cycles have a significant effect on anxiety levels in rats, which may be
suppressed behaviorally and neurobiologically by citalopram.
PMID- 24933338
TI - A viscoelastic poromechanical model of the knee joint in large compression.
AB - The elastic response of the knee joint in various loading and pathological
conditions has been investigated using anatomically accurate geometry. However,
it is still challenging to predict the poromechanical response of the knee in
realistic loading conditions. In the present study, a viscoelastic,
poromechanical model of the knee joint was developed for soft tissues undergoing
large deformation. Cartilages and menisci were modeled as fibril-reinforced
porous materials and ligaments were considered as fibril-reinforced hyperelastic
solids. Quasi-linear viscoelasticty was formulated for the collagen network of
these tissues and nearly incompressible Neo-Hookean hyperelasticity was used for
the non-fibrillar matrix. The constitutive model was coded with a user defined
FORTRAN subroutine, in order to use ABAQUS for the finite element analysis. Creep
and stress relaxation were investigated with large compression of the knee in
full extension. The contact pressure distributions were found similar in creep
and stress relaxation. However, the load transfer in the joint was completely
different in these two loading scenarios. During creep, the contact pressure
between cartilages decreased but the pressure between cartilage and meniscus
increased with time. This led to a gradual transfer of some loading from the
central part of cartilages to menisci. During stress relaxation, however, both
contact pressures decreased monotonically.
PMID- 24933339
TI - Dosimetric comparison of (192)Ir high-dose-rate brachytherapy vs. 50 kV x-rays as
techniques for breast intraoperative radiation therapy: conceptual development of
image-guided intraoperative brachytherapy using a multilumen balloon applicator
and in-room CT imaging.
AB - PURPOSE: At our institution, the availability of a shielded procedure room with
in-room CT-on-rails imaging allows for the exploration of a high-dose-rate (HDR)
brachytherapy approach for breast intraoperative radiation therapy (IORT). We
hypothesize that HDR brachytherapy will permit a higher prescription dose without
increasing toxicity. In this study, we compare the dosimetry of intraoperative
HDR brachytherapy, using multilumen balloon applicator, to IORT with a 50 kV
source and then select a prescription dose for a subsequent clinical trial.
METHODS AND MATERIALS: The CT scans of 14 patients who had previously received
multilumen balloon-based breast brachytherapy were replanned to a standard
prescription to the target volume. The same 14 cases were planned to the
specifications of a 50 kV x-ray system. Uniform volume optimization and
prescription doses were used to permit direct comparisons. All plans were
evaluated for the dose homogeneity index, tumor coverage, and dose to normal
tissues, including skin, ribs, and heart (for left breast plans). RESULTS: The
HDR brachytherapy plans were superior to 50 kV superficial photon plans for IORT
in all dosimetric parameters except for the heart and rib dosimetric parameters.
Prescription dose of 12.5 Gy to the planning target volume for evaluation yielded
a dose to 95 percent of the balloon surface of 19.7 Gy. CONCLUSIONS: Image-guided
HDR intraoperative brachytherapy with a multilumen balloon applicator provides
superior target volume coverage compared with 50 kV photons, while maintaining
doses within tolerance limits for normal tissues. An ongoing prospective clinical
trial will evaluate the safety and feasibility of this technique.
PMID- 24933341
TI - Prenatal diagnosis of 5p deletion syndrome in a female fetus leading to
identification of the same diagnosis in her mother.
PMID- 24933340
TI - Diabetes care and complications in primary care in the Tshwane district of South
Africa.
AB - AIMS: To describe the diabetic population receiving primary care from the Tshwane
district public health services and to assess the quality of care of members of
this population, their level of disease control and the extent of their
complications. METHODS: A cluster-randomised trial was conducted in 12 primary
care clinics in Tshwane district. A total of 599 diabetic patients attending
these clinics for review were consecutively interviewed and clinically examined.
Data on the care received was also obtained from their clinical records for the
previous 12 months. Patients randomised to the active arm of the study were
screened for complications. RESULTS: The mean age was 58 years and 80.5% had a
body mass index (BMI) >=25 kg/m(2). Sixty-eight percent of patients were female.
Acceptable glycaemic control and LDL-cholesterol were found for only 27% and 33%
of patients, respectively (HbA1c<7%; LDL<2.5 mmol/l). Despite more than 79% of
patients reporting to be hypertensive, 68% of patients had a systolic blood
pressure above 130 mmHg and 64% had a diastolic blood pressure above 80 mmHg.
Evaluating patient records of the preceding year, screening for eye complications
was only reported in 8.2%, feet complications in 6.5%, kidney complications in
21.4% and cardiovascular complications in 7.8%. The screening prevalences found
were 29% for retinopathy, 22% for maculopathy, 5% for neuropathy
(neurothesiometer), 7% for nephropathy (eGFR stages 3-5), 17% for possible
infarction (Rose questionnaire) and 36% for severe erectile dysfunction (SHIM
questionnaire). CONCLUSION: Diabetes care and screening for complications at
primary care level in the Tshwane district were found to be sub-optimal. Measures
should be taken to address this.
PMID- 24933343
TI - Inhibited osteoclastic bone resorption through alendronate treatment in rats
reduces severe osteoarthritis progression.
AB - Osteoarthritis (OA) is a non-rheumatoid joint disease characterized by
progressive degeneration of extra-cellular cartilage matrix (ECM), enhanced
subchondral bone remodeling, osteophyte formation and synovial thickening.
Alendronate (ALN) is a potent inhibitor of osteoclastic bone resorption and
results in reduced bone remodeling. This study investigated the effects of pre
emptive use of ALN on OA related osteoclastic subchondral bone resorption in an
in vivo rat model for severe OA. Using multi-modality imaging we measured effects
of ALN treatment within cartilage and synovium. Severe osteoarthritis was induced
in left rat knees using papain injections in combination with a moderate running
protocol. Twenty rats were treated with subcutaneous ALN injections and compared
to twenty untreated controls. Animals were longitudinally monitored for 12weeks
with in vivo MUCT to measure subchondral bone changes and SPECT/CT to determine
synovial macrophage activation using a folate-based radiotracer. Articular
cartilage was analyzed at 6 and 12weeks with ex vivo contrast enhanced MUCT and
histology to measure sulfated-glycosaminoglycan (sGAG) content and cartilage
thickness. ALN treatment successfully inhibited subchondral bone remodeling. As a
result we found less subchondral plate porosity and reduced osteophytosis. ALN
treatment did not reduce subchondral sclerosis. However, after the OA induction
phase, ALN treatment protected cartilage ECM from degradation and reduced
synovial macrophage activation. Surprisingly, ALN treatment also improved sGAG
content of tibia cartilage in healthy joints. Our data was consistent with the
hypothesis that osteoclastic bone resorption might play an important role in OA
and may be a driving force for progression of the disease. However, our study
suggest that this effect might not solely be effects on osteoclastic activity,
since ALN treatment also influenced macrophage functioning. Additionally, ALN
treatment and physical activity exercised a positive effect in healthy control
joints, which increased cartilage sGAG content. More research on this topic might
lead to novel insights as to improve cartilage quality.
PMID- 24933342
TI - Osteocyte-derived RANKL is a critical mediator of the increased bone resorption
caused by dietary calcium deficiency.
AB - Parathyroid hormone (PTH) excess stimulates bone resorption. This effect is
associated with increased expression of the osteoclastogenic cytokine receptor
activator of nuclear factor kappaB ligand (RANKL) in bone. However, several
different cell types, including bone marrow stromal cells, osteocytes, and T
lymphocytes, express both RANKL and the PTH receptor and it is unclear whether
RANKL expression by any of these cell types is required for PTH-induced bone
loss. Here we have used mice lacking the RANKL gene in osteocytes to determine
whether RANKL produced by this cell type is required for the bone loss caused by
secondary hyperparathyroidism induced by dietary calcium deficiency in adult
mice. Thirty days of dietary calcium deficiency caused bone loss in control mice,
but this effect was blunted in mice lacking RANKL in osteocytes. The increase in
RANKL expression in bone and the increase in osteoclast number caused by dietary
calcium deficiency were also blunted in mice lacking RANKL in osteocytes. These
results demonstrate that RANKL produced by osteocytes contributes to the
increased bone resorption and the bone loss caused by secondary
hyperparathyroidism, strengthening the evidence that osteocytes are an important
target cell for hormonal control of bone remodeling.
PMID- 24933345
TI - Mortality in British hip fracture patients, 2000-2010: a population-based
retrospective cohort study.
AB - BACKGROUND: Data on recent trends in mortality after hip fracture are scarce.
Aims were therefore to examine secular trends in all-cause and cause-specific
mortality post hip fracture and to compare this to the general population from
2000 to 2010. METHODS: Population-based cohort study within the United Kingdom
Clinical Practice Research Datalink and linked to cause of death data for 57.7%
of patients. Patients with a first hip fracture (n=31,495) were matched to up to
four controls by age, sex, index date, and practice. All subjects were followed
for death, and lifestyle, disease and medication history adjusted hazard ratios
(HRs) were calculated. RESULTS: One-year all-cause mortality after hip fracture
declined from 2009 and was 14% lower after, compared with before 2009 (22.3% to
20.5%, adj. HR 0.86, 95% CI: 0.81-0.92). The decline was observed for males
(>=75years) and females (>=85years). Significant contributors to the decline in
mortality post hip fracture were respiratory infections in females as were
malignant diseases in males. However, one-year all-cause mortality remained
unaltered over the decade when compared to controls with a 3.5-fold and 2.4-fold
increased risk in males and females respectively. No significant changes were
observed in the relative risks for one-year cause-specific mortality for both
genders. CONCLUSIONS: One-year mortality after hip fracture has declined over the
last decade in the UK. However, the difference in one-year mortality between hip
fracture patients and the general population remained unaltered. These
observations highlight the need for the continued implementation of evidence
based standards for good hip fracture care.
PMID- 24933344
TI - Ginsenoside-Rb2 displays anti-osteoporosis effects through reducing oxidative
damage and bone-resorbing cytokines during osteogenesis.
AB - Reactive oxygen species (ROS) are a significant pathogenic factor of
osteoporosis. Ginsenoside-Rb2 (Rb2), a 20(S)-protopanaxadiol glycoside extracted
from ginseng, is a potent antioxidant that generates interest regarding the bone
metabolism area. We tested the potential anti-osteoporosis effects of Rb2 and its
underlying mechanism in this study. We produced an oxidative damage model induced
by hydrogen peroxide (H2O2) in osteoblastic MC3T3-E1 cells to test the essential
anti-osteoporosis effects of Rb2in vitro. The results indicated that treatment of
0.1 to 10MUM Rb2 promoted the proliferation of MC3T3-E1 cells, improved alkaline
phosphatase (ALP) expression, elevated calcium mineralization and mRNA
expressions of Alp, Col1a1, osteocalcin (Ocn) and osteopontin (Opn) against
oxidative damage induced by H2O2. Importantly, Rb2 reduced the expression levels
of receptor activator of nuclear factor kappa-B ligand (RANKL) and IL-6 and
inhibited the H2O2-induced production of ROS. The in vivo study indicated that
the Rb2 administered for 12weeks partially decreased blood malondialdehyde (MDA)
activity and elevated the activity of reduced glutathione (GSH) in ovariectomized
(OVX) mice. Moreover, Rb2 improved the micro-architecture of trabecular bones and
increased bone mineral density (BMD) of the 4th lumbar vertebrae (L4) and the
distal femur. Altogether, these results demonstrated that the potential anti
osteoporosis effects of Rb2 were linked to a reduction of oxidative damage and
bone-resorbing cytokines, which suggests that Rb2 might be effective in
preventing and alleviating osteoporosis.
PMID- 24933346
TI - Molecular mechanisms underlying skeletal growth arrest by cutaneous scarring.
AB - In pediatric surgeries, cutaneous scarring is frequently accompanied by an arrest
in skeletal growth. The molecular mechanisms responsible for this effect are not
understood. Here, we investigated the relationship between scar contracture and
osteogenesis. An excisional cutaneous wound was made on the tail of neonatal
mice. Finite element (FE) modeling of the wound site was used to predict the
distribution and magnitude of contractile forces within soft and hard tissues.
Morphogenesis of the bony vertebrae was monitored by micro-CT analyses, and
vertebral growth plates were interrogated throughout the healing period using
assays for cell proliferation, death, differentiation, as well as matrix
deposition and remodeling. Wound contracture was grossly evident on post-injury
day 7 and accompanying it was a significant shortening in the tail. FE modeling
indicated high compressive strains localized to the dorsal portions of the
vertebral growth plates and intervertebral disks. These predicted strain
distributions corresponded to sites of increased cell death, a cessation in cell
proliferation, and a loss in mineralization within the growth plates and IVD.
Although cutaneous contracture resolved and skeletal growth rates returned to
normal, vertebrae under the cutaneous wound remained significantly shorter than
controls. Thus, localized contractile forces generated by scarring led to spatial
alterations in cell proliferation, death, and differentiation that inhibited bone
growth in a location-dependent manner. Resolution of cutaneous scarring was not
accompanied by compensatory bone growth, which left the bony elements permanently
truncated. Therefore, targeting early scar reduction is critical to preserving
pediatric bone growth after surgery.
PMID- 24933347
TI - The fracture sites of atypical femoral fractures are associated with the weight
bearing lower limb alignment.
AB - PURPOSE: Atypical femoral fractures (AFFs) are stress-related fractures that are
speculated to associate with long-term treatment with bisphosphonates for
osteoporosis. A history of AFF is a high risk factor for the development of a
subsequent AFF in the same location of the contralateral femur, suggesting that a
patient's individual anatomical factor(s) are related to the fracture site of
AFFs. In this study, we investigated the radiographs of fourteen AFFs (four
bilateral fractures among ten patients) treated at six hospitals associated with
our university between 2005 and 2010. The fracture site and standing femorotibial
angle (FTA), which reflects the mechanical axis of the lower limb, were measured
on weight-bearing lower limb radiographs. The fracture site and FTA of patients
with typical femoral fractures (TFF) were compared to those of patients with
AFFs. The correlations were examined using Spearman's rank correlation
coefficients. The fracture locations in the femora were almost the same in the
patients with bilateral AFFs. There was a positive correlation between the
fracture site and the standing FTA in the patients with AFFs (r=0.82, 95%
confidence interval; 0.49 to 0.94), indicating that the larger the standing FTA
(varus alignment), the more distal the site of the fracture in the femur. The FTA
of the patients with atypical diaphyseal femoral fracture were significantly
larger compared to that of those with not only atypical subtrochanteric fractures
but also TFFs. In conclusion, the fracture sites of AFFs are associated with the
standing lower limb alignment, while those of TFFs are not.
PMID- 24933348
TI - Anna-Monika Award Lecture, DGPPN Kongress, 2013: the role of the hypothalamic
pituitary-adrenal (HPA) axis in the pathogenesis of psychotic major depression.
AB - OBJECTIVES: This Anna Monika Award Lecture updates the role of the hypothalamic
pituitary-adrenal (HPA) axis in the pathogenesis and treatment of psychotic major
depression (PMD). METHODS: Published reports from our group and others on the
clinical phenomenology (including cognition), HPA axis activity, and genetics of
PMD are reviewed as are published trials of the GR antagonist, mifepristone.
RESULTS: Current prevalence of PMD is 0.4%. PMD patients demonstrate significant
elevations in HPA activity (e.g., particularly high rates of dexamethasone non
suppression, high post-dexamethasone cortisol, etc.) as well as significant
impairment in cognition (attention, executive function/response inhibition and
verbal and visual memory). High cortisol levels correlate with a number of
cognitive deficits (e.g., verbal memory). Allelic variants of the glucocorticoid
receptor (GR) gene contribute significantly to both cortisol levels and to
measures of psychosis; corticotropin-releasing hormone receptor 1 variants
contribute to measures of depression and psychosis. GR antagonists have produced
rapid improvement in psychotic symptoms, although failed trials indicate a
therapeutic blood level that may require a dose of 1,200 mg/day that is much
higher than the commonly tested 600 mg/day. CONCLUSIONS: HPA axis over-activity
appears to play a major role in the pathogenesis of PMD and is a target of drug
development.
PMID- 24933349
TI - Cutaneous microbiome studies in the times of affordable sequencing.
AB - BACKGROUND: Skin is our first line of defense against pathogenic microorganisms
and the intimate contact between the epidermis and microbes has been well known.
PURPOSES: Microbes that cause infection are associated with inflammatory
dermatoses and exacerbate wound healing. It is therefore of vital importance to
understand the intricacies of skin-microbiota interactions. However, until
recently our knowledge and understanding was limited by being unable to deal with
uncultivatable microorganisms, which constitute a large majority. BASIC
PROCEDURES: Recent advances in DNA sequencing methodologies, analysis tools and
affordability led to major breakthroughs in defining the cutaneous microbiome.
MAIN FINDINGS: We now know that four phyla, Actinobacteria, Firmicytes,
Proteobacteria and Bacteroidetes, constitute preponderance of skin bacteria,
while Malassezia dominates the fungal microbiome. We know that there are some 300
different bacteria inhabiting our skin. We also know that there is remarkable
interpersonal variation, that the microbiota change over time, that different
body sites harbor specific microbial arrays and that microbiota
characteristically change in skin diseases. PRINCIPAL CONCLUSIONS: The recent
advances led to appreciation that microbes are, for the most part, our allies,
useful and protective, and that with increased understanding we will be able to
harness our cutaneous friends to maintain and promote our health.
PMID- 24933351
TI - Spontaneous intracranial hypotension in a case of systemic lupus erythematosus.
PMID- 24933350
TI - Engineered platform for bioethylene production by a cyanobacterium expressing a
chimeric complex of plant enzymes.
AB - Ethylene is an industrially important compound, but more sustainable production
methods are desirable. Since cellulosomes increase the ability of cellulolytic
enzymes by physically linking the relevant enzymes via dockerin-cohesin
interactions, in this study, we genetically engineered a chimeric cellulosome
like complex of two ethylene-generating enzymes from tomato using cohesin
dockerins from the bacteria Clostridium thermocellum and Acetivibrio
cellulolyticus. This complex was transformed into Escherichia coli to analyze
kinetic parameters and enzyme complex formation and into the cyanobacterium
Synechococcus elongatus PCC 7942, which was then grown with and without 0.1 mM
isopropyl beta-D-1-thiogalactopyranoside (IPTG) induction. Only at minimal
protein expression levels (without IPTG), the chimeric complex produced 3.7 times
more ethylene in vivo than did uncomplexed enzymes. Thus, cyanobacteria can be
used to sustainably generate ethylene, and the synthetic enzyme complex greatly
enhanced production efficiency. Artificial synthetic enzyme complexes hold great
promise for improving the production efficiency of other industrial compounds.
PMID- 24933352
TI - Platelet-rich plasma therapy is effective for the treatment of refractory skin
ulcers in patients with systemic sclerosis.
PMID- 24933353
TI - Robust stochastic stability of discrete-time fuzzy Markovian jump neural
networks.
AB - This paper focuses the issue of robust stochastic stability for a class of
uncertain fuzzy Markovian jumping discrete-time neural networks (FMJDNNs) with
various activation functions and mixed time delay. By employing the Lyapunov
technique and linear matrix inequality (LMI) approach, a new set of delay
dependent sufficient conditions are established for the robust stochastic
stability of uncertain FMJDNNs. More precisely, the parameter uncertainties are
assumed to be time varying, unknown and norm bounded. The obtained stability
conditions are established in terms of LMIs, which can be easily checked by using
the efficient MATLAB-LMI toolbox. Finally, numerical examples with simulation
result are provided to illustrate the effectiveness and less conservativeness of
the obtained results.
PMID- 24933354
TI - Improved delay-dependent stability analysis for neural networks with time-varying
delays.
AB - In this paper, the problem of delay-dependent asymptotic stability analysis for
neural networks with time-varying delays is considered. A new class of Lyapunov
functional is proposed by considering the information of neuron activation
functions adequately. By using the delay-partitioning method and the reciprocally
convex technique, some less conservative stability criteria are obtained in terms
of linear matrix inequalities (LMIs). Finally, two numerical examples are given
to illustrate the effectiveness of the derived method.
PMID- 24933355
TI - Fractional-order system identification and proportional-derivative control of a
solid-core magnetic bearing.
AB - This paper presents the application of fractional-order system identification
(FOSI) and proportional-derivative (PD(u)) control to a solid-core magnetic
bearing (MB). A practical strategy for closed-loop incommensurate FOSI along with
a modified error criterion is utilized to model the MB system and a
corresponding, verification experiment is carried out. Based on the identified
model, integer-order (IO) PD and fractional-order (FO) PD(u) controllers are
designed and compared with the same specifications. Besides, the relation between
the two categories of controllers is discussed by their feasible control zones.
Final simulation and experimental results show that the FO PD(u) controller can
significantly improve the transient and steady-state performance of the MB system
comparing with the IO PD controller.
PMID- 24933357
TI - Synthesis of POSS-based ionic conductors with low glass transition temperatures
for efficient solid-state dye-sensitized solar cells.
AB - Replacing liquid-state electrolytes with solid-state electrolytes has been proven
to be an effective way to improve the durability of dye-sensitized solar cells
(DSSCs). We report herein the synthesis of amorphous ionic conductors based on
polyhedral oligomeric silsesquioxane (POSS) with low glass transition
temperatures for solid-state DSSCs. As the ionic conductor is amorphous and in
the elastomeric state at the operating temperature of DSSCs, good pore filling in
the TiO2 film and good interfacial contact between the solid-state electrolyte
and the TiO2 film can be guaranteed. When the POSS-based ionic conductor
containing an allyl group is doped with only iodine as the solid-state
electrolyte without any other additives, power conversion efficiency of 6.29% has
been achieved with good long-term stability under one-sun soaking for 1000 h.
PMID- 24933356
TI - Conformational switch of insulin-binding aptamer into G-quadruplex induced by K+
and Na+: an experimental and theoretical approach.
AB - Guanine-rich sequences can form the G-quadruplex structure in the presence of
specific metal ions. Here, circular dichroism, UV-vis absorption, fluorescence,
and molecular dynamics simulation studies revealed that insulin-binding aptamer
(IBA) could form an intramolecular G-quadruplex structure after binding K(+).
Circular dichroism (CD) spectra demonstrated that IBA could fold into a parallel
G-quadruplex with a strong positive peak at 263 nm. Analysis of equilibrium
titration data revealed that cation binding was cooperative with the Hill
coefficient of 2.01 in K(+) and 1.90 in Na(+). Thermal denaturation assays
indicated that K(+)-induced G-quadruplex is more stable than Na(+)-induced
structure. Folding of IBA into G-quadruplex leading to the contact quenching
occurs as a result of the formation of a nonfluorescent complex between donor and
acceptor. Based on fluorescence quenching of IBA folding, a potassium-sensing
aptasensor in the range of 0-1.4 mM was proposed. Since the quenching process was
predominantly static, the binding constant and the number of binding sites were
determined. In this research, based on the experimental data, the initial model
of IBA G-quadruplex was constructed by molecular modeling method. The modeling
structure of IBA is an intramolecular parallel-strand quadruplex conformation
with two guanine tetrads. The extended molecular dynamics simulation for the
model indicated that the G-quadruplex maintains its structure very well in
aqueous solution in presence of K(+) in the central cavity. In contrast, it was
demonstrated that the G-quadruplex structure of model in the water collapses in
absence of this cation.
PMID- 24933358
TI - Otologic complications of Stevens-Johnson Syndrome and Toxic Epidermal
Necrolysis.
AB - This case report demonstrates the otologic complications of Stevens-Johnson
Syndrome and Toxic Epidermal Necrolysis (SJS/TEN). A five year-old male was
admitted to the burn unit with the diagnosis of SJS/TEN believed secondary to
acetaminophen use. After resolution of the acute phase, the patient was left with
a severe auricular deformity with scarring and complete occlusion of the right
external auditory canal (EAC). The patient underwent meatoplasty, canaloplasty,
and tympanoplasty with subsequent placement of a molded stent in order to
maintain patency of his EAC. Four years later, the patient has a patent right EAC
with adequate hearing.
PMID- 24933359
TI - A novel splice site mutation in DFNA5 causes late-onset progressive non-syndromic
hearing loss in a Chinese family.
AB - OBJECTIVES: Mutations in DFNA5 may lead to autosomal dominant non-syndromic
sensorineural hearing loss (NSHL). To date, only four DFNA5 mutations have been
reported, all resulting in skipping of exon 8 at the mRNA level. In this study,
we aim to characterize the clinical features and the genetic cause of a Chinese
DFNA5 family. METHODS: Targeted next-generation sequencing of 79 known deafness
genes was performed in the proband. Co-segregation between the disease phenotype
and the potentially pathogenic variant was confirmed in all family members by
Sanger sequencing. RESULTS: A novel heterozygous c.991-2A>G mutation in DFNA5 was
identified in this family segregating with the autosomal dominant, late-onset
NSHL. This mutation was located in the conventional splice site in intron 7 and
was likely to result in skipping of exon 8. The severity of hearing impairment
varied intrafamilially. CONCLUSION: We identified a novel c.991-2A>G mutation in
DFNA5 which again may lead to exon 8 skipping at the mRNA level. Our findings
supported that the DFNA5-associated NSHL results from a specific gain-of-function
mechanism.
PMID- 24933360
TI - Visual and neurologic deterioration in otogenic lateral sinus thrombosis: 15 year
experience.
AB - OBJECTIVES: Otogenic lateral sinus thrombosis is a rare complication of acute
otitis media whose clinical presentation has changed with the early use of
antibiotics. The aim of this study was to analyze the changing clinical signs,
vaccination status, therapeutic management and outcome of these patients.
METHODS: Retrospective chart review of 10 children treated with otogenic lateral
sinus thrombosis in a tertiary level teaching hospital in Budapest, Hungary, from
January 1998 till August 2013. RESULTS: Patients were divided into Early and Late
presenting groups. In the Early presenting group, sepsis developed within one
week after the onset of acute otitis media. At admission otological symptoms were
predominant. The Late presenting group experienced acute otitis media several
weeks prior to presentation and in this group neurologic symptoms dominated the
clinical picture at admission. All patients received antibiotics. Eight of them
were also treated with low molecular weight heparin. All children underwent
cortical mastoidectomy. After surgery, the clinical signs of elevated
intracranial pressure transiently worsened. This manifested as progression of
papilledema in seven children, causing severe visual disturbance in two cases.
After medical treatment and serial lumbar punctures all patients except one
recovered. This child has permanent visual acuity failure of 0.5D unilaterally.
At one year follow up complete and partial recanalization were noted in five and
two patients, respectively. CONCLUSIONS: After mastoidectomy the signs of
elevated intracranial pressure can transiently worsen, papilledema can progress.
Daily bedside monitoring of visual acuity and regular ophthalmoscopy with
neurologic examination is recommended during hospitalization. Close follow up is
advised up to one year. When the dominant sinus is occluded, the clinical
scenario is more protracted and severe.
PMID- 24933361
TI - Employment status of people with mental illness: national survey data from 2009
and 2010.
AB - OBJECTIVE: The aim of this study was to describe employment according to mental
illness severity in the United States during 2009 and 2010. METHODS: The sample
included all working-age participants (ages 18-64) from the 2009 and 2010
National Survey on Drug Use and Health (N=77,326). Two well-established scales of
mental health distinguished participants with none, mild, moderate, and serious
mental illness. Analyses compared employment rate and income by mental illness
severity. Employment status was estimated with logistic regression models that
controlled for demographic characteristics and substance use disorders. In
secondary analyses the relationship between mental illness and employment was
assessed for variation by age and education status. RESULTS: Employment rates
decreased with increasing mental illness severity (no mental illness, 75.9%
employment; mild, 68.8%; moderate, 62.7%; and serious, 54.5%, p<.001). Over a
third of people with serious mental illness, 38.5%, had incomes <$10,000
(compared with 23.1% of people with no mental illness, p<.001). The gap in
adjusted employment rates comparing persons with serious versus no mental illness
was 1% among people 18-25 years old versus 21% among people 50-64 (p<.001).
CONCLUSIONS: More severe mental illness was associated with lower employment
rates in 2009 and 2010. People with serious mental illness are less likely than
people with no, mild, or moderate mental illness to be employed after age 49.
PMID- 24933362
TI - FGFR3 expression in primary invasive bladder cancers and matched lymph node
metastases.
AB - PURPOSE: FGFR3 is considered a good therapeutic target for bladder cancer.
However, to our knowledge it is unknown whether the FGFR3 status of primary
tumors is a surrogate for related metastases, which must be targeted by FGFR
targeted systemic therapies. We assessed FGFR3 protein expression in primary
bladder tumors and matched nodal metastases. MATERIALS AND METHODS: We examined
matched primary tumor and nodal metastases from 150 patients with bladder cancer
clinically staged as N0M0. Four samples per patient were incorporated into a
tissue microarray and FGFR3 expression was assessed by immunohistochemistry.
FGFR3 expression was tested for an association with categorical clinical data
using the Fisher exact test, and with overall and recurrence-free survival by
Kaplan-Meier analysis. RESULTS: Duplicate spots from primary tumors and lymph
node metastases were highly concordant (OR 8.6 and 16.7, respectively, each p
<0.001). Overall FGFR protein expression levels did not differ between primary
and metastatic lesions (p = 0.78). Up-regulated expression was recorded in 53 of
106 evaluable primary tumor spots and 56 matched metastases. Concordance of FGFR3
expression levels in 79 matched primary tumor and metastasis specimens was high
(OR 8.45, p <0.001). In 15 and 12 patients expression was up-regulated in only
metastasis and in only the primary tumor, respectively. Overall and recurrence
free survival was not related to FGFR3 expression. CONCLUSIONS: FGFR3 expression
in matched primary and metastasized bladder cancer specimens showed good but not
absolute concordance. Thus, in most patients primary tumor FGFR3 status can guide
the selection of FGFR targeted therapy.
PMID- 24933363
TI - Anterior vaginal wall suspension procedure for moderate bladder and uterine
prolapse as a method of uterine preservation.
AB - PURPOSE: We report our experience with anterior vaginal wall suspension for
moderate anterior vaginal compartment prolapse and uterine descent less than
stage 2. MATERIALS AND METHODS: Data on patients who underwent anterior vaginal
wall suspension with uterine preservation by hysteropexy and had a 1-year minimum
followup were extracted from a long-term, prospective, institutional review board
approved, surgical prolapse database. The indication for uterine preservation was
uterine descent not beyond the distal third of the vagina with traction with the
patient under anesthesia, and negative Pap smear and pelvic ultrasound
preoperatively. The upper suture of the anterior vaginal wall suspension secures
the cardinal ligament complex, allowing for uterine suspension once the suture is
transferred suprapubically. Failure was defined as prolapse recurrence greater
than stage 2 on physical examination or the need for reoperation for uterine
descent. Outcome measures at serial intervals included validated questionnaires,
physical examination, standing voiding cystourethrogram at 6 months
postoperatively and complications. RESULTS: From May 1996 to March 2012, 52 of
739 patients met inclusion criteria. Mean followup was 55 months (range 12 to
175, median 44). Mean patient age was 62 years (range 38 to 81), mean body mass
index was 26.7 kg/m(2) (range 18.3 to 49.4) and mean parity was 2.7. There were
no transfusions or intraoperative complications. Overall 7 (13%) patients
underwent subsequent hysterectomy for uterine prolapse recurrence at 7 months to
6 years postoperatively. CONCLUSIONS: The anterior vaginal wall suspension
procedure offers a simple, mesh-free surgical alternative with acceptable long
term followup in patients with moderate uterine prolapse who wish for uterine
preservation. However, patients should be appropriately counseled about the low
risk of subsequent hysterectomy.
PMID- 24933364
TI - First measurements of a carbon dioxide plume from an industrial source using a
ground based mobile differential absorption lidar.
AB - The emission of carbon dioxide (CO2) from industrial sources is one of the main
anthropogenic contributors to the greenhouse effect. Direct remote sensing of CO2
emissions using optical methods offers the potential for the identification and
quantification of CO2 emissions. We report the development and demonstration of a
ground based mobile differential absorption lidar (DIAL) able to measure the mass
emission rate of CO2 in the plume from a power station. To our knowledge DIAL has
not previously been successfully applied to the measurement of emission plumes of
CO2 from industrial sources. A significant challenge in observing industrial CO2
emission plumes is the ability to discriminate and observe localised
concentrations of CO2 above the locally observed background level. The objectives
of the study were to modify our existing mobile infrared DIAL system to enable
CO2 measurements and to demonstrate the system at a power plant to assess the
feasibility of the technique for the identification and quantification of CO2
emissions. The results of this preliminary study showed very good agreement with
the expected emissions calculated by the site. The detection limit obtained from
the measurements, however, requires further improvement to provide quantification
of smaller emitters of CO2, for example for the detection of fugitive emissions.
This study has shown that in principle, remote optical sensing technology will
have the potential to provide useful direct data on CO2 mass emission rates.
PMID- 24933369
TI - A Shining Light in Canada's Health Information System.
PMID- 24933366
TI - Quantitative proteomic analysis identifies targets and pathways of a 2
aminobenzamide HDAC inhibitor in Friedreich's ataxia patient iPSC-derived neural
stem cells.
AB - Members of the 2-aminobenzamide class of histone deacetylase (HDAC) inhibitors
show promise as therapeutics for the neurodegenerative diseases Friedreich's
ataxia (FRDA) and Huntington's disease (HD). While it is clear that HDAC3 is one
of the important targets of the 2-aminobenzamide HDAC inhibitors, inhibition of
other class I HDACs (HDACs 1 and 2) may also be involved in the beneficial
effects of these compounds in FRDA and HD, and other HDAC interacting proteins
may be impacted by the compound. To this end, we synthesized activity-based
profiling probe (ABPP) versions of one of our HDAC inhibitors (compound 106), and
in the present study we used a quantitative proteomic method coupled with
multidimensional protein identification technology (MudPIT) to identify the
proteins captured by the ABPP 106 probe. Nuclear proteins were extracted from
FRDA patient iPSC-derived neural stem cells, and then were reacted with control
and ABPP 106 probe. After reaction, the bound proteins were digested on the
beads, and the peptides were modified using stable isotope-labeled formaldehyde
to form dimethyl amine. The selectively bound proteins determined by mass
spectrometry were subjected to functional and pathway analysis. Our findings
suggest that the targets of compound 106 are involved not only in transcriptional
regulation but also in posttranscriptional processing of mRNA.
PMID- 24933368
TI - Dynamical phenotyping: using temporal analysis of clinically collected
physiologic data to stratify populations.
AB - Using glucose time series data from a well measured population drawn from an
electronic health record (EHR) repository, the variation in predictability of
glucose values quantified by the time-delayed mutual information (TDMI) was
explained using a mechanistic endocrine model and manual and automated review of
written patient records. The results suggest that predictability of glucose
varies with health state where the relationship (e.g., linear or inverse) depends
on the source of the acuity. It was found that on a fine scale in parameter
variation, the less insulin required to process glucose, a condition that
correlates with good health, the more predictable glucose values were.
Nevertheless, the most powerful effect on predictability in the EHR subpopulation
was the presence or absence of variation in health state, specifically, in- and
out-of-control glucose versus in-control glucose. Both of these results are
clinically and scientifically relevant because the magnitude of glucose is the
most commonly used indicator of health as opposed to glucose dynamics, thus
providing for a connection between a mechanistic endocrine model and direct
insight to human health via clinically collected data.
PMID- 24933370
TI - Administrative data and the manitoba centre for health policy: some reflections.
AB - The authors review their 30 years' experience in determining the best research
applications for routinely collected data from ministries of health, education
and social services. They describe the rich research opportunities afforded by 40
years of data on health - i.e., every patient contact with hospitals, physicians,
drugs and more - from the problems encountered in convincing an academic journal
that meaningful findings could be culled from information collected on paying
bills and tracking patients, through studies on education (enrolment, grades,
standardized tests for grades 1 to 12), family characteristics (residential
moves, marital formation and breakdown, number and timing of births) and social
services (welfare recipients, children taken into care, protection services
offered children in the family). They also detail how and why the Manitoba Centre
for Health Policy was founded, and how it has continued through multiple
ministerial, deputy and government changes.
PMID- 24933371
TI - The manitoba centre for health policy: a case study.
AB - CONTEXT: The Manitoba Centre for Health Policy (MCHP) is a university research
centre with a long-standing contractual arrangement with government. OBJECTIVE:
The purpose of this project was to examine the facilitators and challenges in the
development, establishment and continuation of MCHP. METHODS: In-depth, semi
structured interviews with 28 participants selected purposefully and a document
review were conducted and analyzed using qualitative methods. RESULTS: Although a
unique confluence of factors facilitated MCHP's establishment, participants
viewed safeguards to credibility (arm's-length from government; guaranteed
academic freedom) along with powerful advocates as key to longevity. Other
factors that participants discussed as important to sustainability included
excellence in scholarship; thorough protection of privacy; stable funding;
incremental growth; teamwork; leadership; nurturing of relationships; and
authentic partnerships. CONCLUSIONS: MCHP has demonstrated that using local
administrative data to address policy-related research questions is of enduring
value to local and provincial communities, and also has national and
international relevance.
PMID- 24933372
TI - Straw into Gold: Lessons Learned (and Still Being Learned) at the Manitoba Centre
for Health Policy.
AB - What lessons have we learned at the Manitoba Centre for Health Policy (MCHP)
about knowledge translation (KT) over the past 20 years, and what is our vision
for the future? How does that KT interrelate with our other activities - research
and the Population Health Data Repository? Who first noticed that "there's gold
in them thar hills," and what did they do about it? How did we weave
administrative database "straw" into gold, how have we panned for gold and how do
we look for the pot of gold in the future? This paper describes how MCHP began
with an integrated KT research relationship with government, and through The Need
to Know Team, extended KT to regional health authority planners. It describes the
various push-pull KT mechanisms that MCHP has used, including dissemination of
research to planners through interactive workshops, and to other researchers
through Web-based resources.
PMID- 24933373
TI - The role of administrative record linkage in creating trajectories of early human
development.
AB - Early childhood development (ECD) is a significant social determinant of health.
Monitoring ECD to reveal trends and patterns of development requires high-quality
information on a population from infancy through adulthood. This study linked
data from the Early Development Instrument (EDI), administered in senior
kindergarten, with data from the Foundation Skills Assessment (FSA), graduation
status and SES to show that Vancouver schools with poor FSA results also had high
proportions of children with low EDI and SES scores. Linking EDI data to data on
pregnancy, birth, medical history, hospital care and success in school would
enable the creation of normative EDC trajectories for all children. A person
specific, anonymized, population-based record linkage system is an indispensable
prerequisite for creating and monitoring developmental trajectories.
PMID- 24933374
TI - Can joined-up data lead to joined-up thinking? The Western Australian
developmental pathways project.
AB - Modern societies are challenged by "wicked problems" - by definition, those that
are difficult to define, multi-causal and hard to treat. Problems such as low
birth weight, obesity, mental ill health, teenage pregnancy, educational
difficulties and juvenile crime fit this category. Given the complex nature of
these problems, they require the best data in order to measure them, guide policy
frameworks and evaluate whether the steps taken to address them are actually
making a difference. What such problems really require are joined-up approaches
to enable effective solutions. In this paper, we describe a unique initiative to
encourage a more preventive, whole-of-government approach to these problems - the
Developmental Pathways Project, which has enabled the linkage of a large number
of de-identified administrative databases in order to explore the pathways into
and out of the negative outcomes affecting our children and youth. This project
has not only enabled the linkage of agency data, but also of agency personnel, in
order to improve and promote cross-agency research, policy and preventive
solutions. Through the use of these linkages we are attempting to shift the
paradigm to encourage agencies to appreciate that these "wicked problems" demand
a preventive approach, as well as the provision of effective services for those
already affected.
PMID- 24933375
TI - How has health services research made a difference?
AB - Health services research (HSR) is commonly conceived as an applied discipline
whose success is defined by its tangible impact on policy, practice or both. In
Canada there has been a concerted effort to engage decision-makers in informing
the research agenda. While it is admirable to aspire to practical utility, the
HSR community has no control over the ultimate disposition of its work.
Furthermore, the conditions for change must be present if the pathway from
relevant, high-quality research to application is to be relatively smooth and
immediate. In such cases, the changes may have occurred regardless of whether the
research to support them took place. An examination of some widely renowned HSR
reveals that timely and significant impact is relatively rare. Moreover, research
that fundamentally changes how we view the world plays out over decades; it would
be impossible to act on it in the short term, and in some cases it is not clear
what ought to be done. The implications are that the first duty of HSR is to seek
truth, and that funding and decision-making communities should define "useful"
broadly, from a longer-term perspective. Taking the wide and the long view will
in the end generate a greater return on investment in HSR than focusing too
narrowly on contemporary preoccupations.
PMID- 24933376
TI - Panning for Population Gold: 20 Years of Research at MCHP.
PMID- 24933377
TI - The Whole Is Greater Than the Sum of the Parts: Using Data Linkage and Cohort
Designs to Create Data Synergy at MCHP.
PMID- 24933378
TI - Taking It to the Streets: Figuring Out and Communicating What's Really Important
in Children's Health and Well-being Research.
PMID- 24933379
TI - Clinical Research at MCHP Over the Next 20 Years.
PMID- 24933380
TI - Using administrative data to study child health.
PMID- 24933381
TI - International health data linkage network.
PMID- 24933382
TI - Dancing with the Media - Be Careful Not to Step on Your Partner's Toes: The
Challenge of Working with the Media to Share Research Findings with the Public.
PMID- 24933383
TI - Seeking the Balance between Harm and Benefit: The Role of Pharmacosurveillance in
Choosing the Drugs We Should Take.
PMID- 24933384
TI - Expanding the data repository: new technology and resources for the 21st century.
PMID- 24933385
TI - Beyond administrative data: a vision for health information systems for Canada.
PMID- 24933386
TI - The inside story: knowledge translation lessons from the need to know team.
PMID- 24933387
TI - Healthcare services for an aging population: using administrative data to help
prepare for the future.
PMID- 24933388
TI - The effects of dietary counseling on children with food allergy: a prospective,
multicenter intervention study.
AB - Although dietary counseling is generally recommended in children with food
allergy (FA), its effect on the nutritional status of these patients has not yet
been evaluated. Our nonrandomized multicenter prospective intervention study was
undertaken to investigate the effects of dietary counseling on children with FA.
Anthropometric data, dietary intakes, and laboratory biomarkers of nutritional
status were evaluated in children with FA (aged 6 to 36 months) before and after
dietary counseling, by multidisciplinary teams composed of pediatricians,
dietitians, and nurses. Ninety-one children with FA (49 boys and 42 girls; mean
age 18.9 months, 95% CI 16.5 to 21.3) were evaluated; 66 children without FA (41
boys and 25 girls; mean age 20.3 months, 95% CI 17.7 to 22.8) served as controls
providing baseline values only. At enrollment, energy and protein intakes were
lower in children with FA (91 kcal/kg/day, interquartile range [IQR]=15.1,
minimum=55.2, maximum=130.6; and 2.2 g/kg/day, IQR=0.5, minimum=1.5, maximum=2.7,
respectively) than in children without FA (96 kcal/kg/day, IQR=6.1, minimum=83.6,
maximum=118.0; and 4.6 g/kg/day, IQR=1.2, minimum=2.0, maximum=6.1, respectively;
P<0.001). A weight to length ratio <2 standard deviations was more frequent in
children with FA than in children without FA (21% vs 3%; P<0.001). At 6 months
following dietary counseling, the total energy intake of children with FA was
similar to the baseline values of control children. Dietary counseling also
resulted in a significant improvement of their anthropometric and laboratory
biomarkers of nutritional status. The results of our study support the crucial
role of dietary counseling in the clinical management of children with FA.
PMID- 24933390
TI - Begging to be heard.
PMID- 24933389
TI - Bifunctional chelates optimized for molecular MRI.
AB - Important requirements for exogenous dyes or contrast agents in magnetic
resonance imaging (MRI) include an effective concentration of paramagnetic or
superparamagnetic ions at the target to be imaged. We report the concise
synthesis and characterization of several new enantiopure bifunctional
derivatives of (alpha(1)R,alpha(4)R,alpha(7)R,alpha(10)R)
alpha(1),alpha(4),alpha(7),alpha(10)-tetramethyl-1,4,7,10-tetraazacyclododecane
1,4,7,10-tetraacetic acid (DOTMA) (and their 1,4,7,10-tetraazacyclododecane
1,4,7,10-tetraacetic acid (DOTA) analogues as controls) that can be covalently
attached to a contrast agent delivery system using either click or peptide
coupling chemistry. Gd complexes of these derivatives can be attached to delivery
systems while maintaining optimal water residence time for increased molecular
imaging sensitivity. Long chain biotin (LC-biotin) derivatives of the Eu(III) and
Gd(III) chelates associated with avidin are used to demonstrate higher
efficiencies. Variable-temperature relaxometry, (17)O NMR, and nuclear magnetic
resonance dispersion (NMRD) spectroscopy used on the complexes and biotin-avidin
adducts measure the influence of water residence time and rotational correlation
time on constrained and unconstrained systems. The Gd(III)-DOTMA derivative has a
shorter water residence time than the Gd(III)-DOTA derivative. Compared to the
constrained Gd(III)-DOTA derivatives, the rotationally constrained Gd(III)-DOTMA
derivative has ~40% higher relaxivity at 37 degrees C, which could increase its
sensitivity as an MRI agent as well as reduce the dose of the targeting agent.
PMID- 24933392
TI - Sequential EEG characteristics may predict seizure recurrence in rolandic
epilepsy.
AB - PURPOSE: The prognosis of rolandic epilepsy (RE) is considered favorable. Since a
moderate proportion of cases presents with isolated seizures, continuous
treatment should be considered only for frequent seizures. Clinical and
electroencephalogram (EEG) markers to predict seizure recurrence need to be
identified. The purpose of this study was to identify EEG criteria related to
seizure recurrence in RE. METHODS: There were 10 children (aged 3-10 years; 6
males, 4 females) in the recurrence group and 12 (aged 4-7 years; 6 males, 6
females) in the isolated group. Occurrences of the number of spikes were scored,
and the presence of rolandic discharges (RD) in the awake record was evaluated.
All patients were evaluated longitudinally, clinically and by EEG, with repeated
EEG recordings every 3 months. Clinical and EEG follow-up was performed for >=4
years. RESULTS: Seizure recurrence and extended periods of high-frequency
paroxysmal EEG abnormalities (>6 months after onset) were significantly
correlated (p<0.001). Moreover, the appearance of RD in awake recordings tended
to be more prevalent in the recurrence group than in the isolated group (odds
ratio 4.714). CONCLUSION: In addition to RD in the awake record, a combination of
spike rate and extended periods of high-frequency paroxysmal EEG abnormalities
may predict seizure recurrence in RE.
PMID- 24933391
TI - Solution structure of a bacterial microcompartment targeting peptide and its
application in the construction of an ethanol bioreactor.
AB - Targeting of proteins to bacterial microcompartments (BMCs) is mediated by an 18
amino-acid peptide sequence. Herein, we report the solution structure of the N
terminal targeting peptide (P18) of PduP, the aldehyde dehydrogenase associated
with the 1,2-propanediol utilization metabolosome from Citrobacter freundii. The
solution structure reveals the peptide to have a well-defined helical
conformation along its whole length. Saturation transfer difference and
transferred NOE NMR has highlighted the observed interaction surface on the
peptide with its main interacting shell protein, PduK. By tagging both a pyruvate
decarboxylase and an alcohol dehydrogenase with targeting peptides, it has been
possible to direct these enzymes to empty BMCs in vivo and to generate an ethanol
bioreactor. Not only are the purified, redesigned BMCs able to transform pyruvate
into ethanol efficiently, but the strains containing the modified BMCs produce
elevated levels of alcohol.
PMID- 24933393
TI - Cardiovascular pressure measurement in safety assessment studies: technology
requirements and potential errors.
AB - In the early days of in vivo nonclinical pressure measurement, most laboratories
were required to have considerable technical/engineering expertise to configure
and maintain pressure transducers, amplifiers, tape recorders, chart recorders,
etc. Graduate students and postdoctoral fellows typically had some training in
the requirements and limitations of the technology they used and were closely
engaged in the collection and evaluation of data from their own experiments. More
recently, pressure sensing telemetry and data acquisition/analysis systems are
provided by vendors as turnkey systems, often resulting in a situation where
users are less familiar with the technicalities of their operation. Also,
investigators are now more likely to be absent and rely on technical staff for
the collection of raw in vivo pressure data from their experiments than in the
past. Depending on the goals of an experiment, an investigator may require the
measurement of a variety of different pressure parameters, over varying periods
of time. A basic understanding of the requirements and limitations that can
affect the accuracy and precision of these parameters is important to ensure that
the results and conclusions from an experiment are reliable. Factors to consider
include the possibility of hydrostatic pressure effects from blood inside the
vasculature of the animal, depending on the location of the sensor, as well as
from fluid inside a fluid-filled catheter system; long-term stability (lack of
drift) of a sensor over time, which can affect the interpretation of absolute
pressure changes over a prolonged experiment; frequency response of the sensor
and associated electronics; and the phase shift that occurs depending on location
of the sensor in the vasculature or because of a fluid-filled catheter system.
Each of these factors is discussed, and the particular requirements of frequency
response as applied to the measurement of cardiac left ventricular pressure are
emphasized. When these factors are understood, a pressure sensing and measurement
system can be selected that is optimized for the experimental model being
studied, thus eliminating errors or inaccurate results.
PMID- 24933394
TI - Alamar blue reagent interacts with cell-culture media giving different
fluorescence over time: potential for false positives.
AB - INTRODUCTION: The cell viability assay by alamar blue is based on the principle
of reduction of the non-fluorescent reagent (resazurin) to a fluorescent compound
(resarufin) by the intracellular reducing environment of living cells over time.
In the present study, we have for the first time shown that even in the absence
of cells, there occurs significant interaction between alamar blue and cell
culture media causing an increase in fluorescence. METHODS: We have used Opti
MEM, DMEM and 1:1 DMEM:Opti-MEM as three different media and determined the
changes in their relative fluorescence units (RFUs) over time after the addition
of 10% (v/v) alamar blue using two-way repeated measures analysis of variance (RM
ANOVA) followed by Tukey's post-hoc test. RESULTS: Our results show that upon the
addition of alamar blue, there occurs a significant increase in RFUs in all the
three media over time along with a significantly higher RFU for the Opti-MEM
overall (p<0.05). We also show that the time-dependent change in RFU of 1:1
DMEM:Opti-MEM was more gradual compared to that of the other two media.
DISCUSSION: These findings indicate that the reagent can itself interact with the
media causing significantly different fluorescence over time in a manner
independent from the effect of intracellular reducing environment of living cells
on alamar blue. In addition our results indicate that fluorescence varies as a
function of incubation time with the reagent. These findings signify the need for
routine subtraction of the background fluorescence of media-only with alamar blue
reagent during measurement of cell viability by this method in order to determine
an accurate measurement of cell viability.
PMID- 24933395
TI - A multiple microwave ablation strategy in patients with initially unresectable
colorectal cancer liver metastases - A safety and feasibility study of a new
concept.
AB - AIMS: Resection for colorectal cancer liver metastases is indicated when an R0
resection with preservation of a sufficient future liver remnant (FLR) is
achievable. Multimodality conversion of initially unresectable patients to
resectable is possible in some patients. We present results of a downstaging
strategy using microwave ablation (MWA). PATIENTS AND METHODS: In patients where
resection was precluded by absence of a tumour-free FLR due to the extent of
segmental tumour engagement, but with the potential to clear the whole liver with
multiple local ablations, MWA was performed at laparotomy using ultrasound
guidance or computer-assisted navigation. Mortality and morbidity was recorded
and the overall and disease-free survival of the ablated patients was compared to
data of two historic cohorts. RESULTS: Ten of twenty treated patients were alive
at median follow-up 25 months. There was no perioperative mortality, with MWA
associated complications being mild to moderate. The MWA group showed a 4-year
overall survival of 41%, compared to 70% for a historic cohort of primarily
resected patients and 4% for patients with palliative treatment. CONCLUSION:
Results of the multiple ablation strategy in the defined population suggest a
survival benefit, compared to palliative chemotherapy alone with acceptable
associated morbidity and no perioperative mortality.
PMID- 24933397
TI - Structure-property relationships of curved aromatic materials from first
principles.
AB - CONSPECTUS: Considerable effort in the past decade has been extended toward
achieving computationally affordable theoretical methods for accurate prediction
of the structure and properties of materials. Theoretical predictions of solids
began decades ago, but only recently have solid-state quantum techniques become
sufficiently reliable to be routinely chosen for investigation of solids as
quantum chemistry techniques are for isolated molecules. Of great interest are ab
initio predictive theories for solids that can provide atomic scale insights into
properties of bulk materials, interfaces, and nanostructures. Adaption of the
quantum chemical framework is challenging in that no single theory exists that
provides prediction of all observables for every material type. However, through
a combination of interdisciplinary efforts, a richly textured and substantive
portfolio of methods is developing, which promise quantitative predictions of
materials and device properties as well as associated performance analysis.
Particularly relevant for device applications are organic semiconductors (OSC),
with electrical conductivity between that of insulators and that of metals.
Semiconducting small molecules, such as aromatic hydrocarbons, tend to have high
polarizabilities, small band-gaps, and delocalized pi electrons that support
mobile charge carriers. Most importantly, the special nature of optical
excitations in the form of a bound electron-hole pairs (excitons) holds
significant promise for use in devices, such as organic light emitting diodes
(OLEDs), organic photovoltaics (OPVs), and molecular nanojunctions. Added
morphological features, such as curvature in aromatic hydrocarbon structure, can
further confine the electronic states in one or more directions leading to
additional physical phenomena in materials. Such structures offer exploration of
a wealth of phenomenology as a function of their environment, particularly due to
the ability to tune their electronic character through functionalization. This
Account offers discussion of current state-of-the-art electronic structure
approaches for prediction of structural, electronic, optical, and transport
properties of materials, with illustration of these capabilities from a series of
investigations involving curved aromatic materials. The class of curved aromatic
materials offers the ability to investigate methodology across a wide range of
materials complexity, including (a) molecules, (b) molecular crystals, (c)
molecular adsorbates on metal surfaces, and (d) molecular nanojunctions. A
reliable pallet of theoretical tools for such a wide array relies on expertise
spanning multiple fields. Working together with experimental experts,
advancements in the fundamental understanding of structural and dynamical
properties are enabling focused design of functional materials. Most importantly,
these studies provide an opportunity to compare experimental and theoretical
capabilities and open the way for continual improvement of these capabilities.
PMID- 24933396
TI - Risk pathways among traumatic stress, posttraumatic stress disorder symptoms, and
alcohol and drug problems: a test of four hypotheses.
AB - The present study utilized longitudinal data from a community sample (n = 377;
166 trauma-exposed; 54% males; 73% non-Hispanic Caucasian; 22% Hispanic; 5% other
ethnicity) to test whether pretrauma substance use problems increase risk for
trauma exposure (high-risk hypothesis) or posttraumatic stress disorder (PTSD)
symptoms (susceptibility hypothesis), whether PTSD symptoms increase risk for
later alcohol/drug problems (self-medication hypothesis), and whether the
association between PTSD symptoms and alcohol/drug problems is attributable to
shared risk factors (shared vulnerability hypothesis). Logistic and negative
binomial regressions were performed in a path analysis framework. Results
provided the strongest support for the self-medication hypothesis, such that PTSD
symptoms predicted higher levels of later alcohol and drug problems, over and
above the influences of pretrauma family risk factors, pretrauma substance use
problems, trauma exposure, and demographic variables. Results partially supported
the high-risk hypothesis, such that adolescent substance use problems increased
risk for assaultive violence exposure but did not influence overall risk for
trauma exposure. There was no support for the susceptibility hypothesis. Finally,
there was little support for the shared vulnerability hypothesis. Neither trauma
exposure nor preexisting family adversity accounted for the link between PTSD
symptoms and later substance use problems. Rather, PTSD symptoms mediated the
effect of pretrauma family adversity on later alcohol and drug problems, thereby
supporting the self-medication hypothesis. These findings make important
contributions to better understanding the directions of influence among traumatic
stress, PTSD symptoms, and substance use problems.
PMID- 24933398
TI - Adiponectin is associated with increased mortality in patients with already
established cardiovascular disease: a systematic review and meta-analysis.
AB - BACKGROUND: The overall quantitative estimate on the possible association of
adiponectin concentrations with mortality in patients with cardiovascular
diseases (CVD) has not been reported. METHODS: We performed a systematic review
and meta-analysis of prospective studies to evaluate the overall quantitative
estimates on the adiponectin levels for risk of mortality in patients with CVD.
MEDLINE, EMBASE, CINAHL, and the Cochrane Library (up to Mar 22, 2014) were used
to search for studies evaluating the effect of adiponectin levels on mortality in
patients with CVD. Random-effect models were selected to estimate overall effect
estimates. RESULTS: Data from 14063 CVD patients enrolled in 15 prospective
cohort and 1 nested case control studies were collated. The meta-analyses showed
strong positive association of adiponectin with all-cause (n=14 studies, overall
pooled effect estimate=1.45 [95% CI, 1.17-1.79]) and cardiovascular (n=11
studies, overall pooled effect estimate=1.69 [1.35-2.10]) mortality, for the
highest tertile of adiponectin levels versus the lowest tertile. Subgroup
analyses show study characteristics (including effect estimate, mean age, study
location, sample sizes, gender, durations of follow-up, types of primary event,
and acute or chronic CVD) did not substantially influence these positive
associations. CONCLUSIONS: Our results showed that increased baseline plasma
adiponectin levels are significantly associated with elevated risk of all-cause
and cardiovascular mortality in subjects with CVD. These positive associations
may have been amplified by adjustment for potential intermediates or residual
confounding, and their basis requires further investigation.
PMID- 24933399
TI - Exendin-4 regulates lipid metabolism and fibroblast growth factor 21 in hepatic
steatosis.
AB - OBJECTIVE: Hepatokine fibroblast growth factor (FGF) 21 takes part in the
regulation of lipid metabolism in the liver and adipose tissue. We investigated
whether exendin-4 regulates the expression of FGF21 in the liver, and whether the
effects of exendin-4 on the regulation of FGF21 expression are mediated via
silent mating type information regulation 2 homolog (SIRT) 1 or SIRT6.
MATERIALS/METHODS: The C57BL/6J mice were fed a low fat diet, high fat diet, or
high fat diet with 1 nmol/kg/day exendin-4 intraperitoneal injection for 10
weeks. HepG2 used in vitro study was treated with palmitic aicd (0.4 mM) with or
without exendin-4 (100 nM) and FGF21 (50 nM) for 24 hours. The change of FGF21
and its receptors expression by exendin-4 were measured using quantitative real
time RT-PCR and Western blot. The intracellular lipid content in HepG2 cells was
evaluated by Oil Red O staining. Inhibition of FGF21, SIRT1 and SIRT6, by 10 nM
siRNA was performed to establish the signaling pathway of exendin-4 action in
hepatic lipid metabolism. RESULTS: Exendin-4 increased the expression of FGF21
and its receptors in high fat diet-induced obese mice. In addition, recombinant
FGF21 treatment reduced lipid content in palmitic acid-treated HepG2 cells. We
also observed significantly decreased expression of peroxisomal proliferator
activated receptor (PPAR) alpha and medium-chain acyl-coenzyme A dehydrogenase
(MCAD) in hepatocytes transfected with FGF21 siRNA. In cells treated with exendin
4, inhibition of SIRT1, but not SIRT6, by siRNA significantly repressed the
expression of FGF21 mRNA, whereas decreased SIRT1 expression by inhibition of
FGF21 was not observed. CONCLUSIONS: These data suggest that exendin-4 could
improve fatty liver by increasing SIRT1-mediated FGF21.
PMID- 24933401
TI - Childhood adversities and adolescent depression: a matter of both risk and
resilience.
AB - Childhood adversities have been proposed to modify later stress sensitivity and
risk of depressive disorder in several ways: by stress sensitization, stress
amplification, and stress inoculation. Combining these models, we hypothesized
that childhood adversities would increase risk of early, but not later, onsets of
depression (Hypothesis 1). In those without an early onset, childhood adversities
were hypothesized to predict a relatively low risk of depression in high-stress
conditions (Hypothesis 2a) and a relatively high risk of depression in low-stress
conditions (Hypothesis 2b), compared to no childhood adversities. These
hypotheses were tested in 1,584 participants of the Tracking Adolescents'
Individual Lives Survey, a prospective cohort study of adolescents. Childhood
adversities were assessed retrospectively at ages 11 and 13.5, using self-reports
and parent reports. Lifetime DSM-IV major depressive episodes were assessed at
age 19, by means of the Composite International Diagnostic Interview. Stressful
life events during adolescence were established using interview-based contextual
ratings of personal and network events. The results provided support for all
hypotheses, regardless of the informant and timeframe used to assess childhood
adversities and regardless of the nature (personal vs. network, dependent vs.
independent) of recent stressful events. These findings suggest that age at first
onset of depression may be an effective marker to distinguish between various
types of reaction patterns.
PMID- 24933400
TI - Dipeptidyl peptidase inhibition prevents diastolic dysfunction and reduces
myocardial fibrosis in a mouse model of Western diet induced obesity.
AB - OBJECTIVE: Consumption of a high-fat/high-fructose Western diet (WD) is linked to
rising obesity and heart disease, particularly diastolic dysfunction which
characterizes early obesity/metabolic cardiomyopathy. Mounting evidence supports
a role for inflammation, oxidative stress and fibrosis in the pathophysiology of
metabolic cardiomyopathy. Dipeptidyl peptidase-4 (DPP-4) is a circulating
exopeptidase recently reported to be elevated in the plasma of patients with
insulin resistance (IR), obesity and heart failure. We hypothesized that a model
of WD induced obesity/metabolic cardiomyopathy would exhibit increased DPP-4
activity and cardiac fibrosis with DPP-4 inhibition preventing cardiac fibrosis
and the associated diastolic dysfunction. MATERIALS/METHODS: Four-week-old
C57BL6/J mice were fed a high-fat/high-fructose WD with the DPP-4 inhibitor
MK0626 for 16 weeks. Cardiac function was examined by high-resolution cine
cardiac magnetic resonance imaging (MRI). Phenotypic analysis included
measurements of body and heart weight, systemic IR and DPP-4 activity.
Immunohistochemistry and transmission electron microscopy (TEM) were utilized to
identify underlying pathologic mechanisms. RESULTS: We found that chronic WD
consumption caused obesity, IR, elevated plasma DPP-4 activity, heart enlargement
and diastolic dysfunction. DPP-4 inhibition with MK0626 in WD fed mice resulted
in >75% reduction in plasma DPP-4 activity, improved IR and normalized diastolic
relaxation. WD consumption induced myocardial oxidant stress and fibrosis with
amelioration by MK0626. TEM of hearts from WD fed mice revealed abnormal
mitochondrial and perivascular ultrastructure partially corrected by MK0626.
CONCLUSIONS: This study provides evidence of a role for increased DPP-4 activity
in metabolic cardiomyopathy and a potential role for DPP-4 inhibition in
prevention and/or correction of oxidant stress/fibrosis and associated diastolic
dysfunction.
PMID- 24933402
TI - Facilitating classroom based interprofessional learning: a grounded theory study
of university educators' perceptions of their role adequacy as facilitators.
AB - The provision of inter professional learning (IPL) within undergraduate
programmes is now well established within many Higher Education Institutions
(HEIs). IPL aims to better equip nurses and other health professionals with
effective collaborative working skills and knowledge to improve the quality of
patient care. Although there is still ambiguity in relation to the optimum timing
and method for delivering IPL, effective facilitation is seen as essential. This
paper reports on a grounded theory study of university educators' perceptions of
the knowledge and skills needed for their role adequacy as IPL facilitators. Data
was collected using semi structured interviews with nine participants who were
theoretically sampled from a range of professional backgrounds, with varied
experiences of education and involvement in facilitating IPL. Constant
comparative analysis was used to generate four data categories: creating and
sustaining an IPL group culture through transformational IPL leadership (core
category), readiness for IPL facilitation, drawing on past interprofessional
learning and working experiences and role modelling an interprofessional
approach. The grounded theory generated from this study, although propositional,
suggests that role adequacy for IPL facilitation is dependent on facilitator
engagement in a process of 'transformational interprofessional learning
leadership' to create and sustain a group culture.
PMID- 24933403
TI - Wide temperature range testing with ROTEM coagulation analyses.
AB - Mild induced hypothermia is used for neuroprotection in patients successfully
resuscitated after cardiac arrest. Temperature-dependent effects on rotational
thromboelastometry (ROTEM((r))) assays with EXTEM((r)), FIBTEM((r)), or
APTEM((r)) in cardiac arrest patients have not previously been studied. Ten
patients with out-of-hospital cardiac arrest who underwent induced hypothermia
were studied during stable hypothermia at 33 degrees C. ROTEM temperature effects
on EXTEM, FIBTEM, and APTEM assays were studied at temperatures set between 30
degrees C and 42 degrees C. Citrated whole blood test tubes were incubated in
temperature-adjusted heating blocks and then investigated at respective
temperature in the temperature-adjusted ROTEM. The following variables were
determined: clotting time (CT), clot formation time (CFT), alpha-angle, and
maximum clot firmness (MCF). The results from hypo- and hyperthermia samples were
compared with the samples incubated at 37 degrees C using the Wilcoxon matched
pairs signed-rank test. A p-value of <0.05 was considered significant. CT
EXTEM((r)) and CT-APTEM((r)) were prolonged by hypothermia at 30 degrees C
(p<0.01 for both) and 33 degrees C (p<0.05 for both). Hyperthermia at 42 degrees
C shortened CT-EXTEM (p<0.05) and CT-APTEM (p<0.01). CFT-EXTEM((r)) and CFT
APTEM((r)) were markedly prolonged by hypothermia at 30 degrees C, 33 degrees C,
and 35 degrees C (p<0.01 for all except CFT-EXTEM, 35 degrees C [p<0.05]). The
alpha-angle-EXTEM was markedly decreased at 30 degrees C, 33 degrees C, and 35
degrees C (p<0.01) but increased at 40 degrees C (p<0.05) and 42 degrees C
(p<0.01); alpha-angle-APTEM showed similar results. MCF was unchanged at
different temperatures for all tests. ROTEM (EXTEM, FIBTEM, and APTEM assays)
revealed a hypocoagulative response to in vitro-applied hypothermia in the blood
of cardiac arrest patients reflected in the prolonged clot initiation and
decreased clot propagation. Hyperthermia showed the opposite effects. Clot
firmness was not affected by temperature.
PMID- 24933405
TI - Design of highly sensitive and selective Au@NiO yolk-shell nanoreactors for gas
sensor applications.
AB - Au@NiO yolk-shell nanoparticles (NPs) were synthesized by simple solution route
and applied for efficient gas sensor towards H2S gas. Carbon encapsulated Au
(Au@C core-shell) NPs were synthesized by glucose-assisted hydrothermal method,
whereas Au@NiO yolk-shell NPs were synthesized by precipitation method using Au@C
core-shell NPs as a template. Sub-micrometer Au@NiO yolk-shell NPs were formed
having 50-70 nm Au NPs at the periphery of NiO shell (10-20 nm), which was
composed of 6-12 nm primary NiO particles. Au@NiO yolk-shell NPs showed higher
response for H2S compared to other interfering gases (ethanol, p-xylene, NH3, CO
and H2). The maximum response was 108.92 for 5 ppm of H2S gas at 300 degrees C,
which was approximately 19 times higher than that for the interfering gases. The
response of Au@NiO yolk-shell NPs to H2S was approximately 4 times higher than
that of bare NiO hollow nanospheres. Improved performance of Au@NiO yolk-shell
NPs was attributed to hollow spaces that allowed the accessibility of Au NPs to
gas molecules. It was suggested that adsorption of H2S on Au NPs resulted in the
formation of sulfide layer, which possibly lowered its work function, and
therefore tuned the electron transfer from Au to NiO rather NiO to Au, which
leaded to increase in resistance and therefore response.
PMID- 24933404
TI - External validation of the SF-36 quality-of-life questionnaire in Italian and
Brazilian populations to select patients with colorectal endometriosis for
surgery.
AB - STUDY OBJECTIVE: To evaluate the external validity of the validated French model
of the quality-of-life questionnaire (QOL) SF-36 in predicting improvement after
colorectal resection for endometriosis. DESIGN: Italian and Brazilian cohort
studies (Canadian Task Force classification II-3). SETTING: Tertiary referral
university hospital in Brazil and expert center in endometriosis in Italy.
PATIENTS: Patients with colorectal endometriosis from an Italian population (n =
63) and a Brazilian population (n = 151). INTERVENTION: Laparoscopic colorectal
resection for treatment of endometriosis. MEASUREMENTS AND MAIN RESULTS:
Preoperative and postoperative evaluations of the Physical Component Summary
(PCS) and the Mental Component Summary (MCS) of the SF-36 were performed.
Substantial improvement in PCS and MCS was observed after colorectal resection in
both populations. In the Brazilian population, the receiver operating curve (ROC)
(area under the curve [AUC]) was 0.83 (95% confidence interval [CI], 0.77-0.89)
for MCS and 0.78 (95% CI, 0.71-0.83) for PCS, demonstrating good discrimination
performance. The mean difference between the predicted and calibrated
probabilities was 19.6% for MCS and 32.8% for PCS. In the Italian population, the
ROC curve (AUC) was 0.65 (95% CI, 0.52-0.78) for PCS and 0.67 (95% CI, 0.55-0.78)
for MCS. The model demonstrated poor discrimination and calibration performance
for PCS (p < .001) and MCS (p = .003). The mean difference between the predicted
and calibrated probabilities was 17.5% for MCS and 21.8% for PCS. CONCLUSION:
Despite the use of validated translations of the SF-36, our results underline the
limits of this tool in selection of patients for colorectal resection due to
underestimation of predicted quality of life, possibly because of variations in
epidemiologic characteristics of the populations.
PMID- 24933406
TI - Characterization of titanium dioxide nanoparticles in food products: analytical
methods to define nanoparticles.
AB - Titanium dioxide (TiO2) is a common food additive used to enhance the white
color, brightness, and sometimes flavor of a variety of food products. In this
study 7 food grade TiO2 materials (E171), 24 food products, and 3 personal care
products were investigated for their TiO2 content and the number-based size
distribution of TiO2 particles present in these products. Three principally
different methods have been used to determine the number-based size distribution
of TiO2 particles: electron microscopy, asymmetric flow field-flow fractionation
combined with inductively coupled mass spectrometry, and single-particle
inductively coupled mass spectrometry. The results show that all E171 materials
have similar size distributions with primary particle sizes in the range of 60
300 nm. Depending on the analytical method used, 10-15% of the particles in these
materials had sizes below 100 nm. In 24 of the 27 foods and personal care
products detectable amounts of titanium were found ranging from 0.02 to 9.0 mg
TiO2/g product. The number-based size distributions for TiO2 particles in the
food and personal care products showed that 5-10% of the particles in these
products had sizes below 100 nm, comparable to that found in the E171 materials.
Comparable size distributions were found using the three principally different
analytical methods. Although the applied methods are considered state of the art,
they showed practical size limits for TiO2 particles in the range of 20-50 nm,
which may introduce a significant bias in the size distribution because particles
<20 nm are excluded. This shows the inability of current state of the art methods
to support the European Union recommendation for the definition of nanomaterials.
PMID- 24933407
TI - Coagulation and transfusion in the postoperative bleeding patient.
AB - PURPOSE OF REVIEW: Bleeding can be minimal, severe, life-threatening, or organ
threatening. Depending on the compensatory capacity of the patient, most bleeding
events going beyond 20% blood volume may represent an emergency as well as a risk
factor for anemia, transfusion, coagulopathy, and tissue hypoperfusion. All these
factors are independent predictors for survival in postoperative critical care
and are drivers for resource use and costs. RECENT FINDINGS: A systematic
literature search behind the guidelines from the European Society of
Anesthesiology on the management of severe perioperative bleeding gives an up-to
date evidence-based summary of strategies intended to correct hemostasis, control
bleeding, and increase patient safety. The current review discusses information,
recommendations, and suggestions in the European Society of Anesthesiology
guidelines, which appear applicable to the bleeding patient after the end of
surgery. SUMMARY: Individualized coagulation management guided by viscoelastic
tests and restrictive transfusion behavior are encouraged in clinical practice of
critical care. Potential fields of research are multifold, for example,
thromboembolic adverse effects of hemostatic interventions in the isochronic
postoperative acute-phase response, transfusion restrictions by increasing
postoperative tolerance to anemia and erythropoiesis, and implementation of
guidelines and institutional algorithms.
PMID- 24933408
TI - Approach-Avoidance Attitudes Associated with Initial Therapy Appointment
Attendance: A Prospective Study.
AB - BACKGROUND: Initial therapy appointments have high nonattendance rates yet the
reasons remain poorly understood. AIMS: This study aimed to identify positive and
negative attitudes towards therapy that predicted initial attendance, informed by
a perceptual control theory account of approach-avoidance conflicts in help
seeking. METHOD: A prospective study was conducted within a low intensity CBT
service using first appointment attendance (n = 96) as an outcome. Measures
included attitudes towards therapy, depression and anxiety scales, and
demographic variables. RESULTS: Endorsement of a negative attitude item
representing concern about self-disclosure was independently predictive of
nonattendance. Positive attitudes predicted increased attendance, especially
endorsement of motives for self-reflection, but only among less depressed
individuals. CONCLUSIONS: Self-disclosure concerns contribute to therapy
avoidance and having goals for self-reflection may represent approach motivation
for therapy; however, the latter has less impact among more highly depressed
people.
PMID- 24933409
TI - Synthesis, hybridization characteristics, and fluorescence properties of
oligonucleotides modified with nucleobase-functionalized locked nucleic acid
adenosine and cytidine monomers.
AB - Conformationally restricted nucleotides such as locked nucleic acid (LNA) are
very popular as affinity-, specificity-, and stability-enhancing modifications in
oligonucleotide chemistry to produce probes for nucleic acid targeting
applications in molecular biology, biotechnology, and medicinal chemistry.
Considerable efforts have been devoted in recent years to optimize the
biophysical properties of LNA through additional modification of the sugar
skeleton. We recently introduced C5-functionalization of LNA uridines as an
alternative and synthetically more straightforward approach to improve the
biophysical properties of LNA. In the present work, we set out to test the
generality of this concept by studying the characteristics of oligonucleotides
modified with four different C5-functionalized LNA cytidine and C8-functionalized
LNA adenosine monomers. The results strongly suggest that C5-functionalization of
LNA pyrimidines is indeed a viable approach for improving the binding affinity,
target specificity, and/or enzymatic stability of LNA-modified ONs, whereas C8
functionalization of LNA adenosines is detrimental to binding affinity and
specificity. These insights will impact the future design of conformationally
restricted nucleotides for nucleic acid targeting applications.
PMID- 24933410
TI - Quantitative EEG in Alzheimer's disease: cognitive state, resting state and
association with disease severity.
AB - BACKGROUND: Quantitative electroencephalogram (qEEG) recorded during cognitive
tasks has been shown to differentiate between patients with Alzheimer's disease
(AD) and healthy individuals. However, the association between various qEEG
markers recorded during mnestic paradigms and clinical measures of AD has not
been studied in detail. OBJECTIVE: To evaluate if 'cognitive' qEEG is a useful
diagnostic option, particularly if memory paradigms are used as cognitive
stimulators. METHODS: This study is part of the Prospective Registry on Dementia
in Austria (PRODEM), a multicenter dementia research project. A cohort of 79
probable AD patients was included in a cross-sectional analysis. qEEG recordings
performed in resting states were compared with recordings during cognitively
active states. Cognition was evoked with a face-name paradigm and a paired
associate word list task, respectively. Relative band powers, coherence and auto
mutual information were computed as functions of MMSE scores for the memory
paradigms and during rest. Analyses were adjusted for the co-variables age, sex,
duration of dementia and educational level. RESULTS: MMSE scores explained 36-51%
of the variances of qEEG-markers. Face-name encoding with eyes open was superior
to resting state with eyes closed in relative theta and beta1 power as well as
coherence, whereas relative alpha power and auto-mutual information yielded more
significant results during resting state with eyes closed. The face-name task
yielded stronger correlations with MMSE scores than the verbal memory task.
CONCLUSION: qEEG alterations recorded during mnestic activity, particularly face
name encoding showed the highest association with the MMSE and may serve as a
clinically valuable marker for disease severity.
PMID- 24933412
TI - Credibility assessment: preliminary process theory, the polygraph process, and
construct validity.
AB - The term "polygraph test," particularly in a forensic context, is used generally
to describe diagnostic procedures using a polygraph instrument to assess
credibility. Polygraph testing has been subject to greater scrutiny, debate, and
empirical study than many other forensic techniques. It has been repeatedly
demonstrated that, when used properly, the polygraph testing process functions
with a high degree of predictive (criterion) validity. However, advocates have
failed to address, in a substantive manner, the primary objection often cited by
opponents that the polygraph procedure most used in applied day-to-day contexts,
that is, Comparison Question Testing (CQT), is atheoretical and lacking construct
validity. A review of the available research literature, including that from the
neurosciences, psychophysiology, and other relevant disciplines, coupled with an
intimate understanding of two commonly used polygraph procedures, the context in
which they are used, and the scientific method, strongly suggests that such
claims are no longer true, nor warranted. Here, we discuss the interplay of the
two most advocated polygraph procedures, the CQT and CIT (Concealed Information
Testing), with Preliminary Process Theory (PPT), contemporary writings on memory
and other contributions from the research literature relevant to the instrumental
assessment of credibility. We conclude that the available scientific evidence not
only establishes a plausible theoretical construct that strengthens the practical
application of the polygraph process in forensic and other settings, but also
concurrently provides directions for future research by scientists interested in
the applied assessment of credibility.
PMID- 24933411
TI - Infant cortical electrophysiology and perception of vowel contrasts.
AB - Cortical auditory evoked potentials (CAEPs) were obtained for vowel tokens
presented in an oddball stimulus paradigm. Perceptual measures of vowel
discrimination were obtained using a visually-reinforced head-turn paradigm. The
hypothesis was that CAEP latencies and amplitudes would differ as a function of
vowel type and be correlated with perceptual performance. Twenty normally hearing
infants aged 4-12 months were evaluated. CAEP component amplitudes and latencies
were measured in response to the standard, frequent token /a/ and for infrequent,
deviant tokens /i/, /o/ and /u/, presented at rates of 1 and 2 tokens/s. The
perceptual task required infants to make a behavioral response for trials that
contained two different vowel tokens, and ignore those in which the tokens were
the same. CAEP amplitudes were larger in response to the deviant tokens, when
compared to the control condition in which /a/ served as both standard and
deviant. This was also seen in waveforms derived by subtracting the response to
standard /a/ from the responses to deviant tokens. CAEP component latencies in
derived responses at 2/s also demonstrated some sensitivity to vowel contrast
type. The average hit rate for the perceptual task was 68.5%, with a 25.7% false
alarm rate. There were modest correlations of CAEP amplitudes and latencies with
perceptual performance. The CAEP amplitude differences for vowel contrasts could
be used as an indicator of the underlying neural capacity to encode spectro
temporal differences in vowel sounds. This technique holds promise for
translation to clinical methods for evaluating speech perception.
PMID- 24933413
TI - The visual mismatch negativity (vMMN): toward the optimal paradigm.
AB - In the present article, we tested an optimal vMMN paradigm allowing one to obtain
vMMNs for several visual attributes in a short time. vMMN responses to changes in
color, duration, orientation, shape, and size were compared between the
traditional 'oddball' paradigm (a single type of visual change in each sequence)
and the optimal paradigm in which all the 5 types of changes appeared within the
same sequence. The vMMNs obtained in the optimal paradigm were equal or larger in
amplitude to those in the traditional vMMN paradigm. The optimal paradigm can
provide 5 different vMMNs in the same time in which usually only one MMN is
obtained. This short objective measure could putatively be used as an index for
visual cognition function especially in clinical research.
PMID- 24933414
TI - SIAMO: Italian Pediatric Oncologists and Adult Medical Oncologists Join Forces
for Adolescents with Cancer.
PMID- 24933416
TI - Is living with psychosis demoralizing? Insight, self-stigma, and clinical outcome
among people with schizophrenia across 1 year.
AB - Lack of insight is a major target in the treatment of schizophrenia. However,
insight may have undesirable effects on self-concept and motivation that can
hinder recovery. This study aimed to examine the link between insight, self
stigma, and demoralization as predictors of symptoms and functioning. Insight,
self-stigma, depressive and psychotic symptoms, and functioning were assessed
among 133 outpatients with schizophrenia at baseline and 12 months later. The
data were analyzed by hierarchical multiple linear regressions. More insight at
baseline and an increase in self-stigma over 12 months predicted more
demoralization at follow-up. Insight at baseline was not associated with any
outcome variable, but self-stigma at baseline was related to poorer functioning
and more positive symptoms at follow-up. More demoralization at baseline
predicted poorer functioning 12 months later. Demoralization did not mediate the
relationship between self-stigma at baseline and functioning after 1 year. Given
the decisive role of self-stigma regarding recovery from schizophrenia,
dysfunctional beliefs related to illness and the self should be addressed in
treatment. Different psychotherapeutical approaches are discussed.
PMID- 24933415
TI - Psychiatrists' views of the genetic bases of mental disorders and behavioral
traits and their use of genetic tests.
AB - We examined how 372 psychiatrists view genetic aspects of mental disorders and
behaviors and use genetic tests (GTs). Most thought that the genetic contribution
was moderate/high for bipolar disorder, schizophrenia, depression, Alzheimer's,
intelligence, creativity, anxiety, and suicidality. In the past 6 months, 14.1%
ordered GTs, 18.3% discussed prenatal testing with patients, 36.0% initiated
discussions about other GTs, 41.6% had patients ask about GTs, and 5.3% excluded
GT results from patient records. Many thought that GTs; were available for
schizophrenia (24.3%) and major depression (19.6%). Women were more likely to
report that patients asked about GTs; and were less certain about the degree of
genetic contribution to several disorders. Psychiatrists perceive strong genetic
bases for numerous disorders and traits, and many have discussed and ordered
tests for GTs, but have relatively limited knowledge about available tests. These
data suggest possible sex differences in psychiatrists' beliefs about genetic
contributions to disorders and have implications for future research, education,
policy, and care.
PMID- 24933417
TI - Perceptual properties of obsessive thoughts are associated with low insight in
obsessive-compulsive disorder.
AB - Obsessions are traditionally defined as bothersome and repetitive thoughts that
the patient is unable to resist. Preliminary evidence suggests that in a subgroup
of patients with obsessive-compulsive disorder (OCD), obsessions are experienced
as partially perceptual. The present study explored the frequency of perceptually
laden obsessions and their relationship with illness insight and depression.
Twenty-six patients with OCD were administered the newly developed Sensory
Properties of Obsessions Questionnaire. Participants were asked to endorse on a 5
point Likert scale whether their obsessions were associated with perceptual
features. Participants showed moderate symptom severity. A total of 73% affirmed
that their obsessions contained perceptual features. The predominant perceptual
channels were visual, tactile, and somatic (i.e., bodily sensations). The extent
of perceptual aspects associated with obsessions was strongly correlated with
lack of insight (Yale-Brown Obsessive-Compulsive Scale item 11) but not
depression severity. The present study suggests that obsessive thoughts are
frequently accompanied by perceptual sensations, which concurs with models
assuming a continuum between hallucinations and intrusions. Apparently, the more
"real" or authentic the obsessive thought is experienced, the less the afflicted
person is able to dismiss its content as fully irrational or absurd.
PMID- 24933418
TI - Surfactant selection principle for reducing critical micelle concentration in
mixtures of oppositely charged gemini surfactants.
AB - Cationic quaternary ammonium gemini surfactants
C(n)H(2n+1)(CH3)2N(+)CH2CHCHCH2(CH3)2N(+)C(n)H(2n+1)2Br(-) (C(n)C4C(n), n = 12,
8, 6) with alkyl spacers,
C(n)H(2n+1)(CH3)2N(+)CH2CHOHCHOHCH2(CH3)2N(+)C(n)H(2n+1)2Br(-) (C(n)C4(OH)2C(n),
n = 12, 8, 6, 4) with two hydroxyl groups in alkyl spacers, and cationic ammonium
single-chain surfactants C(n)H(2n+1)(CH3)2N(+)Br(-) (C(n)TAB, n = 12, 8, 6) have
been chosen to fabricate oppositely charged surfactant mixtures with anionic
sulfonate gemini surfactant C12H25N(CH2CH2CH2SO3(
))CH2CH2CH2(CH3)2N(CH2CH2CH2SO3(-))C12H252Na (C12C3C12(SO3)2). Surface tension,
electrical conductivity, and isothermal titration microcalorimetry (ITC) were
used to study their surface properties, aggregation behaviors, and intermolecular
interactions. The mixtures of C12C3C12(SO3)2/C(n)C4(OH)2C(n) (n = 12, 8) and
C12C3C12(SO3)2/C12C4C12 show anomalous larger critical micelle concentration
(CMC) than C12C3C12(SO3)2, while the mixtures of C12C3C12(SO3)2/C(n)C4(OH)2C(n)
(n = 6, 4), C12C3C12(SO3)2/C(n)C4(OH)2C(n) (n = 6, 4), and C12C3C12(SO3)2/C(n)TAB
(n = 12, 8, 6) exhibit much lower CMC than C12C3C12(SO3)2. The results indicate
that strong hydrophobic interactions between the alkyl chains assisted by strong
electrostatic attractions between the headgroups and hydrogen bonds between the
spacers lead to the formation of less surface active premicellar aggregates in
bulk solution, resulting in the increase of CMC. If these interactions are
weakened or inhibited, less surface active premicellar aggregates are no longer
formed in the mixtures, and thus the CMC values are reduced. The work reveals
that the combination of two surfactants with great self-assembling ability
separately may have strong intermolecular binding interactions; however, their
mixtures do not always generate superior synergism properties. Only moderate
intermolecular interaction can generate the strongest synergism in CMC reduction.
PMID- 24933419
TI - Survival of Salmonella spp. and fecal indicator bacteria in Vietnamese biogas
digesters receiving pig slurry.
AB - Small-scale biogas digesters are widely promoted worldwide as a sustainable
technology to manage livestock manure. In Vietnam, pig slurry is commonly applied
to biogas digesters for production of gas for electricity and cooking with the
effluent being used to fertilize field crops, vegetables and fish ponds. Slurry
may contain a variety of zoonotic pathogens, e.g. Salmonella spp., which are able
to cause disease in humans either through direct contact with slurry or by fecal
contamination of water and foods. The objective of this study was to evaluate the
survival of Salmonella spp. and the fecal indicator bacteria, enterococci, E.
coli, and spores of Clostridium perfringens in biogas digesters operated by small
scale Vietnamese pig farmers. The serovar and antimicrobial susceptibility of the
Salmonella spp. isolated were also established. The study was conducted in 12
farms (6 farms with and 6 farms without toilet connected) located in Hanam
province, Vietnam. Sampling of pig slurry and biogas effluent was done during two
seasons. Results showed that the concentration of enterococci, E. coli, and
Clostridium perfringens spores was overall reduced by only 1-2 log10-units in the
biogas digesters when comparing raw slurry and biogas effluent. Salmonella spp.
was found in both raw slurry and biogas effluent. A total of 19 Salmonella
serovars were identified, with the main serovars being Salmonella Typhimurium
(55/138), Salmonella enterica serovar 4,[5],12:i:- (19/138), Salmonella
Weltevreden (9/138) and Salmonella Rissen (9/138). The Salmonella serovars showed
similar antimicrobial resistance patterns to those previously reported from
Vietnam. When promoting biogas, farmers should be made aware that effluent should
only be used as fertilizer for crops not consumed raw and that indiscriminate
discharge of effluent are likely to contaminate water recipients, e.g. drinking
water sources, with pathogens. Relevant authorities should promote safe animal
manure management practices to farmers and regulations be updated to ensure food
safety and public health.
PMID- 24933420
TI - Exploring the role of 2-chloro-6-fluoro substitution in 2-alkylthio-6-benzyl-5
alkylpyrimidin-4(3H)-ones: effects in HIV-1-infected cells and in HIV-1 reverse
transcriptase enzymes.
AB - A comparison of the effects of the 6-(2-chloro-6-fluorobenzyl)-2
(alkylthio)pyrimidin-4(3H)-ones (2-Cl-6-F-S-DABOs) 7-12 and the related 6-(2,6
difluorobenzyl) counterparts 13-15 in HIV-1 infected cells and in the HIV-1
reverse transcriptase (RT) assays is here described. The new 2-Cl-6-F-S-DABOs
showed up to picomolar activity against wt HIV-1. Against clinically relevant HIV
1 mutants and in enzyme assays, the simultaneous C5(methyl)/C6(methyl/ethyl)
substitution in the 2-Cl-6-F- and 2,6-F2-benzyl series furnished compounds with
the highest, wide-spectrum inhibitory activity against HIV-1. Three
representative 2-Cl-6-F-S-DABOs carrying two (9c, 10c) or one (10a) stereogenic
centers were resolved into their individual stereoisomers and showed a
significant diastereo- and enantioselectivity in HIV-1 inhibition, the highest
antiviral activity well correlating with the R absolute configuration to the
stereogenic center of the C6-benzylic position in both cellular and enzymatic
tests. Application of previously reported COMBINEr protocol on 9c and 10c
confirmed the influence of the stereogenic centers on their binding modes in the
HIV-1 RT.
PMID- 24933422
TI - Oncostatin M overexpression induces matrix deposition, STAT3 activation, and
SMAD1 Dysregulation in lungs of fibrosis-resistant BALB/c mice.
AB - Adverse health outcomes in pulmonary fibrosis are associated with extracellular
matrix (ECM) accumulation. Although transforming growth factor-beta (TGF-beta)
has been reported to be an important regulator of fibrosis pathogenesis, TGF-beta
independent pathways may also be involved. Here, we investigated responses of
putative relatively fibrosis-resistant BALB/c mice to transient pulmonary
overexpression of oncostatin M (OSM) using an adenovirus vector encoding OSM
(AdOSM) and compared responses with the relatively fibrosis-prone C57Bl/6 strain.
Interestingly, BALB/c mice showed similar ECM accumulation and collagen 1A1 and
3A1 mRNA elevation to C57Bl/6 mice 7 days after endotracheal administration of
AdOSM. TGF-beta1 mRNA levels and pSMAD2 signal were not regulated in either
strain in total lung extracts. In contrast to C57Bl/6 mice, BALB/c mice lacked
eosinophil, Th2 cytokine, and pro-inflammatory cytokine elevation in the
broncholveolar space. OSM overexpression induced STAT3 activation and SMAD1/5/8
signaling suppression in lung from both mice strains, which was associated with a
downregulation of BMPR2 and BMP ligands, and increased expression of the BMP
antagonist gremlin. Although we also observed STAT3 activation and SMAD1/5/8
signaling suppression in mouse lung fibroblast cultures in vitro upon OSM
stimulation, immunohistochemistry analyses indicated that the AdOSM-induced
pSMAD1/5/8 signal suppression was primarily localized to the airway epithelium.
Other gp130 cytokines including IL-6, LIF, CT-1, but not IL-31, also induced
STAT3 activation and SMAD1/5/8 signaling suppression in C10 mouse lung epithelial
cells and BEAS 2B bronchial epithelial cells, and we found that pharmacological
inhibition of STAT3 activation reversed OSM-induced SMAD1/5/8 signaling
suppression in vitro. The results demonstrate that OSM induces ECM accumulation
in fibrosis-resistant BALB/c mouse lung in the absence of Th2 inflammation or TGF
beta signaling, and highlight a dichotomy of STAT3 activation versus SMAD1
suppression in this process.
PMID- 24933421
TI - 17beta-Estradiol inhibits ER stress-induced apoptosis through promotion of TFII-I
dependent Grp78 induction in osteoblasts.
AB - Although many studies have suggested that estrogen prevents postmenopausal bone
loss partially due to its anti-apoptosis effects in osteoblasts, the underlying
mechanism has not been fully elucidated. In the present study, we found that
17beta-estradiol (17beta-E2), one of the primary estrogens, inhibited endoplasmic
reticulum (ER) stress-induced apoptosis in MC3T3-E1 cells and primary
osteoblasts. Interestingly, 17beta-E2-promoted Grp78 induction, but not CHOP
induction in response to ER stress. We further confirmed that Grp78-specific
siRNA reversed the inhibition of 17beta-E2 on ER stress-induced apoptosis by
activating caspase-12 and caspase-3. Moreover, we found that 17beta-E2 markedly
increased the phosphorylated TFII-I levels and nuclear localization of TFII-I in
ER stress conditions. 17beta-E2 stimulated Grp78 promoter activity in a dose
dependent manner in the presence of TFII-I and enhanced the binding of TFII-I to
the Grp78 promoter. In addition, 17beta-E2 notably increased phosphorylated
ERK1/2 levels and Ras kinase activity in MC3T3-E1 cells. The ERK1/2 activity
specific inhibitor U0126 remarkably blocked 17beta-E2-induced TFII-I
phosphorylation and Grp78 expression in response to ER stress. Together, 17beta
E2 protected MC3T3-E1 cells against ER stress-induced apoptosis by promoting Ras
ERK1/2-TFII-I signaling pathway-dependent Grp78 induction.
PMID- 24933423
TI - Adenoviral targeting using genetically incorporated camelid single variable
domains.
AB - The unique ability of human adenovirus serotype 5 (Ad5) to accomplish efficient
transduction has allowed the use of Ad5-based vectors for a range of gene therapy
applications. Several strategies have been developed to alter tropism of Ad
vectors to achieve a cell-specific gene delivery by using fiber modifications via
genetic incorporation of targeting motifs. In this study, we have explored the
utility of novel anti-human carcinoembryonic antigen (hCEA) single variable
domains derived from heavy chain (VHH) camelid family of antibodies to achieve
targeted gene transfer. To obtain anti-CEA VHHs, we produced a VHH-display
library from peripheral blood lymphocytes RNA of alpacas at the peak of immune
response to the hCEA antigen (Ag). We genetically incorporated an anti-hCEA VHH
into a de-knobbed Ad5 fiber-fibritin chimera and demonstrated selective targeting
to the cognate epitope expressed on the membrane surface of target cells. We
report that the anti-hCEA VHH used in this study retains Ag recognition
functionality and provides specificity for gene transfer of capsid-modified Ad5
vectors. These studies clearly demonstrated the feasibility of retargeting of Ad5
based gene transfer using VHHs.
PMID- 24933426
TI - OVERWEIGHT/OBESITY AND HUMAN CAPITAL FORMATION FROM INFANCY TO ADOLESCENCE:
EVIDENCE FROM TWO LARGE US COHORTS.
AB - Body mass index (BMI) levels in US children and adolescents have increased over
the past several decades. The negative health effects of this trend are well
documented. Recent work has evaluated the potential effects on skills formation.
Studies are mixed on whether there is an association between high BMI and skills
outcomes, and those that estimate causal effects find none. This paper offers
estimates on the causal effect of BMI-defined overweight and obesity on skills
formation using two large cohorts of contemporary US children followed from
infancy to 5 years and from kindergarten (6 years) to the eighth grade (14
years). Significant negative associations were observed in the random effects
models for males in early life with respect to a mental skills assessment, for
females during the pre-school years for reading and maths assessments, for both
males and females during the schooling years for reading assessments and for
females during the schooling years for maths assessments. Fixed effects models
yielded a significant negative association only with respect to the latter. The
implication of these findings is that any improvement in skills outcomes that may
accompany reductions in obesity prevalence may depend on whether interventions
are general to overall health productivity or whether they are specific to
dietary and exercise behaviours.
PMID- 24933424
TI - The tissue is the issue: improved methylome analysis from paraffin-embedded
tissues by application of the HOPE technique.
AB - Alterations in the DNA methylome are characteristic for numerous diseases and a
typical hallmark of cancer. Therefore, DNA methylation is currently under
investigation in research labs and has also entered diagnostics. Recently,
protocols like the BeadChip technology have become commercially available to
study DNA methylation in an array format and semiquantitative fashion. However,
it is known that fixation of the sample material with formalin prior to BeadChip
analysis can affect the results. In this study we compared the influence of
fixation on the outcome of BeadChip analysis. From six patients each a lung
cancer tissue sample and a corresponding tumor-free lung tissue sample were
collected. The samples were separated into three pieces. One piece of each sample
was fixed with formalin, another one by the non-cross-linking HOPE technique
(Hepes-glutamic acid buffer mediated Organic solvent Protection Effect).
Subsequently, both became paraffin embedded. As a reference, the remaining third
piece was cryopreserved. In addition we used three adenocarcinoma cell lines
(H838, A549, and H1650) to validate the results from patient tissues. We show
that using the HOPE technique instead of formalin largely prevents the
introduction of formalin-fixation related artifacts. An ANOVA analysis
significantly separated HOPE- and cryopreserved from formalin-fixed samples
(FDR<0.05), while differences in the methylation data obtained from HOPE-fixed
and cryopreserved material were minor. Consequently, HOPE fixation is superior to
formalin fixation if a subsequent BeadChip analysis of paraffin-embedded sample
material is intended.
PMID- 24933427
TI - beta-cyclodextrin and curcumin, a potent cocktail for disaggregating and/or
inhibiting amyloids: a case study with alpha-synuclein.
AB - Aggregation of alpha-synuclein has been implicated in Parkinson's disease (PD).
While many compounds are known to inhibit alpha-synuclein aggregation,
dissolution of aggregates into their constituent monomers cannot be readily
achieved. In this study, using a range of techniques, we have shown that an
optimized cocktail of curcumin and beta-cyclodextrin, at appreciably low
concentrations, not only inhibited aggregation but also broke up the preformed
aggregates almost completely. We propose that these compounds exhibit synergy in
their action and thus provide us with the exciting prospect of working toward the
development of a suitable drug candidate for prevention and treatment of PD.
PMID- 24933425
TI - Hypoxic treatment of human dual placental perfusion induces a preeclampsia-like
inflammatory response.
AB - Preeclampsia is a human pregnancy-specific disorder characterized by a placental
pro-inflammatory response in combination with an imbalance of angiogenic factors
and clinical symptoms, including hypertension and proteinuria. Insufficient
uteroplacental oxygenation in preeclampsia due to impaired trophoblast invasion
during placentation is believed to be responsible for many of the molecular
events leading to the clinical manifestations of this disease. We investigated
the use of hypoxic treatment of the dual placental perfusion system as a model
for preeclampsia. A modified perfusion technique allowed us to achieve a mean
soluble oxygen tension within the intervillous space (IVS) of 5-7% for normoxia
and <3% for hypoxia (as a model for preeclampsia). We assayed for the levels of
different inflammatory cytokines, oxidative stress markers, as well as other
factors, such as endothelin (ET)-1 that are known to be implicated as part of the
inflammatory response in preeclampsia. Our results show a significant increase
under hypoxia in the levels of different inflammatory cytokines, including IL-6
(P=0.002), IL-8 (P<0.0001), TNF-alpha (P=0.032) and IFN-gamma (P=0.009) at 360
min in maternal venous samples (n=6). There was also a significant increase in ET
1 levels under hypoxia both on the maternal side at 30 min (P=0.003) and fetal
side at 360 min (P=0.036) (n=6). Other markers of oxidative stress, including
malondialdehyde and 8-iso-protaglandin F2alpha (P=0.009) also show increased
levels. Overall, these findings indicate that exposure of ex vivo dually perfused
placental tissue to hypoxia provides a useful model for mimicking the
inflammatory response characteristic of preeclampsia. This would therefore
provide a powerful tool for studying and further delineating the molecular
mechanisms involved in the underlying pathophysiology of preeclampsia.
PMID- 24933428
TI - Antioxidants and human diseases.
AB - Oxidative stress plays a pivotal role in the development of human diseases.
Reactive oxygen species (ROS) that includes hydrogen peroxide, hyphochlorus acid,
superoxide anion, singlet oxygen, lipid peroxides, hypochlorite and hydroxyl
radical are involved in growth, differentiation, progression and death of the
cell. They can react with membrane lipids, nucleic acids, proteins, enzymes and
other small molecules. Low concentrations of ROS has an indispensable role in
intracellular signalling and defence against pathogens, while, higher amounts of
ROS play a role in number of human diseases, including arthritis, cancer,
diabetes, atherosclerosis, ischemia, failures in immunity and endocrine
functions. Antioxidants presumably act as safeguard against the accumulation of
ROS and their elimination from the system. The aim of this review is to highlight
advances in understanding of the ROS and also to summarize the detailed impact
and involvement of antioxidants in selected human diseases.
PMID- 24933429
TI - A framework for unpacking cognitive benefits of distributed complex visual
displays.
AB - What are the advantages and disadvantages of spatially stacked (i.e., when
information sources are presented side-by-side) versus distributed (i.e., when
information sources are sitting on top of one another with only the top source
fully visible) organizations of information? We introduce a new theoretical
decomposition of these advantages and disadvantages (information internalization,
information access, and information externalization costs), along with a new
analytic technique for measuring each theoretical aspects using eye tracking.
Thirty-eight scientists-in-training solved a complex data interpretation problem
using either a distributed or a stacked display. Display format influenced all 3
factors, but in opposing ways: stacked displays increase internalization and
externalization costs but decrease information access costs. The framework
reveals trade-offs among the 3 factors that can be precisely characterized to
guide interface user design and optimization.
PMID- 24933430
TI - Carbohydrate ingestion before and during soccer match play and blood glucose and
lactate concentrations.
AB - CONTEXT: The ingestion of carbohydrate (CHO) before and during exercise and at
halftime is commonly recommended to soccer players for maintaining blood glucose
concentrations throughout match play. However, an exercise-induced rebound
glycemic response has been observed in the early stages of the second half of
simulated soccer-specific exercise when CHO-electrolyte beverages were consumed
regularly. Therefore, the metabolic effects of CHO beverage consumption
throughout soccer match play remain unclear. OBJECTIVE: To investigate the blood
glucose and blood lactate responses to CHOs ingested before and during soccer
match play. DESIGN: Crossover study. SETTING: Applied research study. PATIENTS OR
OTHER PARTICIPANTS: Ten male outfield academy soccer players (age = 15.6 +/- 0.2
years, height = 1.74 +/- 0.02 m, mass = 65.3 +/- 1.9 kg, estimated maximal oxygen
consumption = 58.4 +/- 0.8 mL.kg(-1).min(-1)). INTERVENTION(S): Players received
a 6% CHO-electrolyte solution or an electrolyte (placebo) solution 2 hours before
kickoff, before each half (within 10 minutes), and every 15 minutes throughout
exercise. Blood samples were obtained at rest, every 15 minutes during the match
(first half: 0-15, 15-30, and 30-45 minutes; second half: 45-60, 60-75, and 75-90
minutes) and 10 minutes into the halftime break. MAIN OUTCOME MEASURE(S):
Metabolic responses (blood glucose and blood lactate concentrations) and markers
of exercise intensity (heart rate) were recorded. RESULTS: Supplementation
influenced the blood glucose response to exercise (time * treatment interaction
effect: P <= .05), such that glucose concentrations were higher at 30 to 45
minutes in the CHO than in the placebo condition. However, in the second half,
blood glucose concentrations were similar between conditions because of transient
reductions from peak values occurring in both trials at halftime. Blood lactate
concentrations were elevated above those at rest in the first 15 minutes of
exercise (time-of-sample effect: P < .001) and remained elevated throughout
exercise. Supplementation did not influence the pattern of response (time *
treatment interaction effect: P = .49). CONCLUSIONS: Ingestion of a 6% CHO
electrolyte beverage before and during soccer match play did not benefit blood
glucose concentrations throughout the second half of exercise.
PMID- 24933431
TI - Reliability and validity evidence of multiple balance assessments in athletes
with a concussion.
AB - CONTEXT: An estimated 300 000 sport-related concussion injuries occur in the
United States annually. Approximately 30% of individuals with concussions
experience balance disturbances. Common methods of balance assessment include the
Clinical Test of Sensory Organization and Balance (CTSIB), the Sensory
Organization Test (SOT), the Balance Error Scoring System (BESS), and the Romberg
test; however, the National Collegiate Athletic Association recommended the Wii
Fit as an alternative measure of balance in athletes with a concussion. A central
concern regarding the implementation of the Wii Fit is whether it is reliable and
valid for measuring balance disturbance in athletes with concussion. OBJECTIVE:
To examine the reliability and validity evidence for the CTSIB, SOT, BESS,
Romberg test, and Wii Fit for detecting balance disturbance in athletes with a
concussion. DATA SOURCES: Literature considered for review included publications
with reliability and validity data for the assessments of balance (CTSIB, SOT,
BESS, Romberg test, and Wii Fit) from PubMed, PsycINFO, and CINAHL. DATA
EXTRACTION: We identified 63 relevant articles for consideration in the review.
Of the 63 articles, 28 were considered appropriate for inclusion and 35 were
excluded. DATA SYNTHESIS: No current reliability or validity information supports
the use of the CTSIB, SOT, Romberg test, or Wii Fit for balance assessment in
athletes with a concussion. The BESS demonstrated moderate to high reliability
(interclass correlation coefficient = 0.87) and low to moderate validity
(sensitivity = 34%, specificity = 87%). However, the Romberg test and Wii Fit
have been shown to be reliable tools in the assessment of balance in Parkinson
patients. CONCLUSIONS: The BESS can evaluate balance problems after a concussion.
However, it lacks the ability to detect balance problems after the third day of
recovery. Further investigation is needed to establish the use of the CTSIB, SOT,
Romberg test, and Wii Fit for assessing balance in athletes with concussions.
PMID- 24933432
TI - Cohort study design: an underutilized approach for advancement of evidence-based
and patient-centered practice in athletic training.
AB - OBJECTIVE: Providing patient-centered care requires consideration of numerous
factors when making decisions that will influence a patient's health status.
BACKGROUND: Clinical decisions should be informed by relevant research evidence,
but the literature often lacks pertinent information for problems encountered in
routine clinical practice. Although a randomized clinical trial provides the best
research design to ensure the internal validity of study findings, ethical
considerations and the competitive culture of sport often preclude random
assignment of patients or participants to a control condition. CLINICAL
ADVANTAGES: A cohort study design and Bayesian approach to data analysis can
provide valuable evidence to support clinical decisions. Dichotomous
classification of both an outcome and 1 or more predictive factors permits
quantification of the likelihood of occurrence of a specified outcome.
CONCLUSIONS: Multifactorial prediction models can reduce uncertainty in clinical
decision making and facilitate the individualization of treatment, thereby
supporting delivery of clinical services that are both evidence based and patient
centered.
PMID- 24933433
TI - The secondary school football coach's relationship with the athletic trainer and
perspectives on exertional heat stroke.
AB - CONTEXT: Prior researchers have examined the first-aid knowledge and decision
making among high school coaches, but little is known about their perceived
knowledge of exertional heat stroke (EHS) or their relationships with an athletic
trainer (AT). OBJECTIVE: To examine secondary school football coaches' perceived
knowledge of EHS and their professional relationship with an AT. DESIGN:
Qualitative study. SETTING: Web-based management system. PATIENTS OR OTHER
PARTICIPANTS: Thirty-eight secondary school head football coaches (37 men, 1
woman) participated in this study. Their average age was 47 +/- 10 years old, and
they had 12 +/- 9 years' experience as a head football coach. DATA COLLECTION AND
ANALYSIS: Participants responded to a series of online questions that were
focused on their perceived knowledge of EHS and professional relationships with
ATs. Data credibility was established through multiple-analyst triangulation and
peer review. We analyzed the data by borrowing from the principles of a general
inductive approach. RESULTS: Two dominant themes emerged from the data: perceived
self-confidence of the secondary school coach and the influence of the AT. The
first theme highlighted the perceived confidence, due to basic emergency care
training, of the coach regarding management of an emergency situation, despite a
lack of knowledge. The second theme illustrated the secondary school coach's
positive professional relationships with ATs regarding patient care and emergency
procedures. Of the coaches who participated, 89% (34 out of 38) indicated
positive interactions with their ATs. CONCLUSIONS: These secondary school coaches
were unaware of the potential causes of EHS or the symptoms associated with EHS,
and they had higher perceived levels of self-confidence in management abilities
than indicated by their perceived knowledge level. The secondary school football
coaches valued and understood the role of the AT regarding patient and emergency
care.
PMID- 24933434
TI - Microglia and their CX3CR1 signaling are involved in hippocampal- but not
olfactory bulb-related memory and neurogenesis.
AB - Recent studies demonstrate that microglia play an important role in cognitive and
neuroplasticity processes, at least partly via microglial CX3C receptor 1
(CX3CR1) signaling. Furthermore, microglia are responsive to environmental
enrichment (EE), which modulates learning, memory and neurogenesis. In the
present study we examined the role of microglial CX3CR1 signaling in hippocampal-
and olfactory-bulb (OB)-related memory and neurogenesis in homozygous mice with
microglia-specific transgenic expression of GFP under the CX3CR1 promoter
(CX3CR1(-/-) mice), in which the CX3CR1 gene is functionally deleted, as well as
heterozygous CX3CR1(+/-) and WT controls. We report that the CX3CR1-deficient
mice displayed better hippocampal-dependent memory functioning and olfactory
recognition, along with increased number and soma size of hippocampal microglia,
suggestive of mild activation status, but no changes in OB microglia. A similar
increase in hippocampal-dependent memory functioning and microglia number was
also induced by pharmacological inhibition of CX3CR1 signaling, using chronic
(2weeks) i.c.v. administration of CX3CR1 blocking antibody. In control mice, EE
improved hippocampal-dependent memory and neurogenesis, and increased hippocampal
microglia number and soma size, whereas odor enrichment (OE) improved olfactory
recognition and OB neurogenesis without changing OB microglia status. In CX3CR1
deficient mice, EE and OE did not produce any further improvement in memory
functioning or neurogenesis and had no effect on microglial status. These results
support the notion that in the hippocampus microglia and their interactions with
neurons via the CX3CR1 play an important role in memory functioning and
neurogenesis, whereas in the OB microglia do not seem to be involved in these
processes.
PMID- 24933435
TI - Impact of extreme climate and bioinvasion on temporal coupling of spring herring
(Clupea harengus m.) larvae and their prey.
AB - We used weekly observational data from mid-May to end of July in 1958-2012 in
Gulf of Riga to investigate temporal coupling between spring herring larvae and
their first prey - copepod nauplii, under the extreme hydroclimatic conditions.
We focused on a small shallow estuary that is important nursery ground for larvae
of the Gulf of Riga (Baltic Sea) herring population. We quantified the effect of
extreme values of the winter air temperatures, time of ice retreat and spring
water temperatures on the timing of peak abundance of herring larvae and copepod
nauplii. We also assessed whether the invasion of the non-native cladoceran
Cercopagis pengoi had notable effect on timing and abundance of copepod nauplii
during the peak occurrence of herring larvae. In the years of earliest ice
retreat the peak abundance of herring larvae was five weeks earlier than in the
years of latest ice retreat, while the timing of nauplii remained unchanged.
Abundant presence of the C. pengoi affected neither timing nor maximum abundance
of copepod nauplii during the herring larvae first feeding period. Thus, we
conclude that processes induced by climate variability are superior to invasion
of C. pengoi in determining the timing and coupling of larval herring and copepod
nauplii.
PMID- 24933436
TI - Population structure and spread of the polychaete Diopatra biscayensis along the
French Atlantic coast: human-assisted transport by-passes larval dispersal.
AB - Intertidal populations of the ecosystem engineering polychaete, Diopatra
biscayensis, were analyzed on the French Atlantic coast for three years with
individual size estimated from tube-cap aperture. All but the northernmost
population along the Bay of Biscay have yearly recruitment. Individuals live 3-5
years and are likely reproductive as one year olds. Simulations indicate
dispersal distances are <50 km; yet, populations also exist within the Normano
Breton Gulf in the western English Channel, more than 450 km from the
northernmost Bay of Biscay population at La Trinite-sur-Mer. Three of the four
populations in the Normano-Breton Gulf have no young of the year, but are near to
active mussel culture where mussel seed is transported on ropes from dense D.
biscayensis areas in the Vendee-Charente region in the Bay of Biscay. The
majority of D. biscayensis were adjacent to the likely source, mussel seed ropes.
Transport assisted by aquaculture is the likely explanation for the populations
in the Normano-Breton Gulf.
PMID- 24933437
TI - Grazing rate of zebra mussel in a shallow eutrophicated bay of the Baltic Sea.
AB - Benthic suspension feeding is an important process in coastal ecosystems. Among
all the World's oceans, coastal ecosystems are the most modified by human impact
and changing at accelerating pace. It is complicated to understand, how various
environmental factors affect feeding rates of suspension feeders in their natural
habitats. Thus, shapes of such relationships are poorly described for several
intersections of environmental gradients. In this study, relationships between
grazing rates of an invasive bivalve Dreissena polymorpha and ambient
environmental factors were investigated in a turbid eutrophic bay of the central
Baltic Sea using a novel modelling method of Boosted Regression Trees (BRT), a
statistical tool able to handle non-normal distributions, complex relationships,
and interactive effects. Feeding rates of mussels were derived from field
populations by measuring the content of algal pigments in specimens collected
from their natural habitat. The content of pigments was converted to feeding rate
separately each time using field experiments measuring simultaneously the content
of pigments and biodeposition of mussels. The results suggest that feeding rates
of D. polymorpha are related to several environmental factors which gradients
outreach the optimal range for the local mussel population. All the observed
effects were non-linear with complex shapes. Variability along the resource
gradient was the most important predictor of mussel feeding, followed by salinity
and disturbance caused by wind. The most important interaction occurred between
disturbance and resource gradient, while feeding function showed more plasticity
along the latter. Mapping of environmental tipping points with the aid of machine
learning methods may enable to concentrate the most relevant information about
ecological functions worldwide.
PMID- 24933438
TI - Realized niche width of a brackish water submerged aquatic vegetation under
current environmental conditions and projected influences of climate change.
AB - Little is known about how organisms might respond to multiple climate stressors
and this lack of knowledge limits our ability to manage coastal ecosystems under
contemporary climate change. Ecological models provide managers and decision
makers with greater certainty that the systems affected by their decisions are
accurately represented. In this study Boosted Regression Trees modelling was used
to relate the cover of submerged aquatic vegetation to the abiotic environment in
the brackish Baltic Sea. The analyses showed that the majority of the studied
submerged aquatic species are most sensitive to changes in water temperature,
current velocity and winter ice scour. Surprisingly, water salinity, turbidity
and eutrophication have little impact on the distributional pattern of the
studied biota. Both small and large scale environmental variability contributes
to the variability of submerged aquatic vegetation. When modelling species
distribution under the projected influences of climate change, all of the studied
submerged aquatic species appear to be very resilient to a broad range of
environmental perturbation and biomass gains are expected when seawater
temperature increases. This is mainly because vegetation develops faster in
spring and has a longer growing season under the projected climate change
scenario.
PMID- 24933440
TI - Medial migration of lag screw after gamma nailing.
AB - Fractures of the proximal femur are common in the elderly population.
Intramedullary nailing has become the standard treatment for intertrochanteric
fractures although several extramedullary implants (e.g. dynamic hip screw (DHS),
blade plate, locking compression plate (LCP), etc.) exist. However, despite this
being a very common operation in traumatology, there are numerous associated
complications. We report the rare complication of the migration of the medial lag
screw into the pelvis at five and a half weeks postoperatively. The implant was
removed and replaced by a total hip arthroplasty with simultaneous grafting of
the acetabular defect and strapping of the greater trochanter. The evolution was
favourable. We also present a review of the literature and analyze our case.
PMID- 24933442
TI - Surgical excision of ununited hook of hamate fractures via the carpal tunnel
approach.
AB - INTRODUCTION: Direct excision of a symptomatic ununited hook of hamate fracture
is the gold standard, most frequently via a Guyon space approach. The open carpal
tunnel approach is another option, which has not previously been commonly
considered and not reported in a peer review journal. Our study aims to highlight
the carpal tunnel approach as a successful technique in a consecutive series of
ununited hook of hamate fractures. PATIENTS AND METHODS: Seven patients (all male
and mean age 30.7 years) were reviewed with symptomatic ununited fractures
following a period of cast immobilization. All the patients operated on underwent
excision of the hook of hamate fragment via the open carpal tunnel approach.
RESULTS: All patients successfully returned to their pre-injury level of
functioning after 8-12 weeks and there were no complications. CONCLUSIONS: Our
study highlights the open carpal tunnel approach as a successful technique for
open excision of symptomatic ununited hook of hamate fractures, because of its
familiarity, ease of performance, excellent visualization and low morbidity.
Level of Evidence IV Case Series.
PMID- 24933439
TI - Eph receptor tyrosine kinases in cancer stem cells.
AB - Eph receptor tyrosine kinases (RTKs) and their ligands, ephrins, play critical
roles in development, tissue homeostasis, and cancer. Because Eph receptors are
expressed in most adult stem cell niches and in many types of cancers, it has
been long suspected that this family of RTKs may also regulate the function of
cancer stem-like cells (CSCs). This review will focus on recent studies to
elucidate the contribution of Eph/ephrin molecules in CSC self-renewal and
tumorigenicity, as well as describe efforts to target these molecules in cancer.
Because CSCs are often resistant to therapeutic intervention and have been shown
to depend on Eph RTKs for self-renewal, targeting Eph receptors may hold promise
for the treatment of drug-resistant cancers.
PMID- 24933441
TI - A description of the severity of equestrian-related injuries (ERIs) using
clinical parameters and patient-reported outcomes.
AB - INTRODUCTION: Despite a number of injury prevention campaigns and interventions,
horse riding continues to be a dangerous activity, resulting in more accidents
per hour than motorcycling, skiing and football. Injuries are often serious, with
one in four patients requiring admission to hospital. This study aims to describe
the severity of equestrian-related injuries (ERIs) using both clinical parameters
and patient-reported outcomes. PATIENTS AND METHODS: A retrospective study of all
patients aged >=18 years admitted to The Alfred Hospital between January 2003 and
January 2008 with an ERI was performed. Specific clinical data were extracted
from the medical record. In addition, a questionnaire was conducted identifying
the details of the accident, the required recovery time and levels of ongoing
pain and physical disability. RESULTS: During the study period 172 patients met
the inclusion criteria. There were three deaths (2%). Eighty-two patients (48%)
suffered head injuries. Forty-one patients (24%) were admitted to the ICU and 31
patients (18%) required mechanical ventilation. On discharge, 41 patients (24%)
required transfer to a sub-acute rehabilitation facility. One-hundred-and-twenty
four patients (72%) completed the questionnaire. Thirty-nine respondents (31%)
were not wearing a helmet. Among patients injured for more than 6 months, 38
(35%) still experienced moderate or severe pain or disability. Ninety-five
patients had returned to work at the time of review, among which 47(50%) required
longer than 6 months to recover, and 40 (42%) returned at a reduced capacity.
CONCLUSIONS: The clinical and patient-reported outcomes of ERIs requiring
hospital admission are poor. Persistent pain and disability are common, even up
to 5 years post-injury. A large proportion of patients required longer than 6
months to return to work and many return at a reduced capacity.
PMID- 24933443
TI - Cranial injuries secondary to assault with a machete.
AB - BACKGROUND: Assaults with a machete cause compound skull fractures which present
as a neurosurgical emergency. We aimed to profile cranial injuries caused by a
machete over a 10 year period in a single neurosurgical unit. MATERIALS AND
METHODS: Retrospective data analysis of cranial injuries following assault with a
machete, admitted to the neurosurgery ward, from January 2003 to December 2012
was performed. Medical records were analyzed for demographics, clinical
presentation, CT scan findings, surgical treatment and Glasgow Outcome Scale
(GOS) at discharge. Management involved wound debridement with antibiotic cover.
RESULTS: Of 185 patients treated 172 (93%) were male. Mean age was 31+/-11.4
years. Mean GCS on admission was 13+/-2. Presenting features were focal
neurological deficit (48%), brain matter oozing from wounds (20%), and post
traumatic seizures (12%). Depressed skull fractures were found in 162 (88%)
patients. Findings on CT brain scan were intra-cranial haematoma (88%),
pneumocephalus (39%) and features of raised intra-cranial pressure (37%). Thirty
one patients (17%) presented with septic head wounds. One hundred and fifty seven
patients (85%) were treated surgically. The median hospital stay was 8 days
(range 1-145). The median GOS at discharge was 5 (range 1-5). Twelve patients
died within the same admission (6.5%). CONCLUSION: Machetes cause complex cranial
injuries with associated neurological deficit and should be treated as
neurosurgical emergency. Timeous intervention and good surgical principles are
advocated to prevent secondary infection and further neurological deterioration.
PMID- 24933444
TI - Engineering Escherichia coli for light-activated cytolysis of mammalian cells.
AB - By delivering payloads in response to specific exogenous stimuli, smart bacterial
therapeutics have the potential to overcome many limitations of conventional
therapies, including poor targeting specificity and dosage control in current
cancer treatments. Although not yet explored as a trigger for bacterial drug
delivery, light is an ideal induction mechanism because it offers fine
spatiotemporal control and is easily and safely administered. Using recent
advances in optogenetics, we have engineered two strains of Escherichia coli to
secrete a potent mammalian cytotoxin in response to blue or red light. The tools
in this study demonstrate the initial feasibility of light-activated bacterial
therapeutics for applications such as tumor cytolysis, and their modular nature
should enable simple substitution of other payloads of interest.
PMID- 24933445
TI - Pathogenicity and treatment of Bartonella infections.
AB - Bartonella spp. are responsible for emerging and re-emerging diseases around the
world. The majority of human infections are caused by Bartonella henselae,
Bartonella quintana and Bartonella bacilliformis, although other Bartonella spp.
have also been associated with clinical manifestations in humans. The severity of
Bartonella infection correlates with the patient's immune status. Clinical
manifestations can range from benign and self-limited to severe and life
threatening disease. Clinical conditions associated with Bartonella spp. include
local lymphadenopathy, bacteraemia, endocarditis, and tissue colonisation
resulting in bacillary angiomatosis and peliosis hepatis. Without treatment,
Bartonella infection can cause high mortality. To date, no single treatment is
effective for all Bartonella-associated diseases. In the absence of systematic
reviews, treatment decisions for Bartonella infections are based on case reports
that test a limited number of patients. Antibiotics do not significantly affect
the cure rate in patients with Bartonella lymphadenopathy. Patients with
Bartonella spp. bacteraemia should be treated with gentamicin and doxycycline,
but chloramphenicol has been proposed for the treatment of B. bacilliformis
bacteraemia. Gentamicin in combination with doxycycline is considered the best
treatment regimen for endocarditis, and erythromycin is the first-line antibiotic
therapy for the treatment of angioproliferative lesions. Rifampicin or
streptomycin can be used to treat verruga peruana. In this review, we present
recent data and recommendations related to the treatment of Bartonella infections
based on the pathogenicity of Bartonella spp.
PMID- 24933447
TI - Outpatient parenteral antimicrobial therapy (OPAT) for infectious endocarditis in
Spain.
PMID- 24933446
TI - Photodynamic antibacterial and antibiofilm activity of RLP068/Cl against
Staphylococcus aureus and Pseudomonas aeruginosa forming biofilms on prosthetic
material.
AB - Prosthetic joint infections (PJIs) are becoming a growing public health concern
in developed countries as more people undergo arthroplasty for bone fixation or
joint replacement. Because a wide range of bacterial strains responsible for PJIs
can produce biofilms on prosthetic implants and because the biofilm structure
confers elevated bacterial resistance to antibiotic therapy, new drugs and
therapies are needed to improve the clinical outcome of treatment of PJIs.
Antimicrobial photodynamic therapy (APDT), a non-antibiotic broad-spectrum
antimicrobial treatment, is also active against multidrug-resistant micro
organisms such as meticillin-resistant Staphylococcus aureus (MRSA) and
Pseudomonas aeruginosa. APDT uses a photosensitiser that targets bacterial cells
following exposure to visible light. APDT with RLP068/Cl, a novel
photosensitiser, was studied by confocal laser scanning microscopy (CLSM) to
evaluate the disruption of MRSA and P. aeruginosa biofilms on prosthetic
material. Quantitative CLSM studies showed a reduction in biofilm biomass
(biofilm disruption) and a decrease in viable cell numbers, as determined by
standard plate counting, in the S. aureus and P. aeruginosa biofilms exposed to
APDT with the photosensitiser RLP068/Cl. APDT with RLP068/Cl may be a useful
approach to the treatment of PJI-associated biofilms.
PMID- 24933448
TI - Clinical utility of caspofungin eye drops in fungal keratitis.
AB - Treatment of fungal keratitis remains challenging. To date, only the polyenes and
azoles are commonly used topically in the management of fungal keratitis.
Natamycin, a polyene, is the only antifungal eye drop that is commercially
available; the remainder are prepared in-house and are used in an 'off-label'
manner. Failure of medical treatment for fungal keratitis is common, hence there
is a need for more effective topical antifungal therapy. To increase the
antifungal eye drop armamentarium, it is important to investigate the utility of
other classes of antifungal agents for topical use. Caspofungin, an echinocandin
antifungal agent, could potentially be used to address the existing shortcomings.
However, little is known about the usefulness of topically administered
caspofungin. This review will briefly explore the incidence, epidemiology and
antifungal treatment of fungal keratitis. It will focus primarily on evidence
related to the efficacy, safety and practicality of using caspofungin eye drops
in fungal keratitis.
PMID- 24933449
TI - Global health imaging curriculum in radiology residency programs: the
fundamentals.
AB - Recent advances in imaging technology have created new opportunities for medical
imaging to improve health care in resource-restricted countries around the world.
Radiology residents are increasingly interested in global health and imaging
outreach, yet infrastructure and opportunities for international outreach are
limited. With the recent change in the ABR exam schedule, residents now have more
flexibility in the fourth year of training to pursue elective interests,
including participation in global health projects. Creating a formalized global
health imaging curriculum will improve the quality, quantity, and overall impact
of initiatives undertaken by residents and their training programs. A curriculum
is proposed that provides content, opportunities for global health project
development, and established metrics for effective evaluation and assessment.
Four components considered integral to a global health imaging curriculum are
described: (1) global and public health education; (2) targeted travel medicine
education; (3) basic imaging proficiency; and (4) practice attitudes and
accountability. Methods are presented of differentiating curricula to increase
applicability across the spectrum of training programs that vary in available
resources. A blueprint is presented for formalizing a global health curriculum or
elective rotation within a program, as well as a resource for residents,
radiologists, and organizations to make a meaningful impact on global health.
PMID- 24933450
TI - ACR Appropriateness Criteria Headache.
AB - Most patients presenting with uncomplicated, nontraumatic, primary headache do
not require imaging. When history, physical, or neurologic examination elicits
"red flags" or critical features of the headache, then further investigation with
imaging may be warranted to exclude a secondary cause. Imaging procedures may be
diagnostically useful for patients with headaches that are: associated with
trauma; new, worse, or abrupt onset; thunderclap; radiating to the neck; due to
trigeminal autonomic cephalgia; persistent and positional; and temporal in older
individuals. Pregnant patients, immunocompromised individuals, cancer patients,
and patients with papilledema or systemic illnesses, including hypercoagulable
disorders may benefit from imaging. Unlike most headaches, those associated with
cough, exertion, or sexual activity usually require neuroimaging with MRI of the
brain with and without contrast to exclude potentially underlying pathology
before a primary headache syndrome is diagnosed. The ACR Appropriateness Criteria
are evidence-based guidelines for specific clinical conditions that are reviewed
every 2 years by a multidisciplinary expert panel. The guideline development and
review include an extensive analysis of current medical literature from peer
reviewed journals and the application of a well-established consensus methodology
(modified Delphi) to rate the appropriateness of imaging and treatment procedures
by the panel. In those instances in which evidence is lacking or not definitive,
expert opinion may be used to recommend imaging or treatment.
PMID- 24933451
TI - Effectiveness and cost-effectiveness of individually tailored Internet-delivered
cognitive behavior therapy for anxiety disorders in a primary care population: a
randomized controlled trial.
AB - A significant proportion of the general population suffers from anxiety
disorders, often with comorbid psychiatric conditions. Internet-delivered
cognitive behavior therapy (ICBT) has been found to be a potent treatment for
patients with specific psychiatric conditions. The aim of this trial was to
investigate the effectiveness and cost-effectiveness of ICBT when tailoring the
treatment to address comorbidities and preferences for primary-care patients with
a principal anxiety disorder. One hundred participants were recruited through
their primary-care contact and randomized to either treatment or an active
control group. The treatment consisted of 7-10 weekly individually assigned
modules guided by online therapists. At post-treatment, 46% of the treatment
group had achieved clinically significant improvement on the primary outcome
measure (CORE-OM) and between-group effect sizes ranged from d = 0.20 to 0.86,
with a mean effect of d = 0.59. At one-year follow-up, within-group effect sizes
varied between d = 0.53 to 1.00. Cost analysis showed significant reduction of
total costs for the ICBT group, the results were maintained at one-year follow-up
and the incremental cost-effectiveness ratio favored ICBT compared to control
group. Individually tailored ICBT is an effective and cost-effective treatment
for primary-care patients with anxiety disorders with or without comorbidities.
TRIAL REGISTRATION: Clinicaltrials.gov: NCT01390168.
PMID- 24933452
TI - Concurrent chemoradiotherapy in locoregionally advanced nasopharyngeal carcinoma:
treatment outcomes of a prospective, multicentric clinical study.
AB - BACKGROUND AND PURPOSE: To evaluate long-term outcome in locoregionally advanced
nasopharyngeal carcinoma (NPC) treated with intensity-modulated radiation therapy
(IMRT) and concurrent chemotherapy. MATERIAL AND METHODS: Between January 2006
and August 2008, 249 patients with stage III-IVb NPC were treated by IMRT plus
concurrent chemotherapy in this multicenter prospective study. RESULTS: With a
mean follow-up of 54.1 months, the 5-year actuarial rates of overall survival
(OS), local recurrence-free survival (LRFS), regional recurrence-free survival
(RRFS), and distant metastasis-free survival (DMFS) were 78.4%, 86.8%, 88.4%,
78.0%, respectively. There were 29 local recurrences, 25 regional recurrences and
52 distant metastases, respectively. Distant metastasis is the main cause of
treatment failure. N-stage was an independent prognostic factor for LRFS, RRFS,
DMFS and OS. Acute toxicity ?grade III mainly consisted of mucositis (34.9%),
neutropenia (11.2%), xerostomia (5.6%), and dermatitis (5.2%). The main
documented late toxicity was xerostomia, and the severity of xerostomia decreased
over time. At 24 months after treatment, 13.2% of patients had grade 2
xerostomia, and none had grade 3 or 4 xerostomia. CONCLUSIONS: IMRT with
concurrent cisplatin chemotherapy resulted in encouraging rates of local and
distant control and overall survival with acceptable rates of acute and limited
rates of late toxicity in patients with locoregionally advanced NPC. Distant
metastasis remained the main cause of failure. More effective systemic therapy
should be explored for patients with advanced N-stage.
PMID- 24933453
TI - Comparison and validation of some ITS primer pairs useful for fungal
metabarcoding studies.
AB - Current metabarcoding studies aiming to characterize microbial communities
generally rely on the amplification and sequencing of relatively short DNA
regions. For fungi, the internal transcribed spacer (ITS) region in the ribosomal
RNA (rRNA) operon has been accepted as the formal fungal barcode. Despite an
increasing number of fungal metabarcoding studies, the amplification efficiency
of primers is generally not tested prior to their application in metabarcoding
studies. Some of the challenges that metabarcoding primers should overcome
efficiently are the amplification of target DNA strands in samples rich in non
target DNA and environmental pollutants, such as humic acids, that may have been
co-extracted with DNA. In the current study, three selected primer pairs were
tested for their suitability as fungal metabarcoding primers. The selected primer
pairs include two primer pairs that have been frequently used in fungal
metabarcoding studies (ITS1F/ITS2 and ITS3/ITS4) and a primer pair (ITS86F/ITS4)
that has been shown to efficiently amplify the ITS2 region of a broad range of
fungal taxa in environmental soil samples. The selected primer pairs were
evaluated in a 454 amplicon pyrosequencing experiment, real-time PCR (qPCR)
experiments and in silico analyses. Results indicate that experimental evaluation
of primers provides valuable information that could aid in the selection of
suitable primers for fungal metabarcoding studies. Furthermore, we show that the
ITS86F/ITS4 primer pair outperforms other primer pairs tested in terms of in
silico primer efficiency, PCR efficiency, coverage, number of reads and number of
species-level operational taxonomic units (OTUs) obtained. These traits push the
ITS86F/ITS4 primer pair forward as highly suitable for studying fungal diversity
and community structures using DNA metabarcoding.
PMID- 24933454
TI - MicroRNA-196a/-196b promote cell metastasis via negative regulation of radixin in
human gastric cancer.
AB - MicroRNAs (miRNAs) play an important role to contribute carcinogenesis. The aim
of the current study was to identify useful biomarkers from miRNAs. Differential
miRNA profiles were analyzed using the miRNA qRT-PCR-based assay. Two of the most
upregulated miRNAs were selected and validated. The miR-196a/-196b levels were
significantly increased in gastric cancer (GC) tissues (n=109). Overexpression of
miR-196a/-196b was significantly associated with tumor progression and poorer 5
year survival outcomes. Overexpression of miR-196a/-196b enhances GC cell
migration and invasion. Further, radixin was identified as a target gene of miR
196a/-196b. Elevated miR-196a/-196b expression in GC cells led to reduced radixin
protein levels and vice versa. Notably, an inverse correlation between miR-196a/
196b and radixin mRNA and protein expression was observed in GC tissues with in
situ hybridization and immunohistochemistry analyses. Together, miR-196a/-196b
inhibitory oligonucleotides or overexpression of the radixin may thus have
therapeutic potential in suppressing GC metastasis.
PMID- 24933455
TI - Liver transplantation with grafts from donors who die from suicide by hanging: a
matched cohort study.
AB - INTRODUCTION: Hanging is a common method of suicide globally, and the incidence
is increasing at an alarming rate. The purpose of this study was to analyze our
experience with the use of liver grafts from donors whose cause of death is
suicidal hanging and to evaluate the outcome of the graft recipients. PATIENTS
AND METHODS: Twenty-four consecutive patients were transplanted with grafts
obtained from suicidal hanging donors. These patients (group A) were case-matched
to 24 patients who underwent liver transplantation with a liver graft from a
brain-dead donor whose cause of death was not hanging or strangulation (group B).
The analysis was focused on postoperative complications, graft, and recipient
outcomes. RESULTS: Donors in group A showed a significantly higher mean [+/-2
standard deviation] serum aspartate aminotransferase and alanine aminotransferase
compared to group B (aspartate aminotransferase, 168[166] vs. 57[67] IU/L;
P=0.006; alanine aminotransferase, 151[197] vs. 58[103] IU/L; P=0.049); however,
there was no difference in graft failure rates between the two groups [8.3% (n=2)
in each group]. Overall 30-day postoperative morbidity, 1-year and 5-year
survivals were comparable in both groups (P=0.96, P=0.35, P=0.69, respectively).
CONCLUSION: The use of grafts from suicidal hanging donors (without hemodynamic
instability and with downward trend in the donor transaminases) is not associated
with higher post-liver transplantation complication rates and does not negatively
influence recipient's outcome.
PMID- 24933456
TI - Development of antidonor antibody directed toward non-major histocompatibility
complex antigens in tolerant animals.
AB - BACKGROUND: The clinical significance of antibodies directed against antigens
other than major histocompatibility complex (MHC) antigens is poorly understood,
and there are few large animal models in which such antibodies can be examined.
We studied, both retrospectively and prospectively, the development of antibodies
to non-MHC antigens in tolerant miniature swine. METHODS: Our database was
assessed for cases of antidonor antibody formation in tolerant animals over the
last 20 years. Flow cytometry, absorption assays, and familial analyses for
inheritance pattern of the gene(s) potentially responsible for the antibody
reactivities were carried out, and an animal determined to be negative for this
reactivity was immunized by a skin graft and subcutaneous injections of
peripheral blood monocyte cells from an antigen-positive donor. RESULTS: Sixteen
of 469 tolerant animals tested were found to have developed antidonor antibodies.
These antibodies were found to be specific for the same, presumably single, non
MHC antigen. Familial analyses indicated that the gene encoding this antigen was
expressed in an autosomal-dominant manner in approximately 95% of the herd. In a
prospective study, antidonor antibodies with the same specificity as those
observed retrospectively were successfully induced in an antigen-negative animal
after immunization with peripheral blood monocyte cells. CONCLUSION: To our
knowledge, this is the first report of the development of antibodies to a highly
prevalent, non-MHC antigen present on peripheral blood mononuclear cells and
developing in tolerant animals without signs of graft dysfunction. Considering
the concern often raised by the appearance of antidonor antibodies in transplant
recipients, these data could have important implications for clinical
transplantation.
PMID- 24933457
TI - Atypical hemolytic uremic syndrome recurrence after kidney transplantation.
AB - BACKGROUND: Atypical hemolytic uremic syndrome (aHUS) is a rare disease with a
high recurrence rate after kidney transplantation. In most cases, aHUS are caused
by genetic mutations of components of the complement alternative pathway. In this
single-center series, we present our data of 12 consecutive patients with aHUS
and the outcome after kidney transplantation. METHODS: In this 10-year
retrospective study, we identified 12 patients with aHUS who were managed in our
center since 2003. We reviewed clinical data, including genetic testing,
posttransplant course and response to therapy including the prophylactic use of
eculizumab. RESULTS: Overall, eight patients are women. Six of our patients have
at least one genetic mutation causing aHUS, including 4 with complement factor H
mutations. Nine patients had at least one previous kidney transplant that failed
secondary to recurrent aHUS (75% of our patients). Three patients were treated
with eculizumab and plasmapheresis for recurrent aHUS after kidney
transplantation; two of them responded to the therapy. Four patients received
prophylactic eculizumab; three of them received 6 months and one has been on life
long therapy. No signs of recurrence have been observed in these 4 patients so
far. CONCLUSION: Genetic mutations of the complement alternative pathway were
confirmed in half of our patients, most of those mutations are in CHF. We
demonstrate that treatment or prophylaxis with eculizumab was effective in
reversing or preventing aHUS whether or not genetic complement mutations were
identified.
PMID- 24933458
TI - Regulatory T-cell therapy in the induction of transplant tolerance: the issue of
subpopulations.
AB - Clinical tolerance induction to permit minimization or cessation of
immunosuppressive drugs is one of the key research goals in solid organ
transplantation. The use of ex vivo expanded or manipulated immunologic cells,
including CD4CD25FOXP3 regulatory T cells (Tregs), to achieve this aim is already
a reality, with several trials currently recruiting patients. Tregs are a highly
suppressive, nonredundant, population of regulatory cells that prevent the
development of autoimmune diseases in mammals. Data from transplanted humans and
animal models support the notion that Tregs can mediate both induction and
adoptive transfer of transplantation tolerance. However, human Tregs are highly
heterogeneous and include subpopulations with the potential to produce the
proinflammatory cytokine interleukin-17, which has been linked to transplant
rejection. Tregs are also small in number in the peripheral circulation, thus
they require ex vivo expansion before infusion into man. Selection of the most
appropriate Treg population for cell therapy is, therefore, a critical step in
ensuring successful clinical outcomes. In this review, we discuss Treg
subpopulations, their subdivision based on nonmutually exclusive criteria of
origin, expression of immunologic markers and function, availability in the
peripheral blood of patients awaiting transplantation, and their suitability for
programs of cell-based therapy.
PMID- 24933459
TI - Antibodies to denatured human leukocyte antigen: relevant or just noise?
PMID- 24933460
TI - U-CN versus Ce-NC coordination in trivalent complexes derived from M[N(SiMe3)2]3
(M = Ce, U).
AB - Reactions of [MN*3] (M = Ce, U; N* = N(SiMe3)2) and NR4CN (R = Me, Et, or (n)Bu)
or KCN in the presence of 18-crown-6 afforded the series of cyanido-bridged
dinuclear compounds [NEt4][(MN*3)2(MU-CN)] (M = Ce, 2a, and U, 2b), [K(18-crown
6)(THF)2][(CeN*3)2(MU-CN)] (2'a), and [K(18-crown-6)][(UN*3)2(MU-CN)] (2'b), and
the mononuclear mono-, bis-, and tris(cyanide) complexes [NEt4][MN*3(CN)] (M =
Ce, 1a(Et), and U, 1b(Et)), [NMe4][MN*3(CN)] (M = Ce, 1a(Me), and U, 1b(Me)),
[K(18-crown-6)][MN*3(CN)] (M = Ce, 1'a, and U, 1'b), [N(n)Bu4]2[MN*3(CN)2] (M =
Ce, 3a, and U, 3b), [K(18-crown-6)]2[MN*3(CN)2] (M = Ce, 3'a, and U, 3'b), and
[N(n)Bu4]2[MN*2(CN)3] (M = Ce, 4a, and U, 4b). The mono- and bis(cyanide)
complexes were found to be in equilibrium. The formation constant of 3'b (K3'b)
from 1'b at 10 degrees C in THF is equal to 5(1) * 10(-3), and -DeltaH3'b =
104(2) kJ mol(-1) and -DeltaS3'b = 330(5) J mol(-1) K(-1). The bis(cyanide)
compound 3a or 3b was slowly transformed in solution into an equimolar mixture of
the mono- and tris(cyanide) derivatives with elimination of N(n)Bu4N*. The
crystal structures of 1a(Me), 1b(Me), 1'a.toluene, 1'b.toluene, 2'a, 2'b, 3a,
3'a, 3'b, 3'a.2benzene, 3'b.2benzene, 4a.0.5THF, and 4b.Et2O were determined.
Crystals of the bis(cyanide) uranium complexes 3'b and 3'b.2benzene are
isomorphous with those of the cerium counterparts 3'a and 3'a.2benzene, but they
are not isostructural since the data revealed distinct coordination modes of the
CN group, through the C or N atom to the U or Ce metal center, respectively. This
differentiation has been analyzed using density functional theory calculations.
The observed preferential coordination of the cyanide and isocyanide ions toward
uranium or cerium in the bis(cyanide) complexes is corroborated by the
consideration of the binding energies of these groups to the metals and by the
comparison of DFT optimized geometries with the crystal structures. The better
affinity of the cyanide ligand toward U(III) over Ce(III) metal center is related
to the better energy matching between the 6d/5f uranium orbitals and the cyanide
ligand ones, leading to a non-negligible covalent character of the bonding.
PMID- 24933462
TI - Genome analysis of non-human primate polyomaviruses.
AB - Polyomaviruses have so far only been isolated from mammals and birds. Typical for
all members of this family is their double-stranded genome of approximately 5000
base-pairs which can be divided into an early region encoding at least two
functional proteins, the large and small tumor antigens, and a late region
encompassing genes for the capsid proteins VP1 and VP2. During the last 10 years
several novel polyomaviruses have been described in non-human primates and man.
This review compares the non-human primate polyomavirus genomes that have been
completely sequenced with each other and with the genomes of human
polyomaviruses. We predict the presence of protein- and microRNA-encoding
sequences. Our analyses demonstrate that several genetically distinct groups of
non-human primate polyomaviruses exist, that different polyomaviruses can infect
the same non-human primate species but that most of their proteins display highly
similar domains and motifs, indicating conservation of key functions.
PMID- 24933461
TI - Ecophysiology of Anopheles gambiae s.l.: persistence in the Sahel.
AB - The dry-season biology of malaria vectors is poorly understood, especially in
arid environments when no surface waters are available for several months, such
as during the dry season in the Sahel. Here we reappraise results on the dry
season physiology of members of the Anopheles gambiae s.l. complex in the broad
context of dormancy in insects and especially in mosquitoes. We examine evidence
on seasonal changes in reproduction, metabolism, stress tolerance, nutrition,
molecular regulation, and environmental conditions and determine if the current
results are compatible with dry-season diapause (aestivation) as the primary
strategy for persistence throughout the dry season in the Sahel. In the process,
we point out critical gaps in our knowledge that future studies can fill. We find
compelling evidence that members of the An. gambiae s.l. complex undergo a form
of aestivation during the Sahelian dry season by shifting energetic resources
away from reproduction and towards increased longevity. Considering the
differences between winter at temperate latitudes, which entails immobility of
the insect and hence reliance on physiological solutions, as opposed to the
Sahelian dry season, which restricts reproduction exclusively, we propose that
behavioral changes play an important role in complementing physiological changes
in this strategy.
PMID- 24933463
TI - Interaction between infectious diseases and personality traits: ACP1*C as a
potential mediator.
AB - In geographical regions characterized by high pathogen prevalence, it has been
shown that human populations tend to be characterized by lower levels of
extraversion (E) and openness to experience (OtE). According to the "behavioral
immune system" hypothesis, the reduction of extraversion and openness levels
represents a behavioral defense against infections. Like the 'classical' immune
system, the "behavioral immune system" could also be shaped by its underlying
genetic background. Previous studies have shown that the *C allele of the ACP1
gene confers increased susceptibility to infectious/parasitic diseases. We
hypothesized that carriers of the ACP1*C allele should likewise be associated
with reduced E and OtE. We tested this hypothesis using two samples comprised of
153 students from Southern California (Group 1), and 162 female subjects
recruited from an executive health program (Group 2), genotyped for ACP1
polymorphism and evaluated by the NEO Five-Factor Inventory (NEO-FFI). ACP1 was
significantly associated with E: we found that carriers of ACP1*C showed reduced
scores for E (Group 1: beta=-4.263, P=0.027; Group 2: beta=-8.315, P=0.003; Group
1+Group 2: beta=-5.366, P=0.001). Across groups, ACP1 was only marginally
associated with OtE. In conclusion, the present study found that the ACP1*C
allele, previously associated with an increased vulnerability to
infectious/parasitic diseases may also be able to shape behavioral immune
defenses by interaction with the level of E.
PMID- 24933464
TI - Bioactivity and phytochemical characterization of Arenaria montana L.
AB - The bioactivity (antioxidant and cytotoxic activities) of the aqueous and
methanolic extracts of Arenaria montana L., a plant commonly used in Portuguese
folk medicine, was evaluated and compared. Furthermore, the phytochemical
composition was determined based on hydrophilic (sugars, organic acids and
phenolic compounds) and lipophilic (fatty acids and tocopherols) compounds, in
order to valorize this plant material as a functional food/nutraceutical.
Fructose, oxalic acid, methyl-luteolin 2''-O-feruloylhexosyl-C-hexoside, alpha
tocopherol, and linoleic acid were the main individual compounds found in A.
montana. In general, the aqueous extract showed higher antioxidant and cytotoxic
activities than the methanolic extract; the latter showed activity only against
HeLa and HepG2 cell lines. Both aqueous and methanolic extracts showed some
hepatotoxicity but at higher doses than the ones active for tumor cell lines.
Moreover, the aqueous extract of A. montana may be used as a functional food or
nutraceutical due to the high antioxidant and cytotoxic activities, and due to
the presence of bioactive compounds. As far as we know, this is the first report
on the phytochemical composition and bioactivity of A. montana.
PMID- 24933465
TI - Formation of newly synthesized adeno-associated virus capsids in the cell
nucleus.
AB - Adeno-associated virus (AAV) particles inside the nucleus of a HEK 293 cell are
shown by electron microscopy. Cells have been triple-transfected for vector
production and were analyzed for capsid formation three days later. Newly
assembled particle are visible as seemingly unstructured conglomerates or crystal
like arrays.
PMID- 24933467
TI - Control and eventual eradication of Trypanosoma evansi infection in dromedary
camels after an episodic outbreak in mainland Spain: an example in a non-endemic
area.
AB - In 2008, Trypanosoma evansi was detected on a camel farm in mainland Spain. The
animals were isolated, confined in a closed stable, and treated twice with
melarsamine (Cymelarsan((r)), Merial, Lyon, France) with an interval of 1 month.
Clinical and laboratory examinations by means of parasitological, serological,
and molecular procedures (polymerase chain reaction (PCR)) were carried out
regularly for 6 years. After the treatment, all parasitemic camels were cleared
of parasites, and in the seropositive camels a progressive decrease in antibody
levels was observed, with complete disappearance of antibodies between 15 and 21
months, except in one animal which showed doubtful Ag-Ab reaction at 21 months
post treatment. In the next assessment, 6 months later, the diagnostic tests
conducted on all animals had a negative result. The diagnostic and therapeutic
tools recently developed against T. evansi will evidence new and alternative
approaches after the parasite is detected, particularly if outbreak occurs in
geographically localized areas in territories free of the disease.
PMID- 24933468
TI - Descriptive findings from analysis of a large database of cattle worm egg count
and larval culture results collected across Australia between 2002 and 2012.
AB - Results from worm egg counts (WECs) of cattle from across Australia over an 11
year period have been analysed to provide contemporary data on WEC and worm genus
distribution in Australian cattle. A sampling kit consisted of ten sample
containers. Faecal samples were collected into each sampling kit from groups of
cattle and WEC and larval cultures were conducted using standard procedures. A
submission form was completed for each kit with data requested including date of
sampling, property address, age, average estimated weight and production type of
cattle, last drench used and date of drenching. Regional analysis was done by
postcode region and postcode regions were grouped into bioclimatic regions. A
total of 5069 submissions were received from 2002 to the end of 2012 representing
over 50,000 individual faecal samples. Seventy-seven percent of cattle sampled
were no more than 2 years of age with the remainder representing a range of age
groups. Samples were collected from all of the significant cattle producing
regions of Australia. There was a tendency for higher geometric mean WEC in
cattle in northern Australia and in high rainfall areas along the east coast of
Australia. Geometric mean WEC for bioclimatic regions varied seasonally with a
peak in autumn in regions with summer dominant rainfall, but little seasonal
variation in regions with winter dominant rainfall patterns. Worm genera cultured
varied throughout Australia with Cooperia spp. being most prevalent across the
country, followed by Haemonchus spp. and Oesophagostomum spp. in summer rainfall
dominant regions and Ostertagia spp. and Trichostrongylus spp. in winter rainfall
regions. In the coastal, tablelands, and temperate rangelands regions of NSW,
Haemonchus spp. were as prevalent as Cooperia spp. during autumn months and
levels of Haemonchus spp. found in south-western Western Australia were higher
than previously documented. These data provide an up-to-date summary of internal
parasites in Australian cattle. Regional differences in WEC between northern and
southern Australia are evident and should be further explored. These data suggest
that there has been a move to Cooperia spp. being the predominant worm genus in
Australian cattle, with increasing levels of Haemonchus spp. being evident in
southern temperate regions of Australia.
PMID- 24933469
TI - Polypyrrole-coated electrospun poly(lactic acid) fibrous scaffold: effects of
coating on electrical conductivity and neural cell growth.
AB - Neuronal activities play critical roles in both neurogenesis and neural
regeneration. In that sense, electrically conductive and biocompatible
biomaterial scaffolds can be applied in various applications of neural tissue
engineering. In this study, we fabricated a novel biomaterial for neural tissue
engineering applications by coating electrospun poly(lactic acid) (PLA)
nanofibers with a conducting polymer, polypyrole (PPy), via admicellar
polymerization. Optimal conditions for polymerization and preparation of PPy
coated electrospun PLA nanofibers were obtained by comparing results from
scanning electron microscopy, X-ray photoelectron spectrometer, and surface
conductivity tests. In vitro cell culture experiments showed that PPy-coated
electrospun PLA fibrous scaffold is not toxic. The scaffold could support
attachment and migration of neural progenitor cells. Neurons derived from
progenitor exhibited long neurite outgrowth under electrical stimulation. Our
study concluded that PPy-coated electrospun PLA fibers had a good
biocompatibility with neural progenitor cells and may serve as a promising
material for controlling progenitor cell behaviors and enhancing neural repair.
PMID- 24933471
TI - Pressure-Dependent Properties of Elementary Hydrophobic Interactions:
Ramifications for Activation Properties of Protein Folding.
AB - Hydration effects on a pair of methane molecules are investigated by extensive
constant-pressure (NPT) sampling using the TIP4P model of water under 1, 1000,
2000, and 3000 atm. The volume distributions of pure water and of methanes plus
water are determined directly as functions of methane-methane distance xi. The
corresponding excess isothermal and adiabatic compressibilities are estimated
from the pressure-dependent methane excess volume. The dependence of excess
volume on xi is oscillatory for small xi. The maxima of excess volume and
compressibility are seen near the desolvation barrier (db) of the potential of
mean force (PMF). These features may be understood by the development, near the
db, of a void volume encased by a molecular (Connolly) surface defined using a
water-sized probe. These db properties for two methanes are consistent with well
corroborated experimental observations of positive activation volumes for protein
folding and some experiments suggesting a slightly higher compressibility for the
folding transition state than the unfolded state. At high pressures, the volumes
at the PMF solvent-separated minimum and the contact-minimum configurations are
both smaller than the volume at large xi. This trend provides a rationalization
for the compactness of pressure-denatured states of proteins. Taking the packing
densities of pure nonpolar phases into consideration, our simulation results
suggest that whether the activation volume of unfolding is positive or negative
hinges on the packing compactness of the protein core. Volume change can be but
is not necessarily monotonic along the folding pathway.
PMID- 24933472
TI - Moonlighting adenosine deaminase: a target protein for drug development.
AB - Interest in adenosine deaminase (ADA) in the context of medicine has mainly
focused on its enzymatic activity. This is justified by the importance of the
reaction catalyzed by ADA not only for the intracellular purine metabolism, but
also for the extracellular purine metabolism as well, because of its capacity as
a regulator of the concentration of extracellular adenosine that is able to
activate adenosine receptors (ARs). In recent years, other important roles have
been described for ADA. One of these, with special relevance in immunology, is
the capacity of ADA to act as a costimulator, promoting T-cell proliferation and
differentiation mainly by interacting with the differentiation cluster CD26.
Another role is the ability of ADA to act as an allosteric modulator of ARs.
These receptors have very general physiological implications, particularly in the
neurological system where they play an important role. Thus, ADA, being a single
chain protein, performs more than one function, consistent with the definition of
a moonlighting protein. Although ADA has never been associated with moonlighting
proteins, here we consider ADA as an example of this family of multifunctional
proteins. In this review, we discuss the different roles of ADA and their
pathological implications. We propose a mechanism by which some of their
moonlighting functions can be coordinated. We also suggest that drugs modulating
ADA properties may act as modulators of the moonlighting functions of ADA, giving
them additional potential medical interest.
PMID- 24933473
TI - Flexible organic light-emitting diodes with enhanced light out-coupling
efficiency fabricated on a double-sided nanotextured substrate.
AB - High-efficiency organic light-emitting diodes (OLEDs) have generated tremendous
research interest. One of the exciting possibilities of OLEDs is the use of
flexible plastic substrates, which unfortunately have a mismatching refractive
index compared with the conventional ITO anode and the air. To unlock the light
loss on flexible plastic, we report a high-efficiency flexible OLED directly
fabricated on a double-sided nanotextured polycarbonate substrate by thermal
nanoimprint lithography. The template for the nanoimprint process is a replicate
from a silica arrayed with nanopillars and fabricated by ICP etching through a
SiO2 colloidal spheres mask. It has been shown that with the internal quasi
periodical scattering gratings the efficiency enhancement can reach 50% for a
green light OLED, and with an external antireflection structure, the normal
transmittance is increased from 89% to 94% for paraboloid-like pillars. The OLED
directly fabricated on the double-sided nanotextured polycarbonate substrate has
reached an enhancing factor of ~2.8 for the current efficiency.
PMID- 24933470
TI - Transcription activator-like effectors: a toolkit for synthetic biology.
AB - Transcription activator-like effectors (TALEs) are proteins secreted by
Xanthomonas bacteria to aid the infection of plant species. TALEs assist
infections by binding to specific DNA sequences and activating the expression of
host genes. Recent results show that TALE proteins consist of a central repeat
domain, which determines the DNA targeting specificity and can be rapidly
synthesized de novo. Considering the highly modular nature of TALEs, their
versatility, and the ease of constructing these proteins, this technology can
have important implications for synthetic biology applications. Here, we review
developments in the area with a particular focus on modifications for custom and
controllable gene regulation.
PMID- 24933474
TI - A new growth curve model for biological growth: some inferential studies on the
growth of Cirrhinus mrigala.
AB - Growth of living organisms is a fundamental biological process. It depicts the
physiological development of the species related to the environment. Mathematical
development of growth curve models has a long history since its birth. We propose
a mathematical model to describe the evolution of relative growth rate as a
function of time based on a real life experiment on a major Indian Carp Cirrhinus
mrigala. We establish that the proposed model is able to describe the fish growth
dynamics more accurately for our experimental data than some existing models e.g.
logistic, Gompertz, exponential. Approximate expressions of the points of
inflection and the time of achieving the maximum relative growth rate are
derived. We study, in detail, the existence of a nonlinear least squares
estimator of the model parameters and their consistency properties. Test
statistics is developed to study the equality of points of inflection and
equality of the amount of time necessary to achieve the maximum relative growth
rate for a species at two different locations. Using the theory of variance
stabilizing transformations, we propose a new test statistic to test the effect
of the decay parameter for the proposed growth law. The testing procedure is
found to be more sensitive in comparison with the test based on nonlinear least
squares estimates. Our proposed model provides a general framework to model
growth in other disciplines as well.
PMID- 24933475
TI - Quantifying the effects of anagenetic and cladogenetic evolution.
AB - An ongoing debate in evolutionary biology is whether phenotypic change occurs
predominantly around the time of speciation or whether it instead accumulates
gradually over time. In this work I propose a general framework incorporating
both types of change, quantify the effects of speciational change via the
correlation between species and attribute the proportion of change to each type.
I discuss results of parameter estimation of Hominoid body size in this light. I
derive mathematical formulae related to this problem, the probability generating
functions of the number of speciation events along a randomly drawn lineage and
from the most recent common ancestor of two randomly chosen tip species for a
conditioned Yule tree. Additionally I obtain in closed form the variance of the
distance from the root to the most recent common ancestor of two randomly chosen
tip species.
PMID- 24933476
TI - Retention of a 24-hour time memory in Syrian hamsters carrying the 20-hour short
circadian period mutation in casein kinase-1epsilon (ck1epsilontau/tau).
AB - Circadian rhythmic expression of conditioned place avoidance (CPA) was produced
in Syrian hamsters homozygous for the circadian short period mutation, tau. In
constant dim red light neither the 20 h endogenous period, nor a 20 h place
conditioning schedule eliminated the 24 h modulation of CPA behavior described
previously for wild type (wt) hamsters and other species. Tau mutants exhibited a
20 h rhythm superimposed on the 24 h modulation. The 20 h component was removed
selectively with lesions of the suprachiasmatic nucleus. Wt animals conditioned
on a 20 h schedule did not produce a 20 h rhythm, but still expressed the 24 h
modulation. The results show that the context entrainable oscillator (CEO)
underlying memory for the timing of an unconditioned stimulus, retains a period
of about 24 h regardless of clock gene background (tau mutation) and/or the
conditioning schedule (24 vs 20 h). Therefore the CEO responsible for time memory
is distinct from the biological clock controlling activity; the underlying
circadian molecular mechanisms may differ from the ubiquitous transcription
translation feedback oscillator; and time memory itself is not classically
conditioned.
PMID- 24933477
TI - Propane dehydrogenation over Pt-Cu bimetallic catalysts: the nature of coke
deposition and the role of copper.
AB - This paper describes an investigation of the promotional effect of Cu on the
catalytic performance of Pt/Al2O3 catalysts for propane dehydrogenation. We have
shown that Pt/Al2O3 catalysts possess higher propylene selectivity and lower
deactivation rate as well as enhanced anti-coking ability upon Cu addition. The
optimized loading content of Cu is 0.5 wt%, which increases the propylene
selectivity to 90.8% with a propylene yield of 36.5%. The origin of the enhanced
catalytic performance and anti-coking ability of the Pt-Cu/Al2O3 catalyst is
ascribed to the intimate interaction between Pt and Cu, which is confirmed by the
change of particle morphology and atomic electronic environment of the catalyst.
The Pt-Cu interaction inhibits propylene adsorption and elevates the energy
barrier of C-C bond rupture. The inhibited propylene adsorption diminishes the
possibility of coke formation and suppresses the cracking reaction towards the
formation of lighter hydrocarbons on Pt-Cu/Al2O3, while a higher energy barrier
for C-C bond cleavage suppresses the methane formation.
PMID- 24933478
TI - Pineal tumours: Experience of the French National Register and the Lyon School,
results and considerations.
AB - The experience of the French National Register of pineal tumours and the
experience of the Lyon School are reported. Data were collected from 26 French
neurosurgical centres from 1989 in Lyons and in other centres from 1997. For
radiological and pathological studies, 517 cases were considered while only 452
with sufficient clinical and follow-up data were retained for further analysis.
These data highlight the importance of the initial diagnosis for a therapeutic
strategy that can be completely different in cases of pineal or germ cell
tumours. As regards the latter, chemotherapy and radiotherapy can avoid surgery.
Pineocytomas are benign lesions and their complete removal guarantees the cure.
Pineal parenchymal tumours with intermediate differentiation (PTT-ID) require
complete removal and complementary treatment in cases of histological
abnormalities with approximately 66% of patients who remain alive. Pineoblastomas
have a poor prognosis and the rate of survival in the French National Register is
only 33% of cases. Germinomas can be treated with chemotherapy or radiotherapy
alone and generally the indication for surgical removal is limited to residual
tumours. The rate of survival is 64% at 10 years. For papillary tumours of the
pineal region (PTPR) complete removal is a good prognostic factor. Radiotherapy
seems to be effective in cases of residual tumours. The experience and results
with radiosurgery treatment have been limited in the French national and Lyon
experience.
PMID- 24933479
TI - Preferences regarding disclosure of a diagnosis of dementia: a systematic review.
AB - BACKGROUND: Studies in memory clinics suggest that the majority of patients would
like to know of a diagnosis of dementia. It is less clear what preferences are in
the community. Our objective was to review the literature on preferences
regarding disclosure of a diagnosis of dementia and to assess key arguments in
favor of and against disclosure. METHODS: Systematic search of empirical studies
was performed in Pubmed, Embase, and Psycinfo. We extracted preferences of
individuals without cognitive impairment (general population; relatives of
dementia patients; and physicians) and preferences of individuals referred to a
memory clinic or already diagnosed with dementia. A meta-analysis was done using
a random effects model. Our main conclusions are based on studies with a response
rate >=75%. RESULTS: We included 23 articles (9.065 respondents). In studies with
individuals without cognitive impairment, the pooled percentage in favor of
disclosure was 90.7% (95%CI: 83.8%-97.5%). In studies with patients who were
referred to a memory clinic or already diagnosed with dementia, the pooled
percentage that considered disclosure favorable was 84.8% (95%CI: 75.6%-94.0%).
The central arguments in favor of disclosure pertained to autonomy and the
possibility to plan one's future. Arguments against disclosure were fear of
getting upset and that knowing has no use. CONCLUSIONS: The vast majority of
individuals without and with cognitive impairment prefers to be informed about a
diagnosis of dementia for reasons pertaining to autonomy.
PMID- 24933480
TI - You keep using that term.
PMID- 24933481
TI - NOTCH and PTEN in prostate cancer.
AB - Over the past decade, our understanding of the role that Notch-signaling has in
tumorigenesis has shifted from leukemogenesis into cancers of solid tumors.
Emerging data suggests that in addition to direct effects mediated through the
canonical Notch pathway, Notch may participate in epithelial tumor development
through regulation of pathways such as PTEN/PI3K/Akt. Prostate cancer is a
disease for which PTEN gene expression is especially essential. This review will
summarize a role for Notch in prostate development and cancer with an emphasis on
how the Notch pathway may intersect with PTEN/PI3K/Akt and mTOR signaling.
PMID- 24933482
TI - The Childhood Cancer Survivor Study-Neurocognitive Questionnaire (CCSS-NCQ)
revised: item response analysis and concurrent validity.
AB - OBJECTIVE: Childhood cancer survivors are at risk for neurocognitive impairment
related to cancer diagnosis or treatment. This study refined and further
validated the Childhood Cancer Survivor Study-Neurocognitive Questionnaire (CCSS
NCQ; Krull et al., 2008), a scale developed to screen for impairment in long-term
survivors of childhood cancer. METHOD: Items related to task efficiency, memory,
organization, and emotional-regulation domains were examined using item response
theory (IRT). Data were collected from 833 adult survivors of childhood cancer
who completed self-report and direct neurocognitive testing for the St. Jude
Lifetime Cohort Study. The revision process included: (a) content-validity
mapping of items to domains, (b) constructing a revised CCSS-NCQ, (c) selecting
items within specific domains using IRT, and (d) evaluating concordance between
the revised CCSS-NCQ and direct neurocognitive assessment. RESULTS: Using content
and measurement properties, 32 items were retained (8 items in 4 domains). Items
captured low to middle levels of neurocognitive concerns. The latent domain
scores demonstrated poor convergent/divergent validity with the direct
assessments. Adjusted ESs (ES; Cohen's d) for agreement between self-reported
memory and direct memory assessment were moderate for total recall (ES = 0.66),
long-term memory (ES = 0.63), and short-term memory (STM; ES = 0.55). ESs between
self-rated task efficiency and direct assessment of attention were moderate for
focused attention (ES = 0.70) and attention span (ES = 0.50), but small for
sustained attention (ES = 0.36). Cranial radiation therapy and female gender were
associated with lower self-reported neurocognitive function. CONCLUSION: The
revised CCSS-NCQ demonstrates adequate measurement properties for assessing day
to-day neurocognitive concerns in childhood cancer survivors, and adds useful
information to direct assessment.
PMID- 24933484
TI - Unilateral hand contractions produce motivational biases in social economic
decision making.
AB - OBJECTIVE: Unilateral hand contractions have been shown to induce relative
activation of the contralateral hemisphere, which is in turn associated with
distinct motivational states. Specifically, right hand contraction increases
relative left activation and promotes an approach state, and left hand
contractions promote relative right activation and withdrawal states. Using the
same hand clenching technique, the present study extends this research to examine
the incidental role of motivational tendency on interactive economic decision
making. METHOD: A total of 75 right-handed participants were randomly assigned to
1 of 3 conditions, including withdrawal/left-hand contractions, approach/right
hand contractions, and control/no contraction. Participants completed 2 well
known economic tasks, namely the Ultimatum Game (UG), Dictator Game (DG).
RESULTS: In the UG, we found that relative to individuals in the withdrawal
condition, those in the approach (right-hand contraction) condition made higher
monetary offers to human partners who could either accept or reject these offers.
Moreover, those in the approach condition rejected significantly more unfair
offers from human partners. CONCLUSIONS: This study provides the first evidence
that hemispheric activation, using unilateral muscle contractions, may play a
causal role in biasing social economic decision making. Overall, there results
suggest that greater relative left frontal activation promotes reward-maximizing
strategies, consistent with an approach motivation, and relative right frontal
activation may decrease such strategic tendencies.
PMID- 24933483
TI - Life experience and demographic influences on cognitive function in older adults.
AB - OBJECTIVE: We examined the influence of a broad spectrum of life experiences on
longitudinal cognitive trajectories in a demographically diverse sample of older
adults. METHOD: Participants were 333 educationally, ethnically, and cognitively
diverse older adults enrolled in a longitudinal aging study. Mixed-effects
regression was used to measure baseline status in episodic memory, executive
functioning, and semantic memory and change in a global cognition factor defined
by change in these 3 domain-specific measures. We examined effects of life
experience variables (literacy, childhood socioeconomic status, morphometric
measures of physical development, life course physical and recreational activity)
on longitudinal cognitive trajectories, covarying for age, apolipoprotein E
(APOE) genotype and demographics (education, ethnicity, language). RESULTS: Non
Latino Whites had higher baseline cognition, but life experience variables
attenuated ethnic differences in cognitive scores. Age, literacy, childhood
socioeconomic status, and physical activity significantly influenced baseline
cognition. Age, APOE epsilon4, and decline in intellectually and socially
stimulating recreational activity from mid to late life were independently
associated with increased late life cognitive decline. Higher literacy and late
life recreational activity were associated with less decline. Literacy had
similar effects for English and Spanish readers/speakers. Bilingual English and
Spanish speakers did not differ from English Speakers in cognitive performance.
CONCLUSIONS: Life experience variables, especially literacy level, were strongly
related to baseline cognition and substantially attenuated effects of
race/ethnicity and education. Cognitive change was best explained by age, APOE
epsilon4, literacy, and current recreational activities. Literacy had robust
associations with baseline cognition and cognitive change in both English and
Spanish speakers.
PMID- 24933485
TI - Assessment of functional change and cognitive correlates in the progression from
healthy cognitive aging to dementia.
AB - OBJECTIVE: There is currently limited understanding of the course of change in
everyday functioning that occurs with normal aging and dementia. To better
characterize the nature of this change, we evaluated the types of errors made by
participants as they performed everyday tasks in a naturalistic environment.
METHOD: Participants included cognitively healthy younger adults (YA; n = 55) and
older adults (OA; n = 88), and individuals with mild cognitive impairment (MCI: n
= 55) and dementia (n = 18). Participants performed 8 scripted everyday
activities (e.g., filling a medication dispenser) while under direct observation
in a campus apartment. Task performances were coded for the following errors:
inefficient actions, omissions, substitutions, and irrelevant actions. RESULTS:
Performance accuracy decreased with age and level of cognitive impairment.
Relative to the YAs, the OA group exhibited more inefficient actions which were
linked to performance on neuropsychological measures of executive functioning.
Relative to the OAs, the MCI group committed significantly more omission errors
which were strongly linked to performance on memory measures. All error types
were significantly more prominent in individuals with dementia. Omission errors
uniquely predicted everyday functional status as measured by both informant
report and a performance-based measure. CONCLUSIONS: These findings suggest that
in the progression from healthy aging to MCI, everyday task difficulties may
evolve from task inefficiencies to task omission errors, leading to inaccuracies
in task completion that are recognized by knowledgeable informants. Continued
decline in cognitive functioning then leads to more substantial everyday errors,
which compromise ability to live independently.
PMID- 24933486
TI - An empirical comparison of the therapeutic benefits of physical exercise and
cognitive training on the executive functions of older adults: a meta-analysis of
controlled trials.
AB - A robust body of aging-related research has established benefits of both physical
exercise (PE) and cognitive training (CT) on executive functions related to the
activities of daily living of older adults; however, no meta-analysis has
compared these treatments. OBJECTIVE: The current quantitative review involved a
comparison of the overall effect sizes of PE and CT interventions on executive
functions (Morris, 2008; pre-post-controlled effect size: d(ppc)), while also
exploring contextual moderators of treatment outcomes. METHOD: A systematic
review identified 46 studies (23 PE, 21 CT, and 2 both) meeting inclusion
criteria (i.e., controlled interventions, executive-related outcomes, mean ages
65+, information to calculate d(ppc)). RESULTS: The weighted mean dppc values
came to 0.12 (p < .01) for PE and 0.24 (p < .01) for CT. Treatment effects
differed based on executive constructs for CT, with problem solving presenting
the highest d(ppc) (0.47, p < .01). Notably, PE produced similar effect sizes
across distinct executive functions. Treatment characteristics (e.g., session
length/frequency) did not predict effect sizes. CT had a significant benefit on
healthy participants (0.26, p < .01), but cognitively impaired samples did not
experience a significant effect. CONCLUSIONS: Both treatments improved executive
functions, but CT presented a potential advantage at improving executive
functions. Improvements in executive functions differed depending on construct
for CT, whereas each construct produced similar, modest effect sizes for PE.
Publication bias and study quality variability potentially bias these
conclusions, as lower quality studies likely produced inflated effect sizes.
PMID- 24933487
TI - Visual encoding impairment in patients with schizophrenia: contribution of
reduced working memory span, decreased processing speed, and affective symptoms.
AB - OBJECTIVE: Previous research has revealed the contribution of decreased
processing speed and reduced working memory span in verbal and visual memory
impairment in patients with schizophrenia. The role of affective symptoms in
verbal memory has also emerged in a few studies. The authors designed a picture
recognition task to investigate the impact of these factors on visual encoding.
METHOD: Two types of pictures (black and white vs. colored) were presented under
2 different conditions of context encoding (either displayed at a specific
location or in association with another visual stimulus). It was assumed that the
process of encoding associated pictures was more effortful than that of encoding
pictures that were presented alone. Working memory span and processing speed were
assessed. RESULTS: In the patient group, working memory span was significantly
associated with the recognition of the associated pictures but not significantly
with that of the other pictures. Controlling for processing speed eliminated the
patients' deficit in the recognition of the colored pictures and greatly reduced
their deficit in the recognition of the black-and-white pictures. The recognition
of the black-and-white pictures was inversely related to anxiety in men and to
depression in women. CONCLUSIONS: Working memory span constrains the effortful
visual encoding processes in patients, whereas processing speed decrement
accounts for most of their visual encoding deficit. Affective symptoms also have
an impact on visual encoding, albeit differently in men and women.
PMID- 24933488
TI - Cognitive impairment in adult ADHD--perspective matters!
AB - OBJECTIVE: The assessment of cognitive functions of adults with attention deficit
hyperactivity disorder (ADHD) comprises self-ratings of cognitive functioning
(subjective assessment) as well as psychometric testing (objective
neuropsychological assessment). The aim of the present study was to explore the
utility of these assessment strategies in predicting neuropsychological
impairments of adults with ADHD as determined by both approaches. METHOD: Fifty
five adults with ADHD and 66 healthy participants were assessed with regard to
cognitive functioning in several domains by employing subjective and objective
measurement tools. Significance and effect sizes for differences between groups
as well as the proportion of patients with impairments were analyzed.
Furthermore, logistic regression analyses were carried out in order to explore
the validity of subjective and objective cognitive measures in predicting
cognitive impairments. RESULTS: Both subjective and objective assessment tools
revealed significant cognitive dysfunctions in adults with ADHD. The majority of
patients displayed considerable impairments in all cognitive domains assessed. A
comparison of effect sizes, however, showed larger dysfunctions in the subjective
assessment than in the objective assessment. Furthermore, logistic regression
models indicated that subjective cognitive complaints could not be predicted by
objective measures of cognition and vice versa. CONCLUSIONS: Subjective and
objective assessment tools were found to be sensitive in revealing cognitive
dysfunctions of adults with ADHD. Because of the weak association between
subjective and objective measurements, it was concluded that subjective and
objective measurements are both important for clinical practice but may provide
distinct types of information and capture different aspects of functioning.
PMID- 24933489
TI - Discrimination and recognition of facial expressions of emotion and their links
with voluntary control of facial musculature in Parkinson's disease.
AB - OBJECTIVE: To explore perception of facial expressions of emotion and its link
with voluntary facial musculature control in Parkinson's disease (PD). METHOD: We
investigated in 2 sets of experiments in PD patients and healthy controls the
perceptual ability to discriminate (a) graded intensities of emotional from
neutral expressions, (b) graded intensities of the same emotional expressions,
(c) full-blown discrepant emotional expressions from 2 similar expressions and
the more complex recognition ability to label full-blown emotional expressions.
We tested an embodied simulationist account of emotion perception in PD, which
predicts a link between the ability to perceive emotional expressions and facial
musculature control. We also explored the contribution of the ability to extract
facial information (besides emotion) to emotion perception in PD. RESULTS: Those
with PD were, as a group, impaired relative to controls (with large effect sizes)
in all measures of discrimination and recognition of emotional expressions,
although some patients performed as well as the best performing controls. In
support of embodied simulation, discrimination and recognition of emotional
expressions correlated positively with voluntary control of facial musculature
(after partialing out disease severity and age). Patients were also impaired at
extracting information other than emotion from faces, specifically discriminating
and recognizing identity from faces (with large effect sizes); identity
discrimination correlated positively with emotion discrimination and recognition
but not with voluntary facial musculature control (after partialing out disease
severity and age). CONCLUSIONS: The results indicate that impaired sensory and
sensorimotor processes, which are a function of disease severity, affect emotion
perception in PD.
PMID- 24933490
TI - Preserved meaning in the context of impaired naming in temporal lobe epilepsy.
AB - OBJECTIVE: Word-finding difficulties are a common complaint among individuals
with left (domain) temporal lobe epilepsy (TLE). We tested the hypothesis that
these difficulties stem from a deficit in semantic processing. METHOD: We tested
and compared semantic processing in left and right TLE patients and healthy
controls. To avoid the confound of word retrieval, we used two semantic tasks
(semantic priming and picture-matching) that did not require spoken word
production. In addition to accuracy, we recorded response time in an effort to
achieve a sensitive assessment of semantic processing. RESULTS: Semantic priming
was in all respects comparable between left TLE patients with documented word
finding difficulty and right TLE patients without word-finding difficulty.
Likewise, performances were comparable between groups on picture matching, which
demanded knowledge of detailed semantic features for decisions regarding subtle
differences in semantic relatedness. CONCLUSIONS: Overall, these results, which
demonstrate a relative preservation of semantic processing in left TLE, suggest
that the probable cause of word-finding difficulty in this group relates to
processes that follow semantic retrieval in word production, involving the
retrieval of lexical/phonological information. In addition to clinical
implications for remediation, these results refine our understanding of the
neurocognitive organization of temporal mechanisms supporting spoken word
production.
PMID- 24933491
TI - Hyperconnectivity is a fundamental response to neurological disruption.
AB - OBJECTIVE: In the cognitive and clinical neurosciences, the past decade has been
marked by dramatic growth in a literature examining brain "connectivity" using
noninvasive methods. We offer a critical review of the blood oxygen level
dependent functional MRI (BOLD fMRI) literature examining neural connectivity
changes in neurological disorders with focus on brain injury and dementia. The
goal is to demonstrate that there are identifiable shifts in local and large
scale network connectivity that can be predicted by the degree of pathology. We
anticipate that the most common network response to neurological insult is
hyperconnectivity but that this response depends upon demand and resource
availability. METHOD: To examine this hypothesis, we initially reviewed the
results from 1,426 studies examining functional brain connectivity in individuals
diagnosed with multiple sclerosis, traumatic brain injury, mild cognitive
impairment, and Alzheimer's disease. Based upon inclusionary criteria, 126
studies were included for detailed analysis. RESULTS: RESULTS from 126 studies
examining local and whole brain connectivity demonstrated increased connectivity
in traumatic brain injury and multiple sclerosis. This finding is juxtaposed with
findings in mild cognitive impairment and Alzheimer's disease where there is a
shift to diminished connectivity as degeneration progresses. CONCLUSION: This
summary of the functional imaging literature using fMRI methods reveals that
hyperconnectivity is a common response to neurological disruption and that it may
be differentially observable across brain regions. We discuss the factors
contributing to both hyper- and hypoconnectivity results after neurological
disruption and the implications these findings have for network plasticity.
PMID- 24933493
TI - Team-based care to improve blood pressure control: recommendation of the
Community Preventive Services Task Force.
PMID- 24933492
TI - Does bilingualism contribute to cognitive reserve? Cognitive and neural
perspectives.
AB - OBJECTIVE: Cognitive reserve refers to how individuals actively utilize neural
resources to cope with neuropathology to maintain cognitive functioning. The
present review aims to critically examine the literature addressing the
relationship between bilingualism and cognitive reserve to elucidate whether
bilingualism delays the onset of cognitive and behavioral manifestations of
dementia. Potential neural mechanisms behind this relationship are discussed.
METHOD: PubMed and PsycINFO databases were searched (through January 2014) for
original research articles in English or Spanish languages. The following search
strings were used as keywords for study retrieval: "bilingual AND reserve,"
"reserve AND neural mechanisms," and "reserve AND multilingualism." RESULTS:
Growing scientific evidence suggests that lifelong bilingualism contributes to
cognitive reserve and delays the onset of Alzheimer's disease symptoms, allowing
bilingual individuals affected by Alzheimer's disease to live an independent and
richer life for a longer time than their monolingual counterparts. Lifelong
bilingualism is related to more efficient use of brain resources that help
individuals maintain cognitive functioning in the presence of neuropathology. We
propose multiple putative neural mechanisms through which lifelong bilinguals
cope with neuropathology. The roles of immigration status, education, age of
onset, proficiency, and frequency of language use on the relationship between
cognitive reserve and bilingualism are considered. CONCLUSIONS: Implications of
these results for preventive practices and future research are discussed.
PMID- 24933495
TI - Quantitative analysis of neonicotinoid insecticide residues in foods: implication
for dietary exposures.
AB - This study quantitatively measured neonicotinoids in various foods that are
common to human consumption. All fruit and vegetable samples (except nectarine
and tomato) and 90% of honey samples were detected positive for at least one
neonicotinoid; 72% of fruits, 45% of vegetables, and 50% of honey samples
contained at least two different neonicotinoids in one sample, with imidacloprid
having the highest detection rate among all samples. All pollen samples from New
Zealand contained multiple neonicotinoids, and five of seven pollens from
Massachusetts detected positive for imidacloprid. These results show the
prevalence of low-level neonicotinoid residues in fruits, vegetables, and honey
that are readily available in the market for human consumption and in the
environment where honeybees forage. In light of new reports of toxicological
effects in mammals, the results strengthen the importance of assessing dietary
neonicotinoid intakes and the potential human health effects.
PMID- 24933496
TI - RCT of a brief phone-based CBT intervention to improve PTSD treatment utilization
by returning service members.
AB - OBJECTIVES: Many service members do not seek care for mental health and addiction
problems, often with serious consequences for them, their families, and their
communities. This study tested the effectiveness of a brief, telephone-based,
cognitive-behavioral intervention designed to improve treatment engagement among
returning service members who screened positive for posttraumatic stress disorder
(PTSD). METHODS: Service members who had served in Operation Enduring Freedom or
Operation Iraqi Freedom who screened positive for PTSD but had not engaged in
PTSD treatment were recruited (N=300), randomly assigned to either control or
intervention conditions, and administered a baseline interview. Intervention
participants received a brief cognitive-behavioral therapy intervention;
participants in the control condition had access to usual services. All
participants received follow-up phone calls at months 1, 3, and 6 to assess
symptoms and service utilization. RESULTS: Participants in both conditions had
comparable rates of treatment engagement and PTSD symptom reduction over the
course of the six-month trial, but receiving the telephone-based intervention
accelerated service utilization (treatment engagement and number of sessions) and
PTSD symptom reduction. CONCLUSIONS: A one-time brief telephone intervention can
engage service members in PTSD treatment earlier than conventional methods and
can lead to immediate symptom reduction. There were no differences at longer-term
follow-up, suggesting the need for additional intervention to build upon initial
gains.
PMID- 24933497
TI - The use of banquettes of Posidonia oceanica as a source of fiber and minerals in
ruminant nutrition. An observational study.
AB - In the search for new food sources that contribute to the optimization of
livestock production this paper discusses the possibility of using waste (called
banquettes) of a marine plant commonly found on the Mediterranean coasts:
Posidonia oceanica. The idea stems from the use of a waste that in summertime
generates large costs because it is considered bothersome on the beaches. Thus,
tons and tons of residues are collected each year from the beach, being destined
for incineration. However, alternative uses for these residues are suggested,
such as forage that is particularly relevant for the Mediterranean coast, where
the weather does not support abundant grass growth. With this purpose, samples of
banquettes of P. oceanica from six different points of a touristic place located
in the Murcia Region (S.E. of Spain) were collected in April 2012 on the same day
directly from the beach above the water line, washed with distilled water and sun
dried for 48 h. Approximately 500 g of each sample of plant material was chopped
and two subsamples of 200 g each were placed in airtight plastic containers and
sent to the laboratory for mineral and chemical analysis. This report provides
data on the nutritional composition of P. oceanica such as mineral contents (As,
Cd, Co, Cr, Cu, Fe, Mn, Ni, Pb and Zn) and chemical composition (ash, CP, ether
extract (EE), NDF and ADF, respectively) and ADL. Finally, the in sacco rumen
disappearance of dry matter (DM), organic matter (OM), CP and NDF were studied.
Our results showed that minerals, except for Fe, where within the range of values
reported for P. oceanica in other parts of the Mediterranean region. Given the
high Fe content further studies assessing the antagonic Fe-Cu interaction and its
effect on animal health should be addressed. In relation to chemical composition,
it is clear that this seagrass is a poor protein source and has levels of DM
degradability at 24 h, similar to those obtained for cereal straw. The
information summarized here shows some potential for the use of banquettes of P.
oceanica as forage source in ruminant nutrition.
PMID- 24933498
TI - Enantioselective ruthenium(II)/Xyl-SunPhos/Daipen-catalyzed hydrogenation of
gamma-ketoamides.
AB - A series of gamma-hydroxy amides were synthesized with high enantioselectivities
(up to 99%) using asymmetric hydrogenation of the corresponding gamma-ketoamides
in the presence of Ru-Xyl-SunPhos-Daipen catalyst providing key building blocks
for a variety of naturally occurring and biologically active compounds.
PMID- 24933494
TI - Team-based care and improved blood pressure control: a community guide systematic
review.
AB - CONTEXT: Uncontrolled hypertension remains a widely prevalent cardiovascular risk
factor in the U.S. team-based care, established by adding new staff or changing
the roles of existing staff such as nurses and pharmacists to work with a primary
care provider and the patient. Team-based care has the potential to improve the
quality of hypertension management. The goal of this Community Guide systematic
review was to examine the effectiveness of team-based care in improving blood
pressure (BP) outcomes. EVIDENCE ACQUISITION: An existing systematic review
(search period, January 1980-July 2003) assessing team-based care for BP control
was supplemented with a Community Guide update (January 2003-May 2012). For the
Community Guide update, two reviewers independently abstracted data and assessed
quality of eligible studies. EVIDENCE SYNTHESIS: Twenty-eight studies in the
prior review (1980-2003) and an additional 52 studies from the Community Guide
update (2003-2012) qualified for inclusion. Results from both bodies of evidence
suggest that team-based care is effective in improving BP outcomes. From the
update, the proportion of patients with controlled BP improved (median
increase=12 percentage points); systolic BP decreased (median reduction=5.4
mmHg); and diastolic BP also decreased (median reduction=1.8 mmHg). CONCLUSIONS:
Team-based care increased the proportion of people with controlled BP and reduced
both systolic and diastolic BP, especially when pharmacists and nurses were part
of the team. Findings are applicable to a range of U.S. settings and population
groups. Implementation of this multidisciplinary approach will require health
system-level organizational changes and could be an important element of the
medical home.
PMID- 24933500
TI - Relationship between modified CT severity index and clinical features of L
asparaginase-associated pancreatitis in pediatric acute lymphoblastic leukemia.
AB - PURPOSE: To describe clinical and CT features of L-asparaginase-associated
pancreatitis (L-AP) and to correlate CT grades with clinical parameters. METHODS:
A total of 16 children (M:F = 9:7; mean age, 8.1 years) who developed L-AP after
L-asparaginase (L-asp) treatment and underwent abdominal CT scan were included.
We retrospectively reviewed clinical data (age, sex, signs, and symptoms related
to pancreatic toxicity and its complications, the number of L-asp doses receiving
before L-AP); laboratory test results (serum amylase, lipase, C-reactive protein
(CRP), calcium, blood urea nitrogen (BUN), lactate dehydrogenase (LDH), aspartate
aminotransferase (AST), glucose, and serum albumin); and clinical course (the
number of days of hospitalization, number of NPO days, use of nasogastric tube,
intravenous (IV) narcotics, total parenteral nutrition (TPN) or any surgical
intervention). We also reviewed CT images and modified CT severity index (MCSI)
for grading the severity of AP and classified to three groups (mild, moderate,
and severe) or two groups (low and high score) according to MCSI. RESULTS: L-AP
typically occurred early in the course of therapy. Use of IV narcotics (P = .014)
and peak amylase (P = .009) showed a significant difference between mild and
severe L-AP groups according to MCSI. Between the low and high score groups, Use
of IV narcotics (P = .046), BUN (P = .039), and peak amylase level (P = .013) was
significantly different. However, the L-asp dose, hospital day, and other
clinical date associated with prognosis did not show any significant difference.
CONCLUSION: In L-AP with pediatric ALL patients, MCSI may correlate with usage of
IV narcotics, BUN, and peak amylase levels.
PMID- 24933501
TI - Motor learning during poststroke gait rehabilitation: a case study.
AB - INTRODUCTION: To develop more effective gait rehabilitation strategies, it is
important to understand the time course of motor learning that underlies
improvements achieved with gait training. The purpose of this case study was to
evaluate motor learning through the measurement of within-session and across
session changes in gait biomechanics during the first and sixth weeks of a 6-week
clinical gait training program. CASE DESCRIPTION: A 47-year-old man with
poststroke left hemiparesis participated in the study (15.5 months poststroke,
lower extremity Fugl-Meyer score of 12). INTERVENTION: The subject participated
in 6 weeks of training with 3 sessions per week, comprising fast treadmill
walking and functional electrical stimulation to plantar and dorsiflexors. In one
training session during the first and sixth weeks, paretic propulsion and swing
phase knee flexion were measured during a pretest (before the training session),
posttest (after the training session), and retention test (48 hours after
training). OUTCOMES: After 6 week of training, the subject's gait speed increased
from 0.38 to 0.57 m/s; there was a 55.4% improvement in paretic propulsion and
25% increase in swing phase knee flexion. Examination of change scores revealed
greater within-session gains and greater retention during the first versus sixth
weeks of gait training for both paretic propulsion and knee flexion. DISCUSSION:
We demonstrate the feasibility and advantage of using within- and across-session
changes for evaluating motor learning during clinical gait rehabilitation. An
understanding of the time course of motor learning that underlies gait training
can guide the development of novel strategies and dosing regimens to increase the
efficacy of each session of gait rehabilitation. VIDEO ABSTRACT AVAILABLE: (See
Video, Supplemental Digital Content 1, http://links.lww.com/JNPT/A72, for more
insights from the authors.).
PMID- 24933503
TI - Children's (in)dependent mobility in Portugal.
AB - OBJECTIVES: To characterize children's independent mobility in Portugal, by
studying the influence of age, sex, school type (primary/secondary) and location
(urban/rural). To explore associations between mobility licences and children's
actual independent mobility. DESIGN: Cross-sectional study of 1099 children
between 8 and 15 years of age and their parents. Children attended primary
(n=660, 49% boys, 69% urban) and secondary (n=439, 43% boys, 72% urban) schools.
METHODS: The Portuguese version of the child independent mobility survey (Policy
Studies Institute, London) was completed. Parents reported the mobility licences
granted to their children. Children reported their independent mobility on school
journeys and on weekends. Differences were examined in mobility licences and
independent mobility by sex, urban/rural setting and primary/secondary schools.
Multiple logistic regression models examined the associations between different
variables and actual independent mobility. RESULTS: Secondary school children are
granted more licences and have greater levels of independent mobility than
primary school children. Only 21% of primary school children and 45% of secondary
school children come home from school actively and independently. Overall, sex
does not influence the licences granted to children in Portugal but boys have
greater levels of independent mobility during the weekends than girls. Children
in rural settings report engaging in more activities during the weekend. The
number of mobility licences granted to the child was identified as predictor for
actual independent mobility on school days and during the weekend. CONCLUSIONS:
Portuguese children lack independent mobility. Complementary qualitative research
will be important to inform about the better practices to tackle this problem.
PMID- 24933504
TI - Retrospective analysis of anthropometric and fitness characteristics associated
with long-term career progression in Rugby League.
AB - OBJECTIVES: The current study retrospectively investigated the differences in
anthropometric and fitness characteristics of junior rugby league players
selected onto a talent identification and development (TID) programme between
long-term career progression levels (i.e., amateur, academy, professional).
DESIGN: Retrospective design. METHODS: Former junior rugby league players (N=580)
selected to a TID programme were grouped according to their career progression
level. Anthropometric (height, sitting height, body mass and sum of four
skinfolds), maturational and fitness (power, speed, change of direction speed and
estimated VO2max) assessments were conducted at 13-15 years. Multivariate
analysis of covariance (MANCOVA) analyzed differences between career progression
levels controlling for chronological age. RESULTS: 57.1% and 12.1% of players
selected to the TID programme progressed to academy and professional levels in
rugby league, respectively. Sum of four skinfolds (eta(2)=0.03), vertical jump
(eta(2)=0.02), 10 m (eta(2)=0.02), 20 m (eta(2)=0.02), 30 m (eta(2)=0.02), and 60
m (eta(2)=0.03) speed, agility 505 left (eta(2)=0.06), agility 505 right
(eta(2)=0.05) and estimated VO2max (eta(2)=0.03) were superior within junior
players who progressed to professional compared to amateur levels. No significant
differences were identified between future academy and professional players for
any measure. CONCLUSIONS: Findings suggest that lower sum of four skinfolds and
advanced fitness characteristics within junior (13-15 years) rugby league players
may partially contribute to long-term career progression. Therefore, TID
programmes within rugby league should aim to assess and develop body composition
and fitness characteristics, especially change of direction speed. However, TID
programmes should also consider technical, tactical and psycho-social
characteristics of junior rugby league players that may be important for long
term career progression.
PMID- 24933499
TI - Nitric oxide signaling in the development and evolution of language and cognitive
circuits.
AB - The neocortex underlies not only remarkable motor and sensory capabilities, but
also some of our most distinctly human cognitive functions. The emergence of
these higher functions during evolution was accompanied by structural changes in
the neocortex, including the acquisition of areal specializations such as Broca's
speech and language area. The study of these evolutionary mechanisms, which
likely involve species-dependent gene expression and function, represents a
substantial challenge. These species differences, however, may represent valuable
opportunities to understand the molecular underpinnings of neocortical evolution.
Here, we discuss nitric oxide signaling as a candidate mechanism in the assembly
of neocortical circuits underlying language and higher cognitive functions. This
hypothesis was based on the highly specific mid-fetal pattern of nitric oxide
synthase 1 (NOS1, previously nNOS) expression in the pyramidal (projection)
neurons of two human neocortical areas respectively involved in speech and
language, and higher cognition; the frontal operculum (FOp) and the anterior
cingulate cortex (ACC). This expression is transiently present during mid
gestation, suggesting that NOS1 may be involved in the development of these areas
and the assembly of their neural circuits. As no other gene product is known to
exhibit such exquisite spatiotemporal expression, NOS1 represents a remarkable
candidate for these functions.
PMID- 24933505
TI - The diagnostic utility of clinical tests for differentiating between cervicogenic
and other causes of dizziness after a sports-related concussion: An international
Delphi study.
AB - OBJECTIVES: Dizziness after a sports-related concussion is very common and is
associated with prolonged recovery. The events in sports that cause concussion
include strong mechanical forces exerted to the head and neck, potentially
injuring the cervical region, the peripheral vestibular and central nervous
system, all of which can contribute to a sensation of dizziness. The purpose of
this study was to identify proper clinically administered tests and measures that
are useful in differentiating between cervicogenic and other causes of dizziness
after a sports-related concussion. DESIGN: The Delphi method. METHODS: The
workgroup identified the initial list of suggested clinical tests and the initial
list of content experts on dizziness and/or concussion through a search of peer
reviewed and grey literature. The respondent group included all invited experts
who opted to participate. A sequential three-round process was used for
elicitation of consensus opinions from the targeted content experts. RESULTS: The
respondent group included 25 members from several medical disciplines who were
experts in concussion and dizziness. At the conclusion of the study, ten clinical
tests achieved the designation of strong clinical utility, six were determined to
have weak clinical utility and seven achieved no consensus among the experts.
CONCLUSIONS: The majority of clinical tests identified as having strong clinical
utility are tests used to identify dizziness originating from the vestibular or
central nervous system. No clinical tests specific for the cervical region
achieved consensus. Expert opinion from different medical professions and even
within professions was widely divergent regarding the utility of clinical tests
to assess cervical dysfunction.
PMID- 24933506
TI - LIM proteins in actin cytoskeleton mechanoresponse.
AB - The actin cytoskeleton assembles into branched networks or bundles to generate
mechanical force for critical cellular processes such as establishment of
polarity, adhesion, and migration. Stress fibers (SFs) are contractile actomyosin
structures that physically couple to the extracellular matrix through integrin
based focal adhesions (FAs), thereby transmitting force into and across the cell.
Recently, LIN-11, Isl1, and MEC-3 (LIM) domain proteins have been implicated in
mediating this cytoskeletal mechanotransduction. Among the more well-studied LIM
domain adapter proteins is zyxin, a dynamic component of both FAs and SFs. Here
we discuss recent research detailing the mechanisms by which SFs adjust their
structure and composition to balance mechanical forces and suggest ways that
zyxin and other LIM domain proteins mediate mechanoresponse.
PMID- 24933507
TI - Synthesis and evaluation of three structurally related 18F-labeled orvinols of
different intrinsic activities: 6-O-[18F]fluoroethyl-diprenorphine ([18F]FDPN), 6
O-[18F]fluoroethyl-buprenorphine ([18F]FBPN), and 6-O-[18F]fluoroethyl-phenethyl
orvinol ([18F]FPEO).
AB - We report the synthesis and biological evaluation of a triplet of 6-O-(18)F
fluoroethylated derivatives of structurally related orvinols that span across the
full range of intrinsic activities, the antagonist diprenorphine, the partial
agonist buprenorphine, and the full agonist phenethyl-orvinol. [(18)F]fluoroethyl
diprenorphine, [(18)F]fluoroethyl-buprenorphine, and [(18)F]fluoroethyl-phenethyl
orvinol were prepared in high yields and quality from their 6-O-desmethyl
precursors. The results indicate suitable properties of the three 6-O-(18)F
fluoroethylated derivatives as functional analogues to the native carbon-11
labeled versions with similar pharmacological properties.
PMID- 24933508
TI - Genetic differences in the rat lungworm, Angiostrongylus cantonensis (Nematoda:
Angiostrongylidae), in Thailand.
AB - This study surveyed the genetic differences among Angiostrongylus cantonensis (A.
cantonensis) using the mitochondrial cytochrome b (cytb) gene. Partial cytb
sequences were determined for 91 worms from eight locations in Thailand. Using
morphological techniques, the nematodes were found to be A. cantonensis.
Phylogenetic analysis found two main clades, which were subdivided into four
subclades (clusters). Haplotype network analysis showed that 11 distinct cytb
haplotypes were also present in four groups of A. cantonensis. There was no
observable relationship between the genetic differentiation of gene flow and
geographical distance. This low genetic variation and geographical distribution
of A. cantonensis in each location indicates a founder effect, which may have
resulted from multiple independent origins, and suggests that haplotypes migrated
from endemic areas via human-related activities.
PMID- 24933510
TI - In situ synthesis of size-controlled, stable silver nanoparticles within
ultrashort peptide hydrogels and their anti-bacterial properties.
AB - We have developed a silver-releasing biomaterial with promising potential for
wound healing applications. The material is made of ultrashort peptides which can
self-assemble in water to form hydrogels. Silver nanoparticles (Ag NPs) were
synthesized in situ within the biomaterial, using only UV irradiation and no
additional chemical reducing agents. The synthetic strategy allows precise
control of the nanoparticle size, with the network of peptide fibers preventing
aggregation of Ag NPs. The biomaterial shows increased mechanical strength
compared to the hydrogel control. We observed a sustained release of Ag NPs over
a period of 14 days. This is a crucial prerequisite for effective anti-bacterial
therapy. The ability to inhibit bacterial growth was tested using different
bacterial strains, namely gram-negative Escherichia coli and Pseudomonas
aeruginosa and gram-positive Staphylococcus aureus. Inhibition of bacterial
growth was observed for all strains. The best results were obtained for
Pseudomonas aeruginosa which is known for exhibiting multidrug resistance.
Biocompatibility studies on HDFa cells, using Ag NP-containing hydrogels, did not
show any significant influence on cell viability. We propose this silver
releasing hydrogel as an excellent biomaterial with great potential for
applications in wound healing due to its low silver content, sustained silver
nanoparticle release and biocompatibility.
PMID- 24933511
TI - The effect of oral administration of iron saturated-bovine lactoferrin
encapsulated chitosan-nanocarriers on osteoarthritis.
AB - Osteoarthritis (OA) treatments have major limitations which include systemic
toxicity, reduced joint retention and inability to inhibit disease progression.
In this study, the therapeutic potentials of 100% iron saturated-bovine
lactoferrin encapsulated in alginate-chitosan polymeric nanocarriers (AEC-CP-Fe
bLf-NCs) were examined in in vitro inflammatory OA model and in collagen-induced
arthritis (CIA) mice. By diminishing IL-1beta induced apoptotic and oxidative
stress, chondrocyte protection and proliferation was up-regulated with C-CP-Fe
bLf-NCs as compared to void and C-CP-Apo(metal free)-bLf-NCs. Oral administration
of nanocarriers in mice was non-toxic and it significantly induced disease
modifying activity by reducing joint inflammation and significantly
downregulating the expression of catabolic genes, IL-1beta, NO, JNK and MAPK. In
addition, up-regulation of type II collagen, aggrecan and inflammation depleted
iron and calcium metabolisms via inhibition of miRNA of iron transporting
receptors was shown in AEC-CP-Fe-bLf-NCs treated mice. In addition, AEC-CP-Fe-bLf
NCs dissoluted calcium pyrophosphate crystals found in mice joints indicating the
significantly important therapeutic ability of nanoformulated Fe-bLf to be
utilized in the treatment of chronic inflammatory rheumatic diseases such as OA.
PMID- 24933512
TI - Vitrified collagen-based conjunctival equivalent for ocular surface
reconstruction.
AB - The main functions of the conjunctiva, an essential part of the ocular surface,
are to maintain the equilibrium of the tear film and to protect the eye. Upon
injuries, the prerequisite to successful ocular surface repair is conjunctival
reconstruction. Tissue engineering techniques, including transplantation of
autografts, amniotic membranes and numerous synthetic/natural materials, have
been developed. However, none of these strategies is completely satisfactory due
to lack of goblet cell repopulation, poor mechanical properties or non
standardized preparation procedure. Here, we cultured conjunctival epithelial
cells on vitrified collagen membranes and developed a tissue equivalent for
repairing damaged conjunctiva. Optimized vitrified collagen has superior
mechanical and optical properties to previous biomaterials for ocular surface
application, and its unique fibrillar structure significantly benefited
conjunctival epithelial cell growth and the phenotypic development in vitro. In a
rabbit model, vitrified collagen greatly promoted conjunctival regeneration with
rapid re-epithelization, sufficient repopulation of goblet cells and minimized
fibrosis and wound contracture, proved by gene expression analyses and
histological staining. In conclusion, we have demonstrated the potential
suitability of utilizing vitrified collagen-based tissue equivalent in ocular
surface reconstruction.
PMID- 24933513
TI - Mimicking the extracellular matrix with functionalized, metal-assembled collagen
peptide scaffolds.
AB - Natural and synthetic three-dimensional (3-D) scaffolds that mimic the
microenvironment of the extracellular matrix (ECM), with growth factor
storage/release and the display of cell adhesion signals, offer numerous
advantages for regenerative medicine and in vitro morphogenesis and oncogenesis
modeling. Here we report the design of collagen mimetic peptides (CMPs) that
assemble into a highly crosslinked 3-D matrix in response to metal ion stimuli,
that may be functionalized with His-tagged cargoes, such as green fluorescent
protein (GFP-His8) and human epidermal growth factor (hEGF-His6). The bound hEGF
His6 was found to gradually release from the matrix in vitro and induce cell
proliferation in the EGF-dependent cell line MCF10A. The additional incorporation
of a cell adhesion sequence (RGDS) at the N-terminus of the CMP creates an
environment that facilitated the organization of matrix-encapsulated MCF10A cells
into spheroid structures, thus mimicking the ECM environment.
PMID- 24933515
TI - Coronary artery bypass graft: why is the saphenous vein prone to intimal
hyperplasia?
AB - Proliferation and migration of smooth muscle cells and the resultant intimal
hyperplasia cause coronary artery bypass graft failure. Both internal mammary
artery and saphenous vein are the most commonly used bypass conduits. Although an
internal mammary artery graft is immune to restenosis, a saphenous vein graft is
prone to develop restenosis. We found significantly higher activity of
phosphatase and tensin homolog (PTEN) in the smooth muscle cells of the internal
mammary artery than in the saphenous vein. In this article, we critically review
the pathophysiology of vein-graft failure with detailed discussion of the
involvement of various factors, including PTEN, matrix metalloproteinases, and
tissue inhibitor of metalloproteinases, in uncontrolled proliferation and
migration of smooth muscle cells towards the lumen, and invasion of the graft
conduit. We identified potential target sites that could be useful in preventing
and (or) reversing unwanted consequences following coronary artery bypass graft
using saphenous vein.
PMID- 24933516
TI - Involuntary memories of emotional scenes: the effects of cue discriminability and
emotion over time.
AB - Involuntary episodic memories come to mind spontaneously--that is, with no
preceding retrieval attempts. Such memories are frequent in daily life, in which
they are predominantly positive and often triggered by situational features
matching distinctive parts of the memory. However, individuals suffering from
psychological disorders, such as posttraumatic stress disorder, have stressful,
repetitive, and unwanted involuntary memories about negative events in their
past. These unwanted recollections are disturbing and debilitating. Although such
intrusive involuntary memories are observed across a range of clinical disorders,
there is no broadly agreed upon explanation of their underlying mechanisms and no
successful experimental simulations of their retrieval. In a series of
experiments, we experimentally manipulated the activation of involuntary episodic
memories for emotional and neutral scenes and predicted their activation on the
basis of manipulations carried out at encoding and retrieval. Our findings
suggest that the interplay between cue discriminability at the time of retrieval
and emotional arousal at the time of encoding are crucial for explaining
intrusive memories following negative events. While cue distinctiveness is
important directly following encoding of the scenes, emotional intensity
influences retrieval after delays of 24 hr and 1 week. Voluntary remembering
follows the same pattern as involuntary remembering. Our results suggest an
explanatory model of intrusive involuntary memory for emotional events in
clinical disorders.
PMID- 24933514
TI - Therapeutic potential of adipose-derived stromal cells in age-related
osteoporosis.
AB - Adipose-derived stromal cells (ASCs) are increasingly being used for orthopedic
based tissue engineering approaches due to their ability to readily undergo
osteogenic differentiation. In the present study, we used in vitro and in vivo
approaches to evaluate the use of ASCs as a treatment strategy for age-related
osteoporosis. Molecular, histological and micro-computed tomography (micro-CT)
based approaches confirmed that ASCs isolated from 18-week-old osteoporotic
senescence-accelerated mice (SAMP6) were capable of undergoing osteogenesis when
cultured in either silk fibroin (SF) scaffolds or scaffold-free microtissues (ASC
MT). A single intratibial injection of CM-Dil-labeled isogeneic ASCs or ASC-MT
into SAMP6 recipients significantly improved trabecular bone quality after 6
weeks in comparison to untreated contralateral bones, as determined by micro-CT.
Injected ASCs could be observed in paraffin wax bone sections at 24 h and 6 weeks
post treatment and induced a significant increase in several molecular markers of
bone turnover. Furthermore, a significant improvement in the osteogenic potential
of osteoporotic patient-derived human bone marrow stromal cells (BMSCs) was
observed when differentiated in conditioned culture media harvested from
osteoporotic patient-derived human ASCs. These findings therefore support the use
of ASCs as an autologous cell-based approach for the treatment of osteoporosis.
PMID- 24933517
TI - Action video games do not improve the speed of information processing in simple
perceptual tasks.
AB - Previous research suggests that playing action video games improves performance
on sensory, perceptual, and attentional tasks. For instance, Green, Pouget, and
Bavelier (2010) used the diffusion model to decompose data from a motion
detection task and estimate the contribution of several underlying psychological
processes. Their analysis indicated that playing action video games leads to
faster information processing, reduced response caution, and no difference in
motor responding. Because perceptual learning is generally thought to be highly
context-specific, this transfer from gaming is surprising and warrants
corroborative evidence from a large-scale training study. We conducted 2
experiments in which participants practiced either an action video game or a
cognitive game in 5 separate, supervised sessions. Prior to each session and
following the last session, participants performed a perceptual discrimination
task. In the second experiment, we included a third condition in which no video
games were played at all. Behavioral data and diffusion model parameters showed
similar practice effects for the action gamers, the cognitive gamers, and the
nongamers and suggest that, in contrast to earlier reports, playing action video
games does not improve the speed of information processing in simple perceptual
tasks.
PMID- 24933518
TI - Bidirectional placental transfer of Bisphenol A and its main metabolite,
Bisphenol A-Glucuronide, in the isolated perfused human placenta.
AB - The widespread human exposure to Bisphenol A (BPA), an endocrine disruptor
interfering with developmental processes, raises the question of the risk for
human health of BPA fetal exposure. In humans, highly variable BPA concentrations
have been reported in the feto-placental compartment. However the human fetal
exposure to BPA still remains unclear. The aim of the study was to characterize
placental exchanges of BPA and its main metabolite, Bisphenol A-Glucuronide (BPA
G) using the non-recirculating dual human placental perfusion. This high
placental bidirectional permeability to the lipid soluble BPA strongly suggests a
transport by passive diffusion in both materno-to-fetal and feto-to-maternal
direction, leading to a calculated ratio between fetal and maternal free BPA
concentrations of about 1. In contrast, BPA-G has limited placental permeability,
particularly in the materno-to-fetal direction. Thus the fetal exposure to BPA
conjugates could be explained mainly by its limited capacity to extrude BPA-G.
PMID- 24933519
TI - Fabrication of PLLA/beta-TCP nanocomposite scaffolds with hierarchical porosity
for bone tissue engineering.
AB - Polymer and ceramic composite scaffolds play a crucial role in bone tissue
engineering. In an attempt to mimic the architecture of natural extracellular
matrix (ECM), poly(l-lactic acid)/beta-tricalcium phosphate (PLLA/beta-TCP)
nanocomposite scaffolds with a hierarchical pore structure were fabricated by
combining thermal induced phase separation and salt leaching techniques. The
nanocomposite scaffold consisted of a nanofibrous PLLA matrix with a highly
interconnected, high porosity (>93%) hierarchical pore structure with pore
diameters ranging from 500nm to 300MUm and a homogeneously distributed beta-TCP
nanoparticle phase. The nanofibrous PLLA matrix had a fiber diameter of 70-300nm.
The nanocomposite scaffolds possess three levels of hierarchical structure: (1)
porosity; (2) nanofibrous PLLA struts comprising the pore walls; and (3) beta-TCP
nanoparticle phase. The beta-TCP nanoparticle phase improved the mechanical
properties and bioactivity of the PLLA matrix. The nanocomposite scaffolds
supported MG-63 osteoblast proliferation, penetration, and ECM deposition,
indicating the potential of PLLA/beta-TCP nanocomposite scaffolds with
hierarchical porosity for bone tissue engineering applications.
PMID- 24933520
TI - A structural study on the protection of glycation of superoxide dismutase by
thymoquinone.
AB - Accumulation of advanced glycation end products (AGEs) in tissues and serum plays
important roles in diabetes-associated complications. Therefore, the
identification of antiglycating compounds is attracting considerable interest. In
this study, the structural changes associated with the glycation of superoxide
dismutase (SOD) and its protection by thymoquinone (TQ) have been investigated by
biophysical techniques. Incubation of SOD with glucose, methylglyoxal (MG) or
both at 37C resulted in progressive hyperchromicity at 280nm, intrinsic
fluorescence quenching at 310nm, decrease in negative ellipticity at 208nm, AGE
specific fluorescence enhancement in the wavelength range 400-480nm and
Thioflavin T (ThT) fluorescence enhancement at 480nm (fibrillar state
enhancement). Therefore, glycation by glucose or MG induced both tertiary and
secondary structural changes in SOD and formation of AGEs and fibrils. The
changes were more and faster with MG than with glucose since MG is a stronger
glycating agent than glucose. TQ offered protection against glucose or MG-induced
glycation of SOD as observed by a reduction in the structural changes, formation
of AGEs and fibrils. Thus, TQ can be used for reducing diabetic complications
many of which are due to protein glycation.
PMID- 24933521
TI - Properties of collagen gels cross-linked by N-hydroxysuccinimide activated adipic
acid deriviate.
AB - In order to improve the properties of collagen gel, N-hydroxysuccinimide
activated adipic acid derivative (NHS-AA) was introduced into the formation of
collagen fibrils. NHS-AA with different [NHS-AA]/[NH2] ratios (0.1-1.5,
calculated by [ester group] of NHS-AA and [NH2] of lysine and hydroxylysine
residues of collagen) was added after, simultaneously with or before the
formation of collagen fibrils (abbreviated CAF, CSF and CBF, respectively) to
obtain different collagen gels. With the same dose of NHS-AA, the cross-linking
degree for CAF was lower than those for CSF and CBF. The formation of collagen
fibrils was restrained by NHS-AA for CSF and CBF while that for CAF was
unaffected. When the dose of NHS-AA increased from 0.1 to 1.5, the water contents
of CSF and CBF increased while that of CAF had no obvious change. With lower dose
of NHS-AA (0.1), CAF possessed higher value of G' (87.3Pa) and the best thermal
stability (47.6 degrees C). As the ratio of [NHS-AA]/[NH2] increased to 1.5, CSF
had the maximum value of G' (288.8Pa) and CAF had the best thermal stability
(52.9 degrees C). These results showed collagen gels with different properties
could be prepared by adding NHS-AA with different adding sequence and dose.
PMID- 24933522
TI - Regulating a benzodifuran single molecule redox switch via electrochemical gating
and optimization of molecule/electrode coupling.
AB - We report a novel strategy for the regulation of charge transport through single
molecule junctions via the combination of external stimuli of electrode
potential, internal modulation of molecular structures, and optimization of
anchoring groups. We have designed redox-active benzodifuran (BDF) compounds as
functional electronic units to fabricate metal-molecule-metal (m-M-m) junction
devices by scanning tunneling microscopy (STM) and mechanically controllable
break junctions (MCBJ). The conductance of thiol-terminated BDF can be tuned by
changing the electrode potentials showing clearly an off/on/off single molecule
redox switching effect. To optimize the response, a BDF molecule tailored with
carbodithioate (-CS2(-)) anchoring groups was synthesized. Our studies show that
replacement of thiol by carbodithioate not only enhances the junction conductance
but also substantially improves the switching effect by enhancing the on/off
ratio from 2.5 to 8.
PMID- 24933523
TI - Re: Role of diffusion-weighted MRI in differentiation of hepatic abscesses from
non-infected fluid collections. A reply.
PMID- 24933524
TI - Can diffusion-weighted imaging be used to differentiate brain abscess from other
ring-enhancing brain lesions? A meta-analysis.
AB - AIM: To explore the role of diffusion-weighted imaging (DWI) in the
discrimination of brain abscess from other ring-enhancing brain lesions through
meta-analysis. MATERIALS AND METHODS: The PUMBED, OVID, and China National
Knowledge Infrastructure (CNKI) databases, from January 1995 to March 2013, were
searched for studies evaluating the diagnostic performance of DWI in the
discrimination of brain abscess lesions. Using the data collected, pooled
sensitivities and specificities across studies were determined, positive and
negative likelihood ratios (LR) were calculated, and summary receiver operating
characteristic (SROC) curves were constructed. RESULTS: A total of 11 studies
fulfilled all of the inclusion criteria and were considered for the analysis. The
pooled sensitivity values and pooled specificity values including 95% confidence
intervals (CI) were 0.95 (0.87-0.98) and 0.94 (0.88-0.97). The pooled positive LR
(95% CI) was 4.13(2.55-6.7); the pooled negative LR (95% CI) was 0.01 (0-1.7);
and the area under the curve of the symmetric SROC was 0.98. CONCLUSIONS: DWI has
high sensitivity and specificity for the differentiation of brain abscess from
other intracranial cystic mass lesions.
PMID- 24933525
TI - How do health professionals support pregnant and young mothers in the community?
A selective review of the research literature.
AB - BACKGROUND: The cultural phenomenon of "teenage pregnancy and motherhood" has
been socially constructed and (mis)represented in social and health care
discourses for several decades. Despite a growing body of qualitative research
that presents an alternative and positive view of young motherhood, there remains
a significant gap between pregnant and young women's experience of young
motherhood and current global health and social policy that directs service
delivery and practice. AIM: This paper aims to heighten awareness of how a
negative social construction of young motherhood influences global health and
social policy that directs current community health models of practice and care
for young mothers in the community. DISCUSSION: There is clear evidence on the
vital role social support plays in young women's experience of pregnancy and
motherhood, particularly in forming a positive motherhood identity. This
discussion paper calls us to start open and honest dialogue on how we may begin
to re-vision the 'deficit view' of young motherhood in order to address this
contradiction between research evidence, policy discourse and current practice
and service provision. Qualitative research that privileges young women's voices
by considering the multidimensional experiences of young motherhood is an
important step towards moving away from universally prescribed interventions to a
non-standard approach that fosters relational and responsive relationships with
young mothers that includes addressing the immediate needs of young mothers at
the particular time.
PMID- 24933526
TI - Women's expectations and experiences with labour pain in medical and midwifery
models of birth in the United States.
AB - BACKGROUND: This research focuses on how women understand and experience labour
as related to two competing views of childbirth pain. The biomedical view is that
labour pain is abnormal and anaesthesia/analgesia use is encouraged to relieve
the pain. The midwifery view is that pain is a normal part of labour that should
be worked with instead of against. AIMS: To determine differences in the
preparation for and experiences with labour pain by women choosing midwives
versus obstetricians. METHODS: Prenatal and postpartum in-depth semi-structured
interviews were conducted with a convenience sample of 80 women in Florida
(United States): 40 who had chosen an obstetrician and 40 who had chosen a
licensed midwife as their birth practitioner. FINDINGS: Women in both groups were
concerned with the pain of childbirth before and after their labour experiences.
Women choosing midwives discussed preparing for pain through various non
pharmaceutical coping methods, while women choosing physicians discussed
pharmaceutical and non-pharmaceutical pain relief. CONCLUSIONS: Equal numbers of
women expressed concerns with childbirth pain during the prenatal interviews,
while more women choosing doctors spoke about pain after their births. Women had
negative experiences when their planned pain relief method, either natural or
medical, did not occur. The quandary facing women when it comes to labour pain
relief is not choosing what they desire, but rather preparing themselves for the
possibility that they may have to accept alternatives to their original
preferences.
PMID- 24933527
TI - Finding an emotional face in a crowd: emotional and perceptual stimulus factors
influence visual search efficiency.
AB - In this article, we examine how emotional and perceptual stimulus factors
influence visual search efficiency. In an initial task, we run a visual search
task, using a large number of target/distractor emotion combinations. In two
subsequent tasks, we then assess measures of perceptual (rated and computational
distances) and emotional (rated valence, arousal and potency) stimulus
properties. In a series of regression analyses, we then explore the degree to
which target salience (the size of target/distractor dissimilarities) on these
emotional and perceptual measures predict the outcome on search efficiency
measures (response times and accuracy) from the visual search task. The results
show that both emotional and perceptual stimulus salience contribute to visual
search efficiency. The results show that among the emotional measures, salience
on arousal measures was more influential than valence salience. The importance of
the arousal factor may be a contributing factor to contradictory history of
results within this field.
PMID- 24933529
TI - Engineering bacteria to form a biofilm and induce clumping in Caenorhabditis
elegans.
AB - Bacteria are needed for a vast range of biotechnological processes, which they
carry out either as pure cultures or in association with other bacteria and/or
fungi. The potential of bacteria as biofactories is hampered, though, by their
limited mobility in solid or semisolid media such as agricultural or domestic
waste. This work represents an attempt toward overcoming this limitation by
associating bacterial biotechnological properties with the transport ability of
the nematode Caenorhabditis elegans. We report here biofilm formation on C.
elegans by engineered Escherichia coli expressing a Xhenorhabdus nematophila
adhesion operon and induction of nematode social feeding behavior (clumping)
through an E. coli-mediated iRNA blocking on the expression of FLP-21, a
neuropeptide involved in worm solitary behavior.
PMID- 24933528
TI - Spatial variations in Achilles tendon shear wave speed.
AB - Supersonic shear imaging (SSI) is an ultrasound imaging modality that can provide
insight into tissue mechanics by measuring shear wave propagation speed, a
property that depends on tissue elasticity. SSI has previously been used to
characterize the increase in Achilles tendon shear wave speed that occurs with
loading, an effect attributable to the strain-stiffening behavior of the tissue.
However, little is known about how shear wave speed varies spatially, which is
important, given the anatomical variation that occurs between the calcaneus
insertion and the gastrocnemius musculotendon junction. The purpose of this study
was to investigate spatial variations in shear wave speed along medial and
lateral paths of the Achilles tendon for three different ankle postures: resting
ankle angle (R, i.e. neutral), plantarflexed (P; R - 15 degrees ), and
dorsiflexed (D; R+15 degrees ). We observed significant spatial and posture
variations in tendon shear wave speed in ten healthy young adults. Shear wave
speeds in the Achilles free tendon averaged 12 +/- 1.2m/s in a resting position,
but decreased to 7.2 +/- 1.8m/s with passive plantarflexion. Distal tendon shear
wave speeds often reached the maximum tracking limit (16.3m/s) of the system when
the ankle was in the passively dorsiflexed posture (+15 degrees from R). At a
fixed posture, shear wave speeds decreased significantly from the free tendon to
the gastrocnemius musculotendon junction, with slightly higher speeds measured on
the medial side than on the lateral side. Shear wave speeds were only weakly
correlated with the thickness and depth of the tendon, suggesting that the distal
to-proximal variations may reflect greater compliance in the aponeurosis relative
to the free tendon. The results highlight the importance of considering both limb
posture and transducer positioning when using SSI for biomechanical and clinical
assessments of the Achilles tendon.
PMID- 24933530
TI - Pharmacokinetics and tissue distribution of ginsenoside Rh2 and Rg3 epimers after
oral administration of BST204, a purified ginseng dry extract, in rats.
AB - 1. BST204, a purified ginseng dry extract containing a high concentration of
racemic Rh2 and Rg3 mixtures, is being developed for supportive care use in
cancer patients in Korea. This study investigates the pharmacokinetics and tissue
distribution of BST204 in rats. 2. After oral administration of BST204, only the
S epimers, S-Rh2 and S-Rg3, could be determined in rat plasma. The poor
absorption of the R-epimers, R-Rh2 and R-Rg3, may be attributed to lower membrane
permeability and extensive intestinal oxygenation and/or deglycosylation into
metabolites. The AUC and Cmax values of both S-Rh2 and S-Rg3 after BST204 oral
administration were proportional to the administered BST204 doses ranged from 400
mg/kg to 2000 mg/kg, which suggested linear pharmacokinetic properties. 3. There
were no statistically significant differences in the pharmacokinetics of S-Rh2
and S-Rg3 after oral administration of pure S-Rh2 (31.5 mg/kg) and S-Rg3 (68
mg/kg) compared with oral administration of BST204, 1000 mg/kg. These indicated
that the presence of other components of BST204 extract did not influence the
pharmacokinetic behavior of S-Rh2 and S-Rg3. 4. After oral dosing of BST204, S
Rh2 and S-Rg3 were distributed mainly to the liver and gastrointestinal tract in
rats. 5. Our finding may help to understand pharmacokinetic characteristics of S
Rh2, R-Rh2, S-Rg3, and R-Rg3, comprehensively, and provide useful information in
clinical application of BST204.
PMID- 24933531
TI - Strain- and context-dependent effects of the anandamide hydrolysis inhibitor
URB597 on social behavior in rats.
AB - Genetic and environmental factors play an important role in the cannabinoid
modulation of motivation and emotion. Therefore, the aim of the present study was
to test whether anandamide modulation of social behavior is strain- and context
dependent. We tested the effects of the anandamide hydrolysis inhibitor URB597 on
social behavior and 50-kHz ultrasonic vocalizations (USVs) in adolescent and
adult Wistar and Sprague-Dawley rats tested in different emotionally arousing
conditions (familiarity/unfamiliarity to the test cage, low/high light). Under
all experimental conditions, adolescent and adult Sprague-Dawley rats displayed
higher levels of social behavior and emitted more 50-kHz USVs than Wistar rats.
URB597 enhanced social play behavior in adolescent Wistar rats under all
experimental conditions. However, URB597 only increased social interaction in
adult Wistar rats under unfamiliar/high light conditions. URB597 did not affect
adolescent social play behavior and adult social interaction in Sprague-Dawley
rats under any experimental condition. Moreover, URB597 increased the USVs
emitted during social interaction by adolescent Wistar and adult Sprague-Dawley
rats tested under familiar/high light and unfamiliar/high light, respectively.
These results show that anandamide has distinct roles in adolescent and adult
social behaviors. Anandamide modulation of adolescent social play behavior is
strain- but not context-dependent. Conversely, anandamide modulation of adult
social behavior and USV emission depends upon both strain and experimental
context. Furthermore, these results confirm that profound behavioral differences
exist between Wistar and Sprague-Dawley rats, which may explain the sometimes
contradictory effects of cannabinoid drugs on emotionality in different strains
of rodents.
PMID- 24933532
TI - Hallucinogen persisting perception disorder and the serotonergic system: a
comprehensive review including new MDMA-related clinical cases.
AB - Hallucinogen persisting perception disorder (HPPD) is a drug-induced condition
associated with inaccurate visual representations. Since the underlying
mechanism(s) are largely unknown, this review aims to uncover aspects underlying
its etiology. Available evidence on HPPD and drug-related altered visual
processing was reviewed and the majority of HPPD cases were attributed to drugs
with agonistic effects on serotonergic 5-HT2A receptors. Moreover, we present 31
new HPPD cases that link HPPD to the use of ecstasy (MDMA), which is known to
reverse serotonin reuptake and acts as agonist on 5-HT2A receptors. The available
evidence suggests that HPPD symptoms may be a result from a misbalance of
inhibitory-excitatory activity in low-level visual processing and GABA-releasing
inhibitory interneurons may be involved. However, high co-morbidities with
anxiety, attention problems and derealization symptoms add complexity to the
etiology of HPPD. Also, other perceptual disorders that show similarity to HPPD
cannot be ruled out in presentations to clinical treatment. Taken together,
evidence is still sparse, though low-level visual processing may play an
important role. A novel finding of this review study, evidenced by our new cases,
is that ecstasy (MDMA) use may also induce symptoms of HPPD.
PMID- 24933533
TI - Patient satisfaction with community pharmacy: comparing urban and suburban chain
pharmacy populations.
AB - BACKGROUND: Patient satisfaction with pharmaceutical care can be a strong
predictor of medication and other health-related outcomes. Less understood is the
role that location of pharmacies in urban or suburban environments plays in
patient satisfaction with pharmacy and pharmacist services. OBJECTIVES: The
purpose of this study was to serve as a pilot examining urban and suburban
community pharmacy populations for similarities and differences in patient
satisfaction. METHODS: Community pharmacy patients were asked to self-administer
a 30-question patient satisfaction survey. Fifteen questions addressed their
relationship with the pharmacist, 10 questions addressed satisfaction and
accessibility of the pharmacy, and five questions addressed financial concerns.
Five urban and five suburban pharmacies agreed to participate. Data analysis
included descriptive statistics and chi-square analysis. RESULTS: Most patients
reported high levels of satisfaction. Satisfaction with pharmacist relationship
and service was 70% or higher with no significant differences between locations.
There were significant differences between the urban and suburban patients
regarding accessibility of pharmacy services, customer service and some
patient/pharmacist trust issues. CONCLUSIONS: The significant differences between
patient satisfaction in the suburban and urban populations warrant a larger study
with more community pharmacies in other urban, suburban and rural locations to
better understand and validate study findings.
PMID- 24933534
TI - Motor imagery modulation of postural sway is accompanied by changes in the EMG
COP association.
AB - Motor imagery (MI) performed in an upright stance promotes increases in postural
sway without changes in usual amplitude measures of calf muscle EMG. However,
postural muscle activity can also be determined from the temporal association
between EMG and center of pressure (COP) displacements. In this study we
investigated whether the MI modulation of postural sway is accompanied by changes
in EMG-COP association. Surface EMG from the lateral gastrocnemius (LG) muscle
and COP coordinates were collected from 12 subjects while they imagined
themselves performing a rising on tiptoes movement via kinesthetic or visual
imagery. As a control condition subjects were requested to imagine singing a
song. The standard deviation of the forward-backward COP sway and the coefficient
of variation of the EMG were calculated and compared across tasks. The degree of
association between COP sways and LG activity was evaluated through a cross
correlation function. Kinesthetic imagery promoted a larger COP displacement than
both visual and control imagery (p<0.02). No difference in EMG amplitude was
observed across imagery tasks (p=0.08). Crucially, we found a stronger EMG-COP
association during kinesthetic imagery compared to control imagery (p=0.02),
whereas the EMG-COP association in visual imagery was not different from that
observed during kinesthetic or control imagery (p>0.19). In conclusion,
kinesthetic imagery resulted in a higher EMG-COP temporal association. Subliminal
fringe mechanisms may account for the imagery effects on muscle activity and
postural sway during upright stance.
PMID- 24933535
TI - Hexamethonium-induced augmentation of the electrical twitch response in the
guinea-pig ileum longitudinal muscle-myenteric plexus strip.
AB - Longitudinal muscle-myenteric plexus strips of the guinea-pig ileum were used to
investigate the nature of the hexamethonium-induced augmentation of the twitch
response. All preparations were set up in Tyrode solution and intermittent
longitudinal twitch contractions were evoked by single pulse electrical field
stimulation. Hexamethonium, a blocker of nicotinic ganglionic transmission, at
300 MUmol/l and 1 mmol/l augmented the twitch contractions by 21% and 35%,
respectively. First we tested for a possible nicotinic drive onto an inhibitory
neuronal component to the longitudinal smooth muscle cells. However, guanethidine
(5 MUmol/l), naloxone (1 MUmol/l), or l-NAME (300 MUmol/l) were without effect on
the hexamethonium-induced augmentation. The P2 purinoceptor antagonist
pyridoxalphosphate-6-azophenyl-2'-4'-disulphonic acid (PPADS), 25-100 MUmol/l,
without altering the control twitch responses, dose-dependently reduced the
hexamethonium-induced augmentation; at 100 MUmol/l a statistically significantly
inhibition was observed. Based on these functional experiments we found no
evidence that blocking nicotinic transmission removed a tonic adrenergic,
opioidergic or nitrergic inhibitory input to the longitudinal muscle. However, we
provide evidence for a hexamethonium-induced augmentation of the P2 purinergic
input to cholinergic motoneurons of the guinea-pig ileum longitudinal muscle. The
P2-nicotinic receptor interaction presents a novel modulatory mechanism to
cholinergic myenteric motor neurons.
PMID- 24933537
TI - Does overestimation of an object's mass during arm-raising modify postural
adjustments?
AB - By using a dummy weight during an arm-raising movement, we sought to determine
whether (i) postural adjustments are modified and (ii) the required focal
movement can be performed adequately. Standing on a force platform, 30 healthy
young adults performed voluntary, arm-raising movements with cube-shaped boxes: a
small 1 kg box, a large 4 kg box and a large 1 kg box (i.e. the dummy weight,
which looked as if it weighed 4 kg). Postural adjustments were quantified in
terms of the latency, intensity and duration of the positive phase of the
vertical torque (Tz) and displacements of the center of pressure. Lifting the
dummy and lifting the small 1 kg box were associated with similar arm velocity
curves. The characteristics of the positive Tz phase for the dummy box were
intermediate between those observed for the small 1 kg box and the large 4 kg
box. There were no differences between the three box-lifting conditions in terms
of the latency and duration of Tz. We conclude that overestimation of the dummy's
mass was rapidly corrected by a feedback mechanism. Postural control was modified
online as soon as the dummy's true weight was perceived, which therefore enabled
the maintenance of balance and adequate execution of the voluntary (focal)
movement.
PMID- 24933536
TI - Serial processing in primary and secondary somatosensory cortex: A DCM analysis
of human fMRI data in response to innocuous and noxious electrical stimulation.
AB - The anatomy of the somatosensory system allows both serial and parallel
information flow but the conditions involving each mode of processing is a matter
of debate. In this functional magnetic resonance imaging (fMRI) study, cutaneous
electrical stimulation was applied to human volunteers at three intensities (low
innocuous, moderate-noxious and high-noxious) to investigate interactions between
contralateral primary and secondary somatosensory cortices (S1c and S2c), and
between contralateral and ipsilateral S2 (S2c and S2i), using dynamic causal
modeling (DCM). Our results are consistent with serial processing with a key role
of the direct input to S1c for all three intensity levels. The more intense
stimulus also induced significantly more interactions between S2i and S2c,
consistent with an increase in inter-hemispheric integration associated with the
additional recruitment of nociceptive inputs. However, stronger pain reports were
also associated with reduced information flow from S1c to S2c at both the
moderate (r=-0.81, p=0.004) and the high stimulation level (r=-0.63, p=0.037).
These findings suggest that the connectivity pattern driven by innocuous inputs
is modified by the additional activation of nociceptive afferents.
PMID- 24933539
TI - Extraction of practice-dependent and practice-independent finger movement
patterns.
AB - Extensive motor practice can reorganize movements of a redundant number of
degrees of freedom (DOFs). Using principal component (PC) analysis, the present
study characterized the movement reorganization of the hand that possesses a
large number of DOFs during a course of practice. Five musically naive
individuals practiced to play a short sequence of melody with the left hand for
four successive days, and their hand kinematics was measured using a motion
capture system. The PC analysis of the hand joint kinematics identified two
distinct patterns of movement, which accounted for more than 80% of the total
variance of movements. The second PC but not the first PC changed through
practice. A correlation analysis demonstrated that the PC sensitive to the
practice was characterized by coupled movements across fingers in the same
direction. A regression analysis identified a decrease in the contribution of
this PC to the hand movement organization through practice, which indicates a
reduction of the movement covariation across fingers and thus an enhancement of
the individuated finger movements. The results implicate potential of PC analysis
to extract practice-invariant and practice-dependent movement patterns
distinctively in complex hand motor behaviors.
PMID- 24933538
TI - Rosiglitazone prevents the memory deficits induced by amyloid-beta oligomers via
inhibition of inflammatory responses.
AB - Rosiglitazone has been known to attenuate neurodegeneration in Alzheimer's
disease (AD), but the underlying mechanisms remain unclear. In this study, Morris
water maze test, ELISA and electrophysiological methods were used to examine the
role and underling mechanisms of rosiglitazone on Abeta42 oligomer-induced memory
impairments. We found that rosiglitazone attenuated Abeta42 oligomer-induced
memory impairments in rats in a dose-dependent manner. The levels of inflammatory
cytokines interleukin-1 beta (IL-1beta) and interferon gamma (IFNgamma) were
significantly increased 7 days after injection of Abeta42 oligomers into the rat
hippocampus. Inhibition of microglia activation prevented Abeta42 oligomer
induced increases in IL-1beta and IFNgamma levels. Rosiglitazone completely
prevented the increase in the levels of IL-1beta and IFNgamma induced by Abeta42
oligomers. Treatment of hippocampal slices with the inflammatory cytokine IL
1beta or IFNgamma significantly inhibited the production of long-term
potentiation (LTP) in the dentate gyrus. Rosiglitazone prevented the inhibitory
effects of inflammatory cytokines on LTP. Thus, inhibition of inflammatory
responses may be part of the mechanisms of action of rosiglitazone on preventing
memory deficits induced by Abeta42 oligmers.
PMID- 24933540
TI - Functional assessment in older adults: should we use timed up and go or gait
speed test?
AB - In order to assess functional skills of older adults, both timed up and go (TUG)
test and gait speed (GS) test are well validated concerning their predictive
capacities. However, the question remains unclear which one of these tests
represents better the whole physical performance of older adults. The aim of this
study is to determine the more representative test, between TUG and GS, of the
whole motor control quality. To study links between locomotion capacities and arm
function, we measured, in a population of frail aged patients, the locomotion
tests and the mean arm maximal velocity developed during a speed-accuracy trade
off. This arm movement consisted in reaching the hand toward a target in a
virtual game scene. We plotted the different couples of variables obtained on
graphs, and calculate Pearson correlation coefficients between each couple. The
Pearson correlation between GS and hand maximal velocity was significant
(r=0.495; p=0.046). Interestingly, we found a non significant Pearson correlation
between timed up and go score (TUG) and hand maximal velocity (r=-0.139;
p=0.243). Our results suggest that GS score is more representative of the whole
motor ability of frail patients than the TUG. We propose that the relative
complexity of the TUG motor sequence could be involved in this difference. For a
few patients with motor automatisms deficiencies, this motor sequence complexity
could leads to a dual task perturbation. In this way, we conclude that GS should
be preferred over the TUG with older adults.
PMID- 24933541
TI - Giving credit where it's due.
PMID- 24933542
TI - Going gender-neutral with the HPV vaccine.
PMID- 24933543
TI - Medication errors in a public hospital in Brazil.
AB - This article describes the analysis of the frequency, type and risk factors
relating to errors in the preparation and administration of medications in
patients admitted to a public hospital in Brasilia Federal District, Brazil,
which serves a population of approximately 500,000 inhabitants. Patients are
commonly affected and harmed by medication errors, almost half of which are
preventable. This is a cross-sectional, descriptive and exploratory study
conducted in a clinical medicine unit. Direct observations were made by eight
nurse technicians. The type of error, the type of drug involved and associated
risk factors were analysed. Relationships between the occurrence of errors and
risk factors were studied with logistic regression models. Of the 484 observed
doses, 69.5% errors occurred during drug administration, 69.6% during the
preparation stage, 48.6% were timing errors, 1.7% were dose-related errors and
9.5% were errors of omission. More than one error was detected in 34.5% of
occasions. Unlabelled drugs increased the risk of timing errors by a factor of
13.72. Interruptions in preparation increased the risk of errors by a factor of
3.75. Caring for a larger number of patients (8-9) increased the risk of timing
errors by a factor of 8.27. The research shows the need to manage the risk of
medication errors in their real-life contexts by interposing safety barriers
between the hazards and potential errors.
PMID- 24933544
TI - The return of autonomy in nursing: a way forward.
PMID- 24933545
TI - Valid informed consent in research: an introduction.
PMID- 24933546
TI - Coaching to promote professional development in nursing practice.
AB - This article presents coaching, which facilitates the highest form of learning,
as a potential strategy for promoting professional development in nursing. In
doing so, it sets out what coaching is and highlights its benefits in terms of
team building, adaptation to changes, career planning and professional
development. Having established the rudiments of coaching and identifying its
qualities, the article then sets out strategies of coaching using three models:
the 3-D Technique Model, The Practice Spiral Model and The Grow Model. Three case
histories are presented to explain how these models could be used to implement
coaching and personal learning plans (PLP). Directions are provided where
training for coaching is available. It is concluded that coaching can be a
powerful tool in enhancing nurses' and other health professionals' ability to
contribute to the success of healthcare organisations.
PMID- 24933547
TI - Effectiveness of alcohol brief interventions in general practice.
AB - Harmful levels of alcohol consumption are a longstanding but continually growing
health concern affecting individuals, and consequently populations. Through
personalised advice, alcohol brief interventions (ABIs) target drinking habits,
which are deemed damaging to health. They are not targeted at dependent drinkers
for whom a more intensive and specialist service would be better suited. In
response to recent shifts in public-health strategy away from ABIs, and the
publication of new trials into their effectiveness, this article evaluates the
value of ABIs through the review of eleven relevant studies. Findings suggest
that hazardous drinkers did reduce their drinking patterns following ABI, but not
more so than control participants who were given standard treatment. The
evolution of standard treatment to include thorough screening, non-personalised
advice and sometimes literature on alcohol intake delivers an intervention, that
is effective in reducing drinking. Therefore, future research and practice should
focus on screening methods and quality of standard care rather than on brief
interventions.
PMID- 24933548
TI - Fingolimod for multiple sclerosis: a review for the specialist nurse.
AB - The availability of treatments for multiple sclerosis (MS) has increased
substantially over the past decade. Once-daily fingolimod 0.5 mg capsules
(Gilenya, Novartis Pharma) were approved in the European Union in March 2011 as
the first oral disease-modifying therapy for patients with relapsing MS. This
review summarises the efficacy and safety of fingolimod, and discusses practical
considerations for MS specialist nurses. Fingolimod has demonstrated efficacy in
the treatment of relapsing-remitting MS, as assessed by relapse measures,
inflammatory disease activity and brain volume loss. Evaluation of its safety
profile suggests a need for monitoring procedures for specific adverse events,
including transient, mostly asymptomatic, reductions in heart rate, blood
pressure increases, macular oedema and liver enzyme elevations. The MS nurse is
likely to be involved in monitoring treatment initiation, providing support in
the case of adverse events and promoting patient adherence to the prescribed
treatment regimen.
PMID- 24933549
TI - Swab and instrument count practice: ways to enhance patient safety.
AB - This article presents the results of an audit of swab and instrument count
practices in the operating department of a large hospital NHS Trust in South East
England. A literature review of the subject is presented followed by the
methodology used including questionnaires and observation of practice. Findings
are discussed in terms of compliance with the department's swab and instrument
count policy and observed practice mapped against the recommendations for best
practice in the literature. The findings show that audit of practice is still
treated with suspicion by many and that a number of practices of scrub and
circulating personnel in operating theatres need to be improved. Recommendations
are made related to improving staff development in the department and introducing
clinical supervision as one way to provide support for colleagues to reflect on
their practice and change to more evidence-based practices in the operating
department.
PMID- 24933551
TI - Reflection: from staff nurse to nurse consultant. Part 9: Portfolios and
reflection.
PMID- 24933550
TI - A 'new wave' of CQC inspections.
PMID- 24933552
TI - Improving services for disabled children and their families.
PMID- 24933553
TI - Why asthma is killing and patient care is falling short.
PMID- 24933554
TI - Theory into practice (sometimes doesn't go).
PMID- 24933555
TI - Dementia care: knowledge is power.
PMID- 24933556
TI - AC electric field induced dipole-based on-chip 3D cell rotation.
AB - The precise rotation of suspended cells is one of the many fundamental
manipulations used in a wide range of biotechnological applications such as cell
injection and enucleation in nuclear transfer (NT) cloning. Noticeably scarce
among the existing rotation techniques is the three-dimensional (3D) rotation of
cells on a single chip. Here we present an alternating current (ac) induced
electric field-based biochip platform, which has an open-top sub-mm square
chamber enclosed by four sidewall electrodes and two bottom electrodes, to
achieve rotation about the two axes, thus 3D cell rotation. By applying an ac
potential to the four sidewall electrodes, an in-plane (yaw) rotating electric
field is generated and in-plane rotation is achieved. Similarly, by applying an
ac potential to two opposite sidewall electrodes and the two bottom electrodes,
an out-of-plane (pitch) rotating electric field is generated and rolling rotation
is achieved. As a prompt proof-of-concept, bottom electrodes were constructed
with transparent indium tin oxide (ITO) using the standard lift-off process and
the sidewall electrodes were constructed using a low-cost micro-milling process
and then assembled to form the chip. Through experiments, we demonstrate rotation
of bovine oocytes of ~120 MUm diameter about two axes, with the capability of
controlling the rotation direction and the rate for each axis through control of
the ac potential amplitude, frequency, and phase shift, and cell medium
conductivity. The maximum observed rotation rate reached nearly 140 degrees s-1,
while a consistent rotation rate reached up to 40 degrees s-1. Rotation rate
spectra for zona pellucida-intact and zona pellucida-free oocytes were further
compared and found to have no effective difference. This simple, transparent,
cheap-to-manufacture, and open-top platform allows additional functional modules
to be integrated to become a more powerful cell manipulation system.
PMID- 24933557
TI - Hexa- and trinuclear organoantimony oxo clusters stabilized by organosilanols.
AB - Reactions of Ph2SbCl3 with RSi(OH)3 [where R = tert-Bu, cyclo-C6H11] and
Ph2Si(OH)2 in toluene in the presence of triethylamine as a base were performed.
Single-crystal X-ray structural elucidation of the products revealed the
formation of hexanuclear antimony(V) and mixed-valent antimony (III/V) oxo
hydroxo clusters built up of an incomplete cubane subunit. Interestingly, in all
the reactions, at least one Sb-C bond cleavage has been observed, leading to the
formation of novel cluster assemblies [(Ph2Sb)4(PhSb)2(C4H9SiO3)2(O)6(OH)2] (1),
[(Ph2Sb)4(PhSb)2(C6H11SiO3)2(O)6(OH)2] (2), [(Ph2Sb)(PhSb)2(Ph2SiO2)2(O)3(OH)2](
)Et3NH(+) (3), and [(Ph2Sb)4(Sb)2(Ph2SiO2)2(O)6(OH)2] (4), respectively.
PMID- 24933558
TI - Introduction: gene and cell therapy projects of the seventh framework program.
PMID- 24933559
TI - Persisting transgenesis (PERSIST).
PMID- 24933560
TI - Advanced cell-based therapies for the treatment of primary immunodeficiency (Cell
PID).
PMID- 24933561
TI - Nonhuman adenovirus vectors for gene transfer to the brain (BrainCAV).
PMID- 24933562
TI - Biodegradable magnetic stent for coronary artery luminal regeneration
(Biomagscar).
PMID- 24933563
TI - Augmenting PBGD expression in the liver as a novel gene therapy for acute
intermittent porphyria (AIPgene).
PMID- 24933564
TI - Gene-activated matrices for bone and cartilage regeneration in arthritis (GAMBA).
PMID- 24933565
TI - Phase I/II ex vivo gene therapy clinical trial for recessive dystrophic
epidermolysis bullosa using skin equivalent grafts genetically corrected with a
COL7A1-encoding SIN retroviral vector (GENEGRAFT).
PMID- 24933566
TI - Therapeutic challenge in leukodystrophies: translational and ethical research
toward clinical trials (LeukoTreat).
PMID- 24933567
TI - Optimization of stem cell therapy for clinical trials of degenerative skin and
muscle diseases (OptiStem).
PMID- 24933568
TI - Fighting blindness of Usher syndrome: diagnosis, pathogenesis, and retinal
treatment (TreatRetUsher) (TREATRUSH).
PMID- 24933570
TI - Congratulations to the winners of the 12 Pioneer Awards for seminal work in gene
and cell therapy.
PMID- 24933569
TI - Pulsed versus continuous application of the prodrug 5-fluorocytosine to enhance
the oncolytic effectiveness of a measles vaccine virus armed with a suicide gene.
AB - Abstract Oncolytic virotherapy with measles vaccine virus (MeV) already has been
demonstrated to be safe. However, early clinical results pointed out the
necessity for an enhancement of oncolytic effectiveness of MeV-based
virotherapeutics. In our work, we are developing an armed measles vaccine virus
(MeV-SCD) encoding a suicide fusion gene of yeast cytosine deaminase/uracil
phosphoribosyltransferase, converting the nontoxic prodrug 5-fluorocytosine (5
FC) to the chemotherapeutic drug 5-fluorouracil (5-FU). To preclinically
investigate what an optimal prodrug-assisted therapeutic regimen might look like,
we added 5-FC at various time points after infection with MeV-SCD and either let
the prodrug remain in the tumor cell culture medium continuously for various time
periods ("continuous" 5-FC application) or applied it only temporarily for
defined shorter periods of time ("pulsed" 5-FC application); we also varied the
time point at which 5-FC was added after infection with MeV-SCD. As a result,
addition of the prodrug at early times postinfection (e.g., at 3 hr
postinfection) was found to be inferior concerning the overall oncolytic
effectiveness when compared with addition of 5-FC at later time points (e.g., at
24 hr postinfection). Next, oncolytic effectiveness was found to correlate
positively with the overall duration of incubation of MeV-infected tumor cells
with 5-FC. Of note, this was true despite our finding that addition of the
prodrug could also exert an inhibitory effect on the generation of infectious
progeny viral particles, that is, on virus replication. These findings should be
helpful for the rational design of further trials (preclinical, clinical) using
suicide gene armed virotherapeutics, such as MeV-SCD.
PMID- 24933571
TI - Powerhouse down: Complex II dissociation in the respiratory chain.
AB - Complex II of the respiratory chain (RC) recently emerged as a prominent
regulator of cell death. In both cancer cells as well as neurodegenerative
diseases, mutations in subunits have been found along with other genetic
alterations indirectly affecting this complex. Anticancer compounds were
developed that target complex II and cause cell death in a tumor-specific way.
Our mechanistic understanding of how complex II is activated for cell death
induction has recently been made clearer in recent studies, the results of which
are covered in this review. This protein assembly is specifically activated for
cell death via the dissociation of its SDHA and SDHB subunits from the membrane
anchoring proteins through pH change or mitochondrial Ca(2+) influx. The SDH
activity contained in the SDHA/SDHB subcomplex remains intact and then generates,
in an uncontrolled fashion, excessive amounts of reactive oxygen species (ROS)
for cell death. Future studies on this mitochondrial complex will further
elucidate it as a target for cancer treatments and reveal its role as a nexus for
many diverse stimuli in cell death signaling.
PMID- 24933572
TI - Forced distribution rating systems: when does "rank and yank" lead to adverse
impact?
AB - Despite widespread use of forced distribution rating systems (FDRSs), the
potential for this performance appraisal method to lead to adverse impact (AI) in
a layoff context has yet to be examined empirically. Thus, the current study uses
a Monte Carlo simulation to examine the likelihood of encountering AI violations
when an FDRS is used in the context of layoffs. The primary research questions
included an examination of how AI violations change depending on the definition
of the employment action (i.e., retention vs. layoff), the length of the repeated
layoffs, and whether or not laid off employees are replaced each year. The
current study also examined the impact of the size of the organization, the
percentage of the workforce laid off, and the type of AI calculation method used
on the likelihood of AI violations. Results suggest that defining the employment
action as layoffs (rather than as retentions) may result in a greater likelihood
of AI violations, and AI violations are likely to peak in the 1st year of use.
Further, replacing laid off employees may result in higher levels of AI over time
as compared with not replacing layoffs. Additionally, the greatest risk for AI
occurs when the organization size is large (i.e., N = 10,000) and when certain AI
calculation methods are used. Results are discussed in terms of their practical
and legal implications for organizations.
PMID- 24933573
TI - Towards exhaustive and automated high-throughput screening for crystalline
polymorphs.
AB - Methods capable of exhaustively screening for crystal polymorphism remain an
elusive goal in solid-state chemistry. Particularly promising among the new
generation of approaches is polymer-induced heteronucleation (PIHn), a tool
utilizing hundreds of unique polymers for granting kinetic access to polymorphs.
Here PIHn is redeployed in a high density format in which 288 distinct polymers,
each acting as a heteronucleant, are arrayed on one substrate. This format allows
determining the outcome of thousands of crystallizations in an automated fashion
with only a few milligrams of sample. This technology enables the study of a
broader range of targets, including preclinical candidates, facilitating
determination of polymorphism propensity much earlier in the drug development
process. Here the efficacy of this approach is demonstrated using four
pharmaceutically relevant compounds: acetaminophen, tolfenamic acid, ROY, and
curcumin.
PMID- 24933574
TI - Demographic characteristics and prognostic indicators of childhood burn in a
developing country.
AB - Children constitute a significant proportion of burn victims in most studies from
the developing countries. While there has been a progressive improvement in the
outcome from childhood burn in many developed nations, the morbidity and
mortality remains high in many low and middle income countries. The aim of our
study is to evaluate the demographic characteristics and prognostic indicators of
childhood burn in a major referral teaching hospital in a developing country. A
review of the records of 638 patients with acute burns managed over a 10-year
period from January 2001 to December 2010 at the University College Hospital,
Ibadan Nigeria was done. The clinical and epidemiological data were retrieved
from computerized data base using the ISBI proforma. Information obtained
includes Biodata, Etiology, location, TBSA, presence of Inhalation injury and the
treatment outcome. Data of patients aged 16 years and below were analyzed using
the SPSS version 16. The main outcome measure was the patient's survival. 289
children representing 45.3% of the total number of burn patients were managed
over the period. The M:F ratio was 1.1:1. The median age of the cohort was 4.0
years while the median TBSA was 21.0%. Non-intentional causes were responsible
for 89.6% cases. Most of the injuries (88.6%) occurred at home. Eighty-three
patients had inhalation injury out of which 57 (68.7%) deaths were recorded. The
overall mortality rate in the cohort was 39.5% with an LA50 of burn size of 45%.
The TBSA was also found to be a determinant of outcome. Majority of childhood
burns are from preventable causes with attendant dismal mortality figures.
Effective burn prevention strategies and improved quality of care remain pivotal
in reducing childhood burn morbidity and mortality in the developing countries.
PMID- 24933575
TI - Osteoplastic reconstruction of severely resorbed maxilla by stack plasty:
combining sinus augmentation with lateral and vertical onlay bone grafting.
AB - Progressive loss of the alveolus with aging leads to defects in the buccolingual
and vertical dimensions. Maxillomandibular spatial discrepancies and deficits in
sagittal facial projection develop at the same time as deficiencies of the
periodontal unit, alveolar bone, and attached gingiva increase, depending on the
extent of the atrophy. To restore the anatomy, a sinus lift should be combined
with lateral and vertical bony augmentation in an osteoplastic procedure. To
achieve this, "block over block" replacement with bone from the iliac crest
allows precise functional and aesthetic restoration of the alveolus and the
nasomaxillary region by combining inlay, interpositional, and onlay grafting. To
our knowledge, preprosthetic osteoplastic reconstruction with stacked bone grafts
has not previously been documented with a large number of patients. We report the
results of this procedure in 62 patients with severe maxillary atrophy.
PMID- 24933576
TI - How accurate are rapid prototyped (RP) final orthognathic surgical wafers? A
pilot study.
AB - Computer packages have been introduced to simulate the movements of the jaw in
three dimensions to facilitate planning of treatment. After final 3-dimensional
virtual planning, a rapid prototype wafer can be manufactured and used in
theatre. Our aim was to assess the accuracy of rapid prototyping of virtual
wafers derived from laser scanned dental models using CAD/CAM software. Upper and
lower plaster models from 10 orthognathic patients, the articulated models, and
the conventional wafers were scanned. The virtual wafers were made from CAD/CAM
software, and printed on a stereolithographic printer. We also scanned the
articulated models with rapid prototype wafers in place. The validity of the
final rapid prototype wafer was measured by the accuracy with which upper and
lower models related to one another. The absolute mean error of the rapid
prototype wafer when aligned with the dental models was 0.94 (0.09) mm. The
absolute distance of the 2 models articulated by conventional and rapid prototype
wafers ranged from 0.04 - 1.73mm. The rapid prototype wafers were able to
orientate the upper and lower dental models with an absolute mean error of 0.94
(0.09) mm, but it ranged from 0.04-1.73mm.
PMID- 24933578
TI - Adaptive evolution of an artificial RNA genome to a reduced ribosome environment.
AB - The reconstitution of an artificial system that has the same evolutionary ability
as a living thing is a major challenge in the in vitro synthetic biology. In this
study, we tested the adaptive evolutionary ability of an artificial RNA genome
replication system, termed the translation-coupled RNA replication (TcRR) system.
In a previous work, we performed a study of the long-term evolution of the genome
with an excess amount of ribosome. In this study, we continued the evolution
experiment in a reduced-ribosome environment and observed that the mutant genome
compensated for the reduced ribosome concentration. This result demonstrated the
ability of the TcRR system to adapt and may be a step toward generating living
things with evolutionary ability.
PMID- 24933577
TI - P300 aberration in first-episode schizophrenia patients: a meta-analysis.
AB - BACKGROUND: Decreased P300 amplitude is one of the most consistent findings in
patients with schizophrenia. However, whether prolonged P300 latency occurs in
patients with schizophrenia, especially first-episode schizophrenia (FES)
patients, remains controversial. METHODS: A meta-analyses of P300 aberration in
FES patients and healthy control(HC) group was conducted. The meta-regression
analysis was performed using a random effects model. The pooled standardized
effect size (PSES) was calculated as the division of the difference between the
means of the two groups by the common standard deviation. RESULTS: A total of 569
FES patients and 747 HCs were included in this meta-analysis. P300 amplitude was
significantly reduced (PSES = -0.83, 95% CI: -1.02-0.65, P = 0.00001) and P300
latency was delayed significantly in FES patients (PSES = -0.48, 95% CI: 0.14
0.81, P = 0.005). The meta-regression analysis showed that task difficulty was a
source of heterogeneity. CONCLUSIONS: The meta-analysis confirms that disrupted
information processing is found in FES patients, which is manifested by smaller
P300 amplitude and delayed P300 latency.
PMID- 24933579
TI - Characterization of Viral Capsid Protein Self-Assembly around Short Single
Stranded RNA.
AB - For many viruses, the packaging of a single-stranded RNA (ss-RNA) genome is
spontaneous, driven by capsid protein-capsid protein (CP) and CP-RNA
interactions. Furthermore, for some multipartite ss-RNA viruses, copackaging of
two or more RNA molecules is a common strategy. Here we focus on RNA copackaging
in vitro by using cowpea chlorotic mottle virus (CCMV) CP and an RNA molecule
that is short (500 nucleotides (nts)) compared to the lengths (~3000 nts)
packaged in wild-type virions. We show that the degree of cooperativity of virus
assembly depends not only on the relative strength of the CP-CP and CP-RNA
interactions but also on the RNA being short: a 500-nt RNA molecule cannot form a
capsid by itself, so its packaging requires the aggregation of multiple CP-RNA
complexes. By using fluorescence correlation spectroscopy (FCS), we show that at
neutral pH and sufficiently low concentrations RNA and CP form complexes that are
smaller than the wild-type capsid and that four 500-nt RNAs are packaged into
virus-like particles (VLPs) only upon lowering the pH. Further, a variety of bulk
solution techniques confirm that fully ordered VLPs are formed only upon
acidification. On the basis of these results, we argue that the observed high
degree of cooperativity involves equilibrium between multiple CP/RNA complexes.
PMID- 24933580
TI - Frontoparietal cognitive control of verbal memory recall in Alzheimer's disease.
AB - OBJECTIVE: Episodic memory retrieval is reliant upon cognitive control systems,
of which 2 have been identified with functional neuroimaging: a cingulo-opercular
salience network (SN) and a frontoparietal executive network (EN). In Alzheimer's
disease (AD), pathology is distributed throughout higher-order cortices. The
hypotheses were that this frontoparietal pathology would impair activity
associated with verbal memory recall; and that central cholinesterase inhibition
(ChI) would modulate this, improving memory recall. METHODS: Functional magnetic
resonance imaging was used to study normal participants and 2 patient groups:
mild cognitive impairment (MCI) and AD. Activity within the EN and SN was
observed during free recall of previously heard sentences, and related to
measures of recall accuracy. RESULTS: In normal subjects, trials with reduced
recall were associated with greater activity in both the SN and EN. Better recall
was associated with greater activity in medial regions of the default mode
network. By comparison, AD patients showed attenuated responses in both the SN
and EN compared with either controls or MCI patients, even after recall
performance was matched between groups. Following ChI, AD patients showed no
modulation of activity within the SN, but increased activity within the EN. There
was also enhanced activity within regions associated with episodic and semantic
memory during less successful recall, requiring greater cognitive control.
INTERPRETATION: The results indicate that in AD, impaired responses of cognitive
control networks during verbal memory recall are partly responsible for reduced
recall performance. One action of symptom-modifying treatment is partially to
reverse the abnormal function of frontoparietal cognitive control and temporal
lobe memory networks.
PMID- 24933581
TI - Luminescent gold surfaces for sensing and imaging: patterning of transition metal
probes.
AB - Luminescent transition metal complexes are introduced for the microcontact
printing of optoelectronic devices. Novel ruthenium(II), RubpySS, osmium(II),
OsbpySS, and cyclometalated iridium(III), IrbpySS, bipyridyl complexes with long
spacers between the surface-active groups and the metal were developed to reduce
the distance-dependent, nonradiative quenching pathways by the gold surface.
Indeed, surface-immobilized RubpySS and IrbpySS display strong red and green
luminescence, respectively, on planar gold surfaces with luminescence lifetimes
of 210 ns (RubpySS.Au) and 130 and 12 ns (83%, 17%) (IrbpySS.Au). The modified
surfaces show enhancement of their luminescence lifetime in comparison with
solutions of the respective metal complexes, supporting the strong luminescence
signal observed and introducing them as ideal inorganic probes for imaging
applications. Through the technique of microcontact printing, complexes were
assembled in patterns defined by the stamp. Images of the red and green patterns
rendered by the RubpySS.Au and IrbpySS.Au monolayers were revealed by
luminescence microscopy studies. The potential of the luminescent surfaces to
respond to biomolecular recognition events is demonstrated by addition of the
dominant blood-pool protein, bovine serum albumin (BSA). Upon treatment of the
surface with a BSA solution, the RubpySS.Au and IrbpySS.Au monolayers display a
large luminescence signal increase, which can be quantified by time-resolved
measurements. The interaction of BSA was also demonstrated by surface plasmon
resonance (SPR) studies of the surfaces and in solution by circular dichroism
spectroscopy (CD). Overall, the assembly of arrays of designed coordination
complexes using a simple and direct MU-contact printing method is demonstrated in
this study and represents a general route toward the manufacture of
micropatterned optoelectronic devices designed for sensing applications.
PMID- 24933582
TI - Effects of a new piezoelectric device on periosteal microcirculation after
subperiosteal preparation.
AB - INTRODUCTION: Subperiosteal preparation using a periosteal elevator leads to
disturbances of local periosteal microcirculation. Soft-tissue damage can usually
be considerably reduced using piezoelectric technology. For this reason, we
investigated the effects of a novel piezoelectric device on local periosteal
microcirculation and compared this approach with the conventional preparation of
the periosteum using a periosteal elevator. MATERIAL AND METHODS: A total of 20
Lewis rats were randomly assigned to one of two groups. Subperiosteal preparation
was performed using either a piezoelectric device or a periosteal elevator.
Intravital microscopy was performed immediately after the procedure as well as
three and eight days postoperatively. Statistical analysis of microcirculatory
parameters was performed offline using analysis of variance (ANOVA) on ranks
(p<0.05). RESULTS: At all time points investigated, intravital microscopy
demonstrated significantly higher levels of periosteal perfusion in the group of
rats that underwent piezosurgery than in the group of rats that underwent
treatment with a periosteal elevator. CONCLUSION: The use of a piezoelectric
device for subperiosteal preparation is associated with better periosteal
microcirculation than the use of a conventional periosteal elevator. As a result,
piezoelectric devices can be expected to have a positive effect on bone
metabolism.
PMID- 24933583
TI - Selection and evolution of resistance to antimicrobial drugs.
AB - The overuse and misuse of antibiotics over many years has selected a high
frequency of resistance among medically important bacterial pathogens. The
evolution of resistance is complex, frequently involving multiple genetic
alterations that minimize biological fitness costs and/or increase the resistance
level. Resistance is selected at very low drug concentrations, such as found
widely distributed in the environment, and this selects for resistant mutants
with a high fitness. Once resistance with high fitness is established in a
community it is very difficult to reduce its frequency. Addressing the problem of
resistance is essential if we are to ensure a future where we can continue to
enjoy effective medical control of bacterial infections. This will require
several actions including the discovery and development of novel antibiotics, the
creation of a continuous pipeline of drug discovery, and the implementation of
effective global antibiotic stewardship to reduce the misuse of antibiotics and
their release into the environment.
PMID- 24933584
TI - Long-term monitoring of the human intestinal microbiota from the 2nd week to 13
years of age.
AB - Microbial contact begins prior to birth and continues rapidly thereafter. Few
long term follow-up studies have been reported and we therefore characterized the
development of intestinal microbiota of ten subjects from the 2nd week of life to
13 years of age. PCR-denaturing gradient gel electrophoresis combined with
several bacterial group-specific primer sets demonstrated the colonization steps
of defined bacterial groups in the microbiota. Bifidobacterium species were seen
throughout the test period in all subjects. Bacteroides fragilis group and
Blautia coccoides-Eubacterium rectale group species were not detected in several
subjects during the first 6 months of life but were commonly seen after 12 months
of life. Streptococcus group appeared during early life but was not seen in
several subjects at the age of 13 years. Although a few species were linked with
the increasing age, major bacterial species in the groups did not change
dramatically. Rather considerable changes were found in the relative abundances
of each bacterial species. Clustering analysis of total bacterial flora indicated
that the microbiota changed considerably between 6 months and 12 months of life,
and, at the age of 12 months, the intestinal microbiota was already converted
toward a profile characteristic of an adult microbiota. Probiotic supplementation
in the beginning of life did not have major impacts on later microbiota
development.
PMID- 24933585
TI - The identification of four histidine kinases that influence sporulation in
Clostridium thermocellum.
AB - In this study, we sought to identify genes involved in the onset of spore
formation in Clostridium thermocellum via targeted gene deletions, gene over
expression, and transcriptional analysis. We determined that three putative
histidine kinases, clo1313_0286, clo1313_2735 and clo1313_1942 were positive
regulators of sporulation, while a fourth kinase, clo1313_1973, acted as a
negative regulator. Unlike Bacillus or other Clostridium species, the deletion of
a single positively regulating kinase was sufficient to abolish sporulation in
this organism. Sporulation could be restored in these asporogenous strains via
overexpression of any one of the positive regulators, indicating a high level of
redundancy between these kinases. In addition to having a sporulation defect,
deletion of clo1313_2735 produced L-forms. Thus, this kinase may play an
additional role in repressing L-form formation. This work suggests that C.
thermocellum enters non-growth states based on the sensory input from multiple
histidine kinases. The ability to control the development of non-growth states at
the genetic level has the potential to inform strategies for improved strain
development, as well as provide valuable insight into C. thermocellum biology.
PMID- 24933586
TI - Evaluation of 4-substituted styrenes as functional monomers for the synthesis of
theophylline-specific molecularly imprinted polymers.
AB - Six novel functional monomers: 4-(4-vinylphenyl)pyridine (M1), 4'-vinylbiphenyl-4
ol (M2), N,N-dimethyl-4'-vinylbiphenyl-3-amine (M3), (4'-vinylbiphenyl-4
yl)methanol (M4), 4'-vinylbiphenyl-4-carboxylic acid (M5) and 4-hydroxy-5-methyl
4'-vinylbiphenyl-3-carboxylic acid (M6), were examined for their ability to
imprint theophylline (1). Using a molecular modelling-NMR titration approach, M2
and M6 were predicted to give rise to the most specific molecularly imprinted
polymers (MIPs). Rebinding analysis suggests that no imprinting effect resulted
from the polymerisation of monomers M1, M5 and M6, but modest to good levels of
imprinting were evident from monomers M2, M3 and M4 with IF values ranging from
1.1 (MIPM3, 20 mg) to 45 (MIPM2, 10 mg). The selective recognition of 1 varied as
a function of polymer mass used. At low polymer loadings MIPM2 gave the very high
IF of 45, reducing to IF = 4.1-2.3 at 20-40 mg polymer loading. With monomer M2,
microwave synthesised MIP (MW-MIPM2) was examined. The MW-MIPM2 displayed lower
specific rebinding than its conventionally produced counterpart (MIPM2) with IF
values ranging from 1.6-2.3 (cf., MIPM2 IF 2.3-45), but significantly higher
levels of rebinding with 25-52% of 1 rebound from a 0.080 mM CH3CN solution of 1
(cf., MIPM2 5-25%). MW-MIPM2 displayed a lower BET surface area than MIPM2 (185
m(2) g(-1)vs. 240 m(2) g(-1)), and lower surface (zeta) potential (-13.1 +/- 8.22
mV vs. -31.4 +/- 4.84 mV). Freundlich isotherm analysis revealed that MW-MIPM2
possessed higher affinity binding sites for 1 than MIPM2 with Kd values of 1.38
and 2.31 respectively. In addition, MW-MIPM2 also exhibits a higher number of
binding sites (NT) compared to MW-NIPM2 (0.72 and 0.41 mg g(-1), respectively).
In specificity studies using caffeine (2), MIPM2 displayed a two-fold preference
for rebinding of 1 and MW-MIPM2 a five-fold preference for 1 over 2. The quantity
of 2 bound in both cases was consistent with non-specific binding events. In
competitive rebinding experiments, increased discrimination in favour of 1 over 2
was observed.
PMID- 24933587
TI - Antidepressants are independently associated with gait deficits in single and
dual task conditions.
AB - OBJECTIVES: This study examined the relationships between late-onset depressive
symptoms, antidepressants, and single and dual task gait in older adults. DESIGN:
Cross-sectional study. SETTING: The Irish Longitudinal Study on Ageing (TILDA), a
nationally representative cohort study. PARTICIPANTS: Community-dwelling adults
aged 60 years and older, with Mini-Mental State Examination score >=24, no
history of Parkinson's disease or early onset depression, and unaided completion
of a gait assessment (N = 1,998). This study compared participants with and
without potentially clinically relevant depressive symptoms (i.e., >=16 on the
Centre for Epidemiological Studies Depression scale) and participants who were
and were not on antidepressant therapy. MEASUREMENTS: Gait measures were obtained
during single and dual task (reciting alternate letters of alphabet, A-C-E)
walking using a 4.88 m GAITRite walkway. Regression analysis was used to examine
the associations between each group and gait adjusting for sociodemographics and
health. RESULTS: In the unadjusted models, depressive symptoms and antidepressant
use were associated with gait deficits. After adjusting for covariates,
antidepressant use was associated with reduced gait speed and stride length in
single and dual task walking; depressive symptoms were not associated with any
deficits. CONCLUSIONS: As gait impairments are associated with an increased risk
of adverse outcomes including falls, clinicians should be aware of the impact of
antidepressants on gait in older adults. Subsequent to this, interventions aimed
at improving physical function, which is a known precursor to falls and
functional disability, should be recommended.
PMID- 24933588
TI - HJB-1, a 17-hydroxy-jolkinolide B derivative, inhibits LPS-induced inflammation
in mouse peritoneal macrophages.
AB - Jolkinolide B (JB) and 17-hydroxy-JB (HJB) are diterpenoids from plants and it
has been reported that the presence of a C-17 hydroxy group in JB significantly
enhances the anti-inflammatory potency of JB. In this study, two HJB derivatives
HJB-1 and HJB-2 were generated by the chemical modification of a 17-hydroxy group
of HJB. HJB-1 more effectively inhibited TNF-alpha, IL-1beta and IL-6 release in
LPS-stimulated mouse peritoneal macrophages. In addition, HJB-1 reduced LPS
induced mRNA expression of TNF-alpha, IL-1beta, IL-6, COX-2 and iNOS in a
concentration-dependent manner, but did not alter IL-10 mRNA expression. LPS
induced NF-kappaB activation and MAPK phosphorylation were also effectively
inhibited by HJB-1. These results demonstrate that HJB-1 exerts anti-inflammatory
effects on LPS-activated mouse peritoneal macrophages by inhibiting NF-kappaB
activation and MAPK phosphorylation and modification of a 17-hydroxy group of HJB
may enhance the anti-inflammatory potency of HJB derivatives.
PMID- 24933590
TI - Outpatient palliative care for chronic obstructive pulmonary disease: a case
series.
AB - BACKGROUND: Patients with chronic obstructive pulmonary disease (COPD) have well
documented symptoms that affect quality of life. Professional societies recommend
palliative care for such patients, but the optimal way of delivering this care is
unknown. OBJECTIVE: To describe an outpatient palliative medicine program for
patients with COPD. DESIGN: Retrospective case series. SETTING/SUBJECTS: Thirty
six patients with COPD followed in a United States academic outpatient palliative
medicine clinic. MEASUREMENTS: Descriptive analysis of sociodemographic data,
disease severity and comorbidities, treatments, hospitalizations, mortality,
topic discussion, and symptom assessment. RESULTS: Thirty-six patients
(representing 5% of the total number of patients with COPD seen in a specialty
pulmonary clinic) were seen over 11 months and followed for 2 years. Seventy
seven percent of patients were Global Initiative for Chronic Obstructive Lung
Disease (GOLD) stage 3-4 and 72% were on oxygen at home. No patients had
documented advanced directives at the initial visit but documentation increased
to 61% for those who had follow-up appointments. The most commonly documented
topics included symptoms (100%), social issues (94%), psychological issues (78%),
and advance care planning (75%). Of symptoms assessed, pain was the least
prevalent (51.6%), and breathlessness and fatigue were the most prevalent (100%).
Symptoms were often undertreated prior to the palliative care appointment. During
the 3-year study period, there were 120 hospital admissions (median, 2) and 12
deaths (33%). CONCLUSIONS: The patients with COPD seen in the outpatient
palliative medicine clinic had many comorbid conditions, severe illness, and
significant symptom burden. Many physical and psychological symptoms were
untreated prior to the palliative medicine appointment. Whether addressing these
symptoms through a palliative medicine intervention affects outcomes in COPD is
unknown but represents an important topic for future research.
PMID- 24933591
TI - The arthroscopic treatment of shoulder instability: bioabsorbable and standard
metallic anchors produce equivalent clinical results.
AB - PURPOSE: To investigate whether there are any differences in clinical outcomes
and complications between absorbable and nonabsorbable suture anchors in the
treatment of shoulder instability. METHODS: We performed a comprehensive search
of Medline, CINAHL (Cumulative Index to Nursing and Allied Health Literature),
Embase, and the Cochrane Central Registry of Controlled Trials, from inception of
the databases, using various combinations of keywords. Only studies focusing on
clinical outcomes of patients who underwent arthroscopic shoulder stabilization
with suture anchors were selected. Two authors (R.P. and L.D.B.) then evaluated
the methodologic quality of each article using the Coleman Methodology Score.
RESULTS: Ten articles were included. Four were prospective randomized studies, 2
were prospective cohort studies, and 4 were case series. The mean modified
Coleman Methodology Score was 76.2. CONCLUSIONS: Given the overall good results
reported after arthroscopic stabilization of the shoulder using different suture
anchors, it is not possible to draw a definite and solid suggestion on which
class of device is better advised for routine use. Thus the decision to use one
of the anchor systems available may mostly depend on its cost-effectiveness,
which should be the focus of future comprehensive research. LEVEL OF EVIDENCE:
Level IV, systematic review of Level I to IV studies.
PMID- 24933592
TI - A well-refined in vitro model derived from human embryonic stem cell for
screening phytochemicals with midbrain dopaminergic differentiation-boosting
potential for improving Parkinson's disease.
AB - Stimulation of endogenous neurogenesis is a potential approach to compensate for
loss of dopaminergic neurons of substantia nigra compacta nigra (SNpc) in
patients with Parkinson's disease (PD). This objective was to establish an in
vitro model by differentiating pluripotent human embryonic stem cells (hESCs)
into midbrain dopaminergic (mDA) neurons for screening phytochemicals with mDA
neurogenesis-boosting potentials. Consequently, a five-stage differentiation
process was developed. The derived cells expressed many mDA markers including
tyrosine hydroxylase (TH), beta-III tubulin, and dopamine transporter (DAT). The
voltage-gated ion channels and dopamine release were also examined for verifying
neuron function, and the dopamine receptor agonists bromocriptine and 7-hydroxy-2
(dipropylamino)tetralin (7-OH-DPAT) were used to validate our model. Then,
several potential phytochemicals including green tea catechins and ginsenosides
were tested using the model. Finally, ginsenoside Rb1 was identified as the most
potent phytochemical which is capable of upregulating neurotrophin expression and
inducing mDA differentiation.
PMID- 24933593
TI - Sensitivity to social information, social referencing, and safety attitudes in a
hazardous occupation.
AB - Social referencing, or seeking information cues from others, occurs when a worker
must make sense of those aspects of work, like safety hazards, that are
ambiguous. This is a central argument of Social Information Processing Theory
(SIPT), a social referencing and job characteristics theory of work attitudes.
Adapting SIPT to the understanding of safety perceptions and attitudes, this
paper hypothesizes relationships between the worker's sensitivity to social
information, the worker's social safety cognitions, and the worker's own safety
attitudes. Findings from a field study of workers in a hazardous occupation,
emergency care/firefighting, confirmed SIPT-predicted relationships among these
factors: the worker's belief in management's willingness to provide a safe work
environment, the degree of risk the worker associates with his or her job, the
worker's concern about the frequency of exposure to hazards, and the worker's
personal experiences with hazards. These findings also suggest that a social
referencing and job characteristics perspective like SIPT provides a logical and
useful theoretical framework for understanding workers' interpretations of safety
conditions. This perspective also helps relate theories of safety attitudes to a
broad set of theories of social information and organizational behavior.
PMID- 24933594
TI - The role of mindfulness and psychological capital on the well-being of leaders.
AB - In today's highly competitive and extremely complex global economy,
organizational leaders at all levels are facing unprecedented challenges. Yet,
some seem to be handling the pressure better than others. Utilizing 4 samples of
CEOs/presidents/top (n = 205), middle (n = 183), and junior (n = 202) managers,
as well as 107 entrepreneurs, using Structural Equation Modeling we tested the
direct effect that their level of mindfulness (heightened awareness) and the
mediating effect of their psychological capital (i.e., hope, efficacy,
resilience, and optimism) may have on their mental well-being. In all 4 samples,
mindfulness was found to be negatively related to various dysfunctional outcomes
such as anxiety, depression, and negative affect of the managerial leaders and
burnout (i.e., emotional exhaustion and cynicism) of the entrepreneurs. For all 4
samples, the model with psychological capital mediating the effects of
mindfulness on dysfunctional outcomes fit the data best. The study limitations,
future research and practical implications of these findings conclude the
article.
PMID- 24933595
TI - Civility norms, safety climate, and safety outcomes: a preliminary investigation.
AB - Working environments that are both civil and safe are good for business and
employee well-being. Civility has been empirically linked to such important
outcomes as organizational performance and individuals' positive work-related
attitudes, yet research relating civility to safety is lacking. In this study, we
link perceptions of civility norms to perceptions of safety climate and safety
outcomes. Drawing on social exchange theory, we proposed and tested a model in 2
samples wherein civility norms indirectly relate to safety outcomes through
associations with various safety climate facets. Our results supported direct
relationships between civility and management safety climate and coworker safety
climate. Additionally, indirect effects of civility norms on unsafe behaviors and
injuries were observed. Indirect effects of civility norms on unsafe behaviors
were observed through coworker safety climate and work-safety tension. Indirect
effects of civility norms on injuries were observed through management safety
climate and work-safety tension for full-time employees, although these effects
did not hold for part-time employees. This study provides initial evidence that
researchers and practitioners may want to look beyond safety climate to civility
norms to more comprehensively understand the origins of unsafe behaviors and
injuries and to develop appropriate preventive interventions.
PMID- 24933596
TI - Unfinished tasks foster rumination and impair sleeping - particularly if leaders
have high performance expectations.
AB - This study examines the relationship between time pressure and unfinished tasks
as work stressors on employee well-being. Relatively little is known about the
effect of unfinished tasks on well-being. Specifically, excluding the impact of
time pressure, we examined whether the feeling of not having finished the week's
tasks fosters perseverative cognitions and impairs sleep. Additionally, we
proposed that leader performance expectations moderate these relationships. In
more detail, we expected the detrimental effect of unfinished tasks on both
rumination and sleep would be enhanced if leader expectations were perceived to
be high. In total, 89 employees filled out online diary surveys both before and
after the weekend over a 5-week period. Multilevel growth modeling revealed that
time pressure and unfinished tasks impacted rumination and sleep on the weekend.
Further, our results supported our hypothesis that unfinished tasks explain
unique variance in the dependent variables above and beyond the influence of time
pressure. Moreover, we found the relationship between unfinished tasks and both
rumination and sleep was moderated by leader performance expectations. Our
results emphasize the importance of unfinished tasks as a stressor and highlight
that leadership, specifically in the form of performance expectations,
contributes significantly to the strength of this relationship.
PMID- 24933589
TI - Key mediators in the immunopathogenesis of allergic asthma.
AB - Asthma is described as a chronic inflammatory disorder of the conducting airways.
It is characterized by reversible airway obstruction, eosinophil and Th2
infiltration, airway hyper-responsiveness and airway remodeling. Our findings to
date have largely been dependent on work done using animal models, which have
been instrumental in broadening our understanding of the mechanism of the
disease. However, using animals to model a uniquely human disease is not without
its drawbacks. This review aims to examine some of the key mediators and cells of
allergic asthma learned from animal models and shed some light on emerging
mediators in the pathogenesis allergic airway inflammation in acute and chronic
asthma.
PMID- 24933597
TI - Direct-to-patient laboratory test reporting: balancing access with effective
clinical communication.
PMID- 24933598
TI - A twin study of problematic internet use: its heritability and genetic
association with effortful control.
AB - Our goal was to estimate genetic and environmental sources of influence on
adolescent problematic internet use, and whether these individual differences can
be explained by effortful control, an important aspect of self-regulation. A
sample of 825 pairs of Chinese adolescent twins and their parents provided
reports of problematic internet use and effortful control. Univariate analysis
revealed that genetic factors explained 58-66% of variance in problematic
internet use, with the rest explained by non-shared environmental factors. Sex
difference was found, suggesting boys' problematic internet use was more
influenced by genetic influences than girls' problematic internet use. Bivariate
analysis indicated that effortful control accounted for a modest portion of the
genetic and non-shared environmental variance in problematic internet use among
girls. In contrast, among boys, effortful control explained between 6% (parent
report) and 20% (self-report) of variance in problematic internet use through
overlapping genetic pathways. Adolescent problematic internet use is heritable,
and poor effortful control can partly explain adolescent problematic internet
use, with effects stronger for boys. Implications for future research are
discussed.
PMID- 24933599
TI - Quo vadis polyplex?
AB - Polymeric siRNA delivery has been an important field for the Journal of
Controlled Release since the early 2000s. With currently about 1600 publications
on this topic that can be found in Scopus, we have to ask ourselves why the
clinical translation has been so slow. In this review we address the importance
of in vivo studies, the problem of biocompatibility of the carrier systems,
intracellular entrapment and endosomal release, as well as stability issues in
the presence of serum. Most of all, we ask the question: Quo vadis? Where do
polymeric carriers go inside the cell and inside the body and how does this
affect repeated administration? We show that the gap of knowledge on polymer
biodistribution and excretion has not been closed yet and needs to be addressed
to develop safe RNAi therapeutics.
PMID- 24933600
TI - Issues and concerns in nanotech product development and its commercialization.
AB - The revolutionary and ubiquitous nature of nanotechnology has fetched it a
considerable attention in the past few decades. Even though its enablement and
application to various sectors including pharmaceutical drug development is
increasing with the enormous government aided funding for nanotechnology-based
products, however the parallel commercialization of these systems has not picked
up a similar impetus. The technology however does address the unmet needs of
pharmaceutical industry, including the reformulation of drugs to improve their
solubility, bioavailability or toxicity profiles as observed from the wide array
of high-quality research publications appearing in various scientific journals
and magazines. Based on our decade-long experience in the field of nanotech-based
drug delivery systems and extensive literature survey, we perceive that the major
hiccups to the marketing of these nanotechnology products can be categorized as
1) inadequate regulatory framework; 2) lack of support and acceptance by the
public, practicing physician, and industry; 3) developmental considerations like
scalability, reproducibility, characterization, quality control, and suitable
translation; 4) toxicological issues and safety profiles; 5) lack of available
multidisciplinary platforms; and, 6) poor intellectual property protection. The
present review dwells on these issues elaborating the trends followed by the
industry, regulatory role of the USFDA and their implication, and the challenges
set forth for a successful translation of these products from the lab and
different clinical phases to the market.
PMID- 24933601
TI - Lipid nanoparticles: drug localization is substance-specific and achievable load
depends on the size and physical state of the particles.
AB - Lipid nanoemulsions and -suspensions are being intensively investigated as
carriers for poorly water soluble drugs. The question on where model compounds or
probes are localized within the dispersions has been the subject of several
studies. However, only little data exists for pharmaceutically relevant molecules
in dispersions composed of pharmaceutically relevant excipients. In this work,
the localization of drugs and drug-like substances was studied in lipid
nanoemulsions and -suspensions. Conclusions about the drug localization were
drawn from the relations between lipid mass, specific particle surface area and
drug load in the dispersions. Additionally, the achievable drug loads of the
liquid and the solid lipid particles were compared. Nanoemulsions and
suspensions comprised trimyristin as lipid matrix and poloxamer 188 as emulsifier
and were prepared with different well-defined particle sizes. These pre-formed
dispersions were passively loaded with either amphotericin B, curcumin,
dibucaine, fenofibrate, mefenamic acid, propofol, or a porphyrin derivative. The
physico-chemical properties of the particles were characterized; drug load and
lipid content were quantified by UV spectroscopy and high performance liquid
chromatography, respectively. For all drugs the passive loading procedure was
successful in both emulsions and suspensions. Solid particles accommodate drug
molecules preferably at the particle surface. Liquid particles can accommodate
drugs at the particle surface as well as in the core; the distribution between
the two sites is drug specific. It is also drug specific whether solid or liquid
particles yield higher drug loads. As a general rule, smaller particles led to
higher drug loads than larger ones. Propofol and the porphyrin derivative
displayed eutectic interaction with the lipid and crystal growth after loading,
respectively.
PMID- 24933602
TI - Transferrin-bearing polypropylenimine dendrimer for targeted gene delivery to the
brain.
AB - The possibility of using genes as medicines to treat brain diseases is currently
limited by the lack of safe and efficacious delivery systems able to cross the
blood-brain barrier, thus resulting in a failure to reach the brain after
intravenous administration. On the basis that iron can effectively reach the
brain by using transferrin receptors for crossing the blood-brain barrier, we
propose to investigate if a transferrin-bearing generation 3-polypropylenimine
dendrimer would allow the transport of plasmid DNA to the brain after intravenous
administration. In vitro, the conjugation of transferrin to the polypropylenimine
dendrimer increased the DNA uptake by bEnd.3 murine brain endothelioma cells
overexpressing transferrin receptors, by about 1.4-fold and 2.3-fold compared to
that observed with the non-targeted dendriplex and naked DNA. This DNA uptake
appeared to be optimal following 2h incubation with the treatment. In vivo, the
intravenous injection of transferrin-bearing dendriplex more than doubled the
gene expression in the brain compared to the unmodified dendriplex, while
decreasing the non-specific gene expression in the lung. Gene expression was at
least 3-fold higher in the brain than in any tested peripheral organs and was at
its highest 24h following the injection of the treatments. These results suggest
that transferrin-bearing polypropylenimine dendrimer is a highly promising gene
delivery system to the brain.
PMID- 24933603
TI - Combination-targeting to multiple endothelial cell adhesion molecules modulates
binding, endocytosis, and in vivo biodistribution of drug nanocarriers and their
therapeutic cargoes.
AB - Designing of drug nanocarriers to aid delivery of therapeutics is an expanding
field that can improve medical treatments. Nanocarriers are often functionalized
with elements that recognize cell-surface molecules involved in subcellular
transport to improve targeting and endocytosis of therapeutics. Combination
targeting using several affinity elements further modulates this outcome. The
most studied example is endothelial targeting via multiple cell adhesion
molecules (CAMs), which mimics the strategy of leukocytes to adhere and traverse
the vascular endothelium. Yet, the implications of this strategy on intracellular
transport and in vivo biodistribution remain uncharacterized. We examined this
using nanocarriers functionalized for dual- or triple-targeting to intercellular,
platelet-endothelial, and/or vascular CAMs (ICAM-1, PECAM-1, VCAM-1). These
molecules differ in expression level, location, pathological stimulation, and/or
endocytic pathway. In endothelial cells, binding of PECAM-1/VCAM-1-targeted
nanocarriers was intermediate to single-targeted counterparts and enhanced in
disease-like conditions. ICAM-1/PECAM-1-targeted nanocarriers surpassed PECAM
1/VCAM-1 in control, but showed lower selectivity toward disease-like conditions.
Triple-targeting resulted in binding similar to ICAM-1/PECAM-1 combination and
displayed the highest selectivity in disease-like conditions. All combinations
were effectively internalized by the cells, with slightly better performance when
targeting receptors of different endocytic pathways. In vivo, ICAM-1/PECAM-1
targeted nanocarriers outperformed PECAM-1/VCAM-1 in control and disease-like
conditions, and triple-targeted counterparts slightly enhanced this outcome in
some organs. As a result, delivery of a model therapeutic cargo (acid
sphingomyelinase, deficient in Niemann-Pick disease A-B) was enhanced to all
affected organs by triple-targeted nanocarriers, particularly in disease-like
conditions. Therefore, multi-CAM targeting may aid the optimization of some
therapeutic nanocarriers, where the combination and multiplicity of the affinity
moieties utilized allow modulation of targeting performance.
PMID- 24933604
TI - Physiological stress reactivity and empathy following social exclusion: a test of
the defensive emotional analgesia hypothesis.
AB - Experiences of social exclusion elicit social pain responses. The current study
examined the ability of social exclusion to activate physiological stress
responses and adaptively modulate affect and empathy consistent with "defensive
emotional analgesia." Measures of affect and empathy, and saliva samples for
cortisol and alpha-amylase (sAA) analysis, were collected before and after
subjects participated in a computer game ("Cyberball") designed to manipulate
feelings of social exclusion. Contrary to our hypotheses, social exclusion was
associated with a reduction in cortisol, and social inclusion with an increase in
cortisol. Both Cyberball groups showed increases in sAA and decreases in both
positive and negative affect, with the greatest drop in affect occurring after
social exclusion. Empathy did not differ between the social exclusion and
inclusion groups and was not correlated with cortisol or sAA levels. These
results support the presence of a defensive response to social exclusion in which
central stress pathways controlling cortisol release are inhibited. Cortisol and
sAA were shown to have distinct patterns of responses to psychological stress,
with sAA responding more rapidly. Related methodological concerns for the use of
these physiological stress markers and of Cyberball in social neuroscience
research are discussed.
PMID- 24933605
TI - BRAF(V600) mutation levels predict response to vemurafenib in metastatic
melanoma.
PMID- 24933606
TI - Activity of trametinib in K601E and L597Q BRAF mutation-positive metastatic
melanoma.
AB - BRAF and MEK inhibitors are not established treatments for non-V600 mutation
positive metastatic melanoma. We carried out a retrospective analysis of efficacy
and safety in four patients with BRAF K601E and one patient with L597Q mutation
positive metastatic melanoma treated with the MEK inhibitor trametinib. Three
patients achieved a RECIST partial response, including the patient with an L597Q
mutation. Paired biopsies available in one of the five patients showed reduced
phospho-ERK signalling and this corresponded to a metabolic response on F
fluorodeoxyglucose-PET scanning. Trametinib toxicity was manageable. Trametinib
has antitumour activity in patients with BRAF K601E and L597Q mutation-positive
metastatic melanoma.
PMID- 24933607
TI - Tyrosinase inhibitory activity of a glucosylated hydroxystilbene in mouse melan-a
melanocytes.
AB - 2,3,5,4'-Tetrahydroxystilbene-2-O-beta-D-glucopyranoside (1), isolated from
Polygonum multiflorum, is a noncompetitive inhibitor of tyrosinase in cell-free
kinetics; it reduced the Vmax values in a dose-dependent manner. Compound 1
inhibited PKA-induced melanogenesis, reduced the protein expression of tyrosinase
and its transcription factor, the microphthalmia-associated transcription factor,
and lowered the complex formation between tyrosinase and tyrosinase-related
protein 1 (TRP-1). Immunofluorescence microscopy revealed no association of
tyrosinase with the endoplasmic reticulum or lysosomes, implying the absence of a
direct effect of 1 on the maturation process of the enzyme. The antimelanogenic
activity of 1 is likely mediated through a noncompetitive inhibition on
tyrosinase, down-regulation of the expression of melanogenic proteins, and
reduction of tyrosinase/TRP-1 complex formation.
PMID- 24933608
TI - Transcranial regional cerebral oxygen desaturation predicts delayed cerebral
ischaemia and poor outcomes after subarachnoid haemorrhage: a correlational
study.
AB - OBJECTIVES: To examine the relationship between regional cerebral oxygen
saturation (rSO2), delayed cerebral ischaemia (DCI), and outcomes after
aneurysmal subarachnoid haemorrhage (aSAH). RESEARCH METHODOLOGY: Subjects (n =
163) with aSAH, age 21-75 years, and Fisher grade >1 were included in the study.
Continuous rSO2 monitoring was performed for 5-10 days after injury using near
infrared spectroscopy with sensors over the frontal/temporal cortex. rSO2<50
indicated desaturation. DCI was defined as neurological deterioration due to
impaired cerebral blood flow. Three- and 12-month functional outcomes were
assessed by the modified Rankin scale (MRS) as good (0-3) and poor (4-6).
RESULTS: DCI occurred in 57% of patients; of these 66% had rSO2<50. Overall, 56%
had rSO2<50 on either side, 21% and 16% had poor MRS at 3 and 12 months. Subjects
with rSO2 <50 were 3.25 times more likely to have DCI compared to those with rSO2
>50 (OR 3.25, 95%CI 1.58-6.69), positive predictive value (PPV) = 70%. Subjects
with rSO2 <50 were 2.7 times more likely to have poor 3-month MRS compared to
those with rSO2 >50 (OR 2.7, 95%CI 1.1-7.2), PPV = 70%. CONCLUSIONS: These
results suggest that NIRS has the potential for detecting DCI after aSAH. This
potential needs to be further explored in a larger prospective study.
PMID- 24933609
TI - Care, compassion and competence in critical care: a qualitative exploration of
nurses' experience of family witnessed resuscitation.
AB - This exploratory study was grounded in a local initiative promoting family
witnessed resuscitation in the critical care units of a regional cardio-thoracic
centre in the United Kingdom (UK). Research in this field has focussed on the
perceived benefits, or otherwise, of family involvement, but little is known
about the impact this has on critical care nurses or their practice. This study
aimed to gain insights into nurses' experience of family witnessed resuscitation
and identify any implications for critical care practices. The study employed a
phenomenological approach and interviewed six nurses who had been involved in
family witnessed resuscitation. Data from the transcribed interviews were
analysed thematically and organised into descriptive categories which reflected
the nursing experience of these resuscitation events. The three thematic
categories generated by data analysis illustrate the challenges nurses faced in
seeking to balance compassionate care and technical competence in emergency
situations on critical care units. They also showed how nurses sought to
reconcile unsettling emotions with their professional practice and
responsibilities. The findings of this study are consistent with what is already
known about the challenges of critical care nursing, but suggest that more
research is needed to understand the practical and emotional complexities of
family witnessed resuscitation.
PMID- 24933610
TI - Enhanced triterpene accumulation in Panax ginseng hairy roots overexpressing
mevalonate-5-pyrophosphate decarboxylase and farnesyl pyrophosphate synthase.
AB - To elucidate the function of mevalonate-5-pyrophosphate decarboxylase (MVD) and
farnesyl pyrophosphate synthase (FPS) in triterpene biosynthesis, the genes
governing the expression of these enzymes were transformed into Panax ginseng
hairy roots. All the transgenic lines showed higher expression levels of PgMVD
and PgFPS than that by the wild-type control. Among the hairy root lines
transformed with PgMVD, M18 showed the highest level of transcription compared to
the control (14.5-fold higher). Transcriptions of F11 and F20 transformed with
PgFPS showed 11.1-fold higher level compared with control. In triterpene
analysis, M25 of PgMVD produced 4.4-fold higher stigmasterol content (138.95
MUg/100 mg, dry weight [DW]) than that by the control; F17 of PgFPS showed the
highest total ginsenoside (36.42 mg/g DW) content, which was 2.4-fold higher
compared with control. Our results indicate that metabolic engineering in P.
ginseng was successfully achieved through Agrobacterium rhizogenes-mediated
transformation and that the accumulation of phytosterols and ginsenosides was
enhanced by introducing the PgMVD and PgFPS genes into the hairy roots of the
plant. Our results suggest that PgMVD and PgFPS play an important role in the
triterpene biosynthesis of P. ginseng.
PMID- 24933611
TI - Multi-peaked adaptive landscape for chikungunya virus evolution predicts
continued fitness optimization in Aedes albopictus mosquitoes.
AB - Host species-specific fitness landscapes largely determine the outcome of host
switching during pathogen emergence. Using chikungunya virus (CHIKV) to study
adaptation to a mosquito vector, we evaluated mutations associated with recently
evolved sub-lineages. Multiple Aedes albopictus-adaptive fitness peaks became
available after CHIKV acquired an initial adaptive (E1-A226V) substitution,
permitting rapid lineage diversification observed in nature. All second-step
mutations involved replacements by glutamine or glutamic acid of E2 glycoprotein
amino acids in the acid-sensitive region, providing a framework to anticipate
additional A. albopictus-adaptive mutations. The combination of second-step
adaptive mutations into a single, 'super-adaptive' fitness peak also predicted
the future emergence of CHIKV strains with even greater transmission efficiency
in some current regions of endemic circulation, followed by their likely global
spread.
PMID- 24933613
TI - TIR-domain-containing protein repertoire of nine anthozoan species reveals coral
specific expansions and uncharacterized proteins.
AB - The intracellular toll/interleukin-1 receptor (TIR) domain plays an important
role in vertebrate immunity, but the evolution and function of invertebrate TIR
domain-containing proteins is not fully understood. This study characterized and
compared the TIR-domain-containing protein repertoire of nine cnidarians in class
Anthozoa. A diverse set of proteins, including MyD88 (myeloid differentiation
primary response protein 88), toll-like receptor (TLR)-like, interleukin-1
receptor (IL-1R)-like, and TIR-only proteins are present in the species surveyed.
Increased numbers of TIR-only proteins were observed in corals compared to
anemones, especially in the Acroporid and Pocilloporid coral families. This
expansion could be linked to diversity of the microbial community on or in hosts
and managing both positive and negative associations. Phylogenetic analysis
indicates there are two groups of proteins with IL-1R-like domain architecture in
anthozoans that potentially evolved independently of the vertebrate family.
Bacterial-like TIR_2 domain proteins are also present, including one sequence
with novel domain architecture. Overall this work promotes a better understanding
of the anthozoan immune repertoire, which is important in the context learning
about ancestral immune pathways and host-microbe interactions.
PMID- 24933615
TI - Acquired subglottic stenosis: aetiological profile and treatment results.
AB - OBJECTIVES: To analyse the aetiological profile and surgical results of patients
with acquired chronic subglottic stenosis, and formulate a surgical scheme based
on an audit of various surgical procedures. METHODS: Thirty patients were treated
by 65 procedures (31 endoscopic and 34 external) between 2004 and 2009. RESULTS:
Isolated subglottic stenosis was noted as unusual in the majority (27 cases),
demonstrating contiguous tracheal or glottic involvement. The major aetiologies
were intubation injury (n = 8) and external injury (n = 21) (i.e. blunt trauma,
strangulation or penetrating injury). Vocal fold immobility and cartilage
framework involvement were frequent with external injury and infrequent with
intubation injury. Luminal restoration was achieved by endoscopic procedures in 2
cases, external procedures in 19 cases, and external plus adjuvant endoscopic
procedures in 8 cases. The preferred surgical options were: endoscopic
procedures, restricted to short, recent, grade I or II mucosal stenosis cases;
and external procedures for all other stenosis situations, including isolated
subglottic (anterior cricoid split plus cartilage graft), subglottic and glottic
or high subglottic (anterior plus posterior cricoid split with cartilage graft),
and subglottic and tracheal (cricotracheal resection with anastomosis).
CONCLUSIONS: External injury stenosis has a worse profile than intubation injury
stenosis. Anatomical categorisation of subglottic stenosis guides surgical
procedure selection. Endoscopic procedures have limited indications as primary
procedures but are useful adjunctive procedures.
PMID- 24933614
TI - Physiologic field triage criteria for identifying seriously injured older adults.
AB - OBJECTIVE: To evaluate the ability of out-of-hospital physiologic measures to
predict serious injury for field triage purposes among older adults and
potentially reduce the undertriage of seriously injured elders to non-trauma
hospitals. METHODS: This was a retrospective cohort study involving injured
adults 55 years and older transported by 94 emergency medical services (EMS)
agencies to 122 hospitals (trauma and non-trauma) in 7 regions of the western
United States from January 1, 2006 to December 31, 2008. We evaluated initial out
of-hospital Glasgow Coma Scale (GCS) score, systolic blood pressure (SBP),
respiratory rate, heart rate, shock index (SBP / heart rate), out-of-hospital
procedures, mechanism of injury, and patient demographics. The primary outcome
was "serious injury," defined as Injury Severity Score (ISS) >= 16, as a measure
of trauma center need. We used multivariable regression models, fractional
polynomials and binary recursive partitioning to evaluate appropriate physiologic
cut-points and the value of different physiologic triage criteria. RESULTS: A
total of 44,890 injured older adults were evaluated and transported by EMS, of
whom 2,328 (5.2%) had ISS >= 16. Nonlinear associations existed between all
physiologic measures and ISS >= 16 (unadjusted and adjusted p <= 0.001 for all,),
except for heart rate (adjusted p = 0.48). Revised physiologic triage criteria
included GCS score <= 14; respiratory rate < 10 or > 24 breaths per minute or
assisted ventilation; and SBP < 110 or > 200 mmHg. Compared to current triage
practices, the revised criteria would increase triage sensitivity from 78.6 to
86.3% (difference 7.7%, 95% CI 6.1-9.6%), reduce specificity from 75.5 to 60.7%
(difference 14.8%, 95% CI 14.3-15.3%), and increase the proportion of patients
without serious injuries transported to major trauma centers by 60%. CONCLUSIONS:
Existing out-of-hospital physiologic triage criteria could be revised to better
identify seriously injured older adults at the expense of increasing overtriage
to major trauma centers.
PMID- 24933617
TI - Return to Oz: voice pitch facilitates assessments of men's body size.
AB - Listeners associate low voice pitch (fundamental frequency and/or harmonics) and
formants (vocal-tract resonances) with large body size. Although formants
reliably predict size within sexes, pitch does not reliably predict size in
groups of same-sex adults. Voice pitch has therefore long been hypothesized to
confound within-sex size assessment. Here we performed a knockout test of this
hypothesis using whispered and 3-formant sine-wave speech devoid of pitch.
Listeners estimated the relative size of men with above-chance accuracy from
voiced, whispered, and sine-wave speech. Critically, although men's pitch and
physical height were unrelated, the accuracy of listeners' size assessments
increased in the presence rather than absence of pitch. Size assessments based on
relatively low pitch yielded particularly high accuracy (70%-80%). Results of
Experiment 2 revealed that amplitude, noise, and signal degradation of unvoiced
speech could not explain this effect; listeners readily perceived formant shifts
in manipulated whispered speech. Rather, in Experiment 3, we show that the denser
harmonic spectrum provided by low pitch allowed for better resolution of
formants, aiding formant-based size assessment. These findings demonstrate that
pitch does not confuse body size assessment as has been previously suggested, but
instead facilitates accurate size assessment by providing a carrier signal for
vocal-tract resonances.
PMID- 24933616
TI - Executive and perceptual attention play different roles in visual working memory:
evidence from suffix and strategy effects.
AB - Four experiments studied the interfering effects of a to-be-ignored "stimulus
suffix" on cued recall of feature bindings for a series of objects. When each
object was given equal weight (Experiment 1) or rewards favored recent items
(Experiments 2 and 4), a recency effect emerged that was selectively reduced by a
suffix. The reduction was greater for a "plausible" suffix with features drawn
from the same set as the memory items, in which case a feature of the suffix was
frequently recalled as an intrusion error. Changing payoffs to reward recall of
early items led to a primacy effect alongside recency (Experiments 3 and 4).
Primacy, like recency, was reduced by a suffix and the reduction was greater for
a suffix with plausible features, such features often being recalled as intrusion
errors. Experiment 4 revealed a tradeoff such that increased primacy came at the
cost of a reduction in recency. These observations show that priority
instructions and recency combine to determine a limited number of items that are
the most accessible for immediate recall and yet at the same time the most
vulnerable to interference. We interpret this outcome in terms of a labile,
limited capacity "privileged state" controlled by both central executive
processes and perceptual attention. We suggest further that this privileged state
can be usefully interpreted as the focus of attention in the episodic buffer.
PMID- 24933618
TI - Real-world interattribute distances lead to inefficient face gender
categorization.
AB - The processing of interattribute distances is believed to be critical for upright
face categorization. A recent study by Taschereau-Dumouchel, Rossion, Schyns, and
Gosselin (2010) challenged this idea by showing that participants were nearly at
chance when asked to identify faces on the sole basis of real-world
interattribute distances, while they were nearly perfect when all other facial
cues were shown. However, it remains possible that humans are highly tuned to
interattribute distances but that the information conveyed by these cues is
scarce. We tested this hypothesis by contrasting the efficiencies-a measure of
performance that factors out task difficulty-of 60 observers in 6 face gender
categorization tasks. Our main finding is that efficiencies for faces that varied
only in terms of their interattribute distances were an order of magnitude lower
than efficiencies for faces that varied in all respects, except their
interattribute distances, or in all respects. These results provide a definitive
blow to the idea that real-world interattribute distances are critical for
upright face processing. (PsycINFO Database Record (c) 2014 APA, all rights
reserved).
PMID- 24933619
TI - beta1-Adrenergic blockers exert antioxidant effects, reduce matrix
metalloproteinase activity, and improve renovascular hypertension-induced cardiac
hypertrophy.
AB - Hypertension induces left-ventricular hypertrophy (LVH) by mechanisms involving
oxidative stress and unbalanced cardiac matrix metalloproteinase (MMP) activity.
We hypothesized that beta1-adrenergic receptor blockers with antioxidant
properties (nebivolol) could reverse hypertension-induced LVH more effectively
than conventional beta1-blockers (metoprolol) when used at doses that exert
similar antihypertensive effects. Two-kidney one-clip (2K1C) hypertension was
induced in male Wistar rats. Six weeks after surgery, hypertensive and sham rats
were treated with nebivolol (10 mg kg(-1)day(-1)) or metoprolol (20 mg kg(-1)day(
1)) for 4 weeks. Systolic blood pressure was monitored weekly by tail-cuff
plethysmography. LV structural changes and fibrosis were studied in
hematoxylin/eosin- and picrosirius-stained sections, respectively. Cardiac MMP
levels and activity were determined by in situ zymography, gel zymography, and
immunofluorescence. Dihydroethidium and lucigenin-derived chemiluminescence
assays were used to assess cardiac reactive oxygen species (ROS) production.
Nitrotyrosine levels were determined in LV samples by immunohistochemistry and
green fluorescence and were evaluated using the ImageJ software. Cardiac protein
kinase B/Akt (AKT) phosphorylation state was assessed by Western blot. Both beta
blockers exerted similar antihypertensive effects and attenuated hypertension
induced cardiac remodeling. Both drugs reduced myocyte hypertrophy and collagen
deposition in 2K1C rats. These effects were associated with lower cardiac ROS and
nitrotyrosine levels and attenuation of hypertension-induced increases in cardiac
MMP-2 levels and in situ gelatinolytic activity after treatment with both beta
blockers. Whereas hypertension increased AKT phosphorylation, no effects were
found with beta-blockers. In conclusion, we found evidence that two beta1
blockers with different properties attenuate hypertension-induced LV hypertrophy
and cardiac collagen deposition in association with significant cardiac
antioxidant effects and MMP-2 downregulation, thus suggesting a critical role for
beta1-adrenergic receptors in mediating those effects. Nebivolol is not superior
to metoprolol, at least with respect to their capacity to reverse hypertension
induced LVH.
PMID- 24933621
TI - The properties of capillary columns with silica organic-inorganic MCM-41 type
porous layer stationary phase.
AB - In this work, we report the method of capillary columns preparation for gas-solid
chromatography with a porous layer of MCM-41 type silica sorbent. The porous
layer was synthesized by the sol-gel method inside the column. Scanning electron
microscopy (SEM) measurements were performed to obtain information about the
porous layer. The loading capacity of the prepared columns was investigated. An
adsorbent selectivity was changed by using different relative contents of organic
inorganic precursors: vinyltriethoxysilane (VTEOS) and tetraethoxysilane (TEOS).
Properties of the columns prepared are discussed. Separating examples of C1-C4
hydrocarbons and some other compounds are presented.
PMID- 24933620
TI - Critical cysteines in Akt1 regulate its activity and proteasomal degradation:
implications for neurodegenerative diseases.
AB - Impaired Akt1 signaling is observed in neurodegenerative diseases, including
Parkinson's disease (PD). In PD models oxidative modification of Akt1 leads to
its dephosphorylation and consequent loss of its kinase activity. To explore the
underlying mechanism we exposed Neuro2A cells to cadmium, a pan inhibitor of
protein thiol disulfide oxidoreductases, including glutaredoxin 1 (Grx1), or
downregulated Grx1, which led to dephosphorylation of Akt1, loss of its kinase
activity, and also decreased Akt1 protein levels. Mutation of cysteines to
serines at 296 and 310 in Akt1 did not affect its basal kinase activity but
abolished cadmium- and Grx1 downregulation-induced reduction in Akt1 kinase
activity, indicating their critical role in redox modulation of Akt1 function and
turnover. Cadmium-induced decrease in phosphorylated Akt1 correlated with
increased association of wild-type (WT) Akt1 with PP2A, which was absent in the
C296-310S Akt1 mutant and was also abolished by N-acetylcysteine treatment.
Further, increased proteasomal degradation of Akt1 by cadmium was not seen in the
C296-310S Akt1 mutant, indicating that oxidation of cysteine residues facilitates
degradation of WT Akt1. Moreover, preventing oxidative modification of Akt1
cysteines 296 and 310 by mutating them to serines increased the cell survival
effects of Akt1. Thus, in neurodegenerative states such as PD, maintaining the
thiol status of cysteines 296 and 310 in Akt1 would be critical for Akt1 kinase
activity and for preventing its degradation by proteasomes. Preventing
downregulation of Akt signaling not only has long-range consequences for cell
survival but could also affect the multiple roles that Akt plays, including in
the Akt-mTOR signaling cascade.
PMID- 24933622
TI - Covalent immobilization of graphene onto stainless steel wire for jacket-free
stir bar sorptive extraction.
AB - Stainless steel wire is a good substrate for jacket-free stir bar sorptive
extraction (SBSE). In this work, we present the first example of chemical
modification of graphene on stainless steel wire (SSW) for SBSE. Bio-inspired
polydopamine was firstly modified on the SSW covalently; then graphene oxide was
introduced and reacted with amino groups of polydopamine layer. The modification
of polydopamine and graphene can be repeated by a layer-by-layer strategy,
resulting in control of the thickness of graphene layer and increase of
extraction capability. The prepared covalently immobilized graphene-stainless
steel wire (G-SSW) exhibited good stability under stirring, ultrasonication and
treatment with commonly used organic solvents, basic and acidic solutions.
Application as stir bar for SBSE, G-SSW was found to possess good extraction
efficiency towards pollutant polyaromatic hydrocarbons (PAHs), with enrichment
factors from 10086 to 16896. After optimization of conditions, G-SSW based SBSE
was coupled HPLC for determination of PAHs in environmental and food samples. The
quantitative method has low limits of detection of 0.2-50pg/mL, which is better
than some reported SBSE-HPLC methods. The method shows wide linear range (200
5000, 10-1000 and 1-1000pg/mL), good linearity (r>=0.9950) and good
reproducibility (RSD<=4.97%). The method has been applied to soil and food
samples, with good selectivity and good recoveries ranging from 88.5-113.6%.
PMID- 24933623
TI - Number of erythrocyte transfusions is more predictive than serum ferritin in
estimation of cardiac iron loading in pediatric patients with acute lymphoblastic
leukemia.
AB - BACKGROUND: Transfusions with packed erythrocytes is a common practice in
pediatric patients with acute lymphoblastic leukemia (ALL) who are on
chemotherapy. Since there is no physiological excretion mechanism for iron, the
iron related to erythrocyte transfusions accumulates and may contribute to late
cardiac, hepatic and endocrine complications in these patients. PROCEDURE: In
order to evaluate the iron burden among pediatric patients with ALL and define
the risk factors associated with higher iron loading, we evaluated 79 pediatric
patients with ALL (36 were off-therapy). Cardiac and hepatic T2* were ordered to
a total of 22 (28%) patients who were either transfused with erythrocytes >= 10
times (n=11; 50%), had serum ferritin (SF) >= 1000 ng/ml (n=2; 9.1%) or both
(n=9; 40.9%). RESULTS: Half of the patients who were screened by T2* MRI had
hepatic T2*<7 ms and six (27%) of the patients had cardiac T2*<20 ms, indicating
iron loading. Patients who had serum ferritin <1000 vs >= 1000 ng/ml had median
cardiac T2* values of 28.3 ms (15-40) vs 21 (7.9-36), (p=0.324); whereas hepatic
T2* of 10.8 (5.32-27) vs 4.7 (2.2-36), (p=0.017). Patients who had erythrocyte
transfusion <10 vs >= 10 times had median cardiac T2* values of 34 ms (28-38) vs
23 (7.93-40), (p=0.021); whereas hepatic T2* of 13.6 (6.6-36) vs 5.32 (2.2-27),
(p=0.046). CONCLUSIONS: Our results indicate that pediatric patients with ALL
should be screened for transfusional iron load and the amount of erythrocyte
transfusions seems to be a more reliable indication than serum ferritin levels to
detect cardiac iron loading in these patients.
PMID- 24933624
TI - Passion fruit peel extract attenuates bleomycin-induced pulmonary fibrosis in
mice.
AB - Idiopathic pulmonary fibrosis is a progressive fatal lung disease characterized
by excessive collagen deposition, with no effective treatments. We investigated
the efficacy of natural products with high anti-inflammatory activity, such as
passion fruit peel extract (PFPE), in a mouse model of bleomycin-induced
pulmonary fibrosis (PF). C57BL/6J mice were subjected to a single intratracheal
instillation of bleomycin to induce PF. Daily PFPE treatment significantly
reduced loss of body mass and mortality rate in mice compared with those treated
with bleomycin. While bleomycin-induced PF resulted in elevated total numbers of
inflammatory cells, macrophages, lymphocytes, and neutrophils in bronchoalveolar
lavage fluid on both days 7 and 21, PFPE administration significantly attenuated
these phenomena compared with bleomycin group. On day 7, the decreased superoxide
dismutase and myeloperoxidase activities observed in the bleomycin group were
significantly restored with PFPE treatment. On day 21, enhanced hydroxyproline
deposition in the bleomycin group was also suppressed by PFPE administration.
PFPE treatment significantly attenuated extensive inflammatory cell infiltration
and accumulation of collagen in lung tissue sections of bleomycin-induced mice on
days 7 and 21, respectively. Our results indicate that administration of PFPE
decreased bleomycin-induced PF because of anti-inflammatory and antioxidant
activities.
PMID- 24933625
TI - Transition from stripe-like patterns to a particulate film using driven
evaporating menisci.
AB - Better control of colloidal assembly by convective deposition is particularly
helpful in particle templating. However, knowledge of the different factors that
can alter colloidal patterning mechanisms is still insufficient. Deposit
morphology is strongly ruled by contact line dynamics, but the wettability
properties of the substrate can alter it drastically. In this work, we
experimentally examined the roles of substrate contact angle hysteresis and
receding contact angle using driven evaporating menisci similar to the dip
coating technique but at a low capillary number. We used smooth substrates with
very different wettability properties and nanoparticles of different sizes. For
fixed withdrawal velocity, evaporation conditions, and nanoparticle
concentration, we analyzed the morphology of the deposits formed on each
substrate. A gradual transition from stripe-like patterns to a film was observed
as the contact angle hysteresis and receding contact angle were lowered.
PMID- 24933626
TI - Information integration without awareness.
AB - Information integration and consciousness are closely related, if not
interdependent. But, what exactly is the nature of their relation? Which forms of
integration require consciousness? Here, we examine the recent experimental
literature with respect to perceptual and cognitive integration of
spatiotemporal, multisensory, semantic, and novel information. We suggest that,
whereas some integrative processes can occur without awareness, their scope is
limited to smaller integration windows, to simpler associations, or to ones that
were previously acquired consciously. This challenges previous claims that
consciousness of some content is necessary for its integration; yet it also
suggests that consciousness holds an enabling role in establishing integrative
mechanisms that can later operate unconsciously, and in allowing wider-range
integration, over bigger semantic, spatiotemporal, and sensory integration
windows.
PMID- 24933627
TI - Does recent sexual intercourse during pregnancy affect the results of the fetal
fibronectin rapid test? A comparative prospective study.
AB - OBJECTIVE: We conducted a prospective comparative cohort study to determinate the
influence of coitus on quantitative fetal fibronectin test results under normal
pregnancy conditions. We also compared values obtained in cervical and vaginal
secretions. METHODS: In a population of women with normal singleton pregnancies
between 22 and 28 weeks gestation, we have performed (cervical and vaginal)
quantitative fetal fibronectin tests in two separate groups classified according
to timing after coitus (one group of women had intercourse within 24 h before
sampling and the control group had intercourse >24 h before sampling). The main
outcome measures were the proportion of positive tests in both groups and the
correlation between cervical and vaginal values through the Pearson correlation
coefficient. RESULTS: Both groups were similar in terms of general
characteristics and pregnancy outcomes. The proportions of positive results in
the vaginal secretions were 7.5% and 25.0% (P=0.007) in the control and coitus
group, respectively. In the cervical secretions, the proportions of positive
tests were greater, but not statistically different (39.7% and 40.0%,
respectively). The Pearson correlation coefficients were very low (<0.3)
indicating poor correlation between both sampling locations. Even if the cervical
values were generally greater than the vaginal values, they were lower in 26% of
the women. CONCLUSIONS: Coitus definitely interferes with vaginal fetal
fibronectin test results. In cervical secretions, the positive rate was so high
that coitus had no influence, but cervical sampling in this location should be
avoided.
PMID- 24933628
TI - New laboratory markers for the management of rheumatoid arthritis patients.
AB - Rheumatoid arthritis, the most prominent of systemic autoimmune rheumatic
diseases, represents an important social health problem. Recent insights into the
immunopathogenic mechanism of this complex and multiform illness might open new
perspectives for a more appropriate laboratory approach. In this review we focus
on the most relevant pathogenetic mechanism; indicating the laboratory biomarkers
specifically linked to early diagnosis, prognosis, evolutive aspects of the
disease, and therapeutic efficacy. Evidence based on laboratory medicine could
provide the best outcome for patients.
PMID- 24933629
TI - Validity and reliability of the 13C-methionine breath test for the detection of
moderate hyperhomocysteinemia in Mexican adults; statistical issues in validity
and reliability analysis.
PMID- 24933630
TI - The serum uromodulin level is associated with kidney function.
AB - BACKGROUND: In chronic kidney diseases of various etiologies, the urinary
excretion of uromodulin is usually decreased in parallel with the glomerular
filtration rate. This study aimed to investigate whether serum uromodulin is
associated with kidney function. METHODS: Within the framework of the Seniorlabor
study, a subset of subjectively healthy individuals 60 years of age and older
were included in the study. Serum uromodulin was measured with ELISA. The
relationship between serum uromodulin and different stages of kidney function
(i.e., cystatin C-based 2012-CKD-EPI eGFRCysC>90 mL/min/1.73 m2, 60-89
mL/min/1.73 m2, 45-59 mL/min/1.73 m2, and <45 mL/min/1.73 m2) was investigated.
Furthermore, the relationship between serum uromodulin and other markers of
kidney function (i.e., creatinine, cystatin C, and urea) was assessed. RESULTS:
In total, 289 participants (140 males/149 females; mean age 71+/-7 years) were
included in the study. There were significant differences in serum uromodulin
among the four groups according to different kidney function stages (p<0.001).
Serum uromodulin displayed inverse relationships with creatinine (r=-0.39),
cystatin C (r=-0.42), and urea (r=-0.30) and, correspondingly, a positive
relationship with eGFRCysC (r=0.38, p<0.001 for all). These associations remained
intact when fitting a regression model that incorporated age, gender, body mass
index, and current smoking status as covariates. CONCLUSIONS: Serum uromodulin
behaves in a manner opposite that of the different conventional renal retention
markers by displaying lower concentrations with decreasing kidney function. As
uromodulin is produced by the cells of the thick ascending limb of the loop of
Henle, lower uromodulin serum levels may reflect a reduction in number or
function of these cells in chronic kidney disease.
PMID- 24933631
TI - Comparison of statistical methods for finding network motifs.
AB - There has been much recent interest in systems biology for investigating the
structure of gene regulatory systems. Such networks are often formed of specific
patterns, or network motifs, that are interesting from a biological point of
view. Our aim in the present paper is to compare statistical methods specifically
with regard to the question of how well they can detect such motifs. One popular
approach is by network analysis with Gaussian graphical models (GGMs), which are
statistical models associated with undirected graphs, where vertices of the graph
represent genes and edges indicate regulatory interactions. Gene expression
microarray data allow us to observe the amount of mRNA simultaneously for a large
number of genes p under different experimental conditions n, where p is usually
much larger than n prohibiting the use of standard methods. We therefore compare
the performance of a number of procedures that have been specifically designed to
address this large p-small n issue: G-Lasso estimation, Neighbourhood selection,
Shrinkage estimation using empirical Bayes for model selection, and PC-algorithm.
We found that all approaches performed poorly on the benchmark E. coli network.
Hence we systematically studied their ability to detect specific network motifs,
pairs, hubs and cascades, in extensive simulations. We conclude that all methods
have difficulty detecting hubs, but the PC-algorithm is most promising.
PMID- 24933632
TI - Morphological variations of the anterior thoracic skeleton and their forensic
significance: radiographic findings in a Spanish autopsy sample.
AB - The anterior thoracic skeleton may exhibit a number of morphological variations
or anomalies, including accessory ossicles, atypical fusion or nonfusion of bony
segments, and accessory foramina. Awareness of these minor skeletal variants is
important in forensic medicine given that they may be mistakenly identified as
pathological or traumatic lesions. The identification of subtle morphological
anomalies may also aid in the process of individualization by serving as points
of similarity if their occurrence was recorded antemortem. This study assessed
the prevalence of anatomical variants of the sternum and ribs in a modern
population from Spain, since the frequency of these skeletal anomalies differs
between population groups. The occurrence of sternal clefts and foramina,
bifurcated ribs, fusion of the manubriosternal and sternoxiphoidal junctions, and
type of xiphoid process end was evaluated on posterior-anterior digital
radiographs. None of the morphological variations were associated with sex or
age, with the exception of two traits related to the xiphoid process. The xiphoid
process was absent more often in younger individuals, particularly specimens
below the age of 30 years, whereas, complete fusion of the sternoxiphoidal
junction was more often observed in individuals above 50 years of age. However,
these morphological variants are highly variable in the study sample and thus
they have limited utility in forensic age estimation in the Spanish population.
Nonetheless, the presence of various morphological anomalies such as sternal
foramina, bifid ribs, and triple-ended xiphoid processes may provide information
useful for establishing a personal identification.
PMID- 24933633
TI - The identification of an impurity product, 4,6-dimethyl-3,5-diphenylpyridin-2-one
in an amphetamine importation seizure, a potential route specific by-product for
amphetamine synthesized by the APAAN to P2P, Leuckart route.
AB - During the analysis of a Customs' importation case for the suspected presence of
controlled drugs, amphetamine was found to be present. The samples were also
found to contain by-products from the amphetamine synthesis and these included
benzyl cyanide, phenylacetone (P2P), methyl-phenyl-pyrimidines, N
formylamphetamine, a pair of naphthalene derivatives and amphetamine dimers.
During the experimental investigation of the naphthalenes formation, a series of
syntheses involved the acid hydrolysis of alpha-phenylacetoacetonitrile (APAAN).
In one such experiment with sulfuric acid, a white substance was visibly
deposited on the glassware and this was identified as the pyridone derivative,
4,6-dimethyl-3,5-diphenylpyridin-2-one. This compound was subsequently found to
be present in the amphetamine product seized by the Customs and also in the final
product of our own laboratory synthesized amphetamine (APAAN hydrolyzed to
P2P/Leuckart reaction). Interestingly, the, 4,6-dimethyl-3,5-diphenylpyridin-2
one was not found when commercially supplied P2P underwent the Leuckart reaction
to yield amphetamine. This suggests that 4,6-dimethyl-3,5-diphenylpyridin-2-one
may be a route specific marker to the use of APAAN as a starting material in the
synthesis of P2P and subsequent Leuckart reaction to yield amphetamine.
PMID- 24933634
TI - Brain metastases from lung cancer show increased expression of DVL1, DVL3 and
beta-catenin and down-regulation of E-cadherin.
AB - The susceptibility of brain to secondary formation from lung cancer primaries is
a well-known phenomenon. In contrast, the molecular basis for invasion and
metastasis to the brain is largely unknown. In the present study, 31 brain
metastases that originated from primary lung carcinomas were analyzed regarding
over expression of Dishevelled-1 (DVL1), Dishevelled-3 (DVL3), E-cadherin (CDH1)
and beta-catenin (CTNNB1). Protein expressions and localizations were analyzed by
immunohistochemistry. Genetic alterations of E-cadherin were tested by polymerase
chain reaction (PCR)/loss of heterozygosity (LOH). Heteroduplex was used to
investigate mutations in beta-catenin. DVL1 and DVL3 showed over expression in
brain metastasis in 87.1% and 90.3% of samples respectively. Nuclear staining was
observed in 54.8% of cases for DVL1 and 53.3% for DVL3. The main effector of the
Wnt signaling, beta-catenin, was up-regulated in 56%, and transferred to the
nucleus in 36% of metastases. When DVL1 and DVL3 were up-regulated the number of
cases with nuclear beta-catenin significantly increased (p=0.0001). Down
regulation of E-cadherin was observed in 80% of samples. Genetic analysis showed
36% of samples with LOH of the CDH1. In comparison to other lung cancer
pathologies, the diagnoses adenocarcinoma and small cell lung cancer (SCLC) were
significantly associated to CDH1 LOH (p=0.001). Microsatellite instability was
detected in one metastasis from adenocarcinoma. Exon 3 of beta-catenin was not
targeted. Altered expression of Dishevelled-1, Dishevelled-3, E-cadherin and beta
catenin were present in brain metastases which indicates that Wnt signaling is
important and may contribute to better understanding of genetic profile
conditioning lung cancer metastasis to the brain.
PMID- 24933635
TI - Sperm-egg fusion: a molecular enigma of mammalian reproduction.
AB - The mechanism of gamete fusion remains largely unknown on a molecular level
despite its indisputable significance. Only a few of the molecules required for
membrane interaction are known, among them IZUMO1, which is present on sperm,
tetraspanin CD9, which is present on the egg, and the newly found oolema protein
named Juno. A concept of a large multiprotein complex on both membranes forming
fusion machinery has recently emerged. The Juno and IZUMO1, up to present, is the
only known extracellular receptor pair in the process of fertilization, thus,
facilitating the essential binding of gametes. However, neither IZUMO1 nor Juno
appears to be the fusogenic protein. At the same time, the tetraspanin is
expected to play a role in organizing the egg membrane order and to interact
laterally with other factors. This review summarizes, to present, the known
molecules involved in the process of sperm-egg fusion. The complexity and
expected redundancy of the involved factors makes the process an intricate and
still poorly understood mechanism, which is difficult to comprehend in its full
distinction.
PMID- 24933637
TI - Weighted risk score-based multifactor dimensionality reduction to detect gene
gene interactions in nasopharyngeal carcinoma.
AB - Determining the complex relationships between diseases, polymorphisms in human
genes and environmental factors is challenging. Multifactor dimensionality
reduction (MDR) has been proven to be capable of effectively detecting the
statistical patterns of epistasis, although classification accuracy is required
for this approach. The imbalanced dataset can cause seriously negative effects on
classification accuracy. Moreover, MDR methods cannot quantitatively assess the
disease risk of genotype combinations. Hence, we introduce a novel weighted risk
score-based multifactor dimensionality reduction (WRSMDR) method that uses the
Bayesian posterior probability of polymorphism combinations as a new quantitative
measure of disease risk. First, we compared the WRSMDR to the MDR method in
simulated datasets. Our results showed that the WRSMDR method had reasonable
power to identify high-order gene-gene interactions, and it was more effective
than MDR at detecting four-locus models. Moreover, WRSMDR reveals more
information regarding the effect of genotype combination on the disease risk, and
the result was easier to determine and apply than with MDR. Finally, we applied
WRSMDR to a nasopharyngeal carcinoma (NPC) case-control study and identified a
statistically significant high-order interaction among three polymorphisms:
rs2860580, rs11865086 and rs2305806.
PMID- 24933636
TI - Carriers in cell-based therapies for neurological disorders.
AB - There is a pressing need for long-term neuroprotective and neuroregenerative
therapies to promote full function recovery of injuries in the human nervous
system resulting from trauma, stroke or degenerative diseases. Although cell
based therapies are promising in supporting repair and regeneration, direct
introduction to the injury site is plagued by problems such as low transplanted
cell survival rate, limited graft integration, immunorejection, and tumor
formation. Neural tissue engineering offers an integrative and multifaceted
approach to tackle these complex neurological disorders. Synergistic therapeutic
effects can be obtained from combining customized biomaterial scaffolds with cell
based therapies. Current scaffold-facilitated cell transplantation strategies aim
to achieve structural and functional rescue via offering a three-dimensional
permissive and instructive environment for sustainable neuroactive factor
production for prolonged periods and/or cell replacement at the target site. In
this review, we intend to highlight important considerations in biomaterial
selection and to review major biodegradable or non-biodegradable scaffolds used
for cell transplantation to the central and peripheral nervous system in
preclinical and clinical trials. Expanded knowledge in biomaterial properties and
their prolonged interaction with transplanted and host cells have greatly
expanded the possibilities for designing suitable carrier systems and the
potential of cell therapies in the nervous system.
PMID- 24933639
TI - Cytotoxic effects of dillapiole on embryonic development of mouse blastocysts in
vitro and in vivo.
AB - We examined the cytotoxic effects of dillapiole, a phenylpropanoid with
antileishmanial, anti-inflammatory, antifungal, and acaricidal activities, on the
blastocyst stage of mouse embryos, subsequent embryonic attachment and outgrowth
in vitro, and in vivo implantation via embryo transfer. Blastocysts treated with
2.5-10 MUM dillapiole exhibited a significant increase in apoptosis and
corresponding decrease in total cell number. Notably, the implantation success
rates of blastocysts pretreated with dillapiole were lower than those of their
control counterparts. Moreover, in vitro treatment with 2.5-10 MUM dillapiole was
associated with increased resorption of post-implantation embryos and decreased
fetal weight. Our results collectively indicate that dillapiole induces apoptosis
and retards early post-implantation development, both in vitro and in vivo.
However, the extent to which this organic compound exerts teratogenic effects on
early human development is not known at present. Further studies are required to
establish effective protection strategies against the cytotoxic effects of
dillapiole.
PMID- 24933638
TI - Damage of neuroblastoma cell SH-SY5Y mediated by MPP+ inhibits proliferation of T
cell leukemia Jurkat by co-culture system.
AB - The adaptive immune system has implications in pathology of Parkinson's disease
(PD). Research data demonstrated that the peripheral CD4+ T-cell population
decreased in pathogenesis of PD. The effect of damaged dopaminergic neurons on
peripheral T cells of PD is still unknown. In this study, we constructed a
neuronal and glial cells co-culture model by using human neuroblastoma cells SH
SY5Y and gliomas cells U87. After the co-culture cells were treated with
neurotoxin 1-methyl-4-phenylpyridinium (MPP+) for 24 h, the conditioned media was
harvested and used to cultivate T-cell leukemia Jurkat cells for another 24 h. We
then analyzed the cell proliferation, cell cycle and necrosis effect of Jurkat
cells. The results showed that co-culture medium of SH-SY5Y and U87 cells with
MPP+ treatment inhibited the proliferation of Jurkat cells compared to control
medium without MPP+, even though the same concentration of MPP+ had very little
toxicity to the Jurkat cell. Furthermore, co-culture medium with low
concentration of MPP+ (100 uM) arrested Jurkat cells cycle in G2/M phase through
increasing cell cycle division 2 (CDC2) and CyclinB1 expression level, whereas co
culture medium with high concentration of MPP+ (500 uM) induced Jurkat cell
necrosis through cellular swelling and membrane breakage. Our data implies that
damaged dopamine neurons with glial cells can lead to the reduced number or
inhibited proliferation activity of peripheral T cells.
PMID- 24933640
TI - Role of Candida albicans-secreted aspartyl proteinases (Saps) in severe early
childhood caries.
AB - Candida albicans is strongly associated with severe early childhood caries (S
ECC). However, the roles of secreted aspartyl proteinases (Saps), an important
virulence factor of C. albicans, in the progress of S-ECC are not clear. In our
study, the Saps activities were evaluated by the yeast nitrogen base-bovine serum
albumi (YNB-BSA) agar plate method and by the MTT method with bovine serum
albumin (BSA) as the substrate. Genotypes of C. albicans and gene expression of
Sap1-5 were evaluated. The relationships of Saps activities and genotypes with S
ECC were analyzed. The results showed that enzyme activities of Saps in the S-ECC
group were significantly higher than those in the caries free (CF) group
(p<0.05). Genotypes A, B and C were detected in the S-ECC group, and genotypes A
and C were detected in the CF group. In the genotype A group, Saps activity in
the S-ECC group was significantly different from that in the CF group (p<0.05).
The gene expression level of Sap1 in the S-ECC group was significantly higher
than that in the CF group (p=0.001), while Sap4 expression was significantly
lower than that in the CF group (p=0.029). It can be concluded that Sap1-5 are
the predominant proteinase genes expressed in C. albicans from dental biofilm and
Sap1 may play an important role in the development of S-ECC.
PMID- 24933642
TI - Methylene-linked anilide-bis(aryloxide) ligands: lithium, sodium, potassium,
chromium(III), and vanadium(III) ligation.
AB - The anilide-bis(aryloxide) proligands H3[ONO(R)] (where H3[ONO(R)] = 2,6-(3-R(1)
5-R(2)-2-hydroxybenzyl)-4-tert-butyl-N-tolyl-aniline; H3[ONO(tBu)], R(1) = (t)Bu,
R(2) = Me; H3[ONO(Me)], R(1) = Me, R(2) = (t)Bu; H3[ONO(Me2)], R(1) = R(2) = Me)
were synthesized from 2-bromo-5-tert-butyl-isophthalic acid dimethyl ester in
three steps in multigram scale. The ligand precursor H3[ONO(tBu)] was readily
doubly and triply deprotonated with alkali metal reagents to generate the related
derivatives M2[H(ONO(tBu))] and M3[ONO(tBu)] (M = Li, Na, K). The extent of
ligand deprotonation is observed to depend on the choice of deprotonating
reagents and solvents. Transmetalation reaction of the trilithium derivative
Li3[ONO(tBu)] with MCl3(THF)3 (M = Cr, V; THF = tetrahydrofuran) afforded
[(ONO(tBu))CrCl(THF){Li(THF)}] (5) and [(ONO(tBu))V(THF)] (6). The vanadium
complex 6 reacted readily with 2-butyne, styrene oxide, and mesityl azide,
yielding [(ONO(tBu))V(eta(2)-MeCCMe)] (7), [(ONO(tBu))V(O)] (8), and
[(ONO(tBu))V(NMes)] (9), respectively. The solid-state structures of H3[ONO(tBu)]
and metal complexes were determined by X-ray crystallography. The [ONO(tBu)]
ligand adopts a u-shaped structure in solution and solid state.
PMID- 24933641
TI - An efficient Agrobacterium-mediated transformation system for poplar.
AB - Poplar is a model system for the regeneration and genetic transformation of woody
plants. To shorten the time required for studies of transgenic poplar, efforts
have been made to optimize transformation methods that use Agrobacterium
tumefaciens. In this study, an Agrobacterium infective suspension was treated at
4 degrees C for at least 10 h before infecting explants. By transforming the
Populus hybrid clone "Nanlin895" (Populus deltoides*P. euramericana) with
Agrobacterium harboring the PBI121:CarNAC6 binary vector, we showed that the
transformation efficiency was improved significantly by multiple independent
factors, including an Agrobacterium infective suspension with an OD600 of 0.7, an
Agrobacterium infection for 120 min, an Agrobacterium infective suspension at a
pH of 5.0, an acetosyringone concentration of 200 uM, a cocultivation at 28
degrees C, a cocultivation for 72 h and a sucrose concentration of 30 g/L in the
cocultivation medium. We also showed that preculture of wounded leaf explants for
two days increased the regeneration rate. The integration of the desired gene
into transgenic poplars was detected using selective medium containing kanamycin,
followed by southern blot analysis. The expression of the transgene in the
transgenic lines was confirmed by northern blot analysis.
PMID- 24933644
TI - Ex vivo bubble production from ovine large blood vessels: size on detachment and
evidence of "active spots".
AB - Nanobubbles formed on the hydrophobic silicon wafer were shown to be the source
of gas micronuclei from which bubbles evolved during decompression. Bubbles were
also formed after decompression on the luminal surface of ovine blood vessels.
Four ovine blood vessels: aorta, pulmonary vein, pulmonary artery, and superior
vena cava, were compressed to 1013 kPa for 21 h. They were then decompressed,
photographed at 1-s intervals, and bubble size was measured on detachment. There
were certain spots at which bubbles appeared, either singly or in a cluster. Mean
detachment diameter was between 0.7 and 1.0 mm. The finding of active spots at
which bubbles nucleate is a new, hitherto unreported observation. It is possible
that these are the hydrophobic spots at which bubbles nucleate, stabilise, and
later transform into the gas micronuclei that grow into bubbles. The possible
neurological effects of these large arterial bubbles should be further explored.
PMID- 24933643
TI - Solution-phase parallel synthesis of acyclic nucleoside libraries of purine,
pyrimidine, and triazole acetamides.
AB - Molecular diversity plays a pivotal role in modern drug discovery against
phenotypic or enzyme-based targets using high throughput screening technology.
Under the auspices of the Pilot Scale Library Program of the NIH Roadmap
Initiative, we produced and report herein a diverse library of 181 purine,
pyrimidine, and 1,2,4-triazole-N-acetamide analogues which were prepared in a
parallel high throughput solution-phase reaction format. A set of assorted amines
were reacted with several nucleic acid N-acetic acids utilizing HATU as the
coupling reagent to produce diverse acyclic nucleoside N-acetamide analogues.
These reactions were performed using 24 well reaction blocks and an automatic
reagent-dispensing platform under inert atmosphere. The targeted compounds were
purified on an automated purification system using solid sample loading prepacked
cartridges and prepacked silica gel columns. All compounds were characterized by
NMR and HRMS, and were analyzed for purity by HPLC before submission to the
Molecular Libraries Small Molecule Repository (MLSMR) at NIH. Initial screening
through the Molecular Libraries Probe Production Centers Network (MLPCN) program,
indicates that several analogues showed diverse and interesting biological
activities.
PMID- 24933646
TI - N-terminal truncations of substance P 1-7 amide affect its action on spinal cord
injury-induced mechanical allodynia in rats.
AB - Central neuropathic pain can arise from injury of the spinal cord and can become
chronic. Treatment is difficult and, because complete pain relief is currently
very hard to achieve, there is a need for new, more effective treatment options.
In this study we used an animal model of spinal cord injury to evaluate the
potency of a bioactive fragment of substance P (SP), i.e. SP1-7, in alleviating
signs of allodynia and acute pain. SP1-7 is known from earlier studies to possess
antinociceptive properties. We also studied the effects of intraperitoneal
injection of an amidated analog of this heptapeptide and of its truncated
analogs, all of which had high affinity to the SP1-7 binding site, to evaluate
the importance of the removed amino acids for the biodistribution and stability
of the peptides. Most of the examined compounds alleviated mechanical allodynia
without any signs of sedation or motor impairment in the rats. In contrast, the
response threshold to acute nociceptive stimulation was not affected by any of
the compounds tested. Most of the amino acids in the heptapeptide structure were
essential for retaining the biological effect after peripheral injection. These
observations suggest that the heptapeptide and its N-terminal truncated hexa- and
pentapeptide analogs could be of interest for further development of analgesics
in the management of mechanical allodynia.
PMID- 24933645
TI - Combination treatment of r-tPA and an optimized human apyrase reduces mortality
rate and hemorrhagic transformation 6h after ischemic stroke in aged female rats.
AB - Recombinant tissue plasminogen activator (r-tPA) is the only FDA-approved drug
treatment for ischemic stroke and must be used within 4.5h. Thrombolytic
treatment with r-tPA has deleterious effects on the neurovascular unit that
substantially increases the risk of intracerebral hemorrhage if administered too
late. These therapeutic shortcomings necessitate additional investigation into
agents that can extend the therapeutic window for safe use of thrombolytics. In
this study, combination of r-tPA and APT102, a novel form of human
apyrase/ADPase, was investigated in a clinically-relevant aged-female rat embolic
ischemic stroke model. We propose that successfully extending the therapeutic
window of r-tPA administration would represent a significant advance in the
treatment of ischemic stroke due to a significant increase in the number of
patients eligible for treatment. Results of our study showed significantly
reduced mortality from 47% with r-tPA alone to 16% with co-administration of
APT102 and r-tPA. Co-administration decreased cortical (47 +/- 5% vs. 29 +/- 5%),
striatal (50 +/- 2%, vs. 40 +/- 3%) and total (48 +/- 3%vs. 33 +/- 4%)
hemispheric infarct volume compared to r-tPA alone. APT102 improved neurological
outcome (8.9+/-0.6, vs. 6.8 +/- 0.8) and decreased hemoglobin extravasation in
cortical tissue (1.9 +/- 0.1mg/dl vs. 1.4 +/- 0.1mg/dl) striatal tissue (2.1 +/-
0.3mg/dl vs. 1.4 +/- 0.1mg/dl) and whole brain tissue (2.0 +/- 0.2mg/dl vs. 1.4
+/- 0.1mg/dl). These data suggest that APT102 can safely extend the therapeutic
window for r-tPA mediated reperfusion to 6h following experimental stroke without
increased hemorrhagic transformation. APT102 offers to be a viable adjunct
therapeutic option to increase the number of clinical patients eligible for
thrombolytic treatment after ischemic stroke.
PMID- 24933647
TI - Anti-cancer effects of ursane triterpenoid as a single agent and in combination
with cisplatin in bladder cancer.
AB - Ursolic acid and most of its derivatives are cytotoxic to bladder cancer cells.
An ursolic acid derivative, isopropyl 3beta-hydroxyurs-12-en-28-oat (UA17),
previously reported that it exhibited potent cytotoxicity against bladder cancer
cells, NTUB1 cells. In this study, we further investigated the underlying
mechanism of UA17 and evaluated its potential clinical use. UA17 may exert the
onset of a p53-mediated p38 MAPK activation to up-regulate GADD153. GADD153, in
turn, down-regulated Bcl-2 protein to cause mitochondrial membrane potential loss
and apoptosis through intracellular ROS generation. In addition, UA17 markedly
decreased the levels of cyclins (D1 and E), cyclin-dependent kinases (CDK2 and
CDK4), and caused increase of p21 and p27 levels. To assess the suitability of
UA17 as a chemotherapeutic agent against NTUB1 cells, its cytotoxic effects have
been further evaluated in the combination with cisplatin. The addition of UA17 to
cisplatin induces possibly additive cell growth inhibition which correlated to
the accumulation of S phase cells and a corresponding decrease in accumulation of
G1 phase cells, accompanied an increased accumulation of sub-G1 phase cells.
Furthermore, UA17/cisplatin combination exhibited increase of p21, cyclin E, and
p-p53 level, and decrease of p27 and cyclin D1 proteins, and slightly diminishing
the level of CDK2. P-p38 up-regulation induced by UA17/cisplatin combination
through generation of ROS and Bcl-2 down-regulation induced by UA17/cisplatin
combination increased cell death. Finally, the antitumorigenic effects of UA17 or
UA17/cisplatin combination were further supported by their inhibition on growth
of bladder tumor cells in a therapeutic murine MBT-2 bladder tumor model.
PMID- 24933648
TI - Gastric emptying is involved in Lactobacillus colonisation in mouse stomach.
AB - Lactobacilli are indigenous microbes of the stomach of rodents, with much lower
numbers being present in mice fed a purified diet than in those fed a non
purified diet. We postulated that gastric emptying (GE) is responsible for the
different colonisation levels of lactobacilli and tested this hypothesis in the
present study. BALB/cCr Slc mice were fed either a non-purified diet or a
purified diet for 2 weeks. The number of gastric tissue-associated lactobacilli
was lower in mice fed the purified diet than in those fed the non-purified diet.
GE, estimated by measuring the food recovered from the stomach, was higher in
mice fed the purified diet than in those fed the non-purified diet and correlated
negatively with the number of lactobacilli. Mice fed the non-purified diet
exhibited lower GE rates even when lactobacilli were eliminated by ampicillin
administration through the drinking-water, suggesting that GE is the cause but
not the consequence of different Lactobacillus colonisation levels. The plasma
concentrations of acylated ghrelin, a gastric hormone that promotes GE, were
higher in mice fed the purified diet than in those fed the non-purified diet.
There was a negative correlation between GE and the number of lactobacilli in
mice fed the non-purified diet, the purified diet, and the purified diet
supplemented with sugarbeet fibre (200 g/kg diet) or carboxymethyl cellulose (40
g/kg diet). We propose that a higher GE rate contributes, at least in part, to
lower gastric colonisation levels of lactobacilli in mice fed a purified diet.
PMID- 24933649
TI - Simultaneous analysis of 22 volatile organic compounds in cigarette smoke using
gas sampling bags for high-throughput solid-phase microextraction.
AB - Quantifying volatile organic compounds (VOCs) in cigarette smoke is necessary to
establish smoke-related exposure estimates and evaluate emerging products and
potential reduced-exposure products. In response to this need, we developed an
automated, multi-VOC quantification method for machine-generated, mainstream
cigarette smoke using solid-phase microextraction gas chromatography-mass
spectrometry (SPME-GC-MS). This method was developed to simultaneously quantify a
broad range of smoke VOCs (i.e., carbonyls and volatiles, which historically have
been measured by separate assays) for large exposure assessment studies. Our
approach collects and maintains vapor-phase smoke in a gas sampling bag, where it
is homogenized with isotopically labeled analogue internal standards and sampled
using gas-phase SPME. High throughput is achieved by SPME automation using a CTC
Analytics platform and custom bag tray. This method has successfully quantified
22 structurally diverse VOCs (e.g., benzene and associated monoaromatics,
aldehydes and ketones, furans, acrylonitrile, 1,3-butadiene, vinyl chloride, and
nitromethane) in the microgram range in mainstream smoke from 1R5F and 3R4F
research cigarettes smoked under ISO (Cambridge Filter or FTC) and Intense
(Health Canada or Canadian Intense) conditions. Our results are comparable to
previous studies with few exceptions. Method accuracy was evaluated with third
party reference samples (<=15% error). Short-term diffusion losses from the gas
sampling bag were minimal, with a 10% decrease in absolute response after 24 h.
For most analytes, research cigarette inter- and intrarun precisions were <=20%
relative standard deviation (RSD). This method provides an accurate and robust
means to quantify VOCs in cigarette smoke spanning a range of yields that is
sufficient to characterize smoke exposure estimates.
PMID- 24933650
TI - A royal college of radiologists national audit of radiotherapy in the treatment
of metastatic spinal cord compression and implications for the development of
acute oncology services.
AB - AIMS: To audit the current use of radiotherapy in UK cancer centres for the
treatment of metastatic spinal cord compression against national standards that
seek to optimise functional and quality of life outcomes. MATERIALS AND METHODS:
A Royal College of Radiologists prospective national audit of patients treated
with radiotherapy in UK cancer centres was carried out over a 3 month period
between September and December 2008, with a repeat audit carried out in August
2012. RESULTS: Five hundred and ninety-six cases were received from 42 cancer
centres (74%) in 2008, with data from 323 cases received from 52 (90%) centres in
2012. Ninety-three per cent (358) of patients had a diagnostic magnetic resonance
imaging scan carried out within 24 h of referral for radiotherapy in 2008
compared with 205 patients (97%) in 2012. One hundred and eleven (32%) good
prognosis patients were discussed with spinal surgeons; only 10 good prognosis
patients were recorded as proceeding to surgery in 2008. This improved in 2012,
with 94 (41% of good prognosis patients recorded as having been discussed with
nine proceeding to surgery). Sixty-nine per cent of paraplegic patients in 2008
received multiple fractions of radiotherapy, which was similar to 2012 when 62%
received more than a single fraction. A metastatic spinal cord compression co
ordinator was available in just over 50% of cases (164/323) and was involved in
patient management in 26% of cases in 2012. CONCLUSION: Despite level 1 evidence
of the superior functional outcome and survival benefit for surgery, few good
prognosis patients were recorded as having been discussed with surgeons and even
fewer proceeded to surgery.
PMID- 24933651
TI - Differential patterns of effects of age and sex on metabolic syndrome in Taiwan:
implication for the inadequate internal consistency of the current criteria.
AB - AIMS: Current criteria of metabolic syndrome (MetS) give equal weight to each
component and apply mostly the same cut-off values to all ages. The contribution
of each component to MetS and the effects of age and sex on each component and
MetS were explored. METHODS: We carried out a survey on residents aged 40-74
years of the northern coastal area of Taiwan. The prevalent rates of MetS in 646
males and 961 females were 32.4% and 27.8%, respectively. Logistic regression
analyses were used to assess the main and interactive effects of age and sex. The
Cronbach's alpha coefficient was calculated as the indicator of internal
consistency of MetS components. RESULTS: There were significant age trends for
MetS components, except for low HDL-C in both sexes and high fasting triglyceride
in males. Logistic regression analyses showed that the effects of age and sex on
MetS and its component were all different. The age-sex-specific Cronbach's alpha
coefficients for MetS ranged from 0.43 to 0.61. The age trends of the
coefficients in males and females were opposite. The exclusion of some components
from the MetS resulted in an increase of the coefficients. CONCLUSIONS: Our
results indicate that the internal consistency of MetS was questionable. It seems
that the currently defined MetS components of MetS did not formulate a single
pathophysiological entity. Given equal weight to each component and used the same
cut-off values for the subjects of all age groups in both sexes need to be
reconsidered.
PMID- 24933652
TI - Psychiatric and substance-use comorbidities associated with lifetime crack
cocaine use in young adults in the general population.
AB - OBJECTIVE: To assess the association between lifetime crack cocaine use and
psychiatric (post-traumatic stress disorder, current depression, current
dysthymia, generalized anxiety disorder, panic disorder with agoraphobia, social
phobia, as well as SRQ scores and suicide risk) and substance-use disorders
(tobacco, alcohol, cannabis, cocaine, amphetamine, inhalants, sedatives,
hallucinogens and opioids) in youth in the general population of the city of
Pelotas, RS. METHOD: This was a cross-sectional population-based study, involving
1560 participants between 18 and 24 ears old. Lifetime substance use and abuse
were investigated using the ASSIST inventory. Psychiatric comorbidities were
assessed using the Mini-International Neuropsychiatric Interview and symptoms of
common mental disorders were evaluated with the Self-Reported Questionnaire
(SRQ). RESULTS: The prevalence of lifetime crack cocaine use in the sample was
2.5%. Its use was associated with total SRW scores and the presence of post
traumatic stress disorder, antisocial personality disorder and suicide risk in
the final regression model. Tobacco, alcohol, cannabis, cocaine, amphetamine and
cocaine dependence were also associated with lifetime use of crack cocaine.
DISCUSSION: Youth with a history of crack cocaine use had a higher prevalence of
psychiatric conditions such as post-traumatic stress disorder, as well as an
increased risk of tobacco, alcohol, cannabis, cocaine, amphetamine and inhalant
use and dependence.
PMID- 24933653
TI - A preliminary investigation of the effects of the unified protocol on
temperament.
AB - Previous research has shown that two dimensions of temperament referred to as
neuroticism/behavioral inhibition (N/BI) and extraversion/behavioral activation
(E/BA) are key risk factors in the development and maintenance of anxiety and
mood disorders (Brown & Barlow, 2009). Given such findings, these temperamental
dimensions may represent promising treatment targets for individuals with
emotional disorders; however, to date, few studies have investigated the effects
of psychological treatments on temperamental constructs generally assumed to be
"stable, inflexible, and pervasive" (American Psychiatric Association, 2000). The
present study addresses this gap in the literature by examining the effects of
the Unified Protocol for Transdiagnostic Treatment of Emotional Disorders (UP;
Barlow et al., 2011), a cognitive-behavioral therapy designed to target core
processes of N/BI and E/BA temperaments, in a sample of adults with principal
anxiety disorders and a range of comorbid conditions. Results revealed small
effects of the UP on N/BI and E/BA compared with a waitlist control group at post
treatment. Additionally, decreases in N/BI and increases in E/BA during treatment
were associated with improvements in symptoms, functioning, and quality of life.
Findings provide preliminary support for the notion that the UP treatment
facilitates beneficial changes in dimensions of temperament.
PMID- 24933655
TI - Decrease in thermal conductivity in polymeric P3HT nanowires by size-reduction
induced by crystal orientation: new approaches towards thermal transport
engineering of organic materials.
AB - To date, there is no experimental characterization of thermal conductivity of
semiconductor polymeric individual nanowires embedded in a matrix. This work
reports on scanning thermal microscopy measurements in a 3omega configuration to
determine how the thermal conductivity of individual nanowires made of a model
conjugated polymer (P3HT) is modified when decreasing their diameters. We observe
a reduction of thermal conductivity, from lambdaNW = 2.29 +/- 0.15 W K(-1) m(-1)
to lambdaNW = 0.5 +/- 0.24 W K(-1) m(-1), when the diameter of nanowires is
reduced from 350 nm to 120 nm, which correlates with the polymer crystal
orientation measured by WAXS. Through this work, the foundations for future
polymer thermal transport engineering are presented.
PMID- 24933654
TI - Direct activation of ATM by resveratrol under oxidizing conditions.
AB - Resveratrol has been widely reported to reduce cancer progression in model
systems and to selectively induce cell death in transformed cell lines. Many
enzymes have been reported to respond to resveratrol in mammalian cells,
including the Ataxia-Telangiectasia Mutated (ATM) protein kinase that acts in DNA
damage recognition, signaling, and repair. Here we investigate the responses of
ATM to resveratrol exposure in normal and transformed human cell lines and find
that ATM autophosphorylation and substrate phosphorylation is stimulated by
resveratrol in a manner that is promoted by reactive oxygen species (ROS). We
observe direct stimulatory effects of resveratrol on purified ATM in vitro and
find that the catalytic efficiency of the kinase on a model substrate is
increased by resveratrol. In the purified system we also observe a requirement
for oxidation, as the effect of resveratrol on ATM signaling is substantially
reduced by agents that prevent disulfide bond formation in ATM. These results
demonstrate that resveratrol effects on ATM are direct, and suggest a mechanism
by which the oxidizing environment of transformed cells promotes ATM activity and
blocks cell proliferation.
PMID- 24933656
TI - Accurate determination of relative metatarsal protrusion with a small
intermetatarsal angle: a novel simplified method.
AB - Several published studies have explained in detail how to measure relative
metatarsal protrusion on the plain film anteroposterior pedal radiograph. These
studies have demonstrated the utility of relative metatarsal protrusion
measurement in that it correlates with distal forefoot deformity or pathologic
features. The method currently preferred by practitioners in podiatric medicine
and surgery often presents one with the daunting challenge of obtaining an
accurate measurement when the intermetatarsal 1-2 angle is small. The present
study illustrates a novel mathematical solution to this problem that is simple to
master, relatively quick to perform, and yields accurate results. Our method was
tested and proven by 4 trained observers with varying degrees of clinical skill
who independently measured the same 10 radiographs.
PMID- 24933657
TI - Highly infectious CJD particles lack prion protein but contain many viral-linked
peptides by LC-MS/MS.
AB - It is widely believed that host prion protein (PrP), without nucleic acid,
converts itself into an infectious form (PrP-res) that causes transmissible
encephalopathies (TSEs), such as human sporadic CJD (sCJD), endemic sheep
scrapie, and epidemic BSE. There are many detailed investigations of PrP, but
proteomic studies of other proteins in verified infectious TSE particles have not
been pursued, even though brain homogenates without PrP retain their complete
infectious titer. To define proteins that may be integral to, process, or protect
an agent genome, we developed a streamlined, high-yield purification of
infectious FU-CJD mouse brain particles with minimal PrP. Proteinase K (PK)
abolished all residual particle PrP, but did not reduce infectivity, and viral
size particles lacking PrP were ~70S (vs. 90-120S without PK). Furthermore, over
1,500 non-PrP proteins were still present and positively identified in high titer
FU-CJD particles without detectable PrP by mass spectrometry (LC-MS/MS); 114 of
these peptides were linked to viral motifs in the environmental-viral database,
and not evident in parallel uninfected controls. Host components were also
identified in both PK and non-PK treated particles from FU-CJD mouse brain and
human sCJD brain. This abundant cellular data had several surprises, including
finding Huntingtin in the sCJD but not normal human brain samples. Similarly, the
neural Wiskott-Aldrich sequence and multivesicular and endosome components
associated with retromer APP (Alzheimer amyloid) processing were only in sCJD.
These cellular findings suggest that new therapies directed at retromer-vesicular
trafficking in other neurodegenerative diseases may also counteract late-onset
sCJD PrP amyloid pathology.
PMID- 24933658
TI - Poor outcome with nonmyeloablative conditioning regimen before cord blood
transplantation for patients with high-risk acute myeloid leukemia compared with
matched related or unrelated donor transplantation.
AB - Allogeneic hematopoietic stem cell transplantation (Allo-HSCT) is recommended for
patients with high-risk acute myeloid leukemia (AML). In many situations, a
matched related (MRD) or matched unrelated donor (MUD) is lacking, in which case
unrelated cord blood units (UCB) provide an alternative. We analyzed the outcome
of consecutive high-risk AML patients prepared with reduced-intensity
conditioning (RIC) regimens and allografted with UCB (n = 32) and compared their
outcome with high-risk AML patients who underwent transplantation with MRD/MUD (n
= 49) in the same period of time. Grade III to IV acute graft-versus-host disease
(GVHD) occurred slightly more frequently in the UCB group (25%) than in the
MRD/MUD group (8%) (P = .069). Conversely, we found a lower incidence of
extensive chronic GVHD in the UCB group (6%) than in the MRD/MUD group (20%, P =
.085). Nonrelapse mortality at 4 years was 16% and 22% in the UCB and MRD/MUD
groups, respectively (P = .529). The cumulative incidence of relapse at 4 years
was significantly higher in the UCB group (60%) than in the MRD/MUD group (27%, P
= .006). Leukemia-free survival (LFS) and overall survival (OS) at 4 years were
25% and 34%, respectively, in the UCB group and 50% and 56%, respectively, in the
MRD/MUD group (LFS, P = .029; OS, P = .072). Multivariate analyses adjusted by
cytogenetics and disease status at the time of Allo-HSCT revealed that use of UCB
remained an independent predictive factor of shorter LFS (hazard ratio, 2.0; 95%
confidence interval, 1.1 to 3.6; P = .018), and was associated with a trend for
shorter OS (hazard ratio, 1.7; 95% confidence interval, .9 to 3.2; P = .093).
Whereas UCB provides an alternative for patients with high-risk AML lacking an
MRD/MUD, the high incidence of relapse after RIC-based UCB Allo-HSCT is a
concern. Attempts to improve leukemic control with UCB Allo-HSCT are warranted,
as well as the evaluation of other alternative donors in this context.
PMID- 24933659
TI - Quantification of the transverse carpal ligament elastic properties by sex and
region.
AB - BACKGROUND: The transverse carpal ligament is an integral factor in the etiology
of carpal tunnel syndrome. The purpose of this study was to report the
biomechanical properties of this ligament and quantify sex-based differences and
regional variation in tissue response. We hypothesized that the mechanical
response would not be uniform across the surface, and that female ligament
properties would have higher strain profiles and lower mechanical properties.
METHODS: Uniaxial testing of twelve (six males, six females) human fresh frozen
cadaveric transverse carpal ligaments was carried out using an Instron Materials
Testing Machine. Strain was measured via a non-contact optical method. FINDINGS:
The following biomechanical properties of the transverse carpal ligament were
reported in this work: failure strain (male: 9.2 (SD 5.0), female: 15.5 (SD
7.1)%), strength (male: 4.9 (SD 1.5), female: 4.5 (SD 1.6) MPa), and modulus of
elasticity (male: 52.9 (SD 19.6), female: 38.2 (SD 21.9) MPa). The radial side
displayed significantly more strain at failure compared to ulnar (P<0.0001).
INTERPRETATION: The results of this study provide evidence that manipulative
treatments should focus stretching on the radial half of the tissue, which
experiences larger strains under uniform loading conditions. In addition, this
work suggests possible sex-based differences in mechanical properties of the
transverse carpal ligament, which could provide a basis for the development of
improved non-surgical treatment methods for carpal tunnel syndrome. The results
can also be applied to generate more accurate computational models of the wrist.
PMID- 24933660
TI - Risk of edge-loading and prosthesis impingement due to posterior pelvic tilting
after total hip arthroplasty.
AB - BACKGROUND: Proper implant orientation is essential for avoiding edge-loading and
prosthesis impingement in total hip arthroplasty. Although cup orientation is
affected by a change in pelvic tilt after surgery, it has been unclear whether
surgeons can prevent impingement and edge-loading by proper positioning by taking
into account any change in pelvic alignment associated with alteration of hip
range of motion. METHODS: We simulated implant orientation without edge-loading
and prosthesis impingement, even with a change in pelvic tilt and associated
change in hip range of motion after surgery, by collision detection using implant
models created with computer-aided design. FINDINGS: If posterior pelvic tilting
with a corresponding hyperextension change in hip range of motion after surgery
remains within 10 degrees , as occurs in 90% of cases, surgeons can avoid edge
loading and impingement by correctly orienting the implant, even when using a
conventional prosthesis. However, if a 20 degrees change occurs after surgery,
it may be difficult to avoid those risks. INTERPRETATION: Although edge-loading
and impingement can be prevented by performing appropriate surgery in most cases,
even when taking into account postoperative changes in pelvic tilt, it may also
be important to pay attention to spinal conditions to ensure that pelvic tilting
is not extreme because of increasing kyphosis.
PMID- 24933662
TI - An ERP investigation of the co-development of hemispheric lateralization of face
and word recognition.
AB - The adult human brain would appear to have specialized and independent neural
systems for the visual processing of words and faces. Extensive evidence has
demonstrated greater selectivity for written words in the left over right
hemisphere, and, conversely, greater selectivity for faces in the right over left
hemisphere. This study examines the emergence of these complementary neural
profiles, as well as the possible relationship between them. Using behavioral and
neurophysiological measures, in adults, we observed the standard finding of
greater accuracy and a larger N170 ERP component in the left over right
hemisphere for words, and conversely, greater accuracy and a larger N170 in the
right over the left hemisphere for faces. We also found that although children
aged 7-12 years revealed the adult hemispheric pattern for words, they showed
neither a behavioral nor a neural hemispheric superiority for faces. Of
particular interest, the magnitude of their N170 for faces in the right
hemisphere was related to that of the N170 for words in their left hemisphere.
These findings suggest that the hemispheric organization of face recognition and
of word recognition does not develop independently, and that word lateralization
may precede and drive later face lateralization. A theoretical account for the
findings, in which competition for visual representations unfolds over the course
of development, is discussed.
PMID- 24933661
TI - Contrasting networks for recognition memory and recency memory revealed by
immediate-early gene imaging in the rat.
AB - The expression of the immediate-early gene c-fos was used to compare networks of
activity associated with recency memory (temporal order memory) and recognition
memory. In Experiment 1, rats were first familiarized with sets of objects and
then given pairs of different, familiar objects to explore. For the recency test
group, each object in a pair was separated by 110 min in the time between their
previous presentations. For the recency control test, each object in a pair was
separated by less than a 1 min between their prior presentations. Temporal
discrimination of the objects correlated with c-fos activity in the recency test
group in several sites, including area Te2, the perirhinal cortex, lateral
entorhinal cortex, as well as the dentate gyrus, hippocampal fields CA3 and CA1.
For both the test and control conditions, network models were derived using
structural equation modeling. The recency test model emphasized serial
connections from the perirhinal cortex to lateral entorhinal cortex and then to
the CA1 subfield. The recency control condition involved more parallel pathways,
but again highlighted CA1 within the hippocampus. Both models contrasted with
those derived from tests of object recognition (Experiment 2), because stimulus
novelty was associated with pathways from the perirhinal cortex to lateral
entorhinal cortex that then involved both the dentate gyrus (and CA3) and CA1 in
parallel. The present findings implicate CA1 for the processing of familiar
stimuli, including recency discriminations, while the dentate gyrus and CA3
pathways are recruited when the perirhinal cortex signals novel stimuli.
PMID- 24933663
TI - Verbal learning and memory in agenesis of the corpus callosum.
AB - The role of interhemispheric interactions in the encoding, retention, and
retrieval of verbal memory can be clarified by assessing individuals with
complete or partial agenesis of the corpus callosum (AgCC), but who have normal
intelligence. This study assessed verbal learning and memory in AgCC using the
California Verbal Learning Test-Second Edition (CVLT-II). Twenty-six individuals
with AgCC were compared to 24 matched controls on CVLT-II measures, as well as
Donders' four CVLT-II factors (i.e., Attention Span, Learning Efficiency, Delayed
Memory, and Inaccurate Memory). Individuals with AgCC performed significantly
below healthy controls on the Delayed Memory factor, confirmed by significant
deficits in short and long delayed free recall and cued recall. They also
performed less well in original learning. Deficient performance by individuals
with AgCC during learning trials, as well as deficits in all forms of delayed
memory, suggest that the corpus callosum facilitates interhemispheric elaboration
and encoding of verbal information.
PMID- 24933664
TI - Equity in surgical leadership for women: more work to do.
AB - BACKGROUND: Sex disparity in the Program Director role has not been studied. The
goal of this study is to evaluate the percentage of women in Chair and Program
Director positions. We hypothesize that there is a higher percentage of women in
the Program Director role than Chair role. METHODS: An Internet search identified
Chairs, Program Directors, Associate Program Directors, and Division Chiefs.
Statistical analysis compared percentages of women in these roles at all
institutions, academic/community programs, and regions. RESULTS: There is higher
female representation in the Program Director position than Chair position (P =
.002) in General Surgery, Otolaryngology, and Orthopedics. More women are
Associate Program Directors than Division Chiefs (23.6% vs 9.8%, P <= .001).
Academic and community programs are no different. In the West, a greater
percentage of women are Chairs as compared with the other regions (P <= .002).
CONCLUSIONS: There are higher rates of women in Program Director position than
Department Chair position. This discrepancy warrants further investigation.
PMID- 24933665
TI - Outcomes of pancreatic debridement in acute pancreatitis: analysis of the
nationwide inpatient sample from 1998 to 2010.
AB - BACKGROUND: The objective of this study was to perform a national review of
patients with acute pancreatitis (AP) who undergo pancreatic debridement (PD) to
evaluate for risk factors of in-hospital mortality. METHODS: The Nationwide
Inpatient Sample was used to identify patients with AP who underwent PD between
1998 and 2010. Risk factors for in-hospital mortality were assessed with
multivariate logistic regression. RESULTS: From 1998 to 2010, there were 585,978
nonelective admissions with AP, of which 1,783 (.3%) underwent PD. From 1998 to
2010, the incidence of PD decreased from .44% to .25% (P < .01) and PD in
hospital mortality decreased from 29.0% to 15% (P < .05). Of patients undergoing
PD, independent factors associated with increased odds of mortality were
increased age (odds ratio [OR] 1.04, confidence interval [CI] 1.03 to 1.05; P <
.01), sepsis with organ failure (OR 1.76, CI 1.24 to 2.51; P < .01), peptic ulcer
disease (OR 1.83, CI 1.02 to 3.30; P < .05), liver disease (OR 2.27, CI 1.36 to
3.78; P < .01), and renal insufficiency (OR 1.78, CI 1.14 to 2.78; P < .05).
CONCLUSIONS: The incidence and operative mortality of PD have decreased
significantly over the last decade in the United States with higher odds of dying
in patients who are older, with chronic liver, renal, or ulcer disease, and
higher rates of sepsis with organ failure.
PMID- 24933666
TI - The eye of the master.
PMID- 24933667
TI - The use of rapid thromboelastogram for trauma mortality prediction.
PMID- 24933668
TI - Can trauma surgeons manage mild traumatic brain injuries?
AB - BACKGROUND: Current practices suggest that patients with mild traumatic brain
injuries (MTBI) receive neurosurgical consultations, while less than 1% require
neurosurgical intervention. We implemented a policy of selective neurosurgical
consultation with the hypothesis that trauma surgeons alone may manage such
patients with no impact on patient outcomes. METHODS: Data from a level I trauma
registry were analyzed. Patients with MTBI resulting in an intracranial
hemorrhage of 1 cm or less and a Glasgow Coma Score of 13 or greater were
included. Patients with additional intracranial injuries were excluded.
Multivariate regression was used to determine the relationship between
neurosurgical management and good neurologic outcomes, while controlling for
injury severity, demographics, and comorbidities. RESULTS: Implementation of the
neurosurgical policy significantly reduced the number of such consults (94%
before vs 65% after, P < .002). Multivariate analysis revealed that neurosurgical
consultation was not associated with neurologic outcomes of patients.
CONCLUSIONS: Implementation of a selective neurosurgical consultation policy for
patients with MTBI reduced neurosurgical consultations without any impact on
patient outcomes, suggesting that trauma surgeons can effectively manage these
patients.
PMID- 24933669
TI - Large and complex ventral hernia repair using "components separation technique"
without mesh results in a high recurrence rate.
AB - BACKGROUND: Recurrence rates after component separation technique (CST) are low
in the literature but may be underestimated because of inadequate follow-up
methods. METHODS: Prospective patient follow-up was performed of consecutive
patients who underwent repair of large and complex ventral hernias using CST
without mesh utilization. Primary outcome was recurrent hernia determined by
clinical examination at least 1 year after surgery in all living patients.
Current literature underwent meta-analysis regarding outcomes and mode of follow
up. RESULTS: Seventy-five patients were included with a mean age of 52.2 years
and a mean defect size of 214.9 cm(2), respectively. Twenty-nine patients (38.7%)
had a recurrent hernia after a mean of 40.9-month follow-up, and this was
significantly higher than in the literature (14.0%, P < .01). Sixty-four percent
of studies in the literature were unclear about the method of determining
recurrent hernia or included telephone follow-up and questionnaires. CONCLUSIONS:
CST coincides with a high recurrence rate when clinical follow-up is longer than
a year. Reported recurrence rates are probably underestimated because the method
and duration of follow-up are inadequate.
PMID- 24933670
TI - How prepared are your interns to take calls? Results of a multi-institutional
study of simulated pages to prepare medical students for surgery internship.
AB - BACKGROUND: This study evaluated a simulated pages curriculum that was developed
to assess communication and clinical decision making in medical students and
interns. METHODS: A curriculum consisting of 14 simulated pages was administered
across 5 institutions to 150 senior medical students. A 3-case subset was
administered to interns who did not participate in the curriculum. Six expert
surgeons identified critical fails and set passing scores for case-specific
assessments using the Graphical Hofstee Method. RESULTS: Participants in the
curriculum demonstrated superior clinical decision making compared with non
participants across all cases scenarios (P < .01). Average medical student scores
for clinical decision making were 46.9%. Global ratings averaged 6.0 for
communication and 5.2 for patient care. Passing rates averaged 46%. CONCLUSIONS:
Participation in a mock page curriculum improved performance. The performance of
participants based on expert standards set for simulated page performance
highlight the need for innovative approaches to improve interns' preparedness to
take calls.
PMID- 24933671
TI - [Post anoxia impairment of autobiographical memory and time estimation].
AB - A case of episodic amnesia with impairment of time perception is described; it
illustrates the link between time perception and autobiographical memory. This
woman suffered from a Sheehan syndrome with anoxia at the age of 36 and since
that date has had a strong and isolated difficulty to estimate the date and
duration of events in a range of weeks, months or years. Conversely, short
duration time spans are correctly evaluated. The patient's complaints also
involve episodic memory. She reports many events from her biography very
imprecisely while the semantic autobiographical data are preserved. The patient
has difficulty in recalling the date of public events and the period of celebrity
of well-known people. That observation confirms the specificity of time
organization for long periods and the link with the episodic memory where the
context of the dating task is crucial. The results are discussed in reference to
autobiographical memory that involves mental wandering in time-space and the
constitution of self over a time continuum.
PMID- 24933672
TI - [Recurrent painful ophthalmoplegic neuropathy or recurrent demyelinating
neuropathy].
PMID- 24933673
TI - Preparation of TiO2 nanoparticles coated with ionic liquids: a supramolecular
approach.
AB - Coated TiO2 nanoparticles by dicationic imidazolium-based ionic liquids (ILs)
were prepared and studied by differential scanning calorimetry (DSC), dynamic
light scattering (DLS), transmission electron microscopy (TEM), powder X-ray
diffraction (XRD), and scanning electron microscopy (SEM). Three ILs with
different hydrophobicity degrees and structural characteristics were used (IL-1,
IL-2, and IL-3). The interaction between IL molecules and the TiO2 surface was
analyzed in both solid state and in solution. The physical and chemical
properties of coated nanoparticles (TiO2 + IL-1, TiO2 + IL-2, and TiO2 + IL-3)
were compared to pure materials (TiO2, IL-1, IL-2, and IL-3) in order to evaluate
the interaction between both components. Thermal behavior, diffraction pattern,
and morphologic characteristics were evaluated in the solid state. It was
observed that all mixtures (TiO2 + IL) showed different behavior from that
detected for pure substances, which is an evidence of film formation. DLS
experiments were conducted to determine film thickness on the TiO2 surface
comparing the size (hydrodynamic radius, Rh) of pure TiO2 with coated
nanoparticles (TiO2 + IL). Results showed the thickness of the film increased
with hydrophobicity of the IL compound. TEM images support this observation.
Finally, X-ray diffraction patterns showed that, in coated samples, no structural
changes in TiO2 diffraction peaks were observed, which is related to the
maintenance of the crystalline structure. On the contrary, ILs showed different
diffraction patterns, which confirms the hypothesis of interactions happening
between IL and the TiO2 nanoparticles surface.
PMID- 24933674
TI - Surgical emergencies in oncology.
AB - An oncologic emergency is defined as an acute, potentially life threatening
condition in a cancer patient that has developed as a result of the malignant
disease or its treatment. Many oncologic emergencies are signs of advanced, end
stage malignant disease. Oncologic emergencies can be divided into medical or
surgical. The literature was reviewed to construct a summary of potential
surgical emergencies in oncology that any surgeon can be confronted with in daily
practice, and to offer insight into the current approach for these wide ranged
emergencies. Cancer patients can experience symptoms of obstruction of different
structures and various causes. Obstruction of the gastrointestinal tract is the
most frequent condition seen in surgical practice. Further surgical emergencies
include infections due to immune deficiency, perforation of the gastrointestinal
tract, bleeding events, and pathological fractures. For the institution of the
appropriate treatment for any emergency, it is important to determine the
underlying cause, since emergencies can be either benign or malignant of origin.
Some emergencies are well managed with conservative or non-invasive treatment,
whereas others require emergency surgery. The patient's performance status,
cancer stage and prognosis, type and severity of the emergency, and the patient's
wishes regarding invasiveness of treatment are essential during the decision
making process for optimal management.
PMID- 24933675
TI - Asymmetric synthesis of substituted NH-piperidines from chiral amines.
AB - Previously, we reported an efficient asymmetric synthesis of substituted
piperidines through an exocyclic chirality induced nitroalkene/amine/enone (NAE)
condensation reaction. An effective protecting group strategy was developed
herein to achieve enantiopure piperidines (yields up to 92%) with complete
chirality retention (ee > 95%). A simple derivatization of the obtained
piperidines gave thiourea catalysts, indicating the strong potential of this
method for producing new amine-based dual functional organocatalysts for future
development.
PMID- 24933676
TI - Patients surviving six months in hospice care: who are they?
AB - BACKGROUND: On January 1, 2011, the Centers for Medicare and Medicaid Services
(CMS) began requiring U.S. hospices to conduct a "face-to-face" (F2F) assessment
of eligibility for continued hospice care with patients entering their third
certification period (180 days after initial enrollment). Understanding which
patient populations require F2F assessment is important for evaluating the impact
of the CMS regulation and gauging the appropriateness of the 6-month prognosis
criteria for different patient groups. METHODS: Retrospective program records
were obtained for patients enrolled in a large hospice 6 months prior to
implementation of the CMS regulation (N=375). Patients who remained in hospice
and received a F2F (n=140) were compared to patients who were no longer in
hospice (n=235) on demographics, terminal condition (categorized as
debility/dementia, cancer, or other), presence of serious comorbidity, length of
stay, setting of care prior to admission, and hospice outcome using bivariate
statistics. Predictors of F2F recertification were examined using a multivariable
logistic regression model controlling for demographics, setting of care prior to
admission, comorbidity, and primary terminal diagnosis. RESULTS: At the bivariate
level, patients who received an F2F were older (p<0.001), and more likely to have
lived in a facility care setting prior to hospice admission (p<0.001) than their
non-F2F counterparts. Findings from the logistic regression analysis indicate
that initial setting of care (odds ratio [OR] for inpatient versus home=0.20;
p=0.01), presence of serious comorbidity (OR=2.84; p<0.001), and primary
diagnosis (OR for debility/dementia versus cancer=3.35; p<0.001) were significant
predictors of F2F recertification. CONCLUSIONS: Unlike hospice patients with
cancer, patients with a primary diagnosis of dementia or debility are more likely
to remain in hospice care beyond 6 months and require F2F recertification. Still,
these patients need the services provided by hospice care and may be limited by
the 6-month recertification criteria.
PMID- 24933677
TI - So much hope for lupus, at last.
PMID- 24933678
TI - When biologics should be used in systemic lupus erythematosus?
AB - Recently, the use and evaluation of biologics increased in systemic lupus
erythematosus (SLE). However, no international recommendation is available
concerning the use of biologics with regards to the subset of patients who should
be treated, the optimal time to treat, the objective of treatment and the manner
to discontinue it. To address these complex questions, we focused on biologics
already evaluated in at least two published randomized controlled trials. We
summarized the results of these trials and available observational data in
registries. Taking into account the clinical evidence, we proposed some guidance
on the way biologics could be used in SLE. Many areas of uncertainty persist and
require intensifying efforts from the academic world to set up new trials, and
develop international recommendations.
PMID- 24933679
TI - [Long-term mechanical circulatory support in 2014: A real alternative to heart
transplantation?].
AB - Cardiac transplantation remains the gold standard for end stage heart failure
however results of long-term mechanical circulatory support have dramatically
improved over the last 10years. One should now clearly consider a left
ventricular assist device as bridge to transplant or destination therapy in
refractory isolated left heart failure. Mechanical biventricular support remains
more challenging with lower survival and may be proposed as bridge to transplant.
Correct patient's selection and appropriate follow-up are mandatory in such
critical patients.
PMID- 24933680
TI - [Early diagnosis of Alzheimer's disease: are we too close to the trees to see the
forest?].
PMID- 24933681
TI - Enhanced catalytic efficiency in quercetin-4'-glucoside hydrolysis of Thermotoga
maritima beta-glucosidase A by site-directed mutagenesis.
AB - Te-BglA and Tm-BglA are glycoside hydrolase family 1 beta-glucosidases from
Thermoanaerobacter ethanolicus JW200 and Thermotoga maritima, respectively, with
53% sequence identity. However, Te-BglA could more effectively hydrolyze
isoflavone glucosides to their aglycones than could Tm-BglA, possibly due to the
difference in amino acid residues around their glycone binding pockets. Site
directed mutagenesis was used to replace the amino acid residues of Tm-BglA with
the corresponding residues of Te-BglA, generating three single mutants (F221L,
N223L, and G224T), as well as the corresponding three double mutants
(F221L/N223L, F221L/G224T, and N223L/G224T) and one triple mutant
(F221L/N223L/G224T). The seven mutants have been purified, characterized, and
compared to the wild-type Tm-BglA. The effects of the mutations on kinetics,
enzyme activity, and substrate specificity were determined. All mutants showed pH
activity curves narrower on the basic side and wider on the acid side and had
similar optimal pH and stability at pH 6.5-8.3. They were more stable up to 85
degrees C, but G224T displayed higher optimal temperature than Tm-BglA. Seven
mutants indicated an obvious increase in catalytic efficiency toward p
nitrophenyl beta-D-glucopyranoside (pNPG) but an increase or not change in K(m).
All mutants showed a decrease in catalytic efficiency of isoflavonoid glycosides
and were not changed for F221L and lost for N223L in enzymatic hydrolysis on
quercetin glucosides. Contrarily, G224T resulted in a dramatic increase
conversion of Q4' (35.5%) and Q3,4' (28.6%) in accord with an increased turnover
number (k(cat), 1.4*) and catalytic efficiency (k(cat)/K(m), 2.2*) as well as a
decrease in K(m) (0.24) for Q4'. Modeling showed that G224T mutation at position
224 may enhance the interaction between G224T and 5-OH and 3-OH on the quercetin
backbone of Q4'.
PMID- 24933682
TI - Raman and coherent anti-Stokes Raman scattering microscopy studies of changes in
lipid content and composition in hormone-treated breast and prostate cancer
cells.
AB - Increasing interest in the role of lipids in cancer cell proliferation and
resistance to drug therapies has motivated the need to develop better tools for
cellular lipid analysis. Quantification of lipids in cells is typically done by
destructive chromatography protocols that do not provide spatial information on
lipid distribution and prevent dynamic live cell studies. Methods that allow the
analysis of lipid content in live cells are therefore of great importance. Using
micro-Raman spectroscopy and coherent anti-Stokes Raman scattering (CARS)
microscopy, we generated a lipid profile for breast (T47D, MDA-MB-231) and
prostate (LNCaP, PC3) cancer cells upon exposure to medroxyprogesterone acetate
(MPA) and synthetic androgen R1881. Combining Raman spectra with CARS imaging, we
can study the process of hormone-mediated lipogenesis. Our results show that
hormone-treated cancer cells T47D and LNCaP have an increased number and size of
intracellular lipid droplets and higher degree of saturation than untreated
cells. MDA-MB-231 and PC3 cancer cells showed no significant changes upon
treatment. Principal component analysis with linear discriminant analysis of the
Raman spectra was able to differentiate between cancer cells that were treated
with MPA, R1881, and untreated.
PMID- 24933684
TI - Balancing safety and enjoyment. Current practice when recommending tastes for
people with intellectual disabilities who are non-orally fed.
AB - Eating and drinking problems are common among people with intellectual
disabilities. Having a compromised swallow or being at risk of inadequate
nutrition are two reasons for introducing non-oral feeding. Such procedures
involve the creation of an external opening for food and drink to be delivered
directly into the stomach through a tube. In recent years maintenance of the
swallow and quality of life issues have led to introduction of small amounts of
food and drink (oral tastes) for people who are non-orally fed. Little evidence
exists about the reasoning used to inform this decision or the types of oral
tastes offered. This study aims to address these omissions. An exploratory
survey, distributed via email, was used to gather information from speech and
language therapists and dietitians about their current practice and their
decision-making processes when offering oral tastes to people who are non-orally
fed. Data presented here reflect the responses from respondents working primarily
with people with intellectual disabilities (55 out of 158 respondents). Oral
tastes were being offered and clinical decision-making around this centred on
balancing the wellbeing and wishes of the person with intellectual disabilities
and their carers with the risks to wellbeing inherent in implementing and
supporting an oral taste programme.
PMID- 24933683
TI - Development of Raman spectral markers to assess metastatic bone in breast cancer.
AB - Bone is the most common site for breast cancer metastases. One of the major
complications of bone metastasis is pathological bone fracture caused by chronic
bone loss and degeneration. Current guidelines for the prediction of pathological
fracture mainly rely on radiographs or computed tomography, which are limited in
their ability to predict fracture risk. The present study explored the
feasibility of using Raman spectroscopy to estimate pathological fracture risk by
characterizing the alterations in the compositional properties of metastatic
bones. Tibiae with evident bone destruction were investigated using Raman
spectroscopy. The carbonation level calculated by the ratio of
carbonate/phosphate nu1 significantly increased in the tumor-bearing bone at all
the sampling regions at the proximal metaphysis and diaphysis, while tumor
induced elevation in mineralization and crystallinity was more pronounced in the
metaphysis. Furthermore, the increased carbonation level is positively correlated
to bone lesion size, indicating that this parameter could serve as a unique
spectral marker for tumor progression and bone loss. With the promising advances
in the development of spatially offset Raman spectroscopy for deep tissue
measurement, this spectral marker can potentially be used for future noninvasive
evaluation of metastatic bone and prediction of pathological fracture risk.
PMID- 24933685
TI - Adjusting to motherhood. The importance of BMI in predicting maternal well-being,
eating behaviour and feeding practice within a cross cultural setting.
AB - Maternal body mass index (BMI) is associated with negative body image and
restrained eating which are experienced differently across cultures. The present
study aimed to: 1) examine if self-esteem, eating behaviours and body
satisfaction changed from early pregnancy to 2-6 months after giving birth; 2)
explore changes according to country (Israel vs. UK) and BMI; and 3) determine
any relationship between these measurements and infant feeding. Participants
completed questionnaires assessing self-esteem, body image and eating/feeding
behaviours. Multilevel linear modelling was used to account for change and to
assess the independent impact of BMI on outcomes. Seventy-three women and infants
participated in the study in early pregnancy and again 16 (9) weeks following
birth. Women gained 1.5 kg (range -12 + 23) and UK mothers reported significantly
greater body dissatisfaction, but self-esteem and eating behaviours remained
stable. BMI was the main predictor of self-esteem, eating behaviours and body
satisfaction. Mothers' perceptions of infant's eating did not vary according to
BMI or country; however, heavier mothers reported feeding their infants according
to a schedule. The first months after giving birth are a key time to assess
adjustment to motherhood but later assessments are necessary in order to track
changes beyond the early period post-pregnancy.
PMID- 24933686
TI - Scents boost preference for novel fruits.
AB - When faced with a novel food, multisensory information that includes appearance
and smell is a very important cue for preference, categorization, and the
decision of whether or not to eat it. We elucidated whether olfactory information
leads to biased visual categorization of and preference for fruits, even when
odors are presented subliminally. We employed morphed images of strawberries and
tomatoes combined with their corresponding odorants as stimuli. Participants were
asked to categorize the images into either of two categories, to evaluate their
preference for each visual image, and to judge the presence/absence of the odor.
Results demonstrated that visual categorization was not affected by the odor
manipulation; however, preference for uncategorizable images increased when odors
were presented regardless of the participant's awareness of the odor. Our
findings suggest that visual preference for novel fruits is based on both
conscious and unconscious olfactory processing regarding edibility.
PMID- 24933687
TI - Tailoring the electronic structure in bilayer molybdenum disulfide via interlayer
twist.
AB - Molybdenum disulfide bilayers with well-defined interlayer twist angle were
constructed by stacking single-crystal monolayers. Varying interlayer twist angle
results in strong tuning of the indirect optical transition energy and second
harmonic generation and weak tuning of direct optical transition energies and
Raman mode frequencies. Electronic structure calculations show the interlayer
separation changes with twist due to repulsion between sulfur atoms, resulting in
shifts of the indirect optical transition energies. These results show that
interlayer alignment is a crucial variable in tailoring the properties of two
dimensional heterostructures.
PMID- 24933688
TI - Long-term deficits in quadriceps strength and activation following anterior
cruciate ligament reconstruction.
AB - OBJECTIVE: Even some time after a ruptured ACL has been reconstructed thigh
musculature atrophy, voluntary activation, and knee-extensor strength deficits
may be encountered. The purpose of this study was to evaluate bilateral knee
extension strength, voluntary activation of the quadriceps, and thigh
circumference in males and females with ACL reconstruction (ACLR). DESIGN AND
PARTICIPANTS: Within-subject and between-subject designs were used to evaluate 24
unilateral ACLR individuals and 23 controls. MAIN OUTCOME MEASURES: Isokinetic
knee-extension strength was assessed in ACLR participants while central
activation ratio (CAR) and thigh circumference measures were obtained from both
groups. RESULTS: Knee-extensor strength deficits (p < .039) and lower CAR of the
quadriceps were found in the ACLR limb compared to the uninvolved limb (p =
.047). Extensor strength was greater in males (p < .001), however, CAR was not
different between sexes (p = .086). No difference in voluntary activation was
revealed among the ACLR limb, uninvolved limb, and control limb when compared as
independent groups (p = .460). The strength deficits found in the ACLR limb are
partly attributable to lower voluntary activation compared to the uninvolved leg,
given that no difference was found in thigh circumference between legs.
CONCLUSION: Clinicians should consider the deficits in muscle function when
returning patients to pre-injury activity levels.
PMID- 24933689
TI - Discovery, isolation, and structure elucidation of dretamycin.
AB - The Candida albicans fitness test is a whole cell screening platform that
utilizes a mixed-pool of C. albicans mutants, each of which carries a
heterozygous deletion of a particular gene. In the presence of an antifungal
inhibitor, a subset of these mutants exhibits a growth phenotype of
hypersensitivity or hyposensitivity. Collectively these mutants reflect aspects
of the mechanism of action of the compound in question. In the course of
screening natural products a culture of Streptomyces sp. MS-1-4 was discovered to
produce a compound, dretamycin, which yielded a fitness profile exhibiting
significant hypersensitivity of the DRE2 heterozygote and hyposensitivity of the
DIP5 heterozygote. Herein we report the production, isolation, and structure
elucidation of dretamycin.
PMID- 24933690
TI - Exploring the heterologous genomic space for building, stepwise, complex,
multicomponent tolerance to toxic chemicals.
AB - Modern bioprocessing depends on superior cellular traits, many stemming from
unknown genes and gene interactions. Tolerance to toxic chemicals is such an
industrially important complex trait, which frequently limits the economic
feasibility of producing commodity chemicals and biofuels. Chemical tolerance
encompasses both improved cell viability and growth under chemical stress.
Building upon the success of our recently reported semisynthetic stress response
system expressed off plasmid pHSP (Heat Shock Protein), we probed the genomic
space of the solvent tolerant Lactobacillus plantarum to identify genetic
determinants that impart solvent tolerance in combination with pHSP. Using two
targeted enrichments, one for superior viability and one for better growth under
ethanol stress, we identified several beneficial heterologous DNA determinants
that act synergistically with pHSP. In separate strains, a 209% improvement in
survival and an 83% improvement in growth over previously engineered strains
based on pHSP were thus generated. We then developed a composite phenotype of
improved growth and survival by combining the identified L. plantarum genetic
fragments. This demonstrates the concept for a sequential, iterative assembly
strategy for building multigenic traits by exploring the synergistic effects of
genetic determinants from a much broader genomic space. The best performing
strain produced a 3.7-fold improved survival under 8% ethanol stress, as well as
a 32% increase in growth under 4% ethanol. This strain also shows significantly
improved tolerance to n-butanol. Improved solvent production is rarely examined
in tolerance engineering studies. Here, we show that our system significantly
improves ethanol productivity in a Melle-Boinot-like fermentation process.
PMID- 24933692
TI - Pathologic role of glial nitric oxide in adult and pediatric neuroinflammatory
diseases.
AB - It is well established that glial cells have critical roles in the inflammatory
processes in the central nervous system (CNS). These cells can be activated by a
variety of endogenous and exogenous stimuli (i.e. gliosis) and can produce high
levels of bioactive compounds that are noxious for neuronal cell function. One of
the most important molecules released by activated glial cells is the bioactive
free radical nitric oxide (NO). Although NO physiologically acts as both
neuromodulator and neurotransmitter in the brain, excess production of NO by
glial cells has diverse harmful effects on neuronal function, causing neuronal
cell injury/death. The production of NO is induced by overexpression of the
inducible isoform of NO synthase (iNOS) enzyme in glial cells. In this review, we
describe the possible mechanisms that underlie the iNOS-mediated overproduction
of glial NO in several pediatric and adult neuropathologic conditions such as
periventricular leukomalacia (PVL), Krabbe's disease, X-linked
adrenoleukodystrophy (ALD) and multiple sclerosis (MS). We specifically discuss
various signaling cascades that activate several transcription factors involved
in the iNOS expression in both astrocytes and microglia. We also discuss the
consequences of iNOS-mediated NO production in neuroinflammatory diseases
including MS. A complete understanding of the regulation of iNOS expression in
glial cells and the mechanisms by which iNOS-mediated NO production is involved
in neuroinflammation can provide new insights into the identification of novel
targets for therapeutic intervention in NO-mediated neurologic diseases.
PMID- 24933691
TI - The role of matrix in HIV-1 envelope glycoprotein incorporation.
AB - Incorporation of the viral envelope (Env) glycoprotein is a critical requirement
for the production of infectious HIV-1 particles. It has long been appreciated
that the matrix (MA) domain of the Gag polyprotein and the cytoplasmic tail of
Env are central players in the process of Env incorporation, but the precise
mechanisms have been elusive. Several recent developments have thrown light on
the contributions of both proteins, prompting a re-evaluation of the role of MA
during Env incorporation. The two domains appear to play distinct but
complementary roles, with the cytoplasmic tail of Env responsible for directing
Env to the site of assembly and the matrix domain accommodating the cytoplasmic
tail of Env in the Gag lattice.
PMID- 24933694
TI - Yielding to desire: the durability of affective preferences.
AB - People's expectations about the future are guided not just by the contingencies
of situations but also by what they hope or wish will happen next. These
preferences can inform predictions that run counter to what should or must occur
based on the logic of unfolding events. Effects of this type have been regularly
identified in studies of judgment and decision making, with individuals' choices
often reflecting emotional rather than rational influences. Encouraging
individuals to rely less on their emotional considerations has proven a challenge
as affective responses are generated quickly and are seemingly informative for
decisions. In 6 experiments we examined whether individuals could be encouraged
to rely less on their affective preferences when making judgments about future
events. Participants read stories in which contexts informed the likelihood of
events in ways that might run counter to their preferential investments in
particular outcomes. While being less than relevant given the logic of events,
participants' affective considerations remained influential despite time allotted
for predictive reflection. In contrast, instructional warnings helped attenuate
the influence of affective considerations, even under conditions previously shown
to encourage preferential biases. The findings are discussed with respect to
factors that mediate preference effects, and highlight challenges for overcoming
people's reliance on affective contributors to everyday judgments and
comprehension.
PMID- 24933695
TI - Why do participants initiate free recall of short lists of words with the first
list item? Toward a general episodic memory explanation.
AB - Participants who are presented with a short list of words for immediate free
recall (IFR) show a strong tendency to initiate their recall with the 1st list
item and then proceed in forward serial order. We report 2 experiments that
examined whether this tendency was underpinned by a short-term memory store, of
the type that is argued by some to underpin recency effects in IFR. In Experiment
1, we presented 3 groups of participants with lists of between 2 and 12 words for
IFR, delayed free recall, and continuous-distractor free recall. The to-be
remembered words were simultaneously spoken and presented visually, and the
distractor task involved silently solving a series of self-paced, visually
presented mathematical equations (e.g., 3 + 2 + 4 = ?). The tendency to initiate
recall at the start of short lists was greatest in IFR but was also present in
the 2 other recall conditions. This finding was replicated in Experiment 2, where
the to-be-remembered items were presented visually in silence and the
participants spoke aloud their answers to computer-paced mathematical equations.
Our results necessitate that a short-term buffer cannot be fully responsible for
the tendency to initiate recall from the beginning of a short list; rather, they
suggest that the tendency represents a general property of episodic memory that
occurs across a range of time scales.
PMID- 24933693
TI - The changeable nervous system: studies on neuroplasticity in cerebellar cultures.
AB - Circuit reorganization after injury was studied in a cerebellar culture model.
When cerebellar cultures derived from newborn mice were exposed at explantation
to a preparation of cytosine arabinoside that destroyed granule cells and
oligodendrocytes and compromised astrocytes, Purkinje cells surviving in greater
than usual numbers were unensheathed by astrocytic processes and received twice
the control number of inhibitory axosomatic synapses. Purkinje cell axon
collaterals sprouted and many of their terminals formed heterotypical synapses
with other Purkinje cell dendritic spines. The resulting circuit reorganization
preserved inhibition in the cerebellar cortex. Following this reorganization,
replacement of the missing granule cells and glia was followed by a restitution
of the normal circuitry. Most of these developmental and reconstructive changes
were not dependent on neuronal activity, the major exception being inhibitory
synaptogenesis. The full complement of inhibitory synapses did not develop in the
absence of neuronal activity, which could be mitigated by application of
exogenous TrkB receptor ligands. Inhibitory synaptogenesis could also be promoted
by activity-induced release of endogenous TrkB receptor ligands or by antibody
activation of the TrkB receptor.
PMID- 24933696
TI - Discounting of monetary rewards that are both delayed and probabilistic: delay
and probability combine multiplicatively, not additively.
AB - The value of an outcome is affected both by the delay until its receipt (delay
discounting) and by the likelihood of its receipt (probability discounting).
Despite being well-described by the same hyperboloid function, delay and
probability discounting involve fundamentally different processes, as revealed,
for example, by the differential effects of reward amount. Previous research has
focused on the discounting of delayed and probabilistic rewards separately, with
little research examining more complex situations in which rewards are both
delayed and probabilistic. In 2 experiments, participants made choices between
smaller rewards that were both immediate and certain and larger rewards that were
both delayed and probabilistic. Analyses revealed significant interactions
between delay and probability factors inconsistent with an additive model. In
contrast, a hyperboloid discounting model in which delay and probability were
combined multiplicatively provided an excellent fit to the data. These results
suggest that the hyperboloid is a good descriptor of decision making in
complicated monetary choice situations like those people encounter in everyday
life.
PMID- 24933697
TI - Sleep can reduce the testing effect: it enhances recall of restudied items but
can leave recall of retrieved items unaffected.
AB - The testing effect refers to the finding that retrieval practice in comparison to
restudy of previously encoded contents can improve memory performance and reduce
time-dependent forgetting. Naturally, long retention intervals include both wake
and sleep delay, which can influence memory contents differently. In fact, sleep
immediately after encoding can induce a mnemonic benefit, stabilizing and
strengthening the encoded contents. We investigated in a series of 5 experiments
whether sleep influences the testing effect. After initial study of categorized
item material (Experiments 1, 2, and 4A), paired associates (Experiment 3), or
educational text material (Experiment 4B), subjects were asked to restudy encoded
contents or engage in active retrieval practice. A final recall test was
conducted after a 12-hr delay that included diurnal wakefulness or nocturnal
sleep. The results consistently showed typical testing effects after the wake
delay. However, these testing effects were reduced or even eliminated after
sleep, because sleep benefited recall of restudied items but left recall of
retrieved items unaffected. The findings are consistent with the bifurcation
model of the testing effect (Kornell, Bjork, & Garcia, 2011), according to which
the distribution of memory strengths across items is shifted differentially by
retrieving and restudying, with retrieval strengthening items to a much higher
degree than restudy does. On the basis of this model, most of the retrieved items
already fall above recall threshold in the absence of sleep, so additional sleep
induced strengthening may not improve recall of retrieved items any further.
PMID- 24933698
TI - Piloting and path integration within and across boundaries.
AB - Three experiments investigated whether navigation is less efficient across
boundaries than within boundaries. In an immersive virtual environment,
participants learned objects' locations in a large room or a small room.
Participants then pointed to the objects' original locations after physically
walking a circuitous path without vision. For participants who learned the
objects in the large room, the testing position and the learning position were in
the same room so that participants did not cross boundaries before testing; for
participants who learned the objects in the small room, the testing position and
the learning position were in 2 different rooms so that participants crossed
boundaries before testing. Participants who learned the objects in the large
room, during testing, either saw cues indicating the targets' locations (piloting
group) or not (path integration group). Participants who learned the objects in
the small room, during testing did not see any cues correctly indicating the
targets' locations. The results showed that pointing accuracy was higher for
those who learned the objects in the large room and in the piloting group than
for those who learned the objects in the small room. However, this cross-boundary
cost did not occur when we contrasted participants who learned objects in the
large room and in the path integration group with participants who learned in a
small room. These results suggested that navigation that relies on path
integration only is not sensitive to boundary crossing, although navigation that
relies on piloting is less efficient across boundaries than within boundaries.
PMID- 24933699
TI - Using E-Z Reader to examine the consequences of fixation-location measurement
error.
AB - There is an ongoing debate about whether fixation durations during reading are
only influenced by the processing difficulty of the words being fixated (i.e.,
the serial-attention hypothesis) or whether they are also influenced by the
processing difficulty of the previous and/or upcoming words (i.e., the attention
gradient hypothesis). This article reports the results of 3 simulations that
examine how systematic and random errors in the measurement of fixation locations
can generate 2 phenomena that support the attention-gradient hypothesis:
parafoveal-on-foveal effects and large spillover effects. These simulations
demonstrate how measurement error can produce these effects within the context of
a computational model of eye-movement control during reading (E-Z Reader;
Reichle, 2011) that instantiates strictly serial allocation of attention, thus
demonstrating that these effects do not necessarily provide strong evidence
against the serial-attention hypothesis.
PMID- 24933700
TI - Encoding and retrieval processes involved in the access of source information in
the absence of item memory.
AB - The current study sought to examine the relative contributions of encoding and
retrieval processes in accessing contextual information in the absence of item
memory using an extralist cuing procedure in which the retrieval cues used to
query memory for contextual information were related to the target item but never
actually studied. In Experiments 1 and 2, participants studied 1 category member
(e.g., onion) from a variety of different categories and at test were presented
with an unstudied category label (e.g., vegetable) to probe memory for item and
source information. In Experiments 3 and 4, 1 member of unidirectional (e.g.,
credit or card) or bidirectional (e.g., salt or pepper) associates was studied,
whereas the other unstudied member served as a test probe. When recall failed,
source information was accessible only when items were processed deeply during
encoding (Experiments 1 and 2) and when there was strong forward associative
strength between the retrieval cue and target (Experiments 3 and 4). These
findings suggest that a retrieval probe diagnostic of semantically related item
information reinstantiates information bound in memory during encoding that
results in reactivation of associated contextual information, contingent upon
sufficient learning of the item itself and the association between the item and
its context information.
PMID- 24933701
TI - Pushing typists back on the learning curve: contributions of multiple linguistic
units in the acquisition of typing skill.
AB - The present study investigated the way people acquire and control skilled
performance in the context of typewriting. Typing skill was degraded by changing
the location of a key (target key) while retaining the locations of other keys to
disable an association between the letter and the key. We conducted 4
experiments: Experiment 1 demonstrated that disabling a letter-key association
affected not only the execution of the target keystroke but also the planning of
other keystrokes for words involving the target key. In Experiments 2-4, typists
practiced with a new target location and then transferred to a condition in which
they typed the practiced words with the original key location (Experiment 2) or
typed new words with the practiced key location (Experiments 3 and 4). Experiment
2 showed that the newly acquired letter-key association interfered with the
execution of the original keystroke but not planning. Experiments 3 and 4
demonstrated that acquisition of the new letter-key association depended on
multiple levels of linguistic units. Experiment 4 demonstrated that acquisition
of the new association depended on sequences both before and after the target
keystroke. We discuss implications of the results for 2 prominent approaches to
modeling sequential behavior: hierarchical control and recurrent network models.
PMID- 24933702
TI - When do words hurt? A multiprocess view of the effects of verbalization on visual
memory.
AB - Verbal overshadowing reflects the impairment in memory performance following
verbalization of nonverbal stimuli. However, it is not clear whether the same
mechanisms are responsible for verbal overshadowing effects observed with
different stimuli and task demands. In the present article, we propose a
multiprocess view that reconciles the main theoretical explanations of verbal
overshadowing deriving from the use of different paradigms. Within a single
paradigm, we manipulated both the nature of verbalization at encoding
(nameability of the stimuli) and postencoding (verbal descriptions), as well as
the nature (image transformation or recognition) and, by implication, the demands
of the final memory task (global or featural). Results from 3 experiments
replicated the negative effects of encoding and postencoding verbalization in
imagery and recognition tasks, respectively. However, they also showed that the
demands of the final memory task can modulate or even reverse verbal
overshadowing effects due to both postencoding verbalization and naming during
encoding.
PMID- 24933703
TI - TMEPAI inhibits TGF-beta signaling by promoting lysosome degradation of TGF-beta
receptor and contributes to lung cancer development.
AB - Transforming growth factor-beta (TGF-beta) signaling plays important roles in
embryogenesis and tumorigenesis by controlling cell growth, differentiation and
migration. The transmembrane prostate androgen-induced protein (TMEPAI) is
elevated in several cancers. TMEPAI expression is induced by TGF-beta signaling,
and in turn, expression of TMEPAI negatively regulates TGF-beta signaling, but
the molecular mechanisms of TMEPAI induced TGF-beta signaling inhibition are not
well understood. Here we report that TMEPAI is localized to the lysosome and late
endosome, and that association of TMEPAI with the E3 ubiquitin ligase Nedd4 is
required for its transport to the lysosome. TMEPAI associates with the TGF-beta
type I receptor (TbetaRI) and promotes its degradation in the lysosome. Depletion
of TMEPAI in A549 lung cancer cells inhibits cell proliferation, migration and
invasion, while TMEPAI expression in nude mice promotes tumorigenesis. These
results reveal a novel function for TMEPAI in regulating TGF-beta signaling
through the modulation of TbetaRI levels, which has important implications for
cancer development in vivo.
PMID- 24933705
TI - Theory of mind and switching predict prospective memory performance in
adolescents.
AB - Research indicates ongoing development of prospective memory as well as theory of
mind and executive functions across late childhood and adolescence. However, so
far the interplay of these processes has not been investigated. Therefore, the
purpose of the current study was to investigate whether theory of mind and
executive control processes (specifically updating, switching, and inhibition)
predict prospective memory development across adolescence. In total, 42
adolescents and 41 young adults participated in this study. Young adults
outperformed adolescents on tasks of prospective memory, theory of mind, and
executive functions. Switching and theory of mind predicted prospective memory
performance in adolescents.
PMID- 24933706
TI - Event- and time-triggered remembering: the impact of attention deficit
hyperactivity disorder on prospective memory performance in children.
AB - The current study examined prospective memory (PM, both time-based and event
based) and time estimation (TR, a time reproduction task) in children with and
without attention deficit hyperactivity disorder (ADHD). This study also
investigated the influence of task performance and TR on time-based PM in
children with ADHD relative to controls. A sample of 69 children, aged 8 to 13
years, completed the CyberCruiser-II time-based PM task, a TR task, and the Super
Little Fisherman event-based PM task. PM performance was compared with children's
TR abilities, parental reports of daily prospective memory disturbances
(Prospective and Retrospective Memory Questionnaire for Children, PRMQC), and
ADHD symptomatology (Conner's rating scales). Children with ADHD scored more
poorly on event-based PM, time-based PM, and TR; interestingly, TR did not appear
related to performance on time-based PM. In addition, it was found that PRMQC
scores and ADHD symptom severity were related to performance on the time-based PM
task but not to performance on the event-based PM task. These results provide
some limited support for theories that propose a distinction between event-based
PM and time-based PM.
PMID- 24933704
TI - The epicardium signals the way towards heart regeneration.
AB - From historical studies of developing chick hearts to recent advances in
regenerative injury models, the epicardium has arisen as a key player in heart
genesis and repair. The epicardium provides paracrine signals to nurture growth
of the developing heart from mid-gestation, and epicardium-derived cells act as
progenitors of numerous cardiac cell types. Interference with either process is
terminal for heart development and embryogenesis. In adulthood, the dormant
epicardium reinstates an embryonic gene programme in response to injury.
Furthermore, injury-induced epicardial signalling is essential for heart
regeneration in zebrafish. Given these critical roles in development, injury
response and heart regeneration, the application of epicardial signals following
adult heart injury could offer therapeutic strategies for the treatment of
ischaemic heart disease and heart failure.
PMID- 24933707
TI - Multiple perpetrator rape among girls evaluated at a hospital-based child
advocacy center: seven years of reviewed cases.
AB - The aim of this study was to describe contextual events, abuse experiences, and
disclosure processes of adolescents who presented to a hospital-based Child
Advocacy Center for medical evaluation and evidentiary collection as indicated
after experiencing multiple perpetrator rape during a single event (n=32) and to
compare these findings to a group of single perpetrator sexual assaults (n=534).
This study used a retrospective mixed-methods design with in-depth, forensic
interviews and complete physical examinations of gang-raped adolescents. Patients
ranged from 12 to 17 years (M=14 years). Girls who experienced multiple
perpetrator rape during a single event were more likely to have run away, to have
drunk alcohol in the past month, and to have participated in binge drinking in
the past 2 weeks. Acute presentation of these victims were rare but 30% had
hymenal transections and 38% had sexually transmitted infections (STIs). Forensic
interviews revealed alcohol was a common weapon used by offenders, and its use
resulted in victims experiencing difficulty in remembering and reporting details
for police investigation or physical and mental health care. Most victims were
raped at parties they attended with people they thought they could trust, and
they felt let down by witnesses who could have helped but did not intervene.
Although relatively rare, multiple perpetrator rape during a single event is a
type of severe sexual assault experience and has significant risks for
deleterious health outcomes. These victims require health care by trained
providers to diagnose physical findings, treat STIs, screen for trauma, and
support victims.
PMID- 24933708
TI - Child victims and poly-victims in China: are they more at-risk of family
violence?
AB - Multiple forms of violence may co-occur on a child. These may include various
forms of child victimization and different types of family violence. However,
evidence that child victims are more likely to witness other types of family
violence has been lacking in China. Using data of a large and diverse sample of
children recruited from 6 regions in China during 2009 and 2010 (N=18,341; 47%
girls; mean age=15.9 years), the associations between child victimization and
family violence witnessed were examined. Descriptive statistics and the
associations between child victimization, demographic characteristics, and family
violence witnessed were analyzed. Lifetime and preceding-year rates were 71.7%
and 60.0% for any form of child victimization and 14.0% and 9.2% for poly
victimization (having four or more types of victimization), respectively. Family
disadvantages (i.e., lower socio-economic status, single parents, and having more
than one child in the family) were associated with child victimization and poly
victimization. Witnessing of parental intimate partner violence, elder abuse, and
in-law conflict also increased the likelihood of child victimization and poly
victimization, even after the adjustment of demographic factors. Possible
mechanisms for the links between family violence and child victimization are
discussed. The current findings indicated the need for focusing on the whole
family rather than the victim only. For example, screening for different types of
family violence when child victims are identified may help early detection of
other victims within the family.
PMID- 24933709
TI - Acquired cholesteatoma in children: clinical features and surgical outcome.
AB - OBJECTIVE: In general, cholesteatoma tends to recur more frequently in children
than in adults. This has been suggested to be due to immature Eustachian tube
function, underdeveloped mastoid air cells, and subsequent repetitive otitis
media in children. This study was undertaken to determine the characteristics of
acquired cholesteatoma in children by comparison with that in adults. METHODS: We
retrospectively evaluated 42 children with acquired cholesteatoma (males, 38;
females, 4; age range, 3-15 years) using medical records from January 1999 to
December 2009 at the Department of Otolaryngology, Niigata University Hospital.
The extent of disease was classified according to the Classification and Staging
of cholesteatoma proposed by the Japan Otological Society in 2010. RESULTS: No
major differences in stage classification were observed between children and
adults. In children with pars flaccida-type cholesteatoma, the epithelium tended
to invade from the attic to the mastoid cavity and mesotympanum. In contrast,
adult patients with invasion to the mesotympanum were fewer. The rate of
disappearance of the stapes superstructure was almost the same in children and in
adults. The destruction of the superstructure of the stapes was more common in
pars tensa type than pars flaccida type; so it was dependent on the pathology.
Postoperative hearing levels were better in children, even in those with
widespread lesions. However, the recurrence rate was significantly higher in
children. CONCLUSIONS: Acquired cholesteatoma in children showed a wider
invasion, and the recurrence rates were higher than that in adults. For patients
with a widespread lesion and severe destruction of the ossicles, a two-stage
surgery is recommended.
PMID- 24933711
TI - Upper aero-digestive contamination by Pseudomonas aeruginosa and implications in
Cystic Fibrosis.
AB - BACKGROUND: Cystic Fibrosis (CF) is a severe genetic disorder that is common
among the Caucasian population. Bacterial respiratory infections are the main
cause of morbidity and mortality in CF patients. Pseudomonas aeruginosa is the
main pathogen of lower airways (LAW) decline. METHOD: To understand chronic
broncho-pulmonary colonization, a systematic review is conducted. The aim of our
article is to identify the pathways of contamination in the upper aero-digestive
tract. RESULTS: A large number of articles report that P. aeruginosa is
established first at nasopharyngeal sites. The vast majority of authors agree
that the upper aero-digestive tract is the first location of colonization by P.
aeruginosa and its presence appears to be predictive of subsequent broncho
pulmonary colonization. CONCLUSION: This review supports the possible involvement
of the nasal and paranasal sinuses and oral cavity as means of contamination.
PMID- 24933710
TI - Mutations of TMC1 cause deafness by disrupting mechanoelectrical transduction.
AB - OBJECTIVE: Mutations of transmembrane channel-like 1 gene (TMC1) can cause
dominant (DFNA36) or recessive (DFNB7/B11) deafness. In this article, we describe
the characteristics of DFNA36 and DFNB7/B11 deafness, the features of the Tmc1
mutant mouse strains, and recent advances in our understanding of TMC1 function.
METHODS: Publications related to TMC1, DFNA36, or DFNB7/B11 were identified
through PubMed. RESULTS: All affected DFNA36 subjects showed post-lingual,
progressive, sensorineural hearing loss (HL), initially affecting high
frequencies. In contrast, almost all affected DFNB7/B11 subjects demonstrated
congenital or prelingual severe to profound sensorineural HL. The mouse Tmc1 gene
also has dominant and recessive mutant alleles that cause HL in mutant strains,
including Beethoven, deafness, and Tmc1 knockout mice. These mutant mice have
been instrumental for revealing that Tmc1 and its closely related paralog Tmc2
are expressed in cochlear and vestibular hair cells, and are required for hair
cell mechanoelectrical transduction (MET). Recent studies suggest that TMC1 and
TMC2 may be components of the long-sought hair cell MET channel. CONCLUSION: TMC1
mutations disrupt hair cell MET.
PMID- 24933712
TI - Early effects of dexamethasone and anti-VEGF therapy in an inflammatory corneal
neovascularization model.
AB - Inflammatory angiogenesis is the pathogenic mechanism of various sight
threatening eye diseases, among them corneal neovascularization. Current
treatment options include steroids which have undesirable side effects, or anti
VEGF which has only limited efficacy. In an inflammatory environment, however,
angiogenesis can be stimulated by numerous factors not directly targeted by anti
VEGF therapy. The aim of this study was to induce corneal inflammation leading to
angiogenesis, and investigate the early, differential effects of steroid and anti
VEGF therapy at the cellular, tissue, and gene expression levels. Fifty-two
Wistar rats received a single intrastromal corneal suture to induce a controlled
inflammatory angiogenic response. Rats were subsequently treated with
dexamethasone, rat specific anti-VEGF, or goat IgG (control), topically 4 times
daily for 7 days. In vivo confocal microscopy of the cornea was performed
longitudinally from 5 h up to 7 d to investigate morphology at the cellular and
tissue-level. In vivo photographic vessel analysis and immunohistochemistry were
also performed. RT-PCR for VEGF-A, FGF-2, IL-6, TNF-alpha, CXCL2, CCL2, CCL3 and
DLL4 was performed at 24 h, and for VEGF-A, IL-6, TNF-alpha, FGF-2, CXCL2, CCL2,
and CCL3 at 7 days. Early infiltration of CD11b + myeloid cells into the cornea
at 5 h post-suture was delayed by both treatments relative to controls; however
neither treatment was able to suppress accumulation of myeloid cells at day 2 or
7. Limbal vessel dilation was inhibited at 5 h by both treatments, but only
dexamethasone showed sustained effect until day 2. Early macrophage recruitment
was also suppressed by dexamethasone (but not by anti-VEGF) until day 2.
Dexamethasone furthermore suppressed corneal neovascularization at day 7 by over
90%, whereas suppression by anti-VEGF was 14%. Despite differential suppression
of vessel dilation, macrophage recruitment, and vascular invasion, anti-VEGF and
dexamethasone both down-regulated VEGF-A and IL-6 expression at 24 h with
sustained effect to 7 d. They also both down regulated FGF-2 and TNF-alpha at 24
h and CCL2 at 7 d. In conclusion, anti-angiogenic treatments influence early, pre
angiogenic tissue activity such as limbal vessel dilation, inflammatory cell
infiltration of the stroma, and macrophage recruitment. Importantly, the
differential effects of steroids and anti-VEGF treatment in suppressing
neovascular growth could not be attributed to differential inhibition of several
major angiogenic and inflammatory factors in the early pre-sprouting phase,
including IL-6, VEGF-A, FGF-2, TNF-alpha, CCL2, CCL3, CXCL2, or DLL4.
PMID- 24933713
TI - Submodalities of emotion in the context of cingulate subregions.
PMID- 24933714
TI - Tumor progression during preoperative chemotherapy predicts failure to complete 2
stage hepatectomy for colorectal liver metastases: results of an Italian
multicenter analysis of 130 patients.
AB - BACKGROUND: We aimed to evaluate the feasibility and long-term results of 2-stage
hepatectomy (TSH) in patients with bilobar colorectal liver metastases (CRLM).
STUDY DESIGN: We performed a retrospective multicenter study including 4 Italian
hepatobiliary surgery units. One hundred thirty patients were selected for TSH
between 2002 and 2011. The primary endpoint was feasibility of TSH and analysis
of factors associated with failure to complete the procedure. The secondary
endpoint was the long-term survival analysis. RESULTS: Patients presented with
synchronous CRLM in 80.8% of cases, with a mean number of 8.3 CRLM and with
concomitant extrahepatic disease in 20.0% of cases. The rate of failure to
complete TSH was 21.5% and tumor progression was the most frequent reason for
failure (18.5% of cases). Primary tumor characteristics, type, number, and
distribution of CRLM were not associated with significantly different risks of
disease progression. Multivariable logistic regression analysis showed that tumor
progression during prehepatectomy chemotherapy was the only independent risk
factor for failure to complete TSH. The 5- and 10-year overall survival rates for
patients who completed TSH were 32.1% and 24.1%, respectively, with a median
survival of 43 months. Duration of prehepatectomy chemotherapy >=6 cycles was
found to be the only independent predictor of overall and disease-free survival.
CONCLUSIONS: This study showed that selection of patients by response to
prehepatectomy chemotherapy may be extremely important before planning TSH
because tumor progression while receiving prehepatectomy chemotherapy was
associated with significantly higher risk of failure to complete the second
stage. For patients who completed the TSH strategy, long-term outcomes can be
achieved with results similar to those observed after single-stage hepatectomy.
PMID- 24933716
TI - [Cardiovascular morbimortality after a follow-up of six years in black
hypertensive in South Algeria].
AB - INTRODUCTION: Arterial hypertension is a major public health problem not only
internationally, but also in our country, and it is the major risk factor for
cardiovascular diseases. In south Algeria, the black population is nearly half
the population of the oases of the Algerian Sahara. THE OBJECTIVES OF THE STUDY:
The objectives of the study are to analyze the long-term fate of the black
hypertensive subjects in Algerian oases in southern Algeria, in terms of
morbidity and mortality, comparing the morphometric profile and cardiovascular
complications with the white population of the same oases. MATERIALS AND METHODS:
One thousand four hundred and twenty-five subjects of both sexes were included
(811 blacks and 614 white subjects), aged 40 and older, living in the Algerian
Sahara and were reviewed after six years of decline. The control consisted of
filling a questionnaire oriented on civil status, target organ damage, the number
of hospitalizations and mortality. All calculations and statistical analyzes are
processed by the SPSS 17.0 and Epi Info6 software. RESULTS: Mean age for the
black population and the white population was 60.3+/-11.1 and 58.6+/-10.6years,
respectively. The incidence of hypertension was 50 % among blacks. The main
complications observed were: stroke in 3.8 %, heart failure in 3.1 %, myocardial
infarction in 1.7 %, hospitalizations related to cardiovascular complications of
the black population was around 4.4 %, mortality 5.4 %. CONCLUSION: These data on
hypertension black subjects emphasize the importance of a policy of adequate
local health issues raised, both in terms of the management of hypertension, as
in investment in local medical research.
PMID- 24933715
TI - Comparison of comorbidity collection methods.
AB - BACKGROUND: Multiple valid comorbidity indices exist to quantify the presence and
role of comorbidities in cancer patient survival. Our goal was to compare chart
based Adult Comorbidity Evaluation-27 index (ACE-27) and claims-based Charlson
Comorbidity Index (CCI) methods of identifying comorbid ailments and their
prognostic abilities. STUDY DESIGN: We conducted a prospective cohort study of
6,138 newly diagnosed cancer patients at 12 different institutions. Participating
registrars were trained to collect comorbidities from the abstracted chart using
the ACE-27 method. The ACE-27 assessment was compared with comorbidities captured
through hospital discharge face sheets using ICD coding. The prognostic
accomplishments of each comorbidity method were examined using follow-up data
assessed at 24 months after data abstraction. RESULTS: Distribution of the ACE-27
scores was: "none" for 1,453 (24%) of the patients; "mild" for 2,388 (39%);
"moderate" for 1,344 (22%), and "severe" for 950 (15%) of the patients. Deyo's
adaption of the CCI identified 4,265 (69%) patients with a CCI score of 0, and
the remaining 31% had CCI scores of 1 (n = 1,341 [22%]), 2 (n = 365 [6%]), or 3
or more (n = 167 [3%]). Of the 4,265 patients with a CCI score of zero, 394 (9%)
were coded with severe comorbidities based on ACE-27 method. A higher comorbidity
score was significantly associated with higher risk of death for both comorbidity
indices. The multivariable Cox model, including both comorbidity indices, had the
best performance (Nagelkerke's R(2) = 0.37) and the best discrimination (C index
= 0.827). CONCLUSIONS: The number, type, and overall severity of comorbid
ailments identified by chart- and claims-based approaches in newly diagnosed
cancer patients were notably different. Both indices were prognostically
significant and able to provide unique prognostic information.
PMID- 24933717
TI - Effect of oculomotor rehabilitation on accommodative responsivity in mild
traumatic brain injury.
AB - Accommodative dysfunction is a common oculomotor sequelae of mild traumatic brain
injury (mTBI). This study evaluated a range of dynamic (objective) and static
(subjective) measures of accommodation in 12 nonstrabismic individuals with mTBI
and near vision-related symptoms before and after oculomotor training (OMT) and
placebo (P) training (6 wk, two sessions per week, 3 h of training each).
Following OMT, the dynamics of accommodation improved markedly. Clinically, there
was a significant increase in the maximum accommodative amplitude both
monocularly and binocularly. In addition, the near vision symptoms reduced along
with improved visual attention. None of the measures were found to change
significantly following P training. These results provide evidence for a
significant positive effect of the accommodatively based OMT on accommodative
responsivity. Such improvement is suggestive of oculomotor learning,
demonstrating considerable residual brain-visual system plasticity in the adult
compromised brain.
PMID- 24933718
TI - Influence of physical capacities of males with transtibial amputation on gait
adjustments on sloped surfaces.
AB - The aim of the study was to investigate how kinematic and kinetic adjustments
between level and slope locomotion of persons with transtibial amputation are
related to their individual muscular and functional capacities. A quantified gait
analysis was conducted on flat and slope surfaces for seven patients with
transtibial amputation and a control group of eight subjects to obtain
biomechanical parameters. In addition, maximal isometric muscular strength (knee
and hip extensors) and functional scores were measured. The results of this study
showed that most of the persons with transtibial amputation could adapt to ramp
ascent either by increasing ankle, knee, and hip flexion angles of the residual
limb and/or by recruiting their hip extensors to guarantee enough hip extension
power during early stance. Besides, 6-minute walk test score was shown to be a
good predictor of adaptation capacities to slope ascent. In ramp descent, the
increase of knee flexion moment was correlated with knee extensor strength and
residual-limb length. However, no correlation was observed with functional
parameters. Results show that the walking strategy adopted by persons with
transtibial amputation to negotiate ramp locomotion mainly depends on their
muscular capacities. Therefore, muscular strengthening should be a priority
during rehabilitation.
PMID- 24933719
TI - How do walking, standing, and resting influence transtibial amputee residual limb
fluid volume?
AB - The purpose of this research was to determine how fluid volume changes in the
residual limbs of people with transtibial amputation were affected by activity
during test sessions with equal durations of resting, standing, and walking.
Residual limb extracellular fluid volume was measured using biompedance analysis
in 24 participants. Results showed that all subjects lost fluid volume during
standing with equal weight-bearing, averaging a loss rate of -0.4%/min and a mean
loss over the 25 min test session of 2.6% (standard deviation [SD] 1.1). Sixteen
subjects gained limb fluid volume during walking (mean gain of 1.0% [SD 2.5]),
and fifteen gained fluid volume during rest (mean gain of 1.0% [SD 2.2]). Walking
explained only 39.3% of the total session fluid volume change. There was a strong
correlation between walk and rest fluid volume changes (-0.81). Subjects with
peripheral arterial disease experienced relatively high fluid volume gains during
sitting but minimal changes or losses during sit-to-stand and stand-to-sit
transitioning. Healthy female subjects experienced high fluid volume changes
during transitioning from sit-to-stand and stand-to-sit. The differences in fluid
volume response among subjects suggest that volume accommodation technologies
should be matched to the activity-dependent fluid transport characteristics of
the individual prosthesis user.
PMID- 24933721
TI - Sensor-based hip control with hybrid neuroprosthesis for walking in paraplegia.
AB - The objectives of this study were to test whether a hybrid neuroprosthesis (HNP)
with an exoskeletal variable-constraint hip mechanism (VCHM) combined with a
functional neuromuscular stimulation (FNS) controller can maintain upright
posture with less upper-limb support and improve gait speed as compared with
walking with either an isocentric reciprocating gait orthosis (IRGO) or FNS only.
The results show that walking with the HNP significantly reduced forward lean in
FNS-only walking and the maximum upper-limb forces by 42% and 19% as compared
with the IRGO and FNS-only gait, respectively. Walking speed increased
significantly with VCHM as compared with 1:1 reciprocal coupling and by 15% when
using the sensor-based FNS controller as compared with HNP with fixed baseline
stimulation without the controller active.
PMID- 24933720
TI - Increased reward in ankle robotics training enhances motor control and cortical
efficiency in stroke.
AB - Robotics is rapidly emerging as a viable approach to enhance motor recovery after
disabling stroke. Current principles of cognitive motor learning recognize a
positive relationship between reward and motor learning. Yet no prior studies
have established explicitly whether reward improves the rate or efficacy of
robotics-assisted rehabilitation or produces neurophysiologic adaptations
associated with motor learning. We conducted a 3 wk, 9-session clinical pilot
with 10 people with chronic hemiparetic stroke, randomly assigned to train with
an impedance-controlled ankle robot (anklebot) under either high reward (HR) or
low reward conditions. The 1 h training sessions entailed playing a seated video
game by moving the paretic ankle to hit moving onscreen targets with the anklebot
only providing assistance as needed. Assessments included paretic ankle motor
control, learning curves, electroencephalograpy (EEG) coherence and spectral
power during unassisted trials, and gait function. While both groups exhibited
changes in EEG, the HR group had faster learning curves (p = 0.05), smoother
movements (p = 0.05), reduced contralesional-frontoparietal coherence (p =
0.05), and reduced left-temporal spectral power (p = 0.05). Gait analyses
revealed an increase in nonparetic step length (p = 0.05) in the HR group only.
These results suggest that combining explicit rewards with novel anklebot
training may accelerate motor learning for restoring mobility.
PMID- 24933722
TI - Complex muscle vibration patterns to induce gait-like lower-limb movements: proof
of concept.
AB - Muscle vibrations can induce motor responses and illusions of complex movements.
However, inducing gait-like cyclical movements and illusions requires the
application of multiple fast alternating vibrations to lower-limb muscles. The
objectives were (1) to test the feasibility of delivering complex vibrations in a
time-organized manner and (2) to illustrate the possibility of inducing alternate
gait-in-place-like movements using these vibrations. Patterns of vibration,
produced by 12 vibrators applied bilaterally on the flexor and extensor muscle
groups of the lower limbs, were based on normal gait kinematics. We tested 1 s
and 2 s cycle patterns of vibration. Vibrator responses were assessed using auto-
and crosscorrelations and frequency analyses based on accelerometry measurements,
and compared between patterns. High auto- (>0.8) and crosscorrelation (>0.6)
coefficients demonstrated a good response by the vibrators to the control signal.
Vibrations induced cyclical, low-amplitude stepping-in-place movements that
mimicked alternate walking movements with both legs, with 1 s and 2 s cycle
durations, in one nondisabled participant and one participant with American
Spinal Injury Association Impairment Scale B spinal cord injury standing,
relaxed, with body-weight support. Electromechanical vibrators can deliver
complex cyclical vibrations and trigger gait-like lower-limb movements. These
results warrant the application of these vibration patterns on individuals with
sensorimotor impairments to test their potential in gait rehabilitation.
PMID- 24933723
TI - Comparison of body-powered voluntary opening and voluntary closing prehensor for
activities of daily life.
AB - Persons with an upper-limb amputation who use a body-powered prosthesis typically
control the prehensor through contralateral shoulder movement, which is
transmitted through a Bowden cable. Increased cable tension either opens or
closes the prehensor; when tension is released, some passive element, such as a
spring, returns the prehensor to the default state (closed or open). In this
study, we used the Southampton Hand Assessment Procedure to examine functional
differences between these two types of prehensors in 29 nondisabled subjects (who
used a body-powered bypass prosthesis) and 2 persons with unilateral transradial
amputations (who used a conventional body-powered device). We also administered a
survey to determine whether subjects preferred one prehensor or the other for
specific tasks, with a long-term goal of assessing whether a prehensor that could
switch between both modes would be advantageous. We found that using the
voluntary closing prehensor was 1.3 s faster (p = 0.02) than using the voluntary
opening prehensor, across tasks, and that there was consensus among subjects on
which types of tasks they preferred to do with each prehensor type. Twenty-five
subjects wanted a device that could switch between the two modes in order to
perform particular tasks.
PMID- 24933724
TI - Performance-based assessment of falls risk in older veterans with executive
dysfunction.
AB - Falling is a serious hazard for older veterans that may lead to severe injury,
loss of independence, and death. While the American Geriatrics Society (AGS)
provides guidelines to screen individuals at risk for falls, the guidelines may
be less successful with specific subgroups of patients. In a veteran sample, we
examined whether the Timed Up and Go (TUG) test, including a modified version,
the TUG-Cognition, effectively detected potential fallers whose risk was
associated with cognitive deficits. Specifically, we sought to determine whether
TUG tasks and AGS criteria were differentially associated with executive
dysfunction, whether the TUG tasks identified potential fallers outside of those
recognized by AGS criteria, and whether these tasks distinguished groups of
fallers. Participants included 120 mostly male patients referred to the Memory
Assessment Clinic because of cognitive impairment. TUG-Cognition scores were
strongly associated with executive dysfunction and differed systematically
between fallers grouped by number of falls. These findings suggest that the TUG
Cognition shows promise in identifying fallers whose risk is related to or
compounded by cognitive impairment. Future research should study the predictive
validity of these measures by following patients prospectively.
PMID- 24933725
TI - Evaluation of two cane instruments in older adults with knee osteoarthritis.
AB - The objectives of this study were to describe the psychometric properties of the
Cane Cognitive Mediator Scale (CCMS) and the Psychosocial Impact of Assistive
Devices Scale (PIADS) in adults with knee osteoarthritis (OA) and to determine
the feasibility of applying these instruments as screening tools to identify
patients with the propensity to use a cane. Data from a randomized crossover
trial were analyzed for 53 older adults with knee OA. Perceptions on using a cane
were measured at baseline using the CCMS and PIADS. The CCMS was repeated 1 wk
later. At 6 mo, subjects rated their intention to use a cane. The findings
indicated that 1 wk test-retest reliability was acceptable for the CCMS Attitudes
and Subjective Norms subscales (r = 0.48 to 0.93) and low for the CCMS Perceived
Behavioral Control subscale (r = 0.15). Internal consistency reliability was good
for each CCMS and PIADS subscale. The CCMS Subjective Norms subscale demonstrated
acceptable predictive validity across all subgroups (r = 0.53 to 0.88). The PIADS
Adaptability subscale demonstrated acceptable predictive validity for the 45 to
64 yr-old age group (r = 0.54). The findings indicate that the CCMS Subjective
Norms subscale exhibits good psychometric properties and has potential
application as a screening tool.
PMID- 24933726
TI - PTSD is negatively associated with physical performance and physical function in
older overweight military Veterans.
AB - This study examines the effect of posttraumatic stress disorder (PTSD) on
function and physical performance in older overweight military Veterans with
comorbid conditions. This is a secondary data analysis of older Veterans (mean
age = 62.9 yr) participating in a physical activity counseling trial. Study
participants with PTSD (n = 67) and without PTSD (n = 235) were identified. Self
reported physical function (36-item Short Form Health Survey) and directly
measured physical performance (mobility, aerobic endurance, strength) were
assessed. Multivariate analyses of variance controlling for demographic factors
and psychiatric disorders demonstrated significant physical impairment among
those with PTSD. PTSD was negatively associated with self-reported physical
function, functioning in daily activities, and general health (p < 0.01). Those
with PTSD also performed significantly worse on tests of lower-limb function (p <
0.05). Despite being significantly younger, Veterans with PTSD had comparable
scores on gait speed, aerobic endurance, grip strength, and bodily pain compared
with Veterans without PTSD. This study provides preliminary data for the negative
association between PTSD and physical function in older military Veterans. These
data highlight the importance of ongoing monitoring of physical performance among
returning Veterans with PTSD and intervening in older overweight Veterans with
PTSD, whose physical performance scores are indicative of accelerated risk of
premature functional aging.
PMID- 24933727
TI - Elastic head support for persons with amyotrophic lateral sclerosis.
AB - This article describes an inexpensive elastic head support for persons with
amyotrophic lateral sclerosis (ALS) and neck muscle weakness and also presents a
case series to examine its effectiveness. The device offers support to the head
while the user is seated, standing, and walking, providing support for persons in
various stages of ALS. The head support system was tested in seven male patients
with ALS. Before and after the 2 wk trial, the subjects answered questions
related to their communication efficacy, difficulty swallowing, level of neck
discomfort, number of hours being upright before neck discomfort, comfort in
social settings, and rating of perceived dyspnea. Subjects also answered specific
questions related to the elastic head support after the 2 wk trial. The results
suggested that the elastic head support is useful for some, but not all,
patients.
PMID- 24933729
TI - New methods for evaluating physical and thermal comfort properties of orthotic
materials used in insoles for patients with diabetes.
AB - Orthotic insoles are commonly used in the treatment of the diabetic foot to
prevent ulcerations. Choosing suitable insole material is vital for effective
foot orthotic treatment. We examined seven types of orthotic materials. In
consideration of the key requirements and end uses of orthotic insoles for the
diabetic foot, including accommodation, cushioning, and control, we developed
test methods for examining important physical properties, such as force reduction
and compression properties, insole-skin friction, and shear properties, as well
as thermal comfort properties of fabrication materials. A novel performance index
that combines various material test results together was also proposed to
quantify the overall performance of the insole materials. The investigation
confirms that the insole-sock interface has a lower coefficient of friction and
shearing stress than those of the insole-skin interface. It is also revealed that
material brand and the corresponding density and cell volume, as well as
thickness, are closely associated with the performance of moisture absorption and
thermal comfort. On the basis of the proposed performance index, practitioners
can better understand the properties and performance of various insole materials,
thus prescribing suitable orthotic insoles for patients with diabetic foot.
PMID- 24933728
TI - Detraining outcomes with expiratory muscle strength training in Parkinson
disease.
AB - Expiratory muscle strength training (EMST) is efficacious for improving maximum
expiratory pressure (MEP), cough function, and swallowing safety in Parkinson
disease (PD). However, there are no published reports describing detraining
effects following EMST in persons with PD. Moreover, there are no published
reports describing detraining effects following any behavioral swallowing
intervention. Ten participants with PD underwent 3 mo of detraining following
EMST. Measures of MEP and swallowing safety were made prior to beginning EMST
(baseline), posttreatment (predetraining), and 3 mo postdetraining. Participants
demonstrated, on average, a 19% improvement in MEP from pre- to post-EMST.
Following the 3 mo detraining period, MEP declined by 2% yet remained 17% above
the baseline value. No statistically significant changes were found in swallowing
safety from post-EMST to postdetraining period. Following the 3 mo detraining
period, seven participants demonstrated no change in swallowing safety, one
worsened, and two had improvements. This preliminary study highlights the need
for the design of maintenance programs to sustain function following intensive
periods of training.
PMID- 24933730
TI - Sound transmission by cartilage conduction in ear with fibrotic aural atresia.
AB - A hearing aid using cartilage conduction (CC) has been proposed as an alternative
to bone conduction (BC) hearing aids. The transducer developed for this
application is lightweight, requires a much smaller fixation force than a BC
hearing aid, and is more convenient to use. CC can be of great benefit to
patients with fibrotic aural atresia. Fibrotic tissue connected to the ossicles
provides an additional pathway (termed fibrotic tissue pathway) for sound to
reach the cochlea by means of CC. To address the function of fibrotic tissue
pathway, BC and CC thresholds were measured in six ears with fibrotic aural
atresia. The relationship between the CC thresholds and the results of computed
tomography was investigated. In the ears with the presence of a fibrotic tissue
pathway, the CC thresholds were lower than the BC thresholds at 0.5 and 1.0 kHz.
At 2.0 kHz, no significant difference was observed between the BC and CC
thresholds. The current findings suggest that sound in the low to middle
frequency range is transmitted more efficiently by CC via a fibrotic tissue
pathway than BC. The development of hearing devices using CC can contribute to
rehabilitation, particularly in patients with fibrotic aural atresia.
PMID- 24933731
TI - Phosphorylation of Akt at the C-terminal tail triggers Akt activation.
AB - Aberrant hyper-activation of the protein kinase Akt plays a critical role in
promoting tumorigenesis. Mechanistically, previous studies establish that
phosphorylation of Akt at S473 and T308 by mTORC2 and PDK1, respectively, is
necessary for its full activation, thereby having been used as Akt activation
markers. Recently, we report that phosphorylation of S477 and T479 at the extreme
C-terminus of Akt1 promotes Akt1 activation. We further demonstrate that Akt1
pS477 and pT479 events are governed by Cdk2/Cyclin A or mTORC2 under distinct
cellular contexts such as cell cycle progression or growth stimulation
conditions. Here, we summarize our major findings regarding the biological
significance for pS477/pT479-mediated activation of Akt and also provide
perspectives for future follow-up studies.
PMID- 24933732
TI - Donor parity no longer a barrier for female-to-male hematopoietic stem cell
transplantation.
AB - Allogeneic hematopoietic stem cell transplantation (HSCT) is a widely applied
treatment for disorders mainly involving the hematopoietic system. The success of
this treatment depends on many different patient- and donor-specific factors.
Based on higher CD34+ yields and superior clinical outcomes associated with the
use of male donors, males are generally seen as the preferred HSCT donor. In
addition, female donors are notorious for bearing memory type lymphocytes induced
by previous pregnancies; such alloimmune cells may provoke unwanted immune
reactions such as graft-vs.-host disease in transplant recipients. Consequently,
many transplant centers try to avoid parous donors, particularly when searching
the best unrelated donor for a male patient. We recently showed that parous women
with female offspring have an anti-male directed tolerogenic immune status
comparable to that of nulliparous donors. As discussed in this article addendum,
the sex of the donor's offspring combined with the presence of HY-specific T
regulator cells are possibly better selection criteria than parity status per se.
PMID- 24933734
TI - Editorial: culture and psychiatry.
PMID- 24933733
TI - Is there unity in an image?
PMID- 24933735
TI - [Quantitative assessment on physical activity among Chinese adults aged 18 to 60
years old in three provinces].
PMID- 24933736
TI - [Epidemiological analysis on the deaths of hand-foot-mouth disease in Guizhou
province, 2012].
PMID- 24933737
TI - Primary delusional parasitosis treated effectively with paliperidone.
PMID- 24933739
TI - Colonoscopic localisation of colorectal tumours.
PMID- 24933738
TI - Schizophrenia: cracked but on the way to repair.
PMID- 24933740
TI - Gene expression analysis for prostate cancer management.
PMID- 24933741
TI - Myocardial sympathetic innervation imaging in heart failure.
PMID- 24933742
TI - Artificial intervertebral disc arthroplasty for treatment of degenerative disc
disease of the cervical spine.
PMID- 24933743
TI - Artificial pancreas device systems.
PMID- 24933744
TI - Special report: screening asymptomatic women with dense breasts and normal
mammograms for breast cancer.
PMID- 24933745
TI - Parotid gland pleomorphic adenoma with floret-like tyrosine-rich crystals.
PMID- 24933746
TI - An aripiprazole discontinuation syndrome.
AB - Major depression is a common and debilitating illness. Over recent years, new
pharmacologic treatments have been approved for this disorder, including the
atypical antipsychotics. One of the benefits of these medications is their
significant efficacy as augmenting agents for unipolar, nonpsychotic major
depressive disorder (MDD).Aripiprazole (marketed as Abilify, Bristol-Myers
Squibb/ Otsuka Pharmaceuticals) was the first medication of this class approved
for adjunctive treatment of MDD, and is the 5th most commonly prescribed
medication in the United States in 2010. However, despite the frequency of its
use, little has been described regarding events surrounding aripiprazole
discontinuation. Here I describe what is, to my knowledge, the first reported
case of an aripiprazole discontinuation syndrome. While directly relevant to
psychiatrists and behavioral specialists, the symptoms described here are
pertinent for internists and neurologists who may encounter this medication in
their clinical practice.
PMID- 24933747
TI - Phlegmonous colitis associated with advanced liver disease: case report and novel
radiological signs.
PMID- 24933748
TI - Q & A with Dr. Gowri Anandarajah on spirituality and medicine.
PMID- 24933749
TI - Broad hardship exemptions could make mandate a paper tiger.
PMID- 24933750
TI - Enrollment groups learning from mistakes in marketing to Latinos.
PMID- 24933751
TI - State boards' policy for telemedicine may present roadblocks.
PMID- 24933752
TI - Rethinking spine care.
PMID- 24933753
TI - An early system integration visionary.
PMID- 24933754
TI - Dedicated to molding new leaders.
PMID- 24933755
TI - Leadership through relationships.
PMID- 24933756
TI - Shelter for convalescence.
PMID- 24933757
TI - Where should you go for cancer care?
PMID- 24933758
TI - Medical home findings out-of-date.
PMID- 24933760
TI - Redefining healthcare leadership.
PMID- 24933759
TI - Don't downplay patient satisfaction.
PMID- 24933761
TI - A hippocratic oath for healthcare managers.
PMID- 24933762
TI - Employers must keep pressuring providers on costs.
PMID- 24933763
TI - When things are not to your liking.
PMID- 24933764
TI - The state of professional discipline in 2013.
PMID- 24933765
TI - Time well spent.
PMID- 24933766
TI - When the dentist and patient don't agree.
PMID- 24933767
TI - Septic arthritis of the shoulder in a dental patient: a case report and review.
AB - Septic arthritis of the glenohumoral joint is rare following dental procedures,
comprising approximately 3% of all joint infections. Septic arthritis following
bacteremia from dental procedures is uncommon and generally occurs in prosthetic
joints. Predisposing causes may include immunocompromising diseases such as
diabetes, HIV infection, renal failure and intravenous drug abuse. We report a
rare case of unilateral glenohumoral joint septic arthritis in a 60-year-old male
patient (without a prosthetic joint) secondary to a dental procedure. The
insidious nature of the presentation is highlighted. Septic arthritis infections,
though rare, require a high level of clinical suspicion. Vague symptoms of
shoulder pain may mask the initial diagnosis, as was the case in our patient.
Incision and drainage via surgical intervention are often required, followed by
parenteral antibiotics.
PMID- 24933769
TI - Dental management of florid cemento-osseous dysplosia.
AB - Cemento-osseous dysplasia encompasses several different clinical and radiographic
presentations, including periapical, focal and florid cemento-osseous dysplasia
(FCOD). FCOD is usually asymptomatic and discovered only fortuitously. No
treatment is required unless the lesion is secondarily infected. Oral hygiene
advice should be emphasized for patients with FCOD to prevent caries and
periodontal diseases and to maintain natural teeth. Osseointegration of implants
would likely not be successful in these patients because the bone is abnormal and
not well-vascularized.
PMID- 24933768
TI - Concrescence: assessment of case by periapical radiography, cone beam computed
tomography and micro-computed tomography.
AB - The aim of this article was to describe imaging aspects of concrescence analyzed
by three imaging modalities. A second molar joined together with a third molar
was imaged using digital periapical radiography, cone beam computed tomography
(CBCT) and micro-computed tomography (Micro-CT). On periapical radiograph, the
mesial root of the third molar is superimposed on the distal root of the second
molar. On CBCT images, a large cementum union between bulbous roots was detected,
confirming the diagnosis of concrescence. On micro-CT images, the cementum union
appeared limited to the apical third of the roots. In conclusion, both computed
tomography modalities allowed for the diagnosis of concrescence. However, only
micro-CT provided the real extension of the cementum union.
PMID- 24933770
TI - Calcifying odontogenic cyst: dilemma in classification.
AB - Calcifying odontogenic cyst (COC) shows extreme diversity in its clinical and
histopathological features, as well as in its biological behavior. Because of
this diversity, there has been confusion and disagreement on the terminology and
classification of this lesion. Attempts to classify COC can be divided into two
concepts: monistic and dualistic. We present a case of COC with coexisting
histopathologic features of a cyst and a neoplasm, thus posing a dilemma in the
terminology used to categorize and classify it.
PMID- 24933771
TI - The relationship between estrogen, estrogen receptors and periodontal disease in
adult women: a review of the literature.
AB - The literature supports the fact that estrogen plays an important role in
skeletal maintenance and remodeling. Estrogen, acting through estrogen receptors
in the cells of the periodontal ligament, has a regulatory interaction on bone
dynamics through a complex set of basic multicellular units (BMUs). Deficiency of
estrogen results in an increased number of BMUs and enhanced bone turnover. The
impact of the changes in estrogen deficiency on bone dynamics is primarily
mediated through osteoclasts, with greater interdiction of estrogen's actions on
trabecular bone than on cortical bone. The purpose of this manuscript is to
review the literature for evidence to support an association between estrogen and
periodontal disease in adult women, as well as bone mineral density, and to help
clarify the mechanism of action. We found in our review of all pertinent
databases, including Cochrane, that there are few peer-reviewed clinical studies
that examine the relationship between estrogen deficiency and periodontal
disease, and bone mineral density (BMD) and periodontal disease. Thus, future
research is needed to investigate these associations so that at-risk patients can
be identified earlier to avoid functional and esthetic sequellae of periodontal
disease.
PMID- 24933772
TI - An unusual affliction of the tongue.
AB - A dramatic presentation of a large ulcer on the dorsal tongue of a recently
hospitalized patient is presented. The lesion was found to be nosocomial in
origin, and consistent with traumatic ulcerative granuloma with stromal
eosinophilia (TUGSE). A review of the current pathogenic mechanisms, differential
diagnosis and management of TUGSE is included.
PMID- 24933773
TI - Effect of irrigation with Tetraclean on bacterial leakage of obturated root
canals.
AB - The purpose of the study presented here was to evaluate the effect of Tetraclean,
Hypoclean, Chlor-XTRA, 2% chlorhexidine and 6% sodium hypochlorite/17% EDTA as a
final irrigant on bacterial leakage of the root canal. One hundred and fifty-five
extracted human maxillary central incisors were randomly divided into five
experimental groups of 25 teeth each and two control groups of 15 teeth each. The
root canals in each group were irrigated with 2 ml of the relevant irrigant
between each filing. The root canals in group 5 were irrigated with 5 ml of 17%
EDTA at the end of root canal preparation. The teeth in each group were obturated
with gutta-percha and AH-26 sealer. Positive control teeth were obturated with a
single gutta-percha cone without sealer, and negative controls were obturated in
the same way as experimental groups. The coronal portion of each root was placed
in contact with inoculum of Enterococcus faecalis in Brain Heart Infusion (BHI)
culture media. Findings showed that the mean number of days for bacterial
penetration in the Tetraclean group was greater than for other experimental
groups. On the other hand, the Chlor-XTRA Vista group showed the fewest mean
number of days for bacterial leakage.
PMID- 24933774
TI - Anticipate & educate to navigate murky healthcare reform waters.
PMID- 24933775
TI - Don't let depression derail your staff's morale and motivation.
PMID- 24933776
TI - Managing yourself will help you manage others.
PMID- 24933777
TI - Morale boosters can make your hospital a desirable place to work.
PMID- 24933778
TI - Postanesthesia care 'action plan' aims to ensure optimal patient safety.
PMID- 24933779
TI - Perceptive leadership fosters collaboration among hybrid OR staff.
PMID- 24933780
TI - Smart and simple process changes help cut case times and costs.
PMID- 24933781
TI - What happens in the BOD meetings doesn't stay there!
PMID- 24933782
TI - Family caregivers as co-patients.
PMID- 24933783
TI - Evaluating the impact of medication cabinets in patients' rooms on a medical
surgical telemetry unit.
AB - Results of a pre-post survey study designed to evaluate the impact of medication
cabinets in patients' rooms on nurses' satisfaction with medication
administration, medication charge accuracy, and errors are described.
PMID- 24933784
TI - Mantra meditation as a bedside spiritual intervention.
AB - The increased acceptance of integrative care allows nurses to investigate their
role as active providers of spiritual care at the bedside. Lack of clear role
expectations and interventions support the need for a simple, flexible spiritual
bedside intervention. The use of a meditation mantra is discussed.
PMID- 24933785
TI - Development and implementation of an educational support process for electronic
nursing admission assessment documentation.
AB - Educating nurses in use of the electronic health record nursing admission
assessment using e-learning alone may not yield best results. Use of a hybrid
instructional method of e-learning followed by a brief (20-minute) slide
presentation with face-to-instruction significantly improved nursing
documentation.
PMID- 24933786
TI - Reducing nasal pressure ulcers with an alternative taping device.
AB - Mucosal tissues are vulnerable to nasal pressure ulcers (NPUs) secondary to
nasogastric tubes, and can cause hospital-associated complications and increased
length of stay. The findings of this study suggest a commercially available
device significantly reduces NPUs and is more adherent compared to conventional
adhesive taping.
PMID- 24933787
TI - Nonalcoholic fatty liver disease and the PNPLA3 gene.
AB - Nonalcoholic fatty liver disease, a potentially fatal obesity-related condition,
affects more than 70 million people in the United States. Nurses are well
positioned to impact this genetically influenced disease by is increasing
awareness, providing patient education, and advocating for affected persons.
PMID- 24933788
TI - Procedure-specific postoperative pain management.
AB - With 48 million surgical proceduces performed annually in the United States
(Center for Disease Control and Prevention, 2012), posttoperative pain management
is a significant health care concern for both patients and health care providers.
Current information regarding assessment and treatment of postoperative pain is
discussed, including recommendations from the Procedure-Specific Postoperative
Pain Management (PROSPECT) working group.
PMID- 24933790
TI - Statistical and clinical significance.
PMID- 24933789
TI - Discharge planning rounds to the bedside: a patient- and family-centered
approach.
AB - Discharge planning rounds done at the bedside is an effective patient-centered
approach to discharge planning and does not take any longer than traditional
rounds apart from the patient and caregiver. Bedside rounds may decrease patient
utilization of health care resources after discharge.
PMID- 24933791
TI - The impact of simulation on patient care.
PMID- 24933792
TI - A response to the transformation of America's health care: direct-care nurses
bring FLOWERS to the bedside.
PMID- 24933793
TI - Can there really be joy at work?
PMID- 24933794
TI - Genetics: make the link.
PMID- 24933795
TI - Disparities in multiple risk factors for cardiovascular diseases - Delaware,
2011.
AB - INTRODUCTION: The purpose of this study is to determine the prevalence of
multiple risk factors for Cardiovascular Diseases (CVD) and to identify
disparities in risk status among population subgroups in Delaware. As a secondary
analysis the study will also analyze self-reported CVD prevalence overall and
discuss differences in prevalence by age, sex, race/ethnicity, education, income,
employment status, and county of residence. METHODS: Analysis was conducted using
Delaware data for 4,777 respondents from the 2011 Behavioral Risk Factor
Surveillance System (BRFSS). Survey participants having greater than or equal to
two of the following risk factors: obesity, high blood pressure, high blood
cholesterol, current smoking, and diabetes mellitus were considered as having
multiple risk factors for CVD. RESULTS: In 2011, the prevalence of CVD in
Delaware was 8.61 percent (95 percent Confidence Interval [CI, 7.55, 9.66]).
Overall, 22.51 percent (95 percent CI, 20.62-24.40) of persons reported having no
risk factors, 32.30 percent (95 percent CI, 30.31-34.28) reported one risk
factor, and 45.20 percent (95 percent CI, 43.18-47.21) reported multiple risk
factors. Prevalence of multiple risk factors was higher for the aged, less
educated, and unemployed. Disparities by gender and race were not significant.
Sussex County had a higher prevalence of CVD multiple risk factors, 53.18 percent
(95 percent CI, 49.47-56.89) followed by Kent County, 49.75 percent (95 percent
CI, 45.92-53.58). CONCLUSIONS: One of the priority goals of Healthy People 2020
is to improve cardiovascular health and quality of life through prevention,
detection, and treatment of risk factors for heart attack and stroke and also
prevention of repeat cardiovascular events. This study indicates that in 2011 a
higher proportion of the Delaware population had multiple risk factors for heart
disease and stroke, particularly certain population subgroups defined by
socioeconomic status. Development of effective prevention programs targeting
populations with greater risk factor prevalence should reduce CVD incidence,
which will significantly contribute to the decline in both CVD prevalence and CVD
mortality. Understanding the determinants for modifiable risk factors might
facilitate their control for public health.
PMID- 24933796
TI - Benefits of a dentifrice containing 8% arginine, calcium carbonate, and sodium
monofluorophosphate on enamel erosion.
PMID- 24933797
TI - In vitro study of the effect of a dentifrice containing 8% arginine, calcium
carbonate, and sodium monofluorophosphate on acid-softened enamel.
AB - OBJECTIVE: To investigate the possible mode of action of a dentifrice containing
8% arginine and calcium carbonate (Pro-Argin Technology), and sodium
monofluorophosphate in delivering the benefits of preventing acid erosion and
rehardening acid-softened enamel. METHODS: The surfaces of acid-softened bovine
enamel specimens were evaluated after application of a dentifrice containing 8%
arginine, calcium carbonate, and sodium monofluorophosphate in vitro. Scanning
Electron Microscopy (SEM), Electronic Spectrometry for Chemical Analysis (ESCA),
and Secondary Ion Mass Spectrometry (SIMS) were used to characterize the enamel
surfaces. RESULTS: Exposure of pristine enamel surfaces to citric acid resulted
in clear roughening of the surface. Multiple applications of a dentifrice
containing 8% arginine, calcium carbonate, and sodium monofluorophosphate to the
surface of the enamel resulted in the disappearance of the microscopic voids
observed by SEM as a function of treatment applications. The ESCA analysis
demonstrated that both the nitrogen and carbonate levels increased as the number
of treatments increased, which provides evidence that arginine and calcium
carbonate were bound to the surface. Observance of arginine's signature mass
fragmentation pattern by SIMS analysis confirmed the identity of arginine on the
enamel surface. CONCLUSION: A series of in vitro experiments has demonstrated a
possible mode of action by which a dentifrice containing 8% arginine, calcium
carbonate, and sodium monofluorophosphate delivers the benefits of preventing
acid erosion and rehardening acid-softened enamel. The combination of arginine
and calcium carbonate adheres to the enamel surface and helps to fill the
microscopic gaps created by acid, which in turn helps repair the enamel and
provides a protective coating against future acid attacks.
PMID- 24933798
TI - Evaluation of a dentifrice containing 8% arginine, calcium carbonate, and sodium
monofluorophosphate to prevent enamel loss after erosive challenges using an
intra-oral erosion model.
AB - OBJECTIVE: The objective of this study was to assess the ability of a dentifrice
containing 8% arginine and calcium carbonate (Pro-Argin' Technology), and 1450
ppm fluoride as sodium monofluorophosphate (MFP) to prevent enamel loss from an
erosive acid challenge in comparison to a silica-based dentifrice with 1450 ppm
fluoride as MFP using an intra-oral erosion model. METHODS: The intra-oral
clinical study used a double blind, two-treatment, crossover design. A palatal
retainer was used to expose the enamel specimens to the oral environment during
the five-day treatment period. The retainer was designed to house three partially
demineralized bovine enamel samples. The study population was composed of 24
adults, ages 18 to 70 years. The study consisted of two treatment periods, with a
washout period lasting seven (+/- three) days preceding each treatment phase. A
silica-based dentifrice without fluoride was used during the washout period. The
Test Dentifrice used in this study contained 8% arginine and calcium carbonate
(Pro-Argin Technology), and 1450 ppm fluoride as sodium monofluorophosphate
(MFP). The Control Dentifrice was silica-based and contained 1450 ppm fluoride as
MFP. The treatment period lasted five days, during which the panelists wore the
retainer 24 hours a day (except during meals and the ex vivo acid challenges) and
brushed with their assigned product while wearing the retainer. The panelists
brushed once in the morning and once in the evening each day for one minute,
followed by a one-minute swish with the slurry and a rinse with 15 ml of water.
The panelists brushed only their teeth and not the specimens directly. There were
four ex vivo challenges with 1% citric acid dispersed throughout the day: two in
the morning, one in the afternoon, and one in the evening. Mineral loss was
monitored by a quantitative light fluorescence (QLF) technique. RESULTS: Twenty
three of 24 subjects successfully completed the study. The one subject who did
not complete the study did so for reasons unrelated to the study or products
used. The average percent mineral loss for the Test Dentifrice and Control
Dentifrice was 9.74 +/- 13.23 and 18.36 +/- 14.14, respectively. The statistical
analysis showed that the observed product differences were statistically
significant (p < 0.001). CONCLUSION: The Test Dentifrice with 8% arginine,
calcium carbonate, and 1450 ppm fluoride as MFP provided significantly better
protection against erosive challenges in comparison to the Control Dentifrice
with 1450 ppm fluoride as MFP.
PMID- 24933800
TI - Sodium fertilization increases termites and enhances decomposition in an
Amazonian forest.
AB - Added Na was used to determine whether litter decomposition and associated fungal
biomass and termites are limited by Na availability in a lowland tropical
rainforest at Yasuni, Ecuador. This is a partial test of the "sodium ecosystem
respiration" (SER) hypothesis that posits Na is critical for consumers but not
plants, that Na shortfall is more likely on highly weathered soils inland from
oceanic aerosols, and that this shortfall results in decreased decomposer
activity. We fertilized 4 x 4 m plots twice a month for a year with quantities of
Na comparable to those falling on a coastal tropical rainforest. Decomposition
rates of four substrates were consistently higher on +NaCl plots by up to 70% for
cellulose, and 78%, 68%, and 29% for three woods of increasing percentage lignin.
The density of termite workers averaged 17-fold higher on +NaCl plots; fungal
biomass failed to differ. After controlling for temperature and precipitation,
which co-limit gross primay productivity (GPP) and ecosystem respiration (ER),
these results suggest that Na shortfall is an agent enhancing the storage of
coarse woody debris in inland tropical forests.
PMID- 24933799
TI - Evaluation of a dentifrice containing 8% arginine, calcium carbonate, and sodium
monofluorophosphate to repair acid-softened enamel using an intra-oral
remineralization model.
AB - OBJECTIVE: An intra-oral remineralization study was conducted to compare the
ability of a dentifrice containing 8% arginine and calcium carbonate (Pro-Argin
Technology), and 1450 ppm fluoride as sodium monofluorophosphate (MFP) to
remineralize acid-softened bovine enamel specimens compared to a silica-based
dentifrice with 1450 ppm fluoride as MFP. METHODS: The intra-oral clinical study
employed a double blind, two-treatment, crossover design, and used an upper
palatal retainer to expose the enamel specimens to the oral environment during
product use and periods of remineralization. The retainer was designed to house
three partially demineralized bovine enamel samples. The study population was
comprised of 30 adults, ages 18 to 70 years. The study consisted of two treatment
phases with a washout period lasting seven (+/- three) days preceding each
treatment phase. A silica-based dentifrice without fluoride was used during the
washout period. The Test Dentifrice used in this study contained 8% arginine,
calcium carbonate, and 1450 ppm fluoride as sodium monofluorophosphate (MFP). The
Control Dentifrice was silica-based and contained 1450 ppm fluoride as MFP. The
treatment period consisted of a three-day lead-in period with the assigned
product. The panelists brushed two times per day during the three-day lead-in
period with the assigned product. On the fourth day, the panelists began brushing
with the assigned product with the retainer in their mouth. The panelists brushed
for one minute, followed by a one-minute swish with the slurry and a rinse with
15 ml of water in the morning, in the afternoon, and night with the retainer in
the mouth. The panelists brushed only their teeth and not the specimens directly.
Changes in mineral content before and after treatment were measured using a Knoop
microhardness tester. RESULTS: The results of the study showed that percent
remineralization values for the Test Dentifrice and Control Dentifrice were
14.99% and 8.66%, respectively. A statistical analysis showed that the Test
Dentifrice was statistically significantly more effective at remineralizing acid
softened enamel in comparison to the Control Dentifrice (p < 0.05). CONCLUSION:
This study demonstrated that the Test Dentifrice with 8% arginine, calcium
carbonate, and 1450 ppm fluoride as MFP is highly effective treatment for
promoting remineralization of enamel that has been softened by an erosive
challenge.
PMID- 24933801
TI - Masting promotes individual- and population-level reproduction by increasing
pollination efficiency.
AB - Masting is a reproductive strategy defined as the intermittent and synchronized
production of large seed crops by a plant population. The pollination efficiency
hypothesis proposes that masting increases pollination success in plants. Despite
its general appeal, no previous studies have used long-term data together with
population- and individual-level analyses to assess pollination efficiency
between mast and non-mast events. Here we rigorously tested the pollination
efficiency hypothesis in ponderosa pine (Pinus ponderosa), a long-lived
monoecious, wind-pollinated species, using a data set on 217 trees monitored
annually for 20 years. Relative investment in male and female function by
individual trees did not vary between mast and non-mast years. At both the
population and individual level, the rate of production of mature female cones
relative to male strobili production was higher in mast than non-mast years,
consistent with the predicted benefit of reproductive synchrony on reproductive
success. In addition, at the individual level we found a higher conversion of
unfertilized female conelets into mature female cones during a mast year compared
to a non-mast year. Collectively, parallel results at the population and
individual tree level provide robust evidence for the ecological, and potentially
also evolutionary, benefits of masting through increased pollination efficiency.
PMID- 24933802
TI - Plant community response to loss of large herbivores differs between North
American and South African savanna grasslands.
AB - Herbivory and fire shape plant community structure in grass-dominated ecosystems,
but these disturbance regimes are being altered around the world. To assess the
consequences of such alterations, we excluded large herbivores for seven years
from mesic savanna grasslands sites burned at different frequencies in North
America (Konza Prairie Biological Station, Kansas, USA) and South Africa (Kruger
National Park). We hypothesized that the removal of a single grass-feeding
herbivore from Konza would decrease plant community richness and shift community
composition due to increased dominance by grasses. Similarly, we expected grass
dominance to increase at Kruger when removing large herbivores, but because large
herbivores are more diverse, targeting both grasses and forbs, at this study
site, the changes due to herbivore removal would be muted. After seven years of
large-herbivore exclusion, richness strongly decreased and community composition
changed at Konza, whereas little change was evident at Kruger. We found that this
divergence in response was largely due to differences in the traits and numbers
of dominant grasses between the study sites rather than the predicted differences
in herbivore assemblages. Thus, the diversity of large herbivores lost may be
less important in determining plant community dynamics than the functional traits
of the grasses that dominate mesic, disturbance-maintained savanna grasslands.
PMID- 24933803
TI - Does biodiversity protect humans against infectious disease?
AB - Control of human infectious disease has been promoted as a valuable ecosystem
service arising from the conservation of biodiversity. There are two commonly
discussed mechanisms by which biodiversity loss could increase rates of
infectious disease in a landscape. First, loss of competitors or predators could
facilitate an increase in the abundance of competent reservoir hosts. Second,
biodiversity loss could disproportionately affect non-competent, or less
competent reservoir hosts, which would otherwise interfere with pathogen
transmission to human populations by, for example, wasting the bites of infected
vectors. A negative association between biodiversity and disease risk, sometimes
called the "dilution effect hypothesis," has been supported for a few disease
agents, suggests an exciting win-win outcome for the environment and society, and
has become a pervasive topic in the disease ecology literature. Case studies have
been assembled to argue that the dilution effect is general across disease
agents. Less touted are examples in which elevated biodiversity does not affect
or increases infectious disease risk for pathogens of public health concern. In
order to assess the likely generality of the dilution effect, we review the
association between biodiversity and public health across a broad variety of
human disease agents. Overall, we hypothesize that conditions for the dilution
effect are unlikely to be met for most important diseases of humans. Biodiversity
probably has little net effect on most human infectious diseases but, when it
does have an effect, observation and basic logic suggest that biodiversity will
be more likely to increase than to decrease infectious disease risk.
PMID- 24933804
TI - Multiple mutualist effects: conflict and synergy in multispecies mutualisms.
AB - Most organisms interact with multiple mutualistic species that confer different
functional benefits, yet current conceptual frameworks do not fully address this
complexity. A network approach considers multiple mutualistic interactions within
a functional type and has been largely nonmechanistic, with little attention to
the fitness consequences of specific interactions. Alternatively, consumer
resource approaches have explicitly characterized the mechanisms and fitness
consequences of resource exchange, but have not been extended to functionally
divergent partners. First, we merge these approaches using graphical models to
define the multiple mutualist effects (MMEs) that occur when a focal species has
multiple partner mutualists. This approach mirrors food web research that has
been advanced by studies of multiple predator effects as well as by detailed
investigations of modules nested within larger networks. Second, we define the
pathways through which a focal mutualist and two or more partner species could
interact, reviewing examples of MMEs that span a range from positive to negative
fitness effects. Third, given the potential for nonadditivity demonstrated by the
existing literature, we pose new hypotheses for species-interaction outcomes by
examining factors such as the extent of overlap in rewards exchanged among
partners and their resulting network topologies. Our synthesis illustrates how
the consideration of MMEs can improve the ability to predict the outcomes of
losses or gains of mutualisms from ecosystems.
PMID- 24933805
TI - Climate warming mediates negative impacts of rapid pond drying for three
amphibian species.
AB - Anthropogenic climate change will present both opportunities and challenges for
pool-breeding amphibians. Increased water temperature and accelerated drying may
directly affect larval growth, development, and survival, yet the combined
effects of these processes on larvae with future climate change remain poorly
understood. Increased surface temperatures are projected to warm water and
decrease water inputs, leading to earlier and faster wetland drying. So it is
often assumed that larvae will experience negative synergistic impacts with
combined warming and drying. However, an alternative hypothesis is that warming
induced increases in metabolic rate and aquatic resource availability might
compensate for faster drying rates, generating antagonistic larval responses. We
conducted a mesocosm experiment to test the individual and interactive effects of
pool permanency (permanent vs. temporary) and water temperature (ambient vs. (+)
3 degrees C) on three anurans with fast-to-slow larval development rates (Great
Basin spadefoot [Spea intermontana], Pacific chorus frog [Pseudacris regilla],
and northern red-legged frog [Rana aurora]). We found that although tadpoles in
warmed pools reached metamorphosis 15-17 days earlier, they did so with little
cost (< 2 mm) to size, likely due to greater periphyton growth in warmed pools
easing drying-induced resource competition. Warming and drying combined to act
antagonistically on early growth (P = 0.06) and survival (P = 0.06), meaning the
combined impact was less than the sum of the individual impacts. Warming and
drying acted additively on time to and size at metamorphosis. These
nonsynergistic impacts may result from cotolerance of larvae to warming and
drying, as well as warming helping to offset negative impacts of drying. Our
results indicate that combined pool warming and drying may not always be harmful
for larval amphibians. However, they also demonstrate that antagonistic responses
are difficult to predict, which poses a challenge to proactive conservation and
management. Our study highlights the importance of considering the nature of
multiple stressor interactions as amphibians are exposed to an increasing number
of anthropogenic threats.
PMID- 24933806
TI - Countryside biogeography of Neotropical reptiles and amphibians.
AB - The future of biodiversity and ecosystem services depends largely on the capacity
of human-dominated ecosystems to support them, yet this capacity remains largely
unknown. Using the framework of countryside biogeography, and working in the Las
Cruces system of Coto Brus, Costa Rica, we assessed reptile and amphibian
assemblages within four habitats that typify much of the Neotropics: sun coffee
plantations (12 sites), pasture (12 sites), remnant forest elements (12 sites),
and a larger, contiguous protected forest (3 sites in one forest). Through
analysis of 1678 captures of 67 species, we draw four primary conclusions. First,
we found that the majority of reptile (60%) and amphibian (70%) species in this
study used an array of habitat types, including coffee plantations and actively
grazed pastures. Second, we found that coffee plantations and pastures hosted
rich, albeit different and less dense, reptile and amphibian biodiversity
relative to the 326-ha Las Cruces Forest Reserve and neighboring forest elements.
Third, we found that the small ribbons of "countryside forest elements" weaving
through farmland collectively increased the effective size of a 326-ha local
forest reserve 16-fold for reptiles and 14-fold for amphibians within our 236-km2
study area. Therefore, countryside forest elements, often too small for most
remote sensing techniques to identify, are contributing -95% of the available
habitat for forest-dependent reptiles and amphibians in our largely human
dominated study region. Fourth, we found large and pond-reproducing amphibians to
prefer human-made habitats, whereas small, stream-reproducing, and directly
developing species are more dependent on forest elements. Our investigation
demonstrates that tropical farming landscapes can support substantial reptile and
amphibian biodiversity. Our approach provides a framework for estimating the
conservation value of the complex working landscapes that constitute roughly half
of the global land surface, and which are experiencing intensification pressure
worldwide.
PMID- 24933807
TI - Landscape context affects genetic diversity at a much larger spatial extent than
population abundance.
AB - Regional landscape context influences the fate of local populations, yet the
spatial extent of this influence (called the "scale of effect") is difficult to
predict. Thus, a major problem for conservation management is to understand the
factors governing the scale of effect such that landscape structure surrounding a
focal area is measured and managed at the biologically relevant spatial scale.
One unresolved question is whether and how scale of effect may depend on the
population response measured (e.g., abundance vs. presence/absence). If scales of
effect differ across population outcomes of a given species, management based on
one outcome may compromise another, further complicating conservation decision
making. Here we used an individual-based simulation model to investigate how
scales of effect of landscapes that vary in the amount and fragmentation of
habitat differ among three population responses (local abundance,
presence/absence, and genetic diversity). We also explored how the population
response measured affects the relative importance of habitat amount and
fragmentation in shaping local populations, and how dispersal distance mediates
the magnitude and spatial scale of these effects. We found that the spatial scale
most strongly influencing local populations depended on the outcome measured and
was predicted to be small for abundance, medium-sized for presence/absence, and
large for genetic diversity. Increasing spatial scales likely resulted from
increasing temporal scales over which outcomes were regulated (with local genetic
diversity being regulated over the largest number of generations). Thus, multiple
generations of dispersal and gene flow linked local population patterns to
regional population size. The effects of habitat amount dominated the effects of
fragmentation for all three outcomes. Increased dispersal distance strongly
reduced abundance, but not presence/absence or genetic diversity. Our results
suggest that managing protected species at spatial scales based on population
abundance data may ignore broader landscape effects on population genetic
diversity and persistence, lending support to the importance of managing large
buffers surrounding areas of conservation concern.
PMID- 24933809
TI - Linking secondary structure of individual size distribution with nonlinear size
trophic level relationship in food webs.
AB - Existing individual size distribution (ISD) theories assume that the trophic
level (TL) of an organism varies as a linear function of its log-transformed body
size. This assumption predicts a power-law distribution of the ISD, i.e., a
linear relationship between size and abundance in log space. However, the
secondary structure of ISD (nonlinear dome shape structures deviating from a
power-law distribution) is often observed. We propose a model that extends the
metabolic theory to link the secondary structure of ISD to the nonlinear size-TL
relationship. This model is tested with empirical data collected from a
subtropical reservoir. The empirical ISD and size-TL relationships were
constructed by FlowCAM imaging analysis and stable isotope analyses,
respectively. Our results demonstrate that the secondary structure of ISD can be
predicted from the nonlinear function of size-TL relationship and vice versa.
Moreover, these secondary structures arise due to (1) zooplankton omnivory and
(2) the trophic interactions within microbial food webs.
PMID- 24933808
TI - Capital and income breeding: the role of food supply.
AB - An aspect of life history that has seen increasing attention in recent years is
that of strategies for financing the costs of offspring production. These
strategies are often described by a continuum ranging from capital breeding, in
which costs are met purely from endogenous reserves, to income breeding, in which
costs are met purely from concurrent intake. A variety of factors that might
drive strategies toward a given point on the capital-income continuum has been
reviewed, and assessed using analytical models. However, aspects of food supply,
including seasonality and unpredictability, have often been cited as important
drivers of capital and income breeding, but are difficult to assess using
analytical models. Consequently, we used dynamic programming to assess the role
of the food supply in shaping offspring provisioning strategies. Our model is
parameterized for a pinniped (one taxon remarkable for the range of offspring
provisioning strategies that it illustrates). We show that increased food
availability, increased seasonality, and, to a lesser extent, increased
unpredictability can all favor the emergence of capital breeding. In terms of the
conversion of energy into offspring growth, the shorter periods of care
associated with capital breeding are considerably more energetically efficient
than income breeding, because shorter periods of care are associated with a
higher ratio of energy put into offspring growth to energy spent on parent and
offspring maintenance metabolism. Moreover, no clear costs are currently
associated with capital accumulation in pinnipeds. This contrasts with general
assumptions about endotherms, which suggest that income breeding will usually be
preferred. Our model emphasizes the role of seasonally high abundances of food in
enabling mothers to pursue an energetically efficient capital-breeding strategy.
We discuss the importance of offspring development for dictating strategies for
financing offspring production.
PMID- 24933810
TI - The sexual neighborhood through time: competition and facilitation for
pollination in Lobelia cardinalis.
AB - Reproductive success in flowering plants is influenced by the morphology and
timing of reproductive structures as well as the density of surrounding
conspecifics. In species with separate male and female flower phases, successful
pollen transfer is also expected to vary with the density and ratio of
surrounding male and female flowers. Increased density of surrounding flowers may
increase pollinator visitation rates, but the densities of male and female
flowers will determine the availability of pollen and the strength of competition
for pollen receipt. Here we (1) quantify the influence of surrounding plant
density on total seasonal fruit and seed production, (2) quantify the influence
of sexual neighborhood (surrounding sex ratio and densities of male- and female
phase flowers) on fruit and seed production for individual flowers presented
within the season, and (3) compare the influence of plant density on fitness to
that of focal plant phenotype, specifically stigma-nectary distance and plant
height, in a natural population of the pollen-limited, hummingbird-pollinated
hermaphrodite Lobelia cardinalis. These relationships were examined at four
spatial scales (10, 20, 50, and 100 cm). By examining temporal and spatial scales
we found that (1) total seed production per plant decreased with increasing plant
density at the smallest scale but increased with increasing density at all larger
scales; (2) at any given time, a female-phase flower benefited from a higher
density of surrounding male-phase flowers and a lower density of surrounding
female-phase flowers; (3) when sex ratio was explicitly analyzed, a female-phase
flower benefited from a lower proportion of surrounding female flowers as well as
a lower total flower density; and (4) at the whole-plant level, taller plants
were more likely to produce fruit (even when accounting for total number of
flowers produced), consistent with pollinator preference for taller floral
displays. Our results suggest that the local density of male and female flowers
(and surrounding sex ratio) influences successful pollen transfer, implying that
the local floral environment may shape how attraction traits like plant height
are related to fitness.
PMID- 24933811
TI - Quantifying invasion resistance: the use of recruitment functions to control for
propagule pressure.
AB - Invasive species distributions tend to be biased towards some habitats compared
to others due to the combined effects of habitat-specific resistance to invasion
and non-uniform propagule pressure. These two factors may also interact, with
habitat resistance varying as a function of propagule supply rate. Recruitment
experiments, in which the number of individuals recruiting into a population is
measured under different propagule supply rates, can help us understand these
interactions and quantify habitat resistance to invasion while controlling for
variation in propagule supply rate. Here, we constructed recruitment functions
for the invasive herb Hieracium lepidulum by sowing seeds at five different
densities into six different habitat types in New Zealand's Southern Alps
repeated over two successive years, and monitored seedling recruitment and
survival over a four year period. We fitted recruitment functions that allowed us
to estimate the total number of safe sites available for plants to occupy, which
we used as a measure of invasion resistance, and tested several hypotheses
concerning how invasion resistance differed among habitats and over time. We
found significant differences in levels of H. lepidulum recruitment among
habitats, which did not match the species' current distribution in the landscape.
Local biotic and abiotic characteristics helped explain some of the between
habitat variation, with vascular plant species richness, vascular plant cover,
and light availability, all positively correlated with the number of safe sites
for recruitment. Resistance also varied over time however, with cohorts sown in
successive years showing different levels of recruitment in some habitats but not
others. These results show that recruitment functions can be used to quantify
habitat resistance to invasion and to identify potential mechanisms of invasion
resistance.
PMID- 24933812
TI - Drought induces spruce beetle (Dendroctonus rufipennis) outbreaks across
northwestern Colorado.
AB - This study examines influences of climate variability on spruce beetle
(Dendroctonus rufipennis) outbreak across northwestern Colorado during the period
1650 2011 CE. Periods of broad-scale outbreak reconstructed using documentary
records and tree rings were dated to 1843-1860, 1882-1889, 1931-1957, and 2004
2010. Periods of outbreak were compared with seasonal temperature, precipitation,
vapor pressure deficit (VPD), the Palmer Drought Severity Index (PDSI), and
indices of ocean-atmosphere oscillation that include the El Nino Southern
Oscillation (ENSO), Pacific Decadal Oscillation (PDO), and Atlantic Multidecadal
Oscillation (AMO). Classification trees showed that outbreaks can be predicted
most successfully from above average annual AMO values and above average summer
VPD values, indicators of drought across Colorado. Notably, we find that spruce
beetle outbreaks appear to be predicted best by interannual to multidecadal
variability in drought, not by temperature alone. This finding may imply that
spruce beetle outbreaks are triggered by decreases in host tree defenses, which
are hypothesized to occur with drought stress. Given the persistence of the AMO,
the shift to a positive AMO phase in the late 1990s is likely to promote
continued spruce beetle disturbance.
PMID- 24933813
TI - Does relatedness matter? Phylogenetic density-dependent survival of seedlings in
a tropical forest.
AB - A complex set of interactions among neighbors influences plant performance and
community structure. Understanding their joint operation requires extensive
information on species characteristics and individual performance. We evaluated
first-year survival of 35719 tropical forest seedlings of 222 species and 15
annual cohorts relative to the density of conspecific and heterospecific
neighbors and the phylogenetic similarity of heterospecific neighbors. Neighbors
were from two size classes, and size asymmetric interactions provided insight
into likely mechanisms. Large heterospecific and conspecific neighbors reduced
seedling survival equally, suggesting resource competition rather than host
specific enemies as a mechanism. In contrast, much stronger negative conspecific
effects were associated with seedling neighbors capable of limited resource
uptake, suggesting shared pests rather than competition as the mechanism.
Survival improved, however, near phylogenetically similar heterospecific
neighbors, suggesting habitat associations shared among closely related species
affect spatial patterns of performance. Improved performance near
phylogenetically similar neighbors is an emerging pattern in the handful of
similar studies.
PMID- 24933814
TI - The importance of long-distance seed dispersal for the demography and
distribution of a canopy tree species.
AB - Long-distance seed dispersal (LDD) is considered a crucial determinant of tree
distributions, but its effects depend on demographic processes that enable seeds
to establish into adults and that remain poorly understood at large spatial
scales. We estimated rates of seed arrival, germination, and survival and growth
for a canopy tree species (Miliusa horsfieldii), in a landscape ranging from
evergreen forest, where the species' abundance is high, to deciduous forest,
where it is extremely low. We then used an individual-based model (IBM) to
predict sapling establishment and to compare the relative importance of seed
arrival and establishment in explaining the observed distribution of seedlings.
Individuals in deciduous forest, far from the source population, experienced
multiple benefits (e.g., increased germination rate and seedling survival and
growth) from being in a habitat where conspecifics were almost absent. The net
effect of these spatial differences in demographic processes was significantly
higher estimated sapling establishment probabilities for seeds dispersed long
distances into deciduous forest. Despite the high rate of establishment in this
habitat, Miliusa is rare in the deciduous forest because the arrival of seeds at
long distances from the source population is extremely low. Across the entire
landscape, the spatial pattern of seed arrival is much more important than the
spatial pattern of establishment for explaining observed seedling distributions.
By using dynamic models to link demographic data to spatial patterns, we show
that LDD plays a pivotal role in the distribution of this tree in its native
habitat.
PMID- 24933815
TI - Aboveground and belowground legacies of native Sami land use on boreal forest in
northern Sweden 100 years after abandonment.
AB - Human activities that involve land-use change often cause major transformations
to community and ecosystem properties both aboveground and belowground, and when
land use is abandoned, these modifications can persist for extended periods.
However, the mechanisms responsible for rapid recovery vs. long-term maintenance
of ecosystem changes following abandonment remain poorly understood. Here, we
examined the long-term ecological effects of two remote former settlements,
regularly visited for -300 years by reindeer-herding Sami and abandoned -100
years ago, within an old-growth boreal forest that is considered one of the most
pristine regions in northern Scandinavia. These human legacies were assessed
through measurements of abiotic and biotic soil properties and vegetation
characteristics at the settlement sites and at varying distances from them. Low
intensity land use by Sami is characterized by the transfer of organic matter
towards the settlements by humans and reindeer herds, compaction of soil through
trampling, disappearance of understory vegetation, and selective cutting of pine
trees for fuel and construction. As a consequence, we found a shift towards early
successional plant species and a threefold increase in soil microbial activity
and nutrient availability close to the settlements relative to away from them.
These changes in soil fertility and vegetation contributed to 83% greater total
vegetation productivity, 35% greater plant biomass, and 23% and 16% greater
concentrations of foliar N and P nearer the settlements, leading to a greater
quantity and quality of litter inputs. Because decomposer activity was also 40%
greater towards the settlements, soil organic matter cycling and nutrient
availability were further increased, leading to likely positive feedbacks between
the aboveground and belowground components resulting from historic land use.
Although not all of the activities typical of Sami have left visible residual
traces on the ecosystem after 100 years, their low-intensity but long-term land
use at settlement sites has triggered a rejuvenation of the ecosystem that is
still present. Our data demonstrates that aboveground-belowground interactions
strongly control ecosystem responses to historical human land use and that medium
to long-term consequences of even low-intensity human activities must be better
accounted for if we are to predict and manage ecosystems succession following
land-use abandonment.
PMID- 24933816
TI - The role of functional traits and individual variation in the co-occurrence of
Ficus species.
AB - The processes that structure assemblages of species in hyper-diverse genera, such
as Ficus (Moraceae), are not well understood. Functional diversity of co
occurring species can reveal evidence for assembly processes; however,
intraspecific variation may weaken species-level patterns. We studied whether
functional and phylogenetic diversity of Ficus species indicated the effects of
spatial variation in filters associated with topography or niche partitioning
related to resource use and biotic interactions. We also asked whether individual
trait patterns supported species-level patterns. We studied six traits (leaf
area, succulence, specific leaf area [SLA], maximum diameter breast high [dbh],
fruit size, and latex exudation) for 22 Ficus species and 335 individuals > or =
10 cm dbh on a 20-ha forest plot in China. We found that higher elevation was
correlated to changes in mean and reduced diversity of five traits, possibly due
to frequent disturbances at higher elevations that favored fast-growing, poorly
defended species with high SLA. Maximum dbh showed phylogenetic conservatism but
high diversity among co-occurring species, suggesting adult stature is an
important axis of within-quadrat niche partitioning. At the individual level,
trait patterns were qualitatively consistent but were stronger than species-level
patterns, especially for the leaf traits with the greatest intraspecific
variation (SLA and succulence). Individual-level SLA exhibited the strongest
evidence for both traits among and within-quadrat niche partitioning and
indicated elevational filtering. Local niche partitioning and elevational
filtering likely play an important role in maintaining species and functional
diversity in the most speciose genus at our study site. Our results highlight the
importance of individual variation, as it may reveal otherwise obscured niche
effects.
PMID- 24933817
TI - How many seeds does it take to make a sapling?
AB - Tall canopy trees produce many more seeds than do understory treelets, yet, on
average, both classes of trees achieve the same lifetime fitness. Using
concurrent data on seedfall (8 years) and sapling recruitment (12 years) from a
long-established tree plot at the Cocha Cashu Biological Station in Peru, we show
that a 40-m canopy tree must produce roughly 13 times the mass of seeds to
generate a sapling as a 5-m understory treelet. Mature tree height accounted for
41% of the variance in seed mass per sapling recruit in a simple univariate
regression, whereas a multivariate model that included both intrinsic (seed mass,
tree height, and dispersal mode) and extrinsic factors (sapling mortality as a
surrogate for microsite quality) explained only 31% of the variance in number of
seeds per sapling recruit. The multivariate model accounted for less variance
because tall trees produce heavier seeds, on average, than treelets. We used
"intact" (mostly dispersed) seeds to parameterize the response variable so as to
reduce, if not eliminate, any contribution of conspecific crowding to the
difference in reproductive efficiency between canopy trees and treelets.
Accordingly, a test for negative density dependence failed to expose a
relationship between density of reproductive trees in the population and
reproductive efficiency (seed mass per recruit). We conclude that understory
treelets, some of which produce only a dozen seeds a year, gain their per-seed
advantage by failing to attract enemies a la Janzen-Connell, either in ecological
or evolutionary time.
PMID- 24933818
TI - Trait-mediated environmental filtering drives assembly at biogeographic
transition zones.
AB - Abiotic filtering is a major driver of gradients in the structure and functioning
of ecosystems from the tropics to the poles. It is thus likely that environmental
filtering is an important assembly process at the transition of biogeographical
zones where many species occur at their range limits. Shifts in species
abundances and association patterns along environmental gradients can be
indicative of environmental filtering, which is predicted to be stronger in areas
of high abiotic stress and to promote increased similarity of ecological
characteristics among co-occurring species. Here we test these hypotheses for
scleractinian corals along a broad latitudinal gradient in high-latitude eastern
Australia, where corals occur at the margins of their ranges and environmental
tolerances. We quantify variation in taxonomic, zoogeographic, and functional
patterns combined with null model approaches and demonstrate systematic spatial
variation in community structure and significant covariance of species abundance
distributions and functional characteristics along the latitudinal gradient. We
describe a strong biogeographic transition zone, consistent with patterns
expected under abiotic filtering, whereby species are sorted along the
latitudinal gradient according to their tolerances for marginal reef conditions.
High-latitude coastal reefs are typified by widely distributed, generalist,
stress-tolerant coral species with massive and horizontally spreading
morphologies and by diminishing influence of tropical taxa at higher latitudes
and closer to the mainland. Higher degree of ecological similarity among co
occurring species than expected by chance supports the environmental filtering
hypothesis. Among individual traits, the structural traits corallite size and
colony morphology were filtered most strongly, suggesting that characteristics
linked to energy acquisition and physical stability may be particularly important
for coral survival in high-latitude environments. These findings highlight
interspecific differences and species interactions with the environment as key
drivers of community organization in biogeographic transition zones and support
the hypothesis that environmental filters play a stronger role than biotic
interactions in structuring ecological communities in areas of high abiotic
stress.
PMID- 24933819
TI - Habitat connectivity shapes urban arthropod communities: the key role of green
roofs.
AB - The installation of green roofs, defined here as rooftops with a shallow soil
cover and extensive vegetation, has been proposed as a possible measure to
mitigate the loss of green space caused by the steady growth of cities. However,
the effectiveness of green roofs in supporting arthropod communities, and the
extent to which they facilitate connectivity of these communities within the
urban environment is currently largely unknown. We investigated the variation of
species community composition (beta diversity) of four arthropod groups with
contrasting mobility (Carabidae, Araneae, Curculionidae, and Apidae) on 40 green
roofs and 40 extensively managed green sites on the ground in the city of Zurich,
Switzerland. With redundancy analysis and variation partitioning, we (1)
disentangled the relative importance of local environmental conditions, the
surrounding land cover composition, and habitat connectivity on species community
composition, (2) searched for specific spatial scales of habitat connectivity for
the different arthropod groups, and (3) discussed the ecological and functional
value of green roofs in cities. Our study revealed that on green roofs community
composition of high-mobility arthropod groups (bees and weevils) were mainly
shaped by habitat connectivity, while low-mobility arthropod groups (carabids and
spiders) were more influenced by local environmental conditions. A similar but
less pronounced pattern was found for ground communities. The high importance of
habitat connectivity in shaping high-mobility species community composition
indicates that these green roof communities are substantially connected by the
frequent exchange of individuals among surrounding green roofs. On the other
hand, low-mobility species communities on green roofs are more likely connected
to ground sites than to other green roofs. The integration of green roofs in
urban spatial planning strategies has great potential to enable higher
connectivity among green spaces, so that eventually even communities of low
mobility species become connected. Furthermore, improving the design of green
roofs (composition and configuration of vegetation and soil types) could enhance
the ecological value, particularly for low-mobility species.
PMID- 24933820
TI - Circulation constrains the evolution of larval development modes and life
histories in the coastal ocean.
AB - The evolutionary pressures that drive long larval planktonic durations in some
coastal marine organisms, while allowing direct development in others, have been
vigorously debated. We introduce into the argument the asymmetric dispersal of
larvae by coastal currents and find that the strength of the currents helps
determine which dispersal strategies are evolutionarily stable. In a spatially
and temporally uniform coastal ocean of finite extent, direct development is
always evolutionarily stable. For passively drifting larvae, long planktonic
durations are stable when the ratio of mean to fluctuating currents is small and
the rate at which larvae increase in size in the plankton is greater than the
mortality rate (both in units of per time). However, larval behavior that reduces
downstream larval dispersal for a given time in plankton will be selected for,
consistent with widespread observations of behaviors that reduce dispersal of
marine larvae. Larvae with long planktonic durations are shown to be favored not
for the additional dispersal they allow, but for the additional fecundity that
larval feeding in the plankton enables. We analyzed the spatial distribution of
larval life histories in a large database of coastal marine benthic invertebrates
and documented a link between ocean circulation and the frequency of
planktotrophy in the coastal ocean. The spatial variation in the frequency of
species with planktotrophic larvae is largely consistent with our theory;
increases in mean currents lead to a decrease in the fraction of species with
planktotrophic larvae over a broad range of temperatures.
PMID- 24933821
TI - Experimentally elevated levels of testosterone at independence reduce fitness in
a territorial bird.
AB - Environmental conditions and individual strategies in early life may have a
profound effect on fitness. A critical moment in the life of an organism occurs
when an individual reaches independence and stops receiving benefits from its
relatives. Understanding the consequences of individual strategies at the time of
independence requires quantification of their fitness effects. We explored this
period in the Red Grouse (Lagopus lagopus scoticus). In this system, testosterone
and parasite (Trichostrongylus tenuis) levels are known to influence survival and
reproduction, the two key components of individual fitness. We experimentally and
simultaneously manipulated testosterone and parasites at three levels (high,
intermediate, and control levels for both factors) in 195 young males in five
populations using a factorial experimental design. We explored the effects of our
treatments on fitness by monitoring reproduction and survival throughout the life
of all males and estimating lambda(ind), a rate-sensitive index of fitness.
Parasite challenges increased the number of worms with a time lag, as previously
found. However, we did not find significant effects of parasite manipulations on
fitness, possibly because parasite abundance did not increase to harmful levels.
Our hormone manipulation was successful at increasing testosterone at three
different levels. Such increases in hormone levels decreased overall fitness.
This was caused by reduced offspring production in the first breeding attempt
rather than by any effect of the treatment on bird survival. Our results
highlight that investing in high testosterone levels at independence, a strategy
that might enhance short-term recruitment probability in territorial species such
as Red Grouse, has a fitness cost, and can influence the resolution of the trade
off between reproduction and survival later in life.
PMID- 24933822
TI - Synergism and context dependency of interactions between arbuscular mycorrhizal
fungi and rhizobia with a prairie legume.
AB - Biotic interactions play primary roles in major theories of the distribution and
abundance of species, yet the nature of these biotic interactions can depend upon
the larger ecological community. Leguminous plants, for example, commonly
associate with both arbuscular mycorrhizal fungi (AMF) and rhizobia bacteria, and
the pairwise interactions may depend upon the presence or identity of the third
partner. To determine if the dynamics of plant-AMF and plant-rhizobia
interactions are affected by the alternate symbiont, we manipulated the presence
and identity of each symbiont, as well as levels of the nutrients supplied by
each symbiont (nitrogen and phosphorus), on the growth of prairie legume Amorpha
canescens. We found strong synergistic effects of AMF and rhizobia inoculation on
plant biomass production that were independent of nutrient levels. AMF and
rhizobia responses were each influenced by the other, but not in the same
direction. AMF infection increased root nodule number and mass, but rhizobia
inoculation decreased AMF hyphal colonization of roots. The relative benefits of
each combination of symbionts depended upon phosphorus level. The effect of
nitrogen was also contingent on the biotic environment where nitrogen addition
decreased nodulation, but this decrease was reduced with coinfection by AMF. Our
results demonstrate a strong contingency on the co-occurrence of AMF and rhizobia
for the long-term fitness of A. canescens, and suggest that the belowground
community is critical for the success of this species in tallgrass prairies.
PMID- 24933823
TI - Positive interactions between large herbivores and grasshoppers, and their
consequences for grassland plant diversity.
AB - Although the influence of positive interactions on plant and sessile communities
has been well documented, surprisingly little is known about their role in
structuring terrestrial animal communities. We evaluated beneficial interactions
between two distantly related herbivore taxa, large vertebrate grazers (sheep)
and smaller insect grazers (grasshoppers), using a set of field experiments in
eastern Eurasian steppe of China. Grazing by large herbivores caused
significantly higher grasshopper density, and this pattern persisted until the
end of the experiment. Grasshoppers, in turn, increased the foraging time of
larger herbivores, but such response occurred only during the peak of growing
season (August). These reciprocal interactions were driven by differential
herbivore foraging preferences for plant resources; namely, large herbivores
preferred Artemisia forbs, whereas grasshoppers preferred Leymus grass. The
enhancement of grasshopper density in areas grazed by large herbivores likely
resulted from the selective consumption of Artemisia forbs by vertebrate grazers,
which may potentially improve the host finding of grasshoppers. Likewise,
grasshoppers appeared to benefit large herbivores by decreasing the cover and
density of the dominant grass Leymus chinensis, which hampers large herbivores'
access to palatable forbs. Moreover, we found that large herbivores grazing alone
may significantly decrease plant diversity, yet grasshoppers appeared to mediate
such negative effects when they grazed with large herbivores. Our results suggest
that the positive, reciprocal interactions in terrestrial herbivore communities
may be more prevalent and complex than previously thought.
PMID- 24933824
TI - Cascading effects of insectivorous birds and bats in tropical coffee plantations.
AB - The loss of apex predators is known to have reverberating consequences for
ecosystems, but how changes in broader predator assemblages affect vital
ecosystem functions and services is largely unknown. Predators and their prey
form complex interaction networks, in which predators consume not only herbivores
but also other predators. Resolving these interactions will be essential for
predicting changes in many important ecosystem functions, such as the control of
damaging crop pests. Here, we examine how birds, bats, and arthropods interact to
determine herbivorous arthropod abundance and leaf damage in Costa Rican coffee
plantations. In an exclosure experiment, we found that birds and bats reduced non
flying arthropod abundance by -35% and -25%, respectively. In contrast, birds and
bats increased the abundance of flying arthropods, probably by consuming spiders.
The frequency of this intraguild predation differed between birds and bats, with
cascading consequences for coffee shrubs. Excluding birds caused a greater
increase in herbivorous arthropod abundance than excluding bats, leading to
increased coffee leaf damage. Excluding bats caused an increase in spiders and
other predatory arthropods, increasing the ratio of predators to herbivores in
the arthropod community. Bats, therefore, did not provide benefits to coffee
plants. Leaf damage on coffee was low, and probably did not affect coffee yields.
Bird-mediated control of herbivores, however, may aid coffee shrubs in the long
term by preventing pest outbreaks. Regardless, our results demonstrate how
complex, cascading interactions between predators and herbivores may impact
plants and people.
PMID- 24933825
TI - Demographic responses to mercury exposure in two closely related Antarctic top
predators.
AB - Although toxic chemicals constitute a major threat for wildlife, their effects
have been mainly assessed at the individual level and under laboratory
conditions. Predicting population-level responses to pollutants in natural
conditions is a major and ultimate task in ecological and ecotoxicological
research. The present study aims to estimate the effect of mercury (Hg) levels on
future apparent survival rates and breeding performances. We used a long-term
data set (-10 years) and recently developed methodological tools on two closely
related Antarctic top predators, the South Polar Skua Catharacta maccormicki from
Adelie Land and the Brown Skua C. lonnbergi from the Kerguelen Archipelago. Adult
survival rates and breeding probabilities were not affected by Hg levels, but
breeding success in the following year decreased with increasing Hg levels.
Although South Polar Skuas exhibited much lower Hg levels than Brown Skuas, they
suffered from higher Hg-induced breeding failure. This species difference could
be attributed to an interaction between Hg and other environmental perturbations,
including climate change and a complex cocktail of pollutants. By including Hg
dependent demographic parameters in population models, we showed a weak
population decline in response to increasing Hg levels. This demographic decline
was more pronounced in South Polar Skuas than in Brown Skuas. Hence, Hg exposure
differently affects closely related species. The wide range of environmental
perturbations in Antarctic regions could exacerbate the demographic responses to
Hg levels. In that respect, we urge future population modeling to take into
account the coupled effects of climate change and anthropogenic pollution to
estimate population projections.
PMID- 24933826
TI - Individual fitness and phenotypic selection in age-structured populations with
constant growth rates.
AB - Powerful multiple regression-based approaches are commonly used to measure the
strength of phenotypic selection, which is the statistical association between
individual fitness and trait values. Age structure and overlapping generations
complicate determinations of individual fitness, contributing to the popularity
of alternative methods for measuring natural selection that do not depend upon
such measures. The application of regression-based techniques for measuring
selection in these situations requires a demographically appropriate,
conceptually sound, and observable measure of individual fitness. It has been
suggested that Fisher's reproductive value applied to an individual at its birth
is such a definition. Here I offer support for this assertion by showing that
multiple regression applied to this measure and vital rates (age-specific
survival and fertility rates) yields the same selection gradients for vital rates
as those inferred from Hamilton's classical results. I discuss how multiple
regressions, applied to individual reproductive value at birth, can be used
efficiently to estimate measures of phenotypic selection that are problematic for
sensitivity analyses. These include nonlinear selection, components of the
opportunity for selection, and multilevel selection.
PMID- 24933827
TI - Decline of ectomycorrhizal fungi following a mountain pine beetle epidemic.
AB - Forest die-off caused by mountain pine beetle (MPB; Dendroctonus ponderosa) is
rapidly transforming western North American landscapes. The rapid and widespread
death of lodgepole pine (Pinus contorta) will likely have cascading effects on
biodiversity. One group particularly prone to such declines associated with MPB
are ectomycorrhizal fungi, symbiotic organisms that can depend on pine for their
survival, and are critical for stand regeneration. We evaluated the indirect
effects of MPB on above- (community composition of epigeous sporocarps) and
belowground (hyphal abundance) occurrences of ectomycorrhizal fungi across 11
forest stands. Along a gradient of mortality (0-82% pine killed), macromycete
community composition changed; this shift was driven by a decrease in the species
richness of ectomycorrhizal fungi. Both the proportion of species that were
ectomycorrhizal and hyphal length in the soil declined with increased MPB-caused
pine mortality; < 10% of sporocarp species were ectomycorrhizal in stands with
high pine mortality compared with > 70% in stands without MPB attacks. The rapid
range expansion of a native insect results not only in the widespread mortality
of an ecologically and economically important pine species, but the effect of MPB
may also be exacerbated by the concomitant decline of fungi crucial for recovery
of these forests.
PMID- 24933828
TI - Distinguishing between Levy walks and strong alternative models: comment.
PMID- 24933829
TI - Distinguishing between Levy walks and strong alternative models: reply.
PMID- 24933831
TI - Productivity variation persists in the NHS.
PMID- 24933830
TI - The time to invest in leader training is now.
PMID- 24933832
TI - David Bennett shaping the NHS of the future.
PMID- 24933833
TI - Dawn of a new vision for imaging.
PMID- 24933834
TI - Paperless working tearing up all that has gone before.
PMID- 24933835
TI - The new rules of staff engagement.
PMID- 24933836
TI - Technology NHS democracy is just a click away democratic accountability has
result, the overwhelming.
PMID- 24933837
TI - Interview: public health's moral maze.
PMID- 24933838
TI - Patient experience: push past symptom mysteries.
PMID- 24933840
TI - Barriers to a paperless NHS: are you ready for your screen test?
PMID- 24933839
TI - Education: work together be two of a kind.
PMID- 24933841
TI - Can boards clip paper?
PMID- 24933842
TI - The World Stroke Organization and nursing.
PMID- 24933843
TI - The sign of Leser-Trelat: is it really so?--for your eyes only.
PMID- 24933844
TI - Xerosis cutis: a common predicament of Raynaud's disease.
PMID- 24933846
TI - LUZU (luliconazole) 1% cream.
PMID- 24933845
TI - Dermatologic manifestation of hyperandrogenism: a retrospective chart review.
AB - Several studies have described a wide spectrum of hyperandrogenism diseases, many
of which are difficult to distinguish from each other. In order to better
understand diseases of hyperandrogenism, the authors performed a retrospective
study of the cutaneous features and metabolic findings in women with
hyperandrogenism. A retrospective chart analysis compiled by three dermatologists
in both academic and private settings was performed, including patients
presenting with > or = 2 manifestations of hyperandrogenism. Relevant
dermatologic and associated manifestations and laboratory and imaging study
findings were reviewed. Moderate to severe acne was the most common
manifestation. Other common manifestations that patients first presented with
include hirsutism, acanthosis nigricans, androgenic alopecia, and skin tags.
Oligomenorrhea was the most common systemic presenting sign. Statistical analysis
of various clinical markers revealed correlations with hyperandrogenemia.
Acanthosis nigricans and hirsutism were found to be useful clinical markers for
hyperandrogenism, whereas androgenic alopecia was not. This study provides some
insights into the presentation and diverse manifestations seen in
hyperandrogenism.
PMID- 24933847
TI - EpiCeram.
PMID- 24933848
TI - Addressing the role of human herpesviruses 6 and 7 in DRESS.
PMID- 24933849
TI - Lucio's phenomenon and/or relapsing erythema necroticans.
PMID- 24933850
TI - Palmoplantar papules and hyperkeratosis in a deaf man.
AB - A 31-year-old man presented with a history of extensive thickened skin of the
palms and soles since the age of 7. The patient reported difficulty in ambulating
and in using his hands secondary to his skin condition and associated pain. He
also reported being seen by numerous specialists in the past for his hands, feet,
and fingernails. He tried various topical medications, none of which were
successful in minimizing the lesions on the hands and feet. Pertinent review of
systems was positive for complete deafness diagnosed at 9 months of age. The
patient did not speak and used sign language to communicate. His family history
was significant for two brothers, both of whom are deaf and who have a similar
skin condition of their hands and feet, but with lesser severity than the
patient. The patient had no other medical issues.
PMID- 24933851
TI - Historical diagnosis and treatment. Epithelioma. 1910.
PMID- 24933852
TI - Proliferative fasciitis in the abdominal region.
AB - A 30-year old man with no trauma history presented to our department of
dermatology with a 2-year history of abdominal painful masses. The spontaneous
pain and tenderness in the abdominal region gradually worsened. Physical
examination revealed 3 firm, irregular subcutaneous nodules measuring 1 x 0.5 cm,
which were movable and unattached to the overlying skin. One of the nodules was
ulcerated (Figure 1). Histopathologic examination showed spindle-shaped
fibroblast cells intermingled with gangliocyte-like giant cells in the hypodermis
with an infiltrate made of lymphocytes and histiocytes (Figure 2 and Figure 3).
The immunohistochemical staining showed the negativity of the fusiform cells and
the gangliocyte-like cells to anti-S100 protein and to anti-smooth muscle actin.
PMID- 24933853
TI - Perianal giant condyloma acuminatum (Buschke-Lowenstein tumor).
AB - A 50-year-old heterosexual, HIV-negative man presented with a giant anal
condyloma (Figure). He had iron deficiency anemia, a slow-growing anal wart for
many years, and intermittent bleeding and pruritus. Esophagogastroduodenoscopy
and colonoscopy findings were normal. Endoscopic ultrasound of the anorectum
showed no anal sphincter involvement, and computed tomography did not reveal any
pelvic inguinal lymph nodes. Wide-staged excision was performed and the patient
recovered well with resolution of symptoms and no local recurrence at 1-year
follow-up. Final pathology confirmed human papillomavirus (HPV) 6 strain and a
giant condyloma acuminatum with mild atypia and no malignancy. Further
examination of his oropharynx showed additional small HPV lesions, which were
removed locally.
PMID- 24933854
TI - Aplastic anemia and Hoyeraal-Hreidarsson syndrome.
AB - A 2-year-old girl patient was admitted with intractable diarrhea, respiratory
infections, and seizures. She was the first child of the first-degree parents.
She was born at term with a birth weight of 2300 g. Physical examination revealed
weight 6800 g, height 76 cm, and head circumference 41 cm, below the third
percentile. Findings included sparse and dull hair, nail dystrophy, and
proximally located thumbs (Figure). Aphthous lesions were observed on the oral
mucosa. Neurologic examination disclosed poor head control. She could not sit
without support and had hyper-reactive deep tendon reflexes.
PMID- 24933855
TI - Merkel cell carcinoma.
AB - A 92-year-old man presented for evaluation with a 1-month history of a rapidly
growing asymptomatic pink nodule on his forearm. Biopsy results of the lesion
demonstrated pathology consistent with Merkel cell carcinoma (MCC).
Immunohistochemical studies displayed positive cytoplasmic staining for
cytokeratin AE1/AE3, positive dot-like perinuclear staining for cytokeratin-20,
diffuse cytoplasmic staining for neuron specific enolase, and no significant
staining for S-100. Subsequent positron emission tomography did not reveal
evidence of metastatic disease. Wide excision of the lesion was performed along
with a sentinel node biopsy of his left axilla. The sentinel nodes were negative
for MCC. Adjuvant radiation treatment of the tumor site was provided because the
pathologist noted MCC within 2 mm of the deep margin.
PMID- 24933856
TI - Bilateral zosteriform extragenital lichen sclerosus.
AB - A 35-year-old man presented with asymptomatic eruption on both forearms and lower
aspects of the legs for 6 months. The lesions first appeared on his inner aspects
of the wrist, the dorsal surface of the hands, and legs and progressed to involve
proximal aspects of the extremities. There was no significant past history. On
examination, multiple pearly white papules and depigmented atrophic plaques were
found bilaterally on the flexors of the arms and the extensors of the legs. The
lesions were arranged in a linear manner, following the lines of Blaschko
(Figures 1 and 2). The surface of the atrophic plaques was notable for prominent
telangiectasia, giving an erythematous appearance. The genitalia, oral cavity,
palms, and soles were spared. Systemic examination was noncontributory. Lichen
striatus and extragenital lichen sclerosus (ELS) were considered the differential
diagnosis. Clinically, the age of the patient, the absence of scaling, and the
presence of atrophic plaques and telangiectasia were in favor of ELS. A punch
biopsy from an atrophic plaque was performed, and it revealed hyperkeratosis,
atrophic epidermis, basal layer vacuolar degeneration, mild lymphocytic
infiltration in the dermis, edema, and homogenization of collagen of the upper
portion of the dermis (Figures 3 and Figure 4). Histopathologic findings were
consistent with lichen sclerosus. A diagnosis of bilateral zosteriform ELS was
made.
PMID- 24933857
TI - Traumatic panniculitis in a Chinese woman.
PMID- 24933858
TI - Rosacea: could topical azelaic acid and oral tetracyclines modify
videocapillaroscopic pattern?
PMID- 24933859
TI - A wraparound world.
AB - Health care isn't just a system in itself. It's part of a community. Like any
system, a community operates most effectively when all components work in tandem.
PMID- 24933860
TI - The next generation of palliative care.
AB - Creating a true continuum of care includes incorporating palliative care. For the
first time, payment structures are aligning to support palliative care beyond the
hospital
PMID- 24933862
TI - Beyond buzzwords: two state hospital associations collaborate around big data.
PMID- 24933861
TI - Moving toward population health.
PMID- 24933865
TI - Connecting the continuum: number of hurdles keep mHealth from hitting its stride.
PMID- 24933864
TI - On the road: hospitals rev up use of mobile care.
PMID- 24933863
TI - Peer to peer: health systems consult on IT, adding diversification and building
partnerships.
PMID- 24933867
TI - Wait, don't wait: use the ICD-1O delay time wisely.
PMID- 24933866
TI - Skimping on IT security is costly.
AB - The data breach at Target last winter put a bright spotlight on IT security. With
an increasingly mobile world, hospital leaders must ratchet up their protections.
PMID- 24933868
TI - There you are! Tracking systems aid patient safety efforts.
PMID- 24933869
TI - ACO or no?
AB - Hospitals must weigh the benefits and perils before deciding if - and what kind
of - an accountable care organization is right for them. An AHA survey found only
75percent of hospitals participated in an ACO in 2013.
PMID- 24933870
TI - A smarter patient room.
AB - David Ruthven headed up conceptual design for a new patient room; one that fully
integrates new technology. Will it be a prototype for hospitals in the future?
PMID- 24933872
TI - Hospitalists' role in a value-driven organization.
PMID- 24933871
TI - Hospital labs go under microscope.
AB - Financial pressures are hitting hospital clinical labs on both the inpatient and
outpatient sides. To control expenses, hospitals are teaming up to buy supplies,
centralizing services and improving blood management.
PMID- 24933873
TI - Mother and child reunion.
PMID- 24933874
TI - 9th International Phytotechnology Society Conference, 11-14 September 2012,
Hasselt University, Belgium.
PMID- 24933875
TI - The effect of long-term Cd and Ni exposure on seed endophytes of Agrostis
capillaris and their potential application in phytoremediation of metal
contaminated soils.
AB - We examined whether long-term Cd exposure leads to beneficial changes in the
cultivable endophytic bacteria present in the seeds of Agrostis capillaris.
Therefore the cultivable seed endophytes of Agrostis capillaris growing on a long
term Cd/Ni-contaminated plot (Cd/Ni seeds) were compared with those originating
from a non-contaminated plot (control seeds). We observed plant- and contaminant
dependent effects on the population composition between control and Cd/Ni seeds.
Also differences in phenotypic characteristics were found: endophytes from Cd/Ni
seeds exhibited more ACC deaminase activity and production of siderophores and
IAA, while endophytes from control seeds, very surprisingly, showed more metal
tolerance. Finally, the 3 most promising seed endophytes were selected based on
their metal tolerance and plant growth promoting potential, and inoculated in
Agrostis capillaris seedlings. In case of non-exposed plants, inoculation
resulted in a significantly improved plant growth; after inoculation of Cd
exposed plants an increased Cd uptake was achieved without affecting plant
growth. This indicates that inoculation of Agrostis with its seed endophytes
might be beneficial for its establishment during phytoextraction and
phytostabilisation of Cd-contaminated soils.
PMID- 24933876
TI - Early response of willow to increasing silver concentration exposure.
AB - This is a preliminary hydroponic study to test willow sensitivity to silver
nitrate, a highly toxic chemical compound. We grew willow cuttings for a period
of three weeks in the presence of increasing AgNO(3) concentrations and assessed
the response in terms of growth and physiology. We found that AgNO(3) is
generally extremely harmful to willow. AgNO(3) concentration as high as 0.027 muM
may result in a significant reduction of biomass productivity and a decrease in
stomatal conductance over the first week of exposure. However, willows seem able
to adapt to high AgNO(3) concentrations on a longer timeline.
PMID- 24933877
TI - Effects of rapeseed oil on the rhizodegradation of polyaromatic hydrocarbons in
contaminated soil.
AB - Plants have the ability to promote degradation of polycyclic aromatic
hydrocarbons (PAHs) in contaminated soil by supporting PAH degrading
microorganisms in the rhizosphere (rhizodegradation). The aim of this study was
to evaluate if rapeseed oil increases rhizodegradation because various studies
have shown that vegetable oils are able to act as extractants for PAHs in
contaminated soils and therefore might increase bioavailability of PAHs for
microbial degradation. In this study different leguminous and grass species were
tested. The results suggested a significant impact of vegetable oil (1 and 3%
w/w) on plant growth (decrease of plant height and biomass). The results of the
pot experiment showed a decrease in the PAH content of the soil without amendment
of rapeseed oil after six months. In soil amended with 1% and 3% of oil, there
was no decrease in PAH content within this period. Although no enhancement of PAH
degradation by plants could be measured in the bulk soil of the pot experiments,
a rhizobox experiment showed a significant reduction of PAH content in the
rhizosphere of alfalfa (Medicago sativa cv. Europe). Our investigations also
showed significant differences in the degradation behaviour of the 16
individually analysed PAHs.
PMID- 24933878
TI - Effect of pyrolysis temperature on chemical and surface properties of biochar of
rapeseed (Brassica napus L.).
AB - The biochar is an important carbon-rich product that is generated from biomass
sources through pyrolysis. Biochar (charcoal) can be both used directly as a
potential source of solid biofuels and as soil amendments for barren lands. The
aim of this study was investigate influence of pyrolysis temperature on the
physicochemical properties and structure of biochar. The biochars were produced
by pyrolysis of rapeseed (Brassica napus L.) using a fixed-bed reactor at
different pyrolysis temperatures (400-700 degrees C). The produced biochars were
characterized by proximate and elemental analysis, Brunauer-Emmett-Teller (BET)
surface area, particle size distributions, scanning electron microscopy (SEM),
Fourier transform infrared (FTIR) spectroscopy. The results showed that both
chemical and surface properties of the biochars were significantly affected by
the pyrolysis temperature. Aromatic hydrocarbons, hydroxyl and carbonyl compounds
were the majority components of the biochar. The biochar obtained at 700 degrees
C had a high fixed carbon content (66.16%) as well as a high heating value, and
therefore it could be used as solid fuel, precursor in the activated carbons
manufacture (specific surface area until 25.38 m(2) g(-1)), or to obtain category
A briquettes.
PMID- 24933879
TI - Phytoremediation of lead (Pb) and arsenic (As) by Melastoma malabathricum L. from
contaminated soil in separate exposure.
AB - This study was conducted to investigate the uptake of lead (Pb) and arsenic (As)
from contaminated soil using Melastoma malabathricum L. species. The cultivated
plants were exposed to As and Pb in separate soils for an observation period of
70 days. From the results of the analysis, M. malabathricum accumulated
relatively high range of As concentration in its roots, up to a maximum of 2800
mg/kg. The highest accumulation of As in stems and leaves was 570 mg/kg of plant.
For Pb treatment, the highest concentration (13,800 mg/kg) was accumulated in the
roots of plants. The maximum accumulation in stems was 880 mg/kg while maximum
accumulation in leaves was 2,200 mg/kg. Only small amounts of Pb were
translocated from roots to above ground plant parts (TF < 1). However, a wider
range of TF values (0.01-23) for As treated plants proved that the translocation
of As from root to above ground parts was greater. However, the high capacity of
roots to take up Pb and As (BF > 1) is indicative this plants is a good
bioaccumulator for these metals. Therefore, phytostabilisation is the mechanism
at work in M. malabathricum's uptake of Pb, while phytoextraction is the dominant
mechanism with As.
PMID- 24933881
TI - The phytoremediation potential of native plants on New Zealand dairy farms.
AB - Ecological restoration of marginal land and riparian zones in agricultural
landscapes in New Zealand enhances the provision of above-ground ecosystem
services. We investigated whether native endemic plant assemblages have
remediation potential, through modifying soil nutrient and trace element
mobility. Analysis of native plant foliage in situ indicated that selective
uptake of a range of commonly deficient trace elements including Zn, B, Cu, Mn
and Co could provide a browse crop to avoid deficiencies of these elements in
livestock, although some native plants may enhance the risk of Mo and Cd
toxicity. Native plant rhizospheres were found to modify soil physico-chemistry
and are likely to influence lateral and vertical fluxes of chemical elements in
drainage waters. Native plants on marginal land in agricultural landscapes could
add value to dairy production systems whilst helping to resolve topical
environmental issues.
PMID- 24933880
TI - Effect of salinity on zinc uptake by Brassica juncea.
AB - Salinity is a major worldwide problem that affects agricultural soils and limits
the reclamation of contaminated sites. Despite the large number of research
papers published about salt tolerance in Brassica juncea L., there are very few
accounts concerning the influence of salinity on the uptake of trace metals. In
this study, B. juncea plants divided through soil sets comprising 0, 900 and 1800
mg Zn kg(-1), were treated with solutions containing 0, 60 and 120 mmol L(-1) of
NaCl, with the purpose of observing the effect of salt on Zn uptake, and some
physiological responses throughout the 90 days experiment. Increasing
concentrations of NaCl and Zn produced a decline in the ecophysiological and
biochemical properties of the plants, with observable synergistic effects on
parameters like shoot dry weight, leaf area, or photochemical efficiency.
Nevertheless, plants treated with 60 mmol L(-1) of NaCl accumulated striking
harvestable amounts of Zn per plant that largely exceed those reported for
Thlaspi caerulescens. It was concluded that salinity could play an important role
on the uptake of Zn by B. juncea. The potential mechanisms behind these results
are discussed, as well as the implications for phytoremediation of Zn on saline
and non-saline soils.
PMID- 24933882
TI - Feasibility of labile Zn phytoextraction using enhanced tobacco and sunflower:
results of five- and one-year field-scale experiments in Switzerland.
AB - Phytoextraction with somaclonal variants of tobacco and sunflower mutant lines
(non-GMs) with enhanced metal uptake and tolerance can be a sustainable
alternative to conventional destructive decontamination methods, especially for
stripping bioavailable zinc excess in topsoil. The overall results of a 5-year
time series experiment at field scale in north-eastern Switzerland confirm that
the labile Zn pool in soil can be lowered by 45-70%, whereas subplots without
phytoextraction treatment maintained labile Zn concentrations. In 2011, the
phytoextraction experiment site was enlarged by a factor of 3, and the labile 0.1
M NaNO3 extractable Zn concentration in the soil was reduced up to 58% one period
after harvest. A Mass Balance Analysis confirmed soil Zn decontamination in line
with plant Zn uptake. The plants partially take Zn from the non-labile pool of
the totaL The sustainability of Zn phytoextraction in subplots that no longer
exceed the Swiss trigger value is now assessed over time. In contrary to the
phytoextraction of total soil Zn which needs a long cleaning up time, the
bioavailable Zn stripping is feasible within a few years period.
PMID- 24933883
TI - Metal phytoremediation by the halophyte Limoniastrum monopetalum (L.) Boiss: two
contrasting ecotypes.
AB - The phytoremediation potential of the halophyte Limoniastrum monopetalum for the
removal of Cd and Pb from polluted sites is assessed in this work. Two pot
experiments were conducted; the first with wild L. monopetalum grown on soil
polluted with Cd and Pb irrigated at different salinities, and the second with
commonly cultivated ornamental L. monopetalum grown on soil polluted with Cd
irrigated also at different salinities. The data revealed that wild L.
monopetalum is a Cd and Pb tolerant plant able to accumulate at least 100 ppm of
cadmium in its shoots without showing any significant decrease in terms of
biomass production, chlorophyll content or water content suggesting that it could
be an accumulator of Cd. Pb above-ground accumulation was kept at low levels with
the majority of Pb localized in the roots. On the other hand, contrasting results
were obtained for ornamental L. monopetalum which although it was found to be
also Cd tolerant, Cd accumulation in its tissues was kept at significantly lower
levels especially compared to that of the wild ecotype. In addition for
ornamental L. monopetalum salinity did not have a positive effect on Cd
accumulation and translocation as observed in the wild type and in other
halophytes. Analysis of the salt excretion crystals on the leaf surface confirmed
that wild and cultivated ornamental L. monopetalum excrete cadmium and lead
through their salt glands as a possible metal detoxification mechanism, although
the amount excreted by the ornamental L. monopetalum is significantly less.
PMID- 24933884
TI - Rhizoremediation of diesel-contaminated soil with two rapeseed varieties and
petroleum degraders reveals different responses of the plant defense mechanisms.
AB - Plant-assisted bioremediation (rhizoremediation) stands out as a potential tool
to inactivate or completely remove xenobiotics from the polluted environment.
Therefore, it is of key importance to find an adequate combination of plant
species and microorganisms that together enhance the clean-up process. To
understand the response of plants upon bioaugmentation, the antioxidative and
detoxification system was analyzed in high and low erucic acid rapeseed varieties
(HEAR and LEAR, respectively), after 8 weeks of their treatment with petroleum
degraders and 6000 mg diesel oil/kg dry soil. The oxidative stress was enhanced
in LEAR being exposed to sole diesel oil, in comparison with HEAR. However, when
LEAR plants were additionally inoculated with bacteria, suppression of total
catalase (CAT) and ascorbate peroxidase (APX) activity were observed.
Interestingly, glutathione transferase (GST) activity was found in these plants
at a much higher level than in HEAR, which correlated with a more efficient
diesel removal performed by LEAR in the polluted soil and upon bioaugmentation. A
distinct profile of polycyclic aromatic hydrocarbons (PAH) was detected in leaves
of these plants. Neither LEAR nor HEAR experienced any changes in the
photosynthetic capacity upon diesel pollution and presence of petroleum
degraders, which supports the usefulness of rhizoremediation with rapeseed.
PMID- 24933885
TI - Phytoremediation of cadmium-contaminated soils by young Douglas fir trees:
effects of cadmium exposure on cell wall composition.
AB - Douglas fir trees grown on an artificially Cd-contaminated soil, can tolerate
this trace element (up to 68 mg/kg in soil) during several months. Most of the
absorbed Cd is retained in roots (25 mg/kg DM), but transfer to aerial part is
also effective. Showing the highest content, up to 6 mg/kg DM, among all the
aboveground parts, barks seem to be a preferred storage compartment. However, the
transfer factor is quite low, about 0.3. Another objective of this study was to
compare the cell wall components of trees exposed to increasing Cd amounts in
soil. A decrease in lignin and an increase in pectin contents were observed in
response to increasing soil cadmium concentration. A concurrent reduction in
methyl-esterification of pectin suggests than the structure of this major binding
site could therefore be modified as a reaction to cadmium contamination. Future
prospects will focus on the modulation of pectin composition in response to Cd
exposure.
PMID- 24933886
TI - Arsenic uptake and translocation by plants in pot and field experiments.
AB - A work undertaken by pot and field experiments to assess the suitability of
poplars and ferns for the in-situ, phytoextraction, of a dumping site with
residues from the roasting process of arseno-pyrite is reported. The main
characteristic of this site is the high content of both the As metalloid and
heavy metals (e.g., Al, Fe, Cu, Co, Cr, Pb). Two poplar clones (Populus deltoides
'Dvina' and Populus x canadensis 'Orion') and Pteris vittata (Chinese brake fern)
were planted in the contaminated soil both ex situ in pots and in situ. Plant
survival, As accumulation in plant tissues, leaf content of pigments, soluble
proteins, activity of catalase and SH-groups in both roots and leaves were
evaluated during a 24-month study period. Both poplar and fern plants exhibited
an increase in the activity of catalase and SH group contents when grown in the
presence of pyrite ashes. The results showed that the co-planting system (arsenic
hyperaccumulator fern Pteris vittata and Populus clones) was suitable for
phytoextraction of multi-contaminated dumping sites. Agronomic measures such as
irrigation, soil tillage and amendments also seem to be necessary for the
successful establishment of poplar trees and ferns in contaminated soils in order
to enhance plant growth through the improvement of soil conditions.
PMID- 24933887
TI - Influence of plant root exudates on the mobility of fuel volatile compounds in
contaminated soils.
AB - Vegetation and its associated microorganisms play an important role in the
behaviour of soil contaminants. One of the most important elements is root
exudation, since it can affect the mobility, and therefore, the bioavailability
of soil contaminants. In this study, we evaluated the influence of root exudates
on the mobility of fuel derived compounds in contaminated soils. Samples of humic
acid, montmorillonite, and an A horizon from an alumi-umbric Cambisol were
contaminated with volatile contaminants present in fuel: oxygenates (MTBE and
ETBE) and monoaromatic compounds (benzene, toluene, ethylbenzene and xylene).
Natural root exudates obtained from Holcus lanatus and Cytisus striatus and ten
artificial exudates (components frequently found in natural exudates) were added
to the samples, individually and as a mixture, to evaluate their effects on
contaminant mobility. Fuel compounds were analyzed by headspace-gas
chromatography-mass spectrometry. In general, the addition of natural and
artificial exudates increased the mobility of all contaminants in humic acid. In
A horizon and montmorillonite, natural or artificial exudates (as a mixture)
decreased the contaminant mobility. However, artificial exudates individually had
different effects: carboxylic components increased and phenolic components
decreased the contaminant mobility. These results established a base for
developing and improving phytoremediation processes of fuel-contaminated soils.
PMID- 24933888
TI - Phytoprotective effect of arbuscular mycorrhizal fungi species against arsenic
toxicity in tropical leguminous species.
AB - Arbuscular mycorrhizal fungi (AMF) improve the tolerance of hosting plants to
arsenic (As) in contaminated soils. This work assessed the phytoprotective effect
of Glomus etunicatum, Acaulospora morrowiae, Gigaspora gigantea, and Acaulospora
sp. on four leguminous species (Acacia mangium, Crotalaria juncea, Enterolobium
contortisiliquum, and Stizolobium aterrimum) in an As-contaminated soil from a
gold mining area. AMF root colonization, biomass production, As and P
accumulation, as well as arsenic translocation index (TI) from roots to shoots
were measured. The AMF phytoprotective effect was assessed by the P/As ratio and
the activity of plant antioxidant enzymes. The AMF colonization ranged from 24 to
28%. In general, all leguminous species had low As TI when inoculated with AMF
species. Inoculation of C. juncea with Acaulospora sp. improved significantly As
accumulation in roots, and decreased the activity of ascorbate peroxidase (APX)
and superoxide dismutase (SOD), highlighting its phytoprotective effect and the
potential use of this symbiosis for phytoremediation of As-contaminated soils.
However, S. aterrimum has also shown a potential for phytoremediation
irrespectively of AMF inoculation. APX was a good indicator of the
phytoprotective effect against As contamination in C. juncea and A. mangium. In
general P/As ratio in shoots was the best indicator of the phytoprotective effect
of all AMF species in all plant species.
PMID- 24933889
TI - Constructed wetlands for tannery wastewater treatment in Portugal: ten years of
experience.
AB - Wastewaters from tannery industry are complex in composition and providing
adequate treatment can be difficult. Constructed wetlands (CW) are regarded as an
alternative treatment to the conventional biological systems, as a developing
cost-effective and environmentally friendly phytoremediation technology. The
present review compiles and integrates information on CWs technology for the
needs of the tannery sector. The following issues arise as crucial for the
implementation of such systems, namely i) an accurate wastewater characterization
and an effective pretreatment before reaching the CW, ii) choosing the plants
species better adapted to the imposed conditions, iii) substrate selection and
iv) range of organic loadings applied. The examples practiced in Portugal give
indication that horizontal subsurface flow systems, with expanded clay media, are
a suitable option to be considered when dealing with high organic loading tannery
wastewater (up to c.a. 3800 kgCODha(-1)d(-1)), being resilient to a wide range of
hydraulic variations. Plants such as Phragmites and Typha have shown to be
adequate for tannery wastewater depuration, with Arundo donax proving resilient
to high salinity wastewaters. The flexibility of implementation allows the CW to
be adapted to different sites with different configurations, being suitable as
main secondary or tertiary treatment stage.
PMID- 24933890
TI - Plant communities in multi-metal contaminated soils: a case study in the National
Park of Alta Murgia (Apulia region - Southern Italy).
AB - A phytosociological study was conducted in the National Park of Alta Murgia in
the Apulia region (Southern Italy) to determine the adverse effects of metal
contamination of soils on the distribution of plant communities. The
phytosociological analyses have shown a na, remarkable biodiversity of vegetation
on non-contaminated soils, while biodiversity appeared strongly reduced on metal
contaminated soils. The area is naturally covered by a wide steppic grassland
dominated by Stipa austroitalica Martinovsky subsp, austroitalica. Brassicaceae
such as Sinapis arvensis L. are the dominating species on moderated contaminated
soils, whereas spiny species of Asteraceae such as Silybum marianum (L.) Gaertn.
and Carduus pycnocephalus L. subsp, pycnocephalus are the dominating vegetation
on heavily metal-contaminated soils. The presence of these spontaneous species on
contaminated soils suggest their potential for restoration of degraded lands by
phytostabilization strategy.
PMID- 24933891
TI - Adsorption optimization of lead (II) using Saccharum bengalense as a non
conventional low cost biosorbent: isotherm and thermodynamics modeling.
AB - In the present study a novel biomass, derived from the pulp of Saccharum
bengalense, was used as an adsorbent material for the removal of Pb (II) ions
from aqueous solution. After 50 minutes contact time, almost 92% lead removal was
possible at pH 6.0 under batch test conditions. The experimental data was
analyzed using Langmuir, Freundlich, Timken and Dubinin-Radushkevich two
parameters isotherm model, three parameters Redlich-Peterson, Sip and Toth models
and four parameters Fritz Schlunder isotherm models. Langmuir, Redlich-Peterson
and Fritz-Schlunder models were found to be the best fit models. Kinetic studies
revealed that the sorption process was well explained with pseudo second-order
kinetic model Thermodynamic parameters including free energy change (AG degrees),
enthalpy change (AH degrees) and entropy change (AS degrees) have been calculated
and reveal the spontaneous, endothermic and feasible nature of the adsorption
process. The thermodynamic parameters of activation (deltaG(#), deltaH(#) and
deltaS(#)) were calculated from the pseudo-second order rate constant by using
the Eyring equation. Results showed that Pb (II) adsorption onto SB is an
associated mechanism and the reorientation step is entropy controlled.
PMID- 24933892
TI - Phytoremediation of abandoned crude oil contaminated drill sites of Assam with
the aid of a hydrocarbon-degrading bacterial formulation.
AB - Environmental deterioration due to crude oil contamination and abandoned drill
sites is an ecological concern in Assam. To revive such contaminated sites,
afield study was conducted to phytoremediate four crude oil abandoned drill sites
of Assam (Gelakey, Amguri, Lakwa, and Borholla) with the aid of two hydrocarbon
degrading Pseudomonas strains designated N3 and N4. All the drill sites were
contaminated with 15.1 to 32.8% crude oil, and the soil was alkaline in nature
(pH8.0-8.7) with low moisture content, low soil conductivity and low activities
of the soil enzymes phosphatase, dehydrogenase and urease. In addition, N, P, K,
and C contents were below threshold limits, and the soil contained high levels of
heavy metals. Bio-augmentation was achieved by applying Pseudomonas aeruginosa
strains N3 and N4 followed by the introduction of screened plant species Tectona
grandis, Gmelina arborea, Azadirachta indica, and Michelia champaca. The findings
established the feasibility of the phytoremediation of abandoned crude oil
contaminated drill sites in Assam using microbes and native plants.
PMID- 24933893
TI - Susceptibility of riparian wetland plants to perfluorooctanoic acid (PFOA)
accumulation.
AB - As plants have been shown to accumulate organic compounds from contaminated
sediments, there is a potential for long-lasting ecological impact as a result of
contaminant accumulation in riparian areas of wetlands, particularly the
accumulation of non-biodegradable contaminants such as perfluorooctanoic acid
(PFOA). In this study, commonly found riparian wetland plants including reeds,
i.e., Xanthium strumarium, Phragmites australis, Schoenoplectus corymbosus,
Ruppia maritime; Populus canescens, Polygonum salicifolium, Cyperus congestus;
Persicaria amphibian, Ficus carica, Artemisia schmidtiana, Eichhornia crassipes,
were studied to determine their susceptibility to PFOA accumulation from PFOA
contaminated riparian sediment with a known PFOA concentration, using liquid
chromatography/tandem mass spectrometry (LC/MS/MS). The bioconcentration factor
(BCF) indicated that the plants affinity to PFOA accumulation was; E. crassipes,
> P. sali-cifolium, > C. congestus, > P. x canescens, > P. amphibian, > F.
carica, > A. schmidtiana, > X. strumarium,> P. australis, > R. maritime, > S.
corymbosus. The concentration of PFOA in the plants and/or reeds was in the range
11.7 to 38 ng/g, with a BCF range of 0.05 to 0.37. The highest BCF was observed
in sediment for which its core water had a high salinity, total organic carbon
and a pH which was near neutral. As the studied plants had a higher affinity for
PFOA, the resultant effect is that riparian plants such as E. crassipes, X.
strumarium, and P. salicifolium, typified by a fibrous rooting system, which grow
closer to the water edge, exacerbate the accumulation of PFOA in riparian
wetlands.
PMID- 24933894
TI - Characterization of transgenic tobacco plants containing bacterial bphC gene and
study of their phytoremediation ability.
AB - Genetically modified plants can serve as an efficient tool for remediation of
diverse dangerous pollutants of the environment such as pesticides, heavy metals,
explosives and persistent organic compounds. Transgenic lines of Nicotiana
tabacum containing bacterial bphC gene from the degradation pathway of
polychlorinated biphenyls (PCBs) were tested. The product of the bphC gene -
enzyme 2,3-dihydroxybiphenyl-1,2-dioxygenase is responsible for cleaving of the
biphenyl ring. The presence of bphC gene in transgenic plants was detected on
DNA, RNA and protein level. The expression of the bphC/His gene was verified
afterpurification of the enzyme from plants by affinity chromatography followed
by a Western blot and immunochemical assay. The enzyme activity of isolated
protein was detected. Efficient transformation of 2,3-DHB by transgenic plants
was achieved and the lines also exhibited high production of biomass. The
transgenic plants were more tolerant to the commercial PCBs mixture Delor 103
than non-transgenic tobacco. And finally, the higher decrease of total PCB
content and especially congener 28 in real contaminated soil from a dumpsite was
determined after cultivation of transgenic plant in comparison with nontransgenic
tobacco. The substrate specificity of transgenic plants was the same as substrate
specificity of BphC enzyme.
PMID- 24933895
TI - Accumulation and response of willow plants exposed to environmental relevant
sulfonamide concentrations.
AB - As a result of manure application to arable lands, agricultural ecosystems are
often contaminated by veterinary antibiotics. In this study the aptitude of Salix
fragilis L. to accumulate and tolerate sulfadimethoxine (SDM) was evaluated,
together with the antibiotic effects on the plant development, with particular
attention focused on roots. Results showed an antibiotic presence in root
tissues, but not in leaves, after one month of SDM exposure to 0.01, 0.1, 1 and
10 mg l(-1). A hormetic growth of the hypogeal system was observed, however
stress symptoms on the root development were only noticed after treatment to the
highest dose. Results obtained from a second test, where new cuttings were
exposed to 10 mg SDM l(-1) for different periods, suggested that willow tolerance
to SDM increased with the exposure duration, probably because of the onset of
particular acclimation mechanisms. Therefore, the present work indicates that
this woody species could be utilized in the phytoremediation of sulfonamide
antibiotics at doses comparable to that found in agricultural ecosystems once
obtained appropriate confirmations through future studies at a laboratory and
field scale.
PMID- 24933896
TI - Leucanthemum vulgare lam. germination, growth and mycorrhizal symbiosis under
crude oil contamination.
AB - Oil contamination of soil limits plants' access to water and nutrients.
Leucanthemum vulgare colonized by mycorrhizae could provide an effective tool in
remedying oil contamination. Seeds of L. vulgare were planted in pots containing
soil mixed with petroleum at 0, 2.5, 5, 7.5, and 10% w/w and propagules of
mycorrhizal fungi. Plants were grown under ambient conditions for 16 weeks. Seed
germination data were collected weekly for three weeks. Mycorrhizal percentage,
spore counts, length and weight of roots and shoots were determined after
harvesting. Results showed significant differences in seed germination rates
between oil-treated, mycorrhizal and non-mycorrhizal plants. The overall
germination rate was greater at 7.5% w/w crude oil contamination (p = 0.05) in
mycorrhizal and non-mycorrhizal pots with significant differences between their
respective Root:Shoot ratios (both length and weight). Results of this research
showed L. vulgare could be germinated and grown in crude oil contaminated soils
and could be used to augment plant establishment as part of phytoremediation
practices.
PMID- 24933897
TI - Adaptive long-term monitoring of soil health in metal phytostabilization:
ecological attributes and ecosystem services based on soil microbial parameters.
AB - Phytostabilization is a promising option for the remediation of metal
contaminated soils which requires the implementation of long-term monitoring
programs. We here propose to incorporate the paradigm of "adaptive monitoring",
which enables monitoring programs to evolve iteratively as new information
emerges and research questions change, to metal phytostabilization. Posing good
questions that cover the chemical, toxicological and ecological concerns
associated to metal contaminated soils is critical for an efficient long-term
phytostabilization monitoring program. Regarding the ecological concerns, soil
microbial parameters are most valuable indicators of the effectiveness of metal
phytostabilization processes in terms of recovery of soil health. We suggest to
group soil microbial parameters in higher-level categories such as "ecological
attributes" (vigor, organization, stability) or "ecosystem services" in order to
facilitate interpretation and, most importantly, to provide long-term
phytostabilization monitoring programs with the required stability through time
against changes in techniques, methods, interests, etc. that will inevitably
occur during the monitoring program. Finally, a Phytostabilization Monitoring
Card, based on both ecological attributes and ecosystem services, for soil
microbial properties is provided.
PMID- 24933898
TI - Arundo donax L., a candidate for phytomanaging water and soils contaminated by
trace elements and producing plant-based feedstock. A review.
AB - Plants and associated microorganisms are used to remediate anthropogenic
metal(loid) contamination of water, soils and sediments. This review focuses on
the potential of Arundo donax L. (Giant reed) for alleviating risks due to soils,
water, and sediments contaminated by trace elements (TE), with emphasis on its
advantages and limits over macrophytes and perennial grasses used for bioenergy
and plant-based feedstock. Arundo donax is relevant to phytomanage TE
contaminated matrices, notably in its native area, as it possesses
characteristics of large biomass production even under nutrient and abiotic
stresses, fast growth rate, TE tolerance and accumulation mainly in below ground
plant parts. Cultivating A. donax on contaminated lands and in constructed
wetlands can contribute to increase land availability and limit the food vs.
plant-based feedstock controversy. To gain more tools for decision-taking and
sustainable management,further researches on A. donax should focus on:
interactions between roots, TE exposure, and rhizosphere and endophytic
microorganisms; biomass response to (a)biotic factors; sustainable agricultural
practices on marginal and contaminated land; integration into local, efficient,
energy and biomass conversion chains with concern to biomass quality and
production; Life-Cycle Assessment including contaminant behavior, as well as
environmental, agricultural and socio-economic benefits and drawbacks.
PMID- 24933899
TI - Effects of soil type and genotype on cadmium accumulation by rootstalk crops:
implications for phytomanagement.
AB - The variations of Cd accumulation in three rootstalk crop species (radish, carrot
and potato) were investigated by using twelve cultivars grown in acidic
Ferralsols and neutral Cambisols under two Cd treatments (0.3 and 0.6 mg kg(-1))
in a pot experiment The result showed that the total Cd uptake was significantly
affected by genotype, soil type and interaction between them, suggesting the
importance of selecting proper cultivars for phytoextraction in a given soil
type. Among the cultivars tested, potato cultivar Luyin No.1 in Ferralsols and
radish cultivar Zhedachang in Cambisols exhibited the highest Cd phytoextraction
efficiency in aerial parts (4.45% and 0.59%, respectively) under 0.6 mg kg-1 Cd
treatment. Furthermore, the Cd concentrations in their edible parts were below
the National Food Hygiene Standard of China (0.1 mg kg(-1), fresh weight).
Therefore, phytomanagement of slightly Cd-contaminated soils using rootstalk
crops for safe food production combined with long-term phytoextraction was
feasible, and potato cultivar Luyin No.1 for Ferralsols and radish cultivar
Zhedachang for Cambisols were promising candidates for this approach.
PMID- 24933900
TI - Effects of copper sulfate on seedlings of Prosopis pubescens (screwbean
mesquite).
AB - Phytoextraction is an established method of removal of heavy metals from
contaminated soils worldwide. Phytoextraction is most efficient if local plants
are used in the contaminated site. We propose that Prosopis pubescens (Screw bean
mesquite) would be a successful phytoextractor of copper in our local soils. In
order to determine the feasibility of using Screw bean mesquite, we utilized
inductively-coupled plasma-optical emission spectroscopy (ICP-OES) and elemental
analysis to observe the uptake of copper and the effects on macro and micro
nutrients within laboratory-grown seedlings. We have previously shown that P.
pubescens is a hyperaccumulator of copper in soil-grown seedlings. Light and
transmission electron microscopy demonstrated death of root cells and
ultrastructural changes due to the presence of copper from 50 mg/L - 600 mg/L.
Ultrastructural changes included plasmolysis, starch accumulation, increased
vacuolation and swollen chloroplasts with disarranged thylakoid membranes in
cotyledons. Inductively coupled plasma-optical emission spectroscopy analyses of
macro- and micro-nutrients revealed that the presence of copper sulfate in the
growth medium of Petri-dish grown Prosopis pubescens seedlings resulted in
dramatic decreases of magnesium, potassium and phosphorus. At 500-600 mg/L of
copper sulfate, a substantial increase of sulfur was present in roots.
PMID- 24933901
TI - Effects of high nutrient supply on the growth of seven bamboo species.
AB - Over the last decade, bamboo has emerged as an interesting plant for the
treatment of various polluted waters using plant-based wastewater treatment
systems. In these systems, nitrogen and phosphorous concentrations in wastewater
can exceed plant requirements and potentially limit plant growth. The effects of
two nutrient rates on the growth of seven bamboo species were assessed in a one
year experiment: Dendrocalamus strictus, Thyrsostachys siamensis, Bambusa
tuldoides, Gigantochloa wrayi, Bambusa oldhamii, Bambusa multiplex and Bambusa
vulgaris. Nutrient rates were applied with a 20:20:20 NPK fertilizer as 2.6 and
13.2 t.ha.yr(-1) NPK to three-year-old bamboo planted in 70 L containers.
Morphological characters, photosynthetic responses, and NPK content in bamboo
tissues were investigated. Under high-nutrient supply rate, the main trend
observed was an increase of culm production but the culms' diameters were
reduced. For the seven species, the above ground biomass yield tended to increase
with high-nutrient rate. Increasing in nutrient rates also improved the
photosynthetic activity which is consistent with the increase of nitrogen and
phosphorus contents measured in plant tissues. All the bamboo species tested
appears suitable for wastewater treatment purposes, but the species Bambusa
oldhamii and Gigantochloa wrayi showed the higher biomass yield and nutrient
removaL
PMID- 24933902
TI - Selection and combustion of Ni-hyperaccumulators for the phytomining process.
AB - Ni recovery from serpentine soils by phytomining has proved feasible. Phytomining
involves the crop of hyperaccumulating plants with high Ni contents and the
valorization of Ni by pyro or hydrometallurgical process. In order to evaluate
the Ni content of different plants, we analyzed the organs of 14
hyperaccumulators from three genera: Alyssum, Leptoplax and Bornmuellera. The
highest concentration was recorded in the leaves of Leptoplax (34.3 +/- 0.7 mg g(
1)DM). Additionally, we investigated biomass combustion which is the first step
of the process we designed to obtain a nickel salt. We showed that temperature
and duration were important parameters to ensure a good quality of ashes. At the
bench scale, the best conditions were 550 degrees C and 3 h. In this way, we
obtained ashes in which Ni could reach 20 wt%. Biomass ashes can be considered as
a bio-ore for recovering metal value.
PMID- 24933903
TI - Cr localization and speciation in roots of chromate fed Helianthus annuus L.
seedlings using synchrotron techniques.
AB - In order to gain knowledge on the potential use of Helianthus annuus L. for the
remediation of Cr(VI) polluted waters, hydroponics experiments were set up to
determine Cr uptake and tolerance in different Cr(VI)-sulfate conditions, and Cr
biotransformations. Results indicated that Cr(VI) promoted seed germination, and
plant tolerance was higher at younger plant stages. Cr uptake was dependent on
sulfate concentrations. The highest Cr levels in roots and shoots (13,700 and
2,500 mg kg(-1) dry weight (DW), respectively) were obtained in 1 mM sulfate. The
lowest Cr uptake in roots (10,600 mg kg(-1) DW) was observed in seedlings treated
with no sulfate. In shoots, Cr concentration was of 1,500 mg kg(-1)DW for the 1
mM sulfate treatment, indicating a different level of interaction between
chromate and sulfate in both tissues. For the first time, using micro X-ray
florescence (muXRF), we demonstrated Cr reaches the root stele and is located in
the walls of xylem vessels. Bulk and micro X-ray Absorption Near-Edge Structure
(muXANES) results showed that Cr in the roots is mostly in the form of Cr(III)
phosphate (80%), with the remainder complexed to organic acids. Our results
suggest this plant species may serve for Cr(VI) rhizofiltration purposes.
PMID- 24933904
TI - Metal uptake by native plants and revegetation potential of mining sulfide-rich
waste-dumps.
AB - Waste dumps resulting from metal exploitation create serious environmental
damage, providing soil and water degradation over long distances.
Phytostabilization can be used to remediate these mining sites. The present study
aims to evaluate the behavior of selected plant species (Erica arborea, Ulex
europaeus, Agrostis delicatula, and Cytisus multiflorus) that grow spontaneously
in three sulfide-rich waste-dumps (Lapa Grande, Cerdeirinha, and Penedono,
Portugal). These sites represent different geological, climatic and floristic
settings. The results indicate distinctive levels and types of metal
contamination: Penedono presents highest sulfate and metal contents, especially
As, with low levels of Fe. In contrast, at Lapa Grande and Cerdeirinha Fe, Mn,
and Zn are the dominant metals. In accordance, each waste dump develops a typical
plant community, providing a specific vegetation inventory. At Penedono, Agrostis
delicatula accumulates As, Pb, Cu, Mn, and Zn, showing higher bioaccumulation
factors (BF) for Mn (32.1) and As (24.4). At Cerdeirinha, Ulex europaeus has the
highest BF for Pb (984), while at Lapa Grande, Erica arborea presents high BF for
Mn (9.8) and Pb (8.1). Regarding TF, low values were obtained for most of the
metals, especially As (TF < 1). Therefore, the results obtained from
representative plant species suggest appropriate behavior for phytostabilization
measures.
PMID- 24933905
TI - Cadmium dynamics in the rhizosphere and Cd uptake of different plant species
evaluated by a mechanistic model.
AB - Maize, sunflower,flax, and spinach differed in the accumulation of Cd when grown
on a Cd contaminated soil. This was mainly due to the different Cd net influx,
In, that varied among species by a factor of up to 30. The objective of this
study was to find possible reasons for the different Cd In by using a mechanistic
model. After 14 days of Cd uptake the model calculated only a small Cd depletion
at the root surface, e.g. from 0.22 mumol L(-1) down to 0.19 mumol L(-1) for
maize and from 0.48 mumol L(-1) down to 0.35 mumol L(-1)for spinach. Even so the
model always overestimated the Cd I(n), for spinach by a factor of 1.5 and for
maize by a factor of 10. Only simulating a decrease of C(Li) or the root
absorbing power, alpha, by 40% to 90% gave an agreement of calculated and
measured I(n),. This may be interpreted as that about 40% in the case of spinach
and 90% in the case of maize of the Cd in soil solution were not accessible for
plant uptake. The high sensitivity to alpha also shows that not the Cd transport
to the root but alpha was limiting the step for Cd uptake.
PMID- 24933906
TI - Cadmium removal by Lemna minor and Spirodela polyrhiza.
AB - The present study investigates the ability of two genus of duckweed (Lemna minor
and Spirodela polyrhiza) to phytoremediate cadmium from aqueous solution.
Duckweed was exposed to six different cadmium concentrations, such as,
0.5,1.0,1.5, 2.0, 2.5, and 3.0 mg/L and the experiment was continued for 22 days.
Water samples were collected periodically for estimation of residual cadmium
content in aqueous solution. At the end of treatment period plant samples were
collected and accumulated cadmium content was measured. Cadmium toxicity was
observed through relative growth factor and changes in chlorophyll content
Experimental results showed that Lemna minor and Spirodela polyrhiza were capable
of removing 42-78% and 52-75% cadmium from media depending upon initial cadmium
concentrations. Cadmium was removed following pseudo second order kinetic model
Maximum cadmium accumulation in Lemna minor was 4734.56 mg/kg at 2 mg/L initial
cadmium concentration and 7711.00 mg/kg in Spirodela polyrhiza at 3 mg/L initial
cadmium concentration at the end of treatment period. Conversely in both cases
maximum bioconcentration factor obtained at lowest initial cadmium
concentrations, i.e., 0.5 mg/L, were 3295.61 and 4752.00 for Lemna minor and
Spirodela polyrhiza respectively. The present study revealed that both Lemna
minor and Spirodela polyrhiza was potential cadmium accumulator.
PMID- 24933907
TI - Plant growth-promoting bacteria facilitate the growth of barley and oats in salt
impacted soil: implications for phytoremediation of saline soils.
AB - Plant growth-promoting bacteria (PGPB) strains that contain the enzyme 1-amino
cyclopropane-1-carboxylate (ACC) deaminase can lower stress ethylene levels and
improve plant growth. In this study, ACC deaminase-producing bacteria were
isolated from a ) salt-impacted ( 50 dS/m) farm field, and their ability to
promote plant growth of barley 1): and oats in saline soil was investigated in
pouch assays (1% NaCI), greenhouse trials (9.4 dS/m), and field trials (6-24
dS/m). A mix of previously isolated PGPB strains UW3 (Pseudomonas sp.) and UW4
(P. sp.) was also tested for comparison. Rhizobacterial isolate CMH3 (P.
corrugata) and UW3+UW4 partially alleviated plant salt stress in growth pouch
assays. In greenhouse trials, CMH3 enhanced root biomass of barley and oats by
200% and 50%, respectively. UW3+UW4, CMH3 and isolate CMH2 also enhanced barley
and oat shoot growth by 100%-150%. In field tests, shoot biomass of oats tripled
when treated with UW3+UW4 and doubled with CHM3 compared with that of untreated
plants. PGPB treatment did not affect salt uptake on a per mass basis; higher
plant biomass led to greater salt uptake, resulting in decreased soil salinity.
This study demonstrates a method for improving plant growth in marginal saline
soils. Associated implications for salt
PMID- 24933908
TI - Physiological and proteomic responses of different willow clones (Salix fragilis
x alba) exposed to dredged sediment contaminated by heavy metals.
AB - High biomass producing species are considered as tools for remediation of
contaminated soils. Willows (Salix spp.) are prominent study subjects in this
regard. In this study, different willow clones (Salix fragilis x alba) were
planted on heavy-metal polluted dredging sludge. A first objective was assessment
of the biomass production for these clones. Using a Gupta statistic, four clones
were identified as high biomass producers (HBP). For comparison, a group of four
clones with lowest biomass production were selected (LBP). A second objective was
to compare metal uptake as well as the physiological and proteomic responses of
these two groups. All these complementary data's allow us to have a better
picture of the health of the clones that would be used in phytoremediation
programs. Cd, Zn, and Ni total uptake was higher in the HBPs but Pb total uptake
was higher in LBPs. Our proteomic and physiological results showed that the LBPs
were able to maintain cellular activity as much as the HBPs although the
oxidative stress response was more pronounced in the LBPs. This could be due to
the high Pb content found in this group although a combined effect of the other
metals cannot be excluded.
PMID- 24933909
TI - Study of the spatial distribution of mercury in roots of vetiver grass
(Chrysopogon zizanioides) by micro-pixe spectrometry.
AB - Localization of Hg in root tissues of vetivergrass (Chrysopogon zizanioides) was
investigated by micro-Proton Induced X-ray Emission (PIXE) spectrometry to gain a
better understanding of Hg uptake and its translocation to the aerial plant
parts. Tillers of C. zizanioides were grown in a hydroponic culture for 3 weeks
under controlled conditions and then exposed to Hg for 10 days with or without
the addition of the chelators (NH(4))(2)S(2)O(3) or KI. These treatments were
used to study the effects of these chelators on localization of Hg in the root
tissues to allow better understanding of Hg uptake during its assisted
phytoextraction. Qualitative elemental micro-PIXE analysis revealed that Hg was
mainly localized in the root epidermis and exodermis, tissues containing suberin
in all Hg treatments. Hg at trace levels was localized in the vascular bundle
when plants were treated with a mercury solution only. However, higher Hg
concentrations were found when the solution also contained (NH(4))(2)S(2)O(3) or
KI. This finding is consistent with the observed increase in Hg translocation to
the aerial parts of the plants in the case of chemically induced Hg
phytoextraction.
PMID- 24933910
TI - Phytoremediation potential of Phragmites australis in Hokersar wetland - a Ramsar
site of Kashmir Himalaya.
AB - Heavy metals are an important class of pollutants with both lethal and sublethal
effects on organisms. Wetlands are cheap natural alternatives for removal of
heavy metals from soils; however, wetland plants vary greatly in their degree of
metal uptake. Hokersar wetland, a Ramsar site of Kashmir Himalaya, India is a
game reserve of international importance that provides suitable habitat for
resident birds and an excellent stopover point for migratory birds visiting from
Palaearctic breeding grounds in Central Asia, China, N-Europe and Siberia. The
toxicity of chronic dietary metal exposure in birds may have adverse reproductive
effects which include decreased egg production, decreased hatchability, and
increased hatchling mortality. Thus, the present study aimed to assess the heavy
metal sequestration capability of one of the most common wetland plant species
Phragmites australis in Hokersar wetland. The accumulation of the different
elements was in order of Al > Mn > Ba > Zn > Cu > Pb > Mo > Co > Cr > Cd > Ni.
Translocation factor, i.e. ratio of shoot to root metal concentration revealed
that metals were largely retained in the roots of P. australis, thus reducing the
supply of metals to avifauna and preventing their bio-accumulation.
PMID- 24933911
TI - Single and combined effects of exposure concentration and duration on biological
responses of Ceratophyllum demersum L. exposed to Cr species.
AB - This study aimed to demonstrate the ways in which two chromium species, Cr (III)
and Cr (VI), can affect various physiological and biochemical parameters in the
plant Ceratophyllum demersum L., and to evaluate the single and combined impact
of exposure concentration and duration. C. demersum was exposed to Cr (III) and
Cr (VI) at a variety of concentrations (1, 2, 5, and 10 mM) and for differing
durations (1, 2, 4, and 7 days), after which Cr accumulation, relative growth
rate (RGR), malondialdehyde (MDA) content, electrical conductivity (EC),
photosynthetic pigmentation, proline content and antioxidant enzyme activities
were examined. The single and combined effects of exposure duration and Cr
concentration on each parameter were determined using a two-way analysis of
variance. For both the Cr (III) and Cr (VI) applications, it was observed that
concentration had a significant effect on all parameters assessed. However,
duration had no statistically significant effect on proline content in the Cr
(III) application, or on MDA and protein content in the Cr (VI) application. It
was determined that concentration exerted greater effects than duration for both
Cr species studied. In addition, the results indicated that duration and
concentration had a synergistic effect on variations of RGR, EC, protein content,
and antioxidant enzyme activities in both the Cr (III) and Cr (VI) applications.
These results may be useful when planning further phytoremediation and plant
biotechnology studies.
PMID- 24933912
TI - Potential of Spartina maritima in restored salt marshes for phytoremediation of
metals in a highly polluted estuary.
AB - Sedimentary abiotic environment, and concentration and stock of nine metals were
analyzed in vegetation and sediments to evaluate the phytoremediation capacity of
restored Spartina maritima prairies in the highly polluted Odiel Marshes (SW
Iberian Peninsula). Samples were collected in two 10 -m long rows parallel to the
tidal line at two sediments depths (0-2 cm and 2-20 cm). Metal concentrations
were measured by inductively coupled plasma spectroscopy. Iron, aluminum, copper,
and zinc were the most concentrated metals. Every metal, except nickel, showed
higher concentration in the root zone than at the sediment surface, with values
as high as ca. 70 g Fe kg(-1). The highest metal concentrations in S. maritima
tissues were recorded in its roots (maximum for iron in Spartina roots: 4160.2 +/
945.3 mg kg(-1)). Concentrations of aluminum and iron in leaves and roots were
higher than in superficial sediments. Rhizosediments showed higher concentrations
of every metal than plant tissues, except for nickel. Sediment metal stock in the
first 20 cm deep was ca. 170.89 t ha(-1). Restored S. maritima prairies, with
relative cover of 62 +/- 6%, accumulated ca. 22 kg metals ha(-1). Our results
show S. maritima to be an useful biotool for phytoremediation projects in
European salt marshes.
PMID- 24933913
TI - Arsenic uptake by Lemna minor in hydroponic system.
AB - Arsenic is hazardous and causes several ill effects on human beings.
Phytoremediation is the use of aquatic plants for the removal of toxic pollutants
from external media. In the present research work, the removal efficiency as well
as the arsenic uptake capacity of duckweed Lemna minor has been studied. Arsenic
concentration in water samples and plant biomass were determined by AAS. The
relative growth factor of Lemna minor was determined. The duckweed had potential
to remove as well as uptake arsenic from the aqueous medium. Maximum removal of
more than 70% arsenic was achieved atinitial concentration of 0.5 mg/1 arsenic on
15th day of experimental period of 22 days. Removal percentage was found to
decrease with the increase in initial concentration. From BCF value, Lemna minor
was found to be a hyperaccumulator of arsenic at initial concentration of 0.5
mg/L, such that accumulation decreased with increase in initial arsenic
concentration.
PMID- 24933914
TI - Bioremediation of an iron-rich mine effluent by Lemna minor.
AB - Contamination of water resources by mine effluents is a serious environmental
problem. In a old coal mine, in the north of Portugal (Sao Pedro da Cova,
Gondoma),forty years after the activity has ended, a neutral mine drainage, rich
in iron (FE) it stills being produced and it is continuously released in local
streams (Ribeiro de Murta e Rio Ferreira) and in surrounding lands. The species
Lemna minor has been shown to be a good model for ecotoxicological studies and it
also has the capacity to bioaccumulate metals. The work aimed test the potential
of the species L. minor to remediate this mine effluent, through the
bioaccumulation of Fe, under greenhouse experiments and, at the same time,
evaluate the time required to the maximum removal of Fe. The results have shown
that L. minor was able to grow and develop in the Fe-rich effluent and
bioaccumulating this element. Throughout the 21 days of testing it was found that
there was a meaningful increase in the biomass of L. minor both in the
contaminated and in the non-contaminated waters. It was also found that
bioaccumulation of Fe (iron) occurred mainly during the first 7 days of testing.
It was found that L. minor has potential for the bioremediation of effluents rich
in iron.
PMID- 24933915
TI - Phytoremediation efficiency of a pcp-contaminated soil using four plant species
as mono- and mixed cultures.
AB - Bioremediation of soil polluted by pentachlorophenol (PCP) is of great importance
due to the persistence and carcinogenic properties of PCP. Phytoremediation has
long been recognized as a promising approach for removal of PCP from soil. The
present study was conducted to investigate the capability of four plant species;
white clover, ryegrass, alfalfa, and rapeseed grown alone and in combination to
remediate pentachlorophenol contaminated soil. After 60 days cultivation, white
clover, raygrass, alfalfa, and rapeseed all significantly enhanced the
degradation of PCP in soils. Alfalfa showed highest efficiency for the removal of
PCP in single cropping flowed by rapeseed and ryegrass. Mixed cropping
significantly enhanced the remediation efficiencies as compared to single
cropping; about 89.84% of PCP was removed by mixed cropping of rapeseed and
alfalfa, and 72.01% of PCP by mixed cropping of rape and white clover. Mixed
cropping of rapeseed with alfalfa was however far better for the remediation of
soil PCP than single cropping. An evaluation of soil biological activities as a
monitoring mechanism for the bioremediation process of a PCP-contaminated soil
was made using measurements of microbial counts and dehydrogenase activity.
PMID- 24933916
TI - Cadmium tolerance and accumulation of Elsholtzia argyi origining from a zinc/lead
mining site - a hydroponics experiment.
AB - In this study, a hydroponics experiment was conducted to investigate the
characteristics of Cd tolerance and accumulation of Elsholtzia argyi natively
growing on the soil with high levels of heavy metals in a Zn/Pb mining site.
Seedlings of E. argyi grown for 4 weeks and then were treated with 0(CK),
5,10,15, 20, 25, 30, 40, 50,100 umM Cd for 21 days. Each treatment had three
replications. No visual toxic symptoms on shoots of E. argyi were observed at Cd
level < or = 50 muM. The results indicated that the dry biomass of each tissue
and the whole plants of the treatments with < or =40 umM cadmium were similar to
that of the control, implying that E. argyi was a cadmium tolerant plant. The
results also showed that the shoot Cd concentration significantly (P < 0.05)
increased with the increase in the Cd level in nutrient solution. The shoot Cd
concentration of the treatment with 40 umM Cd was as high as 237.9 mg kg(-1),
which was higher than 100 mg kg(-1), normally used as the threshold concentration
for identifying the Cd hyperaccumulating plant. It could be concluded that E.
argyi was a Cd tolerant and accumulating plant species.
PMID- 24933917
TI - Combined use of alkane-degrading and plant growth-promoting bacteria enhanced
phytoremediation of diesel contaminated soil.
AB - Inoculation of plants with pollutant-degrading and plant growth-promoting
microorganisms is a simple strategy to enhance phytoremediation activity. The
objective of this study was to determine the effect of inoculation of different
bacterial strains, possessing alkane-degradation and 1-amino-cyclopropane-1
carboxylic acid (ACC) deaminase activity, on plant growth and phytoremediation
activity. Carpet grass (Axonopus affinis) was planted in soil spiked with diesel
(1% w/w) for 90 days and inoculated with different bacterial strains, Pseudomonas
sp. ITRH25, Pantoea sp. BTRH79 and Burkholderia sp. PsJN, individually and in
combination. Generally, bacterial application increased total numbers of
culturable hydrocarbon-degrading bacteria in the rhizosphere ofcarpet grass,
plant biomass production, hydrocarbon degradation and reduced genotoxicity.
Bacterial strains possessing different beneficial traits affect plant growth and
phytoremediation activity in different ways. Maximum bacterial population, plant
biomass production and hydrocarbon degradation were achieved when carpet grass
was inoculated with a consortium of three strains. Enhanced plant biomass
production and hydrocarbon degradation were associated with increased numbers of
culturable hydrocarbon-degrading bacteria in the rhizosphere of carpet grass. The
present study revealed that the combined use of different bacterial strains,
exhibiting different beneficial traits, is a highly effective strategy to improve
plant growth and phytoremediation activity.
PMID- 24933918
TI - Safe patient care legislation.
PMID- 24933919
TI - Michigan and the nation need a raise: the case for a higher minimum wage.
PMID- 24933921
TI - Why should RNs care about raising the minimum wage?
PMID- 24933920
TI - MNA RNs say: 'Robin Hood Tax' on Wall Street can heal Detroit.
PMID- 24933922
TI - Raising the alarm: patient care at risk from too many... bells, beeps & buzzers
independent study.
PMID- 24933923
TI - Member profile: Heather Freeman, RN.
PMID- 24933924
TI - Progress on prevention and cure.
PMID- 24933925
TI - Building an immune toolbox.
PMID- 24933927
TI - New anti-homosexuality laws raise international concerns.
PMID- 24933926
TI - Obituary: Reinhard Kurth.
PMID- 24933928
TI - ADA fellow in leadership award.
PMID- 24933929
TI - Dennett's echo.
PMID- 24933930
TI - Words beyond death: literary form and its intentions in Paul Zweig's last works.
PMID- 24933931
TI - Healing, harming and Hippocrates: physician-assisted suicide in Roman medicine.
PMID- 24933932
TI - Care and progress are the spirit of the NHS.
PMID- 24933933
TI - The future of GP premisises is on shaky ground.
PMID- 24933934
TI - Older people's care is not a burden.
PMID- 24933935
TI - Education: give medical and dental training more teeth.
PMID- 24933936
TI - Technology: moving pictures.
PMID- 24933937
TI - Mental health: a closer look at the mental health evolution.
PMID- 24933938
TI - Workforce: pedal to the metal to improve the NHS.
PMID- 24933939
TI - Staff engagement: revolutionise healthcare reform.
PMID- 24933940
TI - Leadership: the challenge for CCGs a year on.
PMID- 24933941
TI - [An alternative pathway of colorectal cancer development. Endoscopic and
morphological features of serrated lesions. Review].
PMID- 24933942
TI - [Fecal calprotectin as a biomarker effectiveness of various interventions in
patients with inflammatory bowel disease].
AB - Among the chronic diseases of the gastrointestinal tract of the special place
occupied by inflammatory bowel disease (IBD), in which the lining of the
intestine produces a significant number of neutrophils, which has prompted
researchers and clinicians use a protein derived from neutrophils as a biomarker
for the assessment of the intestinal wall and the effectiveness of treatment in
patients IBD. One of these proteins is calprotectin (CP), which can be considered
as a biomarker of activation, destruction and loss of neutrophil cells, to a
lesser extent-- the activated monocytes and macrophages. Various studies have
shown that the concentration of fecal calprotectin (FCP) correlates well with
endoscopic and histological parameters of intestinal inflammation. Test the FCP
can be used in healthy first-degree relatives of patients with IBD to assess the
possible presence of subclinical variant of intestinal inflammation in this
population. Thus, a simple test of the FCP can reduce the needs of various
expensive and invasive method, including costs associated with them, especially
in younger patients, where in terms of differential diagnosis of IBD is often not
included neoplasia of the intestine. FCP is a non-invasive, inexpensive and at
the same time, highly sensitive and specific biomarker that can be used
successfully in the diagnosis, evaluation of the efficacy of treatment and
predicting recurrence.
PMID- 24933943
TI - [Prognostic factors of ulcer colitis flow].
AB - The aim of the study was to optimize curation of patients with ulcer colitis
based on study of prognostic factors of disease flow. MATERIALS AND METHODS: The
influence of clinical and instrumental data on the severity of the disease was
evaluated in 101 patients with ulcerative colitis (UC) within first 5 years from
the disease onset. Blood molecular genetic testing was performed to study the
gene polymorphism of IL-12 b A1188C, IL-17 A G-197A, IL-17, F T7488C, TNF-alpha
308 G-308A on the phenotype of the disease. RESULTS: The effect of IL-17 F
T7488C, TNF-alpha G-308A gene polymorphisms at the risk of the formation of
inflammatory polyps, the prevalence of lesions of the pathological process in the
colonic mucosa in the first 5 years disease flow from moderate to severe
ulcerative colitis established the prognostic factors of systemic
immunosuppressive therapy. However the Influence of IL-12 b A1188C, IL-17 A G
197A gene polymorphisms on the phenotype have been no identified. CONCLUSIONS:
The contribution of the studied IL-17 F T7488C, TNF-alpha G-308A gene
polymorphisms is highly related to the disease phenotype manifestations and
peculiar issues associated with therapy volume. Identified prognostic risk
factors of systemic immunosuppressant needs allowed us to develop an algorithm of
supervision of patients with ulcerative colitis.
PMID- 24933944
TI - [The changes in serum concentrations of interleukin-17 in diseases gastroduodenal
zone].
AB - THE PURPOSE OF THE STUDY: Identify on patients with chronic gastritis, peptic
ulcer disease, gastric cancer, serum concentrations of interleukin-17 and its
relationship to clinical and morphological and serological characteristics.
MATERIAL AND METHODS: A comprehensive survey of 156 patients with diseases
gastroduodenal zone. Diagnostic emphasis was placed on ELISA method as defined in
the serum of IL-17, pepsinogen-I, - II, total antibodies to CagA Helicobacter
pylori. RESULTS: In studied patients revealed changes in the concentration of
interleukin-17 correlate with the stage of atrophy of the gastric mucosa, tumor,
level of pepsinogen, antibodies to the Helicobacter pylori. CONCLUSION: The data
obtained allow to recommend the use of interleukin-17 in serological differential
diagnosis of diseases gastroduodenal zone.
PMID- 24933945
TI - [The character of the morphological changes of the mucous membrane of the large
intestine and the genetic polymorphism of IL-1RA, IL-1B, IL-4 TNFA in patient
with irritable bowel syndrome].
AB - The aim of this study was to evaluate the presence or absence of a relationship
between the variants of the course of IBS and their association with genetic
polymorphisms of genes and intergenic interaction of cytokines. MATERIALS AND
METHODS: The sample consisted of 81 patients, the diagnosis was verified
according to the criteria of the Rome III, were isolated psychopathological,
morphological complications, extra-intestinal symptoms. Polymorphism genotyping
IL-1Ra, IL-b, IL-4, TNFa performed by PCR. Statistical treatment are a non
parametric analysis of multiple comparisons, hierarchical log-linear analysis. It
is found out the relation between the clinical variants with morphological
changes of the mucous membrane of the large intestine, the association between
gender characteristics of patients with IBS is established and with genetic
polymorphisms of cytokines.
PMID- 24933946
TI - [Influence of the comorbidity diseases and risk factors on development of the
combined peptic ulcer of the stomach and the duodenum].
AB - RESEARCH OBJECTIVE: To define influence of the comorbidity diseases and risk
factors of development of the combined peptic ulcer of a stomach and duodenum.
MATERIALS AND METHODS: As a result of carried-out poll and inspection 250
patients with an exacerbation of a peptic ulcer were surveyed. Patients were
distributed in 3 groups of observation depending on localization of ulcerative
process. At all surveyed questioning was carried out, data on hereditary load,
smoking, alcohol intake, existence of somatopathies were specified, clinic
morphological implications of a peptic ulcer were studied. RESULTS: The peptic
ulcer of a stomach is characteristic for women (72.1%) and develops mainly aged
from 45 till 59 years (60.3%). Peptic ulcer of duodenum men (76.3%) suffer
mainly, the debut is the share in most cases of age from 18 to 44 years (79.1%).
The combined canker of a stomach and duodenum meets at males (61.2%) more often,
is initially involved in process ulcerogenesis by duodenum generally aged from 30
till 44 years (64.2%), accession of a canker of a stomach happens is delayed (at
38.8% within 16 and more years). In the analysis of risk factors at patients with
the combined peptic ulcer of a stomach and duodenum depending on existence or
absence specific to this category of patients of a background from such
comorbidity diseases as IBS, HOBL and widespread osteochondrosis taped that
larger prevalence of smoking (100%) and as the use (50%) and the excessive use
(50%), alcohol are characteristic for the patients having a high comorbidity (a
combination of three above nosologies). CONCLUSION: The greatest interest in
respect of possible communication with formation of the combined peptic ulcer of
a stomach and duodenum is represented by IBS, HOBL and osteochondrosis. And major
factors of risk were smoking, the excessive alcohol intake, the burdened
heredity.
PMID- 24933947
TI - [Psychological respond to the disease and treatment devotion].
AB - THE PURPOSE OF THE STUDY: To evaluate the degree of adherence to treatment in
comparison with the psychological reactions to the disease in patients with
chronic diseases of the digestive system. MATERIAL AND METHODS: We examined 106
patients with chronic diseases of the upper gastrointestinal tract. Psychological
reactions to the disease were studied using personality questionnaires of the
Bekhterev Institute (the St. Petersburg V.M. Bekhterev Psychoneurological
Research Institute), adherence to treatment--using a specially designed
questionnaire. 52 patients were polled again at 6 months after discharge from
hospital. RESULTS: High adherence was diagnosed in 47.2%, satisfactory--in 44.3%,
and lower--in 8.5% of cases. Hypernosognosia reaction to the disease in patients
with low levels of compliance were more frequent than in patients with a high
level of compliance (77.8 and 12.0%, respectively). The obtained result suggests
that excessive emotional tension, high levels of anxiety and the stress response
prevent adequate inclusion of patients in the treatment process. A similar
situation persists in 6 months after discharge from hospital. This is confirmed
by clinical observations indicating that this type of reaction to a disease
peculiar to the mature person who knows how to extract the experience of adverse
life situations. CONCLUSIONS: The results confirm the absence of an adequate
level of adherence to treatment in patients with chronic diseases of the
digestive system. In particular this applies to patients with inadequate
emotional response to diseases. In this regard, in terms of improving adherence
increases the importance of timely diagnosis of anxiety and depression with
subsequent correction of the violations.
PMID- 24933948
TI - [Diagnostic value of autoantibodies to asialoglycoprotein receptor in autoimmune
hepatitis].
AB - Among 106 patients with autoimmune liver disease in 12 (11.3%) were found
autoantibodies to asialoglycoprotein receptor (anti-ASGPR): in 5 (9.1%) of 55
with PBC, and 4 (17.4%) of 23 with AIH and 3 (10.7%) of 28 patients with syndrome
chiasm (PBC + AIH). Detection of antibodies against the ASGPR is an effective
method for diagnosis of autoimmune hepatitis. Further improvement of this method
allows for monitoring and disease. Anti-ASGPR levels correlate with biochemical
parameters and with the severity and manifestation autoimmune processes in
patients with autoimmune hepatitis.
PMID- 24933949
TI - [Mutual influence of gastrointestinal pathology and hospital-acquired infection].
AB - Review of the literature devoted to one of the most important problems of modern
medicine--nosocomial infections (NI). In the article there are examined relevant,
epidemiology, pathogenesis, and criteria for NO determining. Special attention is
paid to the mutual influence of gastrointestinal tract pathology and nosocomial
infections.
PMID- 24933950
TI - [Nervous regulation of contractile function of the rectum].
AB - Basic systems of autonomic regulation of contractile function of the rectum are
presented. Activation of the parasympathetic system has a predominantly
stimulatory influence on the contractile function of the rectum. Activation of
alpha1-, alpha2- and beta2-adrenergic receptors of the sympathetic nervous system
inhibits contractile function of the rectum, and activation of alphal-adrenergic
receptors stimulates contractile function of rectum sphinkters. The data about
the effect of serotoninergic, purinergic, peptidergic, and nitrergic systems on
the contractile function of the rectum are also presented.
PMID- 24933951
TI - [Non-surgical treatment of chronic anal fissure by intrasphincter injection of
botulinum toxin type].
PMID- 24933952
TI - [Effects of radiation of decimeter range on the motor function of the
gastrointestinal and biliary tracts].
AB - Characteristics of the motor function of the upper gastrointestinal tract, small
intestine and colon and biliary tract under radiation of dm range were obtained.
The radiation source was a mobile phone brand Nokya. Motor function of the
gastrointestinal and biliary tract was evaluated recording electromyogram (EMG)
from silver electrodes imposed on the body surface in the projection point of the
corresponding abdominal organs; frequency (in minutes) and amplitude (mV) and EMG
spikes are measured. The maximums of dm radiation induced stimulatory effect on
motor function recorded at the esophagus, stomach, jejunum and descending part of
the colon.
PMID- 24933953
TI - [Thrombophilia as a cause of mesenterIc venous thrombosis in young patients].
PMID- 24933954
TI - [Prevalence and risk factors of gastroduodenal and biliary system diseases in
infants and preschool children].
AB - To study the prevalence and risk factors of digestive diseases in children
performed continuous screening questionnaire 786 children from 1.5 to 7 years.
Were Revealed that 47.1 +/- 3.5% of children have symptoms of diseases of the
gastrointestinal tract and biliary system. This symptoms occur in children aged 4
to 7 years in 2.3 times more frequently than in children from 1.5 to 3 years. In
depth study was revealed that the frequency of biliary dyskinesia (BD) was 33 +/-
3.3%, chronic gastritis (CG) and gastroduodenitis (CGD)--6.2 +/- 1.8%, chronic
cholecystitis--4.2 +/- 1.4%, functional disorders of the stomach (FSD)--2.8 +/-
1.2%, and peptic duodenal ulcer (DU)--0.3 + 0.4%. In the structure of digestive
diseases in children from 1.5 to 7 years on the 1st place are BD--70% on the 2nd-
CG and CGD--14.7% on the 3rd chronic cholecystitis--8.9%, on the 4th FSD-5.9% and
5th place DU-0.5%. For dispensary observation in the children's clinic with
digestive diseases consist of only 7.9 +/- 1.9% of children, which is 5.9 times
lower than according to the active diagnostics data. The leading medical and
social risk factors and their complex influence on the formation of digestive
disorders in infants and preschool children.
PMID- 24933955
TI - [12th OESO World Congress--cancers of the esophagus from normal mucosa to tumor
translating knowledge into cure].
PMID- 24933956
TI - [Military everyday life of surgeon Kovanova].
PMID- 24933957
TI - [Quantitative and qualitative evalution of polymorbidity in geriatric practice].
PMID- 24933958
TI - [The study of hormonal status in children with gastroesophageal reflux disease].
AB - The aim of this work is to study the level of some hormones and their role in the
pathogenesis of gastroesophagal reflux disease (GERD) in children. MATERIALS AND
METHODS: 40 children with GERD at the age 9 till 17 years of them 17 boys (42.5%)
and 23 girls (57.5%) were examined. Content of somatostatin, gastrin, insulin,
cortisol in the blood was studied by immunofermental method. Motor function of
the sthomach was studied using the apparatus EGC-4M. RESULTS: The investigations
which were performed revealed the fact that children with GERD have different
concentration of somatostatin and gastrin depending on their age. In disturbances
of interrelations of somatostatin and gastrin impairment of the stomach motility
occurs. High level of insulin and cortisol is found in children with GERD. High
level of insulin indicates of stimulation of protective and adaptative
mechanisms. High level of cortisol intensifies catabolic processes, leads to
disturbances of dynamic equilibration of aggressive-protective factors in the
gastroduodenal zone. CONCLUSION: The results of our study revealed vital role of
hormonal factors in the pathogenesis of GERD in children.
PMID- 24933959
TI - [Biliary sludge: diagnosis and treatment at an outpatient's clinic].
AB - The purpose of the study--to develop a diagnostic and management tactics for
patients with biliary sludge (BS) in an outpatient setting. The study included
115 patients who, according to the ultrasound revealed a BS. Own methodological
approach used by ultrasound scan of the gall bladder and the interpretation of
ultrasonographic BS types: Type 1 (suspension echo-positive particles) was set at
59.1%, type 2 (low level of mobile like putty echo-positive bile)--23.5%, 3 type
(like putty bile in the form of mobile and/or fixed clots)--17.4%. For the
treatment of BS used drugs ursodeoxycholic acid. The daily dosage depends upon
the type BS. For the treatment of type 1 requires a minimum of 1 month, 2 types-
not less than 3 months. Sludge was the most resistant type 3, which is the
duration of treatment was 6-12 months or more. Allocation of risk factors forming
the BS is the basis for the formation of risk groups and prevention activities
(including pharmacotherapeutic).
PMID- 24933960
TI - [IgE-antibodies to Helicobacter pylori in children with gastroduodenal diseases
and concomitant of allergy].
AB - In children with chronic gastritis/gastroduodenitis (CG/CGD), ulcerative and
erosive processes of mucous and associated allergic diseases IgM, IgA, IgG, and
IgE to the H. pylori were determined by ELISA in the blood serum. IgM-antibodies
to H. pylori were found in isolated cases and did not present a diagnostic
significance. IgG-antibodies were detected in all children in the same percentage
(40-50%), pointing indirectly to the widespread contamination by H. pylori. IgA
antibodies to H. pylori with high frequency (83% and 57%) were found in the blood
serum of children with the diseases of gastroduodenal zone without allergies,
while in children with allergic pathology they were found by 3-4 times less
(20%). IgE antibodies to H. pylori were determined in a high percentage of cases
in healthy children (86%) and allergic children (75%), while children without
allergy IgE antibodies were detected in the 54-65% of cases. In this study, the
detection of IgE antibodies to H. pylori has allowed to increase the frequency of
the diagnosis of helicobacteriosis cases in children with gastropathology by 16%
in general and in the group of children with allergic diseases this increase
amounted to 27.3%. Moreover, children with antihelicobacterial IgE antibodies in
a large percentage of cases (92-94%) revealed a positive urease activity.
Detection of serum IgE-antibodies to H. pylori in combination with other
antibodies isotypes in children increases the diagnostic significance of
determination of H. pylori infection and may be recommended when applying
serodiagnosis H. pylori in children's practice, especially in children with
allergic pathology.
PMID- 24933961
TI - [Anemia as the only symptom of esophageal hiatal hernia of the diaphragm].
AB - The clinical case of chronic post-hemorrhagic iron deficiency anemia in patients
with large hiatal hernia is represented in this article.
PMID- 24933962
TI - [Clinical usefulness of alpha-and beta-defensins in biological environments in
patients with ulcerative colitis].
AB - OBJECTIVE: To determine the dependence of alpha-and beta-defensins in biological
environments and prevalence of inflammatory process, activity bei patienten
ulcerative colitis (UC). MATERIALIEN UND METHODEN: The study was conducted on 63
patients with moderate activity UC and 25 practically healthy people. Depending
on the extent of the gut was isolated by the three groups of patients: group 1 (n
= 18)--distal form, group 2 (n = 24)--left-hand localization of pathological
process and group 3 (n = 21)--total form UC. Content of beta-defensins in the
stool and alpha-defensins in blood by method of the immune-enzyme analysis.
RESULTS: In the control group the level of beta-defensins in the stool was 3.7 +/
3.2 ng/g, alpha-defensins in blood--71.7 +/- 3.8 ng/ml. In patients of group 1
the concentration of beta-defensins in the stool was 218.5 +/- 8.2 ng/g in 2
group--210.8 +/- 4.3 ng/g and in group 3--261.7 +/- 9.2 ng/g. Intermediate level
a-defensins in blood match in group 1--471.8 g 9.9 ng/ml in group 2--853.5 g 9.5
ng/ml and in group 3--479.3 +/- 6.8 ng/ ml. Regardless of the localization of
lesions in the gut, not including beta-defensin in the stool at 2 under, the
concentration of antimicrobial peptides in remission. CONCLUSION: Proven
association increased expression of alpha-and beta-defensins with activity and a
length of inflammation in the intestine at UC.
PMID- 24933963
TI - [Immunosuppressive effect of bone marrow MSC transplantation in patients with
ulcerative colitis with six kinds of one family autoantibodies with cross
relationship between each other].
AB - The 6 types of cross-linked autobodies of one family where identified during
relapsing course of Ulcerativ Colities (UC) acompanied with deterioration of
clinical and endoscopic activity and increasing rate of acut inflammatory phase
(CRP, number of leukocytes and erythrocyte sedimentation rate) of the disease. On
the background of transplantation of mesenchymal bone marrow stromal cells (BM
MSC), and despit the identification of six types of autoantibodies to antigens of
neutrophils, was observed moderate activity of UC and low concentration of
autoantibodies than in immunosuppressive therapy without BM MSC transplantation.
Discovered anti-inflammatory effect of BM MSCs transplantation in UC may be
explained by the systemic influence of immunosuppressive effect: it is known that
the BM MSCs inhibit dendritic cells, T-and B-lymphocytes participating in the
immune response, activate regulatory T-cells, which produce antinflammatory
cytokines, IL-10 and TGF-1beta, which suppress the inflammatory process.
PMID- 24933964
TI - [Reconstruction of renal veins and imposition of vascular anastomoses in relative
kidney transplantation].
AB - The paper shows how to create the best ways extracorporeal reconstruction of the
renal veins in related transplantation. It is necessary to consider the
possibility of autoplasty at nephrectomy from a living donor, expansion of the
distal renal vein and allow its implementation through the walls of the gonad
donor veins. When there are several veins, the largest is used for anastomosis,
others may be tied because of a well-developed network of intrarenal collateral
venous outflow. With the right approach to the choice of method of angioplasty
and its skillful implementation, taking into account the structural features of
the vascular bed of the donor, the presence of several vessels supplying the
kidney of a living related donor, not a contraindication to of transplantation
and does not reduce the quality of the transplanted organ.
PMID- 24933965
TI - [Influence of electrical stimulation of "hunger center" of the lateral
hypothalamus and food reinforcements on myoelectrical activity of the gastro
esophageal sphincter and stomach in rabbits under the conditions of hunger and
satiation].
AB - AIM: To study the combined effect of electrostimulation of "hunger center" of the
lateral hypothalamus (LH) and food-obtaining behavior arising from it on
myoelectrical activity of gastro-esophageal sphincter (GES) and the stomach in
pre-fed and subjected to food deprivation animals . MATERIAL AND METHODS.
Registration of myoelectrical GES and the stomach activity was carried out under
free-behavior conditions in rabbits subjected to food deprivation or pre-fed
before the experiment. It was done by means of chronically implanted electrodes
during LH electrostimulation in the presence of food. Simultaneously using the
web-camera the animals behavior was recorded. LH stimulation was produced by STM
100C stimulator (USA) with implanted bipolar nichrome electrodes. Analysis of
temporal parameters of myoelectrical activity of GES and the stomach were carried
out by the program AcqKnowledge (USA), and statistical analysis of the data by
the program Statistica 6. Significanse of differences between the samples was
assessed by the U-Mann-Whitney test (p < 0.05). RESULTS: Electrostimulation of
"hunger center" of the lateral hypothalamus in pre-fed rabbits and the rabbits
subjected to daily food deprivation, in the presence of food causes resultant
food behavior which is accompanied by regular generations of bursts of peak
potentials, frequency of which is essentially different in hungry and satiated
animals and depends on intensity of artificially induced and artificially
reinforced food motivation. In the process of LH stimulation arising resultant
food behavior in satiated animals is accompanied by regular generation of high
amplitude slow electrical waves (SEW) by the muscles of lesser curvature (LC),
the body and antrum of the stomach (AS) and this is reflected in the structure of
temporal organization of slow electrical activity (SEA) in the form of monomodal
distributions of SEW periods, typical of satiation state. Despite the increase in
food motivation level, due to LH stimulation, additional entry of food into the
stomach of satiated rabbits completely eliminates inhibitory effect of
starvational motivational excitation on SEA of the muscles of LC, the body and
AS. SEA alterations of the stomach muscles in hungry rabbits in the presence of
food and thus arising of food-obtaining behavior during LH stimulation have two
phase character. At the initial stage of food behavior in hungry animals during
LH stimulation high extent of scaterring of the values of SEW periods generated
by the body and AS muscles is preserved, as evidenced by the bimodal distribution
of SEW periods characteristic of the state of hunger. In spite of food entry into
the stomach at the 1-st phase of LH stimulation, inhibitory effect of
artificially reinforced starvational motivational excitation on pacemaker
activity of the stomach is retained. At the 2-nd phase of LH electrostimulation
food reinforcement eliminates inhibitory effect of food motivational excitation
on myoelectrical activity of pacemaker of the stomach that gives maximal rhythm
of SEW generation to the body and AS, monomodal distributions of SEW periods
indicate to it. CONCLUSION: lnteraction of artificially induced and artificially
reinforced food motivational excitation with afferentation from food
reinforcement on neurons of the central generator of deglutition pattern and
dorsal vagal complex due to LH electrostimulation and thereby arising resultant
food obtaining behavior is specifically reflected in patterns of myoelectrical
activity of GES, LC, the body and AS.
PMID- 24933966
TI - [Gastroesophageal reflux disease model].
AB - The model of gastroesophageal reflux disease by administration of 0.2-0.25 ml of
a 1% solution of methylene blue to fundal division of rat stomach was created.
After 1-5 minutes electromotor activity of the lower third of the esophagus was
observed. Mucosa staining was noted.
PMID- 24933967
TI - [Metocinium iodide application in patients with irritable bowel syndrome].
AB - In this article result of medication methocinium iodide (Methacin) using at
patients with irritable bowel syndrome are observed.
PMID- 24933968
TI - [Long-term use of capillary gastrointestinal probe for treating patients with
giant gastric ulcer. The case report].
AB - Conducted a successful conservative (stationary, and then outpatient) treatment
of a patient with a giant gastric ulcer long-term use (5 months) as a basic
treatment of capillary gastrointestinal probe.
PMID- 24933969
TI - [Surgical nurse Ksenia Ivanovna Churkina].
PMID- 24933970
TI - [The etiology of chronic pancreatitis].
PMID- 24933971
TI - [Immunological criteria for evaluation of nutritional support in ulcerative
colitis].
AB - The article presents the data on the assessment of nutritional status, taking
into account humoral immunologic criteria of patients with ulcerative colitis.
PMID- 24933972
TI - [Serotonin levels and short chain fatty acids in pathogenesis of irritable bowel
syndrome].
AB - In 72 patients with irritable bowel syndrome (IBS) the psychological condition
and electric activity of brain, serotonin level in plasma, short chain fatty
acids (SFA) levels in stool were studied. In patients with IBS in aggravation of
disease violations of central nerve system was observed. The increasing of
reactive and personal anxious, violations in electroencephalograms and increasing
serotonin level with modification of SFA levels were observed. Clinical,
psychological and electroencephalographic changes, levels of serotonin and SFA is
necessary to analyze for individual treatment program in patients with IBS.
PMID- 24933973
TI - [On the possibilities of correction of changes of the gastrointestinal tract
microbiota in patients with lung cancer treated receiving chemotherapy].
AB - The aim of the study was the improvement of technologies of treatment of patients
with the lung cancer receiving chemotherapy on the basis of using with the
complex therapy of the combined probiotic based on the Bacillus subtilis strain.
MATERIALS AND METHODS: 30 patients with the lung cancer receiving the first and
second line of the first cycle of chemotherapy were included. The age of patients
varied from 49 to 73 years, the average duration of the disease was 1 year.
Patients of the main group (n = 21) received the combined probiotic based on the
Bacillus subtilis strain together with the chemotherapy course. Patients of
control group (n = 9) received only chemotherapeutic preparations. All patients
were observed before and after treatment: the standardized inquiry for detection
of intestinal complaints, microbiological research of feces (definition of
qualitative and quantitative characteristics of gut microbiota), the research of
metabolites of intestinal microorganisms in blood by the method of the gas-liquid
chromatography - mass-spectrometry by G. A. Osipov's method. The efficiency of
probiotic therapy was evaluated by results of studied indicators dynamics.
RESULTS: Main symptoms of the intestinal dyspepsia were observed in patients with
the lung cancer receiving chemotherapy such as constipation and intestinal
microflora violations (decreased quantity of Lactobacillus, Bifidobacterium,
Bacteroides and increased quantity of different pathogenic microorganisms). It
was noted decreased rate of intestinal dyspepsia symptoms and improvement of
intestinal microflora composition after the treatment course by the combined
probiotic based on the Bacillus subtilis strain. CONCLUSION: Using of probiotic
medicines with the chemotherapy in lung cancer patients is promising to reduce
the frequency of gastrointestinal complaints and prevent deterioration of the gut
microflora.
PMID- 24933974
TI - [Reducing anxiety without deterioration of cognitive functions].
AB - The purpose of the study--demonstrate the ability to reduce anxiety without
having a negative impact on concentration and memory. In patients with irritable
bowel syndrome studied anxiety, cognitive dysfunction, and memory. Patients
received a standard basic therapy or standard treatment with psychotropic
medication. Inclusion in the healing process valemidin significantly reduced
anxiety in patients without a negative impact on cognitive function. IBS patients
receiving basic therapy addition, valemidin, compared with the group of patients
receiving only the basic therapy significantly decreased and cognitive
dysfunction.
PMID- 24933975
TI - [Efficiency of ursodeoxycholic acid therapy in non-alcoholic fatty liver disease
associated with metabolic syndrome].
AB - INTRODUCTION: Nonalcoholic Fatty Liver Disease (NAFLD) is one of the most common
liver disease. Its prevalence is 20-30% in the population of developed countries,
its prevalence is 26% in Russia. NAFLD is observed in many patients with
Metabolic Syndrome. Because of the wide prevalence of this disease it is required
to find best drugs influencing mechanisms of its development, chronicity and
progression. MATERIALS AND METHODS: 36 patients were included to the study. Mean
age of patients was 43 +/- 3.9 years. Patients of the main group received the
ursodeoxycholic acid within 2 months. Patients of the control group received the
plant origin hepatoprotective medicine. All patients before and after treatment
were carried out the biochemical analysis of blood, the liver ultrasound
examination, the bioimpedance body composition analysis, the microbiological
examination of faeces, the examination of metabolites of microorganisms in the
blood by the method of gas-liquid chromatography - mass spectrometry, developed
by Osipov G. A. RESULTS: The reduction of hepatic transaminases, the trend to
normalization of the lipid profile, the reduction of body weight, the reduction
of amount of adipose tissue in the body, the increase of Bifidobacterium spp.,
Lactobacillus spp., Enterococcus spp., the increase of levels of Bifidobacterium
spp., Lactobacillus spp. Metabolites, the decrease of endotoxin plasma level and
the decrease of total microbial load were observed after the UDCA treatment. The
results of the study showed the prospectivity of the using of UDCA for NAFLD
associated with Metabolic Syndrome.
PMID- 24933976
TI - [Opportunities of electrogastroenterography in pediatric gastroenterological
practice].
AB - The aim of the investigation is to identify opportunities
electrogastroenterography (EGEG) in the survey and individualization treatment of
children with inflammatory diseases of the gastrointestinal tract. MATERIALS AND
METHODS: We examined five children 10-15 years apparatus Gastroskan-HEV standard
overlay electrodes. All children were treated with chronic gastritis in hospital.
This diagnosis was confirmed by fibrogastrocopy. The study was conducted in the
morning after fasting for 10-12 hours hunger for 40 minutes, and starting in 5-6
minutes after application of the electrodes. Investigated the maximal
fluctuations in the range 3.5-4.2 and minimal changes. RESULTS: All children
identified acceleration motor-evacuation function of the gastrointestinal tract
on the background of hypersecretory reaction. CONCLUSION: EGEG method is
noninvasive, has no contraindications and is well tolerated, allowing multiple
examine patients regardless of their age and the severity of the condition and
correct the assigned therapy.
PMID- 24933977
TI - [The role of pathological changes of biliopancreatoduodenal zone in development
of postcholecystectomy syndrome].
AB - Study of the role of biliopancreatoduodenal pathological changes in the
development of postcholecystectomy syndrome. MATERIAL AND METHODS: Diagnostic
complex, including endosonography has been performed in 138 patients with
calculous cholecystitis with a significant risk of choledocholithiasis and 112
patients with postcholecystectomy syndrome. RESULTS: The same spectrum of
pathological changes of biliopancreatoduodenal zone in both groups was
discovered. CONCLUSIONS: The pathological changes of biliopancreatoduodenal zone
in patients with postcholecystectomy syndrome most likely to appear before the
operation.
PMID- 24933979
TI - [Serotonergic regulation of motor activity of duodenum].
AB - Objective--find the possible role of serotonin in the regulation of motor
activity of the duodenum. It is shown that the activation of ganglionic 5-HT4, 5
HT3 receptors and 5-HT1--and 5-HT2 receptors of effector cells is accompanied by
changes in electromotor activity of the intestine. Blockade of these receptors to
varying degrees inhibit stimulatory effect of serotonin.
PMID- 24933978
TI - [The pathological physiology of the anorectal defects, from the new concept to
the new treatment].
AB - PURPOSE: To determine physiology of anorectal zone in norm and anorectal
malformations (ARM) to optimize the surgical treatment. MATERIAL AND METHODS:
Examination of 119 patients were selected, including 65 children without
anorectal pathology and 54 patients with ARM. We performed barium enema with
radiopaque marker placed near the anus. The manometric study of anorectal area
was done using intubation tube with an inflatable cuff. RESULTS: The anal canal
was detected in all of ARM patients with perineal and vestibular fistulas, as
well as in most of the newborns without fistula. The well innervated internal
anal sphincter (IAS) was located in the loop of the puborectal muscle (PRM) and
connected to the levator ani muscle (LAM) which opens the anal canal during
defecation. Anterior and posterior sagittal approaches use IAS excision, with PRM
damage and cutting off the LAM from IAS, which leads to incontinence and/or
chronic constipation. CONCLUSION: We describe two surgical approaches that allow
preservation of all elements of the anal canal resulting in a normal post
operative continence and defecation.
PMID- 24933980
TI - [A bezoar as a cause of dyspeptic syndrome].
PMID- 24933981
TI - [Botkin medical dynasty].
PMID- 24933982
TI - [Mesenchymal stem cells as perspective method of fibrosis/cirrhosis treatment].
AB - THE PURPOSE OF THE REVIEW: Discuss the background and available results of
transplantation of bone marrow stem cells as a promising treatment of hepatic
fibrosis. KEY PROVISIONS: Actuality of the problem of chronic hepatitis is
present due to their progressive course with the formation of liver cirrhosis and
a high level of mortality. Conservative treatment of patients with decompensated
process requires a liver transplantation that is compounded by the high
percentage of graft reinfection in infectious hepatology. It is necessary to
study the use of bone marrow-derived stem cells transplantation, because MSCs
have certain therapeutic potential, low immunogenicity and the capacity for
directional migration. In experimental models MSCs mechanisms of action are shown
to limit the progression of liver fibrosis and stimulation of regeneration
processes. In clinical studies good tolerability and relative safety of
administration of autologous MSCs have reported as well as the positive effects
on liver synthetic function, a decrease in the severity of cirrhosis on class
Child-Pugh and MELD, reduction in overall mortality are shown. The results of our
own prospective pilot study using autologous MSCs from bone marrow in patients
with HCV-associated liver cirrhosis are described. CONCLUSION: MSCs can exert
multiple synergistic effects on the hepatic stellate cells, reduce inflammation
in the liver tissue remodeling processes and fibrogenesis. For objective evidence
of the clinical benefits of the method, evaluation of long-term efficacy and
safety of MSCs, as well as developing rational strategies, further clinical
studies are required.
PMID- 24933983
TI - [Symptoms of gastroesophageal reflux disease and associated factors in
adolescents: population survey].
AB - OBJECTIVES: Gastroesophageal reflux (GER) is frequent among the general
population affecting 10-20% of adults. However, there is a notable lack of
epidemiological data describing prevalence of GER in children. The aims of the
present study were to assess the prevalence of GER symptoms (GERS) in adolescents
and to evaluate factors associated with GERS including markers of H. pylori
infection. METHODS: All school students in grades 9-11 in four randomly selected
secondary schools in Novosibirsk participated (449 adolescents, 189 boys, 260
girls aged 14-17). They completed the Bowel Disease Questionnaire, life-style
questionnaire, and sera were tested for antibodies against Helicobacter pylori
infection. RESULTS: Overall, 60% of adolescents experienced GERS (heartburn
and/or acid regurgitation) over the previous year. GER symptoms on a monthly
basis were reported by 22% of students, weekly GERS were reported by 9% of
adolescents with the same frequency in both genders. GERS were related to family
history of dyspepsia or GER, mother's lower educational attainment, overweight,
unhealthy eating patterns, alcohol consumption, smoking, and H. pylori infection,
as well as concomitant dyspepsia and irritable bowel syndrome. The majority of
associations were more prominent in girls. Visiting a physician, endoscopic
study, and school absenteeism were reported in the last year more frequently by
adolescents with GERS vs those without GERS. CONCLUSIONS: Gastroesophageal reflux
symptoms are frequent among the adolescent population and result in frequent use
of health care resources. Some precipitated factors found in this study are
modifiable and may be corrected in adolescent population.
PMID- 24933984
TI - [Clinical and endoscopic characteristics of changes in the esophagogastroduodenal
zone in patients with asthma].
AB - The aim of research was to study the frequency and character of clinical
endoscopial disturbances in gastroduodenal zone at 140 BA patients at different
regimen of GKS therapy. The high frequency of GERD in BA patients at GKS therapy
was established. The dependence of main respiratory simptoms of BA on GEFR, the
intencity of cough and dyspnea were found out. Presence of GEFR at BA patients
deteriorates patency of airways.
PMID- 24933985
TI - [State of mucosal barrier of the stomach after Helicobacter pylori eradication
and ulcer healing in patients with duodenal ulcer disease].
PMID- 24933986
TI - [Abnormal calcium metabolism in the remote period after bariatric surgery].
AB - Calcium metabolism in the long-term period after bariatric surgery is reviewed in
the present article. The study of calcium metabolism parameters and vitamin D
status was performed in The Endocrinology Research Center. Morbidly obese
patients (BMI > 40) were included in the 1st group (n = 22), patients who
underwent biliopancreatic diversion for morbid obesity (n = 23) were included in
the 2nd group, healthy normal weight controls (n = 22) were included in the 3rd
group. 25 (OH) D level was different in the 3 groups (p < 0.001). Vitamin D
deficiency prevailed in morbidly obese patients compared to healthy controls (p =
0.007). Elevated parathyroid hormone (PTH) level was found in 4 (18%) morbidly
obese patients, in 12 (52%) operated patients. Not a single case of PTH over
reference interval was found in the controls. The frequency of secondary
hyperparathyroidism was significantly higher in the operated patients.
PMID- 24933987
TI - [Results of dynamic observation of patients with polyps in the stomach].
AB - This work is based on the analysis of data doing 409 patients with polyps in the
stomach. Among them 200 men (48.9%) and 209 women (51.1%) aged 18 to 91 years.
The average age of men was 67.4 years, and women 66.8 years. All these patients
were find 1034 neoplasms of various sizes. At all of this patients polyps were
identified on a background of chronic gastritis, as a rule, has the character of
atrophic process. Histological research was conducted 775 (74.6%) polyps, of
which focal hyperplasia and hyperplastic polyps detected in 49% of cases, and
adenomas in 51% (including adenomas with varying degrees of dyspiasia, and
prostatic adenocarcinoma cells). Endoscopic polypectomy performed 367 patients,
and the remaining 42 was merely the dynamic monitoring of the identified
entities. In 79 (28.8%) patients after polypectomy noted relapse formations and
in 10 cases (3.65%) diagnosed with cancer in the intervention area. 4 (0.98%)
patients from 409 cancer in polyps detected during the initial survey and in 4
patients the cancer originated in the not associated with polyps of stomach. As a
result of the research of the dependence of the size of neoplasms on the nature
of destruction and histological structure. For most existing polyps
characteristic of the stability of their morphological structure and the absence
of its transformation.
PMID- 24933988
TI - [24-hour combined pH-impedance measurement in differential diagnosis of non
erosive reflux disease and functional gastroesophageal disorders].
AB - AIM OF INVESTIGATION: To study potentials of 24-hour combined pH-impedance
measurement in differential diagnosis of nonerosive reflux disease and functional
gastroesophageal disorders. MATERIALS AND METHODS: Overall 60 patients with
heartburn, belch and epigastric discomfort were investigated. All patients
underwent esophagogastroduodenoscopy (EGDS), X-ray examination of upper
gastrointestinal tract, 13C-urea breath test, 24-hour combined pH-impedance
measurement. RESULTS: Esophagitis grade 2-4 was diagnosed in 11 (18.3%) of 60
cases. In 49 (81.7%) no pathological changes were identify at EGDS and X-ray
examination of upper gastrointestinal tract. Of them in 20 (33.3%) cases
nonerosive reflux disease was revealed using 24-hour combined pH-impedance
measurement. In 29 (48.3%) cases with the same clinical symptoms pH-impedance
measurement data did not differ from normal, suggesting this group as group of
patients with functional gastroesophageal disorders. CONCLUSION: 24-hour combined
pH-impedance measurement is the most exact method which allows to identify
gastroesophageal reflux episodes. On this basis in some cases it is possible to
differentiate nonerosive reflux disease and functional gastroesophageal
disorders. Application of pH-impedance measurement allows to recommend its
introduction in wide clinical practice.
PMID- 24933989
TI - [Serum metabolome by gas chromatography-mass spectrometry (GC-MS) in patients
with ulcerative colitis and celiac disease].
AB - Metabolomics is the emerging science of measurement and analysis of metabolome-
the complete set of low molecular weight compounds in a cell, tissue, organ or
whole organism. One of the aims of metabolomics is to research the response of an
organism to a pathophysiological insult by measuring the concentrations of small
molecule metabolites in biofluids and tissues and its dynamics. Intestinal
microbiota is most probably involved in the development and maintenance of
autoimmune inflammation in ulcerative colitis and celiac disease. Gas
chromatography-mass spectrometry (GC - MS) of serum generates comprehensive
metabolic profiles, reflecting integrated human (systemic) and gut microbial
metabolism which may be altered in disease states. The aim of this study was to
investigate GC - MS-based serum metabolomic profiles in UC and CD patients. Serum
metabolic profiles were collected from 75 individuals: 20 patients with mild
moderate active UC, 35 CD patients, and 20 healthy controls (HC). We
characterized 84 serum metabolites by use GC-MS. 18 metabolites at least have a
combined (human + microbial) origin. In serum of UC patients, phenylacetic acid
(PAA), 4-hydroxyphenylacetic acid (4-HPAA), 3-indolylacetic acid (IAA), succinic
acid (SA) and fumaric acid (FA) were the metabolites most prominently increased,
whereas 3-phenylpropionic acid (PPA) was significantly decreased. Serum of CD
patients showed significant increases in IAA, 3-indolepropionic acid (IPA), SA
and FA. Increased serum levels of succinic acid suggest its possible damaging
effect on intestinal mucosa especially in ulcerative colitis. Orally administered
butyrate + inulin as supplement to mesalazine in UC or gluten free diet in CD was
effective in reducing disease activity with a marked improvement of serum
metabolomic profiles (including SA reduction) and gut microbiota in both
diseases. There were no any adverse events.
PMID- 24933990
TI - ["High" and "low" gastric ulcers in posthelicobacterial era--is this division
vital?].
AB - AIM OF INVESTIGATION: To specify etiopathogenetical characteristics of the
disease in case of "high" and "low" gastric ulcers. MATERIALS AND METHODS: 96
patients with relapse of gastric ulcer disease (GUD) were examined, median age 41
+/- 3.1 years, 71.9% of male patients, 28.1% of female patients. The first group
included 58 patients with "high" ulcer, the second included 38 patients with
pyloroantral ulcer. All patients underwent esophagogastroduodenoscopy and 24-hour
intragastric pH-metry prior to the prescription of antisecretory therapy. The
results of the examination were compared with data of 100 healthy subjects.
RESULTS: Intragastric acidity in case of "high" ulcers, by value of fundal and
antral pH and its circadian dynamics, was comparable to that of the healthy
people. By the frequency of duodenogastral reflux (DGR) close to normal the total
duration was significantly higher because of considerable increase of portion of
long and high refluxes. High acidity in corpus and antral region, absence of the
normal daily variations, significant increase of night acidity, decrease of DGR
frequency and duration were noted by "low" gastric ulcers. CONCLUSIONS: In case
of "high" localization of gastric ulcer normal acidity production and unusual
high and enduring duodenogastral refluxes are revealed more often; combination of
high hyperacidity and pronounced depression of duodenogastral reflux are seen in
case of pyloroantral ulcer.
PMID- 24933992
TI - [Principal characteristics of the endoscopic programmed hemostasis in ulcerative
gastroduodenal bleedings in patients with high operational-anesthesiological
risk].
AB - Application of the endoscopic programmed hemostasis for patients with ulcer
gastroduodenal bleedings and with high operational-anesthetic risk provided
metabolic rehabilitation (5% solutions of glucose and ascorbic acid) for the
purpose of prevention of recurrence of the bleedings which have arisen after a
hemostasis at 5.5% of patients.
PMID- 24933991
TI - [Endoscopic treatment of chemical burns to the stomach with mucosa ulceration and
necrosis].
AB - The prevalence of acute poisoning with caustic substances in Russia is higher
than in other countries and is reported by different authors as accounting for 10
32% cases among the patients admitted to acute poisoning treatment centres.
Especially unfavorable prognosis is considered for necrotizing burns to the
stomach that increase the risk of severe complications leading to disability of
patients. The study aimed at improving the treatment of necrotizing chemical burn
to the stomach by the infusion of a 5% Mexidole solution into the edges of a burn
lesion at different stages of the treatment course. The paper presents the
outcomes of patients who sustained chemical burns to the stomach with mucosa
ulceration and necrosis, and provides an assessment of early endoscopic treatment
effect.
PMID- 24933993
TI - [Dynamics of ultrastructural organization of liver cells in experimental
peritonitis].
AB - In the experiment on Wistar rats by methods of light and electron microscopy an
ultrastructural disorders of the liver cells in various stages of peritonitis
were investigated. It was shown that the reactive phase of experimental
peritonitis associates with dystrophic changes of the hepatocytes and the
endotheliocytes of sinusoids. These reversible changes are explained by an
adaptive response of cells to inflammation. In the toxic and especially in the
terminal stages of peritonitis in submicroscopical architectonics of the
hepatocytes, Kupffer cells and sinusoidal endotheliocytes, along with dystrophic
changes appear destructive violations of organelles of hepatic cells that
acquired an irreversible character. The proposed model let description of
ultrastructural and functional disorders of the liver cells at different stages
of peritonitis.
PMID- 24933994
TI - [Hepatoprotectors in treatment of alcoholic liver disease].
AB - The best hepatoprotective effect has combined appointment Essentiale forte N,
Heptral and Apilak at rats with chronic alcohol intoxication. Use of these drugs
is characterized by minor morphological changes in structure of liver and
constant biochemical parameters.
PMID- 24933995
TI - [Fecal calprotectin in complex diagnostics of intestinal diseases].
AB - The review of evidential base is presented in article about a place of a
noninvasive laboratory method--dough on Calprotectin in complex diagnostics of
diseases of intestines.
PMID- 24933996
TI - [Pseudomembranous colitis: pathogenesis, prevention, treatment].
AB - The article reviews a pathogenesis of Pseudomembranous colitis. Questions of
prevention and treatment of Clostridium difficile--associated diarrhea are shown
by the Evidence-based medicine. There is an accent on the rational prescription
of antibiotics.
PMID- 24933997
TI - [Clinical case of a combination of ulcerative colitis and alopecia areata].
AB - Extraintestinal manifestations of inflammatory bowel diseases (IBD) are common in
both ulcerative colitis and Crohn's disease. Dermatological manifestations have
been reported in one-third of all extraintestinal manifestations of IBD. Erythema
nodosum and pyoderma gangrenosum are the most frequently described in the
literature. There is no data on the development of alopecia as a cutaneous
manifestation of IBD in most of studies. A clinical case of combination of
ulcerative colitis and alopecia in young woman is presented in the article. There
are several possible causes of alopecia in patients with IBD, such as nutritional
deficiencies, side effects of medications or autoimmune alopecia. Most often
there is no correlation between the severity of clinical bowel manifestations and
other organs involvement, and response to therapy. So the combination of alopecia
with IBD, in particular ulcerative colitis, confirms the importance of autoimmune
mechanisms in genesis of these diseases.
PMID- 24933999
TI - [VIth Latvian Gastroenterology Congress with international participation (Riga,
Latvia, 7-8 December, 2013].
PMID- 24933998
TI - [The regular European bridging-meeting in gastroenterology (Berlin, 15-16
November 2013)].
PMID- 24934000
TI - Our story: transforming health care through philanthropy.
PMID- 24934001
TI - As I see it: I believe.
PMID- 24934002
TI - Four critical success factors.
PMID- 24934003
TI - Finding and keeping good staff.
PMID- 24934004
TI - Getting over the overhead myth.
PMID- 24934005
TI - Simpler is usually better.
PMID- 24934006
TI - A remember-know analysis of the semantic serial position function.
AB - Did the serial position functions observed in certain semantic memory tasks
(e.g., remembering the order of books or films) arise because they really tapped
episodic memory? To address this issue, participants were asked to make "remember
know" judgments as they reconstructed the release order of the 7 Harry Potter
books and 2 sets of movies. For both classes of stimuli, the "remember" and
"know" serial position functions were indistinguishable, and all showed the
characteristic U-shape with marked primacy and recency effects. These results are
inconsistent with a multiple memory systems view, which predicts recency effects
only for "remember" responses and no recency effects for "know" responses.
However, the data were consistent with a general memory principle account: the
relative distinctiveness principle. According to this view, performance on both
episodic and semantic memory tasks arises from the same type of processing: Items
that are more separated from their close neighbors in psychological space at the
time of recall will be better remembered.
PMID- 24934007
TI - How plausible is it that conscious control is illusory?
AB - Findings in a number of neuropsychological studies involving reports of decisions
to initiate spontaneous movement (e.g., Fried, Mukamel, & Kreiman, 2011; Libet,
Gleason, Wright, & Pearl, 1983; Soon, Brass, Heinze, & Haynes, 2008) are often
interpreted as putting in question the reality of conscious control and, by
extension, the time-honored concept of free will. I suggest that several problems
with the basic paradigm used by most such studies, elaborated on in some recent
articles (prominently Miller, Shepherdson, & Trevena, 2011, and Schurger, Sitt, &
Dehaene, 2012), as well as some other arguments, raise doubt that conscious
control is in fact a gratuitous byproduct of preconscious brain activity.
PMID- 24934008
TI - Cardiovascular change during encoding predicts the nonconscious mere exposure
effect.
AB - These studies examined memory encoding to determine whether the mere exposure
effect could be categorized as a form of conceptual or perceptual implicit
priming and, if it was not conceptual or perceptual, whether cardiovascular
psychophysiology could reveal its nature. Experiment 1 examined the effects of
study phase level of processing on recognition, the mere exposure effect, and
word identification implicit priming. Deep relative to shallow processing
improved recognition but did not influence the mere exposure effect for nonwords
or word identification implicit priming for words. Experiments 2 and 3 examined
the effect of study-test changes in font and orientation, respectively, on the
mere exposure effect and word identification implicit priming. Different study
test font and orientation reduced word identification implicit priming but had no
influence on the mere exposure effect. Experiments 4 and 5 developed and used,
respectively, a cardiovascular psychophysiological implicit priming paradigm to
examine whether stimulus-specific cardiovascular reactivity at study predicted
the mere exposure effect at test. Blood volume pulse change at study was
significantly greater for nonwords that were later preferred than for nonwords
that were not preferred at test. There was no difference in blood volume pulse
change for words at study that were later either identified or not identified at
test. Fluency effects, at encoding or retrieval, are an unlikely explanation for
these behavioral and cardiovascular findings. The relation of blood volume pulse
to affect suggests that an affective process that is not conceptual or perceptual
contributes to the mere exposure effect.
PMID- 24934009
TI - Correction of false memory for associated word lists by collaborating groups.
AB - Collaborative inhibition is often observed for both correct and false memories.
However, research examining the mechanisms by which collaborative inhibition
occurs, such as retrieval disruption, reality monitoring, or group filtering, is
lacking. In addition, the creation of the nominal groups (i.e., groups
artificially developed by combining individuals' recall) necessary for examining
collaborative inhibition do not use statistical best practices. Using the Deese
Roediger-McDermott paradigm, we examined percentages of correct and false
memories in individuals, collaborative interactive groups, and correctly created
nominal groups, as well as the processes that the collaborative interactive
groups used to determine which memories to report. Results showed evidence of the
collaborative inhibition effect. In addition, analyses of the collaborative
interactive groups' discussions found that these groups wrote down almost all
presented words but less than half of nonpresented critical words, after
discussing them, with nonpresented critical words being stated to the group with
lower confidence and rejected by other group members more often. Overall, our
findings indicated support for the group filtering hypothesis.
PMID- 24934010
TI - The phenomenology of lucid dreaming: an online survey.
AB - In lucid dreams the dreamer is aware that he or she is dreaming. Although such
dreams are not that uncommon, many aspects of lucid dream phenomenology are still
unclear. An online survey was conducted to gather data about lucid dream
origination, duration, active or passive participation in the dream, planned
actions for lucid dreams, and other phenomenological aspects. Among the 684
respondents who filled out the questionnaire, there were 571 lucid dreamers
(83.5%). According to their reports, lucid dreams most often originate
spontaneously in adolescence. The average lucid dream duration is about 14
minutes. Lucid dreamers are likely to be active in their lucid dreams and plan to
accomplish different actions (e.g., flying, talking with dream characters, or
having sex), yet they are not always able to remember or successfully execute
their intentions (most often because of awakening or hindrances in the dream
environment). The frequency of lucid dream experience was the strongest predictor
of lucid dream phenomenology, but some differences were also observed in relation
to age, gender, or whether the person is a natural or self-trained lucid dreamer.
The findings are discussed in light of lucid dream research, and suggestions for
future studies are provided.
PMID- 24934011
TI - Explaining the gender difference in nightmare frequency.
AB - A recent meta-analysis showed a robust gender difference in nightmare frequency
of medium effect size in adolescents and young adults: Women tend to report
nightmares more frequently than men. The present study, carried out in an
unselected student sample, indicates that 2 factors mediate the gender difference
in nightmare frequency: neuroticism and overall dream recall frequency. The
effect of neuroticism on the gender difference and the finding that the gender
difference in nightmare frequency emerges at an age of about 10 years suggest
that gender-specific socialization processes may play an important role in
explaining the gender differences in nightmare frequency in adolescents and young
to middle-aged adults. This idea is supported by the previous finding that
nightmare frequency is related to sex role orientation. However, longitudinal
studies are necessary to validate these hypotheses.
PMID- 24934012
TI - Exploratory and confirmatory factor analyses of probability discounting of
different outcomes across different methods of measurement.
AB - The present studies used exploratory and confirmatory factor analyses to explore
the degree to which probability discounting processes are similar to delay
discounting processes. To determine whether these processes are similar, 2
questions were addressed: the degree to which probability discounting outcomes
can be categorized into multiple domains (as demonstrated for delay discounting)
and whether the inverse magnitude effect would be observed for nonmonetary
outcomes. An exploratory factor analysis was conducted using data from the fill
in-the-blank method (Study 1), followed by a confirmatory factor analysis using
data from a multiple-choice method (Study 2) as a replication. These studies
provide support for the idea that outcomes can be subdivided into multiple
domains. Generally, the discounting rates were steeper for tangible outcomes than
nontangible outcomes, and a magnitude effect was observed that was consistent
with, rather than the inverse of, that observed for delay discounting tasks.
Complexities related to the relationship between probability discounting
processes and delay discounting processing are discussed.
PMID- 24934013
TI - Impulsivity and physical aggression: examining the moderating role of anxiety.
AB - Individuals vary in their propensity to engage in aggressive behaviors, and
recent research has sought to identify individual differences that contribute to
a person's propensity for physical aggression. Previous research has shown that
impulsivity and aggression have a consistent relational pattern among many
different samples. However, not all impulsive people will engage in aggressive
behavior, perhaps because of other factors such as level of physiological arousal
from anxiety. Specifically, one factor, namely physiological symptoms of anxiety
such as those often associated with panic, may help as a predictor variable to be
used in risk assessments or subclassification systems of aggression. Participants
included 689 college students who completed self-report questionnaires assessing
impulsivity, physical aggression, and anxiety. Multivariate hierarchical
regression analyses were conducted. Greater scores on the measure of impulsivity
were associated with higher levels of reported physical aggression. The
interaction (impulsivity x anxiety) was not statistically significant, suggesting
that impulsivity has the same effect on physical aggression regardless of the
level of anxiety. There was a main effect for anxiety, which was associated with
higher levels of reported physical aggression. Our findings may help inform
typologies for identifying predictor variables used in risk assessment and
treatment planning.
PMID- 24934014
TI - Experimental evaluation of tachistoscopic measurement: a step beyond Wundt's
criticism.
AB - This study was designed to assess the possible systematic bias in measurements
obtained using tachistoscopic devices from different historical periods of
psychological research. Four different tachistoscopic devices were used for brief
presentations of stimuli in a letter recognition task. The research sample
consisted of 24 participants (12 female, 12 male) in a within-subject
experimental design with complete counterbalancing of 4 conditions defined by 4
instrument types: fall tachistoscope, tachistoscope with camera-like shutter, and
computer-based tachistoscopes with cathode ray tube and liquid crystal diode
display screens. The effects of experimental conditions were examined using a
linear mixed model analysis. Our experiment demonstrated that even in
standardized settings the type of tachistoscope used for stimulus presentation
systematically influenced the participants' performance. We found that the lowest
number of correctly recalled stimuli, as well as the highest number of
erroneously recalled stimuli, was produced in the camera-like tachistoscope
condition. Together, these findings suggest that when results from studies
involving tachistoscopic experiments are reviewed, the unique characteristics of
each particular instrument used must be considered carefully.
PMID- 24934015
TI - Groups at odds over delay of ICD-1O.
PMID- 24934016
TI - Insurers struggle to calculate rates as enrollment nears end.
PMID- 24934017
TI - Obamacare supporters nervous about legal test of subsidies.
PMID- 24934018
TI - Nonsurgical heart valve procedure spurs cost concerns.
PMID- 24934019
TI - The patient as the designer.
PMID- 24934020
TI - Hot hiring areas driven by healthcare industry changes.
PMID- 24934021
TI - State plans for dual-eligibles face tough challenges.
PMID- 24934022
TI - Blocked on a metaphor.
PMID- 24934023
TI - Feds shouldn't mandate wider networks.
PMID- 24934024
TI - Why don't all health plans work like Castlight?
PMID- 24934025
TI - Broadening ventilator surveillance measures improves care.
PMID- 24934026
TI - Urban remedy.
PMID- 24934028
TI - Country doctor.
PMID- 24934027
TI - Roadside attraction.
PMID- 24934029
TI - Red alert!
PMID- 24934030
TI - ["Odd" ECG in chest pain. Is the patient healthy or ill? Situs inversus].
PMID- 24934031
TI - [Mood picture about the small novella. "Family practitioner EBM forces
cheating"].
PMID- 24934032
TI - [Clarifications in the new uniform federal contract are agreed upon].
PMID- 24934033
TI - [Who may treat and claim settlement for occupational accidents?].
PMID- 24934034
TI - [Osteopathy as an "independent healing profession". Should balneology specialists
treat patients independently? ].
PMID- 24934035
TI - [Formerly this was almost the rule. Why are the elderly no longer receiving
digitalis before an operation?].
PMID- 24934036
TI - [2 new simultaneous hypertension guidelines from the USA. Relaxed therapy goals
reduce medication for many patients].
PMID- 24934037
TI - [Therapy refractory hypertension. Catheter intervention instead of pills: really
a good option?].
PMID- 24934038
TI - [Clostridium associated diarrhea. Feces of healthy probands eliminates the
troublesome intestinal pathogen].
PMID- 24934039
TI - [Cochrane reviews. Does water help control the common colds?].
PMID- 24934040
TI - [Anorexia - sarcopenia -cachexia. Life threatening loss of appetite].
PMID- 24934041
TI - [Inhaled corticosteroids. Essential in asthma, problematic in COPD].
PMID- 24934042
TI - [Pain therapy in geriatric patients. "Old age is not an analgesic!" (interview by
Dr. Elke Oberhofer)].
PMID- 24934043
TI - [Female sex hormones. Good or bad for blood vessels?].
PMID- 24934044
TI - [Progressive change in disposition is puzzling. Not a case for the psychiatrist].
PMID- 24934045
TI - [Sudden cardiac death in children and adolescents. Hypertrophic cardiomyopathy is
probably not the cause?].
PMID- 24934046
TI - [Answer from Dr. Gross].
PMID- 24934047
TI - [Control of recurrence with CEA and CT after colon cancer operation].
PMID- 24934048
TI - [What is the actual cause of death of multiple sclerosis patients?].
PMID- 24934049
TI - [Alzheimer dementia: why therapy comes too late].
PMID- 24934050
TI - [Prednisolone injections improve carpal tunnel syndrome].
PMID- 24934051
TI - [Does vitamin D3 fail to protect against influenza after all?].
PMID- 24934052
TI - [Subarachnoid hemorrhage easily recognized].
PMID- 24934053
TI - [Risks related to intramuscular and subcutaneous injections].
PMID- 24934054
TI - [Emergency checklist: hypothermia].
PMID- 24934055
TI - [Sexuality during pregnancy and after childbirth].
PMID- 24934056
TI - [Gastrointestinal bleeding under treatment with new oral anticoagulants].
PMID- 24934057
TI - [Treatment of migraine in pregnancy, in patients with comorbidities and in
elderly people].
PMID- 24934058
TI - [Tendon avulsion of the pelvis--diagnostics and therapy].
PMID- 24934059
TI - [Pay more attention to hepatic encephalopathy].
PMID- 24934060
TI - [Naturopathic management of chronic wounds].
PMID- 24934061
TI - [Herbal medicines alternative to synthetical medicines].
AB - Herbal pharmaceuticals in medical practice are similarly used as chemically well
defined drugs. Like other synthetical drugs, they are subject to pharmaceutical
legislature (AMG) and EU directives. It is to differentiate between
phytopharmaceuticals with effectiveness of proven indications and traditional
registered herbal medicine. Through the Health Reform Act January 2004 and the
policy of the Common Federal Committee (G-BA)on the contractual medical care from
March 2009--with four exceptions--Non-prescription Phytopharmaka of the legal
Health insurance is no longer (SHI) refundable and must be paid by the patients.
The result is that more and more well-established preparations disappear from the
market. This article gives an overview of practical relevant indications for
herbal medicines, which according to its licensing status, the scientific
assessment by the Cochrane Collaboration and the Institute for Quality and
Efficiency in Health Care (IQWiG) and evidence-based Medicine (EBM)/ meta
analyzes as an alternative to synthetics can be used.
PMID- 24934062
TI - [Functional heartburn--update 2013].
AB - Functional heartburn is a frequent disease which should be considered when
heartburn does not respond to proton pump inhibitor (PPI) treatment. Functional
heartburn is defined in the Rome-III-criteria. Differential diagnoses like
gastroesophageal reflux disease (GERD), non erosive reflux disease (NERD) and
hypertensive esophagus are diagnosed employing an endoscopy and a 24h-pH-study.
Since clinical trials are lacking, treatment approaches are empirical. Successful
treatment includes adequate information of the patient, identification of the
cardinal symptom and setting of realistic goals.
PMID- 24934063
TI - [Height vertigo: epidemiology and phenomenology. Results of a representative
epidemiological study and a survey among members of the German Alpine
Association].
PMID- 24934064
TI - [Caffeine in analgesics--myth or medicine?].
AB - Caffeine as an analgesic adiuvant has been discussed for many years. In a recent
Cochrane review based on 19 studies with a total of 7238 patients, caffeine
enhanced the efficacy of paracetamol, ibuprofen or aspirin with a number needed
to treat (NNT) of about 16, comparable to the effect of doubling the dose of the
primary analgesic, reported by other authors. Analgesia by caffeine is best
explained by antagonism at adenosine receptors. Recent studies confirmed a
favourable tolerability profile of caffeine when consumed in "normal" quantities
(e.g. 300 mg or about 3 cups of coffee per day), including possible
cardiovascular risks, effects on bone density, and exposure in pregnancy.
Beneficial effects are known,e.g.,in Parkinson's disease and liver cirrhosis and
fibrosis. Caffeine remains an analgesic adiuvant with a favourable risk-benefit
balance.
PMID- 24934065
TI - [2-week efficacy and tolerability of flupirtine MR and diclofenac in patients
with acute low/back pain--results of a post-hoc subgroup analysis of patient
level data from four non-interventional studies].
AB - BACKGROUND: Current restrictions in use of flupirtine-containing medicines for a
maximum of 14 days endorsed by the European Medicines Agency were followed by
uncertainty/ambiguity of its analgesic efficacy for the treatment of acute
low/back pain. METHODS: Post-hoc selection of patient-level data from non
interventional studies with flupirtine MR and diclofenac with respect to patient
age (> or = 18 years), duration of treatment (14 +/- 2 days), indication
(acute/subacute low/back pain) and first-line use. Primary endpoint: average 24
hr. pain intensity; secondary endpoints: pain-related disabilities in daily life,
30/50/70% response with respect to pain and pain-related restrictions, frequency
of untoward side effects/treatment emergent adverse events. RESULTS: 318/31
patients treated with flupirtine MR/diclofenac fulfilled the inclusion criteria
for this subgroup analysis. Starting from comparable demographic and baseline
characteristics both treatments were followed by significant effects (p < 0.001).
Subgroup comparisons revealed superior effects for flupirtine MR vs. diclofenac
for pain relief (p = 0.001), improvement of pain-related restrictions in daily
life (p = 0.023), and gastrointestinal/overall tolerability (p < 0.001).
CONCLUSIONS: Even for short-term use in patients suffering from muscle-related
acute low/back pain, flupirtine MR is superior effective and tolerated compared
with the nsaid diclofenac.
PMID- 24934066
TI - An evaluation of a global vitamin and mineral nutrition surveillance system.
AB - Evaluation of public health surveillance systems is essential to ensure that
problems of public health importance are monitored efficiently and effectively.
The WHO's Vitamin and Mineral Nutrition Information System (VMNIS) was evaluated
from the perspective of public health surveillance and informatics. Steps
included: engaging the stakeholders of the evaluation; describing the
surveillance system; focusing the evaluation design; gathering credible evidence
regarding system performance; justifying and stating conclusions and
recommendations, and sharing lessons learned from the evaluation. Following this
assessment, WHO has begun major efforts to upgrade and expand the VMNIS and now
the database is more flexible and efficient. The database evaluation summarized
in this paper provides a good example of how public health evaluation frameworks
can lead to improved surveillance and enhanced information systems, thus making
progress toward the ultimate goal of improving public health.
PMID- 24934067
TI - [Nutritional status and food intake of populations from high altitude regions of
the Northwest of Argentia].
AB - The purpose of this work was to study the nutritional status and food intake of
Andean populations of Northwest ofArgentine. A cross-sectional nutritional survey
was carried out in representative samples of populations of highland from
Argentine. Also anthropometric measurements, a food intake, a semi-quantitative
food frequency questionnaire were performed and recorded. Stunting (height-for
age Z-score < -2 standard deviations) was the main nutritional problem in
children and adolescents in the area of Puna and Quebrada regions. While
overweight and obesity, evaluated by BMI> 85th and 95th percentile respectively,
were the main nutritional problems among adolescents in the Valleys. Adult
populations showed higher prevalence of overweight and obesity according to BMI
determined. These are important risk factors for cardiovascular and chronic
diseases.Usually the typical composition of the main food dish of regions has a
base of rice, pasta, flour or corn, accompanied by tubers or eggs, or a few
vegetables and beef. This dish varies little from one day to another,
constituting a monotonous diet with a high intake of sugar (sugary drinks and
sweets) and refined grains. Results suggest that these populations would be in
early stages of nutritional transition and could be the starting point to promote
them healthier food consumption and a diet less monotonous. It would be
recommendable reincorporate native products of the region.
PMID- 24934068
TI - At risk or not: comparing normative and criterion-referenced body mass index
standards among Mexican American children.
AB - Most childhood obesity research has classified participants by normative
standards for Body Mass Index (BMI) through population percentiles or values
corresponding to overweight adults (World Health Organization (WHO), Centers for
Disease Control and Prevention (CDC) and the International Obesity Task Force
(IOTF)). In 2006, criterion-referenced standards (FitnessGram) were developed
(revised in 2010) which directly associate BMI values with adverse health
outcomes. This study assessed agreement between normative and criterion
referenced standards. Participants included 653 Mexican American 3rd to 5th
graders living in the U.S.-Mexico border area who participated in a health
promotion project. At baseline, agreement was compared between normative and
criterion-referenced classifications. At follow-up, agreement between
classifications on changes (e.g., from overweight to healthy weight) was
assessed. According to FitnessGram standards, 53.0% of participants were
overweight or obese at baseline. Compared to FitnessGram, the IOTF and CDC
standards classified 15% fewer participants as obese/high risk. The WHO standards
were closely related to FitnessGram (kappa=.925) and showed significantly greater
agreement with FitnessGram than the CDC (kappa=.925 versus 0.722, p < .001) and
IOTF standards (kappa=.925 versus .682, p < .001). Compared to the FitnessGram
(8.9%), the WHO and CDC (8.6%) were similar, but IOTF standards lower (6.5%) in
how many children improved following the health program. Despite acceptable
agreement between the different indices, several normative classifications may
underestimate the proportion of children who are at risk for BMI-related adverse
health consequences.
PMID- 24934069
TI - [Glycemic response to consumption of a cereals and legume (Phaseolus vulgaris)
bar on healthy individuals].
AB - The objective of this work was to formulate a cereals and legume (Phaseolus
vulgaris) bar and assess its impact on the glycemic response of healthy
individuals, in order to contribute to the healthy food supply beneficial to
consumers. A mixture of cereals (corn and oats) and different percentages (20 and
30%) of Phaseolus vulgaris was used to formulate the bar. Additionally, a legume
cereal bar without legumes (bar control) was prepared. The bar with 30% of
Phaseolus vulgaris was selected through sensory evaluation, being scored with
better flavor and texture. This combination of cereals and legumes aminoacid
improves complementation and reaches the formulation criteria previously
established. Chemical characterization indicated a higher protein content in the
bar with 30% of Phaseolus vulgaris (13.55%) relative to the bar control (8.5%).
The contents of fat, ash and dietary fiber did not differ between the two bars
evaluated. However, the soluble fiber and resistant starch of the selected bar
was a 32.05% and 18.67%, respectively, than in the control bar; this may
contribute to decreasing the rate of glucose uptake. The selected bar presented a
low glycemic index (49) and intermediate glycemic load (12.0) in healthy
volunteers, which could lead to a possible reduction in the rate of absorption of
glucose into the bloodstream, associated with a carbohydrate content of slow
absorption. This bar represents a proposal of a healthy snack for the consumer.
PMID- 24934070
TI - [Modification of fasting blood glucose in adults with diabetes mellitus type 2
after regular soda and diet soda intake in the State of Queretaro, Mexico].
AB - The objective of the study was to compare the modification of fasting blood
glucose in adults with diabetes mellitus type 2 after intake of regular soda and
diet soda. We conducted a randomized clinical trial in clinics of Instituto
Mexicano del Seguro Social in Queretaro, Mexico. We included 80 patients with
diabetes (mean weight 74.2 +/- 13.66, BMI 30.5 +/- 4.305, waist 98.2 +/- 12.9 and
time evolution of diabetes 3.8 +/- 3.009) who were asked to come with fasting for
8 hours and without taking any medicine before testing. They were divided into
two groups of 40 subjects, to whom was measured fasting blood glucose after the
ingestion of 200 ml of diet soda (with aspartame and acesulfame potassium) or
regular soda (without sweetener) we measure glucose at 10, 15 and 30 minutes. For
statistical analysis performed we used Student's t-test for dependent and
independent samples, and paired t-test, and chi square test (chi2). Capillary
glucose levels at 10 minutes were -34.52 and -25.41%, at 15 minutes -48.8 and
36.2% and at 30 minutes 57.75 and 43.6% of absolute and relative differences,
with p = 0.000. In conclusion, according to the observations, diet soda doesn't
increased blood glucose levels, with a significant difference in fasting
decreased at 30 minutes.
PMID- 24934071
TI - [Pre-diabetes and diabetes without association with overweight or obesity in
Mexican youth].
AB - The purpose of this study was to identify the prevalence of prediabetes and
diabetes in young in new college students and the relationship of these data with
anthropometric indexes. 3559 students took part, 2257 (61.43%) women (X 18.58
years) and 1725 (36.59%) men (X 18.76 years). Body mass index were measured up as
well as waist hip ratio and waist height ratio. Fasting blood glucose,
triglycerides, total cholesterol, low and high density lipoproteins were
determined. Odds ratio (OR) and 95% confidence intervals were determined. There
was a high proportion of overweight-obesity combined, 42.29% women and 52.45%
men. They were founds +/- 10% of women and men that presented glucose > 5.6
mmol/L (prediabetes) and +/- 1% of women and men that had glucose > or = 7 mmol/L
(diabetes). No relationship was found between this data and body mass index (OR
0.97women and 1.08 men), waist hip ratio (OR 1,7 women and 0.8 men) or waist
height ratio (OR 1.1 women and 1.19 men). There was elevated triglycerides in
13.55% of women and 21.04% of men and a low level of high density lipoprotein in
16% of women and 10% of men. We found that in these young people the predictive
power of the three anthropometric parameters was limited to triglycerides in
women and men (OR 2.4 and 3.86) and low high density lipoproteins in women (OR
0.42).
PMID- 24934072
TI - [Calcium intake and adiposity in adolescents aged 12-16 years in Guadalajara,
Mexico].
AB - The purpose was to evaluate the association between calcium (Ca) intake and
adiposity in adolescents. A cross-sectional study of 125 adolescents 12 to 16
years. Ca intake was evaluated by 24-hour recall dietary surveys and food
frequency consumption. Adiposity was measured by anthropometric methods and
bioelectrical impedance (BIA). The association between Ca intake and adiposity
was identified by simple correlation and multivariate models adjusted to energy
consumption, age, sex and menarche. Mean age of adolescents was 13.5 +/- 0.8
years. A negative correlation was identified between Ca intake and anthropometric
indicators weight, skinfolds, body mass index, fat mass and percentage of fat
(the latter two by BIA and only in women). Multivariate analysis, including
energy consumption showed no association between Ca intake and adiposity. In
conclusion, Ca consumption does not associate with adiposity in adolescents;
multivariate models showed that energy intake and menarche are the variables that
show a higher association with adiposity at this stage of life.
PMID- 24934073
TI - [Arcobacter: a foodborne emerging pathogen].
AB - In the last three decades, several emergent diseases affecting human beings have
been identified, most of them from infectious origin including bacterial, viral,
parasitic and even difficult to classify as spongiform encephalopathy. Most of
these are zoonotic as it is the case of Arcobacter, currently considered as an
emerging and food borne pathogen, of growing importance for public health. The
increase in the prevalence and incidence of cases associated to this bacteria as
well as in the number of actual researches and reports, suggest that the
infection in human beings and animals has been underestimated due to a lack in
knowledge about this bacteria and of a standardized isolation protocols, as well
as the use of correct identification methods and techniques. Increasing trends in
the isolation of Arcobacter from animal derivates used as food and from samples
taken during production processes, cause an augment in public health awareness,
since there is little knowledge about the pathogenic potential of Arcobacter
species and the few focused in this bacterial group, show many different
transmission routes and host species. Given this, the objective of the present
review is to actualize the reader in the most important characteristics of this
bacterium, including its morphology, distribution, classification, transmission,
association with water, food, pets and animals, as well as the laboratory
isolation techniques, virulence factors and their antibiotic susceptibility
patterns.
PMID- 24934074
TI - [Bacteriostatic effect and/or xylitol bactericide of crops on Listeria
Monocytogenes].
AB - Listeria monocytogenes has been considered as an emerging pathogen causing
foodborne illness. In the search for an alternate route biocontrol propagation,
xylitol has been proposed as a possible bacteriostatic and / or bactericide.
Xylitol is a polyol derived from the hydrogenation of xylose monosaccharide of
importance in the pharmaceutical industry for its anti-cariogenic effect. To
check the possible effect of xylitol as bacteriostatic and/or bactericidal
against Listeria monocytogenes, it was determined the minimum inhibitory
concentration (MIC), the time minimum inhibition (TMI) and minimum bactericidal
concentration (MBC) of xylitol solutions on Listeria monocytogenes ATCC 7635. The
agar diffusion method was applied, using xylitol solutions at concentrations of 0
10%, respectively, for the MIC. The TMI was determined by growth curves in
trypticase soy broth with solutions 1, 2, 3, 5, 8, 9, 10 and 20% of xylitol,
respectively, with an initial inoculum of 108 CFU per ml of Listeria
monocytogenes in each solution. MIC observed was the solution 1% of xylitol; the
TMI was 10 hours to concentrations of 1 to 10% and 7 hours to apply 20% xylitol.
It was found that xylitol has bacteriostatic power on Listeria monocytogenes (p <
0.001), but not bactericide effect.
PMID- 24934075
TI - Comparative efficiency of different methods of gluten extraction in indigenous
varieties of wheat.
AB - The present study investigated six varieties of locally grown wheat (Lasani,
Sehar, Miraj-08, Chakwal-50, Faisalabad-08 and Inqlab) procured from Punjab Seed
Corporation, Lahore, Pakistan for their proximate contents. On the basis of
protein content and ready availability, Faisalabad-08 (FD-08) was selected to be
used for the assessment of comparative efficiency of various methods used for
gluten extraction. Three methods, mechanical, chemical and microbiological were
used for the extraction of gluten from FD-08. Each method was carried out under
ambient conditions using a drying temperature of 55 degrees C. Mechanical method
utilized four different processes viz:- dough process, dough batter process,
batter process and ethanol washing process using standard 150 mesh. The starch
thus obtained was analyzed for its proximate contents. Dough batter process
proved to be the most efficient mechanical method and was further investigated
using 200 and 300 mesh. Gluten content was determined using sandwich omega
gliadin enzyme-linked immunosorbent assay (ELISA).The results of dough batter
process using 200 mesh indicated a starch product with gluten content of 678 ppm.
Chemical method indicated high gluten content of more than 5000 ppm and the
microbiological method reduced the gluten content from 2500 ppm to 398 ppm. From
the results it was observed that no gluten extraction method is viable to produce
starch which can fulfill the criteria of a gluten free product (20 ppm).
PMID- 24934076
TI - Pain, coping, and sleep in children and adolescents with sickle cell disease.
AB - PURPOSE: The study examined the relationships among pain, pain coping, and sleep,
and assessed factors (age, gender, frequency, and intensity of pain) that affect
pain, coping, and sleep in children with sickle cell disease (SCD). METHODS:
Participants (66) were 39 children (M = 11.5 years) and 27 adolescents (M = 15.5
years) with SCD who completed an electronic visual analog scale (eVAS), Pain
Coping Questionnaire, and Pittsburg Sleep Quality Index. RESULTS: About two
thirds of the children reported pain the previous month. No significant
differences were found between pain and age, gender, pain intensity, or
frequency. Most children coped with pain by seeking information, problem solving,
seeking social support, and positive self-statements. There were significant
negative correlations in males between worse pain severity and behavioral
distraction and internalizing or catastrophizing. The majority (91.2%) had mild
to severe sleep disturbances, with 18.2% requiring sleeping medication three or
more times a week. There were no significant differences between sleep and age,
gender, pain intensity, or frequency. CONCLUSION: Children with SCD experience
pain that affects sleep patterns and the way they cope with pain. Nurses need to
concurrently assess pain, coping, and sleep and promote sleep hygiene and
positive coping strategies during pain episodes.
PMID- 24934077
TI - Scabies.
PMID- 24934078
TI - Hemophagocytic lymphohistiocytosis as a possible cause of prolonged fever,
splenomegaly, and cytopenia.
PMID- 24934079
TI - Coexistence of radioactive iodine-resistant benign struma ovarii with cervical
primary papillary cancer of the thyroid: an unusual cause of thyroglobulin
positive radioactive iodine-negative whole-body scans.
PMID- 24934080
TI - Identification of a dominant gene in Medicago truncatula that restricts
nodulation by Sinorhizobium meliloti strain Rm41.
AB - BACKGROUND: Leguminous plants are able to form a root nodule symbiosis with
nitrogen-fixing soil bacteria called rhizobia. This symbiotic association shows a
high level of specificity. Beyond the specificity for the legume family,
individual legume species/genotypes can only interact with certain restricted
group of bacterial species or strains. Specificity in this system is regulated by
complex signal exchange between the two symbiotic partners and thus multiple
genetic mechanisms could be involved in the recognition process. Knowledge of the
molecular mechanisms controlling symbiotic specificity could enable genetic
improvement of legume nitrogen fixation, and may also reveal the possible
mechanisms that restrict root nodule symbiosis in non-legumes. RESULTS: We
screened a core collection of Medicago truncatula genotypes with several strains
of Sinorhizobium meliloti and identified a naturally occurring dominant gene that
restricts nodulation by S. meliloti Rm41. We named this gene as Mt-NS1 (for
M.truncatulanodulation specificity 1). We have mapped the Mt-NS1 locus within a
small genomic region on M. truncatula chromosome 8. The data reported here will
facilitate positional cloning of the Mt-NS1 gene. CONCLUSIONS: Evolution of
symbiosis specificity involves both rhizobial and host genes. From the bacterial
side, specificity determinants include Nod factors, surface polysaccharides, and
secreted proteins. However, we know relatively less from the host side. We
recently demonstrated that a component of this specificity in soybeans is defined
by plant NBS-LRR resistance (R) genes that recognize effector proteins delivered
by the type III secretion system (T3SS) of the rhizobial symbionts. However, the
lack of a T3SS in many sequenced S. meliloti strains raises the question of how
the specificity is regulated in the Medicago-Sinorhizobium system beyond Nod
factor perception. Thus, cloning and characterization of Mt-NS1 will add a new
dimension to our knowledge about the genetic control of nodulation specificity in
the legume-rhizobial symbiosis.
PMID- 24934081
TI - Effects of impression levels and trays on the accuracy of impressions taken from
angulated implants.
AB - BACKGROUND AND PURPOSE: It is crucial to keep the misfit of the abutment-fixture
unit at the lowest possible rate. There are a few controversial studies on the
accuracy of impression making of angulated implants, and much fewer (and
controversial) studies on the abutment-level impression technique, which is a
convenient and clinically favorable method. Besides, there are no studies on
comparison of sectional vs. full-arch trays. We aimed to assess these. METHODS: A
trapezoidal model with four angulated implants installed at 20 degrees and 30
degrees buccal tilts was fabricated. Forty impressions were taken from this
model, with two groups of full-arch and sectional custom trays (n = 2 * 20), each
divided into two subgroups of implant-level and abutment-level techniques (n = 2
* 2 * 10 in four subgroups). Absolute and non-absolute linear and angular
impression errors were estimated by comparing the fabricated casts with the
model, using a coordinate measuring machine. The effects of sectional/full-arch
trays and abutment-level and fixture-level techniques on impression accuracies
were analyzed using one- and two-way analyses of variance (ANOVA), Tukey, Mann
Whitney, and one-sample t-tests (alpha = 0.05, Mann-Whitney's alpha using the
Bonferroni Bonferroni method). RESULTS: No significant differences between the
absolute linear errors of the two trays (P = 0.100 [ANOVA]) and the two levels (P
= 0.400 [ANOVA]) were observed. The assessment of absolute angular errors showed
no significant differences (all P values >= 0.4 [ANOVA]). The difference between
the linear errors in the full-arch vs. sectional trays was not significant in the
fixture-level group (P = 0.290). However, in the abutment-level group, the linear
error was significantly greater in the sectional tray compared to full-arch tray
(P = 0.013, alpha = 0.025 [Mann-Whitney]). CONCLUSIONS: Using sectional trays
might not be advantageous over full-arch trays. Sectional trays are not
recommended for taking abutment-level impressions. The abutment-level impression
technique is as accurate as the studied fixture-level technique. Increasing the
angle of implants' divergence from 40 degrees to 60 degrees might not usually
lead to a significant increase in the errors, particularly when using abutment
level impressions.
PMID- 24934082
TI - Norwegian airline passengers are not more afraid of flying after the terror act
of September 11. The flight anxiety, however, is significantly attributed to acts
of terrorism.
AB - The aim of this paper is to study: (1) the prevalence of flight anxiety among
Norwegian airline passengers; (2) situations that may be of concern during
flights and situations not related to flying; (3) whether passengers feel more
afraid after the terror act of September 11, 2001; and (4) whether passengers
were more afraid in 2002 than in 1986.A questionnaire was distributed during
domestic flights in Norway in 1986 and 2002. To asses flight anxiety, a six point
scale was used, from 0 = not afraid at all, to 5 = always very afraid, and
sometimes avoid flying because of that. A 10-cm visual analogue scale (VAS) was
used to measure the degree of anxiety. There were 50.8% who were not afraid at
all. There were 12 women (5.2%) and one man (0.4%) with flight phobia. However,
22 (4.5%) had cancelled flights because of anxiety during the last two years.
Situations that caused most concern during flights were turbulence and fear of
terrorism and highjacking. After September 11, 48% were not more afraid, 38% a
little more, 10% moderately, 3% rather much and 2% very much. The passengers,
however, were not more afraid of flying in 2002 than in 1986. About 3% of
Norwegian airline passengers have a flight phobia. Women are significantly more
concerned than men. The impact of the terror act September 11, 2001 was rather
moderate. The level of flight anxiety among Norwegian airline passengers was not
significantly different in 2002 and 1986.
PMID- 24934083
TI - Do statin medications impact renal functional or oncologic outcomes for robot
assisted partial nephrectomy?
AB - OBJECTIVE: To evaluate if statin medications (3-hydroxyl-3-methylglutaryl
coenzyme A [HMG-CoA] reductase inhibitors) improve either oncologic or renal
functional outcomes for patients undergoing robot-assisted partial nephrectomy
(RPN). PATIENTS AND METHODS: Patients undergoing RPN between March 2008 and
October 2013 were evaluated from a prospectively maintained database for statin
usage. The rate of perioperative acute kidney injury (AKI), as defined according
to the RIFLE criteria, and the progression of chronic kidney disease (CKD) were
compared between users and nonusers. Oncologic outcomes and rate of progression
were compared between users and nonusers. RESULTS: One hundred four (31%) of 339
patients were on statin therapy preoperatively and continued this medication peri
and postoperatively. Statin patients were older and had higher rates of
comorbidities, including coronary artery disease, diabetes, and hypertension
(p<0.0001 for all).The rate of AKI in the statin (16%) and nonstatin patients
(14%) (p=0.60) and CKD progression based on Kaplan-Meier estimates (p=0.57) were
similar between both the groups. Subgroup analysis of the 271 (80%) patients with
hilar clamping also had similar rates of AKI, in statin users 10% vs 12% in
nonusers (p=0.50). Multivariate analysis of factors affecting CKD progression
confirmed these findings. Oncologic progression was not affected by statin
therapy (p=0.90). CONCLUSION: Statin medications do not appear to influence
perioperative renal function following RPN, in either clamped or unclamped
procedures. Continuation of these medications may be continued perioperatively,
but any effect on renal functional or oncologic outcomes was not elucidated in
this study.
PMID- 24934084
TI - Take a closer look: biofuels can support environmental, economic and social
goals.
PMID- 24934086
TI - A longitudinal study of children's outside play using family environment and
perceived physical environment as predictors.
AB - BACKGROUND: A natural and cheap way of increasing children's physical activity is
stimulating unstructured outside play. PURPOSE: This study examined whether
characteristics of the family and perceived physical environment were associated
with the duration of children's outside play. METHODS: Parents participating in
the "Be Active, Eat Right" cluster RCT control group (N = 2007) provided
information on potential predictors of outside play (i.e. family and perceived
physical environment) of their 5-year-old child by questionnaire. Child outside
play was assessed by parental reports both at five and seven years. Linear
regression analyses, adjusted for seasonality, were performed to evaluate
associations between potential predictors and child outside play. Linear mixed
models were fitted to evaluate the relationship between potential predictors and
the development of outside play over two years, with season entered as a random
factor. RESULTS: Family environment was the strongest construct predicting child
outside play, while parent perceived physical environment had no significant
association with child outside play. Parental habit strength and the presence of
rules were the strongest predictors of increased outside play. Parent perceived
difficulty in improving child outside play was the strongest predictor of
decreased outside play. CONCLUSION: Family environment predicted child outside
play and not perceived physical environment. Parental rules and habit strength
regarding improving outside play were associated with an improvement of child's
engagement in outside play.
PMID- 24934085
TI - Differences in beliefs and home environments regarding energy balance behaviors
according to parental education and ethnicity among schoolchildren in Europe: the
ENERGY cross sectional study.
AB - BACKGROUND: To explore differences in personal and home environmental factors
that are regarded as determinants of energy balance-related behaviors (EBRBs)
according to parental education and ethnic background among 10-12 year old
schoolchildren across Europe. METHODS: A school-based survey among 10-12 year
olds was conducted in eight countries across Europe. A range of personal and home
environment variables relevant for soft drink consumption, daily breakfast, sport
participation and TV time was assessed by means of child report. Personal factors
included attitude, health beliefs, and preference/liking. Home environment
factors included parental subjective norm, modeling, support, practices and home
availability. Children were classified based on parental education (i.e., low vs.
high) and ethnic background (i.e., native vs. non-native). Data from 6018
children originating from 83 schools were included in the analyses. RESULTS:
Multilevel logistic regression analyses showed that the majority of the factors
tested -and especially home environment variables- were more favorable among
children from higher educated parents and from native ethnicity. None of the
personal and home environment factors was found to be more favorable among
children from lower educated parents or non-native ethnicity. CONCLUSIONS: The
present study indicates that schoolchildren from lower educated and non-native
parents across Europe have EBRB-related beliefs and are exposed to home
environments that are less favorable for engagement in healthy EBRBs.
PMID- 24934088
TI - NOD1 receptor is up-regulated in diabetic human and murine myocardium.
AB - Type 2 diabetes has a complex pathology that involves a chronic inflammatory
state. Emerging evidence suggests a link between the innate immune system
receptor NOD1 (nucleotide-binding and oligomerization domain 1) and the
pathogenesis of diabetes, in monocytes and hepatic and adipose tissues. The aim
of the present study was to assess the role of NOD1 in the progression of
diabetic cardiomyopathy. We have measured NOD1 protein in cardiac tissue from
Type 2 diabetic (db) mice. Heart and isolated cardiomyocytes from db mice
revealed a significant increase in NOD1, together with an up-regulation of
nuclear factor kappaB (NF-kappaB) and increased apoptosis. Heart tissue also
exhibited an enhanced expression of pro-inflammatory cytokines. Selective NOD1
activation with C12-gamma-D-glutamyl-m-diaminopimelic acid (iEDAP) resulted in an
increased NF-kappaB activation and apoptosis, demonstrating the involvement of
NOD1 both in wild-type and db mice. Moreover, HL-1 cardiomyocytes exposed to
elevated concentrations of glucose plus palmitate displayed an enhanced NF-kappaB
activity and apoptotic profile, which was prevented by silencing of NOD1
expression. To address this issue in human pathology, NOD1 expression was
evaluated in myocardium obtained from patients with Type 2 diabetes (T2DMH) and
from normoglycaemic individuals without cardiovascular histories (NH). We have
found that NOD1 was expressed in both NH and T2DMH; however, NOD1 expression was
significantly pronounced in T2DMH. Furthermore, both the pro-inflammatory
cytokine tumour necrosis factor alpha (TNF-alpha) and the apoptosis mediator
caspase-3 were up-regulated in T2DMH samples. Taken together, our results define
an active role for NOD1 in the heightened inflammatory environment associated
with both experimental and human diabetic cardiac disease.
PMID- 24934087
TI - Age related changes to the dynamics of contralateral DPOAE suppression in human
subjects.
AB - BACKGROUND: The two ears are linked with a neural pathway such that stimulation
of one ear has a modulating effect on the contralateral cochlea. This is mediated
by cochlear afferent neurons connecting with olivo-cochlear efferents. The
monitoring of this pathway is easily achieved by measuring contralateral
suppression of otoacoustic emissions, and there is some clinical value in the
ability to assess the integrity of this pathway. An important step in an
evaluation of clinical utility is to assess any age-related changes. Accordingly,
in the present study we measure the dynamics of contralateral DPOAE suppression
in a population of normal hearing subjects of different ages. METHODS: Using a
real-time DPOAE recording method we assessed contralateral DPOAE suppression in
95 ears from 51 subjects (age range 2-52 years). DPOAE (2f1-f2; f2 = 4.4 kHz;
f2/f1 = 1.22) input-output functions were measured. In response to contralateral
broadband noise, dynamic aspects of DPOAE suppression were measured, specifically
suppression onset latency and time constants. RESULTS: An age-related reduction
in DPOAE amplitudes was observed. Both the detectability and the degree of
contralateral DPOAE suppression were decreased in older age groups. We find an
age-related increase in the latency of onset of DPOAE suppression to
contralateral stimulation, but no significant change in suppression time
constants. CONCLUSION: Olivo-cochlear function as revealed by contralateral
suppression of DPOAEs shows some important age-related changes. In addition to
reduced emissions (outer haircell suppression) we find an increased latency that
may reflect deterioration in auditory brainstem function. Regarding clinical
utility, it is possible that the changes observed may reflect an aspect of age
related hearing loss that has not been previously considered.
PMID- 24934089
TI - Aortic root surgery in the United States: a report from the Society of Thoracic
Surgeons database.
AB - OBJECTIVE: The purpose of the present study was to evaluate the early clinical
outcomes of aortic root surgery in the United States. METHODS: The Society of
Thoracic Surgeons database was queried to identify all patients who had undergone
aortic root replacement from 2004 to early 2010 (n = 13,743). The median age was
58 years (range, 18-96); 3961 were women (29%) and 12,059 were white (88%). The
different procedures included placement of a mechanical valve conduit (n = 4718,
34%), stented pericardial (n = 879, 6.4%) or porcine (n = 478, 3.5%)
bioprosthesis, stentless root (n = 4309, 31%), homograft (n = 498, 3.6%), and
valve sparing root replacement (n = 1918, 14%). RESULTS: The median number of
aortic root surgeries per site was 2, and only 5% of sites performed >16 aortic
root surgeries annually. An increased trend to use biostented (porcine or
pericardial) valves during the study period (7% in 2004 vs 14% in 2009). The
operative (raw) mortality was greater among the patients with aortic stenosis
(6.2%) who had undergone aortic root replacement, independent of age. Mortality
was greater in patients who had undergone concomitant valve or coronary artery
bypass grafting or valve surgery (21%). The lowest operative mortality was
observed in patients who had undergone aortic valve sparing procedures (1.9%).
CONCLUSIONS: Most cardiac centers performed aortic root surgery in small volumes.
The unadjusted operative mortality was greater for patients >80 years old and
those with aortic stenosis, regardless of age. Valve sparing root surgery was
associated with the lowest mortality. A trend was seen toward an increased use of
stented tissue valves from 2004 to 2009.
PMID- 24934090
TI - Ganglioside GM3 is required for caffeic acid phenethyl ester-induced
megakaryocytic differentiation of human chronic myelogenous leukemia K562 cells.
AB - The human chronic myelogenous cell line K562 has been used extensively as a model
for the study of leukemia differentiation. We show here that treatment of K562
cells with caffeic acid phenethyl ester (CAPE) induced a majority of cells to
differentiate towards the megakaryocytic lineage. Microscopy analysis showed that
K562 cells treated with CAPE exhibited characteristic features of physiological
megakaryocytic differentiation, including the presence of vacuoles and
demarcation membranes. Differentiation of K562 cells treated with CAPE was also
accompanied by a net increase in megakaryocytic markers. The transcriptional
activity of lactosylceramide alpha-2,3-sialyltransferase (GM3 synthase) and
synthesis of ganglioside GM3 were increased by CAPE treatment. The promoter
analysis of GM3 synthase demonstrated that CAPE induced the expression of GM3
synthase mRNA via activation of the cAMP response element-binding protein (CREB),
transcription factor in nucleus. Interestingly, the inhibition of ganglioside GM3
synthesis by D-threo-1-phenyl-2-decanoylamino-3-morpholino-1-propranol (D-PDMP)
and GM3 synthase-siRNA blocked the CAPE-induced expression of the megakaryocytic
markers and differentiation of K562 cells. Taken together, these results suggest
that CAPE induces ganglioside GM3-mediated megakaryocytic differentiation of
human chronic myelogenous cells.
PMID- 24934092
TI - What factors influence British medical students' career intentions?
AB - AIM: The aim of this study was to identify factors that influence career choice
in UK medical students. METHODS: Students at seven institutions were invited to
rate how important various factors were on influencing their career choices and
how interested they were in pursuing different specialties. The influence of
interpersonal relationship networks on career choice was also evaluated. RESULTS:
641 responses were collected. 44% (283) were male, 16% (105) were graduates and
41% (263) were final-year students. For Dermatology (p = 0.009), Paediatrics (p =
0.000), Radiology (p = 0.000), Emergency Medicine (p = 0.018) and Cardiothoracic
Surgery (p = 0.000), there was a clear correlation between completing a clinical
attachment and an interest in pursuing the specialty. Perceived characteristics
of the speciality, individually and in clusters were considered important by
specific subgroups of students, such as those interested in surgery. These
students considered prestige (p = 0.0003), role models (p = 0.014), financial
rewards after training (p = 0.0196) and technical challenge (p = 0.0011) as
important factors. Demographics such as sex and age played a significant role in
career choice. Interpersonal relationship networks do not have a significant
influence on career intentions. CONCLUSIONS: This study shows that the career
intentions of British medical students are influenced by their undergraduate
experience and by the weight they place on different specialty-related factors.
PMID- 24934093
TI - Medical models of teleoncology: current status and future directions.
AB - Teleoncology has been adopted by many centers to provide cancer care closer to
home for rural, remote, Indigenous and other disadvantaged people of our
communities. A variety of medical models of teleoncology exist to provide various
medical oncology services. While most centers use teleoncology to complement
their face-to-face outreach services, some centers have replaced face-to-face
with teleoncology models. Selection of patients and scheduling of clinics would
depend on various factors including experience of the clinicians, complexity of
treatment provided, capabilities and workforce of rural sites, and patient
preferences. Many small studies reported high satisfaction rates of these models
among patients and health professionals including Indigenous populations. One
single center study reports that it is safe to supervise chemotherapy delivery
remotely and many studies report cost savings to the health systems. Further
studies on safety aspects of teleoncology are needed to further improve the
current models. Future teleoncology models would need to include Web-based
models, mobile technologies and remote chemotherapy supervision models so that
patients from most rural towns could have at least some of their cancer care
closer to home.
PMID- 24934091
TI - Association of multicellular behaviour and drug resistance in Salmonella enterica
serovars isolated from animals and humans in Ethiopia.
AB - AIMS: To determine the association between multicellular behaviour, integron
status and antibiotic resistance among 87 Ethiopian Salmonella enterica isolates
of animal and human origin. METHODS AND RESULTS: Isolates were characterized for
their biofilm forming ability, antimicrobial susceptibility and the presence and
characteristics of a class 1 integron and Salmonella genomic island 1 (SGI1). The
majority of isolates grown at environmental temperatures (20 degrees C) exhibited
robust biofilm formation (72.4%) and displayed RDAR colony morphology on Congo
red agar plates. The presence of a class 1 integron correlated with the extent of
drug resistance and ability to exhibit multicellular behaviour. CONCLUSIONS:
Although cellulose production and RDAR morphology correlated with increased
multicellular behaviour, neither was required for biofilm formation. Contrary to
previous reports, colony morphology was generally consistent within a serovar. No
integrons were detected in isolates deficient for multicellular behaviour,
indicating a potential role of bacterial community formation in transfer of
genetic elements among environmental isolates. SIGNIFICANCE AND IMPACT OF STUDY:
Infection by Salm. enterica is a major public health problem worldwide. The
dominance of multidrug resistance and multicellular behaviour in Salmonella
isolates of Ethiopian origin highlights a need for integrated surveillance and
further detailed phenotypic and molecular studies of isolates from this region.
PMID- 24934096
TI - Synthesis and molecular structure of an abnormal carbene-gallium chloride
complex.
AB - Low temperature reaction of N-heterocyclic carbene : BEt3 with nBuLi (in THF)
initially gives the C4-lithiated N-heterocyclic carbene : BEt3 complex (4), which
isomerizes to the C2-lithiated abnormal N-heterocyclic carbene : BEt3 complex (2)
in refluxing THF. While reaction of with GaCl3 gives a 4-functionalized N
heterocyclic carbene : GaCl3 adduct (6), reaction of with GaCl3 affords the first
abnormal carbene-gallium chloride complexes (5).
PMID- 24934094
TI - A comparative study of two different clear aligner systems.
AB - BACKGROUND: This study aims to compare the 'Nuvola(r)' system with
'Fantasmino(r)' system, examine their material properties, and define the
indications for use of the aligners. METHODS: Two groups of patients were
selected and were respectively treated with Nuvola(r) aligner and Fantasmino(r)
system. RESULTS: The goal of treatment has been achieved with the two systems.
CONCLUSIONS: The two types of aligners have shown differences during the
treatment. Fantasmino(r) system has elastic properties of high performance, but
its size does not encourage compliance throughout the day. Nuvola(r) system
determines good tooth movement and its size facilitates the patient's
collaboration. In both aligner systems, difficulties were found in the correction
of torque information and rotations.
PMID- 24934095
TI - Barriers to and facilitators of the provision of a youth-friendly health services
programme in rural South Africa.
AB - BACKGROUND: Youth-friendly health services are a key strategy for improving young
people's health. This is the first study investigating provision of the Youth
Friendly Services programme in South Africa since the national Department of
Health took over its management in 2006. In a rural area of South Africa, we
aimed to describe the characteristics of the publicly-funded primary healthcare
facilities, investigate the proportion of facilities that provided the Youth
Friendly Services programme and examine healthcare workers' perceived barriers to
and facilitators of the provision of youth-friendly health services. METHODS:
Semi-structured interviews were conducted with nurses of all eight publicly
funded primary healthcare facilities in Agincourt sub-district, Mpumalanga
Province, South Africa. Thematic analysis of interview transcripts was conducted
and data saturation was reached. RESULTS: Participants largely felt that the
Youth Friendly Services programme was not implemented in their primary healthcare
facilities, with the exception of one clinic. Barriers to provision reported by
nurses were: lack of youth-friendly training among staff and lack of a dedicated
space for young people. Four of the eight facilities did not appear to uphold the
right of young people aged 12 years and older to access healthcare independently.
Breaches in young people's confidentiality to parents were reported. CONCLUSIONS:
Participants reported that provision of the Youth Friendly Services programme is
limited in this sub-district, and below the Department of Health's target that
70% of primary healthcare facilities should provide these services. Whilst a
dedicated space for young people is unlikely to be feasible or necessary, all
facilities have the potential to be youth-friendly in terms of staff attitudes
and actions. Training and on-going support should be provided to facilitate this;
the importance of such training is emphasised by staff. More than one member of
staff per facility should be trained to allow for staff turnover. As one of a few
countrywide, government-run youth-friendly clinic programmes in a low or middle
income country, these results may be of interest to programme managers and policy
makers in such settings.
PMID- 24934097
TI - A simple technique for reinflating a Becker expander when tube breakage occurs
during port removal.
PMID- 24934098
TI - Nailbed reconstruction using dermal regeneration template.
PMID- 24934099
TI - Comparison of ultrasonography-assisted closed reduction with conventional closed
reduction for the treatment of acute nasal fractures.
AB - Ultrasonography has often been reported to be a useful tool in cases of nasal
fracture, not only for diagnosing such fractures but also for intraoperatively
assessing surgical outcomes. In this study, we examined the utility of
ultrasonography for intraoperatively assessing the results of surgery for acute
nasal fractures. In the conventional group, the outcome of each fracture
reduction procedure was intraoperatively confirmed by visual inspection and
palpation. In the ultrasound group, intraoperative ultrasonography was used to
assess the condition of the fracture before and after closed reduction. The
outcomes of the reduction procedures and the reoperation rate were compared
between the two groups. According to computed tomography-based evaluations, there
were no significant differences in the outcomes of the reduction procedures
between the two groups (p > 0.05). As for the reoperation rate, two patients
(2.8%) in the conventional group underwent reoperations, but no patient (0%)
required reoperations in the ultrasound group. However, the difference in the
reoperation rate between the two groups was not significant (p > 0.05). These
results indicate that visual inspection and palpation are as reliable as
ultrasonography for intraoperatively assessing the outcomes of surgery for acute
nasal fractures. Surgeons should not depend on ultrasonography alone, but rather
should use it in addition to visual inspection and palpation.
PMID- 24934100
TI - External traction sutures to facilitate exposure in abdominoplasty: a novel
technique.
PMID- 24934101
TI - Growth, reaction and nanowire formation of Fe on the ZnS(1 0 0) surface.
AB - The growth and reaction of Fe on a ZnS(1 0 0) substrate are studied in situ and
with high lateral resolution using low energy electron microscopy (LEEM), micro
low energy electron diffraction ( MULEED), x-ray photoemission electron
microscopy (XPEEM), microprobe x-ray photoelectron spectroscopy ( MUXPS) and x
ray magnetic circular dichroism PEEM (XMCDPEEM) for complementary structural,
chemical, and magnetic characterization. Initially, a two-dimensional (Fe, Zn)S
reaction layer forms with thickness that depends on growth temperature. Further
growth results in the formation of a variety of three-dimensional crystals, most
of them strongly elongated in the form of 'nanowires' of two distinct types,
labeled as A and B. Type A nanowires are oriented near the ZnS[1 1 0] direction
and are composed of Fe. Type B nanowires are oriented predominantly along
directions a few degrees off the ZnS[0 0 1] direction and are identified as
Greigite (Fe3S4). Both types of nanowires are magnetic with Curie temperatures
above 450 degrees C. The understanding of the reactive growth mechanism in this
system that is provided by these investigations may help to develop growth
methods for other elemental and transition metal chalcogenide nanostructures on
ZnS and possibly on other II-VI semiconductor surfaces.
PMID- 24934102
TI - A new room temperature gas sensor based on pigment-sensitized TiO2 thin film for
amines determination.
AB - A new room temperature gas sensor was fabricated with pigment-sensitized TiO2
thin film as the sensing layer. Four natural pigments were extracted from spinach
(Spinacia oleracea), red radish (Raphanus sativus L), winter jasmine (Jasminum
nudiflorum), and black rice (Oryza sativa L. indica) by ethanol. Natural pigment
sensitized TiO2 sensor was prepared by immersing porous TiO2 films in an ethanol
solution containing a natural pigment for 24h. The hybrid organic-inorganic
formed films here were firstly exposed to atmospheres containing methylamine
vapours with concentrations over the range 2-10 ppm at room temperature. The
films sensitized by the pigments from black-rice showed an excellent gas
sensitivity to methylamine among the four natural pigments sensitized films due
to the anthocyanins. The relative change resistance, S, of the films increased
almost linearly with increasing concentrations of methylamine (r=0.931). At last,
the black rice pigment sensitized TiO2 thin film was used to determine the
biogenic amines generated by pork during storage. The developed films had good
sensitivity to analogous gases such as putrscine, and cadaverine that will
increase during storage.
PMID- 24934103
TI - Quality evaluation of different preparations of dry extracts of birch (Betula
pendula Roth) leaves.
AB - Qualitatively prepared dry extracts are advantaged over conventional fluid
extracts. Extracts of Betula pendula Roth leaves possess mild diuretic effects
and anti-inflammatory activity. In this work, the spray-drying and lyophilisation
processes were evaluated for producing dry extracts of birch leaves. The purpose
was to identify the best drying method that provides the highest content of
phenolic compounds and possesses greatest antioxidant activity. Predominant
compounds hyperoside and chlorogenic acid were chosen as markers for the
quantitative control of phenolic compounds. Their determined amounts in
hydroalcoholic birch leaf extract were 15.85 and 8.77 mg/g of dry residue,
respectively. Insignificant differences were determined in spray- and freeze
dried extracts. In the antioxidant profile, hyperoside (28.9 MUmol TE/g dw) and
chlorogenic acid (22.8 MUmol TE/g dw) possessed strongest ferric-reducing
activity. Also, no significant differences of antioxidant activity were
determined in the spray- and freeze-dried extracts.
PMID- 24934105
TI - Subcentimeter lung nodules stable for 2 years at LDCT: long-term follow-up using
volumetry.
AB - BACKGROUND AND OBJECTIVE: Subcentimeter nodules without change in size during
long-term follow-up period (for minimum 2 years) are assumed as benign lesions.
However, the 2-year stability rule has not been fully verified so far and is
still questionable. Thus, we aimed to retrospectively investigate long-term
follow-up results for 2-year stable subcentimeter nodules at screening low-dose
computed tomography (LDCT). METHODS: A total of 635 subjects having had follow-up
LDCTs for the initial 2-year screening period and additional 3 years thereafter
and having had non-calcified subcentimeter nodules were included. By using
computed tomography (CT) nodule volumetry software, we measured interval changes
in nodule volume. RESULTS: A total of 1107 subcentimeter nodules (1037 solid, 70
ground-glass opacity nodules (GGNs)) were detected at baseline CT. Of 1037 solid
nodules, 1032 showed no growth during the initial 2-year and 5-year follow-up
period. Fifty-nine GGNs were stable for initial 2 years, but two (3.4%) were
later proved as adenocarcinomas. Among five solid nodules that showed growth
during the initial 2-year follow-up period, one (20%) proved to be an
adenocarcinoma, whereas four (36.4%) of 11 GGNs that demonstrated growth were
diagnosed as lung cancers. CONCLUSIONS: All solid subcentimeter nodules having
initial 2-year stability at screening LDCT can be considered benign because none
shows growth at further follow-up CT. On the other hand, subcentimeter GGNs have
more chance of growth than solid nodules and need further follow-up CT for more
than 2 years.
PMID- 24934104
TI - Explore on the effect of ATF6 on cell growth and apoptosis in cartilage
development.
AB - We previously report that BMP2 mediates mild ER stress-activated ATF6 and
directly regulates XBP1S splicing in the course of chondrogenesis. The mammalian
unfolded protein response (UPR) protects the cell against the stress of misfolded
proteins in the endoplasmic reticulum (ER). Failure to adapt to ER stress causes
the UPR to trigger apoptosis. The transcription factor activating transcription
factor 6 (ATF6), a key regulator of the UPR, is known to be important for ER
stress-mediated apoptosis and cell growth, but the molecular mechanism underlying
these processes remains unexplored. In this study, we demonstrate that ATF6 is
differentially expressed during BMP2-stimulated chondrocyte differentiation and
exhibits prominent expression in growth plate chondrocytes. ATF6 can enhance the
level of IRE1a-spliced XBP1S protein in chondrogenesis. IRE1a and ATF6 can
synergistically regulate endogenous XBP1S gene expression in chondrogenesis.
Furthermore, overexpression ATF6 inhibited, while ATF6-knockdown enhanced, the
cell proliferation in chondrocyte development with G1 phase arresting, S phase
reducing and G2-M phase delaying. Besides, Ad-ATF6 can activate, whereas
knockdown ATF6 by an siRNA-silencing approach inhibited, ER stress-mediated
apoptosis in chondrogenesis induced by BMP2, as assayed by cleaved caspase3,
CHOP, p-JNK expression in the course of chondrocyte differentiation. On the other
hand, FCM, TUNEL assay and immunohistochemistry analysis also proved this result
in vitro and in vivo. It was demonstrated that Ad-ATF6 activation of the ER
stress-specific caspase cascade in developing chondrocyte tissue. Collectively,
these findings reveal a novel critical role of ATF6 in regulating ER stress
mediated apoptosis in chondrocyte differentiation and the molecular mechanisms
involved.
PMID- 24934106
TI - Co-development of manner and path concepts in language, action, and eye-gaze
behavior.
AB - In order for artificial intelligent systems to interact naturally with human
users, they need to be able to learn from human instructions when actions should
be imitated. Human tutoring will typically consist of action demonstrations
accompanied by speech. In the following, the characteristics of human tutoring
during action demonstration will be examined. A special focus will be put on the
distinction between two kinds of motion events: path-oriented actions and manner
oriented actions. Such a distinction is inspired by the literature pertaining to
cognitive linguistics, which indicates that the human conceptual system can
distinguish these two distinct types of motion. These two kinds of actions are
described in language by more path-oriented or more manner-oriented utterances.
In path-oriented utterances, the source, trajectory, or goal is emphasized,
whereas in manner-oriented utterances the medium, velocity, or means of motion
are highlighted. We examined a video corpus of adult-child interactions comprised
of three age groups of children-pre-lexical, early lexical, and lexical-and two
different tasks, one emphasizing manner more strongly and one emphasizing path
more strongly. We analyzed the language and motion of the caregiver and the
gazing behavior of the child to highlight the differences between the tutoring
and the acquisition of the manner and path concepts. The results suggest that age
is an important factor in the development of these action categories. The
analysis of this corpus has also been exploited to develop an intelligent robotic
behavior-the tutoring spotter system-able to emulate children's behaviors in a
tutoring situation, with the aim of evoking in human subjects a natural and
effective behavior in teaching to a robot. The findings related to the
development of manner and path concepts have been used to implement new effective
feedback strategies in the tutoring spotter system, which should provide
improvements in human-robot interaction.
PMID- 24934107
TI - Continuous intravenous beta-lactam antibiotics in cystic fibrosis patients with
severe drug hypersensitivity.
PMID- 24934108
TI - Metal hypersensitivity in total joint arthroplasty.
AB - OBJECTIVE: To review the clinical manifestations, testing methods, and treatment
options for hypersensitivity reactions to total joint arthroplasty procedures.
DATA SOURCES: Studies were identified using MEDLINE and reference lists of key
articles. STUDY SELECTIONS: Randomized controlled trials were selected when
available. Systematic reviews and meta-analyses of peer-reviewed literature were
included, as were case series and observational studies of clinical interest.
RESULTS: Total joint arthroplasty procedures are increasing, as are the
hypersensitivity reactions to these implants. Evidence is not conclusive as to
whether metal joint implants increase metal sensitivity or whether metal
sensitivity leads to prosthesis failure. Currently, patch testing is still the
most widely used method for determining metal hypersensitivity; however, there
are no standardized commercial panels specific for total joint replacements
available currently. In vitro testing has shown comparable results in some
studies, but its use in the clinical setting may be limited by the cost and need
for specialized laboratories. Hypersensitivity testing is generally recommended
before surgery for patients with a reported history of metal sensitivity. In
cases of metal hypersensitivity-related joint failure, surgical revision
ultimately may be required. Knowledge about joint replacement hypersensitivity
reactions becomes vital because the approach to the evaluation depends on
appropriate testing to guide recommendations for future arthroplasty procedures.
CONCLUSION: Evaluation of hypersensitivity reactions after total joint
arthroplasty requires a systematic approach, including a careful history,
targeted evaluation with skin testing, and in vitro studies.
PMID- 24934109
TI - Asthma and toxocariasis.
AB - BACKGROUND: Asthma is the most common chronic disease in children and a major
public health problem in adults. A relation between asthma and toxocariasis has
been hypothesized. OBJECTIVE: To evaluate the strength of association between
asthma and Toxocara species seropositivity by conducting a systematic review and
a meta-analysis of available data. METHODS: PubMed, EMBASE, Web of Science, and
the reference lists of all relevant articles and books were screened until
October 2013. Two authors independently assessed eligibility and study quality
and extracted data. A common odds ratio was estimated using a random-effects meta
analysis model of aggregated published data. RESULTS: Ten studies met the
inclusion criteria, for a total of 1,530 participants (723 cases and 807
controls). This meta-analysis found a significantly higher prevalence of Toxocara
canis infection in patients with asthma than in controls (odds ratio 3.36, 95%
confidence interval 1.76-6.42, P < .001). Similar results were found when meta
analysis was restricted to the studies considering only children or adults.
CONCLUSION: The results support the existence of a positive association between
asthma and Toxocara species seropositivity.
PMID- 24934110
TI - [Hepatic artery infusional and systemic chemotherapy for patients with
unresectable hepatic metastases from colorectal cancer: a phase II trial].
PMID- 24934111
TI - [Outcome of patients with esophageal perforations: results of a multicenter
study].
PMID- 24934112
TI - On board with the Choosing Wisely campaign.
PMID- 24934113
TI - The motor neuron response to SMN1 deficiency in spinal muscular atrophy.
PMID- 24934115
TI - Phosphoglycerate kinase deficiency due to a novel mutation (c. 1180A>G)
manifesting as chronic hemolytic anemia in a Japanese boy.
AB - Phosphoglycerate kinase (PGK) deficiency, a rare X-linked inherited disorder,
manifests as various combinations of hemolytic anemia, neurological dysfunction,
and myopathy. We report a Japanese boy with PGK deficiency presenting as chronic
hemolytic anemia. The diagnosis of PGK1 deficiency was made at 11 months of age
on the basis of low PGK enzyme activity (36.7 IU/g Hb; normal, 264-326 IU/g Hb)
and the identification through PGK1 gene sequencing of a novel missense mutation:
c. 1180A>G at exon 10. The mutation, which has been designated PGK-Aoto, results
in a Thr394Ala amino-acid substitution at beta-strand L. Because beta-strand L
plays an important role in the function of the hinge connecting the two domains
of PGK, the Thr394Ala substitution may perturb this motion. At 3 years of age the
patient has transfusion-dependent hemolytic anemia but no evidence of
neuromuscular disease or developmental delay. Long-term follow-up will be needed
to identify possible future clinical manifestations.
PMID- 24934116
TI - A possible familial lymphoproliferative disorder in two male siblings of children
with recurrent wheezing and lung infections since infancy.
AB - Malignancies that result in wheezing in infants are very uncommon. Given its
rarity in children, the diagnosis is challenging, and in the absence of a high
index of suspicion, delayed diagnosis is not uncommon. Here we report two male
siblings of children who presented with recurrent wheezing and recurrent lung
infections since infancy. Both children showed no laboratory evidence of
immunodeficiency. Lymphocytic interstitial pneumonia or hypersensitivity
pneumonitis was histologically suspected in lung biopsy specimens from the older
brother. He subsequently developed Epstein-Barr virus (EBV)-associated
hemophagocytic lymphohistiocytosis and died. Based on the family history, we
screened mutations among PRF1, Munc13-4, STX11, SH2D1A, and XIAP genes for the
younger brother, but did not identify any mutations. He also underwent lung
biopsy, which showed interstitial infiltration of lymphoid cells. In situ
hybridization for EBV-encoded RNA showed a positive nuclear signal in the
lymphoid cells. The presence of clonal B-cell proliferations was detected by
clonally rearranged immunoglobulin studies. Lymphomatoid granulomatosis grade 3
was finally diagnosed. The progression of disease was rapid, and the patient
died, despite rituximab therapy. The similar clinical manifestations in two male
siblings suggest the possibility that a previously undescribed genetic defect
contributed to these familial lymphoproliferative malignancies.
PMID- 24934117
TI - Immediate therapeutic efficacy of low-density lipoprotein apheresis for drug
resistant nephrotic syndrome: evidence from the short-term results from the
POLARIS Study.
AB - BACKGROUND: Hyperlipidemia is not merely a complication but a major exacerbating
factor in longstanding nephrotic syndrome (NS). Low-density lipoprotein apheresis
(LDL-A) has been reported to ameliorate dyslipidemia and induce rapid remission
of NS. Several clinical studies have suggested the therapeutic efficacy of LDL-A,
but the level of clinical evidence is insufficient. Therefore, a multicenter
prospective study, POLARIS (Prospective Observational Survey on the Long-Term
Effects of LDL Apheresis on Drug-Resistant Nephrotic Syndrome), was initiated in
Japan. METHOD: Patients with drug-resistant NS were prospectively recruited into
the study and treated with LDL-A in facilities that were registered in advance.
In the POLARIS study design, the clinical data are to be followed up for 2 years.
In the current study, we aimed at evaluating the short-term efficacy based on the
treatment outcome of LDL-A immediately after completion of treatment. RESULTS:
Along with rapid improvement of hyperlipidemia, LDL-A significantly improved
proteinuria and hypoproteinemia after treatment. More than half of the patients
showed remission of NS based on the urinary protein level at the completion of
LDL-A. The duration of NS before the start of treatment was significantly shorter
in patients who responded to LDL-A. CONCLUSIONS: An analysis of patients
registered in the POLARIS study indicated that LDL-A has short-term efficacy for
drug-resistant NS. Rapid relief of dyslipidemia by LDL-A may provide early
remission in about half of the NS patients who are resistant to conventional
medication. Completion of the POLARIS study may reveal additional long-term
effects of LDL-A in these patients.
PMID- 24934118
TI - Differential recombination dynamics within the MHC of macaque species.
AB - A panel of 15 carefully selected microsatellites (short tandem repeats, STRs) has
allowed us to study segregation and haplotype stability in various macaque
species. The STRs span the major histocompatibility complex (MHC) region and map
in more detail from the centromeric part of the Mhc-A to the DR region. Two large
panels of Indian rhesus and Indonesian/Indochinese cynomolgus macaques have been
subjected to pedigree analysis, allowing the definition of 161 and 36 different
haplotypes and the physical mapping of 10 and 5 recombination sites,
respectively. Although most recombination sites within the studied section of the
Indian rhesus monkey MHC are situated between the Mhc-A and Mhc-B regions, the
resulting recombination rate for this genomic segment is low and similar to that
in humans. In contrast, in Indonesian/Indochinese macaques, two recombination
sites, which appear to be absent in rhesus macaques, map between the class III
and II regions. As a result, the mean recombination frequency of the core MHC,
Mhc-A to class II, is higher in Indonesian/Indochinese cynomolgus than in Indian
rhesus macaques, but as such is comparable to that in humans. The present
communication demonstrates that the dynamics of recombination 'hot/cold spots' in
the MHC, as well as their frequencies, may differ substantially between highly
related macaque species.
PMID- 24934119
TI - Characterization of a polymorphic IGLV gene in pigs (Sus scrofa).
AB - Swine, unlike other artiodactyls, but similar to humans, utilize both lambda and
kappa light chain isotypes almost equally in the generation of their antibody
repertoire. The porcine antibody light chain loci have previously been
characterized in a single Duroc sow in which was seen extensive allelic variation
between light chain genes on homologous chromosomes. However, the extent of
variation between individuals is completely unknown. Using deep sequencing of
cDNA-derived amplicons from five pigs, we report the identification and
characterization of an IGLV gene that is functional and highly expressed in some
animals, yet completely absent in others. Our findings provide a possible
rationale for the known individual-to-individual variation in antibody responses
to vaccination, infectious challenge, and subsequent disease outcome.
PMID- 24934120
TI - A rare precursor of gastric tumor.
AB - Gastritis cystica profunda (GCP) is a rare tumor precursor which occurs more
commonly in patients who have undergone previous gastric surgery. The non
specific symptoms and radiographic appearance of this tumor mimic those of other
hyperproliferative conditions, making diagnosis difficult. This is a pre
malignant condition and may lead on to carcinoma of the stomach. Here we report a
57-year-old female with no previous gastric surgeries, who presented to us with
epigastric abdominal pain. Her work-up included an upper endoscopy, which
revealed fundic polyps. Her fundal biopsies were consistent with GCP.
PMID- 24934123
TI - Surgical treatment of equine colic - a retrospective study of 297 surgeries in
Norway 2005-2011.
AB - BACKGROUND: Colic, defined as pain originating from the abdomen, is a common
condition in horses. Most of the cases resolve spontaneously or after medical
treatment, but a few require surgical treatment. Surgical treatment of colic in
horses is resource-demanding and expensive, and information on prognosis is
therefore important for both owners and surgeons. In the present study, surgical
cases in two equine hospitals in Norway between 2005 and 2011 were reviewed. The
aim of the study was to describe associations between prognostic indicators,
diagnoses and short term survival by use of random effects logistic regression.
RESULTS: In the present study, 162 out of 297 (54.5%) surgeries resulted in the
horse being discharged from the hospital. Excluding cases euthanized during
surgery, the overall short-term survival was 74.0% (162 out of 219 surgeries).
Seventy-eight (26.3%) of the horses were euthanized during surgery, due to grave
or poor prognosis. In univariable analyses, duration of colic signs, heart rate,
capillary refill time, mucosal membrane appearance, intestinal sounds, affected
gastrointestinal segment, hematocrit, intestinal resection, hospital and surgeon
board-certification had P-value <0.20 and were assessed in multivariable
analyses. Respiration rate, rectal temperature and lactate in blood also had
univariable P <0.20, but were left out from multivariable analyses due to too
high levels of missing values. A random effect of primary surgeon was included
and breed, sex and age were tested in multivariable analyses as possible
confounders; and hospital was included to control for hospital routine
differences. In the final multivariable model the variables mucosal membrane
appearance, affected gastrointestinal segment and surgeon board-certification
significantly influenced survival. The random surgeon effect was not significant.
CONCLUSIONS: The present study showed that prognostic parameters and diagnoses of
surgical treatment of horses with colic in Norway are in accordance with reports
from other parts of the world. The significant effect of board-certification of
surgeon is not reported in previous studies. The general short-term survival rate
was somewhat lower than reported in other studies, partly due to more horses
being euthanized intraoperatively in the present study. This might be because of
economical or animal welfare reasons.
PMID- 24934124
TI - Rebuttal to Riek LM, Ludewig PM, Nawoczenski DA. How "healthy" is circuit
resistance training following paraplegia? Kinematic analysis associated with
shoulder mechanical impingement risk. J Rehabil Res Dev. 2013:50(6):861-74.
http://dx.doi.org/10.1682/JRRD.2012.06.0112.
PMID- 24934125
TI - Response.
PMID- 24934126
TI - Training model for promoting translation from research to clinical settings:
University of Alabama at Birmingham training for constraint-induced movement
therapy.
PMID- 24934127
TI - Continuous practice quality improvement initiative for communication of critical
findings in neuroradiology.
AB - The authors examined faculty's compliance with a hospital-approved neuroradiology
critical findings (CFs) policy, which requires urgent verbal communication with
the clinical team when 17 specific critical pathologies are identified. During
June 2011 to July 2013, 50 random neuroradiology reports were sampled monthly for
the presence of CFs and appropriate action. Faculty were provided ongoing
feedback, and at the end of 2 years, the medical records for cases with
noncommunicated CFs were reviewed to identify potential adverse outcomes. Of the
1200 reviewed reports, 195 (16.3%) had and 1005 (83.8%) did not have a CF. A
total of 176 of 195 (90.3%) cases with CFs were communicated, and compliance
increased from 77.4% to 85.6% (P = .027) since the monthly sampling was
instituted; 1 of 19 (5.3%) noncommunicated CFs resulted in a potential adverse
event. The ongoing monthly feedback resulted in improved faculty compliance with
the CF policy. However, a small number of cases with CFs are still not being
communicated.
PMID- 24934128
TI - Mutations in Ovis aries TMEM154 are associated with lower small ruminant
lentivirus proviral concentration in one sheep flock.
AB - Small ruminant lentivirus (SRLV), also called ovine progressive pneumonia virus
or maedi-visna, is present in 24% of US sheep. Like human immunodeficiency virus,
SRLV is a macrophage-tropic lentivirus that causes lifelong infection. The
production impacts from SRLV are due to a range of disease symptoms, including
pneumonia, arthritis, mastitis, body condition wasting and encephalitis. There is
no cure and no effective vaccine for preventing SRLV infection. However, breed
differences in prevalence and proviral concentration indicate a genetic basis for
susceptibility to SRLV. Animals with high blood proviral concentration show
increased tissue lesion severity, so proviral concentration represents a live
animal test for control post-infection in terms of proviral replication and
disease severity. Recently, it was found that sheep with two copies of TMEM154
haplotype 1 (encoding lysine at position 35) had lower odds of SRLV infection. In
this study, we examined the relationship between SRLV control post-infection and
variants in two genes, TMEM154 and CCR5, in four flocks containing 1403 SRLV
positive sheep. We found two copies of TMEM154 haplotype 1 were associated with
lower SRLV proviral concentration in one flock (P < 0.02). This identified the
same favorable diplotype for SRLV control post-infection as for odds of
infection. However, frequencies of haplotypes 2 and 3 were too low in the other
three flocks to test. The CCR5 promoter deletion did not have consistent
association with SRLV proviral concentration. Future work in flocks with more
balanced allele frequencies is needed to confirm or refute TMEM154 association
with control of SRLV post-infection.
PMID- 24934130
TI - Influence of urban form on landscape pattern and connectivity in metropolitan
regions: a comparative case study of Phoenix, AZ, USA, and Izmir, Turkey.
AB - Although ecological connectivity conservation in urban areas has recently been
recognized as an important issue, less is known about its relationship to urban
form and landscape pattern. This study investigates how urban morphology
influences regional ecosystem pattern and landscape connectivity. Two
metropolitan landscapes, Phoenix, AZ, USA, and Izmir, Turkey, were compared, both
of which are fast-growing regions in their national context. A wide range of
variables were considered for identifying natural and urban properties. The
natural characteristics include typology of urban ecosystems, urban to natural
cover ratio, dominant habitat type, urban biodiversity, landscape context, and
connectivity conservation efforts. Urban parameters examine urban form, urban
extent, urban cover proportion, growth rate, populations, urban gradient, major
drivers of urbanization, urban density, and mode/approach of urban development.
Twelve landscape metrics were measured and compared across the natural patches.
Results show that there is little difference in landscape connectivity in the
rural zones of Phoenix and Izmir, although Phoenix has slightly higher
connectivity values. The connectivity variance in urbanized areas, however, is
significantly dependent on the region. For example, Phoenix urban zones have
substantially lower connectivity than either urban or suburban zones in Izmir.
Findings demonstrate that small and compact urban settlements with more dense
populations are more likely to conserve landscape connectivity compared to
multiple-concentric but amalgamated urban form spreading all over the landscape
(aka urban sprawl).
PMID- 24934129
TI - Immunoproteasome in animal models of Duchenne muscular dystrophy.
AB - Increased proteasome activity has been implicated in the atrophy and
deterioration associated with dystrophic muscles of Duchenne muscular dystrophy
(DMD). While proteasome inhibitors show promise in the attenuation of muscle
degeneration, proteasome inhibition-induced toxicity was a major drawback of this
therapeutic strategy. Inhibitors that selectively target the proteasome subtype
that is responsible for the loss in muscle mass and quality would reduce side
effects and be less toxic. This study examined proteasome activity and subtype
populations, along with muscle function, morphology and damage in wild-type (WT)
mice and two murine models of DMD, dystrophin-deficient (MDX) and dystrophin- and
utrophin-double-knockout (DKO) mice. We found that immunoproteasome content was
increased in dystrophic muscles while the total proteasome content was unchanged
among the three genotypes of mice. Proteasome proteolytic activity was elevated
in dystrophic muscles, especially in DKO mice. These mice also exhibited more
severe muscle atrophy than either WT or MDX mice. Muscle damage and regeneration,
characterized by the activity of muscle creatine kinase in the blood and the
percentage of central nuclei were equally increased in dystrophic mice.
Accordingly, the overall muscle function was similarly reduced in both dystrophic
mice compared with WT. These data demonstrated that there was transformation of
standard proteasomes to immunoproteasomes in dystrophic muscles. In addition, DKO
that showed greatest increase in proteasome activities also demonstrated more
severe atrophy compared with MDX and WT. These results suggest a putative role
for the immunoproteasome in muscle deterioration associated with DMD and provide
a potential target for therapeutic intervention.
PMID- 24934132
TI - Forelimb anatomy and the discrimination of the predatory behavior of carnivorous
mammals: the thylacine as a case study.
AB - Carnivorous mammals use their forelimbs in different ways to capture their prey.
Most terrestrial carnivores have some cursorial (running) adaptations, but ambush
predators retain considerable flexibility in their forelimb movement, important
for grappling with their prey. In contrast, predators that rely on pursuit to run
down their prey have sacrificed some of this flexibility for locomotor
efficiency, in the greater restriction of the forelimb motion to the parasagittal
plane. In this article, we measured aspects of the forelimb anatomy (44 linear
measurements) in 36 species of carnivorous mammals of known predatory behavior,
and used multivariate analyses to investigate how well the forelimb anatomy
reflects the predatory mode (ambush, pursuit, or pounce-pursuit). A prime
intention of this study was to establish morphological correlates of behavior
that could then be applied to fossil mammals: for this purpose, five individuals
of the recently extinct thylacine (Thylacinus cynocephalus) were also included as
unknowns. We show that the three different types of predators can be
distinguished by their morphology, both in analyses where all the forelimb bones
are included together, and in the separate analyses of each bone individually. Of
particular interest is the ability to distinguish between the two types of more
cursorial predators, pursuit and pounce-pursuit, which have previously been
considered as primarily size-based categories. Despite a prior consideration of
the thylacine as a "pounce-pursuit" or an "ambush" type of predator, the
thylacines did not consistently cluster with any type of predatory carnivores in
our analyses. Rather, the thylacines appeared to be more generalized in their
morphology than any of the extant carnivores. The absence of a large diversity of
large carnivorous mammals in Australia, past and present, may explain the
thylacine's generalized morphology.
PMID- 24934131
TI - Reproductive health indicators of fishes from Pennsylvania watersheds:
association with chemicals of emerging concern.
AB - Fishes were collected at 16 sites within the three major river drainages
(Delaware, Susquehanna, and Ohio) of Pennsylvania. Three species were evaluated
for biomarkers of estrogenic/antiandrogenic exposure, including plasma
vitellogenin and testicular oocytes in male fishes. Smallmouth bass Micropterus
dolomieu, white sucker Catostomus commersonii, and redhorse sucker Moxostoma
species were collected in the summer, a period of low flow and low reproductive
activity. Smallmouth bass were the only species in which testicular oocytes were
observed; however, measurable concentrations of plasma vitellogenin were found in
male bass and white sucker. The percentage of male bass with testicular oocytes
ranged from 10 to 100%, with the highest prevalence and severity in bass
collected in the Susquehanna drainage. The percentage of males with plasma
vitellogenin ranged from 0 to 100% in both bass and sucker. Biological findings
were compared with chemical analyses of discrete water samples collected at the
time of fish collections. Estrone concentrations correlated with testicular
oocytes prevalence and severity and with the percentage of male bass with
vitellogenin. No correlations were noted with the percentage of male sucker with
vitellogenin and water chemical concentrations. The prevalence and severity of
testicular oocytes in bass also correlated with the percent of agricultural land
use in the watershed above a site. Two sites within the Susquehanna drainage and
one in the Delaware were immediately downstream of wastewater treatment plants to
compare results with upstream fish. The percentage of male bass with testicular
oocytes was not consistently higher downstream; however, severity did tend to
increase downstream.
PMID- 24934134
TI - Adverse drug events in patients admitted to an emergency department: an analysis
of direct costs.
AB - PURPOSE: Several economic evaluations of adverse drug events (ADEs) exist, but
the underlying methodology has not been standardized so far. The aim of the study
was to combine prospective, intensive pharmacovigilance methods, and standardized
accounting data to calculate direct costs of community-acquired ADEs (caADEs)
contributing to emergency department (ED) admission and subsequent
hospitalization. METHODS: A prospective observational study with three phases
extending over 2 years was implemented in a 749 bed tertiary care hospital with
an annual ED census of approximately 45 000 patients. The patient records of all
adult non-trauma ED admissions were systematically analyzed by a team of
emergency physicians, clinical pharmacologists, and pharmacists for potential
ADE. Associated diagnosis related group costs were extracted from standardized
accounting data. RESULTS: Of 2262 patients attending the ED during the study
periods, the hospitalization of 366 patients (16.2%) was related to one or more
caADEs of which 97.5% were considered predictable and 62.0% were classified as
preventable. The mean caADE-related diagnosis related group costs were ?2743 (95%
bias-corrected and accelerated CI: ?2498 to ?3018). Extrapolated to a national
scale, this corresponds to caADE-related costs of ?2.245bn for the German health
insurance funds, annually. Costs of ?1.310bn could be attributed to events
classified as predictable and preventable. CONCLUSIONS: In an ED, caADEs are
frequent, and a significant proportion of these events and their related costs
appear to be predictable and preventable. The ED as a first-line provider for ADE
cases appears to be an appropriate environment to implement strategic and
operative improvements for enhanced patient safety.
PMID- 24934135
TI - Epilepsy: Chemical-genetic seizure silencing--unlocking the potential.
PMID- 24934133
TI - The own-age bias in face memory is unrelated to differences in attention-
evidence from event-related potentials.
AB - Participants are more accurate at remembering faces from their own relative to a
different age group (the own-age bias, or OAB). A recent socio-cognitive account
has suggested that differential allocation of attention to old versus young faces
underlies this phenomenon. Critically, empirical evidence for a direct
relationship between attention to own- versus other-age faces and the OAB in
memory is lacking. To fill this gap, we tested the roles of attention in three
different experimental paradigms, and additionally analyzed event-related brain
potentials (ERPs). In Experiment 1, we compared the learning of old and young
faces during focused versus divided attention, but revealed similar OABs in
subsequent memory for both attention conditions. Similarly, manipulating
attention during learning did not differentially affect the ERPs elicited by
young versus old faces. In Experiment 2, we examined the repetition effects from
task-irrelevant old and young faces presented under varying attentional loads on
the N250r ERP component as an index of face recognition. Independent of load, the
N250r effects were comparable for both age categories. Finally, in Experiment 3
we measured the N2pc as an index of attentional selection of old versus young
target faces in a visual search task. The N2pc was not significantly different
for the young versus the old target search conditions, suggesting similar
orientations of attention to either face age group. Overall, we propose that the
OAB in memory is largely unrelated to early attentional processes. Our findings
therefore contrast with the predictions from socio-cognitive accounts on own
group biases in recognition memory, and are more easily reconciled with expertise
based models.
PMID- 24934136
TI - Stroke: Comparable care, worse outcomes for women with stroke.
PMID- 24934137
TI - Cerebral aneurysms: Genetic risk factors are associated with the site of
intracranial aneurysm development.
PMID- 24934138
TI - Epilepsy: Electrophysiology elucidates epileptiform activity.
PMID- 24934139
TI - Disorders of consciousness: Diagnostic accuracy of brain imaging in the
vegetative state.
PMID- 24934141
TI - Neurosurgery: Directional electrodes widen the therapeutic window for deep brain
stimulation in movement disorders.
PMID- 24934140
TI - Neurodevelopmental disorders: Elevated fetal sex steroids might confer risk for
autism.
PMID- 24934142
TI - SOS score: an optimized score to screen acute stroke patients for obstructive
sleep apnea.
AB - BACKGROUND: Obstructive sleep apnea (OSA) is frequent in acute stroke patients,
and has been associated with higher mortality and worse prognosis.
Polysomnography (PSG) is the gold standard diagnostic method for OSA, but it is
impracticable as a routine for all acute stroke patients. We evaluated the
accuracy of two OSA screening tools, the Berlin Questionnaire (BQ), and the
Epworth Sleepiness Scale (ESS) when administered to relatives of acute stroke
patients; we also compared these tools against a combined screening score (SOS
score). METHODS: Ischemic stroke patients were submitted to a full PSG at the
first night after onset of symptoms. OSA severity was measured by apnea-hypopnea
index (AHI). BQ and ESS were administered to relatives of stroke patients before
the PSG and compared to SOS score for accuracy and C-statistics. RESULTS: We
prospectively studied 39 patients. OSA (AHI >=10/h) was present in 76.9%. The SOS
score [area under the curve (AUC): 0.812; P = 0.005] and ESS (AUC: 0.789; P =
0.009) had good predictive value for OSA. The SOS score was the only tool with
significant predictive value (AUC: 0.686; P = 0.048) for severe OSA (AHI >=30/h),
when compared to ESS (P = 0.119) and BQ (P = 0.191). The threshold of SOS <=10
showed high sensitivity (90%) and negative predictive value (96.2%) for OSA; SOS
>=20 showed high specificity (100%) and positive predictive value (92.5%) for
severe OSA. CONCLUSIONS: The SOS score administered to relatives of stroke
patients is a useful tool to screen for OSA and may decrease the need for PSG in
acute stroke setting.
PMID- 24934143
TI - Embedded 3D printing of strain sensors within highly stretchable elastomers.
AB - A new method, embedded-3D printing (e-3DP), is reported for fabricating strain
sensors within highly conformal and extensible elastomeric matrices. e-3DP allows
soft sensors to be created in nearly arbitrary planar and 3D motifs in a highly
programmable and seamless manner. Several embodiments are demonstrated and sensor
performance is characterized.
PMID- 24934145
TI - Patient encounters of a difficult kind.
PMID- 24934144
TI - Cerebellar ataxia and glutamic acid decarboxylase antibodies: immunologic profile
and long-term effect of immunotherapy.
AB - IMPORTANCE: Current clinical and immunologic knowledge on cerebellar ataxia (CA)
with glutamic acid decarboxylase 65 antibodies (GAD65-Abs) is based on case
reports and small series with short-term follow-up data. OBJECTIVE: To report the
symptoms, additional antibodies, prognostic factors, and long-term outcomes in a
cohort of patients with CA and GAD65-Abs. DESIGN, SETTING, AND PARTICIPANTS:
Retrospective cohort study and laboratory investigations at a center for
autoimmune neurologic disorders among 34 patients with CA and GAD65-Abs,
including 25 with long-term follow-up data (median, 5.4 years; interquartile
range, 3.1-10.3 years). MAIN OUTCOMES AND MEASURES: Analysis of
clinicoimmunologic features and predictors of response to immunotherapy.
Immunochemistry on rat brain, cultured neurons, and human embryonic kidney cells
expressing GAD65, GAD67, alpha1-subunit of the glycine receptor, and a repertoire
of known cell surface autoantigens were used to identify additional antibodies.
Twenty-eight patients with stiff person syndrome and GAD65-Abs served as
controls. RESULTS: The median age of patients was 58 years (range, 33-80 years);
28 of 34 patients (82%) were women. Nine patients (26%) reported episodes of
brainstem and cerebellar dysfunction or persistent vertigo several months before
developing CA. The clinical presentation was subacute during a period of weeks in
13 patients (38%). Nine patients (26%) had coexisting stiff person syndrome
symptoms. Systemic organ-specific autoimmunities (type 1 diabetes mellitus and
others) were present in 29 patients (85%). Twenty of 25 patients with long-term
follow-up data received immunotherapy (intravenous immunoglobulin in 10 and
corticosteroids and intravenous immunoglobulin or other immunosuppressors in 10),
and 7 of them (35%) improved. Predictors of clinical response included subacute
onset of CA (odds ratio [OR], 0.50; 95% CI, 0.25-0.99; P = .047) and prompt
immunotherapy (OR, 0.98; 95% CI, 0.96-0.99; P = .01). Similar frequencies of
serum GAD67-Abs were found in patients with CA (24 of 34 patients [71%]) and in
patients with stiff person syndrome (20 of 28 patients [71%]). However, GAD67-Abs
were found in all of the cerebrospinal fluid samples examined (22 samples from
patients with CA and 17 samples from patients with stiff person syndrome).
Glycine receptor antibodies but not other cell surface antibodies were identified
in 4 patients with CA. The presence of glycine receptor antibodies did not
correlate with any specific clinical feature. CONCLUSIONS AND RELEVANCE: In
patients with CA and GAD65-Abs, subacute onset of symptoms and prompt
immunotherapy are associated with good outcome. Persistent vertigo or brainstem
and cerebellar episodes can herald CA and should lead to GAD65-Ab testing,
particularly in patients with systemic organ-specific autoimmunities.
PMID- 24934146
TI - When to repatriate? Clinicians' perspectives on the transfer of patient
management from specialty to primary care.
AB - BACKGROUND: Subspecialty ambulatory care visits have doubled in the past 10 years
and nearly half of all visits are for follow-up care. Could some of this care be
provided by primary care providers (PCPs)? OBJECTIVE: To determine how often PCPs
and specialists agree that a mutual patient's condition could be managed
exclusively by the PCP, and to understand PCPs' perspectives on factors that
influence decisions about 'repatriation,' or the transfer of patient management
to primary care. DESIGN: A mixed method approach including paired surveys of PCPs
and specialists about the necessity for ongoing specialty care of mutual
patients, and interviews with PCPs about care coordination practices and reasons
for differing opinions with specialists. PARTICIPANTS: One hundred and eighty
nine PCPs and 59 physicians representing five medicine subspecialties completed
paired surveys for 343 patients. Semi-structured interviews were conducted with
16 PCPs. MEASUREMENTS: For each patient, PCPs and specialists were asked, "Could
this diagnosis be managed exclusively by the PCP?" RESULTS: Specialists and PCPs
agreed that transfer to primary care was appropriate for 16% of patients, whereas
36% had specialists and PCPs who agreed that ongoing specialty care was
appropriate. Specialists were half as likely as PCPs to identify patients as
appropriate for transfer to primary care. PCPs identified several factors that
influence the likelihood that patients will be transferred to primary care,
including perceived patient preferences, limited access to physician
appointments, excessive workload, inter-clinician communication norms, and
differences in clinical judgment. We group these factors into two domains: 'push
back' and 'pull-back' to primary care. CONCLUSIONS: At a large academic medical
center, approximately one in six patients receiving ongoing specialty care could
potentially be managed exclusively by a PCP. PCPs identified several non-clinical
factors to explain continuation of specialty care when patient transfer to PCP is
clinically appropriate.
PMID- 24934148
TI - Validity of the relativistic phase shift model for the extrinsic spin Hall effect
in dilute metal alloys.
AB - Recently, a generalized relativistic phase shift model was proposed (Fedorovet al
2013 Phys. Rev. B 88 085116) for the description of the skew-scattering
contribution to the spin Hall effect caused by impurities. Here, we inspect this
model by means of a systematic comparison with the results of first-principles
calculations performed for several metallic host systems with different
substitutional impurities. It is found that for its proper application, the
differences between impurity and host phase shifts should be used as input
parameters. Generally, the model provides good qualitative agreement with ab
initio results for hosts with a free-electron-like Fermi surface and a relatively
weak spin-orbit coupling, but fails otherwise.
PMID- 24934147
TI - Walking cadence and mortality among community-dwelling older adults.
AB - BACKGROUND: Older adults are encouraged to walk >=100 steps?minute(-1) for
moderate-intensity physical activity (i.e., brisk walking). It is unknown if the
ability to walk >=100 steps?minute(-1) predicts mortality. OBJECTIVE: To
determine if the ability to walk >=100 steps?minute(-1) predicts mortality among
older adults. DESIGN, SETTING, AND PATIENTS: A population-based cohort study
among 5,000 older adults from the Third National Health and Nutrition Survey
(NHANES III; 1988-1994). Vital status and cause of death were collected through
December 31, 2006. Median follow-up was 13.4 years. Average participant age was
70.6 years. MEASUREMENTS: Walking cadence (steps?minute(-1)) was calculated using
a timed 2.4-m walk. Walking cadence was dichotomized at 100 steps?minute(-1)
(>=100 steps?minute(-1) versus <100 steps?minute(-1)) to demarcate the lower
threshold of absolutely defined moderate-intensity physical activity. Walking
cadence was also analyzed as a continuous variable. Predicted survival was
compared between walking cadence and gait speed. The primary outcome was all
cause mortality. Secondary outcomes included cardiovascular-specific and cancer
specific mortality and mortality from other causes. RESULTS: Among 5,000
participants, 3,039 (61 %) walked >=100 steps?minute(-1). During follow-up, 3,171
subjects died. In multivariable-adjusted analysis, ability to walk >=100
steps?minute(-1) predicted a 21 % reduction in all-cause mortality (hazard ratio
[HR], 0.79; 95 % confidence interval [95 % CI], 0.71-0.89, p < 0.001). Each ten
step increase in walking cadence predicted a 4 % reduction in all-cause mortality
(HR, 0.96, [0.94-0.98], p < 0.001). In secondary analyses, ability to walk >=100
steps?minute(-1) predicted reductions in cardiovascular-specific mortality (HR,
0.79 [0.67-0.92], p = 0.002), cancer-specific mortality (HR, 0.76 [0.58-0.99], p
= 0.050), and mortality from other causes (HR, 0.82 [0.68-0.97], p = 0.025).
Predicted survival, adjusted for age and sex, was not different using walking
cadence versus gait speed. LIMITATIONS: Walking cadence was a cross-sectional
measurement. CONCLUSIONS: The ability to walk >=100 steps?minute(-1) predicts a
reduction in mortality among a sample of community-dwelling older adults.
PMID- 24934149
TI - Adsorption-based immobilization of Caldicellulosiruptor saccharolyticus
cellobiose 2-epimerase on Bacillus subtilis spores.
AB - Nonrecombinant spore was examined as a novel immobilization support to adsorb
enzymes. Caldicellulosiruptor saccharolyticus cellobiose 2-epimerase (CsCE),
efficiently producing lactulose using lactose as a single substrate, was
immobilized on Bacillus subtilis spores via adsorption. The immobilization
process was optimized, and the properties of immobilized CsCE and the
interactions between the enzyme and spores were also investigated. Under the
optimized conditions (pH 4.5, temperature 4 degrees C, reaction time 2 H, and
initial enzyme concentration 2.4 mg/mL), the maximum adsorbed amount of CsCE was
1.47 mg/10(11) spores, and the enzyme activity recovery was 79.4%. The spore
immobilized CsCE presented a higher pH and thermal stability than a free enzyme.
Total desorption of the immobilized enzyme was only achieved by treatment with
1.0 M NaCl at pH 1.0, indicating a strong adsorption between CsCE and B. subtilis
spores. Efficient binding may require a potent combination of electrostatic and
hydrophobic interactions between spores and an enzyme. The immobilized CsCE was
applied to produce 395 g/L lactulose after 4 H. Moreover, the spores could be
regenerated and the spore-immobilized enzyme showed good reusability as it
retained approximately 70% of its initial activity after eight recycles.
PMID- 24934150
TI - Measuring health-related quality of life in chronic obstructive pulmonary
disease: properties of the EQ-5D-5L and PROMIS-43 short form.
AB - BACKGROUND: The Patient Reported Outcomes Measurement Information System 43-item
short form (PROMIS-43) and the five-level EQ-5D (EQ-5D-5L) are recently developed
measures of health-related quality of life (HRQL) that have potentially broad
application in evaluating treatments and capturing burden of respiratory-related
diseases. The aims of this study were: (1) to examine their psychometric
properties in patients with chronic obstructive pulmonary disease (COPD), and (2)
to identify dimensions of HRQL that differ and do not differ by lung function.
METHODS: We conducted a multi-center, cross-sectional study ("COPD Outcomes-based
Network for Clinical Effectiveness & Research Translation" [CONCERT]). We
analyzed patients who met spirometric criteria for COPD, and completed EQ-5D-5L
and PROMIS questionnaires. Disease severity was graded based on the Global
Initiative for Chronic Obstructive Lung Disease (GOLD) classification. Pulmonary
function test, PROMIS-43, EQ-5D (index score and EQ-Visual Analog Scale [EQ
VAS]), six minute walk test (6MWT), and three dyspnea scales (mMRC, Borg, FACIT
Dyspnea) were administered. Validity and reliability of EQ-5D-5L and PROMIS-43
were examined, and differences in HRQL by GOLD grade were assessed. RESULTS: Data
from 670 patients with COPD were analyzed (mean age 68.5 years; 58% male). More
severe COPD was associated with more problems with mobility, self-care and usual
activities (all p-values <0.01) according to EQ-5D-5L. Related domains on EQ-5D
5L, PROMIS and clinical measures were moderately (r = 0.30-0.49) to strongly (r
>= 0.50) correlated. A statistically significant trend of decreasing HRQL with
more severe lung functions was observed for EQ-5D-5L index scores, EQ-VAS scores,
and PROMIS physical function and social roles. CONCLUSIONS: Results supported the
validity of EQ-5D-5L and PROMIS-43 in COPD patients, and indicate that physical
function and social activities decrease with level of lung function by GOLD
grade, but not pain, mental health, sleep or fatigue as reported by patients.
PMID- 24934151
TI - Hypermethylation of DDAH2 promoter contributes to the dysfunction of endothelial
progenitor cells in coronary artery disease patients.
AB - BACKGROUND: Circulating endothelial progenitor cells (EPCs) may be a biomarker
for vascular function and cardiovascular risk in patients with coronary artery
disease (CAD). Dimethylarginine dimethylaminohydrolase 2 (DDAH2) regulates the
function of EPCs. This study aimed to examine whether hypermethylation of DDAH2
promoter contributes to impaired function of EPCs in CAD patients. METHODS:
Peripheral blood mono-nuclear cells from 25 CAD patients and 15 healthy
volunteers were collected and differentiated into EPCs. EPCs were tested for
their adhesive capability. DDAH2 mRNA expression was analyzed by real-time PCR,
and the methylation of DDAH2 promoter was detected by bisulfite genomic
sequencing. RESULTS: DDAH2 promoter in EPCs from CAD patients was hypermethylated
and the methylation level was negatively correlated to DDAH2 mRNA level and
adhesion function of EPCs. Homocysteine impaired the adhesion function of EPCs,
accompanied by lower DDAH2 expression and higher methylation level of DDAH2
promoter, compared to controls. These effects of homocysteine were reversed by
pretreatment with Aza, an inhibitor of DNA methyltransferase. CONCLUSION:
Hypermethylation in DDAH2 promoter is positively correlated to the dysfunction of
EPCs in CAD patients. Homocysteine disrupts EPCs function via inducing the
hypermethylation of DDAH2 promoter, suggesting a key role of epigenetic mechanism
in the progression of atherosclerosis.
PMID- 24934153
TI - Class III correction using an inter-arch spring-loaded module.
AB - BACKGROUND: A retrospective study was conducted to determine the cephalometric
changes in a group of Class III patients treated with the inter-arch spring
loaded module (CS2000(r), Dynaflex, St. Ann, MO, USA). METHODS: Thirty Caucasian
patients (15 males, 15 females) with an average pre-treatment age of 9.6 years
were treated consecutively with this appliance and compared with a control group
of subjects from the Bolton-Brush Study who were matched in age, gender, and
craniofacial morphology to the treatment group. Lateral cephalograms were taken
before treatment and after removal of the CS2000(r) appliance. The treatment
effects of the CS2000(r) appliance were calculated by subtracting the changes due
to growth (control group) from the treatment changes. RESULTS: All patients were
improved to a Class I dental arch relationship with a positive overjet.
Significant sagittal, vertical, and angular changes were found between the pre-
and post-treatment radiographs. With an average treatment time of 1.3 years, the
maxillary base moved forward by 0.8 mm, while the mandibular base moved backward
by 2.8 mm together with improvements in the ANB and Wits measurements. The
maxillary incisor moved forward by 1.3 mm and the mandibular incisor moved
forward by 1.0 mm. The maxillary molar moved forward by 1.0 mm while the
mandibular molar moved backward by 0.6 mm. The average overjet correction was 3.9
mm and 92% of the correction was due to skeletal contribution and 8% was due to
dental contribution. The average molar correction was 5.2 mm and 69% of the
correction was due to skeletal contribution and 31% was due to dental
contribution. CONCLUSIONS: Mild to moderate Class III malocclusion can be
corrected using the inter-arch spring-loaded appliance with minimal patient
compliance. The overjet correction was contributed by forward movement of the
maxilla, backward and downward movement of the mandible, and proclination of the
maxillary incisors. The molar relationship was corrected by mesialization of the
maxillary molars, distalization of the mandibular molars together with a rotation
of the occlusal plane.
PMID- 24934152
TI - Minimally invasive plate osteosynthesis with a locking compression plate is
superior to open reduction and internal fixation in the management of the
proximal humerus fractures.
AB - BACKGROUND: The use of minimally invasive plate osteosynthesis (MIPO) via
anterolateral deltoid splitting has good outcomes in the management of proximal
humerus fractures. While using this approach has several advantages, including
minimal soft tissue disruption, preservation of natural biology and minimal blood
loss, there is an increased risk for axillary nerve damage. This study compared
the advantages and clinical and radiological outcomes of MIPO or open reduction
and internal fixation (ORIF) in patients with proximal humerus fractures.
METHODS: A matched-pair analysis was performed, and patient groups were matched
according to age (+/-3 years), sex and fracture type. Forty-three pairs of
patients (average age: MIPO, 63 and ORIF, 61) with a minimum follow-up of 12
months were enrolled in the study group. The patients were investigated
radiographically and clinically using the Constant score. RESULTS: The MIPO
technique required less surgery time and caused less blood loss compared to ORIF
(p < 0.01). In addition, MIPO required a smaller incision, resulted in less
scarring, and was cosmetically more appealing and acceptable to female patients
than ORIF. Following MIPO, patients had better functional results at 3 and 6
months, with better outcomes, less pain, higher satisfaction in activities of
daily living, and a higher range of motion when compared to ORIF (p < 0.05).
Fracture configuration, according to the AO/ASIF(Association for the Study of
Internal Fixation) fracture classification, did not significantly influence the
functional results. The complication rate was comparable between both groups.
CONCLUSION: The use of MIPO with a locking compression plate in the management of
proximal humerus fractures is a safe and superior option compared to ORIF.
PMID- 24934154
TI - A single domain of the ZP2 zona pellucida protein mediates gamete recognition in
mice and humans.
AB - The extracellular zona pellucida surrounds ovulated eggs and mediates gamete
recognition that is essential for mammalian fertilization. Zonae matrices contain
three (mouse) or four (human) glycoproteins (ZP1-4), but which protein binds
sperm remains controversial. A defining characteristic of an essential zona
ligand is sterility after genetic ablation. We have established transgenic mice
expressing human ZP4 that form zonae pellucidae in the absence of mouse or human
ZP2. Neither mouse nor human sperm bound to these ovulated eggs, and these female
mice were sterile after in vivo insemination or natural mating. The same
phenotype was observed with truncated ZP2 that lacks a restricted domain within
ZP2(51-149). Chimeric human/mouse ZP2 isoforms expressed in transgenic mice and
recombinant peptide bead assays confirmed that this region accounts for the taxon
specificity observed in human-mouse gamete recognition. These observations in
transgenic mice document that the ZP2(51-149) sperm-binding domain is necessary
for human and mouse gamete recognition and penetration through the zona
pellucida.
PMID- 24934155
TI - Mps1 phosphorylation of condensin II controls chromosome condensation at the
onset of mitosis.
AB - During mitosis, genomic DNA is condensed into chromosomes to promote its equal
segregation into daughter cells. Chromosome condensation occurs during cell cycle
progression from G2 phase to mitosis. Failure of chromosome compaction at
prophase leads to subsequent misregulation of chromosomes. However, the molecular
mechanism that controls the early phase of mitotic chromosome condensation is
largely unknown. Here, we show that Mps1 regulates initial chromosome
condensation during mitosis. We identify condensin II as a novel Mps1-associated
protein. Mps1 phosphorylates one of the condensin II subunits, CAP-H2, at Ser492
during mitosis, and this phosphorylation event is required for the proper loading
of condensin II on chromatin. Depletion of Mps1 inhibits chromosomal targeting of
condensin II and accurate chromosome condensation during prophase. These findings
demonstrate that Mps1 governs chromosomal organization during the early stage of
mitosis to facilitate proper chromosome segregation.
PMID- 24934156
TI - HDAC4 integrates PTH and sympathetic signaling in osteoblasts.
AB - Parathyroid hormone (PTH) and the sympathetic tone promote Rankl expression in
osteoblasts and osteoclast differentiation by enhancing cyclic adenosine
monophosphate production through an unidentified transcription factor for PTH and
through ATF4 for the sympathetic tone. How two extracellular cues using the same
second messenger in the same cell elicit different transcriptional events is
unknown. In this paper, we show that PTH favors Rankl expression by triggering
the ubiquitination of HDAC4, a class II histone deacetylase, via Smurf2. HDAC4
degradation releases MEF2c, which transactivates the Rankl promoter. Conversely,
sympathetic signaling in osteoblasts favors the accumulation of HDAC4 in the
nucleus and its association with ATF4. In this context, HDAC4 increases Rankl
expression. Because of its ability to differentially connect two extracellular
cues to the genome of osteoblasts, HDAC4 is a critical regulator of osteoclast
differentiation.
PMID- 24934159
TI - Sudden neuropathological deaths: An autopsy study.
AB - BACKGROUND: Sudden or unexpected death can occur from unnatural causes, such as
violence or poisoning, as well as from natural causes. Second to cardiac causes,
neuropathology is one of the main causes of sudden natural death. In spite of the
increasing incidence of neuropathological deaths, few studies have been conducted
in Asia - hence the reason for the present study. METHODS: A 10-year (January
2003 to December 2012) retrospective study was conducted at the MS medico-legal
institute in a metropolitan city of southern India. All the cases of sudden
natural death, where the cause of death was opined to be due to a neuropathology
after meticulous medico-legal autopsy and chemical analysis, were included.
RESULTS AND CONCLUSIONS: A total of 7520 reported deaths were investigated. These
included 291 sudden natural deaths, amongst which the death was attributed to
neuropathology in 43 cases (14.7%). Of these 43 cases, 69.7% were male and 30.3%
were female. The majority of cases (25.5%) were in those aged >=60 years. Causes
of neuropathology were due to subarachnoid haemorrhage in 48.8% of cases, stroke
in 30.2%, meningitis in 14% and tumours in 7%. There was history of hypertension
in 46.5% of cases, diabetes in 32.5% of cases and cardiac disease in 18.6% of
cases.
PMID- 24934157
TI - The Cavbeta1a subunit regulates gene expression and suppresses myogenin in muscle
progenitor cells.
AB - Voltage-gated calcium channel (Cav) beta subunits are auxiliary subunits to Cavs.
Recent reports show Cavbeta subunits may enter the nucleus and suggest a role in
transcriptional regulation, but the physiological relevance of this localization
remains unclear. We sought to define the nuclear function of Cavbeta in muscle
progenitor cells (MPCs). We found that Cavbeta1a is expressed in proliferating
MPCs, before expression of the calcium conducting subunit Cav1.1, and enters the
nucleus. Loss of Cavbeta1a expression impaired MPC expansion in vitro and in vivo
and caused widespread changes in global gene expression, including up-regulation
of myogenin. Additionally, we found that Cavbeta1a localizes to the promoter
region of a number of genes, preferentially at noncanonical (NC) E-box sites.
Cavbeta1a binds to a region of the Myog promoter containing an NC E-box,
suggesting a mechanism for inhibition of myogenin gene expression. This work
indicates that Cavbeta1a acts as a Cav-independent regulator of gene expression
in MPCs, and is required for their normal expansion during myogenic development.
PMID- 24934160
TI - Effect of simulated resistance, fleeing, and use of force on standardized field
sobriety testing.
AB - INTRODUCTION: When a law enforcement officer (LEO) stops a suspect believed to be
operating (a vehicle) while impaired (OWI), the suspect may resist or flee, and
the LEO may respond with force. The suspect may then undergo a Standardized Field
Sobriety Test (SFST) to gauge impairment. It is not known whether resistance,
fleeing, or actions of force can create an inaccurate SFST result. We examined
the effect of resistance, fleeing, and force on the SFST. MATERIALS AND METHODS:
Human volunteers were prospectively randomized to have a SFST before and after
one of five scenarios: (1) five-second conducted electrical weapon exposure; (2)
100-yard (91.4 m) sprint; (3) 45-second physical fight; (4) police dog bite with
protective gear; and (5) Oleoresin Capsicum spray to the face with eyes shielded.
The SFST was administered and graded by a qualified LEO. After the SFST, the
volunteer entered their scenario and was then administered another SFST. Data
were analyzed using descriptive statistics. SFST performance was compared before
and after using chi-square tests. RESULTS: Fifty-seven subjects enrolled. Three
received a single-point penalty during one component of the three-component SFST
pre-scenario. No subject received a penalty point in any components of the SFST
post-scenario (p = 0.08). CONCLUSIONS: This is the first human study to examine
the effects of physical resistance, flight, and use of force on the SFST result.
We did not detect a difference in the performance of subjects taking the SFST
before and after exposure to resistance, flight, or a simulated use of force.
PMID- 24934161
TI - Hydranencephaly in a case of suspected infanticide.
AB - An abandoned fetus with suspicious skin injuries was found dead, lying on the
grass of a garden, near a private house. Suspecting infanticide, the prosecutor
ordered a medico-legal autopsy. The cause of death was identified as a congenital
malformation of the central nervous system such as hydranencephaly, and
infanticide was excluded.
PMID- 24934158
TI - Cardiopulmonary toxicity of peat wildfire particulate matter and the predictive
utility of precision cut lung slices.
AB - BACKGROUND: Emissions from a large peat fire in North Carolina in 2008 were
associated with increased hospital admissions for asthma and the rate of heart
failure in the exposed population. Peat fires often produce larger amounts of
smoke and last longer than forest fires, however few studies have reported on
their toxicity. Moreover, reliable alternatives to traditional animal toxicity
testing are needed to reduce the number of animals required for hazard
identification and risk assessments. METHODS: Size-fractionated particulate
matter (PM; ultrafine, fine, and coarse) were obtained from the peat fire while
smoldering (ENCF-1) or when nearly extinguished (ENCF-4). Extracted samples were
analyzed for chemical constituents and endotoxin content. Female CD-1 mice were
exposed via oropharyngeal aspiration to 100 MUg/mouse, and assessed for relative
changes in lung and systemic markers of injury and inflammation. At 24 h post
exposure, hearts were removed for ex vivo functional assessments and ischemic
challenge. Lastly, 8 mm diameter lung slices from CD-1 mice were exposed (11 MUg)
+/- co-treatment of PM with polymyxin B (PMB), an endotoxin-binding compound.
RESULTS: On an equi-mass basis, coarse ENCF-1 PM had the highest endotoxin
content and elicited the greatest pro-inflammatory responses in the mice
including: increases in bronchoalveolar lavage fluid protein, cytokines (IL-6,
TNF-alpha, and MIP-2), neutrophils and intracellular reactive oxygen species
(ROS) production. Exposure to fine or ultrafine particles from either period
failed to elicit significant lung or systemic effects. In contrast, mice exposed
to ENCF-1 ultrafine PM developed significantly decreased cardiac function and
greater post-ischemia-associated myocardial infarction. Finally, similar
exposures to mouse lung slices induced comparable patterns of cytokine
production; and these responses were significantly attenuated by PMB.
CONCLUSIONS: The findings suggest that exposure to coarse PM collected during a
peat fire causes greater lung inflammation in association with endotoxin and ROS,
whereas the ultrafine PM preferentially affected cardiac responses. In addition,
lung tissue slices were shown to be a predictive, alternative assay to assess pro
inflammatory effects of PM of differing size and composition. Importantly, these
toxicological findings were consistent with the cardiopulmonary health effects
noted in epidemiologic reports from exposed populations.
PMID- 24934162
TI - An unusual case of homicide with a crossbow and a hunting knife.
AB - Traumatic lethal injuries caused by crossbows are a rarity in forensic pathology.
They occur as accidents, suicide and, more rarely, as murders. We report a case
of murder received at the Institute of Legal Medicine in Milan carried out by two
weapons - a crossbow and a hunting knife - that resulted in multiple thoraco
abdominal wounds. The case is also rare because of the unique circumstances
involving the victim - a local service psychiatrist - and the perpetrator - a
former medical specialist in psychiatry, suffering from a delusional psychotic
disorder. The crossbow was the decisive instrument in the realization of the
murder; it was chosen with premeditation for its high destructive capacity and
the fact that it was easily obtainable, and it was used with darts that were
specially modified to increase its harmful capacity. The presented case, as well
as providing an opportunity to highlight the damaging effects of a rarely used
weapon, stresses the problems inherent with access to tools such as the crossbow
that are used in sport, and which are potentially dangerous but easily
accessible, even to those with serious mental disorders, rendering them legally
unfit for using firearms.
PMID- 24934164
TI - eHealth and mHealth initiatives in Bangladesh: a scoping study.
AB - BACKGROUND: The health system of Bangladesh is haunted by challenges of
accessibility and affordability. Despite impressive gains in many health
indicators, recent evidence has raised concerns regarding the utilization,
quality and equity of healthcare. In the context of new and unfamiliar public
health challenges including high population density and rapid urbanization,
eHealth and mHealth are being promoted as a route to cost-effective, equitable
and quality healthcare in Bangladesh. The aim of this paper is to highlight such
initiatives and understand their true potential. METHODS: This scoping study
applies a combination of research tools to explore 26 eHealth and mHealth
initiatives in Bangladesh. A screening matrix was developed by modifying the
framework of Arksey & O'Malley, further complemented by case study and SWOT
analysis to identify common traits among the selected interventions. The WHO
health system building blocks approach was then used for thematic analysis of
these traits. RESULTS: Findings suggest that most eHealth and mHealth initiatives
have proliferated within the private sector, using mobile phones. The most common
initiatives include tele-consultation, prescription and referral. While a
minority of projects have a monitoring and evaluation framework, less than a
quarter have undertaken evaluation. Most of the initiatives use a health
management information system (HMIS) to monitor implementation. However, these do
not provide for effective sharing of information and interconnectedness among the
various actors. There are extremely few individuals with eHealth training in
Bangladesh and there is a strong demand for capacity building and experience
sharing, especially for implementation and policy making. There is also a lack of
research evidence on how to design interventions to meet the needs of the
population and on potential benefits. CONCLUSION: This study concludes that
Bangladesh needs considerable preparation and planning to sustain eHealth and
mHealth initiatives successfully. Additional formative and operational research
is essential to explore the true potential of the technology. Frameworks for
regulation in regards to eHealth governance should be the aim of future research
on the integration of eHealth and mHealth into the Bangladesh health system.
PMID- 24934163
TI - Life-space mobility and mortality in older men: a prospective cohort study.
AB - OBJECTIVES: To evaluate the relationship between life-space (the extent,
frequency, and independence of an individual's movement) and mortality in older
men. DESIGN: Prospective cohort study. SETTING: Six U.S. clinical sites.
PARTICIPANTS: Men aged 71 to 98 followed from 2007 to 2011 (N = 3,892).
MEASUREMENTS: Life-space during the past month was assessed as 0 (daily
restriction to one's bedroom) to 120 (daily trips outside one's town without
assistance) and categorized into 20-point intervals. The primary outcome was
noncancer mortality, and secondary outcomes were all-cause, cardiovascular,
cancer, and noncardiovascular noncancer mortality. RESULTS: Over 2.7 years (2007
2011), 373 (9.6%) men died, 230 from noncancer causes. Unadjusted risk of
noncancer mortality was 41.2% in men with the lowest level of life-space (0-20
points, n = 34) and 2.4% in men with the highest level of life-space (101-120
points, n = 868), a 17 times difference. In multivariable-adjusted models, there
was a strong linear trend between decreasing life-space and increasing risk of
noncancer mortality (P = .005). Risk of noncancer mortality was 3.8 times higher
(95% confidence interval (CI)=1.3,11.5) in men with the lowest life-space than in
those with the highest life-space. Risk of noncancer mortality was 1.3 times
higher (95% CI=1.1-1.5) for each standard-deviation (24 point) decrease in life
space. Risk of noncancer mortality was 1.5 times higher (95% CI=1.0-2.3) in men
who did not travel beyond their neighborhood without assistance (n = 471).
Results were similar for all-cause mortality and did not change after control for
chronic disease burden. CONCLUSION: Life-space predicted a variety of mortality
endpoints in older men; scores of 40 or less were associated with mortality
independent of other risk factors.
PMID- 24934165
TI - Modulation of Doxorubicin mediated growth inhibition of hepatocellular carcinoma
cells by platelet lysates.
AB - PURPOSE: Platelet extracts can stimulate cell growth and contribute to tumor
biology. It was recently shown that they stimulate the growth of hepatocellular
carcinoma (HCC) cells and decrease apoptosis. Doxorubicin is a commonly used HCC
chemotherapy that increases apoptosis. We therefore examined the effects of
platelet lysates (hPL) on doxorubicin-mediated HCC cell growth inhibition and
apoptosis induction. METHODS: Three human HCC cell lines, PLC/PRF/5, Hep3B and
HepG2 cells, were grown in culture and growth was measured by the MTT assay and
apoptosis was measured using Muse Annexin V assay kit. Cells were also probed by
Western blot. RESULTS: hPL decreased doxorubicin-mediated growth inhibition and
apoptosis induction in all three cell lines. When doxorubicin and hPL were added
at separate time intervals, protection by hPL was also observed. WB showed that
hPL caused prolonged and increased levels of phospho-JNK and phospho-p38.
Furthermore, a p38 inhibitor abrogated the modulating effects of hPL on both
growth and apoptosis, indicating its importance in mediating hPL actions. WBs
also showed that hPL decreased doxorubicin-induced markers of apoptosis.
CONCLUSIONS: hPL modulate the actions of the cancer chemotherapeutic agent,
doxorubicin. Platelets are part of the complex microenvironmental milieu and
their effects may contribute to a modulation of chemotherapy actions. Conversely,
drugs that alter platelet levels or degranulation could potentially augment
doxorubicin actions on HCC cells.
PMID- 24934166
TI - Protein transport into the human ER and related diseases, Sec61-channelopathies.
AB - Protein transport into the human endoplasmic reticulum (ER) is relevant to the
biogenesis of most soluble and membrane proteins of organelles, which are
involved in endo- or exo-cytsosis. It involves amino-terminal signal peptides in
the precursor polypeptides and various transport components in the cytosol plus
the ER, and can occur co- or post-translationally. The two mechanisms merge at
the level of the ER membrane, specifically at the level of the heterotrimeric
Sec61 complex, which forms a dynamic polypeptide-conducting channel in the ER
membrane. Since the mammalian ER is also the main intracellular calcium storage
organelle, and the Sec61 complex is calcium permeable, the Sec61 complex is
tightly regulated in its equilibrium between the closed and open conformations,
or "gated", by ligands, such as signal peptides of the transport substrates and
the ER lumenal Hsp70-type molecular chaperone BiP. Furthermore, BiP binding to
the incoming polypeptide contributes to the efficiency and unidirectionality of
transport. Recent insights into the structure and dynamic equilibrium of the
Sec61 complex have various mechanistic as well as medical implications.
PMID- 24934167
TI - Impact of routine use of surgical drains on incidence of complications with robot
assisted radical prostatectomy.
AB - PURPOSE: To assess the impact of eliminating routine drain placement in patients
undergoing robot-assisted laparoscopic prostatectomy (RALP) and pelvic lymph node
dissection (PLND) on the risk of postoperative complications. PATIENTS AND
METHODS: An experienced single surgeon performed RALP on 651 consecutive patients
at our institution from 2008 to 2012. Before August 2011, RALP with or without
PLND included a routine peritoneal drain placed during surgery. Thereafter,
routine intraoperative placement of drains was omitted, except for
intraoperatively noted anastomotic leakage. We used multivariable logistic
regression to compare complication rates between study periods and the actual
drain placement status after adjusting for standard prespecified covariates.
RESULTS: Most patients (92%) did not have >=grade 2 complications after surgery
and only two patients (0.3%) experienced a grade 4 complication. The absolute
adjusted risk of a grade 2-5 complication was 0.9% greater among those treated
before August 2011 (95% confidence interval [CI] -3.3%-5.1%; p=0.7), while
absolute adjusted risk of a grade 3-5 complication was 2.8% less (-2.8%; 95% CI
5.3%-0.1%; p=0.061). RESULTS based on drain status were similar. CONCLUSIONS:
Routine peritoneal drain placement following RALP with PLND did not confer a
significant advantage in terms of postoperative complications. Further data are
necessary to confirm that it is safe to omit drains in most patients.
PMID- 24934168
TI - Cannabinoid-free Cannabis sativa L. grown in the Po valley: evaluation of fatty
acid profile, antioxidant capacity and metabolic content.
AB - Within a project aimed to reintroduce non-drug hemp cultivars in the Italian Po
valley, for fibre but also high added-value nutraceutical production,
investigation on locally grown plants has been performed, in order to assess
their oil and metabolic content. This study provides useful information regarding
three different hemp cultivars, from two sites, in view of their potential
industrial application. The oil was characterised by a high unsaturated/saturated
fatty acid ratio and by an almost perfect balance of omega-3 and omega-6 fatty
acids, as requested for healthy foods. The alcoholic extracts, for which a high
content of amino acids and phenolic compounds has been highlighted, could provide
dietary supplements to help in preventing oxidative stress. By investigating the
Carmagnola cultivar, six known and four new lignanamides have been identified,
confirming and assessing the general metabolic pattern in the seeds of these
locally grown plants.
PMID- 24934169
TI - Axillary reverse mapping: Is it feasible in locally advanced breast cancer
patients?
AB - INTRODUCTION: Axillary dissection is associated with a high incidence of
lymphedema, which has been brought down with the introduction of sentinel lymph
node biopsy (SLNB) in patients with early breast cancer. However, sentinel lymph
node biopsy is not widely accepted in patients of locally advanced breast cancer
(LABC) [T3N1, Any T4, Any N2-3 with no distant metastasis] after neo-adjuvant
chemotherapy (NACT) and these patients routinely undergo axillary lymph node
clearance. Axillary reverse mapping (ARM) with blue dye has the potential to
differentiate the arm lymphatics from the breast lymphatics and it can be used to
decrease lymphedema in patients undergoing ALND by preserving these lymphatics.
However, ARM in LABC patients is yet to be accepted as the standard of care.
MATERIALS AND METHODS: 51 patients of locally advanced breast carcinoma were
included in the study from May 2011 to May 2012. All patients received neo
adjuvant chemotherapy followed by modified radical mastectomy. Axillary reverse
mapping (ARM) was carried out using blue dye. 2 ml of methylene blue dye was
injected intradermal, upper medial aspect of the ipsilateral arm. The number,
size and site and distribution of lymph nodes identified were recorded and the
nodes were labelled as ARM nodes and complete axillary dissection was carried
out. RESULTS: Blue nodes were identified in 45 (88.2%) out of the 51 patients.
The average number of ARM nodes identified was 4.03 +/- 0.28 [range 1-8]. In
majority (77.8%) of the cases, nodes were located in the triangle formed by
axillary vein above, below by the first intercostobrachial nerve and medially by
the chest wall/serratus anterior. In patients with complete or partial response
to NACT, ARM and breast axillary LN were negative in 63.3% patients whereas 36.6%
had positive breast but negative ARM nodes. In this study we did not intend to
preserve any ARM nodes but in 90% of these cases, at least one ARM node had to be
removed or was injured during axillary clearance. ARM nodes could be identified
in 15 (83.3%) out of the 18 patients with stable or progressive disease following
ARM. 12 (80%) out of these 15 cases demonstrated positive ARM and breast LN
whereas 3 (20%) patients had positive breast but negative ARM nodes. Skin
tattooing (82.3%) was the most common complication observed in our study.
CONCLUSIONS: Identification rates of ARM nodes can be improved by injecting the
blue dye in the upper medial aspect of the arm at the time of induction. Majority
of the arm nodes lie between the axillary vein and the first intercostobrachial
nerve. It is difficult to preserve the ARM nodes in patients of LABC, who have
had good response to NACT and in patients of LABC with poor response to NACT, the
incidence of metastasis in ARM nodes is quite high. Therefore, ARM is not a
feasible option in patients with locally advanced breast cancer.
PMID- 24934171
TI - Using the Knowledge, Process, Practice (KPP) model for driving the design and
development of online postgraduate medical education.
AB - BACKGROUND: Online learning is a primary delivery method for continuing health
education programs. It is critical that programs have curricula objectives linked
to educational models that support learning. Using a proven educational modelling
process ensures that curricula objectives are met and a solid basis for learning
and assessment is achieved. AIM: To develop an educational design model that
produces an educationally sound program development plan for use by anyone
involved in online course development. METHODS: We have described the development
of a generic educational model designed for continuing health education programs.
The Knowledge, Process, Practice (KPP) model is founded on recognised educational
theory and online education practice. This paper presents a step-by-step guide on
using this model for program development that encases reliable learning and
evaluation. RESULTS: The model supports a three-step approach, KPP, based on
learning outcomes and supporting appropriate assessment activities. It provides a
program structure for online or blended learning that is explicit, educationally
defensible, and supports multiple assessment points for health professionals.
CONCLUSION: The KPP model is based on best practice educational design using a
structure that can be adapted for a variety of online or flexibly delivered
postgraduate medical education programs.
PMID- 24934170
TI - Characterizing breast cancer treatment pathways in Kumasi, Ghana from onset of
symptoms to final outcome: outlook towards cancer control.
AB - BACKGROUND: Cancer rates are increasing in Africa, including Ghana. Breast cancer
is the second most common cancer in incidence and mortality in Ghana. OBJECTIVE:
We outlined both breast cancer patient characteristics and management at the
Komfo Anokye Teaching Hospital (KATH), the main cancer management hospital in
central Ghana. Moreover, we identified the treatment interventions predictive of
patient outcome. METHODS: Medical records of 597 breast cancer patients seen in
2008-2011 were abstracted to investigate management and treatment patterns.
Abstracted variables included type and extent of surgery, number and cycles of
chemotherapy and radiotherapy, as well as the course of treatment completed.
RESULTS: Late stage at diagnosis was common, treatment plans of the study
hospital were relatively standardized according to disease severity, and
defaulting/interrupting treatment in the records was also common. Patients
diagnosed with late stage cancer who received adjuvant therapy and patients with
hormone status evaluation were more likely to have complied with treatment
guidelines and continued oncotherapy at the study hospital than those who never
had hormone status requested or reported. CONCLUSIONS: Our study lends support to
improving patient outcomes in low- and middle-income countries through raising
knowledge and reporting of tumor hormonal status and providing appropriately
tailored treatment. Achieving improved outcomes should also consider enhancing
public understanding of the importance of early detection and completion of
treatment.
PMID- 24934172
TI - Subcellular Relocalization and Positive Selection Play Key Roles in the Retention
of Duplicate Genes of Populus Class III Peroxidase Family.
AB - Gene duplication is the primary source of new genes and novel functions. Over the
course of evolution, many duplicate genes lose their function and are eventually
removed by deletion. However, some duplicates have persisted and evolved diverse
functions. A particular challenge is to understand how this diversity arises and
whether positive selection plays a role. In this study, we reconstructed the
evolutionary history of the class III peroxidase (PRX) genes from the Populus
trichocarpa genome. PRXs are plant-specific enzymes that play important roles in
cell wall metabolism and in response to biotic and abiotic stresses. We found
that two large tandem-arrayed clusters of PRXs evolved from an ancestral cell
wall type PRX to vacuole type, followed by tandem duplications and subsequent
functional specification. Substitution models identified seven positively
selected sites in the vacuole PRXs. These positively selected sites showed
significant effects on the biochemical functions of the enzymes. We also found
that positive selection acts more frequently on residues adjacent to, rather than
directly at, a critical active site of the enzyme, and on flexible regions rather
than on rigid structural elements of the protein. Our study provides new insights
into the adaptive molecular evolution of plant enzyme families.
PMID- 24934173
TI - The Functional Topography of the Arabidopsis Genome Is Organized in a Reduced
Number of Linear Motifs of Chromatin States.
AB - Chromatin is of major relevance for gene expression, cell division, and
differentiation. Here, we determined the landscape of Arabidopsis thaliana
chromatin states using 16 features, including DNA sequence, CG methylation,
histone variants, and modifications. The combinatorial complexity of chromatin
can be reduced to nine states that describe chromatin with high resolution and
robustness. Each chromatin state has a strong propensity to associate with a
subset of other states defining a discrete number of chromatin motifs. These
topographical relationships revealed that an intergenic state, characterized by
H3K27me3 and slightly enriched in activation marks, physically separates the
canonical Polycomb chromatin and two heterochromatin states from the rest of the
euchromatin domains. Genomic elements are distinguished by specific chromatin
states: four states span genes from transcriptional start sites (TSS) to
termination sites and two contain regulatory regions upstream of TSS. Polycomb
regions and the rest of the euchromatin can be connected by two major chromatin
paths. Sequential chromatin immunoprecipitation experiments demonstrated the
occurrence of H3K27me3 and H3K4me3 in the same chromatin fiber, within a two to
three nucleosome size range. Our data provide insight into the Arabidopsis genome
topography and the establishment of gene expression patterns, specification of
DNA replication origins, and definition of chromatin domains.
PMID- 24934174
TI - Transposable Element Insertion and Epigenetic Modification Cause the Multiallelic
Variation in the Expression of FAE1 in Sinapis alba.
AB - Naturally occurring heritable variation provides a fundamental resource to reveal
the genetic and molecular bases of traits in forward genetic studies. Here, we
report the molecular basis of the differences in the four alleles E1, E2, E3, and
e of the FATTY ACID ELONGATION1 (FAE1) gene controlling high, medium, low, and
zero erucic content in yellow mustard (Sinapis alba). E1 represents a fully
functional allele with a coding DNA sequence (CDS) of 1521 bp and a promoter
adjacent to the CDS. The null allele e resulted from an insertional disruption in
the CDS by Sal-PIF, a 3100-bp PIF/Harbinger-like DNA transposon, whereas E2 and
E3 originated from the insertion of Sal-T1, a 4863-bp Copia-like retrotransposon,
in the 5' untranslated region. E3 was identical to E2 but showed cytosine
methylation in the promoter region and was thus an epiallele having a further
reduction in expression. The coding regions of E2 and E3 also contained five
single-nucleotide polymorphisms (SNPs) not present in E1, but expression studies
in Saccharomyces cerevisiae indicated that these SNPs did not affect enzyme
functionality. These results demonstrate a comprehensive molecular framework for
the interplay of transposon insertion, SNP/indel mutation, and epigenetic
modification influencing the broad range of natural genetic variation in plants.
PMID- 24934175
TI - NetworkViewer: visualizing biochemical reaction networks with embedded rendering
of molecular interaction rules.
AB - BACKGROUND: Network representations of cell-biological signaling processes
frequently contain large numbers of interacting molecular and multi-molecular
components that can exist in, and switch between, multiple biochemical and/or
structural states. In addition, the interaction categories (associations,
dissociations and transformations) in such networks cannot satisfactorily be
mapped onto simple arrows connecting pairs of components since their
specifications involve information such as reaction rates and conditions with
regard to the states of the interacting components. This leads to the challenge
of having to reconcile competing objectives: providing a high-level overview
without omitting relevant information, and showing interaction specifics while
not overwhelming users with too much detail displayed simultaneously. This
problem is typically addressed by splitting the information required to
understand a reaction network model into several categories that are rendered
separately through combinations of visualizations and/or textual and tabular
elements, requiring modelers to consult several sources to obtain comprehensive
insights into the underlying assumptions of the model. RESULTS: We report the
development of an application, the Simmune NetworkViewer, that visualizes
biochemical reaction networks using iconographic representations of protein
interactions and the conditions under which the interactions take place using the
same symbols that were used to specify the underlying model with the Simmune
Modeler. This approach not only provides a coherent model representation but,
moreover, following the principle of "overview first, zoom and filter, then
details-on-demand," can generate an overview visualization of the global network
and, upon user request, presents more detailed views of local sub-networks and
the underlying reaction rules for selected interactions. This visual integration
of information would be difficult to achieve with static network representations
or approaches that use scripted model specifications without offering simple but
detailed symbolic representations of molecular interactions, their conditions and
consequences in terms of biochemical modifications. CONCLUSIONS: The Simmune
NetworkViewer provides concise, yet comprehensive visualizations of reaction
networks created in the Simmune framework. In the near future, by adopting the
upcoming SBML standard for encoding multi-component, multi-state molecular
complexes and their interactions as input, the NetworkViewer will, moreover, be
able to offer such visualization for any rule-based model that can be exported to
that standard.
PMID- 24934176
TI - Preoperative beta-blockade in coronary artery bypass grafting surgery.
PMID- 24934178
TI - Liver X receptor beta is essential for the differentiation of radial glial cells
to oligodendrocytes in the dorsal cortex.
AB - Several psychiatric disorders are associated with aberrant white matter
development, suggesting oligodendrocyte and myelin dysfunction in these diseases.
There are indications that radial glial cells (RGCs) are involved in initiating
myelination, and may contribute to the production of oligodendrocyte progenitor
cells (OPCs) in the dorsal cortex. Liver X receptors (LXRs) are involved in
maintaining normal myelin in the central nervous system (CNS), however, their
function in oligodendrogenesis and myelination is not well understood. Here, we
demonstrate that loss of LXRbeta function leads to abnormality in locomotor
activity and exploratory behavior, signs of anxiety and hypomyelination in the
corpus callosum and optic nerve, providing in vivo evidence that LXRbeta deletion
delays both oligodendrocyte differentiation and maturation. Remarkably, along the
germinal ventricular zone-subventricular zone and corpus callosum there is
reduced OPC production from RGCs in LXRbeta(-/-) mice. Conversely, in cultured
RGC an LXR agonist led to increased differentiation into OPCs. Collectively,
these results suggest that LXRbeta, by driving RGCs to become OPCs in the dorsal
cortex, is critical for white matter development and CNS myelination, and point
to the involvement of LXRbeta in psychiatric disorders.
PMID- 24934177
TI - Adenomatous polyposis coli protein deletion leads to cognitive and autism-like
disabilities.
AB - Intellectual disabilities (IDs) and autism spectrum disorders link to human APC
inactivating gene mutations. However, little is known about adenomatous polyposis
coli's (APC's) role in the mammalian brain. This study is the first direct test
of the impact of APC loss on central synapses, cognition and behavior. Using our
newly generated APC conditional knock-out (cKO) mouse, we show that deletion of
this single gene in forebrain neurons leads to a multisyndromic
neurodevelopmental disorder. APC cKO mice, compared with wild-type littermates,
exhibit learning and memory impairments, and autistic-like behaviors (increased
repetitive behaviors, reduced social interest). To begin to elucidate neuronal
changes caused by APC loss, we focused on the hippocampus, a key brain region for
cognitive function. APC cKO mice display increased synaptic spine density, and
altered synaptic function (increased frequency of miniature excitatory synaptic
currents, modestly enhanced long-term potentiation). In addition, we found
excessive beta-catenin levels and associated changes in canonical Wnt target gene
expression and N-cadherin synaptic adhesion complexes, including reduced levels
of presenilin1. Our findings identify some novel functional and molecular changes
not observed previously in other genetic mutant mouse models of co-morbid
cognitive and autistic-like disabilities. This work thereby has important
implications for potential therapeutic targets and the impact of their
modulation. We provide new insights into molecular perturbations and cell types
that are relevant to human ID and autism. In addition, our data elucidate a novel
role for APC in the mammalian brain as a hub that links to and regulates synaptic
adhesion and signal transduction pathways critical for normal cognition and
behavior.
PMID- 24934179
TI - Cytokine aberrations in autism spectrum disorder: a systematic review and meta
analysis.
AB - The role of non-diagnostic features in the pathophysiology of autism spectrum
disorders (ASDs) is unclear. Increasing evidence suggests immune system
alterations in ASD may be implicated in the severity of behavioral impairment and
other developmental outcomes. The primary objective of this meta-analysis was to
investigate if there is a characteristic abnormal cytokine profile in ASD
compared with healthy controls (HCs). We identified relevant studies following a
search of MEDLINE, EMBASE, PsycINFO, Web of Knowledge and Scopus. A meta-analysis
was performed on studies comparing plasma and serum concentrations of cytokines
in unmedicated participants with ASD and HCs. Results were reported according to
PRISMA statement. Seventeen studies with a total sample size of 743 participants
with ASD and 592 HC were included in the analysis. Nineteen cytokines were
assessed. Concentrations of interleukin (IL)-1beta (P<0.001), IL-6 (P=0.03), IL-8
(P=0.04), interferon-gamma (P=0.02), eotaxin (P=0.01) and monocyte chemotactic
protein-1 (P<0.05) were significantly higher in the participants with ASD
compared with the HC group, while concentrations of transforming growth factor
beta1 were significantly lower (P<0.001). There were no significant differences
between ASD participants and controls for the other 12 cytokines analyzed. The
findings of our meta-analysis identified significantly altered concentrations of
cytokines in ASD compared to HCs, strengthening evidence of an abnormal cytokine
profile in ASD where inflammatory signals dominate.
PMID- 24934180
TI - Generating anatomical variation through mutations in networks - implications for
evolution.
AB - Genetic mutation leads to anatomical variation only indirectly because many
proteins involved in generating anatomical structures in embryos operate
cooperatively within molecular networks. These include gene-regulatory or control
networks (CNs) for timing, signaling and patterning together with the process
networks (PNs) for proliferation, apoptosis, differentiation and morphogenesis
that they control. This paper argues that anatomical variation is achieved
through a two-stage process: mutation alters the outputs of CNs and perhaps the
proliferation network, and such changed outputs alter the ways that PNs construct
tissues. This systems-biology approach has several implications: first, because
networks contain many cooperating proteins, they amplify the effects of genetic
variation so enabling mutation to generate a wider range of phenotypes than a
single changed protein acting alone could. Second, this amplification helps
explain how novel phenotypes can be produced relatively rapidly. Third, because
even organisms with novel anatomical phenotypes derive from variants in standard
networks, there is no genetic barrier to their producing viable offspring. This
approach also clarifies a terminological difficulty: classical evolutionary
genetics views genes in terms of phenotype heritability rather than as DNA
sequences. This paper suggests that the molecular phenotype of the classical
concept of a gene is often a protein network, with a mutation leading to an
alteration in that network's dynamics.
PMID- 24934181
TI - Interventions for family members of adolescents with disruptive behavior
disorders.
AB - PROBLEM: The family members of adolescents diagnosed with disruptive behavior
disorders (DBDs) experience profound stress and burden. Despite the need for
empirically supported interventions that address the challenges faced by these
family members, few such interventions are available. METHODS: In this
qualitative descriptive study, we conducted in-depth interviews with 15 families
of adolescents diagnosed with DBD. We asked the family members to identify what
types of mental health services they needed and to describe the "ideal" program
that would best address their concerns. FINDINGS: Family members identified
several intervention modalities that would fit their needs, including multifamily
groups, family therapy, individual therapy, and community-based hotlines. They
indicated that programs should address the following topics: family
communication, conflict resolution, education about DBD, and strategies to
improve interactions with child service agencies. CONCLUSIONS: Clinicians should
recognize that all family members may need support to manage the stressors
associated with caring for or living with adolescents with DBD. When working with
families, clinicians should provide information about the etiology and management
of DBD, help navigate interactions with child service agencies, and employ
strategies to improve family communication and functioning.
PMID- 24934183
TI - Synthesis and catalytic application of PVP-coated Ru nanoparticles embedded in a
porous metal-organic framework.
AB - A hybrid catalyst consisting of polymer-coated Ru nanoparticles (Ru-PVP, PVP:
poly(N-vinyl-2-pyrrolidone)) embedded in a porous metal-organic framework of ZIF
8 (Ru-PVP@ZIF-8) was synthesized by the crystallization of ZIF-8 in a methanol
solution of Ru-PVP. The structural properties of Ru-PVP@ZIF-8 were examined by N2
gas adsorption, infrared spectra, and X-ray powder diffraction measurements. We
successfully identified the most appropriate pretreatment conditions for surface
activation of the Ru nanoparticles in the catalyst. The pretreated Ru-PVP@ZIF-8
was applied for a CO oxidation reaction with H2 gas feeds. Ru-PVP@ZIF-8 was found
to exhibit higher catalytic activities and higher CO2 selectivity than those
observed on a carbon-supported Ru-PVP (Ru-PVP/C), implying that the pores of the
ZIF-8 provide a more suitable environment for the reaction with O2 and CO gases.
PMID- 24934182
TI - CHRNA5 variants moderate the effect of nicotine deprivation on a neural index of
cognitive control.
AB - Individuals with reduced attention and memory cognitive control-related processes
may be motivated to smoke as a result of the cognitive enhancing effects of
nicotine. Further, nicotine deprivation-induced reductions in cognitive control
may negatively reinforce smoking. Minor allele carriers at rs16969968 in the
nicotinic acetylcholine receptor alpha5 subunit gene (CHRNA5) have been shown to
exhibit both reduced cognitive control and greater nicotine dependence. It is
therefore of interest to see if variants in this gene moderate the influence of
nicotine deprivation on cognitive control. P3b and P3a components of the event
related brain potential waveform evoked by a three-stimulus visual oddball task
are widely viewed as positive indices of cognitive control-related processes. We
tested the hypothesis that individuals possessing at least one minor allele at
rs16969968 in CHRNA5 would show greater nicotine deprivation-induced reductions
in P3b and P3a amplitude. The sample included 72 non-Hispanic, Caucasian heavy
smokers (54 men and 18 women) with a mean age of 36.11 years (SD = 11.57).
Participants completed the visual oddball task during counterbalanced nicotine
and placebo smoking sessions. Findings indicated that rs16969968 status did not
moderate nicotine effects on P3b or P3a, whereas variation in other CHRNA5
polymorphisms, which are not as well characterized and are not in linkage
disequilibrium with rs16969968, predicted nicotine deprivation-induced reduction
of P3a amplitude: rs588765 (F1,68 = 7.74, P = 0.007) and rs17408276 (F1,67 =
7.34, P = 0.009). Findings are interpreted in the context of vulnerability
alleles that may predict nicotine effects on cognitive control.
PMID- 24934184
TI - Drug repurposing based on drug-drug interaction.
AB - Given the high risk and lengthy procedure of traditional drug development, drug
repurposing is gaining more and more attention. Although many types of drug
information have been used to repurpose drugs, drug-drug interaction data, which
imply possible physiological effects or targets of drugs, remain unexploited. In
this work, similarity of drug interaction was employed to infer similarity of the
physiological effects or targets for the drugs. We collected 10,835 drug-drug
interactions concerning 1074 drugs, and for 700 of them, drug similarity scores
based on drug interaction profiles were computed and rendered using a drug
association network with 589 nodes (drugs) and 2375 edges (drug similarity
scores). The 589 drugs were clustered into 98 groups with Markov Clustering
Algorithm, most of which were significantly correlated with certain drug
functions. This indicates that the network can be used to infer the physiological
effects of drugs. Furthermore, we evaluated the ability of this drug association
network to predict drug targets. The results show that the method is effective
for 317 of 561 drugs that have known targets. Comparison of this method with the
structure-based approach shows that they are complementary. In summary, this
study demonstrates the feasibility of drug repurposing based on drug-drug
interaction data.
PMID- 24934186
TI - Interview with Martina Stenzel.
PMID- 24934185
TI - An investigation of Candida species isolated from blood cultures during 17 years
in a university hospital.
AB - Candidemia is the most frequent manifestation observed with invasive candidiasis.
The aim of this study was to analyse the trends of candidemia in a large tertiary
care hospital to determine the overall incidence during January 1996-December
2012, as well as to determine the susceptibility of 453 isolates according to the
revised Clinical and Laboratory Standards Institute (CLSI) breakpoints.
Candidemia episodes in adult and paediatric patients were retrospectively
analysed from the laboratory data of Uludag University Healthcare and Research
Hospital. The 17-year period studied was divided into three periods (1996-2001,
2002-2007 and 2008-2012) for better comparison, and candidemia incidence was
determined by the ratio of total number of patients with candidemia per 1000
patients admitted to the hospital and per 10 000 patient days in these three
periods. Redefined CLSI M27-A3 breakpoints were used for interpretation of
antifungal susceptibility results. Candidemia incidence was determined as 2.2,
1.7 and 1.5 per 1000 admitted patients during 1996-2001, 2002-2007 and 2008-2012
respectively. A significantly decreased candidemia incidence was obtained in the
third period. C. albicans (43.8%) was the most common candidemia agent, followed
by C.parapsilosis (26.5%) in all three periods. According to the revised CLSI
breakpoints, there was fluconazole resistance in C. albicans, C.parapsilosis,
C.tropicalis and C.glabrata species (1.4%, 18.2%, 2.6% and 14.3% respectively).
Almost all Candida species were found susceptible to voriconazole except one
C.glabrata (7.1%) isolate. Candidemia is an important health problem. Local
epidemiological data are determinative in the choice of appropriate antifungal
treatment agents.
PMID- 24934187
TI - Comparative gene expression analyses reveal heterochrony for Sox9 expression in
the cranial neural crest during marsupial development.
AB - Compared to placental mammals, marsupials have short gestation period, and their
neonates are relatively immature. Despite these features, marsupial neonates must
travel from the birth canal to the teat, suckle and digest milk to complete
development. Thus, certain organs and tissues of marsupial neonates, such as
forelimbs to crawl and jaw elements to suckle, must develop early. Previous
reports showed that cranial neural crest (CNC) cells, as the source of
ectomesenchyme of jaw elements, are generated significantly early in gray short
tailed opossum (Monodelphis domestica) compared to other amniote models, such as
mouse. In this study, we examined the expression of genes known to be important
for neural crest formation, such as BMP2/BMP4 (neural crest inducer), Pax7
(neural border specifier), Snail1 and Sox9/Sox10 (neural crest specifier) in
Monodelphis domestica, and compared the expression patterns with those in mouse,
chicken, and gecko embryos. Among those genes, the expression of Sox9 was turned
on early and broadly in the premigratory CNC cells, and persisted in the
ectomesenchyme of the cranial anlagen in opossum embryos. In contrast, Sox9
expression diminished in the CNC cells of other animals at the early phase of
migration. Comparison of the onset of Pax7 and Sox9 expression revealed that Sox9
expression in the prospective CNC was earlier and broader than Pax7 expression in
opossum, suggesting that the sequence of border specification and neural crest
specification is altered. This study provides the first clue for understanding
the molecular basis for the heterochronic development of the CNC cells and jaw
elements in marsupials.
PMID- 24934188
TI - Connective tissue diseases: Epstein-Barr virus in Sjogren's syndrome salivary
glands drives local autoimmunity.
PMID- 24934190
TI - Rheumatoid arthritis: Who knows why regulatory T cells are defective in RA ...
IDO.
PMID- 24934189
TI - Vasculitis: determinants of disease patterns.
AB - The vasculitides are a large group of heterogeneous diseases for which it has
been assumed that pathogenesis is largely autoimmune. As clinicians, we
distinguish one form of vasculitis from another on the basis of observed patterns
of organ injury, the size of the vessels affected and histopathological findings.
The terms 'small-vessel', 'medium-vessel' and 'large-vessel' vasculitis are
useful clinical descriptors, but fail to inform us about why vessels of a certain
calibre are favoured by one disease and not another. Classification based on
vessel size also fails to consider that vessels of a specific calibre are not
equally prone to injury. Distinct vulnerabilities undoubtedly relate to the fact
that same-size vessels in different tissues may not be identical conduits. In
fact, vessels become specialized, from the earliest stages of embryonic
development, to suit the needs of different anatomical locations. Vessels of the
same calibre in different locations and organs are as different as the organ
parenchymal cells through which they travel. The dialogue between developing
vessels and the tissues they perfuse is designed to meet special local needs.
Added to the story of vascular diversity and vulnerability are changes that occur
during growth, development and ageing. An improved understanding of the unique
territorial vulnerabilities of vessels could form the basis of new hypotheses for
the aetiopathogenesis of the vasculitides. This Review considers how certain
antigens, including infectious agents, might become disease-relevant and how
vascular diversity could influence disease phenotypes and the spectrum of
vascular inflammatory diseases.
PMID- 24934192
TI - Autoimmunity: regulatory B cells--IL-35 and IL-21 regulate the regulators.
AB - IL-21 regulates the activity and number of IL-10-producing regulatory B cells
(B10 cells) that modulate immune responses and limit diverse autoimmune diseases.
A new study demonstrates that IL-35 has a similar function. Identifying
regulatory circuits that control B10-cell function in vivo might open the door to
future treatments for autoimmune diseases.
PMID- 24934191
TI - Defining populations at risk of rheumatoid arthritis: the first steps to
prevention.
AB - Preventing disease is a public health priority. In recent years, this focus has
evolved to include noncommunicable chronic diseases such as cardiovascular
disease and diabetes mellitus but is novel in rheumatic diseases such as
rheumatoid arthritis (RA). In order to prevent RA, the 'at-risk' populations need
to be defined. To date, a number of studies have attempted to clarify our
understanding of these cohorts and how they could be identified. Suggested
terminology has now been published to define individuals who might go on to
develop RA. This Review considers categories of these 'at-risk' individuals, with
a focus on those with systemic autoimmunity. Trials in very early RA demonstrate
that disease outcomes can be reduced with early intervention. These principles
are widely accepted in other diseases such as type 1 diabetes mellitus, in which
steps have been taken to prevent disease in genetically predisposed individuals.
Large population-based studies provide insights into potential interventions for
RA prevention. By quantifying risk in different populations, the prospect of
preventing this disease is feasible.
PMID- 24934194
TI - Value analysis of neodymium content in shredder feed: toward enabling the
feasibility of rare earth magnet recycling.
AB - In order to facilitate the development of recycling technologies for rare earth
magnets from postconsumer products, we present herein an analysis of the
neodymium (Nd) content in shredder scrap. This waste stream has been chosen on
the basis of current business practices for the recycling of steel, aluminum, and
copper from cars and household appliances, which contain significant amounts of
rare earth magnets. Using approximations based on literature data, we have
calculated the average Nd content in the ferrous shredder product stream to be
between 0.13 and 0.29 kg per ton of ferrous scrap. A value analysis considering
rare earth metal prices between 2002 and 2013 provides values between $1.32 and
$145 per ton of ferrous scrap for this material, if recoverable as pure Nd metal.
Furthermore, we present an analysis of the content and value of other rare earths
(Pr, Dy, Tb).
PMID- 24934193
TI - Nicotine withdrawal and stress-induced changes in pain sensitivity: a cross
sectional investigation between abstinent smokers and nonsmokers.
AB - Chronic smoking has been linked with alterations in endogenous pain regulation.
These alterations may be pronounced when individuals quit smoking because
nicotine withdrawal produces a variety of psychological and physiological
symptoms. Smokers interested in quitting (n = 98) and nonsmokers (n = 37)
completed a laboratory session including cold pressor test (CPT) and heat thermal
pain. Smokers set a quit date and completed the session after 48 h of abstinence.
Participants completed the pain assessments once after rest and once after
stress. Cardiovascular and nicotine withdrawal measures were collected. Smokers
showed blunted cardiovascular responses to stress relative to nonsmokers. Only
nonsmokers had greater pain tolerance to CPT after stress than after rest. Lower
systolic blood pressure was related to lower pain tolerance. These findings
suggest that smoking withdrawal is associated with blunted stress response and
increased pain sensitivity.
PMID- 24934195
TI - Percutaneous cholecystostomy for acute cholecystitis: who should really benefit
from this procedure?
PMID- 24934196
TI - Percutaneous cholecystostomy for acute cholecystitis: who should really benefit
from this procedure?
PMID- 24934197
TI - Evaluation of stapler hepatectomy in laparoscopic liver resection.
PMID- 24934201
TI - Factors affecting the volumetric energy density of lithium-ion battery materials:
particle density measurements and cross-sectional observations of layered LiCo(1
x)Ni(x)O2 with 0 <= x <= 1.
AB - Volumetric capacity Qvol (mAh cm(-3)), more correctly, volumetric energy density
Wvol (mWh cm(-3)), is a crucial property of lithium-ion battery (LIB) materials,
because LIBs are devices that operate in a limited space. The actual value of
Wvol (Wvol(act)) is currently limited to 40-60% of the maximum (theoretical)
value of Wvol (Wvol(max)), for reasons that have not yet been fully clarified.
Thus, to gain information that will enable an increase in Wvol(act) such that it
is closer to Wvol(max), systematic studies of the values for Qvol, Wvol, true
density (dXRD), and particle density (dp) obtained using gas pycnometry were
undertaken for LiCo1-xNixO2 samples with 0 <= x <= 1. Here, dp is the density
that includes the volume of the closed pores in the particles, and consequently
is less than dXRD, which is determined by X-ray diffraction (XRD) measurement.
DXRD monotonically decreased from 5.062(1) g cm(-3) for x = 0 to 4.779(1) g cm(
3) for x = 1, as expected. On the contrary, dp decreased almost linearly from
4.98(2) g cm(-3) for x = 0 to 4.80(2) g cm(-3) for x = 0.5, then suddenly dropped
to 4.63(2) g cm(-3) for x = 0.667, and finally leveled off to a constant value
(~4.6 g cm(-3)) at larger values of x. The cross-sectional observations using a
Focused Ion Beam system revealed that the significantly smaller values for dp
compared with those for dXRD, particularly when x > 0.5, is due to the presence
of closed pores in agglomerated secondary particles. This indicates that the
closed pores in the secondary particles play an important role in determining the
value of Wvol(act) for LIBs. The formation of well-developed primary particles as
a mean for increasing the value of dp was also investigated.
PMID- 24934200
TI - Prospectively assessed clinical outcomes in concussive blast vs nonblast
traumatic brain injury among evacuated US military personnel.
AB - IMPORTANCE: Blast injury has been identified as the signature injury in the
conflicts in Iraq and Afghanistan. However it remains to be determined whether
fundamental differences may exist between blast-related traumatic brain injury
(TBI) and TBI due to other mechanisms. OBJECTIVES: To determine similarities and
differences between clinical outcomes in US military personnel with blast-related
vs. non-blast-related concussive TBI and to identify the specific domains of
impairment that best correlate with overall disability. DESIGN, SETTING, AND
PARTICIPANTS: Prospective cohort study involving active duty US Military
personnel evacuated from Iraq or Afghanistan to Landstuhl Regional Medical
Center, in Landstuhl, Germany. Four groups of participants were enrolled from
2010 to 2013: (1) blast plus impact complex TBI (n=53), (2) non-blast related TBI
with injury due to other mechanisms (n=29), (3) blast-exposed controls evacuated
for other medical reasons (n=27) (4) non-blast-exposed controls evacuated for
other medical reasons (n=69). All patients with TBI met Department of Defense
criteria for concussive (mild) TBI. The study participants were evaluated 6-12
months after injury at Washington University in St Louis. In total, 255 subjects
were enrolled in the study, and 183 participated in follow-up evaluations, 5 of
whom were disqualified. MAIN OUTCOMES AND MEASURES: In-person clinical
examinations included evaluation for overall disability, a standardized
neurological exam, headache questionnaires, neuropsychological test battery,
combat exposure and alcohol use surveys, and structured interview evaluations for
post-traumatic stress disorder (PTSD) and depression. RESULTS: Global outcomes,
headache severity, neuropsychological performance, and surprisingly even PTSD
severity and depression were indistinguishable between the two TBI groups,
independent of mechanism of injury. Both TBI groups had higher rates of moderate
to severe overall disability than the respective control groups: 41/53 (77%) of
blast plus impact TBI and 23/29 (79%) of nonblast TBI vs. 16/27 (59%) of blast
exposed controls and 28/69 (41%) of non-blast-exposed controls. In addition,
blast-exposed controls had worse headaches and more severe PTSD than non-blast
exposed controls. Self-reported combat exposure intensity was higher in the blast
plus impact TBI group than in nonblast TBI group and was higher in blast-exposed
controls than in non-blast-exposed controls. However, combat exposure intensity
did not correlate with PTSD severity in the TBI groups, but a modest positive
correlation was observed in the controls. Overall outcomes were most strongly
correlated with depression, headache severity, and number of abnormalities on
neuropsychological testing. However a substantial fraction of the variance in
overall outcome was not explained by any of the assessed measures. CONCLUSIONS
AND RELEVANCE: One potential interpretation of these results is that TBI itself,
independent of injury mechanism and combat exposure intensity, is a primary
driver of adverse outcomes. Many other important factors may be as yet
unmeasured, and adverse outcomes following war-time injuries are difficult to
fully explain. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT01313130.
PMID- 24934202
TI - The single-site Green's function and Krein's theorem.
AB - An important step in electronic structure calculations using multiple-scattering
theory is obtaining the density of states for the central site from the Green's
function for that site. We have found that the Krein's spectral displacement
function for the central site contributes significantly to the understanding of
these calculations. We argue that these insights can lead to improvements in the
robustness of MST electronic structure codes without negatively impacting their
performance.
PMID- 24934203
TI - Canine rabies in Australia: a review of preparedness and research needs.
AB - Australia is unique as a populated continent in that canine rabies is exotic,
with only one likely incursion in 1867. This is despite the presence of a
widespread free-ranging dog population, which includes the naturalized dingo,
feral domestic dogs and dingo-dog cross-breeds. To Australia's immediate north,
rabies has recently spread within the Indonesian archipelago, with outbreaks
occurring in historically free islands to the east including Bali, Flores, Ambon
and the Tanimbar Islands. Australia depends on strict quarantine protocols to
prevent importation of a rabid animal, but the risk of illegal animal movements
by fishing and recreational vessels circumventing quarantine remains. Predicting
where rabies will enter Australia is important, but understanding dog population
dynamics and interactions, including contact rates in and around human
populations, is essential for rabies preparedness. The interactions among and
between Australia's large populations of wild, free-roaming and restrained
domestic dogs require quantification for rabies incursions to be detected and
controlled. The imminent risk of rabies breaching Australian borders makes the
development of disease spread models that will assist in the deployment of cost
effective surveillance, improve preventive strategies and guide disease
management protocols vitally important. Here, we critically review Australia's
preparedness for rabies, discuss prevailing assumptions and models, identify
knowledge deficits in free-roaming dog ecology relating to rabies maintenance and
speculate on the likely consequences of endemic rabies for Australia.
PMID- 24934205
TI - Postural tachycardia syndrome is associated with significant symptoms and
functional impairment predominantly affecting young women: a UK perspective.
AB - OBJECTIVE: To examine a large UK cohort of patients with postural tachycardia
syndrome (PoTS), to compare demographic characteristics, symptoms and treatment
of PoTS at one centre compared to the largest patient group PoTS UK and to verify
if their functional limitation is similar to patients with chronic fatigue
syndrome (CFS). DESIGN: A cross-sectional study assessed the frequency of
symptoms and their associated variables. PATIENTS AND SETTING: Two PoTS cohorts
were: (1) recruited via PoTS UK, (2) diagnosed at Newcastle Hospitals National
Health Service (NHS) Foundation Trust 2009-2012. Patients with PoTS were then
compared to a matched cohort with CFS. MAIN OUTCOME MEASURES: Patients' detailed
demographics, time to diagnosis, education, disability, medications, comorbidity
and precipitants. Symptom assessment tools captured, Fatigue Impact Scale,
Epworth Sleepiness Scale, Orthostatic Grading Scale (OGS), Hospital Anxiety and
Depression Scale, Health Assessment Questionnaire, Cognitive Failures
Questionnaire. RESULTS: 136 patients with PoTS participated (84 members of PoTS
UK (170 cohort; 50% return) and 52 (87 cohort; 60%) from Newcastle Clinics). The
PoTS UK population was significantly younger than the clinic patients, with
significantly fewer men (p=0.005). Over 60% had a university or postgraduate
degree. Significantly more of the PoTS UK cohort were working, with hours worked
being significantly higher (p=0.001). Time to diagnosis was significantly longer
in the PoTS UK cohort (p=0.04). Symptom severity was comparable between cohorts.
The PoTS total group was compared with a matched CFS cohort; despite comparable
levels of fatigue and sleepiness, autonomic symptom burden (OGS) was
statistically significantly higher. The most common treatment regime included
beta-blockers. Overall, 21 treatment combinations were described. Up to 1/3 were
taking no treatment. CONCLUSIONS: Patients with PoTS are predominantly women,
young, well educated and have significant and debilitating symptoms that impact
significantly on quality of life. Despite this, there is no consistent treatment.
PMID- 24934207
TI - Multiparametric MRI followed by targeted prostate biopsy for men with suspected
prostate cancer: a clinical decision analysis.
AB - OBJECTIVE: To compare the diagnostic outcomes of the current approach of
transrectal ultrasound (TRUS)-guided biopsy in men with suspected prostate cancer
to an alternative approach using multiparametric MRI (mpMRI), followed by MRI
targeted biopsy if positive. DESIGN: Clinical decision analysis was used to
synthesise data from recently emerging evidence in a format that is relevant for
clinical decision making. POPULATION: A hypothetical cohort of 1000 men with
suspected prostate cancer. INTERVENTIONS: mpMRI and, if positive, MRI-targeted
biopsy compared with TRUS-guided biopsy in all men. OUTCOME MEASURES: We report
the number of men expected to undergo a biopsy as well as the numbers of
correctly identified patients with or without prostate cancer. A probabilistic
sensitivity analysis was carried out using Monte Carlo simulation to explore the
impact of statistical uncertainty in the diagnostic parameters. RESULTS: In 1000
men, mpMRI followed by MRI-targeted biopsy 'clinically dominates' TRUS-guided
biopsy as it results in fewer expected biopsies (600 vs 1000), more men being
correctly identified as having clinically significant cancer (320 vs 250), and
fewer men being falsely identified (20 vs 50). The mpMRI-based strategy dominated
TRUS-guided biopsy in 86% of the simulations in the probabilistic sensitivity
analysis. CONCLUSIONS: Our analysis suggests that mpMRI followed by MRI-targeted
biopsy is likely to result in fewer and better biopsies than TRUS-guided biopsy.
Future research in prostate cancer should focus on providing precise estimates of
key diagnostic parameters.
PMID- 24934208
TI - What keeps family physicians busy in Portugal? A multicentre observational study
of work other than direct patient contacts.
AB - OBJECTIVES: To quantify the time spent by family physicians (FP) on tasks other
than direct patient contact, to evaluate job satisfaction, to analyse the
association between time spent on tasks and physician characteristics, the
association between the number of tasks performed and physician characteristics
and the association between time spent on tasks and job satisfaction. DESIGN:
Cross-sectional, using time-and-motion techniques. Two workdays were documented
by direct observation. A significance level of 0.05 was adopted. SETTING:
Multicentric in 104 Portuguese family practices. PARTICIPANTS: A convenience
sample of FP, with lists of over 1000 patients, teaching senior medical students
and first-year family medicine residents in 2012, was obtained. Of the 217 FP
invited to participate, 155 completed the study. MAIN OUTCOMES MEASURED: Time
spent on tasks other than direct patient contact and on the performance of more
than one task simultaneously, the number of direct patient contacts in the
office, the number of indirect patient contacts, job satisfaction, demographic
and professional characteristics associated with time spent on tasks and the
number of different tasks performed, and the association between time spent on
tasks and job satisfaction. RESULTS: FP (n=155) spent a mean of 143.6 min/day
(95% CI 135.2 to 152.0) performing tasks such as prescription refills, teaching,
meetings, management and communication with other professionals (33.4% of their
workload). FP with larger patient lists spent less time on these tasks (p=0.002).
Older FP (p=0.021) and those with larger lists (p=0.011) performed fewer tasks.
The mean job satisfaction score was 3.5 (out of 5). No association was found
between job satisfaction and time spent on tasks. CONCLUSIONS: FP spent one-third
of their workday in coordinating care, teaching and managing. Time devoted to
these tasks decreases with increasing list size and physician age.
PMID- 24934211
TI - Low incidence of late pseudoaneurysm and reoperation after conventional repair of
acute type a aortic dissection.
AB - BACKGROUND AND AIM: Suture line dehiscence and pseudoaneurysm formation is one of
the leading causes of late reoperation after surgical repair of acute type A
aortic dissection (AAD). A sandwich technique can affect the need of reoperation.
We sought to assess the late outcomes (mortality and reoperation) of a modified
reinforced sandwich technique in conventional AAD repair. METHODS: Retrospective
review of 63 consecutive patients undergoing AAD repair between 2003 and 2013.
Aortic anastomosis was performed with a modified reinforced sandwich technique
using Hemashield strips and two-layer polypropylene continuous and interrupted
mattress sutures. RESULTS: Marfan syndrome was diagnosed in five (8%) and
bicuspid aortic valve in three patients (5%). Twenty-one patients (33%) had
preoperative cardiogenic shock necessitating inotropic support. Replacement of
the ascending aorta with aortic valve preservation was performed in 58 (92%) and
hemiarch replacement in five patients (8%). Four patients died during initial
hospitalization, yielding a hospital mortality of 6%. Median follow-up duration
was 73 months (range, 1-124). Kaplan-Meier survival rates were 94 +/- 3%, 84 +/-
5%, and 59 +/- 11% at 1, 5, and 10 years. One patient (1.7%) required proximal
reoperation 44 months after AAD repair because of progressive dilatation of the
aortic root. No patient had severe aortic regurgitation or pseudoaneurysm after
AAD repair. Actuarial freedom from reoperation at 1, 5, and 10 years was 100%,
97%, and 97%. CONCLUSIONS: A reinforced sandwich technique was a good technique
resulting in a low incidence of late reoperation and pseudoaneurysm formation.
PMID- 24934210
TI - Participation in physical activity in patients 1-4 years post total joint
replacement in the Dominican Republic.
AB - BACKGROUND: To address both the growing burden of joint disease and the gaps in
medical access in developing nations, medical relief organizations have begun to
launch programs to perform total joint replacement (TJR) on resident populations
in developing countries. One outcome of TJR of particular interest is physical
activity (PA) since it is strongly linked to general health. This study evaluates
the amount of postoperative participation in PA in low-income patients who
received total joint replacement in the Dominican Republic and identifies
preoperative predictors of postoperative PA level. METHODS: We used the Yale
Physical Activity Survey (YPAS) to assess participation in postoperative PA 1-4
years following total knee or hip replacement. We compared the amount of aerobic
PA reported by postoperative TJR patients with the levels of PA recommended by
the CDC and WHO. We also analyzed preoperative determinants of postoperative
participation in aerobic PA in bivariate and multivariate analyses. RESULTS: 64
patients out of 170 eligible subjects (52/128 TKR and 14/42 THR) who received TJR
between 2009-2012 returned for an annual follow-up visit in 2013, with a mean
treatment-to-follow-up time of 2.1 years. 43.3% of respondents met CDC/WHO
criteria for sufficient participation in aerobic PA. Multivariate analyses
including data from 56 individuals identified that patients who were both younger
than 65 and at least two years postoperative had an adjusted mean activity
dimensions summary index (ADSI) 22.9 points higher than patients who were 65 or
older and one year postoperative. Patients who lived with friends or family had
adjusted mean ADSI 17.2 points higher than patients living alone. Patients who
had the most optimistic preoperative expectations of outcome had adjusted mean
ADSI scores that were 19.8 points higher than those who were less optimistic.
CONCLUSION: The TJR patients in the Dominican cohort participate in less PA than
recommended by the CDC/WHO. Additionally, several associations were identified
that potentially affect PA in this population; specifically, participants who are
older than 65, recently postoperative, less optimistic about postoperative
outcomes and who live alone participate in less PA.
PMID- 24934212
TI - The cost of data collection for performance monitoring in hospitals: protocol for
a systematic review.
AB - BACKGROUND: Key performance indicators (KPIs) are used to identify where
organisational performance is meeting desired standards and where performance
requires improvement. Valid and reliable KPIs depend on the availability of high
quality data, specifically, the relevant minimum data set (MDS; the core data
identified as the minimum required to measure performance for a KPI) elements.
However, the feasibility of collecting the relevant MDS elements is always a
limitation of performance monitoring using KPIs. Preferably, data should be
integrated into service delivery, and where additional data are required that are
not currently collected as part of routine service delivery, there should be an
economic evaluation to determine the cost of data collection. The aim of this
systematic review is to synthesise the evidence base concerning the costs of data
collection in hospitals for performance monitoring using KPIs, and to identify
hospital data collection systems that have proven to be cost minimising.
METHODS/DESIGN: Electronic databases will be systematically searched for
publications in English that examine the cost of data collection within a
hospital context. The database searches will be supplemented by searching through
citations and references. Screening of both titles and abstracts will be done by
two independent reviewers. All disagreements will be resolved by an independent
third reviewer. Data analysis will be completed and reported in a narrative
review. DISCUSSION: This review will cohere the evidence base regarding cost
minimising hospital data collections systems for performance monitoring and if
these are associated with potential benefits for patients. SYSTEMATIC REVIEW
REGISTRATION: PROSPERO CRD42014007450.
PMID- 24934213
TI - Evaluation of stresses developed in different bracket-cement-enamel systems using
finite element analysis with in vitro bond strength tests.
AB - BACKGROUND: The purpose of this study was to determine the bond strength of
different orthodontic bracket materials (ceramic, stainless steel, and titanium)
as well as stresses developed in bracket-cement-enamel systems using finite
element (FE) analysis. METHODS: One hundred and thirty-five extracted human
caries-free upper central incisors were divided into three groups (n = 45/group)
according to the type of orthodontic bracket materials (stainless steel, ceramic,
and titanium). Each group was further subdivided into three subgroups (n =
15/group) according to the bond strength test loading mode (shear short side,
shear long side, and tensile). After debonding, the fractured specimen was
examined, and the adhesive remnant index (ARI) was determined. FE analysis models
analyzed the stress distribution within the cement and enamel. Bond strengths
were analyzed using ANOVA and Tukey's test, and the ARI scores were analyzed
using chi-square (chi2) test. RESULTS: Shear loading at the short side of the
bracket resulted in the highest bond strength and lowest maximum principal stress
both on cement and enamel compared with the other loading modes (P < 0.05).
Ceramic brackets presented with higher bond strength and lower maximum principal
stress than metallic brackets (P < 0.05). There was a significant difference for
ARI scores between the type of brackets (chi2 = 64.852, P < 0.001). CONCLUSION:
The findings suggest that the manner of loading orthodontic brackets and the
selection of orthodontic bracket materials affect the bond strength and stresses
developed both on cement and enamel.
PMID- 24934214
TI - The impact of context relevance during extinction learning.
AB - In two predictive-learning experiments, we investigated the role of the
informational value of contexts for the formation of context-specific extinction
learning. The contexts were each composed of two elements from two dimensions, A
and B. In Phase 1 of each experiment, participants received acquisition training
with a target cue Z in context A1B1 (the numbers assign particular values on the
context dimensions). In Phase 2, participants were trained with conditional
discriminations between two other cues, X and Y, for which only one of the two
context dimensions was relevant. In a third phase, participants received
extinction trials with cue Z in context A2B2. During a final test phase, we
observed that a partial change of the extinction context disrupted extinction
performance when the extinction context was changed on the dimension that had
been trained as being relevant for the conditional discrimination. However, when
the extinction context was changed on the irrelevant context dimension,
extinction performance was not affected. Our results are consistent with the idea
that relevant contexts receive more attention than do irrelevant contexts,
leading to stronger context-specific processing of information learned in the
former than in the latter type of contexts.
PMID- 24934215
TI - Interventions aimed at increasing the level of physical activity by including
organised follow-up: a systematic review of effect.
AB - BACKGROUND: Organised follow-up is a common feature of several strategies at the
primary health care level to promote health behaviour change, e.g. to increase
physical activity. In Norway, municipal 'healthy living' centres run by health
care personnel are established to offer counselling and organised follow-up of
health behaviour change during a 12-week programme. We report the results of a
systematic review commissioned by the Norwegian Directorate of Health concerning
organised follow-up to improve physical activity. METHODS: We searched ten
electronic databases up to June 2012, reference lists of included publications,
and relevant journals. Study selection and quality risk of bias assessment were
carried out independently. Data were synthesised narratively due to heterogeneity
of measurements of physical activity. The GRADE approach was used to assess our
confidence in the effect estimate for each outcome in each comparison. RESULTS:
Fourteen randomised controlled trials from seven countries and with a total of
5,002 participants were included in the systematic review. All studies were
carried out in primary care or community settings. The interventions comprised
referral to supervised group physical activity (2 studies), referral to local
resources with follow-up (6 studies), and self-organised physical activity with
follow-up (6 studies). The narrative synthesis, comprising a total of 39
comparisons, indicated effects of self-organised physical activity with follow-up
(compared to both advice and no treatment) and referral to local resources with
follow-up (compared to advice) in some of the comparisons where we rated our
confidence in the effect estimates as moderate. However, the results indicated no
difference between intervention and control groups for the majority of
comparisons. Follow-up in the studies was mainly short-term with the longest
follow-up 9 months post-treatment. We rated our confidence in the effect
estimates as low or very low in most comparisons, both for positive and neutral
results. CONCLUSIONS: The results of this systematic review indicate considerable
uncertainty concerning effects of organised follow-up during 10-14 weeks on
physical activity. Major methodological problems concerning the measurement of
physical activity are discussed. TRIAL REGISTRATION: Systematic review
registration: PROSPERO CRD42011001598.
PMID- 24934216
TI - Anti-inflammatory loaded poly-lactic glycolic acid nanoparticle formulations to
enhance myocardial gene transfer: an in-vitro assessment of a drug/gene
combination therapeutic approach for direct injection.
AB - BACKGROUND: Cardiac gene therapy for heart disease is a major translational
research area with potential, yet problems with safe and efficient gene transfer
into cardiac muscle remain unresolved. Existing methodology to increase vector
uptake include modifying the viral vector, non-viral particle encapsulation and
or delivery with device systems. These advanced methods have made improvements,
however fail to address the key problem of inflammation in the myocardium, which
is known to reduce vector uptake and contribute to immunogenic adverse events.
Here we propose an alternative method to co-deliver anti-inflammatory drugs in a
controlled release polymer with gene product to improve therapeutic effects.
METHODS: A robust, double emulsion production process was developed to
encapsulate drugs into nanoparticles. Briefly in this proof of concept study,
aspirin and prednisolone anti-inflammatory drugs were encapsulated in various
poly-lactic glycolic acid polymer (PLGA) formulations. The resultant particle
systems were characterized, co-delivered with GFP plasmid, and evaluated in
harvested myocytes in culture for uptake. RESULTS: High quality nanoparticles
were harvested from multiple production runs, with an average 64 +/- 10 mg yield.
Four distinct particle drug system combinations were characterized and evaluated
in vitro: PLGA(50:50) Aspirin, PLGA(65:35) Prednisolone, PLGA(65:35) Aspirin and
PLGA(50:50) Prednisolone Particles consisted of spherical shape with a narrow
size distribution 265 +/- 104 nm as found in scanning electron microscopy
imaging. Prednisolone particles regardless of PLGA type were found on average ~
100 nm smaller than the aspirin types. All four groups demonstrated high zeta
potential stability and re-constitution testing prior to in vitro. In vitro
results demonstrated co uptake of GFP plasmid (green) and drug loaded particles
(red) in culture with no incidence of toxicity. CONCLUSIONS: Nano formulated anti
inflammatories in combination with standalone gene product therapy may offer a
clinical solution to maximize cardiac gene therapy product effects while
minimizing the risk of the host response in the inflammatory myocardial
environment.
PMID- 24934218
TI - Minnesota Multiphasic Personality Inventory-2-Restructured Form (MMPI-2-RF)
scores generated from the MMPI-2 and MMPI-2-RF test booklets: internal structure
comparability in a sample of criminal defendants.
AB - We investigated the internal structure comparability of Minnesota Multiphasic
Personality Inventory-2-Restructured Form (MMPI-2-RF) scores derived from the
MMPI-2 and MMPI-2-RF booklets in a sample of 320 criminal defendants (229 males
and 54 females). After exclusion of invalid protocols, the final sample consisted
of 96 defendants who were administered the MMPI-2-RF booklet and 83 who completed
the MMPI-2. No statistically significant differences in MMPI-2-RF invalidity
rates were observed between the two forms. Individuals in the final sample who
completed the MMPI-2-RF did not statistically differ on demographics or referral
question from those who were administered the MMPI-2 booklet. Independent t tests
showed no statistically significant differences between MMPI-2-RF scores
generated with the MMPI-2 and MMPI-2-RF booklets on the test's substantive
scales. Statistically significant small differences were observed on the revised
Variable Response Inconsistency (VRIN-r) and True Response Inconsistency (TRIN-r)
scales. Cronbach's alpha and standard errors of measurement were approximately
equal between the booklets for all MMPI-2-RF scales. Finally, MMPI-2-RF
intercorrelations produced from the two forms yielded mostly small and a few
medium differences, indicating that discriminant validity and test structure are
maintained. Overall, our findings reflect the internal structure comparability of
MMPI-2-RF scale scores generated from MMPI-2 and MMPI-2-RF booklets. Implications
of these results and limitations of these findings are discussed.
PMID- 24934217
TI - Gain and loss of function of P2X7 receptors: mechanisms, pharmacology and
relevance to diabetic neuropathic pain.
AB - BACKGROUND: Genetic causes of exaggerated or reduced pain sensitivity in humans
are well known. Recently, single nucleotide polymorphisms (SNPs) in the gene
P2RX7, coding for the ATP-gated ion channel P2X7, have been described that cause
gain-of-function (GOF) and loss-of-function (LOF), respectively of this channel.
Importantly, P2RX7 SNPs have been associated with more or less severe pain scores
in patient suffering of post-mastectomy pain and osteoarthritis. RESULTS: The
functional consequences of some P2RX7 SNPs (rs208294 (His155Tyr), rs1718119
(Ala348Thr) and rs3751143 (Glu496Ala)) were studied in recombinant cells in
vitro. Our findings suggest a correlation between GOF and LOF of P2X7 and actual
channel protein expression. Both channel and pore function for these mutant P2X7
receptors changed in parallel to protein levels. On the other hand, the mutant
receptors did not differ in their sensitivity to known P2X7 agonists and
antagonists. We further demonstrated that in patients with diabetic peripheral
neuropathic pain (DPNP), the presence of the GOF SNPs rs208294 (His155Tyr) and
rs1718119 (Ala348Thr) is associated, in females, with higher pain intensity
scores. CONCLUSIONS: Our present results confirm the physiological relevance of
some of the SNPs in the P2RX7 gene and show that the presence of these genetic
variants correlates with pain sensitivity also in a diabetic neuropathic pain
patient population.
PMID- 24934219
TI - On improving the use of OCT imaging for detecting glaucomatous damage.
AB - AIMS: To describe two approaches for improving the detection of glaucomatous
damage seen with optical coherence tomography (OCT). METHODS: The two approaches
described were: one, a visual analysis of the high-quality OCT circle scans and
two, a comparison of local visual field sensitivity loss to local OCT retinal
ganglion cell plus inner plexiform (RGC+) and retinal nerve fibre layer (RNFL)
thinning. OCT images were obtained from glaucoma patients and suspects using a
spectral domain OCT machine and commercially available scanning protocols. A high
quality peripapillary circle scan (average of 50), a three-dimensional (3D) scan
of the optic disc, and a 3D scan of the macula were obtained. RGC+ and RNFL
thickness and probability plots were generated from the 3D scans. RESULTS: A
close visual analysis of a high-quality circle scan can help avoid both false
positive and false negative errors. Similarly, to avoid these errors, the
location of abnormal visual field points should be compared to regions of
abnormal RGC+ and RNFL thickness. CONCLUSIONS: To improve the sensitivity and
specificity of OCT imaging, high-quality images should be visually scrutinised
and topographical information from visual fields and OCT scans combined.
PMID- 24934220
TI - Optical coherence tomography imaging of macular oedema.
AB - Macular oedema (ME) occurs in a wide variety of pathological conditions and
accounts for different degrees of vision loss. Early detection of ME is therefore
critical for diagnosis and therapeutic management. Optical coherence tomography
(OCT) is a non-contact, diagnostic method that uses infrared light, which allows
the analysis of the retinal structure by means of high-resolution tomographic
cross sections. The identification, localisation, quantification and long-term
follow-up of fluid collections are the most important capabilities of OCT. Since
the introduction of OCT in clinical practice, it has become an invaluable
diagnostic tool and different patterns of ME have been reported. The purpose of
this manuscript is to review OCT profiles of ME according to the aetiology and
describe what has been reported regarding intraretinal features in vivo.
PMID- 24934221
TI - Recent advances in OCT imaging of the lamina cribrosa.
AB - The lamina cribrosa (LC) is believed to be the site of injury to retinal ganglion
cell axons in glaucoma. The ability to visualise this structure has the potential
to help increase our understanding of the disease and be useful in the early
detection of glaucoma. While for many years the research on the LC was
essentially dependent on histology and modelling, a number of recent advances in
optical coherence tomography (OCT) have dramatically improved the ability to
visualise the LC, such that it is now possible to image the LC in vivo in humans
and animals. In this review, we highlight recent advances in OCT imaging of the
LC, in the technology, processing and analysis, and discuss the impact that these
will have on the ability to diagnose and monitor glaucoma, as well as to expand
our understanding of its pathophysiology. With this manuscript, we aspire to
share our excitement on the achievements and potential of recent developments as
well as advise caution regarding the challenges that remain before imaging of the
LC and optic nerve can be used routinely in clinical practice.
PMID- 24934222
TI - Assessing copper status in pediatric patients receiving parenteral nutrition.
AB - BACKGROUND: Copper is a trace mineral essential for numerous physiological
processes. The purpose of this article is to provide data on copper levels in
pediatric patients receiving parenteral nutrition (PN) that are useful to guide
supplementation in PN formulation. METHOD: This is a retrospective review of
hospitalized pediatric patients receiving PN supplemented and not supplemented
with copper. In total, 751 supplemented pediatric patients and 90 pediatric
patients not supplemented had serum copper levels measured. We assessed patient
demographics, days on PN before copper level was drawn, serum copper levels,
conjugated bilirubin levels, and C-reactive protein (CRP). RESULTS: The mean
serum copper level was 80 mcg/dL at 20 days for supplemented patients and 64
mcg/dL at 14 days for the 90 nonsupplemented patients (P = .0002). In the
supplemented patients, 50% of the levels were low and 45% were within the normal
range. The remaining 5% of patients had high levels. In nonsupplemented patients,
71% were low and 29% within the normal range. There was no correlation between
copper levels and conjugated bilirubin <2 mg/dL and >2 mg/dL (P = .3421). Copper
levels correlated with CRP for CRP >4 mg/dL (P = .03). CONCLUSION: Pediatric
patients receiving PN should be supplemented with copper to prevent deficiency.
Serum copper levels should be assessed at 14 days. Assessment of copper status
should not be determined by conjugated bilirubin levels. Serum copper levels may
be elevated in patients with acute inflammation and may be falsely elevated when
CRP is >4 mg/dL.
PMID- 24934223
TI - Phosphorylation of pyruvate kinase A by protein kinase J leads to the altered
growth and differential rate of intracellular survival of mycobacteria.
AB - PknJ (Rv2088) is a serine/threonine protein kinase of mycobacteria which is
present in Mycobacterium tuberculosis (MTB), but its gene is absent in
Mycobacterium smegmatis (MS); a fast grower and nonpathogenic species of
mycobacteria. The heterologous expression of MTB-specific PknJ in MS altered the
growth of recombinant mycobacteria highlighting one of the characteristics of
this protein. This nature of the protein was further confirmed when Mycobacterium
bovis BCG (BCG) containing antisense copy of pknJ resulted in the increased
growth of BCG. The real-time RNA quantification analysis pointed out toward
increased expression of this protein during infection of THP-1 macrophage cells
which further emphasized that the protein is essential for the intracellular
survival of mycobacteria. The differential in gel electrophoresis (DIGE) data
followed by mass spectroscopy suggested that PknJ is involved in regulation of
pyruvate kinase A (Rv1617). Since pyruvate kinase (PK) A is one of the key
enzymes which controls glycolytic cycle in mycobacteria, we looked for its
interaction with PknJ during extracellular and intracellular growth of
mycobacteria. In order to identify the specific residue(s) involved in post
translational modification, the phospho-null mutants of PK were generated, and
their substrate specificities in response to PknJ were assessed through kinase
assay. The findings thus underlined that the PK activity is predominantly
dependent on the threonine residue at the 94(th) position and further suggested
that this site may be plausible in intracellular survival of mycobacteria upon
phosphorylation with PknJ.
PMID- 24934225
TI - Preparation and in-vitro transfection efficiency evaluation of modified cationic
liposome-polyethyleneimine-plasmid nanocomplexes as a novel gene carrier.
AB - Liposome-linear polyethyleneimine (PEI)-DNA nanocomplexes have shown to be
effective non-viral gene delivery vectors. In the present study, we tried to
improve the transfection efficiency of these nanocomplexes by liposome
modification. For this purpose, the lipopolymer was prepared by the conjugation
of hexylacrylate to the PEI. Liposomes comprising lipopolymer and DOTAP (1.2
DiOleoyl-3-Trimethyl Ammonium-Propane) were prepared and extruded through
polycarbonate filters to obtain the desired size. The 2.5, 25 and 250 KDa
molecular weights of linear PEI have been used in order to prepare modified
liposome-PEI-DNA nanocomplexes. Three C/P ratios of each nancomplex were
premixed. Size, zeta potential and the DNA condensation ability of these
complexes were determined separately, and in the end, the transfection efficiency
and cell cytotoxicity of prepared vectors were evaluated on Neuro2A cell line.
Mean particle size of all of these nanocomplexes was lower than 220 nm with
surface charge of 17.5 to 25.9 mV. The lipopolyplexes (comprising modified
liposome:PEI:DNA), modified liposome (as lipoplex) and PEI 250KDa (as polyplex)
showed the highest transfection efficacy. This activity was amplified by increase
carrier to plasmid (C/P) ratio. In addition, the metabolic activity of prepared
vectors was 80-100% for control group. In conclusion, the prepared lipopolyplexes
showed high ability to enhance gene transfer.
PMID- 24934224
TI - Multicilin drives centriole biogenesis via E2f proteins.
AB - Multiciliate cells employ hundreds of motile cilia to produce fluid flow, which
they nucleate and extend by first assembling hundreds of centrioles. In most
cells, entry into the cell cycle allows centrioles to undergo a single round of
duplication, but in differentiating multiciliate cells, massive centriole
assembly occurs in G0 by a process initiated by a small coiled-coil protein,
Multicilin. Here we show that Multicilin acts by forming a ternary complex with
E2f4 or E2f5 and Dp1 that binds and activates most of the genes required for
centriole biogenesis, while other cell cycle genes remain off. This complex also
promotes the deuterosome pathway of centriole biogenesis by activating the
expression of deup1 but not its paralog, cep63. Finally, we show that this
complex is disabled by mutations in human Multicilin that cause a severe
congenital mucociliary clearance disorder due to reduced generation of multiple
cilia. By coopting the E2f regulation of cell cycle genes, Multicilin drives
massive centriole assembly in epithelial progenitors in a manner required for
multiciliate cell differentiation.
PMID- 24934226
TI - Temporal separation in the release of bioactive molecules from a moldable calcium
sulfate bone graft substitute.
AB - Treatment of infected bone defects presents a considerable challenge due to the
complications that occur from significant bone damage concomitant with
contaminated tissue. These wounds are most often treated in a two-step sequence,
where the infection is first eliminated before any attempt to repair the bone is
undertaken. In order to combine these two treatment steps into one procedure, a
moldable bone grafting material was developed to deliver drugs in a temporally
separated manner. This was accomplished by a two-layered calcium sulfate
composite consisting of a moldable outer shell containing antibiotic-loaded
poly(lactic-co-glycolic acid) microspheres wrapped around a preformed core
containing an osteogenic drug. The release of vancomycin from the shell portion
began immediately and continued over the course of 6 weeks, while the release of
simvastatin from the core was delayed for 12 days before being released over the
next 4 weeks. Bioactivity of vancomycin was shown in modified Kirby-Bauer
experiments in which whole samples inhibited Staphylococcus aureus (S. aureus)
growth for 2 weeks. This two-layered system is capable of delivering antibiotics
locally for clinically relevant periods of time and delaying the release of
osteogenic drugs to mimic a two-step procedure that has potential for treating
infected bone defects.
PMID- 24934227
TI - Smoking is associated with impaired glucose regulation and a decrease in insulin
sensitivity and the disposition index in first-degree relatives of type 2
diabetes subjects independently of the presence of metabolic syndrome.
AB - The aim of this study was to investigate glucose tolerance, insulin secretion and
insulin resistance according to smoking habits in first-degree relatives of type
2 diabetes patients, a population at high risk for developing diabetes. One
thousand three hundred (646 females and 654 males) subjects underwent an oral
glucose tolerance test (OGTT) to investigate their glucose metabolism and
answered questionnaires about their lifestyle habits. Smoker subjects showed
significant impairment compared with non-smoker subjects in 2-h post-oral glucose
tolerance test (2hOGTT, 129.3 +/- 40.2 vs. 117.7 +/- 37.6 mg/dl, p < 0.001), the
OGTT insulin sensitivity (386.3 +/- 54.9 vs. 400.5 +/- 53.4 ml min(-1) m(2), p <
0.01) method and the insulin sensitivity and secretion index-2 (ISSI-2, 1.7 +/-
0.8 vs. 2.0 +/- 1.0, p < 0.005). Metabolic syndrome (MS) was higher in the smoker
than in the non-smoker group (46.5 vs. 29.7 %, p < 0001), and smokers were more
sedentary than non-smokers (3.94 +/- 3.77 vs. 4.86 +/- 4.41 h/week, p < 0.001).
Smokers showed an increased risk of impaired glucose regulation (IGR: impaired
glucose tolerance or diabetes mellitus) with a hazard ratio (HR) adjusted by
gender, metabolic syndrome and physical activity of 1.78, 95 % CI 1.27-2.47 (p <
0.001). The association between smoking and MS conferred a risk of IGR that was
five times higher (HR 5.495, 95 % CI 4.07-7.41, p < 0.001). Smoking habit was a
significant explanatory variable in a multiple forward stepwise regression
analysis performed using 2hOGTT and ISSI-2 as dependent variables (p < 0.0001, R
= 0.313 and p < 0.0001, R = 0.347, respectively). In conclusions, our results
show that tobacco smoking is tightly associated with impairments in glucose
metabolism and insulin sensitivity and insulin secretion.
PMID- 24934228
TI - Psychophysiological responses of artificial gravity exposure to humans.
AB - AIM: The aim of this study was to determine psychophysiological responses and
cognitive performance after a single bout of artificial gravity, in order to
investigate its use as a potential holistic countermeasure for long-duration
human space flight, considering mental health. METHODS: Sixteen male participants
were exposed to two different hypergravity protocols in a randomized order, one
providing a constant +2 Gz environment for 30 min, the other providing
participants for five times with repeated 3-min intervals of +2 Gz and rest,
respectively. EEG was recorded prior, during and after AG. In addition, self
reported mood and cognitive performance was assessed before and after AG
exposure. EEG data were analyzed using standardized brain electromagnetic
tomography (sLORETA). RESULTS: Beta-1 EEG activity (12-18 Hz) was decreased in
the left middle frontal gyrus after the continuous profile. Participants'
motivation decreased after continuous artificial gravity, while perceived
physical state was increased. The intermittent profile did not induce any changes
in the observed parameters. Cognitive performance was not affected by either of
both profiles. CONCLUSION: The continuous profile induced neurophysiological
changes, which are considered with negative affect and withdrawal related
motivation, according to the model of frontal asymmetry. This notion was further
confirmed by decreases in self-reported mood after continuous AG. Therefore, the
continuous profile would not be appropriate for the human space flight program.
Intermittent AG did not induce any psychophysiological changes and might
therefore provide a more appropriate approach as a countermeasure for further
investigations.
PMID- 24934229
TI - The effect of muscle vibration on short latency intracortical inhibition in
humans.
AB - PURPOSE: The purpose of the present study was to investigate the effect of muscle
vibration (MV) on short latency intracortical inhibition (SICI) and facilitation
(ICF) assessed by paired-pulse transcranial magnetic stimulation (TMS). METHODS:
Nineteen right-handed healthy subjects were investigat ed without and with MV of
the right extensor carpi radialis (ECR), using single- and paired-pulse TMS with
interstimulus interval (ISI) of 3 and 13 ms. Intensities of the conditioning and
test stimulus were 70 and 120 % of the motor threshold at rest. The motor-evoked
potentials (MEPs) were recorded simultaneously from the vibrated ECR and its
antagonist flexor carpi radialis (FCR). RESULTS: In all the subjects a SICI of
similar strength could be observed at 3 ms, at rest and during MV both in the
vibrated muscle as well as in its antagonist. The subjects were divided in two
groups according to the changes in MEP response to paired-pulse TMS with 13 ms
ISI observed during MV. In nine subjects SICI was evident also at 13 ms when
vibration was applied, while in another ten subjects vibration induced ICF at 13
ms. CONCLUSIONS: The effect of MV is not just a facilitation of SICI, but a
stronger prolongation of the effect of intracortical inhibition to an ISI at
which ICF is well pronounced, when the intensity of the conditioning stimulus
exceeds the threshold for intracortical facilitation.
PMID- 24934230
TI - [Chronic motility disorders of the upper gastrointestinal tract in the elderly.
Pharmaceutical, endoscopic and operative therapy].
AB - Primary motility disorders of the upper gastrointestinal (GI) tract result from
an impairment of the motor function of the esophagus, stomach, and duodenum by
malfunction of the enteric nervous system or degeneration of the gastrointestinal
muscle layer. Other forms of upper GI motility disorders occur secondary to
underlying systemic diseases. The exact pathophysiology of the disturbances
within the enteric nervous system of the upper GI tract is not yet clearly
understood. For motility disorders resulting from systemic diseases the lack of
knowledge with respect to the underlying pathomechanism is even greater. The term
functional dyspepsia summarizes some symptoms of the upper abdomen, suggesting a
disorder of upper GI motility or perception; however, this link to disturbed
physiology has never been convincingly demonstrated. This overview describes
therapeutic options for motility disorders of the upper GI tract regarding
medicinal, endoscopic and surgical targets. The efficacy of medicinal therapy of
upper GI motility disorders is low due to the lack of understanding of the
pathophysiology. Therefore, endoscopic and other interventional therapies have to
be applied also in the elderly patient group. The restrictions for metoclopramide
published by the European Medicines Agency (EMA) in July 2013 have limited the
armentarium of medicinal therapy of chronic motility disorders of the upper GI
tract.
PMID- 24934231
TI - In vitro model of hyaluronan synthase gene expression associated with
lipopolysaccharide-induced inflammation in SW982 cell line.
AB - The present study aimed to demonstrate the phenomena of hyaluronan synthesis in
response to lipopolysaccharide-induced inflammation in SW982, a human synovial
sarcoma cell line. The expression of IL-1beta, including Toll-like receptor 4 and
IL-1beta-converting enzyme, was proved to be induced by a reverse transcription
polymerase chain reaction. The expression of HAS genes encoding enzyme hyaluronan
synthase 2 and 3, including CD44 gene which encodes the cell surface receptor of
hyaluronan were upregulated in association with the activation of inflammation,
along with an increase in hyaluronan level in the culture medium. The highest
expression of HAS2 and HAS3 was found at 9 h after treatment with
lipopolysaccharide. However, HAS1 gene expression was not detectable neither with
the non-treatment nor with the treatment with lipopolysaccharide. Dexamethasone
at 30 nM significantly suppressed lipopolysaccharide-induced HAS genes
expression, leading to the decline of the hyaluronan level in the culture medium.
Our results demonstrated the effective tool for studying hyaluronan synthesis in
association with inflammation in the SW982 cell line.
PMID- 24934232
TI - Optimization of culture condition of human bone marrow stromal cells in terms of
purification, proliferation, and pluripotency.
AB - Human bone marrow stromal cells (hBMSCs) possess multilineage differentiation
potential and play an important role in modern tissue engineering. However, the
development of culture media to maintain hBMSCs in an undifferentiated, self
renewing state during their robust proliferation remains a challenge. We
developed and tested modified growth medium [medium 1: epidermal growth factor
(EGF), platelet-derived growth factor (PDGF), low glucose, 2% fetal calf serum
(FCS)] on hBMSCs by comparing primary cell isolation, multipassage expansion,
culture morphology, proliferation, and cellular phenotype, and performing an
expression analysis of intrinsic-regulated genes to other two media. Cell
morphology, proliferation, and phenotype varied among the media, while cells
cultured in medium 1 displayed small, spindle-shaped morphology with the highest
rate of growth capacities and the expected phenotype. RT-PCR analysis showed that
medium 1 displayed the lowest expression levels of osteogenic genes, chondrogenic
genes (osteonectin, runt-related transcription factor 2, cartilage oligo matrix
protein, and SOX9), and adipogenic genes (lipoprotein lipase). The expression of
another adipogenic gene, peroxisome proliferator-activator receptor-gamma2, was
higher in medium 1 but did not reach significance. In addition, hBMSCs expanded
in medium 1 showed the highest expression ratio of self-renewing-related genes
Kruppel-like factor 2 (KLF2) and KLF5. In conclusion, medium 1 allows for better
expansion and pluripotency maintenance of hBMSCs and serves as a preferred
alternative to traditional serum-containing media for research applications and
future clinical use.
PMID- 24934233
TI - Long-term adaptation of the Bombyx mori BmN4 cell line to grow in serum-free
culture.
AB - Bombyx mori ovary-derived BmN4 cells have been successfully adapted to a
commercial serum-free medium (SFM; SF900-II) by gradually reducing the serum
containing TC-100 medium content from 100 to 0% (v/v). The BmN4 cells adapted to
the SFM (BmN-SFM) adhered strongly to the culture flask and showed altered cell
morphology. The BmN-SFM was subcultured 200 times, and the population doubling
time was 4.70 d. Infection studies showed that BmN-SFM cells were easily
susceptible to B. mori nucleopolyhedrovirus (BmNPV), and both the multiplication
of budded virus and the promoter activity of the polyhedrin gene in BmN-SFM cells
were almost the same as those in BmN4 cells before adaptation. Additionally,
mouse interleukin-3 expressed by a recombinant BmNPV was normally secreted and
modified with N-linked glycans in BmN-SFM cells. These findings indicate that BmN
SFM is particularly useful for a BmNPV-based baculovirus expression vector system
with serum-free conditions.
PMID- 24934234
TI - Cell kinetics, DNA integrity, differentiation, and lipid fingerprinting analysis
of rabbit adipose-derived stem cells.
AB - Human adipose tissue has been described as a potential alternative reservoir for
stem cells. Although studies have been performed in rabbits using autologous
adipose-derived stem cells (ADSC), these cells have not been well characterized.
The primary objectives of this study were to demonstrate the presence of adipose
derived stem cells isolated from rabbit inguinal fat pads and to characterize
them through osteogenic and adipogenic in vitro differentiation and lipid
fingerprinting analysis. The secondary objective was to evaluate cell behavior
through growth kinetics, cell viability, and DNA integrity. Rabbit ADSCs were
isolated to determine the in vitro growth kinetics and cell viability. DNA
integrity was assessed by an alkaline Comet assay in passages 0 and 5. The
osteogenic differentiation was evaluated by Von Kossa, and Alizarin Red S
staining and adipogenic differentiation were assessed by Oil Red O staining.
Lipid fingerprinting analyses of control, adipogenic, and osteogenic
differentiated cells were performed by MALDI-TOF/MS. We demonstrate that rabbit
ADSC have a constant growth rate at the early passages, with increased DNA
fragmentation at or after passage 5. Rabbit ADSC viability was similar in
passages 2 and 5 (90.7% and 86.6%, respectively), but there was a tendency to
decreased cellular growth rate after passage 3. The ADSC were characterized by
the expression of surface markers such as CD29 (67.4%) and CD44 (89.4%), using CD
45 (0.77%) as a negative control. ADSC from rabbits were successfully isolated
form the inguinal region. These cells were capable to differentiate into
osteogenic and adipogenic tissue when they were placed in inductive media. After
each passage, there was a trend towards decreased cell growth. On the other hand,
DNA fragmentation increased at each passage. ADSC had a different lipid profile
when placed in control, adipogenic, or osteogenic media.
PMID- 24934235
TI - Maintenance of primary cell cultures of immunocytes from Cacopsylla spp.
psyllids: a new in vitro tool for the study of crop pest insects.
AB - Primary cell cultures of immunocytes have been developed from the three psyllid
species Cacopsylla melanoneura, Cacopsylla pyri (vectors of 'Candidatus
Phytoplasma mali' and 'Candidatus Phytoplasma pyri', respectively) and Cacopsylla
crataegi. The medium most suitable of those evaluated was Hert-Hunter 70 (HH70)
psyllid medium. In fact, good survival and proliferation of the Cacopsylla
immunocytes for over 60 d were observed, with mitosis activities starting at 15-d
post culture. Moreover, adhesion and phagocytosis activities were confirmed for
all the psyllid cell cultures by functionality tests. Morphological examination
of cultured immunocytes revealed the presence of different cell types in all the
three psyllid species in accordance to published data about insect immunocytes.
The in vitro maintenance of psyllid immunocytes represents a powerful tool for a
wide range of applications, especially for psyllid cell biology. In particular,
in-depth studies on the biology of psyllids as vector insects as well as analyses
to understand the mechanisms behind the interactions with pathogens and symbionts
are now possible. These cultures can be used as an in vitro model to study
psyllid humoral immune responses, which also will allow in-depth investigations
on the abilities of psyllids as vectors of phytoplasmas. All these applications
provide new opportunities to develop more focused and specific pest control
strategies.
PMID- 24934236
TI - Reduced levels of circulating endothelial progenitor cells in acute myocardial
infarction patients with diabetes or pre-diabetes: accompanying the glycemic
continuum.
AB - BACKGROUND: Diabetic patients have a significantly worse prognosis after an acute
myocardial infarction (AMI) than their counterparts. Previous studies have shown
that the number of circulating endothelial progenitor cells (EPCs) significantly
increase early after an AMI in normoglycemic patients. However, it is well known
that type 2 diabetes mellitus (DM) is associated with impaired function and
reduced circulating EPCs levels. Nonetheless, few studies have analyzed EPCs
response of diabetics to an AMI and the EPC response of pre-diabetic patients has
not been reported yet. Therefore, we hypothesized that in the acute phase of an
AMI, diabetic and pre-diabetics have lower circulating EPCs levels than patients
with normal glucose metabolism. We also evaluated the possible capacity of
chronic antidiabetic treatment in the recovery of EPCs response to an AMI in
diabetics. METHODS: One-hundred AMI patients were prospectively enrolled in the
study. Using the high-performance flow cytometer FACSCanto II, circulating EPCs
(CD45dimCD34+KDR+ and CD45dimCD133+KDR+ cells) were quantified, within the first
24 hours of admission. In addition, as an indirect functional parameter, we also
analyzed the fraction of EPCs coexpressing the homing marker CXCR4. RESULTS: We
found that in the acute phase of an AMI, diabetic patients presented
significantly lower levels of circulating CD45dimCD34+KDR+ and CD45dimCD133+KDR+
EPCs by comparison with nondiabetics, with a parallel decrease in the
subpopulations CXCR4+ (p < 0.001). Indeed, this study suggests that the impaired
response of EPCs to an AMI is an early event in the natural history of DM, being
present even in pre-diabetes. Our results, also demonstrated that numbers of all
EPCs populations were inversely correlated with HbA1c (r = -0.432, p < 0.001 for
CD45dimCD34+KDR+ cells). Finally, this study suggests that previous chronic
insulin therapy (but not oral antidiabetic drugs) attenuate the deficient
response of diabetic EPCs to an AMI. CONCLUSION: This study indicates that there
is a progressive decrease in EPCs levels, from pre-diabetes to DM, in AMI
patients. Moreover, glycemic control seems to be determinant for circulating EPCs
levels presented in the acute phase of an AMI and chronic insulin therapy may
probably attenuate the deficit in EPCs pool seen in diabetics.
PMID- 24934237
TI - Association of experience with illness and end-of-life care with advance care
planning in older adults.
AB - OBJECTIVES: To examine whether experiences with illness and end-of-life care are
associated with readiness to participate in advance care planning (ACP). DESIGN:
Observational cohort study. SETTING: Community. PARTICIPANTS: Persons aged 60 and
older recruited from physician offices and a senior center. MEASUREMENTS:
Participants were asked about personal experience with major illness or surgery
and experience with others' end-of-life care, including whether they had made a
medical decision for someone dying, knew someone who had a bad death due to too
much or too little medical care, or experienced the death of a loved one who made
end-of-life wishes known. Stages of change were assessed for specific ACP
behaviors: completion of living will and healthcare proxy, communication with
loved ones regarding life-sustaining treatments and quantity versus quality of
life, and communication with physicians about these same topics. Stages of change
included precontemplation, contemplation, preparation, and action or maintenance,
corresponding to whether the participant was not ready to complete the behavior,
was considering participation in the next 6 months, was planning participation
within 30 days, or had already participated. RESULTS: Of 304 participants, 84%
had one or more personal experiences or experience with others. Personal
experiences were not associated with greater readiness for most ACP behaviors. In
contrast, having one or more experiences with others was associated with greater
readiness to complete a living will and healthcare proxy, discuss life-sustaining
treatment with loved ones, and discuss quantity versus quality of life with loved
ones and with physicians. CONCLUSION: Older individuals who have experience with
end-of-life care of others demonstrate greater readiness to participate in ACP.
Discussions with older adults regarding these experiences may be a useful tool in
promoting ACP.
PMID- 24934238
TI - Scanning for PIT-tagged flatfish in a coastal area using a sledge equipped with
an RFID antenna.
AB - A radio frequency identification (RFID) antenna system, build into a sledge that
can be towed behind a vessel like a trawl and thereby has the potential to detect
the position of a passive inductor technology (PIT)-tagged fish in a wide variety
of habitats, is presented. By scanning for hatchery-reared PIT-tagged turbot
Psetta maxima released into a natural habitat, the performance of the system was
compared to a standard juvenile trawl and results suggested that the efficiency
of the sledge was five times that of the trawl, which in absolute values
corresponds to 75% of P. maxima lying in the pathway of the sledge.
PMID- 24934239
TI - The direct effect of estrogen on cell viability and apoptosis in human gastric
cancer cells.
AB - Epidemiology researches indicated that gastric cancer is a male-predominant
disease; both expression level of estrogen and expression pattern of estrogen
receptors (ERs) influence its carcinogenesis. But the direct effect of estrogen
on gastric cancer cells is still unclear. This study aimed to explore the direct
effect of beta-estradiol (E2) on gastric cancer cells. SGC7901 and BGC823 were
treated with a serial of concentrations of E2. The survival rates of both the
cell lines were significantly reduced, and the reduction of viability was due to
apoptosis triggered by E2 treatment. Caspase 3 was activated in response to the
increasing E2 concentration in both SGC7901 and BGC823. Cleaved Caspase 3
fragments were detected, and the expression levels of Bcl-2 and Bcl-xL were
reduced. Apoptosis was further confirmed by flow cytometry. The expression level
of PEG10, an androgen receptor target gene, was reduced during E2 treatment. Both
ERalpha and ERbeta were expressed in these cell lines, and the result of
bioinformatics analysis of gastric cancer from GEO datasets indicated that the
expression levels of both ERalpha and ERbeta were significantly higher in
noncancerous gastric tissues than in gastric cancer tissues. Our research
indicated that estrogen can reduce cell viability and promote apoptosis in
gastric cancer cells directly; ERs expression level is associated with gastric
cancer. Our research will help to understand the mechanism of gender disparity in
gastric cancer.
PMID- 24934240
TI - Endocan, a potential prognostic and diagnostic biomarker of acute leukemia.
AB - Recent evidence indicated that endocan may be a potential cell marker and a new
target for cancers including acute leukemia since the serum endocan level in
patients with acute leukemia was associated with the status of the disease, i.e.,
endocan was higly expressed in untreated acute leukemia, but decreased after
chemotherapy and increased again during bone marrow regeneration. The present
study showed that there was high level expression of endocan in cytoplasm of bone
marrow blasts of patients with acute myeloid leukemia or acute lymphoblastic
leukemia. The expression level of endocan was significantly decreased when the
patients underwent remission after chemotherapy and re-bounces back when the
acute leukemia relapsed. No obvious change in expression of endocan was observed
before and after chemotherapy if the patients showed no remission after
chemotherapy. (N-(4-Hydroxyphenyl) retinamide), a potent anti-angiogenic agent,
could not only down-regulate the expression of vascular epithelial growth factor,
but also decrease endocan transcription and expression in NB4 cells, a human
acute promyelocytic leukemia cell line. These observations suggest that endocan
could act as a predictor for the severity and the prognosis of acute leukemia.
The findings could be used as the basis for future targeted therapy directed
against bone marrow angiogenesis in acute leukemia treatment.
PMID- 24934241
TI - Der p 2 promotes motility of airway epithelial cell attributing to AKT/GSK3beta
associated epithelial-to-mesenchymal transition.
AB - Enhanced motility of epithelial cell plays a critical role in airway repair and
remodeling involved in respiratory disorders such as asthma. Der p 2 (DP2) is a
major allergen derived from Dermatophagoides pteronyssinus, the major source of
indoor allergens causing airway hypersensitiveness. Herein, we hypothesized that
DP2 may promote airway epithelial cell motility involved in airway remodeling.
Using human bronchial cell BEAS-2B as cell model incorporating with
immunoblotting and real-time quantitative PCR, our results revealed that DP2
significantly diminished epithelial marker E-cadherin and elevated mesenchymal
marker vimentin and alpha-smooth muscle actin (alpha-SMA) in both protein and
mRNA levels. Additionally, DP2 altered BEAS-2B cell morphology from cobblestone
like to fibroblast-like shape with reduced cell-cell contact. In parallel,
nuclear translocation of Snail and Slug, the transcriptional repressors of E
cadherin, was increased in response to DP2. Further investigation showed that
activation of AKT and extracellular response-regulated kinase 1/2 and inhibition
of glycogen synthase kinase-3beta (GSK3beta) was involved in translocation of
Snail/Slug triggered by DP2. In addition to regulation of epithelial and
mesenchymal markers, DP2 enhanced cell motility of the airway epithelial cell
associating with AKT/GSK3beta signaling using wound healing assay and invasion
assay. In conclusion, DP2 not only altered expression of E-cadherin, vimentin,
and alpha-SMA, but also enhanced migration and invasiveness of epithelial cell,
attributing to modulation of AKT/GSK3beta signaling and Snail/Slug translocation.
These findings also suggested that DP2 may initiate epithelial-mesenchymal
transition involved in airway remodeling.
PMID- 24934242
TI - Metabolic derangement and cardiac injury early after reperfusion following
intermittent cross-clamp fibrillation in patients undergoing coronary artery
bypass graft surgery using conventional or miniaturized cardiopulmonary bypass.
AB - Myocardial ischemic stress and early reperfusion injury in patients undergoing
coronary artery bypass grafting (CABG) operated on using intermittent cross-clamp
fibrillation (ICCF) are not presently known. The role of mini-cardiopulmonary
bypass (mCPB) versus conventional CPB (cCPB) during ICCF has not been
investigated. These issues have been addressed as secondary objective of
randomised controlled trial (ISRCTN30610605) comparing cCPB and mCPB. Twenty-six
patients undergoing primary elective CABG using ICCF were randomised to either
cCPB or mCPB. Paired left ventricular biopsies collected from 21 patients at the
beginning and at the end of CPB were used to measure intracellular substrates
(ATP and related compounds). Cardiac troponin T (cTnT) and CK-MB levels were
measured in plasma collected from all patients preoperatively and after 1, 30,
60, 120, and 300 min after institution of CPB. ICCF was associated with
significant ischemic stress as seen by fall in energy-rich phosphates early after
reperfusion. There was also a fall in nicotinamide adenine dinucleotide (NAD(+))
indicating cardiomyocyte death which was confirmed by early release of cTnT and
CK-MB during CPB. Ischemic stress and early myocardial injury were similar for
cCPB and mCPB. However, the overall cardiac injury was significantly lower in the
mCPB group as measured by cTnT (mean +/- SEM: 96 +/- 14 vs. 59 +/- 8 ug/l, p =
0.02), but not with CK-MB. ICCF is associated with significant metabolic
derangement and early myocardial injury. This early outcome was not affected by
the CPB technique. However, the overall cardiac injury was lower for mCPB only
when measured using cTnT.
PMID- 24934243
TI - Antidepressant and antipsychotic drugs differentially affect PON1 enzyme
activity.
AB - Human serum paraoxonase (PON1, EC 3.1.8.1.) is a high-density lipid (HDL)
associated, calcium-dependent enzyme. In this study, the effects of Haloperidol,
Fluoxetine hydrochloride, Diazepam and Acepromazine drugs used for the therapy of
antidepressant and antipsychotic diseases, on paraoxonase enzyme activity was
studied in in vitro inhibition studies on purified human serum PON1. PON1 enzyme
was purified from human blood using two-step procedures, namely, ammonium sulfate
precipitation and sepharose-4B-l-tyrosine-1-napthylamine hydrophobic interaction
chromatography. The overall purification of human serum PON1 was obtained in a
activity of 109.29 U/mL and this enzyme was purified 125-fold. The SDS
polyacrylamide gel electrophoresis of the enzyme indicates a single band with an
apparent MW of 43 kDa. Inhibition studies indicated that haloperidol and
fluoxetine hydrocloride were effective inhibitors on purified human serum PON1
activity with IC50 of 0.187 and 3.08 mM values, respectively. The kinetics of
interaction of haloperidol and fluoxetine hydrocloride with the purified human
serum PON1 indicated uncompetitive inhibiton pattern with Ki of 4.15 and 0.007
mM, respectively.
PMID- 24934244
TI - Profiling the chemical content of Ficus lyrata extracts via UPLC-PDA-qTOF-MS and
chemometrics.
AB - This study attempts to elucidate the secondary metabolite profiles of Ficus
lyrata leaves and fruits grown in Egypt. Non-targeted metabolite profiling via
ultra performance liquid chromatography (UPLC)-qTOF-MS was used to identify
various chemical classes in F. lyrata fruits and leaves (i.e. flavonoids,
phenolic acids and fatty acids) analysed by chemometrics. A total of 72
metabolites were evaluated via a UPLC-qTOF-MS-based metabolomic study. Seventeen
flavonoids were characterised and tentatively identified with the main
constituents being catechins/procyanidins, O- and C-linked flavonoid glycosides.
The major procyanidins were dimers and trimers comprising (epi)catechin and
(epi)afzelechin units, whereas the predominant flavones were C-glycosides of
luteolin and apigenin. Aside from these major flavonoid classes, a group of
benzoic acids, caffeoylquinic acids, fatty acid and sphingolipids were also
annotated. This study provides the most complete map for polyphenol distribution
in F. lyrata leaves and fruits and the basis for future investigation of its
fruits nutritional value or possible nutraceutical uses.
PMID- 24934245
TI - Cribriform-morular variant of papillary thyroid carcinoma: an indication to
screen for occult FAP.
AB - Cribriform-morular variant (CMV) is a rare subtype of papillary thyroid carcinoma
(PTC) that is associated with familial adenomatous polyposis (FAP). Given the
high likelihood for multi-organ malignancies in FAP patients, this study explores
the yield of diagnosing occult FAP among CMV-PTC patients. Institutional database
was searched in order to identify patients with pathologically-confirmed CMV-PTC
from 2000 to 2012. Medical records were reviewed, and clinical and pathological
features were analyzed. Eleven cases of CMV were identified from 6,901 patients
with PTC, for a prevalence of 0.16 %. All 11 patients were female. The median age
at CMV-PTC diagnosis was 36 years (range 18-46). Two patients had pre-existing
FAP at the time of PTC diagnosis. The other nine patients were referred for
colonoscopy and/or genetic testing. Six patients underwent colonoscopy and one
(17 %) was diagnosed with FAP based on polyposis phenotype and genetic testing.
The mean age of patients at the time of CMV-PTC diagnosis was younger in the FAP
group (23 years, range 18-34) than in the sporadic group (37 years, range 25-46).
All three patients with FAP-associated CMV-PTC had multicentric tumors, while all
five sporadic patients did not. Our study found that approximately one-sixth of
patients with CMV-PTC may have occult FAP. Patients with FAP-associated CMV-PTC
appear to be younger and more likely to have multicentric tumors than those with
sporadic CMV-PTC. Due to the increased risk of malignancy in patients with FAP,
patients with CMV-PTC should be referred for colonoscopy and/or genetic
evaluation for FAP.
PMID- 24934246
TI - Systematic review and meta-analysis of health promotion interventions for
children and adolescents using an ecological framework.
AB - OBJECTIVE: To evaluate and quantify the evidence for health promotion
interventions in children and adolescents. METHOD: 96 independent samples of
smoking, physical activity, and diet studies were included. Outcomes included
both objective and self-reports of health behavior, as well as proxy measures
such as fitness. RESULTS: The aggregated effect was significant (g = .20, 95%
confidence interval [CI] = 0.08-0.32, n = 96). A significant effect of
intervention was observed at approximately 1-year follow-up (g = .07, 95% CI =
0.02-0.14, n = 20). The greatest risk of bias was failure to blind outcome
assessment, which occurred in 21% of studies. Most studies lacked sufficient
detail to determine the quality of their randomization sequence (58%). Additional
concerns about risk of bias for individual studies were minimal. Overall, the
quality of this finding was moderate using the Grading of Recommendations
Assessment, Development, and Evaluation criteria. CONCLUSION: Health promotion
interventions are effective for modifying health behavior; however, effect sizes
are small.
PMID- 24934248
TI - Systematic review of psychological interventions for pediatric feeding problems.
AB - OBJECTIVE: To conduct a systematic review of the research evaluating the effect
of psychological interventions for pediatric feeding problems. METHODS: A search
was conducted to identify studies using psychological interventions for pediatric
feeding problems published between 1998 and 2013. Randomized controlled trials
(RCTs) and nonrandomized studies that examined aggregated outcome data were
included. Primary outcomes were child mealtime behavior, nutritional status, and
caregiver stress. A risk of bias assessment was conducted and the quality of the
evidence rated using Grading of Recommendations Assessment, Development, and
Evaluation methodology. RESULTS: 13 studies were identified and a narrative
synthesis framework was used to report findings. CONCLUSIONS: The preponderance
of evidence suggests positive effects of psychological intervention for the
treatment of feeding problems. However, limited data and the paucity of studies
using RCT methodologies limit conclusions that can be drawn regarding the
efficacy of these interventions. Future studies using more rigorous research
methods are needed to enhance understanding of these interventions.
PMID- 24934247
TI - Longitudinal trajectories of illness perceptions among adolescents with type 1
diabetes.
AB - OBJECTIVES: To examine development in illness perceptions of type 1 diabetes
across adolescence and relationships with intelligence, diabetes responsibility,
and diabetes outcomes. METHODS: Illness perceptions were measured via the Illness
Perceptions Questionnaire at 3 times, every 6 months in 213 adolescents (M age =
13.00; SD = 1.54) with type 1 diabetes. Intelligence and adolescents' perceived
responsibility for diabetes were examined, and adolescents' report of adherence
and quality of life (QOL), and glycosylated hemoglobin (HbA1c) from medical
records addressed diabetes-related outcomes. RESULTS: Linear growth models showed
significant increases in perceptions of diabetes coherence, chronicity,
consequences, personal and treatment control, and decreases in diabetes
cyclicality and parental control across time. More favorable illness perceptions
were generally associated with adolescent intelligence at baseline, more
adolescent responsibility for management, better adherence and QOL, and lower
HbA1c at each time point. CONCLUSIONS: Results suggest that adolescents develop
complex illness perceptions, which are associated with better diabetes
management.
PMID- 24934249
TI - On mentoring.
PMID- 24934250
TI - Q & a session with Dr. Cooley.
PMID- 24934251
TI - How we flipped the medical classroom.
AB - Flipping the classroom centres on the delivery of print, audio or video based
material prior to a lecture or class session. The class session is then dedicated
to more active learning processes with application of knowledge through problem
solving or case based scenarios. The rationale behind this approach is that
teachers can spend their face-to-face time supporting students in deeper learning
processes. In this paper we provide a background literature review on the flipped
classroom along with a three step approach to flipping the classroom comprising
implementing, enacting and evaluating this form of pedagogy. Our three step
approach is based on actual experience of delivering a flipped classroom at the
University of Hong Kong. This initiative was evaluated with positive results. We
hope our experience will be transferable to other medical institutions.
PMID- 24934252
TI - Hospital nurses' comfort in and frequency of delivering heart failure self-care
education.
AB - BACKGROUND: Nurses are expected to deliver pre-discharge heart failure education
in 8 content areas: what heart failure means, medications, diet, activity, weight
monitoring, fluid restriction, signs/symptoms of worsening condition and
signs/symptoms of fluid overload. AIMS: To examine nurses' comfort in and
frequency of delivering heart failure education to hospitalized patients.
METHODS: A multicenter, descriptive, correlational design and questionnaire
methods were used. General linear models were performed to assess associations of
comfort in and frequency of delivering patient education after controlling for
significant nurse characteristics. RESULTS: Of 118 nurses, mean age was 39 +/-
11.6 years, 61.9% worked on cardiac units and 58.3% spent <15 min providing pre
discharge heart failure education. Comfort in delivering education was highest
for weight monitoring and lowest for activity, and was associated with nurse age
(p=0.019), years in profession (p=0.004) and minutes providing pre-discharge
education (p=0.003). Frequency delivering education was highest for
signs/symptoms of worsening condition (mean frequency, 71.5% +/- 29%) and lowest
for activity (42.7% +/- 29.4%) and was associated with comfort in educating
patients (all p<0.001); and pre-discharge education minutes, p<0.001. Using
general linear modeling, minutes spent delivering pre-discharge education
remained associated with overall comfort in (p=0.04) and frequency of (p<0.001)
heart failure education delivery. CONCLUSIONS: Nurses' comfort in and frequency
of delivering education varied by heart failure self-care content area. Self-care
education areas most important to survival and hospitalization had the lowest
rates of nurse comfort and frequency. Systems and processes are needed to
facilitate education delivery prior to hospital discharge.
PMID- 24934253
TI - Evaluation of malignant breast lesions in the diagnostic setting with cone beam
breast computed tomography (Breast CT): feasibility study.
AB - To investigate the feasibility of noncontrast and contrast-enhanced cone beam
breast Computed Tomography (CT) in demonstrating malignant breast lesions in the
diagnostic setting. This Institutional Review Board approved, Health Information
Portability and Accountability Act compliant, prospective study enrolled BI-RADS
four and five patients from 2008 to 2010. Eighty-seven subjects had noncontrast
breast CT, 42 had contrast-enhanced breast CT (CE-breast CT) with 70
pathologically confirmed cancer diagnoses. All 70 comprise the study cohort for
noncontrast breast CT, and 23 who had CE-breast CT comprise the cohort for CE
breast CT. All had diagnostic work-up. Patient age, breast density, lesion size
and characteristics, biopsy method, and core pathology were recorded. A Fisher's
exact test was used to detect a difference in detectability. For agreement in
size measurement between the imaging modalities, a paired t-test was employed.
Reported p-values were based on 2-sided tests. Two one-sided tests were
calculated to determine equivalence within +/-0.3 cm at a 90% significance level.
Noncontrast breast CT identified 67 of 70 malignant lesions, detected by
diagnostic work-up. CE-breast CT identified 23 of 23 index malignant lesions and
in addition, found three malignant lesions in three cases not previously
detected. Noncontrast breast CT demonstrated the index lesion in 67 of 70 cases
and CE-breast CT demonstrated the index lesion in all 23 cases. An additional
three new malignant lesions not seen with conventional diagnostic work-up were
detected. In this preliminary study, breast CT with or without contrast was shown
to be accurate at identifying malignant breast lesions in the diagnostic setting.
PMID- 24934254
TI - Information and communication technology based prompting for treatment compliance
for people with serious mental illness.
AB - BACKGROUND: Non-compliance is a significant problem among people with serious
mental disorders, presenting a challenge for mental health professionals. Prompts
such as telephone calls, visits, and a posted referral letter to patients are
currently used to encourage patient attendance at clinics and/or compliance with
medication. More recently, the use of information and communication technology
(ICT)-based prompting methods have increased. Methods include mobile text message
(SMS - short message service), e-mail or use of any other electronic device with
the stated purpose of encouraging compliance. OBJECTIVES: To investigate the
effects of ICT-based prompting to support treatment compliance in people with
serious mental illness compared with standard care. SEARCH METHODS: We searched
the Cochrane Schizophrenia Group's Trials Register (31(st) May 2011 and 9(th)
July 2012) which is based on regular searches of CINAHL, BIOSIS, AMED, EMBASE,
PubMed, MEDLINE, PsycINFO, and registries of clinical trials. Also, we inspected
references of all identified studies for further trials and contacted authors of
trials for additional information. SELECTION CRITERIA: Relevant randomised
controlled trials involving adults with serious mental illness, comparing any ICT
based prompt or combination of prompts by automatic or semi-automatic system
compared with standard care. DATA COLLECTION AND ANALYSIS: Review authors
reliably assessed trial quality and extracted data. We calculated risk ratio (RR)
with 95% confidence intervals (CI) using a fixed-effect model. For continuous
outcomes, we estimated the mean difference (MD) between groups, again with 95%
confidence intervals. A 'Summary of findings' table using GRADE was created, and
we assessed included studies for risk of bias. MAIN RESULTS: The search
identified 35 references, with 25 studies, but we could only include two studies
with a total of 358 participants. The studies had a moderate risk of bias, and
therefore risk overestimating any positive effects of ICT-based prompting. Both
included studies compared semi-automatised ICT-based prompting intervention with
standard care groups in mental health outpatient care. The interventions were SMS
message and an electronic assistant device. One included study reported our
primary outcome, compliance.There was not any clear evidence that ICT-based
prompts increase improvement in compliance (stop taking medication within six
months n = 320, RR 1.11 CI 0.96 to 1.29, moderate quality evidence). There was
some low quality evidence that ICT-based prompts have small effects for: mental
state (average change in specific symptom scores within three months n = 251, MD
0.30 CI -0.53 to -0.07; severity of illness within three months n = 251, MD -0.10
CI -0.13 to -0.07 and six months n = 251, MD -0.10 CI -0.13 to -0.07; average
change in depressive scores within six months n = 251, RR 0.00 CI -0.28 to 0.28;
global symptoms within three months n = 251, MD -0.10 CI -0.38 to -0.07; negative
symptoms within three months n = 251, MD -0.10 CI -0.38 to 0.18 and six months n
= 251, MD -0.30 CI -0.58 to 0.02, low quality evidence). Level of insight
improved more among people receiving ICT-based prompt compared with those in the
control group at six months (n = 251, MD -0.10 CI -0.13 to -0.07). ICT-based
prompts also increased quality of life (average change in quality of life within
six months n = 251, RR 0.50 CI 0.19 to 0.81, moderate quality evidence).Based on
the existing data, there is no evidence that either intervention is less
acceptable than the other (n = 347, 2 RCTs, RR 1.46 CI 0.70 to 3.05, low quality
evidence). Included studies did not report outcomes of service utilisation,
behaviour, costs or adverse events. AUTHORS' CONCLUSIONS: The evidence base on
the effects of ICT-based prompts is still inconclusive. Data to clarify ICT-based
prompting effects are awaited from an ongoing trial, but further well-conducted
trials considering the different ICT-based prompts are warranted.
PMID- 24934255
TI - "BeneFITs" to increase colorectal cancer screening in priority populations.
PMID- 24934258
TI - Signaling pathways regulating red blood cell aggregation.
AB - The exposure of red blood cells (RBC) to some hormones (epinephrine, insulin and
glucagon) and agonists of alpha- and beta-adrenergic receptors (phenylephrine,
clonidine and isoproterenol) may modify RBC aggregation (RBCA). Prostaglandin E1
(PGE1) significantly decreased RBCA, and PGE2 had a similar but lesser effect.
Adenylyl cyclase (AC) stimulator forskolin added to RBC suspension, caused a
decrease of RBCA. More marked lowering of RBCA occurred after RBC treatment by dB
cAMP. Phosphodiesterase (PDE) inhibitors markedly reduced RBCA. Ca2+ influx
stimulated by A23187 was accompanied by an increase of RBCA. The blocking of Ca2+
entry into the RBC by verapamil or the chelation of Ca2+ by EGTA led to a
significant RBCA decrease. Lesser changes of aggregation were found after RBC
incubation with protein kinase C stimulator phorbol 12-myristate 13-acetate
(PMA). A significant inhibitory effect of tyrosine protein kinase (TPK) activator
cisplatin on RBCA was revealed, while selective TPK inhibitor, lavendustin,
eliminated the above mentioned effect. Taken together, the data demonstrate that
changes in RBCA are connected with activation of different intracellular
signaling pathways. We suggest that alterations in RBCA are mainly associated
with the crosstalk between the adenylyl cyclase-cAMP system and Ca2+ control
mechanisms.
PMID- 24934259
TI - Fluorescent Au(I)@Ag2/Ag3 giant cluster for selective sensing of mercury(II) ion.
AB - Highly stable Au(I)(core)-Ag(0)(shell) particles have been synthesized in aqueous
solution via a green chemistry pathway utilising sunlight irradiation. The shell
of the particles is composed of fluorescent Ag2 and Ag3 clusters which make the
large core-shell particles highly fluorescent. The Au(I) core of the particles
offers long-term stability to the silver clusters, which are otherwise unstable
in solution at room temperature, by the transfer of electron density from the
shell. Successive additions of Hg(II) ions to the fluorescent solution cause
efficient and selective quenching of the fluorescence with gradual red shifting
of the emission peak. The metallophilic 5d(10)(Hg(2+))-4d(10)(Ag(delta+))
interaction as well as Hg(II) stimulated aggregation have been ascribed to
causing the fluorescence quenching and red shift. The fluorescent Au(I)(core)
Ag(0)(shell) particles are a highly selective and sensitive sensing platform for
the detection of Hg(II) down to 6 nM in the presence of various metal ions. The
detection limit is far below the permissible level as determined by the EPA.
Interferences due to Cu(II) and Fe(III) have been eliminated using Na2-EDTA and
NH4HF2, respectively. The fluorescent particles are successfully transferred to
various solvent systems making Hg(II) determination also possible in non-aqueous
media. Finally, the temperature dependent fluorescence change with and without
Hg(II) provides information about the metallophilic interaction.
PMID- 24934257
TI - Factor XIII-A transglutaminase acts as a switch between preadipocyte
proliferation and differentiation.
AB - Factor XIII-A (FXIII-A) transglutaminase (TG) was recently identified as a
potential causative obesity gene in human white adipose tissue (WAT). Here, we
have examined the role of TG activity and the role of protein crosslinking in
adipogenesis. Mouse WAT and preadipocytes showed abundant TG activity arising
from FXIII-A. FXIII-A was localized to the cell surface and acted as a negative
regulator of adipogenesis by promoting assembly of fibronectin (FN) from plasma
into preadipocyte extracellular matrix. This modulated cytoskeletal dynamics and
maintained the preadipocyte state. FXIII-A-assembled plasma FN (pFN) matrix
promoted preadipocyte proliferation and potentiated the proproliferative effects
of insulin (INS) while suppressing the prodifferentiating INS signaling. FXIII-A
deficient mouse embryonic fibroblasts showed increased lipid accumulation and
decreased proliferation as well as decreased pFN assembly into extracellular
matrix. Thus, FXIII-A serves as a preadipocyte-bound
proliferation/differentiation switch that mediates effects of hepatocyte-produced
circulating pFN.
PMID- 24934260
TI - AuCd4: a Hume-Rothery Phase with VEC of 1.8 and icosahedral and trigonal
prismatic clusters as building blocks.
AB - The eta phase in the Au-Cd binary system has been synthesized, and the structure
has been analyzed by single-crystal X-ray diffraction. The compound eta-AuCd(4)
crystallizes in the hexagonal space group P6(3)/m (No. 176). The unit cell
contains ~273 atoms. The compound AuCd(4) represents a ?3a * ?3a * c
superstructure of the AgMg(4) type. The structure can be well described by
icosahedral and trigonal-prismatic clusters. A phase transition to the high
temperature epsilon phase occurs exothermically at around 578 K. The compound is
formed at a sharp valence electron concentration of 1.8 e/a. The compound can be
understood within the framework of the Hume-Rothery stabilization mechanism.
PMID- 24934256
TI - A neutrophil intrinsic impairment affecting Rab27a and degranulation in cystic
fibrosis is corrected by CFTR potentiator therapy.
AB - Studies have endeavored to reconcile whether dysfunction of neutrophils in people
with cystic fibrosis (CF) is a result of the genetic defect or is secondary due
to infection and inflammation. In this study, we illustrate that disrupted
function of the CF transmembrane conductance regulator (CFTR), such as that which
occurs in patients with ?F508 and/or G551D mutations, correlates with impaired
degranulation of antimicrobial proteins. We demonstrate that CF blood neutrophils
release less secondary and tertiary granule components compared with control
cells and that activation of the low-molecular-mass GTP-binding protein Rab27a,
involved in the regulation of granule trafficking, is defective. The mechanism
leading to impaired degranulation involves altered ion homeostasis caused by
defective CFTR function with increased cytosolic levels of chloride and sodium,
yet decreased magnesium measured in CF neutrophils. Decreased magnesium
concentration in vivo and in vitro resulted in significantly decreased levels of
GTP-bound Rab27a. Treatment of G551D patients with the ion channel potentiator
ivacaftor resulted in normalized neutrophil cytosolic ion levels and activation
of Rab27a, thereby leading to increased degranulation and bacterial killing. Our
results confirm that intrinsic alterations of circulating neutrophils from
patients with CF are corrected by ivacaftor, thus illustrating additional
clinical benefits for CFTR modulator therapy.
PMID- 24934261
TI - Repeated cycles of chemical and physical disinfection and their influence on
Mycobacterium avium subsp. paratuberculosis viability measured by propidium
monoazide F57 quantitative real time PCR.
AB - Mycobacterium avium subsp. paratuberculosis (MAP) has a high degree of resistance
to chemical and physical procedures frequently used for the elimination of other
bacteria. Recently, a method for the determination of viability by exposure of
MAP to propidium monoazide (PMA) and subsequent real time quantitative PCR (qPCR)
was established and found to be comparable with culture. The aim of this study
was to apply the PMA qPCR method to determine the impact of increasing
concentration or time and repeated cycles of the application of selected
disinfectants on MAP viability. Different MAP isolates responded to the same type
of stress in different ways. The laboratory strain CAPM 6381 had the highest
tolerance, while the 8819 low-passage field isolate was the most sensitive.
Ultraviolet exposure caused only a partial reduction in MAP viability; all MAP
isolates were relatively resistant to chlorine. Only the application of peracetic
acid led to the total elimination of MAP. Repeated application of the treatments
resulted in more significant decreases in MAP viability compared to single
increases in the concentration or time of exposure to the disinfectant.
PMID- 24934262
TI - Infectious diseases in large-scale cat hoarding investigations.
AB - Animal hoarders accumulate animals in over-crowded conditions without adequate
nutrition, sanitation, and veterinary care. As a result, animals rescued from
hoarding frequently have a variety of medical conditions including respiratory
infections, gastrointestinal disease, parasitism, malnutrition, and other
evidence of neglect. The purpose of this study was to characterize the infectious
diseases carried by clinically affected cats and to determine the prevalence of
retroviral infections among cats in large-scale cat hoarding investigations.
Records were reviewed retrospectively from four large-scale seizures of cats from
failed sanctuaries from November 2009 through March 2012. The number of cats
seized in each case ranged from 387 to 697. Cats were screened for feline
leukemia virus (FeLV) and feline immunodeficiency virus (FIV) in all four cases
and for dermatophytosis in one case. A subset of cats exhibiting signs of upper
respiratory disease or diarrhea had been tested for infections by PCR and fecal
flotation for treatment planning. Mycoplasma felis (78%), calicivirus (78%), and
Streptococcus equi subspecies zooepidemicus (55%) were the most common
respiratory infections. Feline enteric coronavirus (88%), Giardia (56%),
Clostridium perfringens (49%), and Tritrichomonas foetus (39%) were most common
in cats with diarrhea. The seroprevalence of FeLV and FIV were 8% and 8%,
respectively. In the one case in which cats with lesions suspicious for
dermatophytosis were cultured for Microsporum canis, 69/76 lesional cats were
culture-positive; of these, half were believed to be truly infected and half were
believed to be fomite carriers. Cats from large-scale hoarding cases had high
risk for enteric and respiratory infections, retroviruses, and dermatophytosis.
Case responders should be prepared for mass treatment of infectious diseases and
should implement protocols to prevent transmission of feline or zoonotic
infections during the emergency response and when transferring the rescued cats
to other shelters or to adopters.
PMID- 24934263
TI - Feline infectious diseases: our curiosity could be their salvation. Introduction.
PMID- 24934264
TI - Transformation of curcumin from food additive to multifunctional medicine:
nanotechnology bridging the gap.
AB - Curcumin (CUR) is a yellow-coloured polyphenolic compound obtained from the
rhizomes of Curcuma longa. In-depth pharmacological screening of curcumin has
given the evidence that CUR persuades shielding and curative effects against
various cancers, cardiovascular, wound healing effect and neuro disorders etc
owning to anti-oxidant, antiproliferative, anti-inflammatory, anti-angiogenic and
antimicrobial activities. However, miserable bioavailability due to poor aqueous
solubility limits the application of CUR in various ailments. Different
methodologies including the nanoparticle technology have been reported for the
bioavailability enhancement of CUR. Nanoparticles exhibit not only the
improvement in the solubility of CUR and alike lipophilic molecules (resulted in
improved bioavailability) but also giving the opportunity for the disease
specific cellular and organ targeting. Improved bioavailability and disease based
site specific delivery of CUR is more likely to bring it as a safe
multifunctional medicine.
PMID- 24934265
TI - Covalent, sequence-specific attachment of long DNA molecules to a surface using
DNA-templated click chemistry.
AB - We present a novel method that covalently and sequence-specifically attaches long
DNA molecules to a surface that is compatible with high-resolution atomic force
microscopy (AFM) imaging. Surfaces prepared with this approach are ideally suited
for performing biophysical experiments on single DNA molecules.
PMID- 24934266
TI - Hydrophobically-associating cationic polymers as micro-bubble surface modifiers
in dissolved air flotation for cyanobacteria cell separation.
AB - Dissolved air flotation (DAF), an effective treatment method for clarifying
algae/cyanobacteria-laden water, is highly dependent on coagulation-flocculation.
Treatment of algae can be problematic due to unpredictable coagulant demand
during blooms. To eliminate the need for coagulation-flocculation, the use of
commercial polymers or surfactants to alter bubble charge in DAF has shown
potential, termed the PosiDAF process. When using surfactants, poor removal was
obtained but good bubble adherence was observed. Conversely, when using polymers,
effective cell removal was obtained, attributed to polymer bridging, but polymers
did not adhere well to the bubble surface, resulting in a cationic clarified
effluent that was indicative of high polymer concentrations. In order to combine
the attributes of both polymers (bridging ability) and surfactants
(hydrophobicity), in this study, a commercially-available cationic polymer,
poly(dimethylaminoethyl methacrylate) (polyDMAEMA), was functionalised with
hydrophobic pendant groups of various carbon chain lengths to improve adherence
of polymer to a bubble surface. Its performance in PosiDAF was contrasted against
commercially-available poly(diallyl dimethyl ammonium chloride) (polyDADMAC). All
synthesised polymers used for bubble surface modification were found to produce
positively charged bubbles. When applying these cationic micro-bubbles in
PosiDAF, in the absence of coagulation-flocculation, cell removals in excess of
90% were obtained, reaching a maximum of 99% cell removal and thus demonstrating
process viability. Of the synthesised polymers, the polymer containing the
largest hydrophobic functionality resulted in highly anionic treated effluent,
suggesting stronger adherence of polymers to bubble surfaces and reduced residual
polymer concentrations.
PMID- 24934268
TI - Sodium-cutting: a new top-down approach to cut open nanostructures on nonplanar
surfaces on a large scale.
AB - We report a new, low-cost and simple top-down approach, "sodium-cutting", to cut
and open nanostructures deposited on a nonplanar surface on a large scale. The
feasibility of sodium-cutting was demonstrated with the successfully cutting open
of ~100% carbon nanospheres into nanobowls on a large scale from Sn@C nanospheres
for the first time.
PMID- 24934267
TI - Column studies to assess the effects of climate variables on redox processes
during riverbank filtration.
AB - Riverbank filtration is an established technique used world-wide to produce clean
drinking water in a reliable and cost-efficient way. This practice is, however,
facing new challenges posed by climate change, as already observed during past
heat waves with the local occurrence of anoxic conditions. In this study we
investigated the effect of direct (temperature) and indirect (dissolved organic
matter (DOM) concentration and composition, flow rate) climate change variables
on redox processes (aerobic respiration, denitrification and Mn(III/IV)/Fe(III)
reduction) by means of column experiments. Natural river water, modified river
water and river water mixed with treated wastewater effluent were used as feed
waters for the columns filled with natural sand from a river-infiltration system
in Switzerland. Biodegradable dissolved organic matter was mainly removed
immediately at the column inlet and particulate organic matter (POM) associated
with the natural sand was the main electron donor for aerobic respiration
throughout the column. Low infiltration rates (<=0.01 m/h) enhanced the oxygen
consumption leading to anoxic conditions. DOM consumption did not seem to be
sensitive to temperature, although oxygen consumption (i.e., associated with POM
degradation) showed a strong temperature dependence with an activation energy of
~70 kJmol(-1). Anoxic conditions developed at 30 degrees C with partial
denitrification and formation of nitrite and ammonium. In absence of oxygen and
nitrate, Mn(II) was mobilized at 20 degrees C, highlighting the importance of
nitrate acting as a redox buffer under anoxic conditions preventing the reductive
dissolution of Mn(III/IV)(hydr)oxides. Reductive dissolution of
Fe(III)(hydr)oxides was not observed under these conditions.
PMID- 24934269
TI - A Wavelet-Statistical Features Approach for Nonconvulsive Seizure Detection.
AB - The detection of nonconvulsive seizures (NCSz) is a challenge because of the lack
of physical symptoms, which may delay the diagnosis of the disease. Many
researchers have reported automatic detection of seizures. However, few
investigators have concentrated on detection of NCSz. This article proposes a
method for reliable detection of NCSz. The electroencephalography (EEG) signal is
usually contaminated by various nonstationary noises. Signal denoising is an
important preprocessing step in the analysis of such signals. In this study, a
new wavelet-based denoising approach using cubical thresholding has been proposed
to reduce noise from the EEG signal prior to analysis. Three statistical features
were extracted from wavelet frequency bands, encompassing the frequency range of
0 to 8, 8 to 16, 16 to 32, and 0 to 32 Hz. Extracted features were used to train
linear classifier to discriminate between normal and seizure EEGs. The
performance of the method was tested on a database of nine patients with 24
seizures in 80 hours of EEG recording. All the seizures were successfully
detected, and false positive rate was found to be 0.7 per hour.
PMID- 24934270
TI - A comparison of the discursive practices of perception of patient participation
in haemodialysis units.
AB - BACKGROUND: According to Norwegian law, nurses are obligated to provide an
acceptable level of health assistance to patients and their family members and to
allow patients and their family members to participate in the planning of patient
care and treatment. AIM: The aim of this study is to compare the perceptions of
older patients undergoing haemodialysis treatment and of their next of kin and of
nurses regarding patient participation in the context of haemodialysis treatment.
RESEARCH DESIGN: The study adopts an approach that is both comparative and
explorative in nature by examining the narratives of patients, nurses and next of
kin and by performing critical discourse analysis as outlined by Fairclough.
ETHICAL CONSIDERATIONS: Permission to carry out the research was granted by the
Regional Committee for Medical and Health Research Ethics of Mid-Norway and by
the participating hospitals. Informed consent and confidentiality were ensured.
FINDINGS: Two discourses were found: (a) the discourse of paternalism with the
discursive practices of achieving physiological balance in patients, trusting the
healthcare team and being excluded or included in the difficult end-of-life
decision-making process, and (b) the discourse of patient participation, with the
themes of maintaining patients' quality of life and trusting patients.
CONCLUSION: The participation of older patients and their next of kin was not as
well integrated as social practice in dialysis units. The dominant discourse
seemed to have an ideology and social practice of paternalism. However, there
existed hegemonic struggles for an ideology of patient participation that
involved (a) achieving physiological balance in patients versus maintaining
patients' quality of life, (b) trusting the healthcare team versus trusting the
patient, and (c) being excluded versus included in the difficult end-of-life
decision-making process.
PMID- 24934271
TI - Empathy in Brazilian nursing professionals: a descriptive study.
AB - BACKGROUND: Essential for the help relation, empathy is the ability to
understand, share, and perceive the subjective experience of other human beings.
OBJECTIVE: The objective in this non-experimental, exploratory, and descriptive
research was to verify, observe, and document empathy in nursing professionals.
RESEARCH DESIGN: Non-experimental, exploratory, and descriptive research.
Participants and research context: the study was conducted at two large
hospitals, one public and the other private, across all shifts. The sample
included 159 individuals. A questionnaire was used to identify sociodemographic
characteristics and the empathy inventory was applied. ETHICAL CONSIDERATIONS:
This study received approval from the Research Ethics Committee at the University
of Sao Paulo at Ribeirao Preto College of Nursing, opinion 1348/2011, and
authorization from the health institutions involved. FINDINGS: The association
tests demonstrated that professionals working in the night shift and in a work
scale rotation scheme, older professionals, and professionals with longer
professional experience are less empathetic. On the other hand, professionals
working in the day shift and in a single shift are more empathetic. Other
influential factors are the time on the job, education, and work shift.
CONCLUSION: There is a lack of empathy studies in professional practice contexts,
in human resource development programs, and throughout the professional education
process.
PMID- 24934273
TI - Induction of phenotypic plasticity in rattlesnake trophic morphology by diet
manipulation.
AB - Gape-limited predators are restricted in the shape and size of prey items they
can ingest by their trophic morphology. Evolutionary theory predicts that gape
limited predators, such as rattlesnakes, should possess plasticity in their
trophic morphology to allow them to respond to environmental cues about their
prey base. This study examined the effects of two possible influences over
trophic morphology in the pit-viper Crotalus viridis viridis. Snakes from six
litters were exposed to diet manipulations performed over 480 days. By day 480,
snakes from two prey-size treatments exhibited significantly different head
shapes. Snakes reared on whole rodents had broader heads, whereas snakes force
fed homogenized prey had narrower heads. Shape differences varied among litters,
suggesting that not all litters responded the same to diet manipulations. Results
suggest that trophic morphology of rattlesnakes is plastic, at least in some
litters, and can be induced by prey items.
PMID- 24934272
TI - Blood folate concentrations among women of childbearing age by race/ethnicity and
acculturation, NHANES 2001-2010.
AB - Hispanic women have higher rates of neural tube defects and report lower total
folic acid intakes than non-Hispanic white (NHW) women. Total folic acid intake,
which is associated with neural tube defect risk reduction, has been found to
vary by acculturation factors (i.e. language preference, country of origin, or
time spent in the United States) among Hispanic women. It is unknown whether this
same association is present for blood folate status. The objective of this
research was to assess the differences in serum and red blood cell (RBC) folate
concentrations between NHW women and Mexican American (MA) women and among MA
women by acculturation factors. Cross-sectional data from the 2001-2010 National
Health and Nutrition Examination Survey (NHANES) were used to investigate how
blood folate concentrations differ among NHW or MA women of childbearing age. The
impact of folic acid supplement use on blood folate concentrations was also
examined. MA women with lower acculturation factors had lower serum and RBC
folate concentrations compared with NHW women and to their more acculturated MA
counterparts. Consuming a folic acid supplement can minimize these disparities,
but MA women, especially lower acculturated MA women, were less likely to report
using supplements. Public health efforts to increase blood folate concentrations
among MA women should consider acculturation factors when identifying appropriate
interventions.
PMID- 24934274
TI - Future treatment in patients with chronic obstructive pulmonary disease: to
reverse or not to reverse steroid resistance-that is the question.
PMID- 24934275
TI - Early improvement in basophil sensitivity predicts symptom relief with grass
pollen immunotherapy.
PMID- 24934277
TI - Unemployment and Suicide Mortality: Evidence from Regional Panel Data in Europe.
AB - This paper addresses the influence of economic activity on suicide mortality in
Europe. To this end, it employs a new panel data set of 275 regions in 29
countries over the period 1999-2010. The results suggest that unemployment does
have a significantly positive influence on suicides. In line with economic
theory, this influence varies among gender and age groups. Men of working age are
particularly sensitive, while old-age suicide mortality (older than 65 years old)
hardly responds to unemployment. Moreover, real economic growth negatively
affects the suicide rates of working-age men. The results withstand several
robustness checks, such as sample variations, and after controlling for serial
and spatial autocorrelation.
PMID- 24934278
TI - CuGeO3 nanowires covered with graphene as anode materials of lithium ion
batteries with enhanced reversible capacity and cyclic performance.
AB - A facile one-step route was developed to synthesize crystalline CuGeO3
nanowire/graphene composites (CGCs). Crystalline CuGeO3 nanowires were tightly
covered and anchored by graphene sheets, forming a layered structure.
Subsequently, CGCs were exploited as electrode materials for lithium ion
batteries (LIBs). The reversible formation of Li2O buffer layer and elastic
graphene sheets accommodated the volume change during the charge and discharge
processes. CGC containing 37 wt% graphene exhibited a superior electrochemical
performance, that is, a remarkable reversible capacity (1265 mA h g(-1) for the
first cycle), an outstanding cyclic performance (853 mA h g(-1) after 50 cycles
under a current density of 200 mA g(-1)), a high coulombic efficiency, and an
excellent rate capability. Clearly, CGCs may stand out as a promising anode
material for LIBs.
PMID- 24934279
TI - TrkB is involved in the mechanism by which BDNF accelerates the glutamate-induced
death of rat neuroblastoma B35 cells.
AB - OBJECTIVE: Brain-derived neurotrophic factor (BDNF) binds to its high-affinity
binding receptor, tropomyosin-related kinase (Trk) B, and can induce neuronal
differentiation and survival. BDNF also accelerates neuronal cell death in a
glutamate-induced model; however, it has been unknown whether the mechanism
involves TrkB. In the current study, to determine the role of TrkB in neuronal
cell death, we investigated TrkB involvement in BDNF acceleration of glutamate
induced neuronal death. METHODS: A TrkB-stable transformant of rat neuroblastoma
B35 (B35(TrkB)) cells was utilized to investigate whether TrkB is involved in
BDNF acceleration of neuronal death. The cell viability of the B35(TrkB) cells
was compared to that of mock vector-transgened B35 (B35(mock)) cells after
treatment with/without BDNF and glutamate. RESULTS: In both B35(TrkB) and
B35(mock) cells, glutamate treatment decreased the cell viability. BDNF treatment
further accelerated the decrease in the viability of B35(TrkB) cells, but not
that in the viability of B35(mock) cells. At glutamate concentrations that did
not significantly decrease cell viability, BDNF increased the cell viability of
B35(TrkB), but not that of B35(mock). A mitogen-activated protein kinase (MAPK)
inhibitor, U0126, suppressed BDNF's accelerating effect on cell death. Although
B35 parental cells endogenously express other neurotrophin receptors such as
TrkA, nerve growth factor beta (a ligand of TrkA and p75(NTR)) could not
influence the viability of B35(TrkB) or B35(mock) cells. CONCLUSION: These
results indicate that TrkB is an intermediator for the trophic and toxicity
exacerbating effects of BDNF against cell viabilities at non-cytotoxic and
cytotoxic glutamate concentrations, respectively.
PMID- 24934276
TI - A genome-wide survey of CD4(+) lymphocyte regulatory genetic variants identifies
novel asthma genes.
AB - BACKGROUND: Genome-wide association studies have yet to identify the majority of
genetic variants involved in asthma. We hypothesized that expression quantitative
trait locus (eQTL) mapping can identify novel asthma genes by enabling
prioritization of putative functional variants for association testing.
OBJECTIVE: We evaluated 6706 cis-acting expression-associated variants (eSNPs)
identified through a genome-wide eQTL survey of CD4(+) lymphocytes for
association with asthma. METHODS: eSNPs were tested for association with asthma
in 359 asthmatic patients and 846 control subjects from the Childhood Asthma
Management Program, with verification by using family-based testing. Significant
associations were tested for replication in 579 parent-child trios with asthma
from Costa Rica. Further functional validation was performed by using
formaldehyde-assisted isolation of regulatory elements (FAIRE) quantitative PCR
and chromatin immunoprecipitation PCR in lung-derived epithelial cell lines (Beas
2B and A549) and Jurkat cells, a leukemia cell line derived from T lymphocytes.
RESULTS: Cis-acting eSNPs demonstrated associations with asthma in both cohorts.
We confirmed the previously reported association of ORMDL3/GSDMB variants with
asthma (combined P = 2.9 * 10(-8)). Reproducible associations were also observed
for eSNPs in 3 additional genes: fatty acid desaturase 2 (FADS2; P = .002), N
acetyl-alpha-D-galactosaminidase (NAGA; P = .0002), and Factor XIII, A1 (F13A1; P
= .0001). Subsequently, we demonstrated that FADS2 mRNA is increased in CD4(+)
lymphocytes in asthmatic patients and that the associated eSNPs reside within DNA
segments with histone modifications that denote open chromatin status and confer
enhancer activity. CONCLUSIONS: Our results demonstrate the utility of eQTL
mapping in the identification of novel asthma genes and provide evidence for the
importance of FADS2, NAGA, and F13A1 in the pathogenesis of asthma.
PMID- 24934280
TI - It's time for a practical method quantifying vascular calcification.
PMID- 24934281
TI - Lymphoma.
PMID- 24934282
TI - Proximal median neuropathy caused by surgical manipulation of Masson tumor.
PMID- 24934283
TI - Congenital abnormalities and hepatoblastoma: a report from the Children's
Oncology Group (COG) and the Utah Population Database (UPDB).
AB - Beckwith-Wiedemann Syndrome (BWS) and familial adenomatous polyposis (FAP) are
known to predispose to hepatoblastoma (HB). A case-control study was conducted
through the Children's Oncology Group (COG) to study the association of HB with
isolated congenital abnormalities. Cases (N = 383) were diagnosed between 2000
and 2008. Controls (N = 387) were recruited from state birth registries,
frequency matched for sex, region, year of birth, and birth weight. Data on
congenital abnormalities among subjects and covariates were obtained by maternal
telephone interview. Odds ratios (OR) and 95% confidence intervals (CI)
describing the association between congenital abnormalities with HB, adjusted for
sex, birth weight, maternal age and maternal education, were calculated using
unconditional logistic regression. There was a significant association of HB with
kidney, bladder, or sex organ abnormalities (OR = 4.75; 95% CI: 1.74-13) which
appeared to be specific to kidney/bladder defects (OR = 4.3; 95% CI: 1.2-15.3)
but not those of sex organs (OR = 1.24; 95% CI: 0.37-4.1). Elevated but non
significant ORs were found for spina bifida or other spinal defects (OR = 2.12;
95% CI: 0.39-11.7), large or multiple birthmarks (OR = 1.33; 95% CI: 0.81-2.21).
The results were validated through the Utah Population Database (UPDB), a
statewide population-based registry linking birth certificates, medical records,
and cancer diagnoses. In the UPDB, there were 29 cases and 290 population
controls matched 10:1 on sex and birth year. Consistent with the COG findings,
kidney/bladder defects were associated with hepatoblastoma. These results confirm
the association of HB with kidney/bladder abnormalities.
PMID- 24934284
TI - Co-development of pyogenic granuloma and capillary hemangioma on the alveolar
ridge associated with a dental implant: a case report.
AB - INTRODUCTION: The development of various benign oral mucosal lesions associated
with dental implants, such as pyogenic granuloma or peripheral giant cell
granuloma, has been rarely reported. However, the occurrence of vascular
diseases, such as hemangioma, related to dental implants has not been explored in
the literature. In this study, we report a case of co-development of pyogenic
granuloma and capillary hemangioma on the alveolar ridge associated with a dental
implant in a patient undergoing antithrombotic therapy. To the best of our
knowledge, this is first case of hemangioma formation associated with a dental
implant. CASE PRESENTATION: A 68-year-old Korean man was referred for
intermittent bleeding and a dome-shaped overgrowing mass on his upper alveolar
ridge. He underwent dental implantation 5 years ago, and was started on warfarin
for cerebral infarction a year ago. He had experienced gum bleeding and gingival
mass formation 6 months after warfarinization; then, his implant fixture was
removed. However, his gingival mass has been gradually increasing. The gingival
mass was surgically excised, and revealed the coexistence of pyogenic granuloma
and capillary hemangioma in histological analysis of the specimen. The lesion has
showed no recurrence for more than a year. CONCLUSIONS: Regarding immunostaining
features, the endothelial cell markers, CD34 and CD31, and the mesenchymal cell
marker, vimentin, were strongly detected, but cell proliferation marker, Ki-67,
was negatively expressed in the endothelial cells of the hemangioma portion.
However, in the pyogenic granuloma portion, CD34 was almost negatively detected,
whereas vimentin and Ki-67 were highly detected in the fibroblast-like tumor
cells. According to these heterogeneous characteristics of the lesion, the
patient was diagnosed with coexistence of pyogenic granuloma and capillary
hemangioma associated with the dental implant on the attached gingiva. We
recommend that patients with dental implants who have chronic peri-implantitis
under antithrombotic therapy should be closely followed to ensure early detection
of oral mucosal abnormalities.
PMID- 24934285
TI - Elevated serum uric acid is associated with angiotensinogen in obese patients
with untreated hypertension.
AB - This study investigated the correlation between elevated serum uric acid (SUA)
and angiotensinogen in obesity patients with hypertension. A total of 162 obese
and 162 nonobese men with hypertension were recruited in this study. Plasma
angiotensinogen levels were measured by enzyme-linked immunosorbent assay.
Fasting insulin (FINS) was evaluated by radioimmunoassay. Compared with nonobese
patients, obese patients exhibited higher levels of angiotensinogen, FINS, and
homeostasis model assessment index-insulin resistance (HOMA-IR) (P<.001 for all).
Moreover, these indexes significantly increased in obese patients in the highest
tertile of SUA when compared with those in the lowest tertile of SUA (P<.001,
P=.002, P=.007, respectively). In the obese group, SUA levels were significantly
related to angiotensinogen, FINS, and HOMA-IR, respectively. Furthermore, it was
demonstrated that obesity * uric acid was an independent contributor to
angiotensinogen (beta=0.257, P<.001). In conclusion, elevated SUA is strongly
related to angiotensinogen in an obesity-dependent manner in hypertension.
PMID- 24934286
TI - Sexual dimorphism in biomedical research: a call to analyse by sex.
PMID- 24934290
TI - Bariatric surgery - effects on obesity and related co-morbidities.
AB - Laparoscopic adjustable gastric banding (LAGB), laparoscopic Roux-en-Y gastric
bypass (RYGB) and laparoscopic sleeve gastrectomy (SG) are the three most
commonly performed bariatric procedures. Obesity responds well to bariatric
surgery, with major long-lasting weight loss that is most pronounced after RYGB
and SG, where the mean weight loss is about 40 kg or 15 body mass index (BMI)
units. Some of the benefits after RYGB and SG are independent of weight loss, and
the remission of type 2 diabetes is observed a few days after the operation; this
depends on changes in insulin sensitivity and gut hormone responses, especially a
10-fold increase in glucagon-like peptide-1 (GLP-1), which improves insulin
secretion. After gastric banding, the remission of diabetes depends more on
weight loss. Bariatric surgery reduces cardiovascular risk factors including
hypertension, lipid disturbances, non-alcoholic fatty liver, musculoskeletal pain
and reduces mortality of diabetes, cardiovascular diseases and cancers. Bariatric
surgery also improves quality of life. The acute complications of surgery are
infection, bleeding and anastomotic leak. Long-term complications are nutritional
deficiencies, including vitamins and minerals, and anemia. Some patients have
dumping after meals, and a few patients will develop postprandial hypoglycemia
after RYGB. About 25% of patients require plastic surgery to provide relief from
excessive skin tissue.
PMID- 24934288
TI - Cav1.3 channels control D2-autoreceptor responses via NCS-1 in substantia nigra
dopamine neurons.
AB - Dopamine midbrain neurons within the substantia nigra are particularly prone to
degeneration in Parkinson's disease. Their selective loss causes the major motor
symptoms of Parkinson's disease, but the causes for the high vulnerability of SN
DA neurons, compared to neighbouring, more resistant ventral tegmental area
dopamine neurons, are still unclear. Consequently, there is still no cure
available for Parkinson's disease. Current therapies compensate the progressive
loss of dopamine by administering its precursor l-DOPA and/or dopamine D2
receptor agonists. D2-autoreceptors and Cav1.3-containing L-type Ca(2+) channels
both contribute to Parkinson's disease pathology. L-type Ca(2+) channel blockers
protect SN DA neurons from degeneration in Parkinson's disease and its mouse
models, and they are in clinical trials for neuroprotective Parkinson's disease
therapy. However, their physiological functions in SN DA neurons remain unclear.
D2-autoreceptors tune firing rates and dopamine release of SN DA neurons in a
negative feedback loop through activation of G-protein coupled potassium channels
(GIRK2, or KCNJ6). Mature SN DA neurons display prominent, non-desensitizing
somatodendritic D2-autoreceptor responses that show pronounced desensitization in
PARK-gene Parkinson's disease mouse models. We analysed surviving human SN DA
neurons from patients with Parkinson's disease and from controls, and detected
elevated messenger RNA levels of D2-autoreceptors and GIRK2 in Parkinson's
disease. By electrophysiological analysis of postnatal juvenile and adult mouse
SN DA neurons in in vitro brain-slices, we observed that D2-autoreceptor
desensitization is reduced with postnatal maturation. Furthermore, a transient
high-dopamine state in vivo, caused by one injection of either l-DOPA or cocaine,
induced adult-like, non-desensitizing D2-autoreceptor responses, selectively in
juvenile SN DA neurons, but not ventral tegmental area dopamine neurons. With
pharmacological and genetic tools, we identified that the expression of this
sensitized D2-autoreceptor phenotype required Cav1.3 L-type Ca(2+) channel
activity, internal Ca(2+), and the interaction of the neuronal calcium sensor NCS
1 with D2-autoreceptors. Thus, we identified a first physiological function of
Cav1.3 L-type Ca(2+) channels in SN DA neurons for homeostatic modulation of
their D2-autoreceptor responses. L-type Ca(2+) channel activity however, was not
important for pacemaker activity of mouse SN DA neurons. Furthermore, we detected
elevated substantia nigra dopamine messenger RNA levels of NCS-1 (but not Cav1.2
or Cav1.3) after cocaine in mice, as well as in remaining human SN DA neurons in
Parkinson's disease. Thus, our findings provide a novel homeostatic functional
link in SN DA neurons between Cav1.3- L-type-Ca(2+) channels and D2-autoreceptor
activity, controlled by NCS-1, and indicate that this adaptive signalling network
(Cav1.3/NCS-1/D2/GIRK2) is also active in human SN DA neurons, and contributes to
Parkinson's disease pathology. As it is accessible to pharmacological modulation,
it provides a novel promising target for tuning substantia nigra dopamine neuron
activity, and their vulnerability to degeneration.
PMID- 24934291
TI - Bioinspired multicompartmental microfibers from microfluidics.
AB - Bioinspired multicompartmental microfibers are generated by novel capillary
microfluidics. The resultant microfibers possess multicompartment body-and-shell
compositions with specifically designed geometries. Potential use of these
microfibers for tissue-engineering applications is demonstrated by creating
multifunctional fibers with a spatially controlled encapsulation of cells.
PMID- 24934292
TI - Semantic trouble sources and their repair in conversations affected by
Parkinson's disease.
AB - BACKGROUND: It is known that dysarthria arising from Parkinson's disease may
affect intelligibility in conversational interaction. Research has also shown
that Parkinson's disease may affect cognition and cause word-retrieval
difficulties and pragmatic problems in the use of language. However, it is not
known whether or how these problems become manifest in everyday conversations or
how conversation partners handle such problems. AIMS: To describe the pragmatic
problems related to the use of words that occur in everyday conversational
interaction in dyads including an individual with Parkinson's disease, and to
explore how interactants in conversation handle the problems to re-establish
mutual understanding. METHODS & PROCEDURES: Twelve video-recorded everyday
conversations involving three couples where one of the individuals had
Parkinson's disease were included in the study. All instances of other-initiated
repair following a contribution from the people with Parkinson's disease were
analysed. Those instances involving a trouble source relating to the use of words
were analysed with a qualitative interaction analysis based on the principles of
conversation analysis. OUTCOMES & RESULTS: In 70% of the instances of other
initiated repair the trouble source could be related to the semantic content
produced by the individual with Parkinson's disease. The problematic
contributions were typically characterized by more or less explicit symptoms of
word search or use of atypical wording. The conversation partners completed the
repair work collaboratively, but typically the non-impaired individual made a
rephrasing or provided a suggestion for what the intended meaning had been.
CONCLUSIONS & IMPLICATIONS: In clinical work with people with Parkinson's disease
and their conversation partners it is important to establish what type of trouble
sources occur in conversations in a specific dyad. It may often be necessary to
look beyond intelligibility and into aspects of pragmatics to understand more
fully the impact of Parkinson's disease on everyday conversational interaction.
PMID- 24934293
TI - Effective cluster typical medium theory for the diagonal Anderson disorder model
in one- and two-dimensions.
AB - We develop a cluster typical medium theory to study localization in disordered
electronic systems. Our formalism is able to incorporate non-local correlations
beyond the local typical medium theory in a systematic way. The cluster typical
medium theory utilizes the momentum-resolved typical density of states and
hybridization function to characterize the localization transition. We apply the
formalism to the Anderson model of localization in one- and two-dimensions. In
one-dimension, we find that the critical disorder strength scales inversely with
the linear cluster size with a power law, Wc ~ (1/Lc)(1/nu), whereas in two
dimensions, the critical disorder strength decreases logarithmically with the
linear cluster size. Our results are consistent with previous numerical work and
are in agreement with the one-parameter scaling theory.
PMID- 24934289
TI - A mitochondrial origin for frontotemporal dementia and amyotrophic lateral
sclerosis through CHCHD10 involvement.
AB - Mitochondrial DNA instability disorders are responsible for a large clinical
spectrum, among which amyotrophic lateral sclerosis-like symptoms and
frontotemporal dementia are extremely rare. We report a large family with a late
onset phenotype including motor neuron disease, cognitive decline resembling
frontotemporal dementia, cerebellar ataxia and myopathy. In all patients, muscle
biopsy showed ragged-red and cytochrome c oxidase-negative fibres with combined
respiratory chain deficiency and abnormal assembly of complex V. The multiple
mitochondrial DNA deletions found in skeletal muscle revealed a mitochondrial DNA
instability disorder. Patient fibroblasts present with respiratory chain
deficiency, mitochondrial ultrastructural alterations and fragmentation of the
mitochondrial network. Interestingly, expression of matrix-targeted
photoactivatable GFP showed that mitochondrial fusion was not inhibited in
patient fibroblasts. Using whole-exome sequencing we identified a missense
mutation (c.176C>T; p.Ser59Leu) in the CHCHD10 gene that encodes a coiled-coil
helix coiled-coil helix protein, whose function is unknown. We show that CHCHD10
is a mitochondrial protein located in the intermembrane space and enriched at
cristae junctions. Overexpression of a CHCHD10 mutant allele in HeLa cells led to
fragmentation of the mitochondrial network and ultrastructural major
abnormalities including loss, disorganization and dilatation of cristae. The
observation of a frontotemporal dementia-amyotrophic lateral sclerosis phenotype
in a mitochondrial disease led us to analyse CHCHD10 in a cohort of 21 families
with pathologically proven frontotemporal dementia-amyotrophic lateral sclerosis.
We identified the same missense p.Ser59Leu mutation in one of these families.
This work opens a novel field to explore the pathogenesis of the frontotemporal
dementia-amyotrophic lateral sclerosis clinical spectrum by showing that
mitochondrial disease may be at the origin of some of these phenotypes.
PMID- 24934294
TI - The ITALK project: a developmental robotics approach to the study of individual,
social, and linguistic learning.
AB - This article presents results from a multidisciplinary research project on the
integration and transfer of language knowledge into robots as an empirical
paradigm for the study of language development in both humans and humanoid
robots. Within the framework of human linguistic and cognitive development, we
focus on how three central types of learning interact and co-develop: individual
learning about one's own embodiment and the environment, social learning
(learning from others), and learning of linguistic capability. Our primary
concern is how these capabilities can scaffold each other's development in a
continuous feedback cycle as their interactions yield increasingly sophisticated
competencies in the agent's capacity to interact with others and manipulate its
world. Experimental results are summarized in relation to milestones in human
linguistic and cognitive development and show that the mutual scaffolding of
social learning, individual learning, and linguistic capabilities creates the
context, conditions, and requisites for learning in each domain. Challenges and
insights identified as a result of this research program are discussed with
regard to possible and actual contributions to cognitive science and language
ontogeny. In conclusion, directions for future work are suggested that continue
to develop this approach toward an integrated framework for understanding these
mutually scaffolding processes as a basis for language development in humans and
robots.
PMID- 24934295
TI - Effects of replacement of factor VIII amino acids Asp519 and Glu665 with Val on
plasma survival and efficacy in vivo.
AB - Proteolytic cleavage of factor VIII (FVIII) to activated FVIIIa is required for
participation in the coagulation cascade. The A2 domain is no longer covalently
bound in the resulting activated heterotrimer and is highly unstable. Aspartic
acid (D) 519 and glutamic acid (E) 665 at the A1-A2 and A2-A3 domain interfaces
were identified as acidic residues in local hydrophobic pockets. Replacement with
hydrophobic valine (V; D519V/E665V) improved the stability and activity of the
mutant FVIII over the wild-type (WT) protein in several in vitro assays. In the
current study, we examined the impact of mutations on secondary and tertiary
structure as well as in vivo stability, pharmacokinetics (PK), efficacy, and
immunogenicity in a murine model of Hemophilia A (HA). Biophysical
characterization was performed with far-UV circular dichroism (CD) and
fluorescence emission studies. PK and efficacy of FVIII was studied following
i.v. bolus doses of 4, 10 and 40 IU/kg with chromogenic and tail clip assays.
Immunogenicity was measured with the Bethesda assay and ELISA after a series of
i.v. injections. Native secondary and tertiary structure was unaltered between
variants. PK profiles were similar at higher doses, but at 4 IU/kg plasma
survival of D519V/E665V was improved. Hemostasis at low concentrations was
improved for the mutant. Immune response was similar between variants. Overall,
these results demonstrate that stabilizing mutations in the A2 domain of FVIII
can improve HA therapy in vivo.
PMID- 24934297
TI - Light obscuration measurements of highly viscous solutions: sample pressurization
overcomes underestimation of subvisible particle counts.
AB - Light obscuration (LO) is the current standard technique for subvisible particle
analysis in the quality control of parenterally administered drugs, including
therapeutic proteins. Some of those, however, exhibit high viscosities due to
high protein concentrations, which can lead to false results by LO measurements.
In this study, we show that elevated sample viscosities, from about 9 cP, lead to
an underestimation of subvisible particle concentrations, which is easily
overlooked when considering reported data alone. We evaluated a solution to this
problem, which is the application of sample pressurization during analysis. The
results show that this is an elegant way to restore the reliability of LO
analysis of highly viscous products without the necessity of additional sample
preparation.
PMID- 24934299
TI - Evolution of electrocardiographic abnormalities in association with neuromuscular
disorders and survival in left ventricular hypertrabeculation/noncompaction.
AB - BACKGROUND: Left ventricular hypertrabeculation/noncompaction (LVHT) is
frequently associated with neuromuscular disorders (NMDs) and
electrocardiographic (ECG) abnormalities. The prognostic relevance of newly
developed ECG abnormalities in LVHT and its dependency on NMD is largely unknown.
Aim of the following retrospective cohort study in LVHT patients was thus to
assess the development of new ECG abnormalities and its dependency on NMD and
survival. METHODS: Included were patients in whom (a) LVHT was diagnosed between
1995 and 2011, (b) baseline ECG recordings (bECG), and (c) follow-up ECG
recordings (fECG) were available. Survival status was assessed in June 2013.
RESULTS: Included were 105 patients (mean age 55 years, 36 females, 67 with NMD).
The interval between bECG and fECG was 3.6 years. ECG abnormalities increased in
46%, were unchanged in 44% and decreased in 11%. Increase was associated with age
(59 years vs 49 years, P = 0.0169), exertional dyspnea (79% vs 53%, P = 0.013),
heart failure (81% vs 47%, P = 0.0149), a left ventricular end-diastolic diameter
>57 mm (76% vs 43%, P = 0.004) and a left ventricular fractional shortening <25%
(68% vs 42%, P = 0.0429). New ECG abnormalities were ST-T wave abnormalities (n =
35), left anterior hemiblock (n = 6) and Q waves (n = 6). During 71 months, 40
patients died. Multivariate analysis identified age, male gender, "constant" (in
bECG as well as fECG) atrial fibrillation, disappearance of atrial fibrillation,
development as well as disappearance of low voltage ECG, increase of QRS width,
constant QRS width >120 ms and constant tall QRS complexes as predictors for
mortality. CONCLUSIONS: LVHT-patients develop frequently new ECG abnormalities of
prognostic relevance.
PMID- 24934296
TI - An electrically tight in vitro blood-brain barrier model displays net brain-to
blood efflux of substrates for the ABC transporters, P-gp, Bcrp and Mrp-1.
AB - Efflux transporters of the ATP-binding cassette superfamily including breast
cancer resistance protein (Bcrp/Abcg2), P-glycoprotein (P-gp/Abcb1) and multidrug
resistance-associated proteins (Mrp's/Abcc's) are expressed in the blood-brain
barrier (BBB). The aim of this study was to investigate if a bovine
endothelial/rat astrocyte in vitro BBB co-culture model displayed polarized
transport of known efflux transporter substrates. The co-culture model displayed
low mannitol permeabilities of 0.95 +/- 0.1 . 10(-6) cm.s(-1) and high
transendothelial electrical resistances of 1,177 +/- 101 Omega.cm(2).
Bidirectional transport studies with (3)H-digoxin, (3)H-estrone-3-sulphate and
(3)H-etoposide revealed polarized transport favouring the brain-to-blood
direction for all substrates. Steady state efflux ratios of 2.5 +/- 0.2 for
digoxin, 4.4 +/- 0.5 for estrone-3-sulphate and 2.4 +/- 0.1 for etoposide were
observed. These were reduced to 1.1 +/- 0.08, 1.4 +/- 0.2 and 1.5 +/- 0.1, by
addition of verapamil (digoxin), Ko143 (estrone-3-sulphate) or zosuquidar +
reversan (etoposide), respectively. Brain-to-blood permeability of all substrates
was investigated in the presence of the efflux transporter inhibitors verapamil,
Ko143, zosuquidar, reversan and MK 571 alone or in combinations. Digoxin was
mainly transported via P-gp, estrone-3-sulphate via Bcrp and Mrp's and etoposide
via P-gp and Mrp's. The expression of P-gp, Bcrp and Mrp-1 was confirmed using
immunocytochemistry. The findings indicate that P-gp, Bcrp and at least one
isoform of Mrp are functionally expressed in our bovine/rat co-culture model and
that the model is suitable for investigations of small molecule transport.
PMID- 24934301
TI - ExpertEyes: open-source, high-definition eyetracking.
AB - ExpertEyes is a low-cost, open-source package of hardware and software that is
designed to provide portable high-definition eyetracking. The project involves
several technological innovations, including portability, high-definition video
recording, and multiplatform software support. It was designed for challenging
recording environments, and all processing is done offline to allow for
optimization of parameter estimation. The pupil and corneal reflection are
estimated using a novel forward eye model that simultaneously fits both the pupil
and the corneal reflection with full ellipses, addressing a common situation in
which the corneal reflection sits at the edge of the pupil and therefore breaks
the contour of the ellipse. The accuracy and precision of the system are
comparable to or better than what is available in commercial eyetracking systems,
with a typical accuracy of less than 0.4 degrees and best accuracy below 0.3
degrees , and with a typical precision (SD method) around 0.3 degrees and best
precision below 0.2 degrees . Part of the success of the system comes from a high
resolution eye image. The high image quality results from uncasing common digital
camcorders and recording directly to SD cards, which avoids the limitations of
the analog NTSC format. The software is freely downloadable, and complete
hardware plans are available, along with sources for custom parts.
PMID- 24934300
TI - Beneficial or neutral effect of breastfeeding on cognitive outcomes in children
of mothers with epilepsy?
PMID- 24934303
TI - Cellular immunotherapy for patients with reactivation of JC and BK polyomaviruses
after transplantation.
AB - Immunosuppression of patients after hematopoietic stem cell or kidney
transplantation potentially leads to reactivation of JC and BK polyomaviruses. In
hematopoietic stem cell transplantation, the reactivation rate of BKV can be up
to 60%, resulting in severe complications of the urogenital tract, particularly
hemorrhagic cystitis and renal dysfunction. After kidney transplantation, BKV
reactivation can cause a loss of the graft. JCV can cause progressive multifocal
leukoencephalopathy, a lethal disease. Adoptive transfer of donor-derived
polyomavirus-specific T cells is an attractive and promising treatment that
restores virus-specific cellular immunity. Pioneering work in the early 1990s on
the reconstitution of cellular immunity against cytomegalovirus and recent
development in the field of monitoring and isolation of antigen-specific T cells
paved the way toward a personalized T-cell therapy. Multimer technology and
magnetic beads are available to produce untouched T cells in a single-step, good
manufacturing practice-compliant procedure. Another exciting aspect of T-cell
therapy against polyomaviruses is the fact that both JCV and BKV can be targeted
simultaneously because of their high sequence homology. Finally, "designer T
cells" can be redirected to recognize polyomavirus antigens with high-affinity T
cell receptors. This review summarizes the state-of-the art technologies and
gives an outlook of future developments in the field.
PMID- 24934302
TI - PPAR-alpha and PPAR-beta expression changes in the hippocampus of rats undergoing
global cerebral ischemia/reperfusion due to PPAR-gamma status.
AB - BACKGROUND: Peroxisome proliferator-activated receptors (PPARs, including alpha,
beta and gamma subtypes) and their agonists have a protective role in treatment
of central nervous system (CNS) diseases. The present study was designed to
investigate the expression changes of PPAR-alpha, -beta, -gamma and NF-kappa B in
the hippocampus of rats with global cerebral ischemia/reperfusion injury (GCIRI)
after treatment with agonists or antagonists of PPAR-gamma. METHODS: A rat GCIRI
model was established by occlusion of bilateral common carotid arteries and
cervical vena retransfusion. GW9662 (5 MUg), a selective PPAR- gamma antagonist,
was intraventricularly injected at 0.5 h before GCIR; Rosiglitazone (0.8, 2.4 and
7.2 mg/kg), a selective PPAR- gamma agonist, was injected intraperitoneally at 1
h before GCIRI. The expression changes of PPAR-alpha, -beta and -gamma at mRNA
and protein levels were detected by RT-PCR and western blotting. The changes of
spatial learning and memory (SLM) functions were assessed by using a Morris water
maze; the pathohistological changes of hippocampal neurons were evaluated by
hematoxylin-eosin (HE) staining; the contents of IL-1, IL-6, IL-10 and TNF-alpha,
and the NF- kappa B expression were measured by enzyme-linked immunosorbent assay
(ELISA) and immunohistochemical staining. The superoxide dismutase (SOD) activity
and malondialdehyde (MDA) content were also detected. RESULTS: The SLM function
and hippocampal neurons were significantly impaired after the occurrence of
GCIRI. The MDA, IL-1, IL-6, IL-10, TNF-alpha content and expression of PPARs
increased significantly, but the SOD activity and NF-kappa B expression were
weakened in the hippocampus. Rosiglitazone treatment significantly protected rats
from SLM function impairment and neuron death, and resulted in higher expressions
of SOD activity and NF-kappa B, but lower contents of MDA and inflammatory
factors. After treatment with rosiglitazone or GW9662, no significant change in
PPAR-alpha or -beta expression was detected. CONCLUSIONS: Rosiglitazone, a PPAR
gamma agonist, plays a protective role in hippocampal neuron damage of GCIRI rats
by inhibiting the oxidative stress response and inflammation. The activation or
antagonism of PPAR-gamma did not affect the expression of PPAR-alpha or -beta,
indicating that the three subtypes of PPARs act in independent pathways in the
CNS.
PMID- 24934304
TI - Distinct phenotype and therapeutic potential of gingival fibroblasts.
AB - Gingiva of the oral mucosa provides a practical source to isolate fibroblasts for
therapeutic purposes because the tissue is easily accessible, tissue discards are
common during routine clinical procedures and wound healing after biopsy is fast
and results in complete wound regeneration with very little morbidity or
scarring. In addition, gingival fibroblasts have unique traits, including neural
crest origin, distinct gene expression and synthetic properties and potent
immunomodulatory functions. These characteristics may provide advantages for
certain therapeutic approaches over other more commonly used cells, including
skin fibroblasts, both in intraoral and extra-oral sites. However, identity and
phenotype of gingival fibroblasts, like other fibroblasts, are still not
completely understood. Gingival fibroblasts are phenotypically heterogeneous, and
these...fibroblast subpopulations may play different roles in tissue maintenance,
regeneration and pathologies. The purpose of this review is to summarize what is
currently known about gingival fibroblasts, their distinct potential for tissue
regeneration and their potential therapeutic uses in the future.
PMID- 24934305
TI - Heterotopic bone formation derived from multipotent stromal cells is not
inhibited in aged mice.
AB - BACKGROUND AIMS: Decreased bone formation with age is believed to arise, at least
in part, because of the influence of the senescent microenvironment. In this
context, it is unclear whether multipotent stromal cell (MSC)-based therapies
would be effective for the treatment of bone diseases. METHODS: With the use of a
heterotopic bone formation model, we investigated whether MSC-derived
osteogenesis is impaired in aged mice compared with young mice. RESULTS: We found
that bone formation derived from MSCs is not reduced in aged mice. These results
are supported by the unexpected finding that conditioned media collected from
ionizing radiation-induced senescent MSCs can stimulate mineralization and delay
osteoclastogenesis in vitro. CONCLUSIONS: Overall, our results suggest that
impaired bone formation with age is mainly cell-autonomous and provide a
rationale for the use of MSC-based therapies for the treatment of bone diseases
in the elderly.
PMID- 24934306
TI - Optimal condition of heparin-conjugated fibrin with bone morphogenetic protein-2
for spinal fusion in a rabbit model.
AB - BACKGROUND AIMS: Heparin-conjugated fibrin (HCF) is a carrier for long-term
release of bone morphogenetic protein-2 (BMP-2) and has been shown to promote
bone formation in animal models. We performed an experimental study to determine
the optimal dose of BMP-2 with an HCF carrier that promotes bone formation
comparable to that of autograft while minimizing complications in spinal fusion.
METHODS: Twenty-four rabbits underwent posterolateral fusion of the L5-6 spinal
segments. Different concentrations of HCF BMP-2 (1/10, 1/20, 1/30 or 1/40) were
implanted in the spines of experimental rabbits, and autograft or INFUSE was
implanted in the spines of control animals. Eight weeks after treatment, spinal
fusion efficacy was evaluated by plain radiography, micro-computed tomography
(micro-CT), mechanical testing and histomorphometry. RESULTS: Similar to
autograft, the 1/40 HCF BMP-2 showed significant bone formation on micro-CT and
histomorphometry with mechanical stability. However, the other HCF BMP-2
concentrations did not show significant bone formation compared with autograft.
Although conventional BMP-2 (INFUSE) led to higher bone formation and stability,
it also led to excessive ectopic bone and fibrous tissue formation. CONCLUSIONS:
This study suggests the optimal concentration of BMP-2 using HCF for spinal
fusion, which may decrease the complications of high-dose conventional BMP-2.
PMID- 24934307
TI - Strengthening implementation and utilization of nutrition interventions through
research: a framework and research agenda.
AB - Undernutrition among women and children contributes to almost half the global
burden of child mortality in developing countries. The impact of nutrition on
economic development has highlighted the need for evidence-based solutions and
yielded substantial global momentum. However, it is now recognized that the
impact of evidence-based interventions is limited by the lack of evidence on the
best operational strategies for scaling up nutrition interventions. With the goal
of encouraging greater engagement in implementation research in nutrition and
generating evidence on implementation and utilization of nutrition interventions,
this paper brings together a framework and a broad analysis of literature to
frame and highlight the crucial importance of research on the delivery and
utilization of nutrition interventions. The paper draws on the deliberations of a
high-level working group, an e-consultation, a conference, and the published
literature. It proposes a framework and areas of research that have been quite
neglected, and yet are critical to better understanding through careful research
to enable better translation of global and national political momentum for
nutrition into public health impact.
PMID- 24934308
TI - Percutaneous treatment of left main coronary stenosis following bentall surgery.
AB - A 65-year-old male underwent percutaneous coronary stenting of the left main
trunk seven months after the Bentall procedure as a probable consequence of
surgical glue.
PMID- 24934309
TI - In reply.
PMID- 24934310
TI - Cerebrospinal fluid pressure after epidural blood patching.
PMID- 24934311
TI - Atypical headache following dural puncture in obstetrics.
AB - BACKGROUND: In obstetrics, post-dural puncture headache is a well-recognised
complication. Typical symptoms include fronto-temporal or occipital headache,
worsening with ambulation and improving in the decubitus position. Occasionally,
patients present with non-postural headache, although relatively little is known
about this atypical presentation. The purpose of this study was to determine the
incidence, associated signs and risk factors for this atypical manifestation of
post-dural puncture headache. METHODS: We analysed a series of 27 064 parturients
having a neuraxial procedure between January 2001 and December 2010. Using data
from electronic anaesthesia patient records, medical charts and a postpartum
quality audit, we identified all parturients with atypical post-dural puncture
headache. We assessed the incidence and used uni- and multivariate analysis to
identify associated risk factors. RESULTS: Amongst 142 parturients with post
dural puncture headache, eight (5.6%, 95% CI 1.7-9.4%) had atypical non-postural
headache. Associated symptoms were stiffness and pain in the cervical, thoracic
or lumbar vertebral area, visual disturbances and vertigo. Significant risk
factors for developing atypical signs were previous migraine, odds ratio 6.1 (95%
CI 1.2-28.7), a more cephalad level of needle insertion, odds ratio 17.2 (95% CI
1.4-210.1) and identification of dural puncture by aspiration of cerebrospinal
fluid from the epidural catheter, odds ratio 5.5 (95% CI 1.2-24.4). Following
multivariate analysis, recognition of dural puncture by aspiration of
cerebrospinal fluid from the epidural catheter was the most significant predictor
of non-orthostatic postdural puncture headache. CONCLUSION: Anaesthetists should
be aware of this atypical clinical presentation, particularly if there is a past
history of migraine, a more cephalad level of needle insertion or identification
of dural puncture by aspiration of cerebrospinal fluid from the epidural
catheter.
PMID- 24934312
TI - Inter-ethnic differences in genetic polymorphisms of xenobiotic-metabolizing
enzymes (CYP1A1, CYP2D6, NAT1 and NAT2) in healthy populations: correlation with
the functional in silico prediction.
AB - Several studies have shown that many polymorphisms of the xenobiotic-metabolizing
enzymes (XME) affect either enzymatic functions or are associated with various
aspects of human health. Owing to the presence of these single nucleotide
variants (SNVs), differences in detoxification capacity have been observed
between many ethnicities. The aim of this investigation was to study the
prevalence of four polymorphisms in XME among various ethnic groups. Attention
was focused on polymorphisms of CYP2D6 (rs1058172, G>A, p.Arg365His), CYP1A1
(rs4646421, c.-26-728C>T), NAT1 (rs4921880, c.-85-1014T>A) and NAT2 (rs1208, A>G,
p.Arg268Lys). These polymorphisms were analyzed in 261 healthy Tunisians
individuals in comparison with different ethnic backgrounds from hapmap database.
In addition, in silico functional prediction was also performed to determine the
loss of function variants. Our results demonstrated that population's origins
widely affect the genetic variability of XME enzymes and Tunisians show a
characteristic pattern. In silico predictions showed a deleterious effect for
p.Arg268Lys substitution on CYP2D6 function, findings confirmed its key role
played in cancer susceptibility. These data show that detoxification genes
structures depend on the studied population. This suggests that ethnic
differences impact on disease risk or response to drugs and therefore should be
taken into consideration in genetic association studies focusing on XME enzymes.
Our results provide the first report on these SNV in Tunisian population and
could be useful for further epidemiological investigations including targeted
therapy.
PMID- 24934313
TI - Matrilin-3 as a putative effector of C-type natriuretic peptide signaling during
TGF-beta induced chondrogenic differentiation of mesenchymal stem cells.
AB - C-type natriuretic peptide (CNP) signaling has been implicated as an important
regulator of chondrogenic differentiation during endochondral bone development.
This preliminary study further investigated the putative effectors and/or targets
of CNP signaling in transforming growth factor (TGF)-beta induced in vitro
chondrogenic differentiation of mesenchymal stem cells (MSCs). Previously
characterized human trabecular bone derived MSCs were induced either with only
TGF-beta1 or with a combination of TGF-beta1 and CNP in micromass culture for 10
or 20 days. Genome wide gene expression profile changes in between these two
groups were analyzed on day-10 or day-20 of culture. Results revealed that there
were only 7 genes, whose expression change was fourfolds or higher in TGF-beta1
and CNP fed group in comparison to only TGF-beta1 fed group. The up-regulated
genes included matrilin-3 (MATN3), engulfment and cell motility 1 (ELMO1), CD24,
and DCN1, defective in cullin neddylation 1, domain containing 1 (DCUN1D1). The
down-regulated genes, on the other hand, included LIM domain kinase 2 (LIMK2),
Ewing sarcoma breakpoint region 1, and guanine nucleotide binding protein (G
protein), gamma 12 (GNG12). The up-regulation of MATN3 was confirmed on the basis
of RT-PCR. The known literature on both CNP signaling and MATN3 function in
chondrogenesis match with each other and suggest MATN3 as a putative effector
and/or target of CNP signaling during this process.
PMID- 24934314
TI - Hepatobiliary cystadenocarcinoma without mesenchymal stroma in a female patient:
a case report.
AB - BACKGROUND: Hepatobiliary cystadenocarcinoma is a rare epithelial malignant
neoplasm of the liver or extrahepatic bile ducts. Early diagnosis of
hepatobiliary cystadenocarcinoma is difficult because of its asymptomatic
features and rarity. Moreover, the molecular pathogenesis of hepatobiliary
cystadenocarcinoma remains unclear. Herein, we described a case of hepatobiliary
cystadenocarcinoma in female with chronic hepatitis B and repeated
hepatolithiasis. CASE PRESENTATION: A 65-year-old woman with medical history of
latent hepatitis B virus infection, repeated choledocholisthiasis, and
cholecystitis was admitted due to a heterogeneous cystic mass (5.6 cm * 4 cm)
shown on abdominal ultrasonography during regular physical checkup. The patient
complained about irregular bowel movements with intermittent diarrhea for two
months before presentation. Computed tomography (CT) disclosed a multiloculated
cystic lesion in the left hepatic lobe with the presence of intraductal stones
and dilatation of intrahepatic ducts. Histological results obtained from left
lobectomy specimens showed hepatobiliary cystadenocarcinoma without accompanied
mesenchymal stroma. CONCLUSION: Notably, hepatobiliary cystadenocarcinoma without
mesenchymal stroma seldom occurs in women and is usually associated with poor
prognosis. We present the rare findings in this patient and suggest that chronic
inflammatory insults in the intrahepatic bile ducts might shed light on the
cystadenocarcinogenesis.
PMID- 24934316
TI - Spatial, ontogenetic and interspecific variability in stable isotope ratios of
nitrogen and carbon of Merluccius capensis and Merluccius paradoxus off South
Africa.
AB - General linear models (GLMs) were used to determine the relative importance of
interspecific, ontogenetic and spatial effects in explaining variability in
stable isotope ratios of nitrogen (delta(15) N) and carbon (delta(13) C) of the
co-occurring Cape hakes Merluccius capensis and Merluccius paradoxus off South
Africa. Significant GLMs were derived for both isotopes, explaining 74 and 56% of
observed variance in Merluccius spp. delta(15) N and delta(13) C, respectively.
Spatial effects (west or south coast) contributed most towards explaining
variability in the delta(15) N model, with Merluccius spp. off the west coast
having higher (by c. 1.40/00) delta(15) N levels than Merluccius spp. off the
south coast. Fish size and species were also significant in explaining
variability in delta(15) N, with both species showing significant linear
increases in delta(15) N with size and M. capensis having higher (by c. 0.70/00)
delta(15) N values than M. paradoxus. Species and coast explained most and
similar amounts of variability in the delta(13) C model, with M. capensis having
higher (by c. 0.80/00) delta(13) C values than M. paradoxus, and values being
lower (by c. 0.70/00) for fishes off the west coast compared with the south
coast. These results not only corroborate the knowledge of Merluccius spp.
feeding ecology gained from dietary studies, in particular the ontogenetic change
in trophic level corresponding to a changing diet, but also that M. capensis
feeds at a slightly higher trophic level than M. paradoxus. The spatial
difference in Merluccius spp. delta(15) N appears due to a difference in isotopic
baseline, and not as a result of Merluccius spp. feeding higher in the food web
off the west than the south coast, and provides new evidence that corroborates
previous observations of biogeographic differences in isotopic baselines around
the South African coast. This study also provides quantitative data on the
relative trophic level and trophic width of Cape hakes over a large size range
that can be used in ecosystem models of the southern Benguela.
PMID- 24934315
TI - Longitudinal assessment of physical activity in women undergoing Roux-en-Y
gastric bypass.
AB - BACKGROUND: Patients undergoing bariatric surgery do not seem to increase
objectively measured physical activity (PA) after surgery, despite substantial
weight loss. The aims of the present study were (i) to objectively characterize 3
months pre-surgery to 9 months postsurgery PA and sedentary behavior changes in
women undergoing Roux-en-Y gastric bypass (RYGB) using tri-axial accelerometers
and (ii) to examine associations between pre-surgery versus postsurgery PA and
sedentary behavior with anthropometric measures taken in home environment.
METHODS: Fifty-six women, with an average pre-surgery body mass index (BMI) of
37.6 (SD 2.6) and of age 39.5 years (SD 5.7), were recruited at five Swedish
hospitals. PA was measured for 1 week by the Actigraph GT3X+ accelerometer, and
anthropometric measures were taken at home visits 3 months pre-surgery and 9
months postsurgery, thus limiting seasonal effects. RESULTS: Average BMI loss, 9
months postsurgery, was 11.7 (SD 2.7) BMI units. There were no significant pre-
to postsurgery differences in PA or sedentary behavior. However, pre-surgery PA
showed negative association with PA change and positive association with
postsurgery PA. Adjustments for pre-surgery BMI had no impact on these
associations. CONCLUSIONS: No significant differences were observed in
objectively measured changes in PA or time spent sedentary from 3 months pre
surgery to 9 months postsurgery among women undergoing RYGB. However, women with
higher pre-surgery PA decreased their PA postsurgery while women with lower pre
surgery PA increased their PA.
PMID- 24934317
TI - The effect of K101 Nail Solution on Trichophyton rubrum and Candida albicans
growth and ultrastructure.
AB - K101 Nail Solution (trademarks Emtrix((r)), Nalox(TM), Naloc(TM)) is a
combination of propylene glycol, urea and lactic acid in a topical formulation
for the treatment of nails affected by onychomycosis. The aim of this study was
to investigate the Minimal Cidal Concentration (MCC) of K101 Nail Solution
against Trichophyton rubrum and Candida albicans as well as the effect of K101
Nail Solution on the micromorphology of these fungi. The MCC of K101 Nail
Solution against T. rubrum and C. albicans was 50% after 60-min exposure time. A
MCC of 50% for K101 Nail Solution means that K101 Nail Solution diluted with e.g.
water to 50% will totally kill the fungi tested. In the scanning electron
microscope C. albicans cells, treated with 50% K101 Nail Solution, showed a
shrunken surface. T. rubrum cells were severely damaged shown as collapse and
degradation of the cells. In the transmission electron microscope most C.
albicans cells, treated with 50% K101 Nail Solution exhibited destroyed
organelles and many necrotic cells were found. The cell wall was clearly degraded
and the contact between the cell wall and the inner membrane was punctured. In T.
rubrum most cells were necrotic. Some cells were clearly collapsed and the
content in the cytoplasm was degraded shown as small membrane vesicles and many
big vacuoles. The cell wall was clearly degraded and the membrane was punctured.
In conclusion, this in vitro study documents the efficacy of K101 Nail Solution
against T. rubrum and C. albicans.
PMID- 24934319
TI - Boy born with severe brain damage is awarded L12m.
PMID- 24934318
TI - Association between low-frequency ultrasound and hip fractures -- comparison with
DXA-based BMD.
AB - BACKGROUND: New methods for diagnosing osteoporosis and evaluating fracture risk
are being developed. We aim to study the association between low-frequency (LF)
axial transmission ultrasound and hip fracture risk in a population-based sample
of older women. METHODS: The study population consisted of 490 community-dwelling
women (78-82 years). Ultrasound velocity (V(LF)) at mid-tibia was measured in
2006 using a low-frequency scanning axial transmission device. Bone mineral
density (BMD) at proximal femur measured using dual-energy x-ray absorptiometry
(DXA) was used as the reference method. The fracture history of the participants
was collected from December 1997 until the end of 2010. Lifestyle-related risk
factors and mobility were assessed at 1997. RESULTS: During the total follow-up
period (1997-2010), 130 women had one or more fractures, and 20 of them had a hip
fracture. Low V(LF) (the lowest quartile) was associated with increased hip
fracture risk when compared with V(LF) in the normal range (Odds ratio, OR = 3.3,
95% confidence interval (CI) 1.3-8.4). However, V(LF) was not related to fracture
risk when all bone sites were considered. Osteoporotic femoral neck BMD was
associated with higher risk of a hip fracture (OR = 4.1, 95% CI 1.6-10.5) and
higher risk of any fracture (OR = 2.4, 95% CI 1.6-3.8) compared to the non
osteoporotic femoral neck BMD. Decreased VLF remained a significant risk factor
for hip fracture when combined with lifestyle-related risk factors (OR = 3.3, 95%
CI 1.2-9.0). CONCLUSION: Low V(LF) was associated with hip fracture risk in older
women even when combined with lifestyle-related risk factors. Further development
of the method is needed to improve the measurement precision and to confirm the
results.
PMID- 24934320
TI - Cost-benefit analysis of polysomnography versus Clinical Assessment Score-15 (CAS
15) for treatment of pediatric sleep-disordered breathing.
AB - OBJECTIVE: To determine the cost of medical care using the Clinical Assessment
Score-15 (CAS-15) scale versus polysomnography (PSG) for children with sleep
disordered breathing in terms of benefit. STUDY DESIGN: Cost-benefit analysis.
SETTING: Hospital-based pediatric otolaryngology practice. SUBJECTS AND METHODS:
Ninety-three patients from our original CAS-15 study were included. Four clinical
measures were used and payment data were obtained. Cost-benefit analysis was
performed for 2 clinical pathways. In pathway 1, all children had PSG; those with
positive studies were referred for adenotonsillectomy. In pathway 2, children
with CAS-15 >= 32 were referred for adenotonsillectomy regardless of PSG. Paired
t test compared intrasubject mean total cost (pathway 1 vs pathway 2). Further
analyses computed a change score for the clinical measures (follow-up minus
baseline); these were divided by estimated treatment cost, producing 4 cost
benefit ratios for each pathway. Paired t tests compared the mean of these ratios
between the pathways. RESULTS: Of 65 PSG+ (15 CAS-), 54 underwent surgery; of 28
PSG- (17 CAS-), 7 underwent surgery. Model estimated costs demonstrate a mean
cost benefit of $US1172 (SE = $214) for pathway 2 versus pathway 1 (P < .001).
CAS-15 is also cost-beneficial versus PSG in 3 of 4 clinical measures (Child
Behavior Checklist total problem T score, P = .008, mean OSA-18 survey score, P <
.001, apnea hypopnea index, P < .001). CONCLUSIONS: We present evidence that a
CAS-15-based treatment decision criterion is superior to PSG in terms of monetary
cost and in benefit per unit cost for 3 of 4 clinical measures evaluated.
PMID- 24934321
TI - 2,4-D adsorption to biochars: effect of preparation conditions on equilibrium
adsorption capacity and comparison with commercial activated carbon literature
data.
AB - Batch isotherm experiments were conducted with chars to study adsorption of the
herbicide 2,4-dichlorophenoxyacetic acid (2,4-D). Chars generated from corncobs,
bamboo and wood chips in a laboratory pyrolyzer at 400-700 degrees C were
compared with traditional kiln charcoals collected from villages in S/SE Asia and
with activated carbons (ACs). 2,4-D uptake by laboratory chars obtained from
bamboo and wood chips after 14 h of pyrolysis at 700 degrees C, from wood chips
after 96 h of pyrolysis at 600 degrees C, and one of the field-collected chars
(basudha) was comparable to ACs. H:C and O:C ratios declined with pyrolysis
temperature and duration while surface area increased to >500 m(2)/g. Increasing
pyrolysis intensity by increasing temperature and/or duration of heating was
found to positively influence adsorption capacity yield (mg(2,4-D/g(feedstock)))
over the range of conditions studied. Economic analysis showed that high
temperature chars can be a cost-effective alternative to ACs for water treatment
applications.
PMID- 24934322
TI - Nitrate reduction by mixed iron(II-III) hydroxycarbonate green rust in the
presence of phosphate anions: the key parameters influencing the ammonium
selectivity.
AB - The reduction of nitrate anions by a mixed Fe(II)-Fe(III) carbonated green rust
(GR) in aqueous medium is studied as a function of the initial pH and the initial
concentrations of iron, phosphate and nitrate. The influence of these parameters
on the fraction of nitrate removed and the production of ammonium is investigated
by the help of statistical experimental designs. The goal is to determine
experimental conditions that maximize the fraction of NO3(-) removed and
concomitantly minimize the production of NH4(+). Increasing the phosphate
concentration relatively to the initial Fe(II) concentration inhibits the
reduction of nitrate probably due to a surface saturation of the lateral sites of
the GR crystals. The kinetics of the reaction is greatly enhanced by increasing
the initial pH at 10.5, however it leads to a global increase of the NH4(+)
production. A partial saturation of the surface sites by phosphate leads to a
global decrease of selectivity of the reaction towards ammonium. The evolution of
the ratio of the NH4(+) concentration to the Fe(II) concentration confirms that
the NO3(-) species are only partially transformed into ammonium. Interestingly at
an initial pH of 7.5, the selectivity of the reaction towards NH4(+) is often
lower than ~30%. The reduction of nitrate by carbonated GR differs from the
behavior of other GRs incorporating Cl(-), F(-) and SO4(2-) anions that fully
transform nitrate into ammonium. Finally, if GR is intended to be used during a
passive water denitrification process, complementary dephosphatation and ammonium
treatments should be considered.
PMID- 24934323
TI - Activating persulfate by Fe0 coupling with weak magnetic field: performance and
mechanism.
AB - Weak magnetic field (WMF) and Fe(0) were proposed to activate PS synergistically
(WMF-Fe(0)/PS) to degrade dyes and aromatic contaminants. The removal rates of
orange G (OG) by WMF-Fe(0)/PS generally decreased with increasing initial pH (3.0
10.0) and increased with increasing Fe(0) (0.5-3.0 mM) or PS dosages (0.5-3.0
mM). Compared to its counterpart without WMF, the WMF-Fe(0)/PS process could
induce a 5.4-28.2 fold enhancement in the removal rate of OG under different
conditions. Moreover, the application of WMF significantly enhanced the
decolorization rate and the mineralization of OG. The degradation rates of
caffeine, 4-nitrophenol, benzotriazole and diuron by Fe(0)/PS were improved by
2.1-11.1 fold due to the superimposed WMF. Compared to many other sulfate radical
based advanced oxidation technologies under similar reaction conditions, WMF
Fe(0)/PS technology could degrade selected organic contaminants with much greater
rates. Sulfate radical was identified to be the primary radical species
responsible for the OG degradation at pH 7.0 in WMF-Fe(0)/PS process. This study
unraveled that the presence of WMF accelerated the corrosion rate of Fe(0) and
thus promoted the release of Fe(2+), which induced the increased production of
sulfate radicals from PS and promoted the degradation of organic contaminants.
Employing WMF to enhance oxidation capacity of Fe(0)/PS is a novel, efficient,
promising and environmental-friendly method since it does not need extra energy
and costly reagents.
PMID- 24934324
TI - Acoustic and aerodynamic measures of the voice during pregnancy.
AB - BACKGROUND: Known influences of sex hormones on the voice would suggest pregnancy
hormones could have an effect, yet studies using acoustic measures have not
indicated changes. Additionally, no examination of the voice before the third
trimester has been reported. Effect of pregnancy on the voice is relatively
unexplored yet could be quite relevant to female speakers and singers.
OBJECTIVES/HYPOTHESIS: It is possible that spectral and aerodynamic measures
would be more sensitive to tissue-level changes caused by pregnancy hormones.
DESIGN/METHODS: In this first longitudinal study of a 32-year-old woman's
pregnancy, weekly voice samples were analyzed for acoustic (fundamental
frequency, perturbation ratios of shimmer and jitter, Harmonic-to-Noise Ratio,
spectral measures, and maximum phonation time) and aerodynamic (average airflow,
peak flow, AC/DC ratio, open quotient, and speed quotient) parameters. RESULTS:
All measures appeared generally stable during weeks 11-39 of pregnancy compared
with 21 weeks postpartum. Slight decrease in minimum airflow and open speed
quotient may reflect suspected vocal fold tissue changes. CONCLUSIONS: It is
recommended that future studies monitor and test correlations among hormone
levels, visual analyses of vocal fold mucosa, aerodynamic function, and glottal
efficiency.
PMID- 24934325
TI - Ischemia-reperfusion rat model of acute pancreatitis: protein carbonyl as a
putative early biomarker of pancreatic injury.
AB - Acute pancreatitis (AP) is an inflammatory disorder that can affect adjacent
and/or remote organs. Some evidence indicates that the production of reactive
oxygen species is able to induce AP. Protein carbonyl (PC) derivatives, which can
also be generated through oxidative cleavage mechanisms, have been implicated in
several diseases, but there is little or no information on this biomarker in AP.
We investigated the association between some inflammatory mediators and PC, with
the severity of ischemia-reperfusion AP. Wistar rats (n = 56) were randomly
assigned in the following groups : control; sham, 15- or 180-min clamping of
splenic artery, with 24 or 72 h of follow-up. The relationships between serum
level of PC and thiobarbituric acid reactive species (TBARS) to myeloperoxidase
(MPO) activity in tissue homogenates and to cytokines in culture supernatants of
pancreatic samples were analyzed. MPO activity was related to the histology
scores and increased in all clamping groups. Tumor necrosis factor-alpha (TNF
alpha), interleukin 1 beta (IL-1beta), and interleukin-6 were higher in the 180
min groups. Significant correlations were found between MPO activity and the
concentrations of TNF-alpha and IL-1beta. PC levels increased in the 15-min to 24
h group. TBARS levels were not altered substantially. MPO activity and TNF-alpha
and IL-1beta concentrations in pancreatic tissue are correlated with AP severity.
Serum levels of PC appear to begin to rise early in the course of the ischemia
reperfusion AP and are no longer detected at later stages in the absence of
severe pancreatitis. These data suggest that PC can be an efficient tool for the
diagnosis of early stages of AP.
PMID- 24934326
TI - Association of circulating levels of RANTES and -403G/A promoter polymorphism to
acute heart failure after STEMI and to cardiogenic shock.
AB - Chemokines, including RANTES, play a crucial role in the processes of
inflammation during cardiovascular disorders, including myocardial infarction,
disease progression and complications. This study aimed to evaluate the role of
RANTES -403G/A polymorphism and levels in circulation in processes of development
and progression of myocardial infarction and cardiogenic shock. A total of 609
patients with ST-segment elevation myocardial infarction, 43 patients with
cardiogenic shock and 130 control subjects were enrolled in the study. RANTES
403G/A promoter polymorphism and baseline serum RANTES levels were analyzed. In
the present study, we associated RANTES -403G/A promoter polymorphism with acute
heart failure in patients with myocardial infarction (p = 0.006) and ejection
fraction 3 months after MI onset (p = 0.02). Further, a difference in circulating
RANTES levels among controls and STEMI subjects, and a relation of serum levels
with acute heart failure was observed (p = 0.03, p = 0.003, respectively). We
found a significant difference when comparing cardiogenic shock patients and
controls (p < 0.001), with the most significant difference between cardiogenic
shock and AHF subgroup of STEMI patients (p < 0.001). We observed a decreasing
tendency of serum RANTES levels with the severity of myocardial infarction and
progression, with the lowest levels in patients with cardiogenic shock (cutoff
level >=80.4 ng/ml). Our results suggest the role of RANTES as a potential
biomarker of cardiogenic shock and acute heart failure in the hospital phase
after myocardial infarction.
PMID- 24934327
TI - Expression of AKR1C3 and CNN3 as markers for detection of lymph node metastases
in colorectal cancer.
AB - The aim of the study was to identify a set of discriminating genes that could be
used for the prediction of Lymph node (LN) metastasis in human colorectal cancer
(CRC), and for this, we compared the whole genome profiles of two CRC cell lines
(the primary cell line SW480 and its LN metastatic variant, SW620) and identified
eight genes [S100 calcium-binding protein P; aldo-keto reductase family 1(AKR1),
member B1 (aldose reductase; AKR1B1); AKR1, member C3 (AKR1C3); calponin 3,
acidic; metastasis associated in colon cancer 1; hemoglobin, epsilon 1; trefoil
factor 3; and FGGY carbohydrate kinase domain containing]. These genes were
examined by quantitative RT-PCR in tissues and LNs in 14 CRC patients and 11
control patients. The level of AKR1C3 mRNA expression was significantly different
between the Dukes' stage A, B, and C groups and the control group (p < 0.05, p <
0.001, and p < 0.001) and was also significantly different between Dukes' stage C
and A or B groups (p < 0.05 and p < 0.001, respectively). The expression of CNN3
was significantly different between the Dukes' stage C and B or control groups (p
< 0.001 and p < 0.01, respectively). There were significant correlations between
the expression levels of AKR1C3 and CNN3. AKR1C3 and CNN3 expressions are more
accurate and suitable markers for the diagnosis of LN metastasis than the other
six genes examined in this study.
PMID- 24934328
TI - Role of mandibular displacement and airway size in improving breathing after
rapid maxillary expansion.
AB - BACKGROUND: Oral breathing and maxillary deficiency are often associated with
steep mandibular plane angle, and retrognathic mandible compared with the faces
of healthy controls. Some studies suggested that after rapid maxillary expansion,
improvement in nasal breathing and repositioning of mandible with transitory
increasing of facial height and, in some cases, spontaneous forward repositioning
might occur. The above-mentioned mandibular effects could contribute to enlarge
oropharynx volume with repositioning of tongue and soft palate with an
improvement of upper airway volume after treatment. The aim of this study was to
investigate by cone beam computed tomography the role of oropharyngeal volume and
mandibular position changes after rapid maxillary expansion in patients showing
improved breathing pattern confirmed by polysomnography exam. METHODS: The final
sample of this retrospective study comprised 14 Caucasian patients (mean age 7.6
years) who undergone rapid maxillary expansion with Haas-type expander banded on
second deciduous upper molars. Cone beam computed tomography scans and
polysomnography exams were collected before placing the appliance (T0) and after
12 months (T1). Mandibular landmarks localization and airway semiautomatic
segmentation on cone beam computed tomography scans allowed airway volume
computing and measurements. RESULTS: No significant differences were found
between oropharyngeal airway changes and mandibular displacement after rapid
maxillary expansion in growing patients. CONCLUSIONS: The suggested improvement
in upper airway and breathing after rapid maxillary expansion should be further
related to different compartments of airway such as rhinopharynx and nasal
cavity.
PMID- 24934329
TI - Meningeal hemangiopericytoma only diagnosed at the time of late bone metastasis.
AB - Hemangiopericytoma is a rare neoplasm. Primary meningeal hemangiopericytomas
account for 1 to 7% of all meningeal tumors. In the literature, meningeal
hemangiopericytomas are mainly case reports, which confirm an aggressive behavior
with a high rate of local recurrence and extracranial metastasis. Metastasis can
be seen many years after initial surgical excision of the primary tumor, and the
most common sites include the bone, liver and lung. We present a pathological
study of four meningeal hemangiopericytomas with bone metastases. All patients
are male with a mean age of 46.5 years. Metastases only involved bone. Three out
of four lesions were initially misdiagnosed as meningiomas. Only one case was
initially correctly diagnosed as meningeal hemangiopericytoma. All patients
underwent surgery with complete resection. Only the patient immediately diagnosed
with meningeal hemangiopericytoma received postoperative radiation therapy. Three
patients had bone metastases without local recurrence including the one who
received radiation therapy. One patient recurred locally after 7 years, and bone
metastasis was found at the same time. Our cases confirm that meningeal
hemangiopericytomas are a separate entity and have a high recurrence rate despite
complete surgical resection, with extracranial metastases, mainly to bone, even
after long intervals.
PMID- 24934330
TI - Nonadiabatic reaction mechanisms of the O((3)P) with cyclopentene.
AB - The reaction mechanism of the ground state oxygen atom O((3)P) with cyclopentene
is investigated theoretically. The triplet and singlet potential energy surfaces
are calculated at the CCSD(T)//MP2/6-311G(d,p) level and the minimum energy
crossing points (MECPs) between the two surfaces are located by means of the
Newton-Lagrange method, from which the complex nonadiabatic reaction pathways are
revealed. Based on the theoretical results, the most probable reaction mechanism
of O((3)P) with c-C5H8 is described, which agrees with the experimental results
nicely, including the condensed phase experiment. At the same time, the newly
revealed reaction mechanism clarifies the previous controversial product
distribution, and predicts the possible existence of the new enol product,
cyclopentenol.
PMID- 24934331
TI - Validation of a guideline-based decision support system for the diagnosis of
primary headache disorders based on ICHD-3 beta.
AB - BACKGROUND: China may have the largest population of headache sufferers and
therefore the most serious burden of disease worldwide. However, the rate of
diagnosis for headache disorders is extremely low, possibly due to the relative
complexity of headache subtypes and diagnostic criteria. The use of computerized
clinical decision support systems (CDSS) seems to be a better choice to solve
this problem. METHODS: We developed a headache CDSS based on ICHD-3 beta and
validated it in a prospective study that included 543 headache patients from the
International Headache Center at the Chinese PLA General hospital, Beijing,
China. RESULTS: We found that the CDSS correctly recognized 159/160 (99.4%) of
migraine without aura, 36/36 (100%) of migraine with aura, 20/21 (95.2%) of
chronic migraine, and 37/59 (62.7%) of probable migraine. This system also
correctly identified 157/180 (87.2%) of patients with tension-type headache
(TTH), of which infrequent episodic TTH was diagnosed in 12/13 (92.3%), frequent
episodic TTH was diagnosed in 99/101 (98.0%), chronic TTH in 18/20 (90.0%), and
probable TTH in 28/46 (60.9%). The correct diagnostic rates of cluster headache
and new daily persistent headache (NDPH) were 90.0% and 100%, respectively. In
addition, the system recognized 32/32 (100%) of patients with medication overuse
headache. CONCLUSIONS: With high diagnostic accuracy for most of the primary and
some types of secondary headaches, this system can be expected to help general
practitioners at primary hospitals improve diagnostic accuracy and thereby reduce
the burden of headache in China.
PMID- 24934332
TI - Voluntary exercise improves performance of a discrimination task through effects
on the striatal dopamine system.
AB - We have previously demonstrated that voluntary exercise facilitates
discrimination learning in a modified T-maze. There is evidence implicating the
dorsolateral striatum (DLS) as the substrate for this task. The present
experiments examined whether changes in DLS dopamine receptors might underlie the
exercise-associated facilitation. Infusing a D1R antagonist into the DLS prior to
discrimination learning facilitated the performance of nonexercising rats but not
exercising rats. Infusing a D2R antagonist impaired the performance of exercising
rats but not nonexercising rats. Exercise-associated facilitation of this task
may rely on an exercise-induced decrease in D1R and increase in D2R activation in
the DLS.
PMID- 24934333
TI - Young and old Pavlovian fear memories can be modified with extinction training
during reconsolidation in humans.
AB - Extinction training during reconsolidation has been shown to persistently
diminish conditioned fear responses across species. We investigated in humans if
older fear memories can benefit similarly. Using a Pavlovian fear conditioning
paradigm we compared standard extinction and extinction after memory reactivation
1 d or 7 d following acquisition. Participants who underwent extinction during
reconsolidation showed no evidence of fear recovery, whereas fear responses
returned in participants who underwent standard extinction. We observed this
effect in young and old fear memories. Extending the beneficial use of
reconsolidation to older fear memories in humans is promising for therapeutic
applications.
PMID- 24934334
TI - Repetition strengthens target recognition but impairs similar lure
discrimination: evidence for trace competition.
AB - Most theories of memory assume that representations are strengthened with
repetition. We recently proposed Competitive Trace Theory, building on the
hippocampus' powerful capacity to orthogonalize inputs into distinct outputs. We
hypothesized that repetition elicits a similar but nonidentical memory trace, and
that contextual details of traces may compete for representation over time. We
designed a task in which objects were incidentally encoded either one or three
times. Supporting our theory, repetition improved target recognition, but
impaired rejection of similar lures. This suggests that, in contrast to past
beliefs, repetition may reduce the fidelity of memory representations.
PMID- 24934335
TI - Vancomycin-associated acute kidney injury in pediatric cardiac intensive care
patients.
AB - OBJECTIVE: Acute kidney injury (AKI) is a significant source of morbidity among
critically ill pediatric patients, including those that have undergone cardiac
surgery. Vancomycin may contribute to AKI in pediatric patients admitted to a
cardiac intensive care unit. DESIGN AND SETTING: Patients admitted to the cardiac
intensive care unit at Texas Children's Hospital and received vancomycin over a 4
year period were included in a case-control study. Patients were excluded if they
underwent renal replacement therapy during vancomycin therapy. Patient
demographic and disease state variables, vancomycin therapy variables, and use of
other nephrotoxic medications were collected. The overall incidence of AKI was
calculated based on doubling of serum creatinine during or within 72 hours of
vancomycin therapy (vancomycin-associated AKI [vAKI]). Patients who developed
vAKI were matched with three patients who did not develop vAKI, and conditional
logistic regression was used to determine independent risk factors for vAKI.
RESULTS: A total of 418 patients met study criteria (males 57.8%) and infants (31
days to 2 years) were the most populous age group (48.6%). Vancomycin-associated
AKI occurred in 30 patients (7.2%), which resulted in a total of 120 patients (30
cases; 90 controls). No significant differences were noted in vancomycin dosing
between groups. Vancomycin-associated AKI patients were less likely to have
undergone cardiac surgery (P < .05), more likely to have undergone extracorporeal
membrane oxygenation (P < .05), and had greater exposure to nephrotoxic
medications (P < .05). A conditional logistic regression model identified
extracorporeal membrane oxygenation as associated with vAKI (odds ratio 14.4, 95%
confidence interval 1.02-203, P = .048) and patients with prior cardiovascular
surgery (odds ratio 0.10, 95% confidence interval 0.02-0.51, P < .01) or an
elevated baseline serum creatinine (odds ratio 0.009, 95% confidence interval
0.0002-0.29, P < .01) as less likely to develop vAKI. CONCLUSIONS: Vancomycin
associated AKI occurs infrequently in the pediatric cardiac intensive care
population and is strongly associated with patient critical illness.
PMID- 24934336
TI - An update on the pharmacological strategies in the treatment of HIV-1-associated
adipose redistribution syndromes.
AB - INTRODUCTION: With the introduction of combination antiretroviral therapy (ART)
for HIV infection in the mid-1990s, descriptions of morphological changes and
metabolic disturbances in treated patients began to emerge. HIV-1/highly active
ART-associated lipodystrophy syndrome (HALS) involves metabolic abnormalities and
diverse forms of anomalous fat distribution. The current review focuses on the
pathophysiological basis and the clinical evidence for the use of several medical
strategies in the management of HALS. AREAS COVERED: We have covered the most
relevant studies related to the pharmacological strategies in the treatment of
HALS, with attention to the current and novel antiretroviral agents. EXPERT
OPINION: The most commonly used strategies for HALS reversion have included
modification of host-dependent factors, including those related to HIV-1
infection and those associated with ART. Preventive and medical strategies have
been associated with moderate success. The only intervention that offers an
immediate aesthetical improvement for patients with HALS so far has been plastic
surgery.
PMID- 24934337
TI - Highly selective detection of trinitrophenol by luminescent functionalized
reduced graphene oxide through FRET mechanism.
AB - Among different nitro compounds, trinitrophenol (TNP) is the most common
constituent to prepare powerful explosives all over the world. A few works on the
detection of nitro explosives have already been reported in the past few years;
however, selectivity is still in its infant stage. As all the nitroexplosives are
highly electron deficient in nature, it is very difficult to separate one from a
mixture of different nitro compounds by the usual photoinduced electron transfer
(PET) mechanism. In the present work, we have used a bright luminescent, 2,6
diamino pyridine functionalized graphene oxide (DAP-RGO) for selective detection
of TNP in the presence of other nitro compounds. The major advantage of using
this material over other reported materials is not only to achieve very high
fluorescence quenching of ~96% but also superior selectivity >80% in the
detection of TNP in aqueous medium via both fluorescence resonance energy
transfer and PET mechanisms. Density functional theory calculations also suggest
the occurrence of an effective proton transfer mechanism from TNP to DAP-RGO,
resulting in this tremendous fluorescence quenching compared to other nitro
compounds. We believe this graphene based composite will emerge a new class of
materials that could be potentially useful for selective detection, even for
trace amounts of nitro explosives in water.
PMID- 24934338
TI - Velocity distributions in confined flows of some complex fluids: sequence, sample
and hardware issues.
AB - The present work addresses the problem of using Pulsed Field Gradient (PFG)
experiments to measure velocity probability density functions and/or
distributions in restricted flows, without being subjected to the blurring due to
diffusive molecular motions. It especially focuses on two important classes of
complex yield-stress fluids, i.e. water based colloidal suspensions or polymeric
gels, and concentrated emulsions. Taking into account the many constraints owing
to fluid diffusive properties, flow rate, hardware characteristics and pore size,
it is found that the existence of suitable and optimised sequence parameters can
be discussed in a graphical way. To do so, it also shown that Murday and Cotts
formula describing diffusion inside emulsion droplets can be efficiently
approximated by means of a set of asymptotic expressions. Different tuning
regimes are identified for both kind of fluids, highlighting the influence of
each of the various constraints on measuring possibilities. A method is given to
build quantitative diagrams indicating pore sizes and flow rates allowing pure
velocity assessment for a given fluid and Nuclear Magnetic Resonance (NMR)
hardware. Measurements are found to be mainly constrained by fluid self
diffusivity and microstructure at low flow rates, and hardware characteristics at
high flow rates. Although high gradient strengths can be made necessary to
decrease achievable velocities and pore sizes in some specific cases, low
gradient systems turn out suitable in many situations thanks to optimised
sequence tuning. Due to their larger size, the latter also appear to offer the
widest variety of workable experimental conditions. The use of these results is
finally exemplified on the practical case of an emulsion flow in a model porous
system.
PMID- 24934339
TI - Greater daily defined dose of antihypertensive medication increases the risk of
falls in older people--a population-based study.
AB - OBJECTIVES: To determine whether there is a relationship between daily defined
dose (DDD) of antihypertensive drugs and the risk of falls. DESIGN: Prospective
population-based cohort study. SETTING: Tasmanian Study of Cognition and Gait,
Australia. PARTICIPANTS: Participants aged 60 to 86 randomly selected from the
electoral roll. MEASUREMENTS: Antihypertensive dose was quantified by estimating
DDD, allowing standardized comparison of dosage between drug classes. Falls were
identified prospectively over 12 months. The relative risk (RR) of falls
associated with DDD was estimated using log binomial regression adjusting for
age, sex, body mass index, education, cardiovascular history, and other risk
factors for falls. RESULTS: Participants (N=409) had a mean age of 72.0+/-6.9,
and 56% were male. Mean baseline blood pressure was 142/80 mmHg, and 54% were
taking antihypertensive medications. One hundred sixty-one participants (39%)
fell over the 12 months. Those who fell were on a higher DDD of antihypertensives
(1.51+/-2.16 than those who did not (1.03+/-1.42) (P=.007). Higher DDD was
independently associated with greater fall risk (RR=1.07, 95% confidence interval
(CI)=1.02-1.11; P=.004), with a 48% greater risk in those with a DDD of more than
3 (RR=1.48, 95% CI=1.06-2.08; P=.02), particularly in those with a history of
stroke (P for interaction .01). This effect remained even after excluding those
not taking antihypertensives or stratifying according to presence of hypertension
and medication use. CONCLUSION: Higher dose of antihypertensive medication is
independently associated with falls in older people, particularly in those with a
history of previous stroke, and with more than three standard units conferring
the highest risk.
PMID- 24934340
TI - Chemical constituents and antimicrobial properties of the essential oil and
ethanol extract from the stem of Aglaia odorata Lour.
AB - The stem-derived essential oil of Aglaia odorata Lour. was obtained by
hydrodistillation using a Clevenger-type system. Gas chromatography-mass
spectrometry analysis of the oil revealed the identification of 39 compounds,
representing 76.4% of the oil; germacrene D (20.3%), alpha-humulene (17.1%),
alpha-himachalene (12.7%) and beta-caryophyllene (10.2%) were the major
components. Ar-turmerone (1) and eichlerialactone (2) were isolated from the stem
oil and ethanolic stem extract of this plant species, respectively. Antimicrobial
activities of the oil and ethanol extract were tested against both Gram-positive
and Gram-negative bacterial strains including Bacillus cereus ATCC 11778,
Staphylococcus aureus ATCC 25923, Acinetobacter baumannii ATCC 19606 and
Escherichia coli ATCC 25922, as well as three rice fungal pathogens Bipolaris
oryzae, Pyricularia oryzae and Rhizoctonia solani using broth microdilution
method. The oil and 1 exhibited significant antifungal activity against the three
rice pathogens tested, whereas 2 exhibited good antibacterial activity against
both the Gram-positive pathogens tested.
PMID- 24934341
TI - Chelating agents for the sequestration of mercury(II) and monomethyl mercury(II).
AB - Both mercury(II) and monomethyl mercury(II) poisonings are of great concern for
several reasons. As it happens for other metals, chelation therapy is the most
indicated treatment for poisoned patients. The efficacy of the therapy and the
reduction of side-effects can be sensibly enhanced by an accurate knowledge of
all the physiological mechanisms involved in metal uptake, transport within and
between various tissues, and (possibly) clearance. All these aspects, however,
are strictly dependent on the chemical speciation (i.e., the distribution of the
chemical species of a component in a given system) of both the metal and the
chelating agent in the systems where they are present. In this light, this review
analyzes the state of the art of research performed in this field for mercury(II)
and methylmercury(II). After a brief summary of their main sources, the
physiological patterns for the treatment of mercury poisoning have also been
considered. The binding ability of various chelating agents toward mercury has
been then analyzed by modeling the behavior of the main classes of ligands
present in biological fluids and/or frequently used in chelation therapy. Their
sequestering ability has been successively evaluated by means of a semiempirical
parameter already proposed for its objective quantification, and the main
characteristics of an efficient chelating agent have been evaluated on this
basis.
PMID- 24934342
TI - Targeting the phosphatidylinositol 3-kinase/AKT pathway for the treatment of
multiple myeloma.
AB - Multiple myeloma is the second most hematological malignancy, accounting for more
than 10% of all blood cancers and 2% of annual cancer-related deaths due to lack
of curable drugs. Novel and molecularly targeted anti-MM drugs are in urgent
need. The phosphatidylinositol 3-kinase (PI3K)/AKT signaling pathway plays a
critical regulatory role in multiple myeloma pathophysiology, including survival,
proliferation, migration, angiogenesis, as well as drug resistance, and has
emerged as a key therapeutic target. Many potent inhibitors targeting this
pathway have been developed and some have been moved for clinical evaluations for
multiple myeloma. In this review, we highlighted the role of the PI3K/AKT pathway
in the pathogenesis of multiple myeloma, and current advances in drug discovery
for this class of inhibitors. Discovery strategies toward the PI3K/AKT inhibitors
were also discussed.
PMID- 24934343
TI - Effect of DNA repair deficiencies on the cytotoxicity of drugs used in cancer
therapy - a review.
AB - Tumor cells often have defects in DNA repair pathways that make them vulnerable
to specific DNA-damaging anticancer agents. The identification of DNA repair
defects in tumor cells and the evaluation of their influence on the cytotoxicity
of anticancer drugs are active areas of scientific investigation that may help
rationalize and improve cancer chemotherapy. This article reviews the available
data on the influence of defects in proteins involved in the major DNA repair
pathways (i.e., homologous recombination, non-homologous end joining, base
excision repair, nucleotide excision repair, mismatch repair, Fanconi anemia
repair, translesion synthesis and direct reversal repair) on the cytotoxicity of
the FDA-approved anticancer drugs. It is shown that specific deficiencies in
these DNA repair pathways alter the cytotoxicity of 60 anticancer drugs,
including classical DNA-targeting drugs (e.g., alkylating agents, cytotoxic
antibiotics, DNA topoisomerase inhibitors and antimetabolites) and other drugs
whose primary pharmacological target is not the DNA (e.g., antimitotic agents,
hormonal and targeted therapies). This information may help predict response to
anticancer drugs in patients with tumors having specific DNA repair defects.
PMID- 24934344
TI - Statins as bioactive molecules to support bone regeneration.
AB - Statins are currently used as an effective cholesterol-lowering medication
through inhibition of the mevalonate pathway, but recent studies show their
potential for bone repair. The bone anabolic effects of statins have been largely
attributed to their ability to enhance BMP-2 expression in osteoblast cells. In
vitro studies have demonstrated that statins can increase the expression of
osteogenic and angiogenic markers such as alkaline phosphatase, vascular
endothelial growth factor, and osteocalcin in cells. In vivo, statins have been
shown to promote significant new bone growth when injected systemically or
locally in combination with a scaffold. The potential anabolic effects of statins
on bone make them attractive candidates to support bone regeneration. Since the
molecular pathways by which statins induce osteoblast differentiation are still
unclear, further investigations are required to elucidate the detailed cellular
signaling mechanisms involved to determine the type of statin, optimal dose and
mode of delivery to effectively utilize their anabolic effect. This also warrants
the development of novel vehicles to locally deliver statins for the desired time
periods to support optimal tissue regeneration in vivo.
PMID- 24934345
TI - GABA system as a target for new drugs.
AB - 4-Aminobutyric acid is an inhibitory neurotransmitter involved in the control of
neuronal activity in the mammalian central nervous system. There is considerable
direct and indirect evidence that impaired activity of GABAmediated inhibitory
synapses may be an important causative factor in experimental and clinical
seizure disorders. This review is focused on the recent development of compounds
which can influence GABA neurotransmission by affecting the GABA receptors, the
plasma-membrane GABA transporters (GATs) and catabolic enzyme GABA-transaminase
(GABAT). These compounds have been primarily investigated in relation to
epilepsy, but it has also been found that a decrease in GABA neurotransmission
appears to be involved in the aetiology of several neurological disorders such as
insomnia, spasticity, neuropathic pain, anxiety and other mental disorders.
PMID- 24934346
TI - DNA binding mode of transition metal complexes, a relationship to tumor cell
toxicity.
AB - Transition metal-based compounds constitute a distinct class of chemotherapeutics
extensively used in the clinic as antitumor and antiviral agents. However, drug
resistance and side effects of established antitumor metallodrugs such as
cisplatin [cis-diamminedichloroplatinum(II)] and its analogues, carboplatin and
oxaliplatin, have limited their clinical utility. These limitations have prompted
a search for more effective and less toxic metal-based antitumor agents. The
unique properties of metal ions, such as redox transfer/electron shuttling, and
versatile coordination geometries arising from various oxidation states, result
in metal ions and complexes that have potential medicinal applications that could
be complementary to organic compounds and which are widely sought in drug
discovery efforts. This review summarizes the results that show that transition
metal complexes exhibit antitumor effects that differ from cisplatin or its
analogues.
PMID- 24934347
TI - Novel in situ activity assays for the quantitative molecular analysis of
neurodegenerative processes in the retina.
AB - The mechanisms of neuronal cell death are still only poorly understood, which has
hindered the advancement of therapies for many currently untreatable
neurodegenerative diseases. This calls for the development of new methods which
reveal critical molecular mechanisms of the celldeath machinery with both high
sensitivity and cellular resolution. Using animal models for hereditary
neurodegeneration in the retina, we have developed or adapted different
biochemical assays to determine the enzymatic activities of calpain, poly-ADP
ribose-polymerase (PARP), and histone deacetylase (HDAC) directly and in situ.
Additionally, the enzymatic activity of cGMP-dependent protein kinase (PKG) was
assessed indirectly using in situ immunohistological techniques to detect PKG
activity-dependent products. Combining these assays with in situ cell death
markers revealed close temporospatial correlations, suggesting causal connections
between the PKG, HDAC, PARP and calpain activities and neuronal cell death. Using
different pharmacological and genetic manipulations, causality could indeed be
demonstrated. Surprisingly, the often dramatic rises in metabolic activities
didnot match by corresponding increases in expression, highlighting the
importance of analyses of protein activities at the cellular level. The above
mentioned studies identified a number of metabolic processes previously unknownto
be involved in inherited retinal degeneration. Comparing different animal retinal
degeneration models uncovered striking similarities in enzymatic activities,
suggesting a generality of the destructive pathways. Taken together, these
findings provided a number of novel targets for neuroprotection and as such
opened up new perspectives for the therapy of hereditary neurodegeneration in the
retina and possibly other parts of the central nervous system.
PMID- 24934348
TI - Boronic acid-based enzyme inhibitors: a review of recent progress.
AB - Since Bortezomib was approved by US FDA as the first drug to treat multiple
myeloma, various boronic acid compounds have been developed as enzyme inhibitors.
This paper reviewed the progress of boronic acid-based inhibitors against enzymes
including proteasome, serine protease, HDACs and other enzymes in the past
decade.
PMID- 24934349
TI - Mitochondria-targeted antioxidants as a therapeutic strategy for protecting
endothelium in cardiovascular diseases.
AB - Endothelial dysfunction involving dysfunctional mitochondria precedes the
development of cardiovascular diseases. This impairment results from an increase
in reactive oxygen species, which leads to oxidative stress and a reduced
bioavailability of nitric oxide. It has been demonstrated that oxidative stress
and alterations in glucose and lipid homeostasis (e.g. hyperinsulinemia,
hyperglycemia, insulin resistance and dyslipidemia) are linked to mitochondrial
impairment and that all of them contribute to endothelial dysfunction. Anti
hyperlipidemic drugs such as statins, anti-hypertensive drugs and angiotensin
receptor antagonists have been shown to exert protection through anti-oxidative
stress mechanisms. Other substances with antioxidant properties, such as
vitamins, are also capable of abolishing the oxidative stress associated with
cardiometabolic diseases. However, the results obtained with general antioxidants
in clinical trials are contradictory, perhaps due to the unspecific nature of the
targets selected. This study correlates endothelial dysfunction and mitochondrial
dysfunction and examines current research for the selective targeting of specific
molecules (such as .NO donors and antioxidants) to mitochondria with the aim of
protecting the endothelium against oxidative stress in cardiovascular diseases.
PMID- 24934350
TI - Salvianolic acid B inhibits atherogenesis of vascular cells through induction of
Nrf2-dependent heme oxygenase-1.
AB - AIMS: Salvianolic acid B (Sal B), one of the most active components of Danshen
extracts, has beneficial roles in the prevention and treatment of cardiovascular
diseases. However, the precise mechanism by which Sal B exerts its effects on
vascular cells is unclear. We aimed to elucidate the effects of Sal B on vascular
cells and the underlying mechanisms. METHODS AND RESULTS: Treatment of vascular
smooth muscle cells with Sal B effectively inhibited platelet-derived growth
factor (PDGF)-induced cell proliferation and migration, and markedly increased
heme oxygenase-1 (HO-1) expression. These changes were accompanied by antioxidant
effects, including decreases in the generation of reactive oxygen species and the
NADP/NADPH ratio. In human umbilical vein endothelial cells, Sal B also strongly
induced HO-1 and effectively inhibited tumor necrosis factor-alpha-induced NF
kappaB activation. Knockdown of HO-1 expression by siRNA abolished the effects of
Sal B in vascular cells and prevented the inhibition of proliferation, migration,
and inflammation in HO-1-deficient cells. In ex vivo culture of arterial rings
isolated from nuclear factor-E2-related factor 2 (Nrf2)-knockout mice, Sal B
neither induce HO-1 expression and nor inhibit PDGF-induced neointimal
hyperplasia in arteries, suggesting that Nrf2 plays a crucial role in the
induction of HO-1 expression. CONCLUSIONS: We conclude that Sal B exerts
antiatherogenic effects by inhibiting the proliferation, migration, and
inflammation of vascular cells through induction of HO-1 via Nrf2 activation.
PMID- 24934351
TI - Oxidative stress, redox signaling and cancer chemoresistance: putting together
the pieces of the puzzle.
AB - Chemotherapy continues to be the main treatment option for cancer. Although
systemic chemotherapy can efficiently eradicate cancer cells, a significant
proportion of patients carry tumors that present a chemoresistant phenotype,
resulting in disease progression, cancer relapse, and reduced survival. It has
also become clear that the effect of most chemotherapeutic drugs is associated
with their capacity to generate reactive species (RS) that bind to specific
structures within the cancer cell and promote cell death. Due to repeated
exposure to chemotherapeutic agents, the redox homeostasis of cancer cells is
continuously disturbed, which can result in changes to the cell's ability to cope
with excessive RS levels through the production of protective molecules. It is
thought that the imbalance resulting from this process-- oxidative stress--is
toxic to cancer cells. Paradoxically, the metabolites produced during oxidative
stress can favor the survival of some cancer subpopulations, which present
specific gene signatures that confer a chemoresistant phenotype on these clones.
Despite the huge amount of information generated by currently available
technologies, we cannot predict whether this resistance will arise during
chemotherapy and we still do not fully understand the mechanism by which it
arises. In this review, we discuss the main findings regarding the role of
oxidative stress signaling in cancer chemotherapy and the key redox molecules and
pathways that lead to the development of chemoresistance.
PMID- 24934352
TI - Novel Nor-Homo- and Spiro-Oxetan- Steroids Target the Human Androgen Receptor and
Act as Antiandrogens.
AB - The prostate adenocarcinoma is the cancer with the highest incidence for men in
Western countries. Targeting the androgen receptor (AR) by antagonists is used as
hormone therapy for prostate cancer (PCa), however, eventually therapy resistance
occurs in most patients. In most of these cancer the AR signaling is active and
thus AR remains an important drug target. Since many years we are characterizing
novel chemical structural platforms to provide a broader possibility for
compounds that bind to and act as AR antagonists. Here, we describe the chemical
synthesis of a battery of novel steroidal derivatives as nor-homo-, spiro-oxolan-
and spiro-oxetan- steroids. They modulate the transcriptional activity of the
human AR. As AR antagonists, the spiro-oxetan- steroid derivatives seem to be the
most potent steroid derivatives. They inhibit the transcriptional activity of
both wild-type AR as well as the AR mutant T877A. In line with this, these
compounds bind to the human AR and inhibit the proliferation of the human
androgen-dependent growing PCa cell line LNCaP. Interestingly, the castration
resistant AR expressing human PC3-AR cells are also growth inhibited. On
mechanistic level, fluorescence resonance energy transfer (FRET) assays with
living cells indicate that the androgen-induced N/C terminal interaction of the
AR is inhibited by the investigated compounds. Using fluorescence recovery after
photobleaching (FRAP) assays in living cells suggest a higher mobility of the AR
in the cell nuclei in the presence of spiro-oxetan- steroidal antagonists.
Together, these findings suggest that spiro-oxetan- steroids are very useful as a
chemical platform for novel AR antagonists.
PMID- 24934353
TI - Fetal programming of the human brain: is there a link with insurgence of
neurodegenerative disorders in adulthood?
AB - In recent years, evidence is growing on the role played by gestational factors in
shaping brain development and on the influence of intrauterine experiences on
later development of neurodegenerative diseases including Parkinson's (PD) and
Alzheimer's disease (AD). The nine months of intrauterine development and the
first three years of postnatal life are appearing to be extremely critical for
making connections among neurons and among neuronal and glial cells that will
shape a lifetime of experience. Here, the multiple epigenetic factors acting
during gestation - including maternal diet, malnutrition, stress, hypertension,
maternal diabetes, fetal hypoxia, prematurity, low birth weight, prenatal
infection, intrauterine growth restriction, drugs administered to the mother or
to the baby - are reported, and their ability to modulate brain development,
resulting in interindividual variability in the total neuronal and glial burden
at birth is discussed. Data from recent literature suggest that prevention of
neurodegeneration should be identified as the one method to halt the diffusion of
neurodegenerative diseases. The "two hits" hypothesis, first introduced for PD
and successfully applied to AD and other neurodegenerative human pathologies,
should focus our attention on a peculiar period of our life: the intrauterine and
perinatal periods. The first hit to our nervous system occurs early in life,
determining a PD or AD imprinting to our brain that will condition our resistance
or, alternatively, our susceptibility to develop a neurodegenerative disease
later in life. In conclusion, how early life events contribute to late-life
development of adult neurodegenerative diseases, including PD and AD, is emerging
as a new fascinating research focus. This assumption implies that research on
prevention of neurodegenerative diseases should center on events taking place
early in life, during gestation and in the perinatal periods, thus presenting a
new challenge to perinatologists: the prevention of neurodegenerative human
diseases.
PMID- 24934354
TI - Effects of iron and copper overload on the human liver: an ultrastructural study.
AB - Iron and copper ions play important roles in many physiological functions of our
body, even though the exact mechanisms regulating their absorption, distribution
and excretion are not fully understood. Metal-related human pathology may be
observed in two different clinical settings: deficiency or overload. The overload
in liver cells of both trace elements leads to multiple cellular lesions. Here we
report the main pathological changes observed at transmission electron microscopy
in the liver of subjects affected by Beta-thalassemia and by Wilson's disease.
The hepatic iron overload in beta-thalassemia patients is associated with
haemosiderin storage both in Kupffer cells and in the cytoplasm of hepatocytes.
Haemosiderin granules are grouped inside voluminous lysosomes, also called
siderosomes. Other ultrastructural changes are fat droplets, proliferation of the
smooth endoplasmic reticulum and fibrosis. Apoptosis of hepatocytes and
infiltration of sinusoids by polymorphonucleates is also detected in beta
thalassemia. Ultrastructural changes in liver biopsies from Wilson's disease
patients are characterized by severe mitochondrial changes, associated with an
increased number of perossisomes, cytoplasmic lipid droplets and the presence of
lipolysosomes, characteristic cytoplasmic bodies formed by lipid vacuoles
surrounded by electron-dense lysosomes. In patients affected by Wilson's disease,
nuclei are frequently involved, with disorganization of the nucleoplasm and with
glycogen inclusions. On the contrary, no significant changes are detected in
Kupffer cells. Our data show that iron and copper, even though are both
transition metals, are responsible of different pathological changes at
ultrastructural level. In particular, copper overload is associated with
mitochondrial damage, whereas iron overload only rarely may cause severe
mitochondrial changes. These differences underlay the need for further studies in
which biochemical analyses should be associated with ultrastructural data, in
order to better understand the molecular ways associated with iron- and copper
related pathology at subcellular level.
PMID- 24934357
TI - Copper chelators: chemical properties and bio-medical applications.
AB - Copper is present in different concentrations and chemical forms throughout the
earth crust, surface and deep water and even, in trace amounts, in the atmosphere
itself. Copper is one of the first metals used by humans, the first artifacts
dating back 10,000 years ago. Currently, the world production of refined copper
exceeds 16,000 tons/year. Copper is a micro-element essential to life,
principally for its red-ox properties that make it a necessary cofactor for many
enzymes, like cytochrome-c oxidase and superoxide dismutase. In some animal
species (e.g. octopus, snails, spiders, oysters) copper-hemocyanins also act as
carriers of oxygen instead of hemoglobin. However, these red-ox properties also
make the pair Cu(+)/Cu(2+) a formidable catalyst for the formation of reactive
oxygen species, when copper is present in excess in the body or in tissues. The
treatment of choice in cases of copper overloading or intoxication is the
chelation therapy. Different molecules are already in clinical use as chelators
or under study or clinical trial. It is worth noting that chelation therapy has
also been suggested to treat some neurodegenerative diseases or cardiovascular
disorders. In this review, after a brief description of the homeostasis and some
cases of dyshomeostasis of copper, the main (used or potential) chelators are
described; their properties in solution, even in relation to the presence of
metal or ligand competitors, under physiological conditions, are discussed. The
legislation of the most important Western countries, regarding both the use of
chelating agents and the limits of copper in foods, drugs and cosmetics, is also
outlined.
PMID- 24934358
TI - Cell to cell spreading of misfolded proteins as a therapeutic target in motor
neuron disease.
AB - Despite a number of genetic mutations and molecular mechanisms are recognized to
participate in amyotrophic lateral sclerosis (ALS), such a devastating
neurological disorder still lacks a substantial cure. The present manuscript
rather than a general overview of potential therapeutic approaches focuses on
novel research findings detailing novel molecular mechanisms which appear to be
promising for developing future ALS therapeutics. A special emphasis is given to
the abnormal autophagy status and to those autophagy substrates which aggregate
in the form of misfolded proteins. In fact, as reviewed in the first part of the
manuscript, altered autophagy pathway is present in most genetic mutations
responsible for familial ALS. These mutations impair clearance of autophagy
substrates, which determines accumulation of giant altered mitochondria and
misfolded proteins. Therefore, a considerable piece of the review is dedicated to
unconventional processing of misfolded proteins leading to unconventional protein
secretions which may underlie a prionoid cellto- cell spreading of ALS
neuropathology. The intimate mechanisms regulating these steps are analyzed in
order to comprehend which potential therapeutic targets might be considered in
future studies. At the same time, negative findings concerning recent trials are
explained in light of novel disease mechanisms. In the final part of the review
the replacement therapy with focal stem cells implantation is discussed in
relationship with toxic mechanisms operating in the intercellular space of the
spinal cord and motor-related areas.
PMID- 24934355
TI - Potential therapeutic drugs and methods for the treatment of amyotrophic lateral
sclerosis.
AB - Amyotrophic Lateral Sclerosis (ALS) is a neurodegenerative disorder caused by
damage of motoneurons leading to paralysis state and long term disability.
Riluzole is currently the only FDA-approved drug for the treatment of ALS. The
proposed mechanisms of ALS include glutamate excitotoxicity, oxidative stress,
mitochondrial dysfunction, protein aggregation, SOD1 accumulations, and neuronal
death. In this review, we discuss potential biomarkers for the identification of
patients with ALS. We further emphasize potential therapy involving the uses of
neurotrophic factors such as IGFI, GDNF, VEGF, ADNF-9, colivelin and angiogenin
in the treatment of ALS. Moreover, we described several existing drugs such as
talampanel, ceftriaxone, pramipexole, dexpramipexole and arimoclomol potential
compounds for the treatment of ALS. Interestingly, the uses of stem cell therapy
and immunotherapy are promising for the treatment of ALS.
PMID- 24934359
TI - Biomarkers and future targets for development in amyotrophic lateral sclerosis.
AB - Although the pathophysiological mechanisms underlying the development of
amyotrophic lateral sclerosis (ALS) remain to be fully elucidated, there have
been significant advances in the understanding of ALS pathogenesis, with evidence
emerging of a complex interaction between genetic factors and dysfunction of
vital molecular pathways. Glutamate- mediated excitoxicity is an important
pathophysiological pathway in ALS, and was identified as an important therapeutic
biomarker leading to development of the only pharmacologically based disease
modifying treatment currently available for ALS. More recently, a putative role
of voltage-gated persistent Na(+) channels in ALS pathogenesis has been suggested
and underscored by neuroprotective effects of Na(+) channel blocking agents in
animal models. In addition, advances in ALS genetics have lead to identification
of novel pathophysiological processes that could potentially serve as therapeutic
targets in ALS. Genetic therapies, including antisense oligonucleotide approaches
have been shown to exert neuroprotective effects in animal models of ALS, and
Phase I human trial have been completed demonstrating the feasibility of such a
therapeutic approach. The present review summarises the advances in ALS
pathogenesis, emphasising the importance of these processes as potential targets
for drug development in ALS.
PMID- 24934360
TI - Let's prioritize the right care for the right patients with hypertension.
PMID- 24934361
TI - Expression of Ki-67, Bax and p73 in patients with hilar cholangiocarcinoma.
AB - BACKGROUND: Hilar cholangiocarcinoma (HCC) is defined as a cholangiocarcinoma
located in the bifurcation of the right and left bile duct, constituting 40%-60%
of all reported cholangiocarcinoma and 58%-75% of the extrahepatic
cholangiocarcinoma. In this study, we aim to investigate the expression of Ki-67,
Bax and p73 in the patients with HCC, and identify their potential roles in the
prognosis of HCC. OBJECTIVE: Thirty five HCC patients (male: 25, female: 10) with
an average age of 62.8 years were diagnosed with HCC according to the
pathological tests. A total of 20 cadavers with normal hilar bile ducts were used
as control. METHODS: The expression of Ki-67, Bax, and p73 was determined using
immunohistochemical analysis. RESULTS: The pathological test indicated that Ki-67
expression increased with the stage of the disease, and the infiltration of the
cancer cells. Statistical difference was noted in the expression of Bax LI
between the patients with HCC and normal control (32.4 +/- 17.6 vs 11.2 +/- 7.9,
P< 0.01). Statistical difference was observed between the patients with or
without lymphatic metastasis, and those of various differentiation stages (P <
0.05). Among the 35 patients with HCC, expression of p73 was observed in 11
patients (31.43%). Compared with normal control, remarkable increase of p73
expression was noted in the HCC patients (P < 0.01). Additionally, no statistical
difference was noted in the expression of p73 in the patients with various
disease stages, and those with or without metastasis (P> 0.05). CONCLUSIONS: Ki
67, Bax, and p73 could be used as biomarkers for the prognosis of HCC.
PMID- 24934362
TI - Serum p53 antibody in breast cancer.
AB - BACKGROUND: The significance of the measurement of anti-p53 antibodies in serum
remains undisclosed. The aim of this study was to assess anti-p53 antibodies in
the serum of patients with breast cancer, and correlate these results with
various clinicopathologic parameters. METHODS: We analyzed serum anti-p53
antibody levels in 124 patients with breast cancers and 7 patients with benign
disease between April 2012 and March 2013, as well as levels of serum
carcinoembryonic antigen (CEA) and cancer antigen (CA) 15-3. RESULTS: Twenty-two
of 124 patients with breast cancer had an increased concentration of anti-p53
antibodies. By distribution of clinical stage, in stage 0-II the positive ratio
of anti-p53 antibodies was significantly higher than that of CEA (p=0.03) and
CA15-3 (p=0.01). There was a significant correlation between anti-p53 antibodies
and family history (p=0.03). Triple-negative cancer also showed a significant
correlation with anti-p53 antibodies (p=0.007). In patients with multiple and/or
bilateral breast cancer, the level of anti-p53 was significantly higher than in
unilateral breast cancer (62.5% vs 14.7%, p=0.004). CONCLUSION: Measurement of
anti-p53 antibodies is useful for the prevention of oversight in the evaluation
of multiple and/or bilateral breast cancer.
PMID- 24934363
TI - Serum biomarkers for assessing histology and outcomes in patients with metastatic
lung cancer.
AB - BACKGROUND: Serum biomarkers are not in routine clinical use for diagnosis,
prognosis, or treatment selection in lung cancer. OBJECTIVE: We examined serum
protein biomarkers from patients with metastatic lung cancer to determine whether
they correlate with progression-free survival (PFS), overall survival (OS), or
histologic subtype. METHODS: Serum samples were collected prior to chemotherapy
from 153 patients with metastatic lung cancer treated at Memorial Sloan-Kettering
Cancer Center. Serum biomarkers were selected for ELISA testing based on their
availability in a CLIA-certified clinical laboratory: ProGRP, SCC-Ag, NSE, CYFRA
21-1, TIMP1, and HE4. Pretreatment biomarker levels were correlated with outcome
using proportional hazards analysis and tumor histology using logistic regression
analysis. RESULTS: Univariate analysis indicated that only higher levels of CYFRA
21-1 were significantly associated with worsened PFS (HR 1.3, 95% CI 1.1--1.5, p<
0.01) and OS (HR 1.4, 95% CI 1.2-1.7, p< 0.001). Multivariate analysis of NSE,
CYFRA 21-1, and TIMP1 indicated that CYFRA 21-1 remained independently associated
with lower OS (HR 1.3, 95% CI 1.1-1.6, p< 0.01). Univariate analysis indicated
that ProGRP (OR 3.3, 95% CI 1.7-6.5, p< 0.001) and NSE (OR 4.8, 95% CI 2.6-8.8,
p< 0.0001) had the highest probabilities of differentiating SCLC from NSCLC.
Multivariate analysis of these two markers demonstrated that they predicted SCLC
histology with 94% accuracy. Univariate analysis showed that only SCCL-Ag
distinguished squamous cell histology from adenocarcinoma (OR 4.4, 95% CI 1.7
11.5, p< 0.01). CONCLUSIONS: Serum CYFRA 21-1 may be useful in predicting patient
survival, and serum ProGRP, NSE 21-1, and SCCL-Ag may be helpful in
distinguishing between lung cancer sub-types.
PMID- 24934364
TI - Molecular detection and prognostic value of epithelial markers mRNA expression in
peripheral blood of advanced non-small cell lung cancer patients.
AB - BACKGROUND: Few studies, have evaluated the prognostic impact of the
quantification of mRNA expression levels in advanced non-small cell lung cancer
(NSCLC). OBJECTIVE: The aim of this work was to quantify mRNA expression levels
in peripheral blood through three epithelial markers in patients with stages IIIB
and IV in NSCLC. METHODS: Seventy advanced NSCLC patients and ten healthy
controls were included. All patients received platinum-based chemotherapy in
first line treatment. Peripheral blood was obtained of each participant and mRNA
expression levels present in circulating cells were quantified by molecular
techniques (RT-PCR) using three epithelial markers: cytokeratin (CK)-18, CK-19
and Carcinoembryonic-Antigen (CEA). The expression levels were quantified from a
standard curve using the cDNA obtained from A549 cells. Registered in
ClinicalTrials.gov (NCT01052818). RESULTS: We found a significant statistical
correlation between levels of CK-18, CK-19 and CEA mRNA. mRNA expression levels
were lower in patients who present three or less metastasis; higher CEA mRNA
expression was associated a worse progression-free survival to platinum-based
chemotherapy and overall survival. CONCLUSION: RNA expression of CEA by RT-PCR is
useful as a prognostic marker in advanced NSCLC.
PMID- 24934365
TI - Associations between hsa-miR-603 polymorphism, lifestyle-related factors and
colorectal cancer risk.
AB - BACKGROUND: Accumulated studies have suggested that single nucleotide
polymorphisms (SNPs) in microRNAs are associated with risk of colorectal cancer
(CRC). OBJECTIVE: We tested our hypothesis that rs11014002 in hsa-miR-603 may be
associated with CRC risk with a crosstalk of life-related factors. METHODS: We
conducted a case-control study which included 102 CRC patients and 204 matched
cancer-free controls in Xiaoshan County. RESULTS: We observed that subjects with
rs11014002 CT/TT genotype had an increased susceptibility for CRC (CT vs. CC:
odds ratio (OR)=2.352, 95% confidence interval (CI): 1.142-4.840, P=0.020; CT+TT
vs. CC: OR=2.031, 95% CI: 1.063-3.883, P=0.032). After stratification by
lifestyle-related factors, similar results were found among nonsmokers (CT vs.
CC: OR=2.753, 95% CI: 1.085-6.983, P=0.033; CT+TT vs. CC: OR=2.971, 95% CI: 1.188
7.435, P=0.020) and non-alcohol drinkers (CT+TT vs. CC: OR=3.279, 95% CI: 1.071
10.033, P=0.037). CONCLUSIONS: Our data suggest that hsa-miR-603 may be involved
in colorectal tumorigenesis, and the genetic polymorphism in hsa-miR-603 is
associated with CRC susceptibility.
PMID- 24934366
TI - A novel inflammatory regulator TIPE2 inhibits TLR4-mediated development of colon
cancer via caspase-8.
AB - AIMS: TIPE2 is a novel inflammation regulator, and the role of TIPE2 in colitis
induced colon cancer is not clear. The aim of this study was to test whether
TIPE2 inhibits TLR4 pathway in colon cancer patients and to explore potential
mechanism of TIPE2 in colon cancer by caspase-8. METHODS: Expression of TIPE2 and
TLR4 in human colon cancer tissues and cell line HT-29 was detected by
immunohistochemistry or real-time PCR. TIPE2 mRNA was suppressed by siRNA
transfection and the transfection efficiency was proved by fluorescence
microscopy and real-time PCR. TLR4 pathway was activated by treating the cells
with 1 MUg/ml LPS for 4 h. Caspase-8 activities were tested by colorimetric assay
in four HT-29 cell groups. RESULTS: TIPE2 was expressed in the cytoplasm of colon
cancer tissues and HT-29 cells. TIPE2 expression was more pronounced in colon
cancer tissues compared to normal controls and it was related with lymph node
metastasis and Dukes stage of colon cancer. TIPE2 expression was positively
correlated with that of TLR4 in colon cancer (r=0.7354). TIPE2 expression was
knocked down successfully by siRNA transfection. Caspase-8 activity was elevated
both in TIPE2 knockdown cells and in TLR4 activated cells compared to wild-type
cells (P< 0.05). And the caspase-8 activity was further increased in TIPE2
knockdown cells after TLR4 was activated (P < 0.05). CONCLUSION: TIPE2 can
inhibit caspase-8 activity in colon cancer cells. TIPE2 can regulate TLR4
inflammatory effect and inhibit further amplification of cascade reaction via
caspase-8, which provides one new therapeutic target for clinical treatment
schedule.
PMID- 24934367
TI - High expression levels of CXCL12 and CXCR4 predict recurrence of adamanti
nomatous craniopharyngiomas in children.
AB - BACKGROUND: Adamantinomatous craniopharyngioma (ACP) is a benign but
maldevelopmental tumor with a high recurrence rate. OBJECTIVE: Theaim of this
study was to investigate the dysregulated biological molecules that play
important roles in the recurrence of ACP. METHODS: We first performed microarray
analysis on tumor samples from two pediatric patients with recurrent ACP and from
two pediatric ACP patients without recurrence after a one-year follow-up. The
expression of CXCL12 and CXCR4 in 45 specimens of pediatric ACP was further
evaluated by immunohistochemistry. These results were correlated with the
clinicopathological parameters and survival of the patients. RESULTS: Four
downregulated genes (APC, ITGA, MCAM, and TIMP4) and 16 upregulated genes (CST7,
CTSK, CTSL1, CXCL12, CXCR4, FN1, FXYD5, ITGB3, MMP2, MMP3, MMP7, MMP9, NR4A3,
PLAUR, TIMP2, and VEGFA) were found in the recurrent patients. CXCL12 and CXCR4
were highly expressed in 13 patients (28.9%) and 14 patients (31.1%),
respectively. High levels of CXCL12 and CXCR4 expression were significantly
associated with a poor recurrence-free survival and were the prognostic factors
for ACP recurrence in pediatric patients. CONCLUSIONS: High levels of CXCL12 and
CXCR4 expression were associated with ACP recurrence. The role of CXCL12 and
CXCR4 in the development of brain tumors requires further research.
PMID- 24934368
TI - Quantification of fibrin degradation products in glioma and meningioma patients.
AB - BACKGROUND: DR-70 is an immunoassay for fibrin and FDP in plasma and it has been
shown useful in detection of over 14 different cancers. This study investigated
the validity of the DR-70 test in gliomas as well as meningiomas. METHODS: 77
brain tumor patients as well as 40 healthy individuals were prospectively
included in the study and investigated using DR-70 kit. The glioma cohort of 33
patients consisted of 1, 11, 6 and 15 WHO grade 1, 2, 3 and 4 gliomas,
respectively. The meningioma cohort of 44 patients contained 38, 5 and 1 WHO
grade 1, 2 and 3 tumors. RESULTS: Test results were significantly higher than
control values for both gliomas and meningiomas. The most balanced sensitivity
and specificity values were obtained at cut-off level of 0.5 MUg/ml FDP for both
gliomas and meningiomas. Above this cutoff level the relative-risk for having a
glioma was 5.1 times higher compared to controls with sensitivity and specificity
of 76% and 85%, respectively. The relative-risk for meningioma was 5.8 with a
sensitivity and specificity of 87% and 85%, respectively. CONCLUSION: FDP
testing, which is a nonspecific cancer screening tool, is sensitive to the two
most common primary brain malignancies, gliomas and meningiomas.
PMID- 24934369
TI - Adverse cardiovascular events predict survival benefit in non-small lung cancer
patients treated with bevacizumab.
AB - BACKGROUND: Bevacizumab is a monoclonal antibody against vascular endothelial
growth factor (VEGF) that provides a survival benefit to patients with non-small
cell lung cancer (NSCLC). However, the treatment is sometimes accompanied by life
threatening bleeding events, and studies have not yet identified factors that can
predict outcomes for NSCLC patients receiving bevacizumab. METHODS: To identify
prognostic factors for patients with NSCLC who are undergoing bevacizumab
therapy, this study retrospectively investigated 34 consecutive patients with
NSCLC treated with bevacizumab-containing chemotherapy. RESULTS: Adverse
cardiovascular events, including hypertension and bleeding events, during
bevacizumab therapy were observed in 18 patients (53%). Kaplan-Meier survival
analyses and log-rank tests revealed that median overall survival was
significantly better in patients who experienced adverse cardiovascular events
than those who did not (442 versus 304 days; P=0.012). In the multivariate Cox
proportional hazard model, the onset of adverse cardiovascular events was
independently associated with a better overall survival. CONCLUSIONS: The onset
of adverse cardiovascular events during bevacizumab therapy may be a favorable
prognostic factor for patients with NSCLC. The results of this retrospective
study warrant further large-scale prospective trials.
PMID- 24934370
TI - Epidermal growth factor receptor could play a prognostic role to predict the
outcome of nasopharyngeal carcinoma: A meta-analysis.
AB - BACKGROUND: Epidermal growth factor receptor (EGFR) has been reported to play a
prognostic role in nasopharyngeal carcinoma (NPC). Nevertheless, the effect of
EGFR predicting clinical outcomes is still controversial. METHODS: Potentially
eligible studies were retrieved using PubMed. Basic clinical characteristics of
patients and statistical data were collected. Survival data can be got directly
or could be calculated if it was available in other resources. Then, we used a
meta-analysis model to review the correlation between over-expression of EGFR and
survival outcome in NPC patients. RESULTS: 15 eligible studies and 1225 patients
were yielded in our meta-analysis. The HRs with 95% confidence intervals (CIs)
for OS and DFS/RFS/PFS were 2.11 [1.23, 3.60] and 2.17 [1.41, 3.35],
respectively. Histological differentiation stage, race, different cut-off values
and the percentage of TNM stage were divided for subgroup analysis. CONCLUSION:
EGFR could be a fine prognostic factor of NPC, which might be proven by further
multicenter clinical trials.
PMID- 24934371
TI - Caveolin-1 as a biomarker to predict therapeutic effect of low-frequency
ultrasound combined with SonoVue on prostate cancer in nude mice model.
AB - BACKGROUND: Caveolin-1 is a major structural component of cell membrane
invaginations. Over-expression of caveolin-1 is closely related to the
tumorigenesis and progression of prostate cancer. Recently, contrast microbubbles
in combination with ultrasound are being investigated for their therapeutic
applications in tumor cells. However, the response of caveolin-1 after low
frequency ultrasound and SonoVue treatment in animal model is unclear. OBJECTIVE:
The goal of this study was to evaluate the effect of 80 kHz ultrasound and/or
SonoVue on caveolin-1 expression and secretion in DU145 prostate tumors in nude
mice. METHODS: Six-week-old BALB/c male nude mice were subcutaneously injected
with DU145 cells in the right flank to establish a prostate cancer model, which
were randomly divided into four groups (n=8 each): control group (sham-ultrasound
exposure), SonoVue group, 80 kHz ultrasound group, 80 kHz ultrasound combined
with SonoVue group. Tumor volumes and wet weights were measured, and the tumor
volume curve was obtained as well. The mice were euthanized 21 days after
treatment. Specimens of the tumor tissues were assessed the expression of
caveolin-1 by immunohistochemistry and Western blot. The serum concentrations of
caveolin-1 were detected by ELISA. RESULTS: Treatment with ultrasound alone
produced tumor volumes and weights reduction compared with control and SonoVue
group. Combined ultrasound and SonoVue treatment produced greater tumor
regression than either treatment alone (p < 0.05). Serum caveolin-1
concentrations were lower in the combination of ultrasound and SonoVue group than
they were in control group (p =0.005), and had some certain correlation with
tumor growth (wet weight) (r =0.507), although the difference was not
statistically significant (p=0.199). Ultrasound alone treatment only slightly
reduced the caveolin-1 concentrations in comparison with the control, and the
difference was not statistically significant (p=0.125). The ultrasound-treated
mice showed significant reduction in expression levels of caveolin-1 protein,
compared with the control (p < 0.05). Levels of caveolin-1 were further reduced
when combined with ultrasound and SonoVue as compared to the control (p < 0.01).
CONCLUSIONS: Our results suggest that 80 kHz ultrasound have antitumor effect and
the effect could be further strengthened by the combination of SonoVue. Down
regulating the expression of caveolin-1 is likely a potential biomarker of
response to ultrasound and SonoVue treatment in prostate cancer mouse model.
PMID- 24934372
TI - Association of cytotoxic T lymphocyte antigen-4 +49A/G polymorphism and cancer
risk: An updated meta-analysis.
AB - BACKGROUND: Associations between cytotoxic T lymphocyte antigen-4 (CTLA-4) +49A/G
polymorphism and cancer risk are inconclusive. We performed this meta-analysis to
derive a more precise estimation of the relationship. METHODS: A comprehensive
literature search was performed using electronic databases. Odds ratios (ORs)
with 95% confidence intervals (CIs) were used to assess the strength of
association. RESULTS: A total of 16,358 cases and 19,737 controls from 46 studies
were included. Overall, significant association between CTLA-4 +49A/G
polymorphism and cancer risk was observed in all genetic models (G vs. A:
OR=0.88, 95%CI=0.83-0.93, PH=0.000; GA vs. AA: OR=0.87, 95%CI=0.79-0.97,
PH=0.000; GG vs. AA: OR=0.75, 95%CI= 0.65-0.86, PH=0.000; GG vs. GA+AA: OR=0.84,
95%CI=0.79-0.91, PH=0.001; GG+GA vs. AA: OR=0.83, 95%CI=0.74-0.92, PH=0.000).
Stratified analysis by cancer type revealed that the CTLA-4+49A/G polymorphism is
associated with the decreased risk of cervical cancer, breast cancer, lung
cancer, HCC. Further subgroup analysis by ethnicity indicated that there was a
statistically decreased cancer risk in Asian population. CONCLUSION: This meta
analysis suggests that CTLA-4+49A/G polymorphism is associated with cancer risk,
especially in Asian population.
PMID- 24934374
TI - Using nanoscale and mesoscale anisotropy to engineer the optical response of
three-dimensional plasmonic metamaterials.
AB - The a priori ability to design electromagnetic wave propagation is crucial for
the development of novel metamaterials. Incorporating plasmonic building blocks
is of particular interest due to their ability to confine visible light. Here we
explore the use of anisotropy in nanoscale and mesoscale plasmonic array
architectures to produce noble metal-based metamaterials with unusual optical
properties. We find that the combination of nanoscale and mesoscale anisotropy
leads to rich opportunities for metamaterials throughout the visible and near
infrared. The low volume fraction (<5%) plasmonic metamaterials explored herein
exhibit birefringence, a skin depth approaching that of pure metals for selected
wavelengths, and directionally confined waves similar to those found in optical
fibres. These data provide design principles with which the electromagnetic
behaviour of plasmonic metamaterials can be tailored using high aspect ratio
nanostructures that are accessible via a variety of synthesis and assembly
methods.
PMID- 24934373
TI - Calcific myonecrosis following snake bite: a case report and review of the
literature.
AB - INTRODUCTION: Calcific myonecrosis is a rare condition in which muscle in a limb
compartment undergoes necrosis and becomes peripherally calcified with central
liquefaction. The patient usually presents with a slowly progressive enlarged
mass that sometimes can be misdiagnosed as soft tissue sarcoma. Most of the
reported cases showed that the disease occurs often after trauma or compartment
syndrome. However, the case of calcific myonecrosis following snake bite is
rarely reported. CASE PRESENTATION: A 66-year-old Thai woman presented with a
gradually progressive enlarged mass over a period of 10 years in her left leg.
She had a history of untreated compartment syndrome after she was bitten by a
snake (Malayan pit viper) in her left leg when she was 14-years old. At
presentation, a plain X-ray showed a large soft tissue mass at the anterior
compartment of her left leg. A sheet-like mass with an enlarged central cavity
combined with peripheral calcification and cortical erosion of her tibia were
observed. A biopsy was performed and the result was negative for neoplastic
cells. During a 5-year follow-up, the mass progressively enlarged and then became
infected and finally broke through the skin. She was treated by excision of the
mass and administration of antibiotics. The wound completed healed at 1 month
postsurgery. There was no wound complication or disease recurrence at 1 year
postoperation. CONCLUSIONS: The diagnosis of calcific myonecrosis was done by
history taking and radiographic interpretation. In an asymptomatic patient the
management should be observation and clinical follow-up. A biopsy should be
avoided due to the high rate of postoperative infection. Treatment of choice in a
symptomatic condition is mass excision.
PMID- 24934375
TI - Effect of PGF2alpha and GnRH on the reproductive performance of postpartum dairy
cows subjected to synchronization of ovulation and timed artificial insemination
during the warm or cold periods of the year.
AB - This study was designed to evaluate the reproductive performance of lactating
dairy cows (Holstein Friesian) after the injection of PGF2alpha analogue on Day
15 postpartum, and GnRH analogue on Day 23 after artificial insemination (AI)
with Presynch (two injections of PGF2alpha, administered 14 days apart starting
at 30-35 days postpartum) + Ovsynch-based (GnRH-7 days-PGF2alpha-2 days-GnRH-16
20 hours-timed artificial insemination) treatments, during the warm and cold
periods of the year. All the cows (n = 313) were assigned to one of the four
groups including: M1 (n = 72) in which the cows were treated with PGF2alpha on
Day 15 postpartum + Presynch-Ovsynch + GnRH on Day 23 post-AI; M2 (n = 41) in
which the cows received PGF2alpha on Day 15 postpartum + Presynch-Ovsynch; M3 (n
= 100) including the cows that got Presynch-Ovsynch; and control group (n = 100)
including the cows that were not treated and were inseminated at natural estrus.
Pregnancy diagnosis was performed 28 to 35 days post-insemination by means of
ultrasound. The results showed that treatment with PGF2alpha on Day 15 postpartum
significantly decreased the days to conception and the number of services per
conception (P < 0.01) and it also improved the first service conception rate (P <
0.1) only in cows that were treated with M2 protocol. Whereas, the days to first
service was not influenced by the treatment of PGF2alpha on Day 15 postpartum (P
> 0.05). In contrast, administration of GnRH on Day 23 post-AI increased the days
to conception and the number of service per conception (P < 0.01) and tended to
decrease the first service conception rate (P < 0.1) in cows that were treated
with M1 compared with M2 protocol. Therefore, it was concluded that Presynch
Ovsynch protocol could be more reproductive and beneficial when a single
treatment with PGF2alpha was administered at 15 days postpartum (15 days after
the PGF2alpha, Presynch-Ovsynch protocol was initiated). Interestingly, the
administration of a GnRH agonist on Day 23 post-AI not only did not improve the
reproductive performance of the cows receiving first postpartum timed artificial
insemination after Presynch-Ovsynch protocol but also reduced that.
PMID- 24934376
TI - Personalized ultrafiltration for worsening heart failure: is biological
evaluation of plasma volume and lung ultrasound the answer?
PMID- 24934377
TI - [Avoidance and management of complications in open surgical ureter
reconstruction].
AB - Open surgical reconstruction of the ureter is a urological procedure with a
potentially high risk of complications. The correct selection of patients and
time of operation are important aspects regarding the treatment strategy.
Position and length of the affected ureter segment to be reconstructed determine
the surgical intervention possibilities. The psoas hitch procedure is a well
established technique for distal reconstruction of the ureter where most
iatrogenic injuries occur. In more proximal or complex defects, several
procedures are available. Partial or complete replacement of the ureter with
bowel is still considered the standard for bridging long ureteral defects but is
accompanied with higher intra- and postoperative complication rates. In specific
patients and situations, autotransplantation of the kidney and subcutaneous
pyelovesical bypasses are clinical options. Using mucosal grafts or tissue
engineering may be new therapeutic prospects to cover ureteral defects but the
clinical impact still needs to be clarified. All therapeutic strategies share the
fact that great surgical expertise and experience are necessary as the operative
technique must be mastered to avoid severe complications.
PMID- 24934378
TI - [Complications in percutaneous lithotomy].
AB - BACKGROUND: Percutaneous lithotomy is the gold standard in the treatment of large
kidney stones. Several steps of the operation might cause severe complications.
Safe procedures depend on careful planning, accurate performance, recognition of
problems and knowledge of how to handle them. OBJECTIVES: Relevant steps of
percutaneous stone treatment with possible complications and their management are
presented. MATERIALS AND METHODS: Current topics in percutaneous stone treatment
taking into consideration the relevant literature are discussed. Furthermore,
rare complications and strategies for safe management are presented. RESULTS:
Careful planning and adequate preoperative diagnostic workup are essential for
safe procedures. Puncture of the renal calyceal system and tract dilation might
lead to severe complications. Bleeding and infectious complications are the most
common problems. Availability of interventional radiology provides ideal
emergency treatment in case of severe bleeding and helps to avoid surgical
revision with high risk of organ loss. CONCLUSIONS: Percutaneous stone treatment
is a safe and effective therapy in an experienced physician's hands. Careful
planning and accurate performance help to avoid severe complications.
PMID- 24934379
TI - Nonclassical dual control of circularly polarized luminescence modes of
binaphthyl-pyrene organic fluorophores in fluidic and glassy media.
AB - The fluorescent modes and signs of the circularly polarized luminescence of an
axially chiral binaphthyl-pyrene organic fluorophore were successfully controlled
by changing from a fluidic chloroform solution to a glassy poly(methyl
methacrylate) solid film.
PMID- 24934381
TI - Development of a standardized laparoscopic caecum resection model to simulate
laparoscopic appendectomy in rats.
AB - BACKGROUND: Laparoscopic appendectomy (LA) has become one of the most common
surgical procedures to date. To improve and standardize this technique further,
cost-effective and reliable animal models are needed. METHODS: In a pilot study,
30 Wistar rats underwent laparoscopic caecum resection (as rats do not have an
appendix vermiformis), to optimize the instrumental and surgical parameters. A
subsequent test study was performed in another 30 rats to compare three different
techniques for caecum resection and bowel closure. RESULTS: Bipolar coagulation
led to an insufficiency of caecal stump closure in all operated rats (Group 1, n
= 10). Endoloop ligation followed by bipolar coagulation and resection (Group 2,
n = 10) or resection with a LigaSureTM device (Group 3, n = 10) resulted in
sufficient caecal stump closure. CONCLUSIONS: We developed a LA model enabling us
to compare three different caecum resection techniques in rats. In conclusion,
only endoloop closure followed by bipolar coagulation proved to be a secure and
cost-effective surgical approach.
PMID- 24934383
TI - Powered versus manual toothbrushing for oral health.
AB - BACKGROUND: Removing dental plaque may play a key role maintaining oral health.
There is conflicting evidence for the relative merits of manual and powered
toothbrushing in achieving this. This is an update of a Cochrane review first
published in 2003, and previously updated in 2005. OBJECTIVES: To compare manual
and powered toothbrushes in everyday use, by people of any age, in relation to
the removal of plaque, the health of the gingivae, staining and calculus,
dependability, adverse effects and cost. SEARCH METHODS: We searched the
following electronic databases: the Cochrane Oral Health Group's Trials Register
(to 23 January 2014), the Cochrane Central Register of Controlled Trials
(CENTRAL) (The Cochrane Library 2014, Issue 1), MEDLINE via OVID (1946 to 23
January 2014), EMBASE via OVID (1980 to 23 January 2014) and CINAHL via EBSCO
(1980 to 23 January 2014). We searched the US National Institutes of Health
Trials Register and the WHO Clinical Trials Registry Platform for ongoing trials.
No restrictions were placed on the language or date of publication when searching
the electronic databases. SELECTION CRITERIA: Randomised controlled trials of at
least four weeks of unsupervised powered toothbrushing versus manual
toothbrushing for oral health in children and adults. DATA COLLECTION AND
ANALYSIS: We used standard methodological procedures expected by The Cochrane
Collaboration. Random-effects models were used provided there were four or more
studies included in the meta-analysis, otherwise fixed-effect models were used.
Data were classed as short term (one to three months) and long term (greater than
three months). MAIN RESULTS: Fifty-six trials met the inclusion criteria; 51
trials involving 4624 participants provided data for meta-analysis. Five trials
were at low risk of bias, five at high and 46 at unclear risk of bias.There is
moderate quality evidence that powered toothbrushes provide a statistically
significant benefit compared with manual toothbrushes with regard to the
reduction of plaque in both the short term (standardised mean difference (SMD)
0.50 (95% confidence interval (CI) -0.70 to -0.31); 40 trials, n = 2871) and long
term (SMD -0.47 (95% CI -0.82 to -0.11; 14 trials, n = 978). These results
correspond to an 11% reduction in plaque for the Quigley Hein index (Turesky) in
the short term and 21% reduction long term. Both meta-analyses showed high levels
of heterogeneity (I(2) = 83% and 86% respectively) that was not explained by the
different powered toothbrush type subgroups.With regard to gingivitis, there is
moderate quality evidence that powered toothbrushes again provide a statistically
significant benefit when compared with manual toothbrushes both in the short term
(SMD -0.43 (95% CI -0.60 to -0.25); 44 trials, n = 3345) and long term (SMD -0.21
(95% CI -0.31 to -0.12); 16 trials, n = 1645). This corresponds to a 6% and 11%
reduction in gingivitis for the Loe and Silness index respectively. Both meta
analyses showed high levels of heterogeneity (I(2) = 82% and 51% respectively)
that was not explained by the different powered toothbrush type subgroups.The
number of trials for each type of powered toothbrush varied: side to side (10
trials), counter oscillation (five trials), rotation oscillation (27 trials),
circular (two trials), ultrasonic (seven trials), ionic (four trials) and unknown
(five trials). The greatest body of evidence was for rotation oscillation brushes
which demonstrated a statistically significant reduction in plaque and gingivitis
at both time points. AUTHORS' CONCLUSIONS: Powered toothbrushes reduce plaque and
gingivitis more than manual toothbrushing in the short and long term. The
clinical importance of these findings remains unclear. Observation of
methodological guidelines and greater standardisation of design would benefit
both future trials and meta-analyses.Cost, reliability and side effects were
inconsistently reported. Any reported side effects were localised and only
temporary.
PMID- 24934384
TI - Creatine for Parkinson's disease.
AB - BACKGROUND: Parkinson's disease is one of the most common neurodegenerative
disorders and mitochondrial dysfunction plays an important role in its
pathogenesis. Creatine is effective in improving mitochondrial function. It may
therefore be useful for slowing the progression of Parkinson's disease.
OBJECTIVES: To assess the efficacy and safety of creatine used alone or as an
adjuvant treatment for Parkinson's disease. SEARCH METHODS: We searched the
Cochrane Movement Disorders Group Trials Register, CENTRAL (The Cochrane Library
2013, November Issue 4), MEDLINE (January 1966 to 10 November 2013), EMBASE (1974
to 10 November 2013) and two Chinese databases. We searched ongoing trials
registers and conference proceedings, checked reference lists and contacted
authors of included trials. SELECTION CRITERIA: Randomized controlled trials
(RCTs) comparing creatine versus placebo for Parkinson's disease. DATA COLLECTION
AND ANALYSIS: Two review authors independently selected the trials for inclusion,
assessed trial quality and extracted data. MAIN RESULTS: We included two RCTs
with a total of 194 patients. Both trials compared creatine with placebo for
Parkinson's disease and both had methodological limitations. There was no clear
evidence of an effect on motor function (MD -0.26; 95% confidence interval (CI)
4.39 to 3.88, low quality evidence), activities of daily living (MD 0.37; 95% CI
1.28 to 2.02, low quality evidence) or quality of life after one or two years of
treatment. One trial reported serious adverse events that were not attributed to
creatine. Also, one trial observed higher rates of gastrointestinal effects at
two years follow-up. AUTHORS' CONCLUSIONS: The evidence base on the effects of
creatine in Parkinson's disease is limited by risk of bias, small sample sizes
and short duration of the eligible trials. It does not provide a reliable basis
on which treatment decisions can be made. Future well-designed RCTs with larger
sample size and long-term follow-up are needed to assess creatine for Parkinson's
disease.
PMID- 24934382
TI - Experimental traumatic brain injury alters ethanol consumption and sensitivity.
AB - Altered alcohol consumption patterns after traumatic brain injury (TBI) can lead
to significant impairments in TBI recovery. Few preclinical models have been used
to examine alcohol use across distinct phases of the post-injury period, leaving
mechanistic questions unanswered. To address this, the aim of this study was to
describe the histological and behavioral outcomes of a noncontusive closed-head
TBI in the mouse, after which sensitivity to and consumption of alcohol were
quantified, in addition to dopaminergic signaling markers. We hypothesized that
TBI would alter alcohol consumption patterns and related signal transduction
pathways that were congruent to clinical observations. After midline impact to
the skull, latency to right after injury, motor deficits, traumatic axonal
injury, and reactive astrogliosis were evaluated in C57BL/6J mice. Amyloid
precursor protein (APP) accumulation was observed in white matter tracts at 6,
24, and 72 h post-TBI. Increased intensity of glial fibrillary acidic protein
(GFAP) immunoreactivity was observed by 24 h, primarily under the impact site and
in the nucleus accumbens, a striatal subregion, as early as 72 h, persisting to 7
days, after TBI. At 14 days post-TBI, when mice were tested for ethanol
sensitivity after acute high-dose ethanol (4 g/kg, intraperitoneally), brain
injured mice exhibited increased sedation time compared with uninjured mice,
which was accompanied by deficits in striatal dopamine- and cAMP-regulated
neuronal phosphoprotein, 32 kDa (DARPP-32) phosphorylation. At 17 days post-TBI,
ethanol intake was assessed using the Drinking-in-the-Dark paradigm. Intake
across 7 days of consumption was significantly reduced in TBI mice compared with
sham controls, paralleling the reduction in alcohol consumption observed
clinically in the initial post-injury period. These data demonstrate that TBI
increases sensitivity to ethanol-induced sedation and affects downstream
signaling mediators of striatal dopaminergic neurotransmission while altering
ethanol consumption. Examining TBI effects on ethanol responsitivity will improve
our understanding of alcohol use post-TBI in humans.
PMID- 24934385
TI - Hybrid procedure for acute stanford type a aortic dissection with aberrant right
subclavian artery.
AB - Aberrant right subclavian artery (ARSA) is an uncommon congenital vascular
abnormality. Acute Stanford type A aortic dissection with ARSA is rare. We report
a strategy for Stanford type A aortic dissection with ARSA. The ascending aorta
and the total aortic arch were replaced and a frozen elephant trunk was implanted
into the descending aorta. The right subclavian artery was reconstructed with the
perfusion branch of a four-branch prosthetic graft. Two weeks later, the proximal
part of the ARSA was sealed with a vascular plug.
PMID- 24934386
TI - Effectiveness of spray congealing to obtain physically stabilized amorphous
dispersions of a poorly soluble thermosensitive API.
AB - An amorphous phase produced by micronization up to the molecular or colloidal
level of a poorly soluble drug having low lipophilicity can distinctly enhance
its solubility characteristics. However, though dispersing the molten mass of a
poorly water-soluble drug within polymeric matrix has been found to be most
effective in formation of molecular dispersions, the drug molecules which melt at
high temperature also accompanied by decomposition, such as acetazolamide, are
difficult to formulate as molecular dispersions. Hence, a method is proposed to
obtain molecular dispersions of acetazolamide with poloxamer-237 by spray
congealing under optimal heat treatment. Uniform molecular and/or colloidal
dispersions of the drug were achieved with instantaneous solvent evaporation by
mixing a drug solution with molten mass of the plasticizer matrix. Immobilization
of dispersed drug molecules was effected subsequently through rapid
solidification by spray congealing. Initial characterization of 1:1, 1:1.5, and
1:2 ratios of solid dispersions and devitrification study of an optimized (1:2)
ratio ensured efficacy of the proposed method in formation of physically
stabilized amorphous systems without thermal degradation and hence resulted in
more than ninefold rise in solubility and more than 90% dissolution within
initial 10 min. With 1:2 ratio, molecular dispersions could be achieved by
initial solvent evaporation stage, which when subjected to spray congealing
produced physically stable amorphous systems, without signs of thermal
degradation. This study also proposes an opportunity for selection of those
polymers with which the drug is immiscible in their fluid state, yet obtaining
molecular dispersions.
PMID- 24934387
TI - Severe manifestations of hand-foot-genital syndrome associated with a novel
HOXA13 mutation.
AB - We report on a girl with absent nails, short/absent distal phalanges of the
second to fifth fingers and toes, short thumbs, absent halluces, and carpo-tarsal
coalition who also had genitourinary malformations. Trio-based whole exome
sequencing identified a novel de novo mutation (c.1102A>T, p.Ile368Phe) in the
HOXA13 gene. Heterozygous HOXA13 mutations have been previously reported in hand
foot-genital syndrome and Guttmacher syndrome, which are variably associated with
small nails, short distal and middle phalanges, short thumbs and halluces, but
not absent nails. Considering the molecular data, the phenotype in the present
patient was defined as the severe end of hand-foot-genital and Guttmacher
syndrome spectrum. Our observation expands the clinical spectrum caused by
heterozygous HOXA13 mutations and reinforces the difficulty of differential
diagnosis on clinical grounds for the disorders with short distal phalanges,
short thumbs, and short halluces.
PMID- 24934388
TI - Using density functional theory to describe slowly varying fluctuations at finite
temperatures: local magnetic moments in Gd and the 'not so local' moments of Ni.
AB - We briefly describe the density functional theory (DFT)-based 'disordered local
moment' (DLM) picture for magnetism at finite temperatures. It shows how
relatively slowly fluctuating local moments can emerge from the interacting
electrons of many materials. Such entities have rigid magnitudes and fluctuate
their orientations from atomic site to atomic site on a timescale long compared
to other electronic times. We illustrate this theory with calculations of the
magnetocaloric effect in Gd where we find excellent agreement with experiments.
Fluctuating moments do not appear to establish naturally over such small regions
for some other materials. We show how the DFT-DLM theory can be extended to these
materials with the use of the Korringa-Kohn-Rostoker nonlocal coherent potential
approximation (KKR-NLCPA) to allow for more extensive, slow magnetic
fluctuations. We present the first application of this approach by revisiting the
description of the magnetic fluctuations prevalent in the paramagnetic state of
nickel. We find that local moments can emerge above Tc and that these form
coherently over small clumps of atomic sites (4-8 sites).
PMID- 24934389
TI - A readily accessible ruthenium catalyst for the solvolytic dehydrogenation of
amine-borane adducts.
AB - The use of the readily available complex [Ru(p-Cym)(bipy)Cl]Cl as an efficient
and robust precatalyst for homogeneously catalysed solvolysis of amine-borane
adducts to liberate the hydrogen content of the borane almost quantitatively is
being presented. The reactions can be carried out in tap water, and in aqueous
mixtures with non-deoxygenated solvents. The system is also efficient for the
dehydrocoupling of dimethylamine-borane under solvent-free conditions.
PMID- 24934390
TI - Clinical Specificities in Obesity Care: The Transformations and Dissolution of
'Will' and 'Drives'.
AB - Public debate about who or what is to blame for the rising rates of obesity and
overweight shifts between two extreme opinions. The first posits overweight as
the result of a lack of individual will, the second as the outcome of bodily
drives, potentially triggered by the environment. Even though apparently
clashing, these positions are in fact two faces of the same liberal coin. When
combined, drives figure as a complication on the road to health, while a strong
will should be able to counter obesity. Either way, the body's propensity to eat
is to be put under control. Drawing on fieldwork in several obesity clinics and
prevention sites in the Netherlands, this paper first traces how this 'logic of
control' presents itself in clinical practices targeted at overweight people, and
then goes on to explore how these practices move beyond that logic. Using the
concepts of 'will' and 'drives' as analytical tools, I sketch several modes of
ordering reality in which bodies, subjects, food and the environment are
configured in different ways. In this way it appears that in clinical practices
the terms found in public discourse take on different meanings and may even lose
all relevance. The analysis reveals a richness of practiced ideals. The paper
argues, finally, that making visible these alternative modes of ordering opens up
a space for normative engagements with obesity care that move beyond the logic of
control and its critiques.
PMID- 24934391
TI - Effects of the coronary collateral circulation on the Tp-e interval and Tp-e/QT
ratio in patients with stable coronary artery disease.
AB - BACKGROUND: The clinical utility of the Tp-e interval and Tp-e/QT ratio in the
risk stratification of ventricular arrhythmic events is controversial. Therefore,
we investigated the impact of CCC on these electrocardiographic indexes in the
course of stable CAD. METHODS: Two hundred three consecutive patients with stable
CAD who underwent coronary angiography and had documented total occlusion of one
of the major coronary arteries were enrolled in this prospective cross-sectional
study. The Tp-e interval and Tp-e/QT ratio were measured by 12-lead
electrocardiogram. RESULTS: The Tp-e interval, cTp-e interval, Tp-e/QT ratio, and
cTp-e/QT ratio were lower in the grade 3 CCC group compared with the others in
all leads. Multivariate linear regression analyses was performed to identify the
clinical factors affecting the cTp-e interval and was indicated that age (beta =
0.261, P < 0.001), male sex (beta = 0.334, P < 0.001), poor Rentrop grade (beta =
-0.228, P < 0.001), and NLR (beta = 0.137, P = 0.027) were independent predictors
of a prolonged cTp-e interval. CONCLUSION: It could be concluded that the
decreased dispersion of ventricular repolarization might contribute to the lower
incidence of ventricular arrhythmias and SCD in CAD patients with a good CCC.
PMID- 24934393
TI - Metabolomics: what you see is what you extract.
PMID- 24934394
TI - Towards easy and reliable AFM tip shape determination using blind tip
reconstruction.
AB - Quantitative determination of the geometry of an atomic force microscope (AFM)
probe tip is critical for robust measurements of the nanoscale properties of
surfaces, including accurate measurement of sample features and quantification of
tribological characteristics. Blind tip reconstruction, which determines tip
shape from an AFM image scan without knowledge of tip or sample shape, was
established most notably by Villarrubia [J. Res. Natl. Inst. Stand. Tech. 102
(1997)] and has been further developed since that time. Nevertheless, the
implementation of blind tip reconstruction for the general user to produce
reliable and consistent estimates of tip shape has been hindered due to ambiguity
about how to choose the key input parameters, such as tip matrix size and
threshold value, which strongly impact the results of the tip reconstruction.
These key parameters are investigated here via Villarrubia's blind tip
reconstruction algorithms in which we have added the capability for users to
systematically vary the key tip reconstruction parameters, evaluate the set of
possible tip reconstructions, and determine the optimal tip reconstruction for a
given sample. We demonstrate the capabilities of these algorithms through
analysis of a set of simulated AFM images and provide practical guidelines for
users of the blind tip reconstruction method. We present a reliable method to
choose the threshold parameter corresponding to an optimal reconstructed tip
shape for a given image. Specifically, we show that the trend in how the
reconstructed tip shape varies with threshold number is so regular that the
optimal, or Goldilocks, threshold value corresponds with the peak in the
derivative of the RMS difference with respect to the zero threshold curve vs.
threshold number.
PMID- 24934395
TI - Relationship of sodium and magnesium intakes to hypertension proven by 24-hour
urianalysis in a South Indian population.
AB - The Healthy Eating Asians Remain Together (HEART) study was carried out to
investigate the relationship between 24-hour urine nutritional biomarkers and
cardiometabolic risks in India. A total of 168 participants underwent health
examination to assess body mass index, blood pressure, and 24-hour urine samples.
The participants were divided into normotensive and hypertensive. The average
blood pressure, weight, and body mass index were significantly high in patients
considered to be hypertensive, and 24-hour urine biomarkers showed significant
differences in sodium and magnesium/creatinine ratios in patients aged 40 and
older. High sodium intake and reduction in magnesium consumption are associated
with increased blood pressure in patients from India.
PMID- 24934397
TI - Effect of fullerenol surface chemistry on nanoparticle binding-induced protein
misfolding.
AB - Fullerene and its derivatives with different surface chemistry have great
potential in biomedical applications. Accordingly, it is important to delineate
the impact of these carbon-based nanoparticles on protein structure, dynamics,
and subsequently function. Here, we focused on the effect of hydroxylation - a
common strategy for solubilizing and functionalizing fullerene - on protein
nanoparticle interactions using a model protein, ubiquitin. We applied a set of
complementary computational modeling methods, including docking and molecular
dynamics simulations with both explicit and implicit solvent, to illustrate the
impact of hydroxylated fullerenes on the structure and dynamics of ubiquitin. We
found that all derivatives bound to the model protein. Specifically, the more
hydrophilic nanoparticles with a higher number of hydroxyl groups bound to the
surface of the protein via hydrogen bonds, which stabilized the protein without
inducing large conformational changes in the protein structure. In contrast,
fullerene derivatives with a smaller number of hydroxyl groups buried their
hydrophobic surface inside the protein, thereby causing protein denaturation.
Overall, our results revealed a distinct role of surface chemistry on
nanoparticle-protein binding and binding-induced protein misfolding.
PMID- 24934396
TI - Relationships among attention function, exercise, and body mass index: a
comparison between young breast cancer survivors and acquaintance controls.
AB - OBJECTIVE: Although regular physical activity is associated with lower all-cause
and disease-specific mortality among breast cancer survivors (BCS), most BCS do
not meet its recommended guidelines. Attention function, a domain of cognition,
is essential for daily tasks such as exercising, a form of planned physical
activity. We tested the hypotheses that lower self-reported attention function in
BCS would be associated with less exercise and higher body mass index (BMI) by
comparing a group of 505 young BCS (45 years or younger at diagnosis and 3-8
years post-treatment) with 466 acquaintance controls (AC). METHODS: The groups
were compared on self-reported physical and psychological outcomes. Mplus
software was used to perform confirmatory structural equation modeling with a
robust maximum likelihood estimator to evaluate hypothesized relationships among
variables. The criteria for good model fit were having root mean square error of
approximation (RMSEA) < 0.06, comparative fit index (CFI) > 0.95, and
standardized root mean square residual (SRMR) < 0.08. Modification indices were
used to better fit the model. RESULTS: The final model demonstrated good fit,
with RMSEA = 0.05, CFI = 0.98, and SRMR = 0.03. After controlling for
demographics, parameter estimates revealed that, compared with AC, young BCS
reported worse attention function (p < 0.001), more depressive symptoms (p <
0.001), and more fatigue (p < 0.001). Controlling for fatigue, depression, and
anxiety, better attention function was associated with a greater likelihood of
exercise in the past 3 months (p = 0.039), which in turn was associated with a
lower BMI (p < 0.001). CONCLUSIONS: The significant association between attention
function and physical activity, if confirmed in a longitudinal study, will
provide new targets for interventions aimed at improving physical activity and
decreasing BMI among BCS.
PMID- 24934398
TI - Financial aspects of veterinary herd health management programmes.
AB - Veterinary herd health management (VHHM) programmes have been shown to be
economically effective in the past. However, no current information is available
on costs and benefits of these programmes. This study compared economics and farm
performance between participants and non-participants in VHHM programmes in 1013
dairy farms with over 40 cows. Milk Production Registration (MPR) data and a
questionnaire concerning VHHM were used. Based on the level of participation in
VHHM (as indicated in the questionnaire), costs of the programmes were calculated
using a normative model. The economic value of the production effects was
similarly calculated using normative modelling based on MPR data. Participants in
VHHM had a better performance with regard to production, but not with regard to
reproduction. Over 90 per cent of the VHHM participants were visited at least
once every six weeks and most participants discussed at least three topics. In
most farms, the veterinarian did the pregnancy checks as part of the VHHM
programmes. There was a benefit to cost ratio of about five per cow per year for
VHHM participants, and a mean difference in net returns of ?30 per cow per year
after adjusting for the cost of the programme. This portrays that participation
in a VHHM programme is cost-efficient. There is, however, much unexplained
variation in the net returns, possibly due to diverse approaches by veterinarians
towards VHHM or by other factors not included in this analysis, like nutritional
quality or management abilities of the farmer.
PMID- 24934399
TI - Spread of Cryptosporidium baileyi in red grouse Lagopus lagopus scoticus.
PMID- 24934400
TI - The neonatologist's role in pediatric anesthesia neurotoxicity.
PMID- 24934401
TI - Fluoxetine for Autistic Behaviors (FAB trial): study protocol for a randomized
controlled trial in children and adolescents with autism.
AB - BACKGROUND: Serotonin reuptake inhibitors (SSRIs) are commonly prescribed off
label for children with autism. To date, clinical trials examining the use of
SSRIs in autism have been limited by small sample sizes and inconclusive results.
The efficacy and safety of SSRIs for moderating autistic behaviors is yet to be
adequately examined to provide evidence to support current clinical practice. The
aim of the Fluoxetine for Autistic Behaviors (FAB) study is to determine the
efficacy and safety of low dose fluoxetine compared with placebo, for reducing
the frequency and severity of repetitive stereotypic behaviors in children and
adolescents with an autism spectrum disorder (ASD). The relationship between the
effectiveness of fluoxetine treatment and serotonin transporter genotype will
also be explored. METHODS/DESIGN: The FAB study is a multicenter, double-blinded,
randomized controlled trial, funded by the Australian Government's National
Health and Medical Research Council (NHMRC) grant. Participants will be aged
between 7.5 and 17 years with a confirmed diagnosis of ASD. Eligible participants
will be randomized to either placebo or fluoxetine for a 16-week period.
Medication will be titrated over the first four weeks. Reponses to medication
will be monitored fortnightly using the Clinical Global Impressions Scale (CGI).
The primary outcome measure is the Children's Yale-Brown Obsessive Compulsive
Scale-Modified for Pervasive Developmental Disorders (CYBOCS-PDD), administered
at baseline and 16 weeks. Secondary outcome measures include the Aberrant
Behaviour Scale (ABC), the Spence Children's Anxiety Scale Parent Report (SCAS
P), and the Repetitive Behaviors Scale (RBS-R), measured at baseline and 16
weeks. Participants will be invited to undergo genetic testing for SLC6A4 allele
variants using a cheek swab. Continuous outcomes, including the primary outcome
will be compared between the active and placebo groups using unadjusted linear
regression. Binary outcomes will be compared using unadjusted logistic
regression. DISCUSSION: The FAB study is a large clinical trial to specifically
investigate the efficacy of low dose fluoxetine for restricted, repetitive, and
stereotyped behaviors in ASD. The outcomes of this study will contribute to
evidence-based interventions used in clinical practice to assist children with
ASD. TRIAL REGISTRATION: Australian and New Zealand Clinical Trials Registry
ACTRN12608000173392; registered on 9 April, 2008.
PMID- 24934402
TI - Immune regulation by intralymphatic immunotherapy with modular allergen
translocation MAT vaccine.
AB - BACKGROUND: Allergen-specific immunotherapy (SIT) faces problems related to side
effects and limited efficacy. Direct administration of allergen extracts into
lymph nodes induces increased specific IgG production and T-cell responses using
significantly lower allergen doses. METHODS: In this study, mechanisms of immune
regulation by MAT vaccines in vitro and in allergen-SIT of cat-allergic rhinitis
patients, who received 3 inguinal intra-lymph node injections of MAT-Fel d 1
vaccine, were investigated in PBMC and cell cultures for specific T-cell
proliferation, Fel d 1-tetramer-specific responses, and multiple immune
regulatory molecules. RESULTS: MAT-Fel d 1 vaccine was efficiently internalized
by antigen-presenting cells. This was followed by precaspase 1 cleavage to
caspase 1 and secretion of IL-1beta, indicating inflammasome activation. Mat-Fel
d 1 induced specific T-cell proliferation and an IL-10- and IFN-gamma-dominated T
cell responses with decreased Th2 cytokines at 100 times lower doses than Fel d
1. Induction of immune tolerance by MAT-Fel d 1-ILIT involved multiple mechanisms
of immune suppression. Early Fel d 1-specific T-cell activation was followed by
full T-cell unresponsiveness to allergen after 1 year in the MAT-Fel d 1 group,
characterized by increased allergen-specific T regulatory cells, decreased
circulating Fel d 1 tetramer-positive cells, increased IL-10 and FOXP3
expression, and change in the HR2/HR1 ratio toward HR2. CONCLUSIONS: This study
demonstrates the induction of allergen tolerance after 3 intra-lymph node
injections of MAT-Fel d 1 vaccine, mediated by increased cellular internalization
of the allergen, activation of inflammasome, and generation of allergen-specific
peripheral T-cell tolerance.
PMID- 24934403
TI - Desvenlafaxine prevents white matter injury and improves the decreased
phosphorylation of the rate-limiting enzyme of cholesterol synthesis in a chronic
mouse model of depression.
AB - Serotonin/norepinephrine reuptake inhibitors antidepressants exert their effects
by increasing serotonin and norepinephrine in the synaptic cleft. Studies show it
takes 2-3 weeks for the mood-enhancing effects, which indicate other mechanisms
may underlie their treatment effects. Here, we investigated the role of white
matter in treatment and pathogenesis of depression using an unpredictable chronic
mild stress (UCMS) mouse model. Desvenlafaxine (DVS) was orally administrated to
UCMS mice at the dose of 10 mg/kg/day 1 week before they went through a 7-week
stress procedure and lasted for over 8 weeks before the mice were killed. No
significant changes were found for protein markers of neurons and astrocytes in
UCMS mice. However, myelin and oligodendrocyte-related proteins were
significantly reduced in UCMS mice. DVS prevented the stress-induced injury to
white matter and the decrease of phosphorylated 5'-AMP-activated protein kinase
and 3-hydroxy-3-methyl-glutaryl-CoA reductase protein expression. DVS increased
open arm entries in an elevated plus-maze test, sucrose consumption in the
sucrose preference test and decreased immobility in tail suspension and forced
swimming tests. These findings suggest that stress induces depression-like
behaviors and white matter deficits in UCMS mice. DVS may ameliorate the
oligodendrocyte dysfunction by affecting cholesterol synthesis, alleviating the
depression-like phenotypes in these mice. We examined the possible role of
oligodendrocyte and myelin in the pathological changes of depression with an
unpredictable chronic mild stress (UCMS) mouse model. Oligodendrocyte-related
proteins in the mouse brain were specifically changed during the stress period.
The depressive-like behaviors and oligodendrocyte deficits could be prevented by
the administration of desvenlafaxine. Oligodendrocyte and myelin may be an
essential target of desvenlafaxine for the treatment of depression.
PMID- 24934405
TI - Splanchnic Tissue Oxygenation for Predicting Feeding Tolerance in Preterm
Infants.
AB - BACKGROUND: Feeding intolerance is very frequent in preterm infants, and the
development of an early effective biomarker for its prediction could be useful
for carrying out a proper feeding strategy. Our aim was to evaluate if the
measurement of splanchnic regional oxygenation (rSO2S) and splanchnic fractional
oxygen extraction ratio (FOES) using near-infrared spectroscopy (NIRS) is
correlated with the time needed to achieve full enteral feeding and if it can
predict the development of feeding intolerance. MATERIALS AND METHODS: We
measured rSO2S and FOES in preterm infants 25 +/- 0 to 31 +/- 6 weeks of
gestational age at 24-72 hours of life during continuous enteral feeding.
RESULTS: Linear regression analysis did not evidence any relationship between
rSO2S and FOES and the time for achievement of full enteral feeding. Multivariate
logistic regression analysis showed that birth weight <1000 g (relative risk
[RR], 4.5; 95% confidence interval [CI], 1.23-16.45) and patent ductus arteriosus
occurrence (RR, 9.3; 95% CI, 1.31-66.06) increased the risk of developing feeding
intolerance in our population. CONCLUSION: Splanchnic oxygenation and oxygen
extraction measured in the first days of life are not correlated with the time
needed to achieve full enteral feeding in preterm infants receiving continuous
enteral nutrition.
PMID- 24934404
TI - Association of candidate gene polymorphisms and TGF-beta/IL-10 levels with
malaria in three regions of Cameroon: a case-control study.
AB - BACKGROUND: Plasmodium falciparum malaria is one of the most widespread and
deadliest infectious diseases in children under five years in endemic areas. The
disease has been a strong force for evolutionary selection in the human genome,
and uncovering the critical host genetic factors that confer resistance to the
disease would provide clues to the molecular basis of protective immunity and
improve vaccine development initiatives. METHODS: The effect of single nucleotide
polymorphisms (SNPs) and plasma transforming growth factor beta (TGF-beta) and
interleukin 10 (IL-10) levels on malaria pathology was investigated in a case
control study of 1862 individuals from two major ethnic groups in three regions
with intense perennial P. falciparum transmission in Cameroon. Thirty-four
malaria candidate polymorphisms, including the sickle cell trait (HbS), were
assayed on the Sequenom iPLEX platform while plasma TGF-beta and IL-10 levels
were measured by sandwich ELISA. RESULTS: The study confirms the known protective
effect of HbS against severe malaria and also reveals a protective effect of SNPs
in the nitrogen oxide synthase 2 (NOS2) gene against malaria infection, anaemia
and uncomplicated malaria. Furthermore, ADCY9 rs10775349 (additive G) and ABO
rs8176746 AC individuals were associated with protection from hyperpyrexia and
hyperparasitaemia, respectively. Meanwhile, individuals with the EMR1 rs373533
GT, EMR1 rs461645 CT and RTN3 rs542998 (additive C) genotypes were more
susceptible to hyperpyrexia while both females and males with the rs1050828 and
rs1050829 SNPs of G6PD, respectively, were more vulnerable to anaemia. Plasma TGF
beta levels were strongly correlated with heterozygosity for the ADCY9 rs2230739
and HBB rs334 SNPs while individuals with the ABO rs8176746 AC genotype had lower
IL-10 levels. CONCLUSION: Taken together, this study suggests that some rare
polymorphisms in candidate genes may have important implications for the
susceptibility of Cameroonians to severe malaria. Moreover using the
uncomplicated malaria phenotype may permit the identification of novel pathways
in the early development of the disease.
PMID- 24934406
TI - Embryonic stem cells improve skeletal muscle recovery after extreme atrophy in
mice.
AB - INTRODUCTION: We injected embryonic stem cells into mouse tibialis anterior
muscles subjected to botulinum toxin injections as a model for reversible
neurogenic atrophy. METHODS: Muscles were exposed to botulinum toxin for 4 weeks
and allowed to recover for up to 6 weeks. At the onset of recovery, a single
muscle injection of embryonic stem cells was administered. The myofiber cross
sectional area, single twitch force, peak tetanic force, time-to-peak force, and
half-relaxation time were determined. RESULTS: Although the stem cell injection
did not affect the myofiber cross-sectional area gain in recovering muscles, most
functional parameters improved significantly compared with those of recovering
muscles that did not receive the stem cell injection. CONCLUSIONS: Muscle
function recovery was accelerated by embryonic stem cell delivery in this durable
neurogenic atrophy model. We conclude that stem cells should be considered a
potential therapeutic tool for recovery after extreme skeletal muscle atrophy.
PMID- 24934407
TI - Long hours locum doctor is struck off after failing to address shortcomings.
PMID- 24934409
TI - Variable access to quality nutrition information regarding inflammatory bowel
disease: a survey of patients and health professionals and objective examination
of written information.
AB - BACKGROUND: Patients with inflammatory bowel disease (IBD) report a range of
nutritional and dietary problems and high-quality written information should be
available on these. There is little research investigating the availability and
quality of such information for patients with IBD. OBJECTIVE: This study assessed
the type and quality of written information on nutrition and diet available to
patients with IBD and the opinions of patients and health professionals. SETTING
AND PARTICIPANTS: Seventy-two patients with IBD were recruited from a large
gastroenterology outpatient centre in England. One hundred dietitians from across
the United Kingdom were also recruited. METHODS: Face-to-face surveys were
conducted with patients with IBD. Questions regarding the use, format and
usefulness of dietary information received were probed. Dietitians were surveyed
regarding written dietary information used in clinical practice. Samples of IBD
specific dietary information used across the UK were objectively assessed using
two validated tools. MAIN RESULTS: The majority of patients rated written
information as 'good' or 'very good', with the most useful information relating
to 'general diet and IBD'. Forty-nine (49%) dietitians reported gaps in written
information available for patients with IBD. Fifty-three different samples of IBD
specific information sheets were returned, with widely variable objective quality
ratings. Commercially produced written information scored greater than locally
produced information (BMA tool, P < 0.05). CONCLUSIONS: Patient access to high
quality, written, IBD-specific dietary information is variable. IBD-specific
written nutrition information needs to be developed in accordance with validated
tools to empower patients, encourage self-management and overcome nutritional
implications of IBD.
PMID- 24934410
TI - Diabetes mellitus increases the risk of early gastric cancer development.
AB - BACKGROUND: The significance of diabetes mellitus (DM) in gastric carcinogenesis
still remains unclear. We investigated whether DM would be a risk factor for the
development of early gastric cancer. METHODS: Factors related to the presence of
gastric cancer were examined in patients undergoing medical health checkups. We
then investigated whether DM was related to the development of early gastric
cancer during an endoscopic follow-up study. RESULTS: Gastric cancer was detected
in 14 (1.0%) of 1463 patients at the first endoscopic examination and was
significantly associated with the severity of gastric atrophy and the presence of
DM. During the follow-up period (range 36-108 months; mean 70.0 months), early
gastric cancer was newly detected in 26 (1.8%) of the 1449 patients in whom
gastric cancer had not been detected at the first examination. Gastric cancer was
detected in 17 (1.3%) of 1301 patients without DM, and in 9 (6.1%) of 148
patients with DM (P < 0.0001). Multivariate analyses demonstrated that open-type
gastric atrophy and DM were independently related to the development of early
gastric cancer (P < 0.0001 and P = 0.020, respectively). Gastric cancer was
identified in 14 (5.1%) of 274 patients who had open-type atrophic gastritis
without DM, whereas it was identified in 8 (16.0%) of 50 patients who had both
open-type atrophic gastritis and DM (P = 0.0042). CONCLUSION: DM increases the
risk of early gastric cancer development.
PMID- 24934408
TI - Synthetic lethality in ATM-deficient RAD50-mutant tumors underlies outlier
response to cancer therapy.
AB - Metastatic solid tumors are almost invariably fatal. Patients with disseminated
small-cell cancers have a particularly unfavorable prognosis, with most
succumbing to their disease within two years. Here, we report on the genetic and
functional analysis of an outlier curative response of a patient with metastatic
small-cell cancer to combined checkpoint kinase 1 (CHK1) inhibition and DNA
damaging chemotherapy. Whole-genome sequencing revealed a clonal hemizygous
mutation in the Mre11 complex gene RAD50 that attenuated ATM signaling which in
the context of CHK1 inhibition contributed, via synthetic lethality, to extreme
sensitivity to irinotecan. As Mre11 mutations occur in a diversity of human
tumors, the results suggest a tumor-specific combination therapy strategy in
which checkpoint inhibition in combination with DNA-damaging chemotherapy is
synthetically lethal in tumor cells but not normal cells with somatic mutations
that impair Mre11 complex function. SIGNIFICANCE: Strategies to effect deep and
lasting responses to cancer therapy in patients with metastatic disease have
remained difficult to attain, especially in early-phase clinical trials. Here, we
present an in-depth genomic and functional genetic analysis identifying RAD50
hypomorphism as a contributing factor to a curative response to systemic
combination therapy in a patient with recurrent, metastatic small-cell cancer.
PMID- 24934411
TI - Age and gender differences in the prevalence and patterns of multimorbidity in
the older population.
AB - BACKGROUND: The coexistence of several chronic diseases in one same individual,
known as multimorbidity, is an important challenge facing health care systems in
developed countries. Recent studies have revealed the existence of multimorbidity
patterns clustering systematically associated distinct clinical entities. We
sought to describe age and gender differences in the prevalence and patterns of
multimorbidity in men and women over 65 years. METHODS: Observational
retrospective multicentre study based on diagnostic information gathered from
electronic medical records of 19 primary care centres in Aragon and Catalonia.
Multimorbidity patterns were identified through exploratory factor analysis. We
performed a descriptive analysis of previously obtained patterns (i.e.
cardiometabolic (CM), mechanical (MEC) and psychogeriatric (PG)) and the diseases
included in the patterns stratifying by sex and age group. RESULTS: 67.5% of the
aged population suffered two or more chronic diseases. 32.2% of men and 45.3% of
women were assigned to at least one specific pattern of multimorbidity, and 4.6%
of men and 8% of women presented more than one pattern simultaneously. Among
women over 65 years the most frequent pattern was the MEC pattern (33.3%),
whereas among men it was the CM pattern (21.2%). While the prevalence of the CM
and MEC patterns decreased with age, the PG pattern showed a higher prevalence in
the older age groups. CONCLUSIONS: Significant gender differences were observed
in the prevalence of multimorbidity patterns, women showing a higher prevalence
of the MEC and PG patterns, as well as a higher degree of pattern overlapping,
probably due to a higher life expectancy and/or worse health. Future studies on
multimorbidity patterns should take into account these differences and,
therefore, the study of multimorbidity and its impact should be stratified by age
and sex.
PMID- 24934412
TI - Posterior tibial artery aneurysm: a case report with review of literature.
AB - BACKGROUND: Aneurysms infra-patellar region are uncommon. Of them, true aneurysms
are very rare and that of posterior tibial artery are extremely rare. The more
common, pseudoaneurysms are commonly associated with trauma whereas the true ones
are linked with either inflammatory or mycotic origins. CASE PRESENTATION: We
reported another case of true aneurysm of posterior tibial artery without any
evident aetiology. This was repaired with resection of aneurysm followed by
interposition vein graft. CONCLUSION: Through this report, we discussed the
rarity, review of literature and management of this unusual condition.
PMID- 24934413
TI - Cystic olfactory ensheathing cell tumor: a case report.
PMID- 24934414
TI - Treatment of olfactory neuroblastoma: a new approach.
PMID- 24934416
TI - Applying latent semantic analysis to large-scale medical image databases.
AB - Latent Semantic Analysis (LSA) although has been used successfully in text
retrieval when applied to CBIR induces scalability issues with large image
collections. The method so far has been used with small collections due to the
high cost of storage and computational time for solving the SVD problem for a
large and dense feature matrix. Here we present an effective and efficient
approach of applying LSA skipping the SVD solution of the feature matrix and
overcoming in this way the deficiencies of the method with large scale datasets.
Early and late fusion techniques are tested and their performance is calculated.
The study demonstrates that early fusion of several composite descriptors with
visual words increase retrieval effectiveness. It also combines well in a late
fusion for mixed (textual and visual) ad hoc and modality classification. The
results reported are comparable to state of the art algorithms without including
additional knowledge from the medical domain.
PMID- 24934417
TI - Relationship between organizational justice and organizational safety climate: do
fairness perceptions influence employee safety behaviour?
AB - This study investigated the relationships between organizational justice,
organizational safety climate, job satisfaction, safety compliance and accident
frequency. Ghanaian industrial workers participated in the study (N = 320).
Safety climate and justice perceptions were assessed with Hayes, Parender,
Smecko, et al.'s (1998) and Blader and Tyler's (2003) scales respectively. A
median split was performed to dichotomize participants into 2 categories: workers
with positive and workers with negative justice perceptions. Confirmatory factors
analysis confirmed the 5-factor structure of the safety scale. Regression
analyses and t tests indicated that workers with positive fairness perceptions
had constructive perspectives regarding workplace safety, expressed greater job
satisfaction, were more compliant with safety policies and registered lower
accident rates. These findings provide evidence that the perceived level of
fairness in an organization is closely associated with workplace safety
perception and other organizational factors which are important for safety. The
implications for safety research are discussed.
PMID- 24934418
TI - Organizational factors affecting safety implementation in food companies in
Thailand.
AB - Thai food industry employs a massive number of skilled and unskilled workers.
This may result in an industry with high incidences and accident rates. To
improve safety and reduce the accident figures, this paper investigates factors
influencing safety implementation in small, medium, and large food companies in
Thailand. Five factors, i.e., management commitment, stakeholders' role, safety
information and communication, supportive environment, and risk, are found
important in helping to improve safety implementation. The statistical analyses
also reveal that small, medium, and large food companies hold similar opinions on
the risk factor, but bear different perceptions on the other 4 factors. It is
also found that to improve safety implementation, the perceptions of safety
goals, communication, feedback, safety resources, and supervision should be
aligned in small, medium, and large companies.
PMID- 24934419
TI - Understanding expectations of different user groups of a sophisticated fall
detection system.
AB - The evaluation in technical invention is important because it tests functionality
of the intervention and it forms an overall point of view of a user. This study
aims to introduce an approach for collecting user expectations with Q methodology
in Safe Private Home for Elderly Persons (CARE), which is a new development in
ambient assisted living. CARE is a sophisticated fall detection system used in
elderly homes to monitor elderly people and the staff. Expectations of elderly
people and the staff were collected with Q sorting. Requirements of examined
groups were explored successfully on the basis of the sorting and the differences
in their opinions were appointed.
PMID- 24934420
TI - Applying hierarchical loglinear models to nonfatal underground coal mine
accidents for safety management.
AB - Underground mining is considered to be one of the most dangerous industries and
mining remains the most hazardous occupation. Categorical analysis of accident
records may present valuable information for preventing accidents. In this study,
hierarchical loglinear analysis was applied to occupational injuries that
occurred in an underground coal mine. The main factors affecting the accidents
were defined as occupation, area, reason, accident time and part of body
affected. By considering subfactors of the main factors, multiway contingency
tables were prepared and, thus, the probabilities that might affect nonfatal
injuries were investigated. At the end of the study, important accident risk
factors and job groups with a high probability of being exposed to those risk
factors were determined. This article presents important information on
decreasing the number accidents in underground coal mines.
PMID- 24934421
TI - Paradigms and safety requirements for a new generation of workplace equipment.
AB - A workplace in the manufacturing industry consists of not only stationary
equipment (e.g., machining centres, fixed robots) but also mobile equipment
(e.g., automated guided vehicles, mobile robots), with both kinds cooperating
directly with workers. Workplace equipment should not only be safe, it should
also not generate fear or anxiety; still better if it should inspire calm and
confidence. In view of robot laws, this article presents selected examples of
robot-human co-operation, reviews safety requirements and safety functions
developed to date. It also proposes a package of selected new safety functions,
necessary to fulfil this paradigm. It also suggests and presents examples of
actions that can make the workplace a human-friendly environment and presents
examples of such actions.
PMID- 24934422
TI - Optimizing noise control strategy in a forging workshop.
AB - In this paper, a computer program based on a genetic algorithm is developed to
find an economic solution for noise control in a forging workshop. Initially,
input data, including characteristics of sound sources, human exposure, abatement
techniques, and production plans are inserted into the model. Using sound
pressure levels at working locations, the operators who are at higher risk are
identified and picked out for the next step. The program is devised in MATLAB
such that the parameters can be easily defined and changed for comparison. The
final results are structured into 4 sections that specify an appropriate
abatement method for each operator and machine, minimum allowance time for high
risk operators, required damping material for enclosures, and minimum total cost
of these treatments. The validity of input data in addition to proper settings in
the optimization model ensures the final solution is practical and economically
reasonable.
PMID- 24934423
TI - Occupational cognitive failure and its relationship with unsafe behaviors and
accidents.
AB - OBJECTIVES: The aim of this study was to assess the relationship between
occupational cognitive failures (OCFs) and unsafe behaviors, accidents and
driving offences among municipal bus drivers in Tehran, Iran. METHODS: Systematic
random sampling was used to select 190 drivers from 3 transport and traffic
Tehran districts. Data were collected with the occupational cognitive failure
questionnaire (OCFQ), the driver behavior questionnaire and a data collection
form. RESULTS: The mean (SD) numbers of driving-related offences and road traffic
accidents were 1.5 (2.6) and 0.37 (1.0), respectively. The mean (SD) numbers of
deliberate driving violations, unintended violations, driving slips and mistakes
were 6.97 (5.5), 1.61 (1.5), 13.6 (9.0) and 4.53 (3.28), respectively. The mean
(SD) number of the OCFs was 28.9 (20.5). A significant correlation was found
between occupational cognitive error and unsafe driving behavior subscales. The
stepwise logistic regression results showed that, while controlling the effects
of confounding factors, the OCF predicts 6%, 9%, 15% and 9% of deliberate
violations, unintended violations, driving slips and driving mistakes,
respectively. CONCLUSION: The results of this study show that the score of the
OCFQ is a predictor of unsafe driving behaviors and its subscales.
PMID- 24934424
TI - Evaluating workers' exposure to metalworking fluids and effective factors in
their dispersion in a car manufacturing factory.
AB - INTRODUCTION: Metalworking fluids (MWFs), which are widely used in metal working
operations, can cause different adverse effects, e.g., dermal and respiratory
disorders, and cancer. Evaluating workers' exposure to MWF mists and the
effective factors in their dispersion were the purpose of this study. MATERIALS
AND METHOD: Seventy-five out of 300 workers working in metalworking workshops
were randomly selected. MWF concentrations were measured with the National
Institute for Occupational Safety and Health (NIOSH) 5524 method. Air temperature
and velocity were also determined as the predicted effective parameters on the
level of exposure. RESULTS: The results indicated that exposure to MWF mists in
one workshop was higher than in the other ones (p < .05). The findings also
showed that temperature was an effective factor in the dispersion of MWF mists (p
< .05). DISCUSSION: The exposure of almost all workers was under the threshold
limit value of 5 mg/m(3), but it was over the value recommended by NIOSH of 0.5
mg/m(3). Air temperature was an effective factor in workers' exposure (r = .576).
PMID- 24934425
TI - A thermal stress treadmill walk for clinic evaluation of candidates for hazardous
materials (HazMat) duty.
AB - U.S. guidance for examining hazmat workers recommends stress testing be
considered when heat stress is expected. However, the most common stress test
Bruce protocol treadmill electrocardiography (BPTE) wearing gym clothes-creates
little thermal stress. OBJECTIVE: Evaluate a novel thermal stress treadmill walk
(TSTW). METHODS: Body temperatures and heart rates during BPTE in 93 current and
potential hazmat workers wearing gym clothes were compared with later values in
35 of these subjects while they were wearing thermally-restrictive "sauna suits"
during a 45-min TSTW. Physiological strain index (PSI) was calculated from
temperature and heart rate changes and compared with PSI values from hazmat
simulations and climatic chamber exercises. RESULTS: Tympanic temperature (TT)
rose 0.5 degrees C (SD 0.5) during BPTE lasting 12.4 min (SD 2.9). PSI reached
6.0 (SD 1.3). TT rose 1.0 degrees C (SD 0.5) during TSTW, p < .01. PSI averaged
6.6 (SD 1.9) in 29 subjects who completed TSTW, versus 5.7 (SD 5.7) in the 6
subjects who did not. Ingested thermistor temperatures increased more than did TT
during TSTW, yielding PSI of 7.0 (SD 1.5), equal to PSI values from climatic
chamber exercises, i.e., 7.0 (SD 1.0). CONCLUSION: TSTW increased body
temperature and PSI in 29 of the 35 subjects who completed it to levels matching
those of operational simulations in climatic chambers and during hazmat
exercises. This TSTW may be useful for evaluating candidates for hazmat duty.
PMID- 24934426
TI - Variability of musculoskeletal strain on dentists: an electromyographic and
goniometric study.
AB - INTRODUCTION: Dentists and hygienists are strongly affected by musculoskeletal
disorders (MSDs). As workstation concepts are supported by subjective arguments
only, the aim of this study was to use objective measurements to compare the
variability of strain in various concepts: a dental chair equipped with a cart or
an over-the-patient delivery system without an assistant, and Dr Daryl Beach's
concept with an assistant. METHODS: Goniometric and electromyographic recordings
were made on 8 subjects, during a scaling operation. The electrical activity of
their trapezius and lumbar muscles was compared, as were their cervical and
lumbar ranges of motion. RESULTS: The results showed that there was a wide
variability depending on the workstation. However, the Beach concept tended to
reduce physical strain on most parameters: duration of left lumbar muscle
activity (2% compared to 15% of time spent in > 10% maximal voluntary
contraction, MVC), time spent in cervical side bending (4% compared to 30%),
cervical flexion of > 20 degrees (9% compared to 40%), and left trapezius
activity (9% of time spent > 10% MVC compared to 28%). CONCLUSION: Practitioners
and students should adjust their workstations to reduce the prevalence of MSDs.
PMID- 24934427
TI - Self-rated physical loads of work tasks among firefighters.
AB - OBJECTIVES: The present study sought to identify firefighters' rated physical
demands for the most frequently occurring work tasks and to determine if the
ratings differed between full-time and part-time firefighters to help create a
basis for the development of physical employment tests for firefighters. METHODS:
An extensive questionnaire was completed by 125 and 68 firefighters in 2000 and
2010, respectively. The data were analysed with the Mann-Whitney U test and
binominal test and ranked on the basis of the responses in each category.
RESULTS: Significant differences were seen between the full- and part-time
firefighters. The work tasks rated as the most physically strenuous in terms of
aerobic fitness, muscle strength, work posture and body control by most
respondents were smoke diving upstairs (carrying a hose), victim rescue in
different ways, carrying a stretcher over terrain and pulling a hose.
CONCLUSIONS: Physically strenuous work tasks should be included in the end-point
performance variables used to select physical performance tests for firefighters.
The part-time firefighters with no experience in several of the work tasks
suggests that work-related exercises are important if both groups of firefighters
are expected to do similar work.
PMID- 24934428
TI - Health and safety perception of workers in Turkey: a survey of construction
sites.
AB - This study reports the general health and safety (HS) conditions in the Turkish
construction industry from the perspective of construction labor. Toward this
aim, a questionnaire survey was carried out with 800 workers employed in 32
construction projects in Turkey. Contractors were found to neglect their legal
liabilities in paying workers' insurance premiums. Also, they overlooked safety
training and were reluctant to hiring physicians at construction sites and
investing in personal protective equipment (PPE). As the real constructors of
projects, workers did not attach adequate importance to occupational training. In
addition, they were not willing to use some PPE. Key participants of HS affairs
such as workers, contractors, unions, and government should comprehend their
drawbacks to overcome the current dangerous view of the industry. In this regard,
related government bodies should compel contractors and workers to adapt to the
relatively new regulations on occupational HS.
PMID- 24934429
TI - Effect of different types of rest-break interventions on neck and shoulder muscle
activity, perceived discomfort and productivity in symptomatic VDU operators: a
randomized controlled trial.
AB - OBJECTIVE: This study evaluated the effect of different types of activities
during rest-break interventions on neck and shoulder muscle activity, muscle
discomfort and productivity among symptomatic video display unit (VDU) operators
performing prolonged computer terminal work. STUDY DESIGN AND SETTING: Randomized
controlled trial was used. Thirty symptomatic VDU operators were randomly
assigned to 2 active break groups (stretching and dynamic movement) and a
reference group. The subjects performed the same typing task for 60 min and
received 3-min breaks after each 20 min of work. Root mean square and median
frequency were calculated for neck and shoulder muscle activity. Muscle
discomfort was measured with Borg's CR-10 scale. Productivity was measured by
counting words. RESULTS: There were no significant differences between the types
of activities during breaks on neck and shoulder muscle activity, muscle
discomfort or productivity. However, there was a significant difference in the
level of muscle discomfort over time. CONCLUSIONS: Three types of activity during
breaks showed a favourable effect on neck and shoulder muscle activity and
productivity, and a positive effect on muscle discomfort in symptomatic VDU
operators.
PMID- 24934430
TI - Psychosocial and individual characteristics and musculoskeletal complaints among
clinical laboratory workers.
AB - Musculoskeletal disorders (MSDs) are an important health problem among healthcare
workers, including clinical laboratory ones. The aim of the present study was to
investigate the prevalence of MSDs and individual and psychosocial risk factors
among clinical laboratory workers. A cross-sectional study was carried out among
156 workers of 30 clinical laboratories in 3 towns of Iran. The Nordic
questionnaire with individual and psychosocial risk factors was used to collect
data. Multiple logistic regression analysis was performed. The prevalence of
reported MSDs among the study population was 72.4% in the past 12 months. The
most prevalent MSDs were pain in the lower back and neck; 42.7% and 33.3%,
respectively. Significant relations were found between MSDs and age, gender,
heavy work at home and job control (p < .05). MSDs among laboratory workers were
high and associated with age, gender, heavy work at home and job control. More
research into measuring these factors and workplace physical demands is
suggested.
PMID- 24934431
TI - Work-related musculoskeletal disorders, job stressors and gender responses in
foundry industry.
AB - The main aim of this paper was to identify job stressors, gender responses and
association of psychosocial work stressors with prevalence of work related
musculoskeletal disorders (MSDs) among foundry workers. The data were obtained
with ergonomics checklist using Likert scale. The results of this study showed a
high prevalence of MSDs among workers. The male workers were more prone to pain
in neck while the female workers were more prone to MSDs in upper back and
shoulders. Correlation analysis showed significant relationship of dimensions of
work aspects with pain and discomfort. It proved that the work-related MSDs are
the results of interaction of multiple stressors associated with work and work
environment, and other personal factors. ANOVA indicated that the perception of
work aspects as stressors differed significantly between male and female workers.
PMID- 24934432
TI - Vision for improvement: Expressive writing as an intervention for people with
Stargardt's disease, a rare eye disease.
AB - This study implemented and evaluated the effectiveness of an expressive writing
intervention among patients with Stargardt's disease, a rare disease due to
macular degeneration. Participants were randomly assigned to either an expressive
writing intervention or a neutral writing condition. Participants completed
measures at three time points: baseline, 3 weeks, and 6 weeks post-intervention.
Psychological health outcomes improved at the 3-week follow-up for the
intervention condition compared to control. Self-reported physical health
improved at the 6-week follow-up in the intervention condition compared to
control. These results suggest that expressive writing may be an effective,
practical, and low-cost intervention for those with Stargardt's disease.
PMID- 24934433
TI - Type D personality is associated with health behaviors and perceived social
support in individuals with coronary heart disease.
AB - This study investigated the role of Type D personality in health behaviors and
perceived social support in individuals with coronary heart disease. Different
from other related studies, this study assessed a broader range of health
behaviors in an eastern population while controlling for anxiety and depression.
In all, 386 Indonesian individuals with coronary heart disease completed the
measures assessing Type D, perceived social support, depression, anxiety, and
health behaviors. Compared with non-Type D, Type D individuals reported more
unhealthy behaviors, less healthy behaviors, and perceived less social support.
For those identified as Type D, tailored interventions might be considered.
PMID- 24934434
TI - Emotional representation of tuberculosis with stigma, treatment delay, and
medication adherence in Russia.
AB - This descriptive cross-sectional study aimed to explore emotional representation
and illness coherence, the understanding a person has about an illness which
helps them make sense of the experience, in Russians with tuberculosis. In a
secondary analysis of questionnaires from 105 Russians treated for pulmonary
tuberculosis, social isolation and disease consequences were predictors of
negative emotions related to tuberculosis and accounted for 49 percent of the
variance. Participants who scored higher on illness coherence were less likely to
experience negative emotions. Development of programs to help patients understand
tuberculosis and to manage emotional responses and stigma is suggested.
PMID- 24934435
TI - Bodies as means for continuing post-death relationships.
AB - Secondary analysis of data from 30 people in three interview studies shows that
bereaved people use their own and the deceased's body in their continuing efforts
to maintain a relationship with the departed. Following the continuing bond
perspective, the study reveals three body-associated strategies for maintaining
post-death relationships: (a) the presence of the deceased in the bereaved's
body, (b) body-associated actions and activities, and (c) sensing and caring for
the deceased's body. The conceptual dimension of embodiment is used to interpret
results. Attention is also given to the bereaved's sense of disembodiment due to
social rejection of these strategies for maintaining post-death relationships.
Implications for health psychologists are offered.
PMID- 24934436
TI - Clinicians' perspectives on patient satisfaction in adult congenital heart
disease clinics--a dimension of health care quality whose time has come.
AB - OBJECTIVES: Patient-centered care and patient satisfaction represent key
dimensions of health care quality. This is relevant for the growing number of
patients with life-long conditions. In the present study, our goal was to examine
clinicians' attitudes and behavior with respect to patient satisfaction in adult
congenital heart disease outpatient clinics. METHODS: A 34-question survey was
developed to assess adult congenital heart disease clinicians' awareness,
attitudes, and behavior relative to patient satisfaction and administered in
person or online to clinicians from the largely U.S.-based Adult Congenital Heart
Association's database of adult congenital heart disease health care providers.
RESULTS: Overall, 267 questionnaires were filled out: 108 were collected in
person (79% response rate) and 159 online (17.5% response rate). Responses were
received from physicians (161); nurses (73); physician assistants (20); and
others (13). Although 85% of clinicians believed it was important to inquire
about patient satisfaction, only 28% reported routinely inquiring about this
dimension of care. Only 34% claimed they had adequate training to cope with
varying levels of patient satisfaction, 44% stated that their department utilized
patient satisfaction surveys, and 37% received feedback from the hospital
management in the preceding 12 months. In multivariate analyses, clinicians that
received feedback from the hospital management and had adequate training were
more likely to inquire about patient satisfaction. CONCLUSION: Although patient
satisfaction is perceived as an important dimension of quality care by adult
congenital heart disease clinicians, most of them reported insufficient
institutional support to achieve this. Our findings suggest that clinicians would
benefit from health care organizations engaging them in the delivery of this
dimension of health care quality.
PMID- 24934437
TI - Exchange interaction between magnetic impurities on surfaces of Cu(x)Pd(1-x) and
Cu(x)Au(1-x) random substitutional alloys.
AB - We present fully relativistic first principles calculations of the exchange
interactions between magnetic impurities deposited on the (1 1 1) surfaces of
CuxPd1-x and CuxAu1-x random substitutional alloys, described using the coherent
potential approximation. We show that as with pure surfaces of Cu and Au, where
Shockley-type surface states mediate an RKKY-type interaction, a surface state
and its dispersion can be obtained from studying the Bloch spectral function. In
the second part of the paper we show how the details of the interaction are
determined by the properties and dispersion of the surface states of the host
material. We find an extra exponential decay in the range of the interactions
compared to the 1/R(2) decay on surfaces of pure metals. The similar topology of
the Fermi surface of Cu and Au allows us to scale the spin-orbit coupling and to
study the Bychkov-Rashba splitting. Alternatively, the entirely different
topology of the Cu and Pd Fermi surfaces allows us to study changes in the
surface-state dispersion of the RKKY interaction between surface impurities.
PMID- 24934438
TI - Maternal microcirculation and sidestream dark field imaging: a prospective
assessment of the association between labour pain and analgesia on the
microcirculation of pregnant women.
AB - BACKGROUND: Pregnancy places significant demands on the cardiovascular system
leading to measurable changes in the macrocirculation and potentially the
microcirculation. During labour, both uterine contractions and labour pain can
further impact cardiovascular status. The objective of this observational study
was to compare sublingual microcirculation in labouring parturients before and
after epidural analgesia. METHODS: Healthy pregnant, labouring women requesting
epidural analgesia were approached to participate. Participants with
cardiovascular disease, diabetes, obesity, smoking or caffeine intake were
excluded. The sidestream dark field device was applied to the sublingual mucosa
obtaining images of at least 20 seconds in 5 visual fields before and after
epidural analgesia. Video clips were analyzed randomly and blindly. The primary
outcome was mean microvascular flow index (MFI). RESULTS: Twelve participants
completed this study. The results demonstrate no statistically significant
difference in the MFI during labour pain (2.9+/-0.1) compared to after epidural
analgesia (3.0+/-0.04, p = 0.31). Furthermore, there were no statistically
significant differences in any secondary outcomes. CONCLUSION: Our findings
indicate that epidural analgesia may not impact sublingual microcirculation in
labouring women. This agrees with literature supporting epidural analgesia as a
safe, appropriate method of pain relief during labour with limited impact on
peripheral macro or microcirculation.
PMID- 24934439
TI - Nitrogen and phosphorus losses from agricultural systems in China: a meta
analysis.
AB - Studies worldwide have indicated that agricultural pollution is the main source
of nitrogen and phosphorus (N and P) in surface waters. A systematic
understanding of N and P sources and sinks in agricultural systems is important
for selecting the appropriate remedial strategies to control nutrient losses and
water pollution. Based on nationwide data and a long-term monitoring program in
Southeast China, the nationwide spatial and temporal patterns of N and P losses
and the relationships between such losses and N and P inputs and rainfall were
analyzed. The results showed that the annual nutrient losses from agricultural
systems in China strongly varied, and the N/P values ranged from 0.01 to 51.0,
with a majority at approximately 0-20, and an arithmetic mean of 9.73; these
values mostly overlap the suitable range of N/P (6-15) for red bloom algae.
PMID- 24934441
TI - Oil spill hazard assessment using a reverse trajectory method for the Egadi
marine protected area (Central Mediterranean Sea).
AB - The Egadi Marine Protected Area (MPA) on the western side of the Sicily Channel
(Central Mediterranean) is exposed to a high risk of oil pollution from the
tanker routes connecting the eastern and western basins of the Mediterranean Sea.
Areas where an oil spill would do most damage, and thus where surveillance should
be concentrated, are identified in this study by Lagrangian tracers tracked
backwards in time from points along the MPA perimeter using data spanning six
years from 2006 to 2011. Results indicate that the areas where oil surveillance
would be most beneficial are segments of the tanker routes south of Sicily
(highly frequented) and north of Sicily (scarcely frequented), both extending
about 150 miles from November to March and 100 miles in the other months. The
third route, close to the Tunisian shore, is the most frequented by oil tankers
but the threat period is limited to November and December.
PMID- 24934440
TI - Contamination of diuron in coastal waters around Malaysian Peninsular.
AB - The use of antifouling paints to the boats and ships is one among the threats
facing coastal resources including coral reefs in recent decades. This study
reports the current contamination status of diuron and its behaviour in the
coastal waters of Malaysia. The maximum concentration of diuron was 285 ng/L
detected at Johor port. All samples from Redang and Bidong coral reef islands
were contaminated with diuron. Temporal variation showed relatively high
concentrations but no significant difference (P>0.05) during November and January
(North-East monsoon) in Klang ports (North, South and West), while higher levels
of diuron were detected during April, 2012 (Inter monsoon) in Kemaman, and Johor
port. Although no site has shown concentration above maximum permissible
concentration (430 ng/L) as restricted by the Dutch Authorities, however, long
term exposure studies for environmental relevance levels of diuron around coastal
areas should be given a priority in the future.
PMID- 24934444
TI - Characterization of Barmah Forest virus pathogenesis in a mouse model.
AB - Alphaviruses including Barmah Forest virus (BFV) and Ross River virus (RRV) cause
arthritis, arthralgia and myalgia in humans. The rheumatic symptoms in human BFV
infection are very similar to those of RRV. Although RRV disease has been studied
extensively, little is known about the pathogenesis of BFV infection. We sought
to establish a mouse model for BFV to facilitate our understanding of BFV
infectivity, tropism and pathogenesis, and to identify key pathological and
immunological mechanisms of BFV infection that may distinguish between infections
with BFV and RRV. Here, to the best of our knowledge, we report the first study
assessing the virulence and replication of several BFV isolates in a mouse model.
We infected newborn Swiss outbred mice with BFV and established that the BFV2193
prototype was the most virulent strain. BFV2193 infection resulted in the highest
mortality among all BFV variant isolates, comparable to that of RRV. In
comparison with RRV, C57BL/6 mice infected with BFV showed delayed onset,
moderate disease scores and early recovery of the disease. BFV replicated poorly
in muscle and did not cause the severe myositis seen in RRV-infected mice. The
mRNAs for the inflammatory mediators TNF-alpha, IL-6, CCL2 and arginase-1 were
highly upregulated in RRV- but not BFV-infected muscle. To our knowledge, this is
the first report of a mouse model of BFV infection, which we have used to
demonstrate differences between BFV and RRV infections and to further understand
disease pathogenesis. With an increasing number of BFV cases occurring annually,
a better understanding of the disease mechanisms is essential for future
therapeutic development.
PMID- 24934443
TI - Human muscle LIM protein dimerizes along the actin cytoskeleton and cross-links
actin filaments.
AB - The muscle LIM protein (MLP) is a nucleocytoplasmic shuttling protein playing
important roles in the regulation of myocyte remodeling and adaptation to
hypertrophic stimuli. Missense mutations in human MLP or its ablation in
transgenic mice promotes cardiomyopathy and heart failure. The exact function(s)
of MLP in the cytoplasmic compartment and the underlying molecular mechanisms
remain largely unknown. Here, we provide evidence that MLP autonomously binds to,
stabilizes, and bundles actin filaments (AFs) independently of calcium and pH.
Using total internal reflection fluorescence microscopy, we have shown how MLP
cross-links actin filaments into both unipolar and mixed-polarity bundles.
Quantitative analysis of the actin cytoskeleton configuration confirmed that MLP
substantially promotes actin bundling in live myoblasts. In addition, bimolecular
fluorescence complementation (BiFC) assays revealed MLP self-association.
Remarkably, BiFC complexes mostly localize along actin filament-rich structures,
such as stress fibers and sarcomeres, supporting a functional link between MLP
self-association and actin cross-linking. Finally, we have demonstrated that MLP
self-associates through its N-terminal LIM domain, whereas it binds to AFs
through its C-terminal LIM domain. Together our data support that MLP contributes
to the maintenance of cardiomyocyte cytoarchitecture by a mechanism involving its
self-association and actin filament cross-linking.
PMID- 24934445
TI - CD1d-restricted antigen presentation by Vgamma9Vdelta2-T cells requires
trogocytosis.
AB - CD1d-restricted invariant natural killer T cells (iNKT) constitute an important
immunoregulatory T-cell subset that can be activated by the synthetic glycolipid
alpha-galactosylceramide (alpha-GalCer) and play a dominant role in antitumor
immunity. Clinical trials with alpha-GalCer-pulsed monocyte-derived dendritic
cells (moDC) have shown anecdotal antitumor activity in advanced cancer. It was
reported that phosphoantigen (pAg)-activated Vgamma9Vdelta2-T cells can acquire
characteristics of professional antigen-presenting cells (APC). Considering the
clinical immunotherapeutic applications, Vgamma9Vdelta2-T APC can offer important
advantages over moDC, potentially constituting an attractive novel APC platform.
Here, we demonstrate that Vgamma9Vdelta2-T APC can present antigens to iNKT.
However, this does not result from de novo synthesis of CD1d by Vgamma9Vdelta2-T,
but critically depends on trogocytosis of CD1d-containing membrane fragments from
pAg-expressing cells. CD1d-expressing Vgamma9Vdelta2-T cells were able to
activate iNKT in a CD1d-restricted and alpha-GalCer-dependent fashion. Although
alpha-GalCer-loaded moDC outperformed Vgamma9Vdelta2-T APC on a per cell basis,
Vgamma9Vdelta2-T APC possess unique features with respect to clinical
immunotherapeutic application that make them an interesting platform for
consideration in future clinical trials.
PMID- 24934442
TI - The E2F transcription factors regulate tumor development and metastasis in a
mouse model of metastatic breast cancer.
AB - While the E2F transcription factors (E2Fs) have a clearly defined role in cell
cycle control, recent work has uncovered new functions. Using genomic signature
methods, we predicted a role for the activator E2F transcription factors in the
mouse mammary tumor virus (MMTV)-polyomavirus middle T oncoprotein (PyMT) mouse
model of metastatic breast cancer. To genetically test the hypothesis that the
E2Fs function to regulate tumor development and metastasis, we interbred MMTV
PyMT mice with E2F1, E2F2, or E2F3 knockout mice. With the ablation of individual
E2Fs, we noted alterations of tumor latency, histology, and vasculature.
Interestingly, we noted striking reductions in metastatic capacity and in the
number of circulating tumor cells in both the E2F1 and E2F2 knockout backgrounds.
Investigating E2F target genes that mediate metastasis, we found that E2F loss
led to decreased levels of vascular endothelial growth factor (Vegfa), Bmp4,
Cyr61, Nupr1, Plod 2, P4ha1, Adamts1, Lgals3, and Angpt2. These gene expression
changes indicate that the E2Fs control the expression of genes critical to
angiogenesis, the remodeling of the extracellular matrix, tumor cell survival,
and tumor cell interactions with vascular endothelial cells that facilitate
metastasis to the lungs. Taken together, these results reveal that the E2F
transcription factors play key roles in mediating tumor development and
metastasis in addition to their well-characterized roles in cell cycle control.
PMID- 24934446
TI - Prostate cancer: viral gene therapy can improve IMRT.
PMID- 24934448
TI - Prostate cancer: extended pelvic lymph node removal--extended survival.
PMID- 24934449
TI - Prostate cancer: enzalutamide PREVAILs.
PMID- 24934447
TI - Mechanisms of testicular torsion and potential protective agents.
AB - Testicular torsion is a urological emergency most commonly seen in adolescence,
involving a decrease in blood flow in the testis resulting from torsion of the
spermatic cord that can result in gonad injury or even loss if not treated in
time. Testicular ischaemia-reperfusion injury represents the principle
pathophysiology of testicular torsion, with ischaemia caused by twisting of the
spermatic cord, and reperfusion on its subsequent release. Many cellular and
molecular mechanisms are involved in ischaemia-reperfusion injury following
testicular torsion. Studies have investigated the use of pharmacological agents
as supportive therapy to surgical repair in order to prevent the adverse effects
of testicular torsion. Numerous substances have been proposed as important in the
prevention of post-ischaemia-reperfusion testicular injury. A range of chemicals
and drugs has been successfully tested in animal models for the purpose of
mitigating the dangerous effects of ischaemia-reperfusion in testis torsion.
PMID- 24934450
TI - Diagnosis and management of intradiverticular bladder tumours.
AB - Intradiverticular bladder tumours (IDBT) account for approximately 1% of all
urinary bladder tumours. The risk of developing a tumour within a bladder
diverticulum is considered to be greater than in the main bladder, possibly owing
to prolonged contact of potential carcinogens with the mucosal lining from
urinary stasis. Patients with these tumours most commonly present with visible
haematuria. Diagnostic tests include urine cytology, cystoscopy, ultrasonography,
CT, MRI, and biopsy. Lack of muscle in the diverticula increases the risk of
bladder perforation during biopsy and makes pathological staging difficult as
there is no T2 stage; instead, data suggest that any invasion beyond the lamina
propria should be described as T3. IDBT can be managed by transurethral resection
and adjuvant intravesical therapy, diverticulectomy, or cystectomy (partial or
radical), as outlined by the only guidelines to specifically address the
management of IDBT, which were published by the Cancer Committee of the French
Association of Urology (CCAFU) in 2012. The prognosis of patients with
intradiverticular bladder tumours has always been perceived to be worse than
those with intravesical tumours; however, the only study of 5-year survival rates
for patients with IDBT suggests that prognosis might be comparable for these
conditions.
PMID- 24934451
TI - Fetal therapy for the treatment of congenital bladder neck obstruction.
AB - Lower urinary tract obstruction (LUTO) comprises a heterogeneous group of
pathologies associated with early-onset oligohydramnios and cystic renal disease
that have high rates of perinatal morbidity (from renal disease) and mortality
(from pulmonary hypoplasia). The use of prenatal detailed ultrasonography and
fetal urine analysis has been only partially successful in identifying fetuses
with LUTO with relatively good prognosis that would benefit from in utero
therapy. The most common prenatal therapy is vesicoamniotic shunting. Newer
techniques, such as fetal cystoscopy, have potential for enhancing prenatal
triage and simultaneously delivering treatment. Vesicoamniotic shunting seems to
improve perinatal survival, but whether this treatment or conservative management
is used, the surviving children have a high rate of end-stage renal failure
requiring dialysis and transplantation. Further investigation of long-term
outcomes of vesicoamniotic shunting and fetal cystoscopy is hoped to delineate
the risks and benefits of these prenatal treatments and inform management
strategies.
PMID- 24934453
TI - Functions of invariant NK T cells are modulated by TLR ligands and IFN-alpha.
AB - Invariant NK T (iNKT) cells perform numerous immunoregulatory functions. In mice,
they express a unique and invariant Valpha14-Jalpha18 rearrangement of alpha
chain in their TCR recognizing glycolipid Ags presented by CD1d. This recognition
results in the rapid release of both Th1- and Th2-type cytokines, making them
early mediators of the immune response. Owing to their rapid activation and
genetic rigidity of their TCR, iNKT cells share characteristics with innate
lymphocytes. Therefore, we investigated whether iNKT cells could be induced to
express TLRs, a class of pathogen recognition receptor. Mouse iNKT cells were
stimulated with anti-CD3 monoclonal Ab and IFN-alpha, resulting in an increase in
the transcription of TLRs 3, 5, 7 and 9, and increased surface expression of
TLR3. These cells were subsequently stimulated with TLR ligands, resulting in an
increase in the production of IFN-gamma, IL-4 and TNF-alpha. Supernatants from
these cells also increased macrophage production of IL-6 and prostaglandin E2,
and increased their phagocytic activity and CD80 expression. These supernatants
also reduced vesicular stomatitis virus-GFP replication in fibroblasts. This
study demonstrates the role of IFN-alpha in iNKT cell activation, as well as the
direct modulatory effects of TLR ligands on iNKT cell function, including
antiviral activity.
PMID- 24934452
TI - The National Cancer Informatics Program (NCIP) Annotation and Image Markup (AIM)
Foundation model.
AB - Knowledge contained within in vivo imaging annotated by human experts or computer
programs is typically stored as unstructured text and separated from other
associated information. The National Cancer Informatics Program (NCIP) Annotation
and Image Markup (AIM) Foundation information model is an evolution of the
National Institute of Health's (NIH) National Cancer Institute's (NCI) Cancer
Bioinformatics Grid (caBIG(r)) AIM model. The model applies to various image
types created by various techniques and disciplines. It has evolved in response
to the feedback and changing demands from the imaging community at NCI. The
foundation model serves as a base for other imaging disciplines that want to
extend the type of information the model collects. The model captures physical
entities and their characteristics, imaging observation entities and their
characteristics, markups (two- and three-dimensional), AIM statements,
calculations, image source, inferences, annotation role, task context or
workflow, audit trail, AIM creator details, equipment used to create AIM
instances, subject demographics, and adjudication observations. An AIM instance
can be stored as a Digital Imaging and Communications in Medicine (DICOM)
structured reporting (SR) object or Extensible Markup Language (XML) document for
further processing and analysis. An AIM instance consists of one or more
annotations and associated markups of a single finding along with other ancillary
information in the AIM model. An annotation describes information about the
meaning of pixel data in an image. A markup is a graphical drawing placed on the
image that depicts a region of interest. This paper describes fundamental AIM
concepts and how to use and extend AIM for various imaging disciplines.
PMID- 24934454
TI - Partner's engagement in community-based health promotion programs: a case study
of professional partner's experiences and perspectives in Iran.
AB - Community-based health promotion requires effective participation and partnership
of diverse and numerous stakeholders from community as well as external
professional organizations. Although effective partnership of stakeholders is
often the key for success of health promotion practice and research, but this has
proved to be a complex and challenging task. This study is an exploratory study
to identify professional stakeholder's perspectives and experiences toward the
partner's engagement challenges in community-based participatory research
conducted in Population Research Centers in Iran. A qualitative study design with
in-depth semi-structured interviews as data collection method was chosen. Using
purposeful sampling technique, policy-makers and managers (mainly academics)
involved in community-based participatory research in these centers were invited
to be interviewed. Data were collected to the point where no new information was
forthcoming. All interviews were taped and transcribed. To provide answers for
research questions, qualitative content analysis was employed to extract emerging
main themes from numerous cods. Findings were categorized in three main themes as
Partnership's relationship and trust issues, Partnership's individual issues and
Partnership's system issues. Although community-based participatory research in
Iran benefits from more than a decade history and some physical infrastructures,
but it seems that public health experts and researchers and other partner
organizations are lagging behind in terms of capacities and competencies required
to effectively utilize the available structure and opportunities. Hence, capacity
development, both among professional partners and community may be the main way
forward to tackling the future challenges for strengthening community actions but
should include both levels of individuals and systems.
PMID- 24934455
TI - Examining the quality of the 'Healthy Eating and Physical Activity in Schools'
(HEPS) quality checklist: German results on usability and reliability.
AB - The aim of the present study was to examine the usability and reliability of the
HEPS quality checklist (Healthy Eating and Physical Activity in Schools), an
instrument developed to assess the quality of school-based programmes on healthy
eating and physical activity. With regard to usability, health promotion experts
(n = 15) were asked to apply the HEPS quality checklist and to fill out a
questionnaire about its comprehensibility and usability. To examine inter-rater
reliability (IRR) a criteria-based selection of German school programmes on
healthy eating and physical activity (n = 14) was randomly allocated to two
programme pools and assessed independently by the authors. Results of the pilot
testing revealed a high overall satisfaction with the HEPS quality checklist and
a high willingness to use it or to recommend it to others. Furthermore, the
checklist was perceived to be comprehensive and clearly structured. The
assessment results of programme pool 1 revealed unsatisfactory Cohen's Kappa
coefficients (IRR) and moderate intra-class correlations (ICC). After the HEPS
manual guide had been amended with regard to its anchoring, the results of
programme pool 2 showed substantial improvements with regard to IRR and ICC. In
summary, the adapted HEPS quality checklist is a usable and reliable instrument
for the quality assessment of school-based programmes on healthy eating and
physical activity. The findings suggest that the HEPS checklist should be applied
by two sufficiently trained raters.
PMID- 24934456
TI - Development of hydrophilic nanocarriers for the charged form of the local
anesthetic articaine.
AB - One of the current challenges in drug encapsulation concerns the development of
carrier systems for hydrophilic compounds. Potential carriers include
nanocapsules prepared with amphiphilic polymers, which consist of a polymeric
coating surrounding an aqueous nucleus, or dense matrices such as nanospheres of
alginate/chitosan, where the drug may be dispersed in the matrix or adsorbed on
the surface. The development of new formulations of nanocarriers, for example the
poly(ethylene glycol)-poly(E-caprolactone) (PEG-PCL) nanocapsules and
alginate/chitosan (AG/CS) nanospheres described in this work, is needed in the
case of ionized drugs such as articaine. This amino amide local anesthetic is the
drug of choice in dentistry for regional anesthesia as well as the relief of
acute and chronic pain. Here, the physico-chemical properties of suspensions of
the nanoparticles (considering diameter, polydispersion, and zeta potential) were
determined as a function of time, in order to establish the stability of the
systems. The formulations did not show any substantial changes in these
parameters, and were stable for up to 120 days of storage at ambient temperature.
Satisfactory encapsulation efficiencies were obtained for the PEG-PCL
nanocapsules (60%) and the AG/CS nanospheres (45%). Cytotoxicity assays confirmed
that the encapsulation of articaine reduced its toxicity, relative to the free
drug. The most promising results were obtained using the vesicular system (PEG
PCL nanocapsules), which not only altered the release profile of the drug, but
also resulted in the lowest toxicity. This carrier system therefore holds promise
for use in future practical applications.
PMID- 24934457
TI - Bone health in patients with prostate cancer.
AB - CONTEXT: In patients with prostate cancer, bone health is compromised by advanced
age at diagnosis, androgen suppression treatments and the developmentofbone
metastases. In this paper the medical literature is reviewed in order to update
the state of the art on their incidence, prevention and management. EVIDENCE
ACQUISITION: A literature review about bone involvement in patients with prostate
cancer in different clinical settings is performed. SYNTHESIS OF THE EVIDENCE:
Decreased bone mineral density is higher in patients diagnosed of prostate cancer
before starting treatment than in healthy men with the same age. During the first
year of treatment, a severe loss bone density is reported due to androgen
suppression therapy. From then on, loss bone density seems to slow down,
persisting at long-term. It is important to know the starting point and the
dynamics of loss bone in order to prevent its progression. The skeletal events
have an important impact on quality of life in patients with prostate cancer.
Both Denosumab and Zoledronic Acid have proven effective in reducing loss bone.
CONCLUSIONS: The prevention and management of bone involvement in patients with
prostate cancer is critical to quality of life in these patients and requires an
individualized approach. Before starting a prolonged androgen deprivation,
baseline risk of fracture should be evaluated in order to adopt the proper
protective measures. In patients with metastases, early treatments reducing the
risk of bone events should be taken into account.
PMID- 24934458
TI - Comparative study of retrograde intrarenal surgery and micropercutaneous
nephrolithotomy in the treatment of intermediate-sized kidney stones.
AB - OBJECTIVE: Retrograde intrarenal surgery (RIRS) has proven efficacy with minimal
morbidity in the treatment of intermediate-sized kidney stones. The aim of this
study was to examine the feasibility of micropercutaneous nephrolithotomy
(microperc) for this indication and evaluate its results compared with those of
RIRS. MATERIAL AND METHODS: From September to December 2013, we performed a
comparative prospective study between RIRS and microperc, with 20 consecutive
patients with intermediate-sized (1-3cm) kidney stones. We employed a flexible
dual-channel ureteroscope (Cobra, Richard Wolf GmbH) and a Microperc 4.85/8 Fr
(with the patient supine) with flexible fiberoptics (0.9mm, 120 degrees and
10,000 pixels) (PolyDiagnost GmbH). The study variables were demographic data,
stone characteristics, percentage of stone elimination, complications (Clavien
Dindo), surgical time, hospital stay and need for auxiliary procedures. RESULTS:
The patients underwent RIRS (n=12) or microperc (n=8). There were no differences
in the demographics or stone characteristics between the 2 groups. The percentage
of stone elimination with RIRS and microperc was 91.7% and 87.5% (P=1),
respectively. One of the patients who underwent RIRS (8.3%) experienced
postoperative fever; one of the patients who underwent microperc (12.5%)
experienced postoperative colic pain (both cases were classified as Clavien I).
The operative times were similar: 120min (111.2-148.7) and 120 (88.7-167.5) min
for RIRS and microperc (P=.8), respectively. None of the patients required a
blood transfusion. The hospital stays were also equivalent: 1 day (1-2) and 1.5
days (1-3.5) for RIRS and microperc (P=.33), respectively. Two patients treated
with microperc (25%) required auxiliary procedures (simultaneous RIRS and
flexible nephroscopy after percutaneous trajectory dilation to treat, in both
cases, a significant fragment that had migrated to an inaccessible calyx), and 1
patient in the RIRS group (8.3%) required percutaneous nephrolithotomy due to
unfavorable infundibular-calyceal anatomy (P=.54). CONCLUSIONS: Microperc is a
minimally invasive method that is emerging as an effective and safe treatment for
intermediate-sized kidney stones. Studies are needed to better evaluate its cost
effectiveness, the need for complementary treatments and its possible
complementarity with RIRS when working with patients in the supine position.
PMID- 24934459
TI - Safety and efficacy even after 90 years of age should prompt removal of upper age
limits in systemic thrombolysis for stroke.
PMID- 24934460
TI - Thrombolysis in the elderly: yes but with caution.
PMID- 24934463
TI - Vasa vasorum in normal and diseased arteries.
PMID- 24934465
TI - ECG Response: June 17, 2014.
PMID- 24934464
TI - Glycemic management in patients with coronary artery disease and prediabetes or
type 2 diabetes mellitus.
PMID- 24934466
TI - Left atrial appendage occluder thrombosis after successful implantation.
PMID- 24934467
TI - Left anterior descending coronary artery occlusion secondary to metastatic
squamous cell carcinoma presenting as ST-segment-elevation myocardial infarction.
PMID- 24934468
TI - Letter by Scharnagl et al regarding article, "Elevated remnant cholesterol causes
both low-grade inflammation and ischemic heart disease, whereas elevated low
density lipoprotein cholesterol causes ischemic heart disease without
inflammation".
PMID- 24934469
TI - Letter by Jones et al regarding article, "Elevated remnant cholesterol causes
both low-grade inflammation and ischemic heart disease, whereas elevated low
density lipoprotein cholesterol causes ischemic heart disease without
inflammation".
PMID- 24934470
TI - Reply to letters regarding article, "Elevated remnant cholesterol causes both low
grade inflammation and ischemic heart disease, whereas elevated low-density
lipoprotein cholesterol causes ischemic heart disease without inflammation".
PMID- 24934471
TI - DSM-5 and the rise of the diagnostic checklist.
PMID- 24934472
TI - A broad survey reveals substitution tolerance of residues ligating FeS clusters
in [NiFe] hydrogenase.
AB - BACKGROUND: In order to understand the effects of FeS cluster attachment in
[NiFe] hydrogenase, we undertook a study to substitute all 12 amino acid
positions normally ligating the three FeS clusters in the hydrogenase small
subunit. Using the hydrogenase from Alteromonas macleodii "deep ecotype" as a
model, we substituted one of four amino acids (Asp, His, Asn, Gln) at each of the
12 ligating positions because these amino acids are alternative coordinating
residues in otherwise conserved-cysteine positions found in a broad survey of
NiFe hydrogenase sequences. We also hoped to discover an enzyme with elevated
hydrogen evolution activity relative to a previously reported "G1" (H230C/P285C)
improved enzyme in which the medial FeS cluster Pro and the distal FeS cluster
His were each substituted for Cys. RESULTS: Among all the substitutions screened,
aspartic acid substitutions were generally well-tolerated, and examination
suggests that the observed deficiency in enzyme activity may be largely due to
misprocessing of the small subunit of the enzyme. Alignment of hydrogenase
sequences from sequence databases revealed many rare substitutions; the five
substitutions present in databases that we tested all exhibited measurable
hydrogen evolution activity. Select substitutions were purified and tested,
supporting the results of the screening assay. Analysis of these results confirms
the importance of small subunit processing. Normalizing activity to quantity of
mature small subunit, indicative of total enzyme maturation, weakly suggests an
improvement over the "G1" enzyme. CONCLUSIONS: We have comprehensively screened
48 amino acid substitutions of the hydrogenase from A. macleodii "deep ecotype",
to understand non-canonical ligations of amino acids to FeS clusters and to
improve hydrogen evolution activity of this class of hydrogenase. Our studies
show that non-canonical ligations can be functional and also suggests a new
limiting factor in the production of active enzyme.
PMID- 24934473
TI - In vitro investigation of antioxidant, anti-Inflammatory, and antiplatelet
adhesion properties of genistein-modified
poly(ethersulfone)/poly(vinylpyrrolidone) hemodialysis membranes.
AB - Hemocompatibility of genistein-modified poly(ethersulfone)/poly(vinylpyrrolidone)
(PES/PVP) hemodialysis (HD) membranes has been investigated in vitro with
emphasis on evaluation of cell viability, antioxidant, anti-inflammatory, and
antiplatelet adhesion properties. Genistein modified PES/PVP membranes reveal
significant reduction of the reactive oxygen species and also considerable
suppression of interleukin-1beta and tumor necrosis factor-alpha levels in whole
blood, but to a lesser extent ininterleukin-6. The incorporation of PVP into the
HD membrane reduces platelet adhesion by virtue of its hydrophilicity. Of
particular importance is that platelet adhesion of the genistein modified
membranes declines noticeably at low concentrations of genistein for about 5-10%,
beyond which it raises the number of adhered platelets. The initial decline in
the platelet adhesion is attributable to genistein's ability to inhibit
intercellular and/or vascular cell adhesion, whereas the reversal of this
adhesion trend with further increase of genistein loading is ascribed to the
inherent hydrophobicity of the genistein modified HD membrane.
PMID- 24934474
TI - Ketamine-related cholangiopathy: a retrospective study on clinical and imaging
findings.
AB - PURPOSE: Ketamine is a commonly abused recreational drug in Southeast Asia. There
are emerging reports on ketamine abuse causing liver injury and biliary
dilatation. This retrospective study aims to investigate the clinical and
radiological features of this condition. METHODS: A retrospective search in the
database of our institute was performed from January 2008 to February 2014 for
patients who were ketamine abusers, with deranged liver function and/or
epigastric pain, and had computed tomography of the abdomen or magnetic resonance
cholangiopancreatography. Patient demographics, clinical data, and radiological
findings were reviewed. RESULTS: Twenty-six patients (11 male and 15 female) were
included in this study. Eighteen (69 %) patients had fusiform dilatation of the
common bile ducts (CBDs) without evidence of intrinsic or extrinsic obstruction,
and non-dilated intrahepatic ducts. The degree of CBD dilatation correlated with
duration of abuse. In five patients who achieved abstinence, the CBD dilatation
showed improvement. CONCLUSIONS: Ketamine-related cholangiopathy manifested as
fusiform dilatation of the CBD without evidence of obstructive lesions. Severity
of CBD dilatation appears to be correlated with the duration of ketamine, and the
condition is potentially reversible in abstinent patients.
PMID- 24934475
TI - Heterotopic pancreas of the jejunum: associations between CT and pathology
features.
AB - PURPOSE: To investigate the computed tomography (CT) features of heterotopic
pancreas of the jejunum (HPJ) and to assess their associations with HPJ pathology
features. METHODS: In this retrospective series analysis, two radiologists
reviewed the CT images of 17 patients with surgically proven HPJ in order to
determine in consensus the location, long diameter, margin, shape, contour, and
growth pattern of the lesions, the presence of a duct-like structure, the lesion
enhancement patterns, including the homogeneity, and the degree of contrast
enhancement compared with that of the main pancreas. The pathology features of
the surgical specimens were reviewed and their associations with the CT features
were assessed. RESULTS: On CT, the HPJs typically appeared as a small (<3 cm),
well-defined, ovoid or flat-shaped mass in the proximal jejunum with multiple and
tiny lobulations. The growth pattern varied and the duct-like structure was
rarely visible. The HPJs mostly appeared to be homogeneous and exhibited hyper-
or isoattenuation compared to the main pancreas in the arterial and portal
phases. However, these enhancement patterns varied slightly depending on the
microscopic composition of the lesions (i.e., acinar vs. ductal predominance).
Most HPJs comprised histologically of large acini, some ducts, and small islet
cells, and had ductal communication with the jejunum. CONCLUSIONS: HPJs typically
manifested as small, well-defined, ovoid or flat-shaped, homogeneous, and well
enhancing masses with a microlobulated contour in the proximal jejunum on CT, and
their enhancement patterns associated with their microscopic composition. The
pathology features of HPJs generally mimic those of the normal pancreas.
PMID- 24934476
TI - Erratum to: ACR appropriateness criteria((r)) imaging of mesenteric ischemia.
PMID- 24934477
TI - Recent developments in anion induced capsular self-assemblies.
AB - This Feature Article covers recent developments in anion induced capsular self
assemblies, with particular focus on important reports from 2011 to 2013.
Contemporary studies on the capsular binding of environmentally and biologically
relevant anions in aqueous medium are described. Emerging reports of such systems
reveal their potential utility towards various functional aspects like anion
separation, CO2 fixation, hydrated halide recognition and anion transportation.
This article also highlights potential applications of anion induced molecular
capsules.
PMID- 24934478
TI - Lamb-Dicke spectroscopy of atoms in a hollow-core photonic crystal fibre.
AB - Unlike photons, which are conveniently handled by mirrors and optical fibres
without loss of coherence, atoms lose their coherence via atom-atom and atom-wall
interactions. This decoherence of atoms deteriorates the performance of atomic
clocks and magnetometers, and also hinders their miniaturization. Here we report
a novel platform for precision spectroscopy. Ultracold strontium atoms inside a
kagome-lattice hollow-core photonic crystal fibre are transversely confined by an
optical lattice to prevent atoms from interacting with the fibre wall. By
confining at most one atom in each lattice site, to avoid atom-atom interactions
and Doppler effect, a 7.8-kHz-wide spectrum is observed for the (1)S0-(3)P1(m=0)
transition. Atoms singly trapped in a magic lattice in hollow-core photonic
crystal fibres improve the optical depth while preserving atomic coherence time.
PMID- 24934479
TI - Diterpenoids- potential chemopreventive and chemotherapeutic agents in leukemia.
AB - Leukemia remains a major cause of death in both developing as well as developed
nations worldwide. Development of novel therapeutic approaches is perceived to be
the best strategy to combat this disease. Availability of limited effective drugs
with least side effects is the major hurdle in treating leukemia. Another major
concern remains initiation and engagement of therapeutic strategies that may
subvert or overcome drug-resistance. Deployment of plant-based molecules in
therapeutic engagements is regarded to be the most apt approach to combat
different forms of leukemia. Diterpenoids of natural origin have a broad range of
therapeutic activities including anti-leukemic effect. In vitro and in vivo
studies establish many diterpenoids from natural sources and their synthetic
derivatives to have appreciable cytotoxic and apoptotic efficacies against a
series of leukemic cells. This paper attempts to identify and describe
diterpenoids with their plant sources and analyze the molecular mechanistic
approaches underlying their effective apoptotic activities against leukemic cells
studied till date. The present review article summarizes different categories of
diterpenoids having various degrees of anti-leukemic activities and discusses the
measures of overcoming the bio-availability issues of these natural molecules so
that they can serve as extremely viable options in future leukemia therapy.
PMID- 24934480
TI - Platelet-rich plasma induces mixed osteogenic/osteoclastogenic phenotype in
osteosarcoma SaOS-2 cells: role of TGF-beta.
AB - Platelet-rich plasma (PRP) is widely used to promote tissue repair and accelerate
osteogenesis, but there is no agreement about its mechanism of action. We
characterized the modulatory effect of PRP on the in vitro osteoblast model SaOS
2, by using cell motility/chemoattraction and osteogenesis/mineralization assays,
and a series of osteogenic/ osteoclastogenic genomic markers. Scratch wound assay
showed that PRP stimulates cell motility, while transwell assay revealed a strong
chemoattraction. Alkaline phosphatase (ALP) and alizarin red-S assays showed that
PRP induces slight, but significant, stimulations of ALP activity and
mineralization. The TGF-beta inhibitor SB431542 reversed these effects, showing a
main role for TGF-beta1 released by PRP. Analyses of gene expression by qRT-PCR,
showed the upregulation of osteocalcin, osteopontin, osteoprotegerin, receptor
activator of NFkappaB (RANK), and runt-related transcription factor 2 (RUNX2)
genes, with a total reversion by SB431542 for osteoprotegerin and RANK, and a
partial reversion for ostecalcin, osteopontin, and RUNX2. The use of PCR array
technique revealed the upregulation of the cathepsin K gene. These data show that
PRP induces the development of mixed osteogenic/osteoclastogenic traits in the
SaOS-2 model. Such a behavior may favour in vivo bone resorption and
reconstitution at post-surgery or post-traumatic sites.
PMID- 24934481
TI - Rising post-colectomy complications in children with ulcerative colitis despite
stable colectomy rates in United States.
AB - BACKGROUND AND AIMS: In children with ulcerative colitis, data on temporal
colectomy trends and in-hospital post-colectomy complications are limited. Thus,
we evaluated time trends in colectomy rates and post-colectomy complications in
children with ulcerative colitis. METHODS: We identified all children (<=18years)
with a diagnosis code of ulcerative colitis (ICD-9: 556.X) and a procedure code
of colectomy (ICD-9: 45.8 and 45.7) in the Kids' Inpatient Database for 1997,
2000, 2003, 2006 and 2009. The incidence of colectomies for pediatric ulcerative
colitis was calculated and Poisson regression analysis was performed to evaluate
the change in colectomy rates. In-hospital postoperative complication rates were
assessed and predictors for postoperative complications were evaluated using
multivariate logistic regression. RESULTS: The annual colectomy rate in pediatric
ulcerative colitis was 0.43 per 100,000person-years, which was stable throughout
the study period (P>.05). Postoperative complications were experienced in 25%,
with gastrointestinal (13%) and infectious (9.3%) being the most common.
Postoperative complication rates increased significantly by an annual rate of
1.1% from 1997 to 2009 (P=.01). However, other independent predictors of
postoperative complications were not identified. Patients with postoperative
complications had significantly longer median length of stay (14.3days vs
8.2days; P<.001) and higher median hospital charges per patient (US $81,567 vs US
$55,461; P<.001) compared to those without complications. CONCLUSION: Colectomy
rates across the United States in children with ulcerative colitis have remained
stable between 1997 and 2009; however, in-hospital postoperative complication
rates have increased.
PMID- 24934482
TI - Endovascular approaches for morphologically unfavorable intracranial aneurysms:
adjunctive coiling techniques versus flow diversion.
PMID- 24934483
TI - Response to letter, 'Endovascular approaches for morphologically unfavorable
intracranial aneurysms: adjunctive coiling techniques versus flow diversion'.
PMID- 24934484
TI - A randomised, multicentre, double blind, placebo controlled trial to evaluate the
efficacy and safety of cilostazol in patients with vasospastic angina.
AB - OBJECTIVES: We conducted a randomised, double blind, placebo controlled trial to
assess the efficacy and safety of cilostazol, a selective inhibitor of
phosphodiesterase 3, in patients with vasospastic angina (VSA). BACKGROUND:
Cilostazol has been shown to induce vascular dilatation, but its efficacy in
patients with VSA is unknown. METHODS: Between October 2011 and July 2012, 50
patients with confirmed VSA who had >=1 angina episodes/week despite amlodipine
therapy (5 mg/day) were randomly assigned to receive either cilostazol (up to 200
mg/day) or placebo for 4 weeks. All patients were given diaries to record the
frequency and severity of chest pain (0-10 grading). The primary endpoint was the
relative reduction of the weekly incidence of chest pain. RESULTS: Baseline
characteristics were similar between the two groups. Among 49 evaluable patients
(25 in the cilostazol group, 24 in the placebo group), the primary endpoint was
significantly greater in the cilostazol group compared with the placebo group (
66.5+/-88.6% vs -17.6+/-140.1%, respectively, p=0.009). The secondary endpoints,
including a change in the frequency of chest pain (-3.7+/-0.5 vs -1.9+/-0.6,
respectively, p=0.029), a change in the chest pain severity scale (-2.8+/-0.4 vs
1.1+/-0.4, respectively, p=0.003), and the proportion of chest pain-free patients
(76.0% vs 33.3%, respectively, p=0.003) also significantly favoured cilostazol.
Headache was the most common adverse event in both groups (40.0% vs 20.8%,
respectively, p=0.217). CONCLUSIONS: Cilostazol is an effective therapy for
patients with VSA uncontrolled by conventional amlodipine therapy, and has no
serious side effects. TRIAL REGISTRATION NUMBER: NCT01444885.
PMID- 24934485
TI - KRAS, EGFR, PDGFR-alpha, KIT and COX-2 status in carcinoma showing thymus-like
elements (CASTLE).
AB - BACKGROUND: CASTLE (Carcinoma showing thymus-like elements) is a rare malignant
neoplasm of the thyroid resembling lymphoepithelioma-like and squamous cell
carcinoma of the thymus with different biological behaviour and a better
prognosis than anaplastic carcinoma of the thyroid. METHODS: We retrospectively
investigated 6 cases of this very rare neoplasm in order to investigate the
mutational status of KRAS, EGFR, PDGFR-alpha and KIT, as well as the
immunohistochemical expression pattern of CD117, EGFR and COX-2, and possibly
find new therapeutic targets. RESULTS: Diagnosis was confirmed by a moderate to
strong expression of CD5, CD117 and CK5/6, whereas thyroglobulin, calcitonin and
TTF-1 were negative in all cases. Tumors were also positive for COX-2 and in
nearly all cases for EGFR. In four cases single nucleotide polymorphisms (SNPs)
could be detected in exon 12 of the PDGFR-alpha gene (rs1873778), in three cases
SNPs were found in exon 20 of the EGFR gene (rs1050171). No mutations were found
in the KIT and KRAS gene. CONCLUSIONS: All tumors showed a COX-2 expression as
well as an EGFR expression except for one case and a wild-type KRAS status. No
activating mutations in the EGFR, KIT and PDGFR-alpha gene could be detected. Our
data may indicate a potential for targeted therapies, but if these therapeutic
strategies are of benefit in CASTLE remains to be determined. VIRTUAL SLIDES: The
virtual slide(s) for this article can be found here:
http://www.diagnosticpathology.diagnomx.eu/vs/1658499296115016.
PMID- 24934486
TI - Hypogonadotropic hypogonadism: new identification of testicular blood flow and
varicocele after treatment with gonadotropins.
AB - OBJECTIVE: To investigate testicular changes in patients with hypogonadotropic
hypogonadism (HH) after treatment with gonadotropins. DESIGN: Patients with HH
were investigated and followed before and after treatment. SETTING: Urology and
andrology clinic of a teaching hospital. PATIENT(S): Consecutive male patients
with diagnosed HH. INTERVENTION(S): All patients were treated with gonadotropins
during the study period and later. MAIN OUTCOME MEASURE(S): The hormonal status
and scrotal color Doppler ultrasound (CDUS) of patients was recorded before and
after treatment. RESULT(S): Twenty-six patients with HH (ages 18-43 years) were
followed for 8-29 months. After treatment, serum T and secondary sex characters
improved in all and spermatogenesis developed in 61.5% of patients. Before
treatment, testicular (intraparenchymal blood flow) was undetectable in all and
barely detectable in three patients. This improved significantly to 4.53+/-5.44
and 4.27+/-4.97 cm/second, respectively, after treatment. Subcapsular arterial
flow and testicular size also improved significantly. Similarly, after treatment,
transverse epididymal diameter (TED) increased significantly. At baseline, no
patient had detectable varicocele on CDUS. After treatment, varicocele was
demonstrable in 23% of patients. This finding was further evaluated
retrospectively from our 76 HH patient files. None of them had varicocele before
treatment, but after treatment 19.73% were found to have varicocele.
CONCLUSION(S): Patients with HH responded to gonadotropins by improvement in
testicular blood flow and increase in TED. In some patients, varicocele was found
to develop after treatment.
PMID- 24934487
TI - A prediction model for live birth and multiple births within the first three
cycles of assisted reproductive technology.
AB - OBJECTIVE: To develop a model predictive of live-birth rates (LBR) and multiple
birth rates (MBR) for an individual considering assisted reproduction technology
(ART) using linked cycles from Society for Assisted Reproductive Technology
Clinic Outcome Reporting System (SART CORS) for 2004-2011. DESIGN: Longitudinal
cohort. SETTING: Clinic-based data. PATIENT(S): 288,161 women with an initial
autologous cycle, of whom 89,855 did not become pregnant and had a second
autologous cycle and 39,334 did not become pregnant in the first and second
cycles and had a third autologous cycle, with an additional 33,598 women who had
a cycle using donor oocytes (first donor cycle). INTERVENTION(S): None. MAIN
OUTCOME MEASURE(S): LBRs and MBRs modeled by woman's age, body mass index,
gravidity, prior full-term births, infertility diagnoses by oocyte source, fresh
embryos transferred, and cycle, using backward-stepping logistic regression with
results presented as adjusted odds ratios (AORs) and 95% confidence intervals.
RESULT(S): The LBRs increased in all models with prior full-term births, number
of embryos transferred; in autologous cycles also with gravidity, diagnoses of
male factor, and ovulation disorders; and in donor cycles also with the diagnosis
of diminished ovarian reserve. The MBR increased in all models with number of
embryos transferred and in donor cycles also with prior full-term births. For
both autologous and donor cycles, transferring two versus one embryo greatly
increased the probability of a multiple birth (AOR 27.25 and 38.90,
respectively). CONCLUSION(S): This validated predictive model will be implemented
on the Society for Assisted Reproductive Technology Web site (www.sart.org) so
that patients considering initiating a course of ART can input their data on the
Web site to generate their expected outcomes.
PMID- 24934488
TI - Antimullerian hormone and antral follicle count are lower in female cancer
survivors and healthy women taking hormonal contraception.
AB - OBJECTIVE: To determine the impact of hormonal contraception (HC) on markers of
ovarian reserve, including antimullerian hormone (AMH) and antral follicle count
(AFC). DESIGN: Longitudinal prospective cohort. SETTING: University hospital.
PATIENT(S): Young adult female cancer survivors and healthy similar-age women.
INTERVENTION(S): None. MAIN OUTCOME MEASURE(S): Participants were followed
annually to determine hormone levels and for transvaginal ultrasound. Subjects
who used HC within the preceding 3 months were considered to be exposed. Linear
mixed effects models were used to incorporate repeated measures and adjust for
potential confounders. RESULT(S): A total of 249 women (126 survivors, 123
control subjects; average age 25.5 years) were followed for an average of 2.1
visits and 2.15 years. After adjusting for confounders, AMH was found to be 21%
lower among survivors using HC and 55% lower among control subjects using HC
(relative risk [RR] 0.79, 95% confidence interval [CI] 0.68-0.93; and RR 0.45,
95% CI 0.30-0.68; respectively). AFC was 20% lower among survivors and control
subjects using HC (RR 0.80, 95% CI 0.69-0.93). When considering an individual
subject, AMH was 17%-35% lower when a subject had recently used HC than when she
had not (survivors: RR 0.83, 95% CI 0.75-0.93; control subjects: RR 0.65, 95% CI
0.55-0.78), and AFC was 11% lower (RR 0.89, 95% CI 0.82-0.96). Additive HC
exposure across multiple visits was not associated with differences in AMH or
AFC. CONCLUSION(S): AMH and AFC are significantly lower among women with recent
exposure to HC. AMH and AFC should be interpreted with caution when measured in
the setting of recent hormone use.
PMID- 24934489
TI - Tamoxifen co-administration during controlled ovarian hyperstimulation for in
vitro fertilization in breast cancer patients increases the safety of fertility
preservation treatment strategies.
AB - OBJECTIVE: To evaluate the safety and efficacy of tamoxifen co-administration
during conventional controlled ovarian hyperstimulation (COH) protocols for a
fertility-preservation IVF cycle in breast cancer patients. DESIGN: Two groups:
retrospective descriptive cohort study and prospective study. SETTING: Breast
cancer oncology and fertility-preservation centers in a tertiary hospital.
PATIENT(S): Two groups of breast cancer patients: premenopausal patients treated
with adjuvant tamoxifen; and patients undergoing in vitro fertilization (IVF) for
fertility preservation. INTERVENTION(S): Fertility-preservation cycles, tamoxifen
co-administration during conventional IVF. MAIN OUTCOME MEASURE(S): Endocrine
records, and IVF results. RESULT(S): Estradiol (E2) levels were chronically high
(mean 2663 pmol/L, maximum: 10,000 pmol/L) in 38 of 46 breast cancer patients
treated with adjuvant tamoxifen. Co-administration of tamoxifen (48 cycles)
during conventional IVF or without tamoxifen (26 cycles), using either the long
gonadotropin-releasing hormone-agonist or-antagonist protocols, resulted,
respectively, in a mean of 12.65 and 10.2 oocytes retrieved, and 8.5 and 6.4
embryos cryopreserved. Average peak E2 levels were 6,924 pmol/L and 5,093 pmol/L,
respectively, but long-term recurrence risk (up to 10 years) was not increased.
CONCLUSION(S): In breast cancer patients, co-administration of tamoxifen during
conventional COH for fertility preservation does not interfere with IVF results.
The high serum E2 levels during COH should be considered safe, as it simulates
the high prevalence of persistently high serum E2 levels in premenopausal breast
cancer patients safely treated with adjuvant tamoxifen.
PMID- 24934490
TI - Single-donor and double-donor sperm intrauterine insemination cycles: does double
intrauterine insemination increase clinical pregnancy rates?
AB - OBJECTIVE: To compare the pregnancy outcomes in the setting of a single- vs.
double-donor sperm intrauterine insemination (IUI) treatment cycle. DESIGN:
Retrospective cohort study. SETTING: Large, private assisted reproductive
technology practice. PATIENT(S): Donor sperm IUI recipients. INTERVENTION(S):
None. MAIN OUTCOME MEASURE(S): Clinical pregnancy. RESULT(S): There were 2,486
double and 673 single-donor sperm IUI cycles. The two groups were similar for
age, body mass index, and the number of prior cycles. The clinical pregnancy
rates were similar between the two groups (single: 16.4% vs. double: 13.6%). In
univariate regression analysis, age, total motile sperm, and diminished ovarian
reserve (DOR) were associated with pregnancy. Generalized estimating equation
models accounting for repeated measures, age, DOR and total motile sperm and the
interactions of these factors demonstrated that single and double IUI had similar
odds of pregnancy (odds ratio 1.12; 95% confidence interval, 0.96-1.44).
Pregnancy rates remained similar between the two groups in matched comparison and
other subgroup analyses. CONCLUSION(S): Single and double-donor IUI cycles had
similar clinical pregnancy rates. This large data set did not demonstrate a
benefit to routine double IUI in donor sperm cycles.
PMID- 24934491
TI - DMRT1 mutations are rarely associated with male infertility.
AB - OBJECTIVE: To study a potential association between male infertility and DMRT1
mutations. DESIGN: Retrospective sequencing study. SETTING: University hospital.
PATIENT(S): 171 patients with cryptozoospermia (sperm concentration<0.1
million/mL, n=40) or nonobstructive azoospermia (n=131), and 215 normozoospermic
controls. INTERVENTION(S): Sequence analysis of DMRT1. MAIN OUTCOME MEASURE(S):
Identification of rare variants in DMRT1 that are associated with male
infertility. RESULT(S): In total, we detected four putative pathogenic mutations
in six patients (3.5%) and less frequently in two controls (0.9%). CONCLUSION(S):
Point mutations of DMRT1 may be rarely associated with male infertility.
PMID- 24934492
TI - Tranilast, an orally active antiallergic compound, inhibits extracellular matrix
production in human uterine leiomyoma and myometrial cells.
AB - OBJECTIVE: To determine the effect of tranilast (an antiallergic drug known to
suppress fibrosis or to stabilize mast cells) on extracellular matrix production
in human leiomyoma and myometrial cells. DESIGN: Laboratory study. SETTING:
University-affiliated laboratory. PATIENT(S): Seven premenopausal women who were
admitted to the hospital for myomectomy or hysterectomy. INTERVENTION(S): Cells
were treated with tranilast (300 MUM) for 48 hours to measure extracellular
matrix and activin-A expression by real-time reverse-transcription polymerase
chain reaction and/or immunocytochemistry. MAIN OUTCOME MEASURE(S): The
expression of fibronectin, collagen1A1, versican, and activin-A in myometrial and
leiomyoma cells. RESULT(S): Tranilast decreased fibronectin, collagen 1A1, and
versican messenger RNA (mRNA) expression in human primary leiomyoma cell culture.
Similar results were found in an immortalized human leiomyoma cell line.
Tranilast also decreased the mRNA expression of fibronectin, collagen 1A1, and
versican in human primary myometrial cells. The reduced expression of fibronectin
and collagen 1 were observed by immunocytochemistry as well. Tranilast also
reduced profibrotic growth factor, activin-A mRNA expression in primary
myometrial and leiomyoma cells. CONCLUSION(S): Our results indicate that
tranilast reduced fibronectin, collagen 1A1, versican, and activin-A expression
in leiomyoma and myometrial cells, demonstrating its potential as an antifibrotic
therapy for human leiomyomas.
PMID- 24934493
TI - Toward the identification of a subset of unexplained infertility: a sperm
proteomic approach.
AB - OBJECTIVE: To investigate the male gamete proteome and its relation to blastocyst
development and reproductive success. DESIGN: Experimental study. SETTING:
Research laboratory. PATIENT(S): Male infertility patients (n=12) with no known
male factor infertility, donated motile sperm after intracytoplasmic sperm
injection during an oocyte donor in vitro fertilization cycle. INTERVENTION(S):
None. MAIN OUTCOME MEASURE(S): Proteomic profiles of sperm from normozoospermic
males. RESULT(S): Patients were grouped based on day-5 embryo development: group
A=good blastocyst development (>35%>=grade 3 BB) and group B=poor blastocyst
development (<15%>=grade 3 BB). No differences between the groups were observed
for sperm concentration, motility, or Kruger morphology. The in vitro
fertilization outcome was statistically significantly different with higher
viable implantation rates observed for group A (A=80% vs. B=48%). Proteomic
analysis of the motile sperm samples revealed 49 proteins with statistically
significantly differential abundance in relation to blastocyst development (>1.5
fold). Twenty-nine proteins showed decreased abundance for group B, including
several proteins involved in spermatogenesis, and 20 proteins showed increased
abundance for group B, including several heat shock proteins. CONCLUSION(S): An
altered sperm proteome was observed with respect to poor blastocyst development
and in vitro fertilization outcome in donor oocyte cycles despite normal sperm
testing parameters. These data could represent a novel subset of male factor
infertility. Ongoing investigation into the male factor contribution to
idiopathic infertility may result in improved patient care and enhanced outcomes.
PMID- 24934495
TI - Increasing detection of rheumatic heart disease with echocardiography.
AB - Rheumatic heart disease (RHD) is estimated to affect over 20 million people
worldwide, the vast majority being in developing countries. Screening for RHD has
been recommended by the WHO since 2004. Conventionally, auscultation has been
used for diagnosing RHD. Auscultation has its limitation and may not detect mild
cases. A large number of studies have reported echocardiographic screening for
RHD over the last several years. Most of these studies report an almost 10-fold
higher prevalence of RHD by echocardiography as compared to conventional method
of auscultation. Early diagnosis of such mild cases may be important as
instituting secondary prophylaxis in such cases may reduce the burden of the
disease. However, several concerns remain about the significance and natural
history of these minor valvular changes detected by echocardiography. Whether
secondary prophylaxis will reverse these abnormalities is also unclear. Long term
follow up studies are required to answer some of these concerns.
PMID- 24934494
TI - "Missing pieces"--functional, social, and environmental barriers to recovery for
vulnerable older adults transitioning from hospital to home.
AB - OBJECTIVES: To describe barriers to recovery at home for vulnerable older adults
after leaving the hospital. DESIGN: Standard qualitative research techniques,
including purposeful sampling of participants according to age, sex, race, and
English proficiency, were used to ensure a wide breadth of experiences. All
participants were interviewed in their native language (English, Spanish, or
Chinese). Two investigators independently coded interviews using the constant
comparative method. The entire research team, with diverse backgrounds in primary
care, hospital medicine, geriatrics, and nursing, performed thematic analysis.
SETTING: Urban public safety-net teaching hospital. PARTICIPANTS: Vulnerable
older adults (low income and health literacy, limited English proficiency)
enrolled in a larger discharge interventional study. MEASUREMENTS: Qualitative
data (participant quotations) were organized into themes. RESULTS: Twenty-four
individuals with a mean age of 63 (range 55-84), 66% male, 67% nonwhite, 16%
Spanish speaking, 16% Chinese speaking were interviewed. An overarching theme of
"missing pieces" was identified in the plan for postdischarge recovery at home,
from which three specific subthemes emerged: functional limitations and
difficulty with mobility and self-care tasks, social isolation and lack of
support from family and friends, and challenges from poverty and the built
environment at home. In contrast, participants described mostly supportive
experiences with traditional focuses of transition, care such as following
prescribed medication and diet regimens. CONCLUSION: Hospital-based discharge
interventions that focus on traditional aspects of care may overlook social and
functional gaps in postdischarge care at home for vulnerable older adults.
Postdischarge interventions that address these challenges may be necessary to
reduce readmissions in this population.
PMID- 24934496
TI - The non-cardiac use and significance of cardiac troponins.
AB - Cardiac Troponins are blood markers of myocardial damage and are widely utilised
across all acute medical departments. However, with a massive rise in requests
for this test, the interpretation of raised serum levels in the absence of
cardiac sounding clinical features can become a clinical conundrum. This is
especially true if the numerous causes of positive test results are not fully
appreciated. A thorough understanding of the strengths and weaknesses of this
blood test in light of a patient population living longer, increasing in
comorbidities and possible causes of false test results can provide invaluable
support in establishing an accurate diagnosis and instigating effective
management. This article will describe the history of cardiac markers along with
a discussion of the various causes of elevated cardiac troponins outside acute
coronary syndrome. It will elaborate on the applications and significance of this
blood test and the potential uses of positive results with elevated serum
Troponin levels.
PMID- 24934497
TI - India's medical colleges lose 16,000 seats because of poor standards.
PMID- 24934498
TI - Real-life use of vitamin D3-fortified bread and milk during a winter season: the
effects of CYP2R1 and GC genes on 25-hydroxyvitamin D concentrations in Danish
families, the VitmaD study.
AB - Common genetic variants rs10741657 and rs10766197 in CYP2R1 and rs4588 and
rs842999 in GC and a combined genetic risk score (GRS) of these four variants
influence late summer 25-hydroxyvitamin D (25(OH)D) concentrations. The
objectives were to identify those who are most at risk of developing low vitamin
D status during winter and to assess whether vitamin D3-fortified bread and milk
will increase 25(OH)D concentrations in those with genetically determined low
25(OH)D concentrations at late summer. We used data from the VitmaD study.
Participants were allocated to either vitamin D3-fortified bread and milk or non
fortified bread and milk during winter. In the fortification group, CYP2R1
(rs10741657) and GC (rs4588 and rs842999) were statistically significantly
associated with winter 25(OH)D concentrations and CYP2R1 (rs10766197) was
borderline significant. There was a negative linear trend between 25(OH)D
concentrations and carriage of 0-8 risk alleles (p < 0.0001). No association was
found for the control group (p = 0.1428). There was a significant positive linear
relationship between different quintiles of total vitamin D intake and the
increase in 25(OH)D concentrations among carriers of 0-2 (p = 0.0012), 3 (p =
0.0001), 4 (p = 0.0118) or 5 (p = 0.0029) risk alleles, but not among carriers of
6-8 risk alleles (p = 0.1051). Carriers of a high GRS were more prone to be
vitamin D deficient compared to carriers of a low GRS. Furthermore, rs4588-AA
carriers have a low but very stable 25(OH)D concentration, and interestingly,
also low PTH level.
PMID- 24934499
TI - Roofed grooves: rapid layer engineering of perfusion channels in collagen tissue
models.
AB - Surface patterning (micro-moulding) of dense, biomimetic collagen is a simple
tool to produce complex tissues using layer-by-layer assembly. The aim here was
to channelise three-dimensional constructs for improved perfusion. Firstly,
collagen fibril accumulation was measured by comparative image analysis to
understand the mechanisms of structure formation in plastically compressed
collagen during u-moulding. This showed that shape (circular or rectangular) and
dimensions of the template affected collagen distribution around moulded grooves
and consequently their stability. In the second part, this was used for effective
fabrication of multi-layered plastically compressed collagen constructs with
internal channels by roofing the grooves with a second layer. Using rectangular
templates of 25/50/100 um widths and 75 um depth, grooves were u-moulded into the
fluid-leaving surface of collagen layers with predictable width/depth fidelities.
These grooves were then roofed by addition of a second plastically compressed
collagen layer on top to produce u-channels. Resulting u-channels retained their
dimensions and were stable over time in culture with fibroblasts and could be
cell seeded with a lining layer by simple transfer of epithelial cells. The
results of this study provide a valuable platform for rapid fabrication of
complex collagen-based tissues in particular for provision of perfusing
microchannels through the bulk material for improved core nutrient supply.
PMID- 24934502
TI - Immunomodulatory effects of hyperthermia on resisting WSSV infection in
Procambarus clarkii.
AB - White spot disease remains a constant threat to aquaculture worldwide.
Hyperthermia has been shown to reduce mortality in white spot syndrome virus
(WSSV)-infected shrimps, but the mechanism still remains unclear. In this study,
we sought to identify host immune factors that contribute to inhibition of WSSV
infection during hyperthermia. In WSSV-infected red swamp crayfish Procambarus
clarkii (Girard) cultured at 24 +/- 1 degrees C, transcriptional levels of the
heat shock protein 70 (Hsp70) gene showed a modest, 2.2-fold increase in
haemocytes following 48 h post-infection (hpi). In contrast, in WSSV-infected
crayfish cultured at 32 +/- 1 degrees C, Hsp70 gene expression showed a rapid,
19.5-fold induction by 4 hpi. This suggests that Hsp70 plays a positive
regulatory role in resistance to WSSV infection during hyperthermia. Furthermore,
total haemocyte counts (THC) and phenoloxidase (PO) activity were both
significantly increased in WSSV-infected crayfish cultured at 32 +/- 1 degrees C
by 48 hpi. Both may be critical for crayfish survival in the late stages of WSSV
infection. Collectively, the up-regulation of host protein Hsp70 expression and
increase in THC and PO activity suggest that hyperthermia has immunomodulatory
effect that enhanced the resistance of P. clarkii to WSSV infection.
PMID- 24934500
TI - Development and hemocompatibility testing of nitric oxide releasing polymers
using a rabbit model of thrombogenicity.
AB - Hemocompatibility is the goal for any biomaterial contained in extracorporeal
life supporting medical devices. The hallmarks for hemocompatibility include
nonthrombogenicity, platelet preservation, and maintained platelet function. Both
in vitro and in vivo assays testing for compatibility of the blood/biomaterial
interface have been used over the last several decades to ascertain if the
biomaterial used in medical tubing and devices will require systemic
anticoagulation for viability. Over the last 50 years systemic anticoagulation
with heparin has been the gold standard in maintaining effective extracorporeal
life supporting. However, the biomaterial that maintains effective ECLS without
the use of any systemic anticoagulant has remained elusive. In this review, the
in vivo 4-h rabbit thrombogenicity model genesis will be described with emphasis
on biomaterials that may require no systemic anticoagulation for extracorporeal
life supporting longevity. These novel biomaterials may improve extracorporeal
circulation hemocompatibility by preserving near resting physiology of the major
blood components, the platelets and monocytes. The rabbit extracorporeal
circulation model provides a complete assessment of biomaterial interactions with
the intrinsic coagulation players, the circulating platelet and monocytes. This
total picture of blood/biomaterial interaction suggests that this rabbit
thrombogenicity model could provide a standardization for biomaterial
hemocompatibility testing.
PMID- 24934501
TI - Breastfeeding in children of women taking antiepileptic drugs: cognitive outcomes
at age 6 years.
AB - IMPORTANCE: Breastfeeding is known to have beneficial effects, but concern exists
that breastfeeding during maternal antiepileptic drug (AED) therapy may be
harmful. We previously noted no adverse effects of breastfeeding associated with
AED use on IQ at age 3 years, but IQ at age 6 years is more predictive of school
performance and adult abilities. OBJECTIVES: To examine the effects of AED
exposure via breastfeeding on cognitive functions at age 6 years. DESIGN,
SETTING, AND PARTICIPANTS: Prospective observational multicenter study of long
term neurodevelopmental effects of AED use. Pregnant women with epilepsy
receiving monotherapy (ie, carbamazepine, lamotrigine, phenytoin, or valproate)
were enrolled from October 14, 1999, through April 14, 2004, in the United States
and the United Kingdom. At age 6 years, 181 children were assessed for whom we
had both breastfeeding and IQ data. All mothers in this analysis continued taking
the drug after delivery. MAIN OUTCOMES AND MEASURES: Differential Ability Scales
IQ was the primary outcome. Secondary measures included measures of verbal,
nonverbal, memory, and executive functions. For our primary analysis, we used a
linear regression model with IQ at age 6 years as the dependent variable,
comparing children who breastfed with those who did not. Similar secondary
analyses were performed for the other cognitive measures. RESULTS: In total,
42.9% of children were breastfed a mean of 7.2 months. Breastfeeding rates and
duration did not differ across drug groups. The IQ at age 6 years was related to
drug group (P < .001 [adjusted IQ worse by 7-13 IQ points for valproate compared
to other drugs]), drug dosage (regression coefficient, -0.1; 95% CI, -0.2 to 0.0;
P = .01 [higher dosage worse]), maternal IQ (regression coefficient, 0.2; 95% CI,
0.0 to 0.4; P = .01 [higher child IQ with higher maternal IQ]), periconception
folate use (adjusted IQ 6 [95% CI, 2-10] points higher for folate, P = .005), and
breastfeeding (adjusted IQ 4 [95% CI, 0-8] points higher for breastfeeding, P =
.045). For the other cognitive domains, only verbal abilities differed between
the breastfed and nonbreastfed groups (adjusted verbal index 4 [95% CI, 0-7]
points higher for breastfed children, P = .03). CONCLUSIONS AND RELEVANCE: No
adverse effects of AED exposure via breast milk were observed at age 6 years,
consistent with another recent study at age 3 years. In our study, breastfed
children exhibited higher IQ and enhanced verbal abilities. Additional studies
are needed to fully delineate the effects of all AEDs. TRIAL REGISTRATION:
clinicaltrials.gov Identifier: NCT00021866.
PMID- 24934503
TI - Affinity purification probes of potential use to investigate the endogenous Hsp70
interactome in cancer.
AB - Heat shock protein 70 (Hsp70) is a family of proteins with key roles in
regulating malignancy. Cancer cells rely on Hsp70 to inhibit apoptosis, regulate
senescence and autophagy, and maintain the stability of numerous onco-proteins.
Despite these important biological functions in cancer, robust chemical tools
that enable the analysis of the Hsp70-regulated proteome in a tumor-by-tumor
manner are yet unavailable. Here we take advantage of a recently reported Hsp70
ligand to design and develop an affinity purification chemical toolset for
potential use in the investigation of the endogenous Hsp70-interacting proteome
in cancer. We demonstrate that these tools lock Hsp70 in complex with onco-client
proteins and effectively isolate Hsp70 complexes for identification through
biochemical techniques. Using these tools we provide proof-of-concept analyses
that glimpse into the complex roles played by Hsp70 in maintaining a multitude of
cell-specific malignancy-driving proteins.
PMID- 24934504
TI - Deficits in discrimination after experimental frontal brain injury are mediated
by motivation and can be improved by nicotinamide administration.
AB - One of the largest challenges in experimental neurotrauma work is the development
of models relevant to the human condition. This includes both creating similar
pathophysiology as well as the generation of relevant behavioral deficits. Recent
studies have shown that there is a large potential for the use of discrimination
tasks in rats to detect injury-induced deficits. The literature on discrimination
and TBI is still limited, however. The current study investigated motivational
and motor factors that could potentially contribute to deficits in
discrimination. In addition, the efficacy of a neuroprotective agent,
nicotinamide, was assessed. Rats were trained on a discrimination task and
motivation task, given a bilateral frontal controlled cortical impact TBI (+3.0
AP, 0.0 ML from bregma), and then reassessed. They were also assessed on motor
ability and Morris water maze (MWM) performance. Experiment 1 showed that TBI
resulted in large deficits in discrimination and motivation. No deficits were
observed on gross motor measures; however, the vehicle group showed impairments
in fine motor control. Both injured groups were impaired on the reference memory
MWM, but only nicotinamide-treated rats were impaired on the working memory MWM.
Nicotinamide administration improved performance on discrimination and motivation
measures. Experiment 2 evaluated retraining on the discrimination task and
suggested that motivation may be a large factor underlying discrimination
deficits. Retrained rats improved considerably on the discrimination task. The
tasks evaluated in this study demonstrate robust deficits and may improve the
detection of pharmaceutical effects by being very sensitive to pervasive
cognitive deficits that occur after frontal TBI.
PMID- 24934505
TI - Uncommon serum creatine phosphokinase and lactic dehydrogenase increase during
diosmin therapy: two case reports.
AB - INTRODUCTION: Short-term administration of diosmin is usually considered safe,
with only minor side effects (stomach and abdominal pain, diarrhea,
dermatological disorders, and headache) occasionally observed. Within a 4-year
period, a general practitioner noticed 17 cases of mild, diosmin-induced side
effects, two of which showed particular interest. CASES PRESENTATION: Case 1: A
55-year-old Caucasian woman presented with chronic leg venous insufficiency. She
was prescribed diosmin 450 mg twice a day. After 5 days of therapy, she developed
pain in the legs (myalgia), and diosmin therapy was suspended. She made a
spontaneous attempt of drug rechallenge and her leg pain reappeared. Thus, she
underwent blood analysis, which showed elevation of creatine phosphokinase
levels. Creatine phosphokinase values normalized only after prolonged
discontinuation of the therapy. Case 2: A 79-year-old Caucasian man, who was
diagnosed with acute hemorrhoidal syndrome. After 21 days of continuous diosmin
treatment, increased levels of serum lactic dehydrogenase were detected. In both
cases a comprehensive analysis of all possible causes for enzyme elevation was
made. CONCLUSIONS: A feasible hypothesis to explain these rare effects could be
that exaggerated adrenergic activity occurred on microcirculation, leading to an
excessive peripheral vasoconstriction and subsequent ischemic damage. An
individual predisposition is strongly suggested. A concurrence of events was
probably responsible for the elevation of nonspecific tissue necrosis markers.
Physicians and patients must be aware of these rare, but possible, adverse drug
reactions.
PMID- 24934507
TI - Semimetallic molybdenum disulfide ultrathin nanosheets as an efficient
electrocatalyst for hydrogen evolution.
AB - Molybdenum disulfide (MoS2) ultrathin nanosheets, as a well-known inorganic two
dimensional (2D) material with a graphene-like structure, has attracted
tremendous attention due to its unique microscopic and macroscopic properties
brought by the confinement of charge and heat transfer upon the basal plane.
However, as the prototype Mott-insulator, its relatively low conductivity and
carrier concentration still greatly hamper its wide applications. Here, we
developed a novel intralayer vanadium-doping strategy to produce semimetallic
vanadium-doped MoS2 (VMS) ultrathin nanosheets with less than five S-(V, Mo)-S
atomic layers, as a new inorganic 2D material. By incorporation of intralayer
vanadium atoms, fine regulation of intrinsic electrical properties within the
pristine MoS2 structure has been successfully realized, achieving semimetallic
MoS2-based 2D materials with tunable conductivity and higher carrier
concentration for the first time. Benefiting from the enhanced in-plane
conductivity, the improved carrier concentration as well as the shortened
electron transfer paths, the semimetal-like VMS nanosheet have enhanced catalytic
activity with an overpotential of 0.13 V and a smaller Tafel slope, exhibiting
enhanced catalytic performance compared with that of a pure MoS2 system. The
intralayer doping in the 2D structure opens a new avenue in building highly
efficient catalysts through the regulation of their intrinsic electrical
properties, and also gives a new perspective for enlarging the design space of 2D
materials.
PMID- 24934508
TI - Synthesis and anti-TMV activity of novel beta-amino acid ester derivatives
containing quinazoline and benzothiazole moieties.
AB - Here, a series of beta-amino acid ester derivatives containing quinazoline and
benzothiazoles was synthesized and evaluated for anti-tobacco mosaic virus (TMV)
activity. The compounds 3n, 3o, 3p and 3q showed good antiviral activity against
TMV at a concentration of 500 MUg/mL, with curative rates of 55.55%, 52.32%,
52.77% and 50.91%, respectively, and protection rates of 52.33%, 55.96%, 54.21%
and 50.98%, respectively. These values were close to those of the commercially
available antiviral agent ningnanmycin (which has curative and protection rates
of 55.27% and 52.16%, respectively). To our knowledge, this is the first report
of the anti-TMV activity of beta-amino acid ester derivatives containing
quinazoline and benzothiazoles moieties; the results indicate that these novel
compounds can potentially be used as protective agents against TMV diseases.
PMID- 24934506
TI - GWAS identifies an NAT2 acetylator status tag single nucleotide polymorphism to
be a major locus for skin fluorescence.
AB - AIMS/HYPOTHESIS: Skin fluorescence (SF) is a non-invasive marker of AGEs and is
associated with the long-term complications of diabetes. SF increases with age
and is also greater among individuals with diabetes. A familial correlation of SF
suggests that genetics may play a role. We therefore performed parallel genome
wide association studies of SF in two cohorts. METHODS: Cohort 1 included 1,082
participants, 35-67 years of age with type 1 diabetes. Cohort 2 included 8,721
participants without diabetes, aged 18-90 years. RESULTS: rs1495741 was
significantly associated with SF in Cohort 1 (p < 6 * 10(-10)), which is known to
tag the NAT2 acetylator phenotype. The fast acetylator genotype was associated
with lower SF, explaining up to 15% of the variance. In Cohort 2, the top signal
associated with SF (p = 8.3 * 10(-42)) was rs4921914, also in NAT2, 440 bases
upstream of rs1495741 (linkage disequilibrium r (2) = 1.0 for rs4921914 with
rs1495741). We replicated these results in two additional cohorts, one with and
one without type 1 diabetes. Finally, to understand which compounds are
contributing to the NAT2-SF signal, we examined 11 compounds assayed from skin
biopsies (n = 198): the fast acetylator genotype was associated with lower levels
of the AGEs hydroimidazolones of glyoxal (p = 0.017). CONCLUSIONS/INTERPRETATION:
We identified a robust association between NAT2 and SF in people with and without
diabetes. Our findings provide proof of principle that genetic variation
contributes to interindividual SF and that NAT2 acetylation status plays a major
role.
PMID- 24934509
TI - Germinal center reaction: antigen affinity and presentation explain it all.
AB - The selection and expansion of B cells undergoing affinity maturation in the
germinal center is a hallmark of humoral immunity. A recent paper in Nature
provides new insights into the relationships between the affinity of the
immunoglobulin receptor for antigen, the ability of B cells to present antigen to
T cells, and the processes of selection, mutation, and clonal expansion in the
germinal center.
PMID- 24934511
TI - The angiogenic behaviors of human umbilical vein endothelial cells (HUVEC) in co
culture with osteoblast-like cells (MG-63) on different titanium surfaces.
AB - OBJECTIVES: Interaction between osteogenesis and angiogenesis plays an important
role in implant osseointegration. In the present study we investigated the
influence of titanium surface properties on the angiogenic behaviors of
endothelial cells grown in direct contact co-culture with osteoblasts. METHODS:
Human umbilical vein endothelial cells (HUVECs) and osteoblast-like cells (MG-63
cells) were grown in direct co-culture on the following titanium surfaces: acid
etched (A), hydrophilic A (modA), coarse-gritblasted and acid-etched (SLA) and
hydrophilic SLA (SLActive). Cell proliferation was evaluated by cell counting
combined with flow cytometry. The expression of von Willebrand Factor (vWF),
thrombomodulin (TM), endothelial cell protein C receptor (EPCR), E-Selectin, as
well as vascular endothelial growth factor (VEGF) receptors Flt-1 and KDR in
HUVECs and VEGF in MG-63 were measured by qPCR. The dynamic behavior of
endothelial cells was recorded by time-lapse microscopy. RESULTS: Proliferation
of HUVECs was highest on A, followed by SLA, modA and SLActive surfaces. The
expression of vWF, TM, EPCR, E-Selectin and Flt-1 in HUVECs was significantly
higher on A than on all other surfaces. The expression of KDR in HUVECs grown on
A surface was below detection limit. VEGF expression in MG-63 cells was
significantly higher on SLActive vs SLA and modA vs A surfaces. Time-lapse
microscopy revealed that HUVECs moved quickest and formed cell clusters earlier
on A surface, followed by SLA, modA and SLActive surface. CONCLUSIONS: In co
culture conditions, proliferation and expression of angiogenesis associated genes
in HUVECs are promoted by smooth hydrophobic Ti surface, which is in contrast to
previous mono-culture studies.
PMID- 24934512
TI - Editorial: advances in computational structure based drug discovery.
PMID- 24934510
TI - Phenotyping animal models of diabetic neuropathy: a consensus statement of the
diabetic neuropathy study group of the EASD (Neurodiab).
AB - NIDDK, JDRF, and the Diabetic Neuropathy Study Group of EASD sponsored a meeting
to explore the current status of animal models of diabetic peripheral neuropathy.
The goal of the workshop was to develop a set of consensus criteria for the
phenotyping of rodent models of diabetic neuropathy. The discussion was divided
into five areas: (1) status of commonly used rodent models of diabetes, (2) nerve
structure, (3) electrophysiological assessments of nerve function, (4) behavioral
assessments of nerve function, and (5) the role of biomarkers in disease
phenotyping. Participants discussed the current understanding of each area, gold
standards (if applicable) for assessments of function, improvements of existing
techniques, and utility of known and exploratory biomarkers. The research
opportunities in each area were outlined, providing a possible roadmap for future
studies. The meeting concluded with a discussion on the merits and limitations of
a unified approach to phenotyping rodent models of diabetic neuropathy and a
consensus formed on the definition of the minimum criteria required for
establishing the presence of the disease. A neuropathy phenotype in rodents was
defined as the presence of statistically different values between diabetic and
control animals in 2 of 3 assessments (nocifensive behavior, nerve conduction
velocities, or nerve structure). The participants propose that this framework
would allow different research groups to compare and share data, with an emphasis
on data targeted toward the therapeutic efficacy of drug interventions.
PMID- 24934513
TI - [Intraoperative monitoring of oxygen tissue pressure: Applications in vascular
neurosurgery].
AB - Ischemic lesions related to surgical procedures are a major cause of
postoperative morbidity in patients with cerebral vascular disease. There are
different systems of neuromonitoring to detect intraoperative ischemic events,
including intraoperative monitoring of oxygen tissue pressure (PtiO2). The aim of
this article was to describe, through the discussion of 4 cases, the usefulness
of intraoperative PtiO2 monitoring during vascular neurosurgery. In presenting
these cases, we demonstrate that monitoring PtiO2 is a reliable way to detect
early ischemic events during surgical procedures. Continuous monitoring of PtiO2
in an area at risk allows the surgeon to resolve the cause of the ischemic event
before it evolves to an established cerebral infarction.
PMID- 24934514
TI - Colorectal cancer: from the laboratory to the patient, a now indispensable step.
PMID- 24934515
TI - Cavo-atrial metastases from cutaneous melanoma.
PMID- 24934517
TI - Application of the wireless electroencephalogram to measure stress in White Pekin
ducks.
AB - Stress in poultry can produce many undesirable effects on bird health and
production performance. The objective of this study was to develop and evaluate a
potential measure to assess stress through analysis of brain activity using
electroencephalography (EEG). In two experiments, White Pekin ducks were
implanted with EEG transmitters and treated with potential stressors in a chamber
or in their pens. Electrocardiograms and blood corticosterone levels were
collected as standard measures of stress. EEG analysis showed an increase in the
relative delta frequency and a decrease in the relative alpha frequency during
the treatment period for shock (P < 0.05). EEG analysis of the second experiment
showed no differences between time periods for all frequencies for all
treatments. Based on these results, EEG is currently not a viable technique for
the measurement of acute stress in commercial poultry.
PMID- 24934516
TI - Association of CXCR1 polymorphisms with apoptosis, necrosis and concentration of
milk neutrophils in early lactating dairy heifers.
AB - Associations between polymorphisms in the candidate gene CXCR1, encoding the
chemokine (C-X-C motif) receptor 1, and udder health have been identified before.
In the present study, associations between the CXCR1 genotype (whole coding
region) and apoptosis, necrosis, and concentration of milk polymorphonuclear
neutrophilic leukocyte (PMNL) of 292 quarters belonging to 73 early lactating
dairy heifers were studied. In uninfected quarters, % milk PMNL apoptosis was
higher in c.980GG heifers [least squares means (LSM) 27%] compared to c.980AG
heifers (LSM 16%), whereas in infected quarters, % milk PMNL apoptosis was higher
in c.642GG heifers (LSM 29%) compared to c.642AG heifers (LSM 18%). Differences
in milk PMNL concentration between infected and uninfected quarters were smaller
in c.980AG heifers than in c.980GG heifers. An association between the CXCR1
genotype and necrosis of milk PMNL could not be demonstrated. Results indicate
that CXCR1 polymorphisms influence viability and concentration of milk PMNL and
provide a foundation for future research.
PMID- 24934518
TI - Intra-population chemical polymorphism in Thymus pannonicus All. growing in
Slovakia.
AB - Solid-phase microextraction technique coupled with gas chromatography-flame
ionisation detector and gas chromatography-mass spectrometry was used to
investigate the inter- and intra-population chemical polymorphism in volatile
constituents of three populations of Thymus pannonicus growing in Slovakia. To
have an idea on what really the plant emits under different environmental and
physiological conditions, a total of 86 individuals were separately analysed
using low extraction temperatures (30 degrees C). Data were analysed using a
chemometric approach such as the principal component analysis which revealed a
significant intra-population variability with the existence of up to four
chemotypes: the most abundant is the p-cymene/gamma-terpinene chemotype, followed
by the geraniol and linalool chemotypes, and, only in one population, by the
gamma-muurolene/(E)-caryophyllene chemotype. This differentiation of individual
plants inside the populations allows better adaptation to ecological conditions
of localities and is probably connected with intrinsic genetic diversity of the
species.
PMID- 24934519
TI - Efficiency of RAFT-synthesized PDMAEMA in gene transfer to the retina.
AB - Gene therapy has long been heralded as the new hope to evolve from symptomatic
care of genetic pathologies to a full cure. Recent successes in using gene
therapy for treating several ocular and haematopoietic pathologies have shown the
great potential of this approach that, in the early days, relied on the use of
viral vectors, which were considered by many to be undesirable for human
treatment. Therefore, there is considerable interest and effort in developing non
viral vectors, with efficiency close to that of viral vectors. The aim of this
study was to develop suitable non-viral carriers for gene therapy to treat
pathologies affecting the retina. In this study poly(2-(N,N-dimethylamino)ethyl
methacrylate), PDMAEMA was synthesized by reversible addition-fragmentation chain
transfer (RAFT) and the in vitro cytocompatibility and transfection efficiency of
a range of polymer:DNA ratios evaluated using a retinal cell line; in vivo
biocompatibility was evaluated by ocular injection in C57BL/6 mice. The results
showed that through RAFT, it is possible to produce a defined-size polymer that
is compatible with cell viability in vitro and capable of efficiently directing
gene expression in a polymer-DNA ratio-dependent manner. When injected into the
eyes of mice, these vectors induced a transient, mild inflammation,
characteristic of the implantation of medical devices. These results form the
basis of future studies where RAFT-synthesized PDMAEMA will be used to deliver
gene expression systems to the retina of mouse models of retinal pathologies.
Copyright (c) 2014 John Wiley & Sons, Ltd.
PMID- 24934520
TI - A nomogram for calculation of the Revised Baux Score.
AB - Since its original publication, the revised Baux score for mortality prediction
in burns patients has been widely adopted. It uses readily available measures,
and it is based on regression analysis from actual data rather than a theoretical
model. However, the necessary calculations are too complex to perform with
anything other than a scientific calculator or dedicated software, which may
create issues in a clinical setting where access to electronic devices may be
limited. We designed a nomogram capable of performing the calculation to a high
degree of accuracy, and evaluated its performance on a set of randomly generated
patient data to ensure that the nomogram gives accurate and repeatable results.
The nomogram has a bias of -0.003 percentage points, with limits of agreement
0.3619 to 0.3550 and a repeatability coefficient of 0.29 percentage points. We
feel that the nomogram's accuracy, low cost, speed and ease of use would make it
a very useful adjunct during the initial assessment of burns patients. It could
also realistically be used to crosscheck calculations made by other methods.
PMID- 24934521
TI - Rehabilitation and social adjustment of people with burns in society.
AB - The present study was conducted on rehabilitation and social adjustment of people
with burns in society with the main objective to determine the relationship
between social adjustment of people with burns and their psychosocial
rehabilitation. The study was limited to the Burn Unit, Khyber Teaching Hospital,
Peshawar, Pakistan. At bi-variate level, the following were observed: the
relationship of psychosocial rehabilitation was highly significant (P=0.000)
considering that people with burns feel shame in the society, a highly
significant relation (P=0.000) was found between psychosocial rehabilitation and
burn as the hurdle to contact other members of the society, a highly significant
(P=0.000) relation was found between psychosocial rehabilitation and perception
that society provides social support to people with burns, a highly significant
(P=0.000) relationship between psychosocial rehabilitation and people with burns
feel alienated from the society, a significant association (P=0.024) was found
between psychosocial rehabilitation and loss of social network, and a significant
(P=0.002) association between psychosocial rehabilitation and society insult
toward people with burns. Regular provision of treatment, quota in job allocation
for people with burns, initiation of stipend through Benazir Income Support
Program, and keeping and updating record of burns at the district level in census
centers were suggested as recommendations in light of the study.
PMID- 24934523
TI - Warfarin, genes, and the (health care) environment.
PMID- 24934524
TI - Combination therapy of bezafibrate and ursodeoxycholic acid for primary biliary
cirrhosis: A meta-analysis.
AB - The aim of this study was to assess the efficiency and safety of combination
therapy of ursodeoxycholic acid (UDCA) and bezafibrate for primary biliary
cirrhosis. A meta-analysis of all long-term randomized controlled trials
comparing the combination of UDCA and bezafibrate with UDCA monotherapy was
performed via electronic searches. Seven trials, which included 177 patients,
were assessed. Combination therapy with UDCA and bezafibrate was more effective
than UDCA monotherapy in improving liver biochemistry, alkaline phosphatase (mean
difference [MD], -146.15 IU/L; 95% confidence interval [CI], -193.58 to -98.72; P
< 0.00001), gamma-glutamyltransferase (MD, -20.64 IU/L; 95% CI, -30.86 to -10.43;
P < 0.0001), immunoglobulin M (MD, -90.96 mg/dL; 95% CI, -137.36 to -44.56; P =
0.0001) and triglycerides (MD, -15.49 mg/dL; 95% CI, -30.25 to -0.74; P = 0.04).
However, their effects on pruritus (odds ratio [OR], 0.82; 95% CI, 0.30-2.24; P =
0.70) and alanine aminotransferase (MD, -8.41 IU/L; 95% CI, -22.57 to 5.75; P =
0.24) did not differ significantly. This meta-analysis revealed no significant
differences in the incidence of all-cause mortality (OR, 0.72; 95% CI, 0.10-5.49;
P = 0.75) and adverse events (OR, 0.35; 95% CI, 0.07-1.84; P = 0.22) between
patients treated with combination therapy and those treated with monotherapy. In
this meta-analysis, combination therapy with UDCA and bezafibrate was more
effective than UDCA monotherapy. Combination therapy improved liver biochemistry,
but did not improve clinical symptoms, incidence of death or adverse events more
effectively than monotherapy.
PMID- 24934522
TI - Survival data and predictors of functional outcome an average of 15 years after
the Fontan procedure: the pediatric heart network Fontan cohort.
AB - OBJECTIVE: Multicenter longitudinal outcome data for Fontan patients surviving
into adulthood are lacking. The aim of this study was to better understand
contemporary outcomes in Fontan survivors by collecting follow-up data in a
previously well-characterized cohort. DESIGN: Baseline data from the Fontan Cross
Sectional Study (Fontan 1) were previously obtained in 546 Fontan survivors aged
11.9 +/- 3.4 years. We assessed current transplant-free survival status in all
subjects 6.8 +/- 0.4 years after the Fontan 1 study. Anatomic, clinical, and
surgical data were collected along with socioeconomic status and access to health
care. RESULTS: Thirty subjects (5%) died or underwent transplantation since
Fontan 1. Subjects with both an elevated (>21 pg/mL) brain natriuretic peptide
and a low Child Health Questionnaire physical summary score (<44) measured at
Fontan 1 were significantly more likely to die or undergo transplant than the
remainder, with a hazard ratio of 6.2 (2.9-13.5). Among 516 Fontan survivors, 427
(83%) enrolled in this follow-up study (Fontan 2) at 18.4 +/- 3.4 years of age.
Although mean scores on functional health status questionnaires were lower than
the general population, individual scores were within the normal range in 78% and
88% of subjects for the Child Health Questionnaire physical and psychosocial
summary score, and 97% and 91% for the SF-36 physical and mental aggregate score,
respectively. Since Fontan surgery, 119 (28%) had additional cardiac surgery; 55%
of these (n = 66) in the interim between Fontan 1 and Fontan 2. A catheter
intervention occurred in 242 (57%); 32% of these (n = 78) after Fontan 1.
Arrhythmia requiring treatment developed in 118 (28%) after Fontan surgery; 58%
of these (n = 68) since Fontan 1. CONCLUSIONS: We found 95% interim transplant
free survival for Fontan survivors over an average of 7 years of follow-up.
Continued longitudinal investigation into adulthood is necessary to better
understand the determinants of long-term outcomes and to improve functional
health status.
PMID- 24934525
TI - [Role of protein disulfide isomerase in activation of integrins].
AB - Integrins belong to a large family of transmembrane cell adhesion receptors that
communicate biochemical and mechanical signals in a bidirectional manner across
the plasma membrane. Integrins and their ligands play a crucial role in a number
of physiological and pathological processes, including cell migration, cell
differentiation, hemostasis, adhesion, angiogenesis, cancer, cell invasiveness
and wound healing. Intracellular signals switch integrins into a ligand-competent
state as a result of conformational changes within the integrin molecule. Binding
of extracellular ligands induces structural changes that can transmit signals to
the cell interior. Transition of integrins from an inactive to a ligand binding
state involves rearrangement of the disulfide bonding pattern. The rearrangement
of disulfide bonds is modulated by protein disulfide isomerase (PDI). PDI has
been found on the surface of several types of cells, including endothelial cells,
hepatocytes, cancer cells, pancreatic cells and B cells. PDI was identified on
the platelet surface, where it plays an important role in platelet reactions such
as adhesion, aggregation and secretion. PDI was found to directly interact with
integrins. Disulfide-thiol exchange mediated by PDI appears to be involved in the
conformational changes in integrin activation. In this report we describe the
structure of integrin and the role of disulfide bond rearrangement in its
activation.
PMID- 24934526
TI - [Mechanisms of urinary tract sterility maintenance].
AB - Physiologically, urine and the urinary tract are maintained sterile because of
physical and chemical properties of urine and the innate immune system's action.
The urinary tract is constantly exposed to the invasion of microorganisms from
the exterior environment, also because of the anatomical placement of the
urethra, in the vicinity of the rectum. Particularly vulnerable to urinary tract
infections (UTI) are women (an additional risk factor is pregnancy), but also the
elderly and children. The main pathogens causing UTI are bacteria; in 70-95% of
cases it is the bacterium Escherichia coli. Infections caused by viruses and
fungi are less common and are associated with decreased immunity,
pharmacotherapy, or some diseases. Bacteria have evolved a number of factors that
facilitate the colonization of the urinary tract: the cover and cell membrane
antigens O and K1, lipopolysaccharide (LPS), fimbriae, pile and cilia. On the
other hand, the human organism has evolved mechanisms to hinder colonization of
the urinary tract: mechanisms arising from the anatomical structure of the
urinary tract, the physicochemical properties of the urine and the activity of
the innate immune system, also known as non-specific, which isolates and destroys
pathogens using immunological processes, and the mechanisms for release of
antimicrobial substances such as Tamm-Horsfall protein, mucopolysaccharides,
immunoglobulins IgA and IgG, lactoferrin, lipocalin, neutrophils, cytokines and
antimicrobial peptides. This review aims to analyze the state of knowledge on the
mechanisms to maintain the sterility of the urinary tract used by the human
organism and bacterial virulence factors to facilitate the colonization of the
urinary tract.
PMID- 24934527
TI - [The comet assay as a method of identifying chromosomes instability].
AB - The basic method for analyzing the degree of DNA fragmentation caused by
genotoxic factors is gel electrophoresis of single cells (single cell gel
electrophoresis), also called the comet assay. The comet assay enables the
analysis of the level of several different DNA modifications. The basic testing
procedure has been only slightly modified. This method helps identify single
strand and double-strand DNA cracks, as well as any chemical and enzymatic
modifications that can potentially turn into cracks in DNA or chromatids. The
comet assay makes it possible to detect DNA damage at the level of single cells.
It can be employed in analyses of any tissues which provide cellular suspensions.
Analysed cells are submerged in agarose on a microscope slide. DNA is what is
left after proteins have been broken down. The slide is then subjected to
electrophoresis and stained with a fluorescent dye. A "comet-like" image is
obtained. The "head" is the cell fixation site prior to lysis; the "tail"
represents damaged DNA fragments. The extent of DNA damage is reflected in the
length of the tail and the amount of DNA contained in it. The assay finds
research applications in the following fields: genetic toxicology, monitoring of
DNA repair following chemotherapy and radiotherapy, ecotoxicology, animal and
human nourishment, biomonitoring of genotoxicity, epidemiology and assessment of
material deposited in sperm and blood banks.
PMID- 24934528
TI - [Searching for new antibiotics--inhibitors of bacterial chromosome replication].
AB - The excessive and often unreasonable use of antibacterial drugs leads to rise of
antibioticresistant strains. To overcome this problem, new antibiotics are
searched and the new drug targets are investigated. The proteins involved in
replication of bacterial chromosomes seem to be promising candidates for drug
targets since they are involved in crucial life pathways and are structurally
and/or functionally different from the eukaryotic homologues. Within last few
years, a large number of newly developed methods allowed to search among
thousands of molecules for the ones that specifically inhibit DNA synthesis in
the prokaryotic cell. In this review, we present some of these methods.
PMID- 24934529
TI - [Yamanaka's factors and core transcription factors--the molecular link between
embryogenesis and carcinogenesis].
AB - Oct4 and Sox2 transcription factors (belonging to the Yamanaka's factor family)
and Nanog, named together as core transcription factors of pluripotency, are
indispensable to induce and maintain the pluripotency state. They act generally
as activators of genes coding for transcription factors, cofactors and chromatin
regulators. They also activate microRNA expression. In addition, Oct4, Sox2 and
Nanog function as repressors of genes for factors responsible for escape from
pluripotency and differentiation. Core transcription factors positively regulate
their own promoters, forming a positive-feedback loop. In recent times,
researchers' attention has been attracted towards Oct4, Sox2 and Nanog as
potential markers for cancer stem cells (CSCs). The expression of these factors
has been confirmed in numerous types of tumors. The aim of this paper is to
concisely review features of core transcription factors and their role in
embryogenesis and tumorigenesis including the CSC hypothesis.
PMID- 24934530
TI - [Immunoregulatory properties of Hsp70].
AB - Heat shock proteins 70 (Hsp70) play an important role in maintaining cellular
homeostasis. As molecular chaperones, Hsp70 are responsible for proper folding of
newly synthesized polypeptides and refolding of misfolded and aggregated
proteins. Hsp70 are involved in cellular transport and participate in signal
transduction processes. The presence of Hsp70 in the extracellular space is
associated with development of various pathological conditions, including
autoimmune and cancer diseases. Recent data suggest anti-inflammatory property of
Hsp70 confirmed in both in vitro and animal models. This paper summarizes recent
data regarding immunoregulatory properties of Hsp70, and presents promising
results of the studies concerning the application of heat shock proteins in
treatment of chronic inflammatory diseases.
PMID- 24934532
TI - [Serotonin hypothesis and pulmonary artery hypertension].
AB - Pulmonary arterial hypertension (PAH) is a progressive, complex disease leading
to the right ventricular failure and premature death. PAH is characterized by
increased pulmonary arterial pressure, increased vascular resistance, pulmonary
vascular remodeling and endothelial dysfunction. Pathomechanism of this disease
is still unknown. It has been suggested, that endothelial dysfunction is caused
by unbalance between vasodilators and vasoconstrictors e.g. serotonin (5-HT).
Previously, serotonin hypothesis was linked to the anorexigens, derivatives of
fenfluramine, which are serotonin transporter (SERT) substrates. Nowadays, it has
been proved that all elements of serotonergic system within pulmonary circulation
participate in the developement of PAH. The tryptophan hydroxylase 1 (Tph-1)
catalyses synthesis of 5-HT from tryptophan in the pulmonary arterial endothelial
cells. 5-HT mediates contraction of pulmonary vessels via 5-HT1B and 5-HT2A
receptors. 5-HT is also transported into pulmonary arterial smooth muscle cells
via SERT and through activation of reactive oxygen species and Rho-kinase may
contribute to contraction or/and, via stimulation of transcription factors, lead
to proliferation and remodelling. There is also increasing number of evidence
about functional interaction between 5-HT1B receptor and SERT in modulation of
vasoconstriction and proliferation in pulmonary arteries. This review discusses
the role of 5-HT in the development of PAH and highlights possible therapeutic
targets within serotonergic system.
PMID- 24934531
TI - [The role of selected cytokines and proteins analyzed in bronchoalveolar lavage
fluid in lung injury].
AB - The early organism response to injury or infection involves activation of the
innate immune system, in which pattern recognition receptors (PRRs) participate.
They recognize highly conservative structures that are called pathogen-associated
molecular patterns (PAMPs) and damage-associated molecular patterns (DAMPs). The
interactions between PRRs and PAMPs or DAMPs lead to the activation of
transcriptional factors which are responsible for gene expression of inflammatory
mediators and synthesis and release of these factors, and result in the
development of inflammation. RAGE (receptor for advanced glycation end products)
and CD163 belonging to PRRs play a significant role in the early immune response
in lungs. They are expressed on alveolar epithelial cells and alveolar
macrophages, respectively. NK cells are also involved in lung response to injury,
though their maturation and the ability to express PRRs depend on the presence of
IL-15. Detailed knowledge about these factors enables us to understand the signal
pathways that are activated in the course of infectious and noninfectious lung
injury. The analysis of these proteins' concentrations in body fluids creates new
possibilities in monitoring lung injury and predicting the results of treatment.
In the future, the discussed mediators may become the targets for new forms of
treatment in life-threatening respiratory diseases.
PMID- 24934533
TI - [Pheochromocytoma in pregnancy].
AB - Pheochromocytoma occurs with a frequency estimated at 2-7 per 100,000 pregnant
women. Unrecognized, and thus untreated pheochromocytoma is associated with very
high (40-50%) maternal and fetal mortality. Pheochromocytoma occurs sporadically
or as a family trait. Its presence should be suspected in women with paroxysmal
or established hypertension, especially before the 20th week of pregnancy,
accompanied by headaches and palpitations, and excessive sweating, muscle
tremors, vomiting, anxiety, vasomotor disturbances and blurred vision. The
variety of clinical presentations and rarity are the cause of not including the
disease in differential diagnosis of hypertension in pregnancy. Biochemical tests
are essential in the diagnosis of pheochromocytoma, and involving the assessment
of methoxycatecholamine urinary excretion. The second step in the diagnostics is
magnetic resonance imaging of adrenal glands. Adrenalectomy is the treatment of
choice for pheochromocytoma with adrenal location, which depends on the timing of
the tumor diagnosis. Conservative treatment for 10-14 days with pharmacological
blockade of alpha-adrenergic receptors should precede the surgery. Early
diagnosis and properly planned treatment of pheochromocytoma significantly
reduces the risk to the mother and fetus.
PMID- 24934534
TI - [Molecular diagnosis of food allergy--do we know more?].
AB - Component-resolved diagnostics (CRD) is the research method that allows to
determine the concentrations of specific immunoglobulin E against a particular
allergenic components. The paper discusses the importance of CRD in the food
allergy diagnosis to common animal and vegetable allergens. The capabilities of
the method and its limitations in the application are presented. One of the main
advantages of the CRD is to obtain information on the potential allergen cross
reactivity regarding inhalant allergens and food, which are exhibiting structural
similarity within the epitopes. CRD explains on the molecular level allergens
cross-reactivity. It allows to distinguish cross-reactions occurring after
ingestion of food in patients with hypersensitivity that occurs primarily to
pollen from the coexistence of inhaled and food allergies. It is also possible to
use the method of the CRD for selected allergens to predict the severity of
allergic reactions after ingestion of allergic food in patients with symptoms of
food allergy. In addition, in small children component-resolved diagnostics can
be helpful in predicting achieve in food tolerance to certain allergens. Due to
the present limitations, practical conclusions and guidance should be drawn with
a caution. This method does not replace clinical observation and double-blind
placebo-controlled food challenge, which is still the gold standard in the
diagnosis of food allergy. Due to the geographic diversity resulting in different
exposure to pollen and dietary factors researches on allergen components in
populations living in different climatic zones give different results. This
allows to observe that the diagnostic and prognostic inference based on the
analysis of CRD results is limited and should always be considered in the
clinical context. Especially in situations of estimating the risk of severe
allergic reactions. Despite these limitations, the CRD method is a modern tool to
the current diagnosis of allergy deserving of its popularisation. It provides
information on allergenic components at the molecular level, allowing better
understanding of symptoms and patient adjusted procedures.
PMID- 24934535
TI - [Advances in the development of new vaccines against tuberculosis. 100 years
after the introduction of BCG].
AB - The BCG vaccine used in the world for nearly 100 years protects children against
the most severe forms of tuberculosis, but its effectiveness in preventing the
most commonly occurring tuberculosis and the one burdened with the highest risk
of transmission in adults is very diverse. Contraindications for BCG vaccination
include HIV infection and other conditions of immunosuppression. Tuberculosis is
a global problem difficult to control because of three main reasons: poor
diagnostics in developing countries, long-term therapy or discontinuation of
treatment resulting in the emergence of drug-resistant mycobacteria, and the
availability of a TB vaccine which only protects children from the most severe
forms of tuberculosis. BCG has little to no efficacy in preventing the most
common adult pulmonary TB. The development of a more effective vaccine against
tuberculosis is undoubtedly still a public health priority in order to improve
control of the disease throughout the world. Elimination of TB as a global public
health goal by 2050 is particularly ambitious and its achievement depends on the
development and application of new intervention measures and newly designed
vaccines. Currently, 14 newly developed products are undergoing clinical trials.
These include a prophylactic vaccine capable of replacing the current BCG,
booster vaccines to increase the effects of BCG, and therapeutic vaccines. The
aim of the study is to present the current state of knowledge on cutting-edge
research into new vaccines against tuberculosis, their efficacy, immunogenicity
and potential use in the future.
PMID- 24934536
TI - [Molecular actions of 17beta-estradiol and progesterone and their relationship
with cellular signaling pathways].
AB - SEX STEROIDS: 17beta-estradiol and progesterone play a major role in modulation
of reproductive functions of the organism and participate in regulation of a
broad spectrum of cellular processes in target cells via their specific
receptors. Our understanding of molecular mechanisms of sex steroid action has
significantly developed over the last years. Apart from the well-established
effect of sex steroids on regulation of gene expression, some rapid nongenomic
mechanisms have been identified, which are involved in modulation of the activity
of several cellular, membrane-bound and cytoplasmic regulatory proteins. 17beta
estradiol and progesterone regulate several signal transduction pathways, which
involve activation of enzymes such as mitogen-activated protein kinases (MAPK),
phosphatidylinositol 3-kinase and tyrosine kinases. Biological effects of sex
steroids action constitute a complex interplay of genomic and nongenomic
mechanisms, and depend on the physiological and genetic context of the target
cell. Understanding the molecular mechanisms of sex steroids action is therefore
important and may broaden our knowledge about their role in both physiological
and pathological processes. This review provides a comprehensive insight into the
molecular actions of 17beta-estradiol and progesterone, aiming to present the
role of these sex steroids in regulation of cellular signaling pathways.
PMID- 24934537
TI - [Cooperation between heat shock proteins in organizing of proteins spatial
structure].
AB - Heat shock proteins (Hsps) are a class of proteins with highly conserved amino
acid sequences. They are widespread in nature; they are found in archeons, true
bacteria and eukaryotic organisms. Hsps from various families, commonly interact
to execute essential cellular tasks, such as molecular regulation of newly
synthesized protein-folding or restoration of the appropriate conformation of
denatured and aggregated proteins. In this review we discuss mechanisms of
spatial organization of protein structure mediated by Hsp10, Hsp40, Hsp60, Hsp70,
Hsp104 (Hsp100) and Hsp110. Interactions between Hsps of different molecular
weights are described.
PMID- 24934538
TI - Comparison of detection methods for extended-spectrum beta-lactamases in
Escherichia coli strains.
AB - INTRODUCTION: Detection of extended-spectrum beta-lactamases (ESBLs) could be a
major challenge for microbiologists--the difficulties arise mainly from the
phenotypic differences among strains. MATERIALS AND METHODS: Evaluation of ESBLs
was performed on 42 strains of E. coli by: 1) DDST on MHA, 2) DDST on MHA with
cloxacillin, 3) CT on MHA, according to CLSI, 4) CT on MHA with cloxacillin, 5)
Etest ESBL (AB Biodisk), 6) CHROMagarTM ESBL (GRASO), 7) ChromID(r) ESBL
(bioMerieux), and 8) automatic system VITEK2 ESBL test (bioMerieux). RESULT:
Positive results were obtained for 20 strains using method 1, for 18 strains
using method 2, 17 by method 3, 14 by method 4, 11 by method 5, 39 by method 6,
40 by method 7, and 15 by method 8. Using Etest ESBL 6.0 non-determinable results
were obtained. The most consistent results were obtained when comparing the
results of method 3 with results of method 2 (97.6%), and comparing the results
obtained using methods 3 and 8 (95.2%). CONCLUSIONS: Based on our study we
conclude that the chromogenic media can only be used as a screening method for
the detection of ESBLs in E. coli rods. Etest is less useful compared to other
phenotype methods, due to the impossibility of obtaining results for all the
tested strains. Adding cloxacillin to MHA does not increase the frequency of
detection of ESBLs in E. coli strains. DDST seems to be the most reliable among
phenotypic methods for the detection of ESBLs in E. coli rods.
PMID- 24934539
TI - [Role of endocannabinoid 2-arachidonoylglycerol in the physiology and
pathophysiology of the cardiovascular system].
AB - Cannabinoids, the active ingredients of Cannabis sativa var. indica, have been
used by humans as recreational and therapeutic agents for thousands of years.
This group of substances also includes synthetic ligands and, synthesized in the
body of humans and animals, endocannabinoids. The best known compound classified
as an endogenous cannabinoid is anandamide. However, recent studies show that
another compound of this group, 2-arachidonoylglycerol (2-AG), also performs many
important functions in the organism. 2-Arachidonoylglycerol plays an important
role in the regulation of the circulatory system via direct and/or indirect,
through their metabolites, effects on blood vessels and/or heart. Accumulating
evidence reveals that 2-AG is involved in the pathogenesis of various shocks and
atherosclerosis. Thus, it may be a novel attractive therapeutic target. However,
because of rapid metabolism and opposite effects dependent on the experimental
model, the function of 2-AG still remains to be established.
PMID- 24934540
TI - [Alcohol dependence syndrome--symptoms in the oral cavity].
AB - Alcohol dependence syndrome is defined as a cluster of physiological, behavioural
and cognitive phenomena in which the use of a psychoactive substance takes on a
much higher priority for a given individual than other behaviours that once had
greater value. In European Union the problem of the addiction affects about 5% of
men and 1% of women each year. Long lasting alcohol abuse is detrimental to the
whole body, including the oral cavity. The observable changes are usually caused
by a convergent impact of a number of factors. The changes differ depending on
the chemical features of the consumed substance, the life style adopted - as a
consequence of the addiction, simultaneous addiction to nicotine, and finally on
the medical treatment applied at different stages of the disease. Pathological
changes may occur in all structures in oral cavity (teeth, periodontium, tongue,
mucose membrane and salivary glands). Individuals addicted to alcohol revealed
high percentage of carious losses and chemical damage enamel and dentine and
inflammatory changes of the periapical area of teeth. Presence of these changes
is linked unfortunately with low indicator of treatment. There is independence
between alcohol addiction and damage of periodontium (clinical attachment level
and pocket depth). Results of alcohol abuse may be also double-sided, painless,
noninflammatory and non-malignant swelling on salivary glands (sialosis). Alcohol
is said to be the risk factor for development of flat-epithelial cancer of the
oral cavity. The most frequent localization of cancerous changes is the bottom of
the oral cavity and the side of the tongue.
PMID- 24934542
TI - Proximity effect in superconductor/conical magnet heterostructures.
AB - The presence of a spin-flip potential at the interface between a superconductor
and a ferromagnetic metal allows for the generation of equal-spin spin-triplet
Cooper pairs. These Cooper pairs are compatible with the exchange interaction
within the ferromagnetic region and hence allow for the long-range proximity
effect through a ferromagnet or half-metal. One suitable spin-flip potential is
provided by incorporating the conical magnet Holmium (Ho) into the interface. The
conical magnetic structure is characterised by an opening angle alpha with
respect to the crystal c-axis and a turning (or pitch) angle beta measuring the
rotation of magnetisation with respect to the adjacent layers. Here, we present
results showing the influence of conical magnet interface layers with varying
alpha and beta on the efficiency of the generation of equal-spin spin-triplet
pairing. The results are obtained by self-consistent solutions of the microscopic
Bogoliubov-de Gennes equations in the clean limit within a tight-binding model of
the heterostructure. In particular, the dependence of unequal-spin and equal-spin
spin-triplet pairing correlations on the conical magnetic angles alpha and beta
are discussed in detail.
PMID- 24934543
TI - Initial diagnoses of patients ultimately diagnosed with prion disease.
AB - BACKGROUND: Prion diseases are rapidly progressive neurodegenerative diseases
that frequently mimic other forms of dementia making them difficult to diagnose.
OBJECTIVE: To explore factors associated with the initial diagnoses of cases
later determined to be caused by prion disease in an attempt to recognize key
clinical variables that impact the timely diagnosis of prion disease. METHODS: A
retrospective chart review performed at Johns Hopkins Medicine and the Department
of Veterans Affairs Health Care System (1995-2008) was conducted. Ninety-two
subjects with definite or probable prion disease were included in the analyses.
Demographic, clinical, diagnostic test results, neuropathologic, molecular, and
genetic data were collected using a standardized instrument and compared between
initial diagnosis groups. RESULTS: Cases were separated into five broad
categories pertaining to their initial diagnoses: prion disease, non-prion
related dementia, psychiatric disorder, stroke, and other. The majority of cases
did not receive an initial diagnosis of prion disease (n = 76, 83%). The
plurality of subjects received an initial diagnosis of a non-prion disease
related dementia (n = 33, 36%). Mean survival times varied between initial
diagnosis groups (p = 0.042). Times to cerebrospinal fluid 14-3-3 analysis and
electroencephalogram also differed between initial diagnosis groups. CONCLUSIONS:
Most patients with prion disease are initially diagnosed with a non-prion disease
related dementia. Several clinical features were associated with initial
diagnoses including survival time, onset of specific symptoms, and times to 14-3
3 analyses and electroencephalogram. Expanding our knowledge of the various
clinical presentations of prion disease, especially dementia, may aid in the
earlier diagnoses of these rapidly progressive diseases.
PMID- 24934541
TI - Infective endocarditis in Rio de Janeiro, Brazil: a 5-year experience at two
teaching hospitals.
AB - INTRODUCTION: Despite the recent advances in diagnosis and treatment, mortality
rates due to infective endocarditis (IE) remain high if not aggressively treated
with antibiotics, whether or not associated with surgery. Data on the prevalence,
epidemiology and etiology of IE from developing countries remain scarce. The aim
of this observational, prospective cohort study was to report a 5-year experience
of IE at two teaching hospitals in Rio de Janeiro, Brazil. MATERIAL AND METHODS:
Demographical, anamnestic and microbiological characteristics of 71 IE patients
were evaluated during the period of January 2009 to March 2013. RESULTS: The mean
age of the IE patients was 49.8 +/- 2.4 years, of which 41 (57.7%) were males.
The median time between the onset of symptoms and diagnosis of IE was 35.8 +/-
4.8 days. A total of 31 (43.6%) cases of community-acquired infective
endocarditis (CAIE) and 40 (56.3%) cases of healthcare-acquired infective
endocarditis (HAIE) were observed. Staphylococcus aureus (30%) was the
predominant cause of IE. Streptococcus spp. (45.1 %) was the predominant cause of
the CAIE while S. aureus (32.5%) and Enterococcus spp. (27.2 %) were the main
etiological agents of HAIE. For 64 (90.1 %) patients with native valve
endocarditis, the mitral valve was the most commonly affected (48.3%). The main
source of IE in this cohort was intravascular catheter. The tricuspid valve and
renal chronic insufficiency were more frequent in patients with HAIE than CAIE (p
= 0.001). The risk factors associated with in-hospital mortality rate (46.4%) in
IE patients were: age over 45 (OR 3.4; 95% CI 1.03-11.24; p = 0.04) and chronic
renal insufficiency (OR 38.3; 95% CI 3.2-449.4; p = 0.004). CONCLUSIONS: At two
main teaching hospitals in Brazil, Streptococcus spp. was the principal pathogen
of CAIE while S. aureus and Enterococcus spp. were the most frequent causes of
HAIE. IE remains a serious disease associated with high in-hospital mortality
rate (46.6%); especially, in individuals over 45 years of age and with renal
failure. Data suggest that early surgery may improve the outcome of IE patients.
PMID- 24934544
TI - Effects of supplementation with omega-3 fatty acids on oxidative stress and
inflammation in patients with Alzheimer's disease: the OmegAD study.
AB - BACKGROUND: Oxidative stress and inflammation are two key mechanisms suggested to
be involved in the pathogenesis of Alzheimer's disease (AD). Omega-3 fatty acids
(omega-3 FAs) found in fish and fish oil have several biological properties that
may be beneficial in AD. However, they may also auto-oxidize and induce in vivo
lipid peroxidation. OBJECTIVE: The objective of this study was to evaluate
systemic oxidative stress and inflammatory biomarkers following oral
supplementation of dietary omega-3 FA. METHODS: Forty patients with moderate AD
were randomized to receive 1.7 g DHA (22:6) and 0.6 g EPA (20:5) or placebo for 6
months. Urinary samples were collected before and after supplementation. The
levels of the major F2-isoprostane, 8-iso-PGF2alpha, a consistent in vivo
biomarker of oxidative stress, and 15-keto-dihydro-PGF2alpha, a major metabolite
of PGF2alpha and biomarker of inflammatory response, were measured. RESULTS: F2
isoprostane in urine increased in the placebo group after 6 months, but there was
no clear difference in treatment effect between supplemented and non-supplemented
patients on the urinary levels of F2-isoprostanes and 15-keto-dihydro-PGF2alpha.
At baseline, the levels of 15-keto-dihydro-PGF2alpha showed negative correlative
relationships to omega-3 FAs, and a positive correlation to linoleic acid. 8-iso
PGF2alpha correlated negatively to the omega-6 FA arachidonic acid. CONCLUSION:
The findings indicate that supplementation of omega-3 FAs to patients with AD for
6 months does not have a clear effect on free radical-mediated formation of F2
isoprostane or cyclooxygenase-mediated formation of prostaglandin F2alpha. The
correlative relationships to FAs indicate a potential role of FAs in
immunoregulation.
PMID- 24934546
TI - Review of quality deficiencies found in active pharmaceutical ingredient master
files submitted to the WHO Prequalification of Medicines Programme.
AB - PURPOSE: The aim of this work was to determine the number and type of active
pharmaceutical ingredient (API) quality deficiencies in API Master Files (APIMFs)
as submitted to the World Health Organization (WHO) Prequalification of Medicines
Programme (PQP). METHODS: We conducted a retrospective review of API quality
deficiencies identified following the assessment of new APIMFs for non-sterile
APIs during a 6-year period from 1 January 2007 to 31 December 2012. All
deficiencies were collected, classified and quantified according to the Common
Technical Document (CTD) sections and subsections and as groups of commonly
raised questions. RESULTS: There were 5446 deficiencies collected from 159 APIMF
deficiency letters by CTD section, by selected CTD subsections and by selected
CTD subsections and year. More than 50% of the total number of deficiencies
related to the manufacturing sections of the CTD, followed by deficiencies
concerning the impurities, the API specification and the stability sections of
the CTD. A pattern of API deficiencies across the different CTD subsections and
over time was identified. CONCLUSIONS: The most frequent critical deficiencies
were related to how the specific manufacturing process and the key materials
used, in particular the API starting material, impact the API impurities content.
The number and pattern of APIMF deficiencies did not change over time. The
results are compared to the findings in similar studies as reported by the United
States Food and Drug Administration (USFDA), the European Directorate for the
Quality of Medicines (EDQM) and the European Medicines Agency (EMA) and
similarities and differences are discussed. Our findings highlight the need for
greater guidance and technical assistance for API manufacturers submitting APIMFs
to the PQP.
PMID- 24934545
TI - Additional clues for a protective role of vitamin D in neurodegenerative
diseases: 1,25-dihydroxyvitamin D3 triggers an anti-inflammatory response in
brain pericytes.
AB - Epidemiological and experimental studies suggest that 1,25-dihydroxyvitamin D3
(1,25D) plays a neuroprotective role in neurodegenerative diseases including
Alzheimer's disease. Most of the experimental data regarding the genes regulated
by this hormone in brain cells have been obtained with neuron and glial cells.
Pericytes play a critical role in brain function that encompasses their classical
function in blood-brain barrier control and maintenance. However, the gene
response of brain pericyte to 1,25D remains to be investigated. Analyses of the
transcriptomic response of human brain pericytes to 1,25D demonstrate that human
brain pericytes in culture respond to 1,25D by regulating genes involved in the
control of neuroinflammation. In addition, pericytes respond to the pro
inflammatory cytokines tumor necrosis factor-alpha and Interferon-gamma by
inducing the expression of the CYP27B1 gene which is involved in 1,25D synthesis.
Taken together, these results suggest that neuroinflammation could trigger the
synthesis of 1,25D by brain pericytes, which in turn respond to the hormone by a
global anti-inflammatory response. These findings identify brain pericytes as a
novel 1,25D-responsive cell type and provide additional evidence for the
potential value of vitamin D in the prevention or therapy of Alzheimer's disease
and other neurodegenerative/neuropsychiatric diseases associated with an
inflammatory component.
PMID- 24934547
TI - Literature values of terminal half-lives of clozapine are dependent on the time
of the last data point.
AB - The pharmacokinetics of clozapine is a subject of intensive research because of
its narrow therapeutic window and susceptibility to drug-drug interactions. A
systematic literature search was conducted in PubMed and Google Scholar for half
life values of clozapine in humans. Twenty-one publications were found to contain
terminal half-life information of clozapine in humans along with the time of the
last plasma sample. Average values of the terminal half-lives of clozapine were
calculated to be 10.2, 13.2, 14.2, 18.3 and 29.2 hours with a last data point at
12, 24, 48, 72 and 120 hours, respectively. This confirms the notion that one
would arrive at longer terminal half-lives when longer blood sampling times are
used in pharmacokinetic studies on clozapine. "Terminal half-lives" of
therapeutic agent are routinely computed and reported in literature. For drugs
with a third deep compartment such as clozapine, one should remember to consider
the time of the last data point when comparing the "terminal" half-life.
PMID- 24934548
TI - The risk of recrystallization: changes to the toxicity and morphology of
pyrimethamine.
AB - PURPOSE: Pyrimethamine, an anti-malarial agent known to exhibit solid state
polymorphism, may be purified by means of recrystallization. Recrystallization
may alter the solid state chemistry of pharmaceuticals, which may impact the
toxicity and/or manufacturability thereof. We evaluated the risks associated with
the recrystallization of pyrimethamine. METHODS: Pyrimethamine was recrystallized
using several organic solvents. X-ray diffraction, thermal analysis, infra-red
spectroscopy, microscopy, flowability -, solubility and dissolution testing as
well as computational work were employed to evaluate the recrystallized products.
RESULTS: A toxic solvatomorph of pyrimethamine (Pyr-MeOH) was found to be the
product from methanol recrystallization. The elucidation of - and the elaboration
on the unique characteristics of Pyr-MeOH provides the pharmaceutical industry
with several means to identify Pyr-MeOH and to distinguish it from the
pharmaceutically preferred anhydrous form (Pyr). Thermal methods of analysis
found that the toxicity of Pyr-MeOH may be reversed by overcoming a desolvation
activation energy of 148 kJ/mol. In addition it was found that recrystallization
altered the morphology of Pyr. Angle of repose and tapped density determinations
identified that the different morphologies of Pyr displayed differences in powder
flow and compressibility behaviour and In Silico calculations were successful in
rendering morphologies resembling that found experimentally. CONCLUSION: We
present a solvatomorph of pyrimethamine and provide several characteristic means
to identify this unwanted toxic form and quantified the energy required to
overcome its toxicity. In addition we describe that Pyr may present in different
morphologies and show how it may impact the manufacturability thereof.
PMID- 24934549
TI - Crushed tablets: does the administration of food vehicles and thickened fluids to
aid medication swallowing alter drug release?
AB - PURPOSE: To evaluate the influence of co-administered vehicles on in vitro
dissolution in simulated gastric fluid of crushed immediate release tablets as an
indicator for potential drug bioavailability compromise. METHODS: Release and
dissolution of crushed amlodipine, atenolol, carbamazepine and warfarin tablets
were tested with six foods and drinks that are frequently used in the clinical
setting as mixers for crushed medications (water, orange juice, honey, yoghurt,
strawberry jam and water thickened with Easythick powder) in comparison to whole
tablets. Five commercial thickening agents (Easythick Advanced, Janbak F,
Karicare, Nutilis, Viscaid) at three thickness levels were tested for their
effect on the dissolution of crushed atenolol tablets. RESULTS: Atenolol
dissolution was unaffected by mixing crushed tablets with thin fluids or food
mixers in comparison to whole tablets or crushed tablets in water, but amlodipine
was delayed by mixing with jam. Mixing crushed warfarin and carbamazepine tablets
with honey, jam or yoghurt caused them to resemble the slow dissolution of whole
tablets rather than the faster dissolution of crushed tablets in water or orange
juice. Crushing and mixing any of the four medications with thickened water
caused a significant delay in dissolution. When tested with atenolol, all types
of thickening agents at the greatest thickness significantly restricted
dissolution, and products that are primarily based on xanthan gum also delayed
dissolution at the intermediate thickness level. CONCLUSIONS: Dissolution
testing, while simplistic, is a widely used and accepted method for comparing
drug release from different formulations as an indicator for in vivo
bioavailability. Thickened fluids have the potential to retard drug dissolution
when used at the thickest levels. These findings highlight potential clinical
implications of the addition of these agents to medications for the purpose of
dose delivery and indicate that further investigation of thickened fluids and
their potential to influence therapeutic outcomes is warranted.
PMID- 24934550
TI - Assessing bioequivalence of antiepileptic drugs: are the current requirements too
permissive?
AB - PURPOSE: In order to evaluate the permissiveness of current bioequivalence
requirements for antiepileptic drugs we investigated how accurate Cmax and AUC0-t
of generic antiepileptic drugs approved in Brazil are in comparison to reference
products. METHODS: Data collected from assessment reports of approved
bioequivalence studies archived in the Brazilian regulatory agency in 2007-2012
were: geometric mean ratios and 90% confidence intervals (CI) for Cmax and AUC0
t, intra-subject variability (CV) of Cmax and AUC0-t and number of subjects.
RESULTS: The average difference in Cmax and AUC0-t between generic and reference
products was 5% and 3%, respectively. Maximum deviation from 1.00 of the CI of
Cmax can achieve 15-20% (demonstrated in 27% of studies); for AUC0-t, 25% of
studies showed the deviation can be >10%. All studies that used adequate number
of subjects for a 90% CI of 0.90-1.11 complied with it for AUC0-t, except one of
carbamazepine, but only 33% complied with it for both AUC0-t and Cmax. The CV was
strongly correlated to the maximum CI deviation for AUC0-t (CV of approximately
15% corresponding to deviation of 10%). Studies that presented maximum CI
deviation <= 10 % together with CV <= 15% for AUC0-t represented 65% of the
total. Weaker correlation was observed for Cmax and no correlation was seen
between maximum CI deviation and number of subjects. CONCLUSIONS: Modification in
legislation for bioequivalence of antiepileptic drugs is suggested, not only with
constraint of AUC0-t 90% CI to 0.90-1.11, but also with limitation of the CV to
15%, as to assure similar variance in pharmacokinetics and diminish the risk of
critical plasma-level fluctuation when switching between generic and reference
formulations. Although most generics presented differences <= 10% in AUC0-t
compared to their references, some narrow therapeutic index drugs displayed
differences that could be clinically significant after product substitution.
PMID- 24934551
TI - Anaphylactic reaction to pemetrexed: a case report.
AB - Pemetrexed is approved to treat non-small cell lung cancer and has an overall
favorable toxicity profile. We describe a 58-year-old man who developped an
anaphylactic shock within few minutes from the beginning of pemetrexed perfusion.
Pemetrexed was discontinued and the patient's symptoms gradually resolved with
administration of symptomatic treatment. Serum tryptase level remained normal and
intra dermal skin tests were negative eventhough a nonspecific papule was noted.
This case suggests that caution should be exercised when prescribing pemetrexed
and clinicians must be warranted for the possibility of serious adverse events
associated with pemetrexed use.
PMID- 24934552
TI - Modulation of butyrate anticancer activity by solid lipid nanoparticle delivery:
an in vitro investigation on human breast cancer and leukemia cell lines.
AB - PURPOSE: Histone modification has emerged as a promising approach to cancer
therapy. The short-chain fatty acid, butyric acid, a histone deacetylase (HD)
inhibitor, has shown anticancer activity. Butyrate transcriptional activation is
indeed able to withdraw cancer cells from the cell cycle, leading to programmed
cell death. Since butyrate's clinical use is hampered by unfavorable
pharmacokinetic and pharmacodynamic properties, delivery systems, such as solid
lipid nanoparticles (SLN), have been developed to overcome these constraints.
METHODS: In order to outline the influence of butyrate delivery on its anticancer
activity, the effects of butyrate as a free (sodium butyrate, NB) or nanoparticle
(cholesteryl butyrate solid lipid nanoparticles, CBSLN) formulation on the growth
of different human cancer cell lines, such as the promyelocytic leukemia, HL-60,
and the breast cancer, MCF-7 was investigated. A detailed investigation into the
mechanism of the induced cytotoxicity was also carried out, with a special focus
on the modulation of HD and cyclin-dependent kinase (CDK) mRNA gene expression by
real time PCR analysis. RESULTS: In HL-60 cells, CBSLN induced a higher and
prolonged expression level of the butyrate target genes at lower concentrations
than NB. This led to a significant decrease in cell proliferation, along with
considerable apoptosis, cell cycle block in the G0/G1 phase, significant
inhibition of total HD activity and overexpression of the p21 protein.
Conversely, in MCF-7 cells, CBSLN did not enhance the level of expression of the
butyrate target genes, leading to the same anticancer activity as that of NB.
CONCLUSIONS: Solid lipid nanoparticles were able to improve butyrate anticancer
activity in HL-60, but not in MCF-7 cells. This is consistent with difference in
properties of the cells under study, such as expression of the TP53 tumor
suppressor, or the transporter for short-chain fatty acids, SLC5A8.
PMID- 24934553
TI - Random allocation in controlled clinical trials: a review.
AB - PURPOSE: An allocation strategy that allows for chance placement of participants
to study groups is crucial to the experimental nature of randomised controlled
trials. Following decades of the discovery of randomisation considerable
erroneous opinion and misrepresentations of its concept both in principle and
practice still exists. In some circles, opinions are also divided on the strength
and weaknesses of each of the random allocation strategies. This review provides
an update on various random allocation techniques so as to correct existing
misconceptions on this all important procedure. METHODS: This is a review of
literatures published in the Pubmed database on concepts of common allocation
techniques used in controlled clinical trials. RESULTS: Allocation methods that
use; case record number, date of birth, date of presentation, haphazard or
alternating assignment are non-random allocation techniques and should not be
confused as random methods. Four main random allocation techniques were
identified. Minimisation procedure though not fully a random technique, however,
proffers solution to the limitations of stratification at balancing for multiple
prognostic factors, as the procedure makes treatment groups similar in several
important features even in small sample trials. CONCLUSIONS: Even though
generation of allocation sequence by simple randomisation procedure is easily
facilitated, a major drawback of the technique is that treatment groups can by
chance end up being dissimilar both in size and composition of prognostic
factors. More complex allocation techniques that yield more comparable treatment
groups also have certain drawbacks. However, it is important that whichever
allocation technique is employed, unpredictability of random assignment should
not be compromised.
PMID- 24934554
TI - Antimicrobial and P450 inhibitory properties of common functional foods.
AB - PURPOSE: To study the effect of functional foods on human cytochrome P450 (CYP)
and the gut bacterial microflora that may potentially affect drug metabolism and
ultimately affect human health and wellness. METHODS: This study examined a
variety of food plants from the Apiaceae, Fabaceae, and Lamiaceae families for
their inhibitory potential on cytochrome 2D6-, 3A4-, 3A5-, and 3A7-mediated
metabolism. The antimicrobial effects of these samples were also investigated
with 7 selected bacterial surrogate species to determine potential effects on the
gut microflora. RESULTS: The highest CYP inhibitory activities, based upon visual
examination, were observed from extracts of celery seed, cumin, fennel seed,
basil, oregano, and rosemary belonging to the Apiaceae and Lamiaceae families,
respectively. Likewise, the strongest antimicrobial activities were also observed
in the Apiaceae and Lamiaceae. No significant antimicrobial and CYP inhibition
was observed in the Fabaceae extracts. CONCLUSION: Results demonstrated the
possible risk of food-drug interactions from spice and herb plants may affect
drug disposition and safety.
PMID- 24934555
TI - Intestinal P-glycoprotein expression is multimodally regulated by intestinal
ischemia-reperfusion.
AB - PURPOSE: Reactive oxygen species (ROS) have multiple physiological effects that
are amount-dependent. ROS are one of the causes of intestinal ischemia
reperfusion (I/R) injury. In this study, we investigated whether the amount of
ROS and the degree of intestinal I/R injury affect the expression level of P
glycoprotein (P-gp). METHODS: . We used hydrogen peroxide (H2O2) as ROS in in
vitro experiments. Intestinal I/R model rats, which were subjected 15-min
ischemia (I/R-15), were used in in vivo experiments. RESULTS: P-gp expression in
Caco-2 cells was increased in response to 1 uM of H2O2 but decreased upon
exposure to 10 mM of H2O2. We previously reported that P-gp expression is
decreased after intestinal I/R with 30-min ischemia (I/R-30), which time a large
amount of ROS is generated. I/R-15 induced slightly less mucosal and oxidative
injury than did I/R-30. P-gp expression in the jejunum was increased at 1 h after
I/R-15, and ileal paracellular permeability was increased. The blood
concentration of tacrolimus, a P-gp substrate, was lower during 0-20 min but was
higher during 40-90 min post-administration compared with that in the sham
operated rats. P-gp expression in the ileum was decreased at 6 h after I/R-15,
due to abnormal localization of P-gp, resulting in a high blood tacrolimus
concentration in rats reperfused for 6 h. CONCLUSIONS: ROS multimodally regulate
P-gp expression depending on its amount. This is important for understanding the
pattern of P-gp expression after intestinal I/R.
PMID- 24934556
TI - Health care resource utilisation in primary care prior to and after a diagnosis
of Alzheimer's disease: a retrospective, matched case-control study in the United
Kingdom.
AB - BACKGROUND: This study examined medical resource utilisation patterns in the
United Kingdom (UK) prior to and following Alzheimer's disease (AD) diagnosis.
METHODS: A patient cohort aged 65 years and older with newly diagnosed AD between
January 2008 and December 2010 was identified through the UK's Clinical Practice
Research Datalink (CPRD). Patients with a continuous record in the CPRD (formerly
the General Practice Research Database [GPRD]) for both the 3 years prior to, and
the 1 year following, AD diagnosis were eligible for inclusion. A control cohort
was identified by matching general older adult (GOA) patients to patients with AD
based on year of birth, gender, region, and Charlson Comorbidity Index at a ratio
of 2:1. Medical resource utilisation was calculated in 6-month intervals over the
4-year study period. Comparisons between AD and GOA control cohorts were
conducted using conditional logistic regression for patient characteristics and a
generalised linear model for resource utilisation. RESULTS: Data for the AD
cohort (N = 3,896) and matched GOA control cohort (N = 7,792) were extracted from
the CPRD. The groups were 65% female and the AD cohort had a mean age of 79.9
years (standard deviation 6.5 years) at the date of diagnosis. Over the entire
study period, the AD cohort had a significantly higher mean primary care
consultation rate than the GOA cohort (p < .0001). While the GOA cohort primary
care consultation rate gradually increased over the 4-year period (ranging from 5
to 7 consultations per 6-month period), increases were more pronounced in the AD
cohort (ranging from 6 to 11 consultations per 6-month period, peaking during the
6-month periods immediately prior to and post diagnosis). The AD cohort also had
a higher overall specialty referral rate than the GOA cohort over the 4-year
period (37% vs. 25%, respectively; p < .0001); the largest difference was during
the 6 months immediately prior to AD diagnosis (17% vs. 5%, respectively; p <
.0001). CONCLUSIONS: In the UK, AD diagnosis is associated with significant
increases in primary and secondary care resource utilisation, continuing beyond
diagnosis. This evidence may be important to health care commissioners to
facilitate effective mobilisation of appropriate AD-related health care
resources.
PMID- 24934557
TI - Acute toxicity tests and meta-analysis identify gaps in tropical ecotoxicology
for amphibians.
AB - Amphibian populations are declining worldwide, particularly in tropical regions
where amphibian diversity is highest. Pollutants, including agricultural
pesticides, have been identified as a potential contributor to decline, yet
toxicological studies of tropical amphibians are very rare. The present study
assesses toxic effects on amphibians of 10 commonly used commercial pesticides in
tropical agriculture using 2 approaches. First, the authors conducted 8-d
toxicity assays with formulations of each pesticide using individually reared red
eyed tree frog (Agalychnis callidryas) tadpoles. Second, they conducted a review
of available data for the lethal concentration to kill 50% of test animals from
the US Environmental Protection Agency's ECOTOX database to allow comparison with
their findings. Lethal concentration estimates from the assays ranged over
several orders of magnitude. The nematicides terbufos and ethoprophos and the
fungicide chlorothalonil were very highly toxic, with evident effects within an
order of magnitude of environmental concentrations. Acute toxicity assays and
meta-analysis show that nematicides and fungicides are generally more toxic than
herbicides yet receive far less research attention than less toxic herbicides.
Given that the tropics have a high diversity of amphibians, the findings
emphasize the need for research into the effects of commonly used pesticides in
tropical countries and should help guide future ecotoxicological research in
tropical regions.
PMID- 24934560
TI - Porous nitrogen-doped carbon microspheres as anode materials for lithium ion
batteries.
AB - Nitrogen-doped carbon microspheres (NCSs) were fabricated via a simple, fast and
energy-saving microwave-assisted method followed by thermal treatment under an
ammonia atmosphere. NCSs thermally treated at different temperatures were
investigated as anode materials for lithium ion batteries (LIBs). The results
show that NCSs treated at 900 degrees C exhibit a maximum reversible capacity of
816 mA h g(-1) at a current density of 50 mA g(-1) and preserve a capacity of 660
mA h g(-1) after 50 cycles, and even at a high current density of 1000 mA g(-1),
a capacity of 255 mA h g(-1) is maintained. The excellent electrochemical
performance of NCSs is due to their porous structure and nitrogen-doping. The
present NCSs should be promising low-cost anode materials with a high capacity
and good cycle stability for LIBs.
PMID- 24934559
TI - Mannosylation of fungal glycoconjugates in the Golgi apparatus.
AB - Glycosylation is a crucial step in the modification of proteins or sphingolipids
that then play a prominent role in fungal biology. Glycosylation controls the
structure and plasticity of the fungal cell wall and fungi-host interactions. Non
pathogenic and pathogenic yeasts, such as Saccharomyces cerevisiae and Candida
albicans, respectively, have been useful models for analyzing the mannosylation
of proteins and sphingolipids, which mainly takes place in the Golgi apparatus.
Studies of these yeasts have identified different mannosyltransferases that
belong to separate families of glycosyltransferases. The characterization of
mannosyltransferases and their activities is essential for deciphering cell wall
biogenesis, for identifying mannosides involved in virulence and for designing
inhibitors that target specific mannosylation processes.
PMID- 24934561
TI - Between a fifth and a third of hospitals record inaccurate cost data.
PMID- 24934558
TI - Transcript maturation in apicomplexan parasites.
AB - The complex life cycles of apicomplexan parasites are associated with dynamic
changes of protein repertoire. In Toxoplasma gondii, global analysis of gene
expression demonstrates that dynamic changes in mRNA levels unfold in a serial
cascade during asexual replication and up to 50% of encoded genes are unequally
expressed in development. Recent studies indicate transcription and mRNA
processing have important roles in fulfilling the 'just-in-time' delivery of
proteins to parasite growth and development. The prominence of post
transcriptional mechanisms in the Apicomplexa was demonstrated by mechanistic
studies of the critical RNA-binding proteins and regulatory kinases. However, it
is still early in our understanding of how transcription and post-transcriptional
mechanisms are balanced to produce adequate numbers of specialized forms that is
required to complete the parasite life cycle.
PMID- 24934563
TI - Isolation of Leptospira interrogans Hardjoprajitno from vaginal fluid of a
clinically healthy ewe suggests potential for venereal transmission.
AB - A total of 15 adult ewes from one flock known to be seroreactive for
leptospirosis was studied. Urine and vaginal fluid were collected from each
animal to test for the presence of leptospires using bacterial culture and
conventional PCR methods. One pure culture of Leptospira sp. was obtained from
the vaginal fluid sample of a non-pregnant ewe. The isolate was characterized by
DNA sequencing of the rrs and secY genes, variable-number of tandem-repeats
(VNTR) analysis and serogrouping, and the isolate was typed as Leptospira
interrogans serogroup Sejroe serovar Hardjo type Hardjoprajitno. This report
indicates the presence of viable Leptospira in the vaginal fluid of a ewe,
suggesting the potential for venereal transmission of leptospires in sheep.
PMID- 24934562
TI - Efficient design of meganucleases using a machine learning approach.
AB - BACKGROUND: Meganucleases are important tools for genome engineering, providing
an efficient way to generate DNA double-strand breaks at specific loci of
interest. Numerous experimental efforts, ranging from in vivo selection to in
silico modeling, have been made to re-engineer meganucleases to target relevant
DNA sequences. RESULTS: Here we present a novel in silico method for designing
custom meganucleases that is based on the use of a machine learning approach. We
compared it with existing in silico physical models and high-throughput
experimental screening. The machine learning model was used to successfully
predict active meganucleases for 53 new DNA targets. CONCLUSIONS: This new method
shows competitive performance compared with state-of-the-art in silico physical
models, with up to a fourfold increase in terms of the design success rate.
Compared to experimental high-throughput screening methods, it reduces the number
of screening experiments needed by a factor of more than 100 without affecting
final performance.
PMID- 24934564
TI - Reactivity and survivability of glycolaldehyde in simulated meteorite impact
experiments.
AB - Sugars of extraterrestrial origin have been observed in the interstellar medium
(ISM), in at least one comet spectrum, and in several carbonaceous chondritic
meteorites that have been recovered from the surface of the Earth. The origins of
these sugars within the meteorites have been debated. To explore the possibility
that sugars could be generated during shock events, this paper reports on the
results of the first laboratory impact experiments wherein glycolaldehyde, found
in the ISM, as well as glycolaldehyde mixed with montmorillonite clay, have been
subjected to reverberated shocks from ~5 to >25 GPa. New biologically relevant
molecules, including threose, erythrose and ethylene glycol, were identified in
the resulting samples. These results show that sugar molecules can not only
survive but also become more complex during impact delivery to planetary bodies.
PMID- 24934565
TI - Knowledge translation strategies for enhancing nurses' evidence-informed decision
making: a scoping review.
AB - BACKGROUND: Nurses are increasingly expected to engage in evidence-informed
decision making (EIDM); the use of research evidence with information about
patient preferences, clinical context and resources, and their clinical expertise
in decision making. Strategies for enhancing EIDM have been synthesized in high
quality systematic reviews, yet most relate to physicians or mixed disciplines.
Existing reviews, specific to nursing, have not captured a broad range of
strategies for promoting the knowledge and skills for EIDM, patient outcomes as a
result of EIDM, or contextual information for why these strategies "work." AIM:
To conduct a scoping review to identify and map the literature related to
strategies implemented among nurses in tertiary care for promoting EIDM
knowledge, skills, and behaviours, as well as patient outcomes and contextual
implementation details. METHODS: A search strategy was developed and executed to
identify relevant research evidence. Participants included registered nurses,
clinical nurse specialists, nurse practitioners, and advanced practice nurses.
Strategies were those enhancing nurses' EIDM knowledge, skills, or behaviours, as
well as patient outcomes. Relevant studies included systematic reviews,
randomized controlled trials, cluster randomized controlled trials, non
randomized trials (including controlled before and after studies), cluster non
randomized trials, interrupted time series designs, prospective cohort studies,
mixed-method studies, and qualitative studies. Two reviewers performed study
selection and data extraction using standardized forms. Disagreements were
resolved through discussion or third party adjudication. RESULTS: Using a
narrative synthesis, the body of research was mapped by design, clinical areas,
strategies, and provider and patient outcomes to determine areas appropriate for
a systematic review. CONCLUSIONS: There are a sufficiently high number of studies
to conduct a more focused systematic review by care settings, study design,
implementation strategies, or outcomes. A focused review could assist in
determining which strategies can be recommended for enhancing EIDM knowledge,
skills, and behaviours among nurses in tertiary care.
PMID- 24934566
TI - Results of a Culturally Adapted Internet-Enhanced Physical Activity Pilot
Intervention for Overweight and Obese Young Adult African American Women.
AB - PURPOSE: This study evaluated a culturally relevant, social cognitive theory
based, Internet-enhanced physical activity (PA) pilot intervention developed for
overweight/obese African American (AA) female college students. DESIGN: Using a 3
month, single group, pretest-posttest design, participants accessed a culturally
relevant PA promotion website and engaged in four moderate-intensity PA sessions
each week. RESULTS: Study completers (n = 25, mean age = 21.9 years) reported a
decrease in sedentary screen time (p < .0001); however, no changes in moderate-to
vigorous PA were reported (p = .150). A significant increase in self-regulation
for PA (p < .0001) and marginally significant increases in social support (p =
.052) and outcome expectations (p = .057) for PA were observed. No changes in
body mass index (p = .162), PA enjoyment (p = .151), or exercise self-efficacy (p
= .086) were reported. CONCLUSIONS: Findings of this exploratory study show some
preliminary support for Internet-enhanced approaches to promote PA among
overweight/obese AA women. IMPLICATIONS FOR PRACTICE: Future studies with larger
samples are needed to further explore culturally relevant Internet-enhanced PA
programs in this underserved population.
PMID- 24934567
TI - Bidirectional influences of anxiety and depression in young children.
AB - Anxiety and depression tend to co-occur in children. Studies indicate that higher
levels of anxiety are associated with subsequent higher levels of depression,
while depression may inhibit subsequent anxiety. It is important to increase our
understanding of the temporal sequencing of these disorders and, particularly, to
determine if suppression effects account for the inhibitory association. In
addition, further information about these relationships in young children is
needed. Participants were a diverse (20.4 % Hispanic, 16.7 % African American;
49.1 % boys) community sample of 796 children with data available at ages 4, 5,
and 6-7 years. Anxiety and depression symptoms were assessed using the Child
Symptom Inventory and symptom count measures from the Diagnostic Interview
Schedule for Children-Parent Scale -Young Child version. The results indicated:
(a) anxiety and depression were relatively stable over time; (b) anxiety at age 4
and 5 was a significant positive predictor of subsequent depression; (c) while an
inhibitory effect of depression on subsequent anxiety was found, that inhibitory
effect was due to negative suppression, and higher levels of depression were
actually associated with subsequent anxiety; (e) consistent with a significant
suppression effect, when depression was included as a predictor, the association
between anxiety at ages 4 and 5 and anxiety one year later increases in
magnitude. Both anxiety and depression are associated with higher levels of one
another in the subsequent year. Implications for prevention are discussed.
PMID- 24934568
TI - A longitudinal moderated mediation model of nonsuicidal self-injury among
adolescents.
AB - This study tested a longitudinal moderated mediation model of the engagement in
non suicidal self-injury (NSSI) based on Nock's (2009) integrated theoretical
model of the development of NSSI. We assessed general predisposing factors (i.e.
borderline personality disorder features), precipitating factors (i.e. negative
emotions), and NSSI-specific vulnerability factors (i.e. behavioral impulsivity
and self-criticism) among 3,600 Chinese secondary school adolescents (56.6 %
females, aged between 12 and 18 years). Assessments were conducted for three
times, 6 months apart. Results supported the longitudinal mediation model, such
that negative emotions mediated the relation of borderline personality disorder
features to NSSI. The moderating effects of behavioral impulsivity and self
criticism were both significant, indicating that adolescents with higher levels
of both variables were more likely to engage in NSSI. Moreover, behavioral
impulsivity made additional contribution to the prediction of future NSSI above
and beyond the effects of other risk factors. Findings of this study may help to
elucidate the diverse roles of different types of risk factors in the engagement
in NSSI, and may also shed new light on our understanding about the nature of
this behavior.
PMID- 24934570
TI - Narcissism and other-derogation in the absence of ego threat.
AB - The relation between narcissism and other-derogation has been examined primarily
in the context of ego threat. In three studies, we investigated whether
narcissistic individuals derogate others in the absence of ego threat. In Study
1, 79 judges watched four videotaped dyadic interactions and rated the
personality of the same four people. In Study 2, 66 judges rated the personality
of a friend. In Study 3, 72 judges considered the average Northeastern University
student and rated the personality of this hypothetical person. Across the three
studies, targets' personality characteristics were described on the 100-item
California Adult Q-Sort (CAQ; Block, 2008). Judges' ratings of targets were
compared to a CAQ prototype of the optimally adjusted person to assess target
derogation. Judges' narcissism and other-derogation were positively related in
Studies 1 and 2. Narcissism positively predicted and self-esteem negatively
predicted target-derogation after controlling for each other in Study 3.
Narcissistic individuals derogate others more than non-narcissistic individuals
regardless of whether ego threat is present or absent.
PMID- 24934569
TI - Identification of novel craniofacial regulatory domains located far upstream of
SOX9 and disrupted in Pierre Robin sequence.
AB - Mutations in the coding sequence of SOX9 cause campomelic dysplasia (CD), a
disorder of skeletal development associated with 46,XY disorders of sex
development (DSDs). Translocations, deletions, and duplications within a ~2 Mb
region upstream of SOX9 can recapitulate the CD-DSD phenotype fully or partially,
suggesting the existence of an unusually large cis-regulatory control region.
Pierre Robin sequence (PRS) is a craniofacial disorder that is frequently an
endophenotype of CD and a locus for isolated PRS at ~1.2-1.5 Mb upstream of SOX9
has been previously reported. The craniofacial regulatory potential within this
locus, and within the greater genomic domain surrounding SOX9, remains poorly
defined. We report two novel deletions upstream of SOX9 in families with PRS,
allowing refinement of the regions harboring candidate craniofacial regulatory
elements. In parallel, ChIP-Seq for p300 binding sites in mouse craniofacial
tissue led to the identification of several novel craniofacial enhancers at the
SOX9 locus, which were validated in transgenic reporter mice and zebrafish.
Notably, some of the functionally validated elements fall within the PRS
deletions. These studies suggest that multiple noncoding elements contribute to
the craniofacial regulation of SOX9 expression, and that their disruption results
in PRS.
PMID- 24934571
TI - Diphenylpyrroles: Novel p53 activators.
AB - Cellular tumor antigen p53 is crucial for cancer prevention via different
mechanisms. E3 ubiquitin-protein ligase HDM2 binds to p53, blocks its ability to
activate transcription, and therefore acts as a negative regulator. Blocking p53
binding site on HDM2 was believed to generate efficient antitumor agents. So far,
limited scaffolds were reported with HDM2 antagonist activity. Herein,
diphenylpyrroles were introduced and evaluated as a novel scaffold in the field
of p53 activators. An efficient synthesis of novel 3-heteroaryl-pyrroles is
described via reactions of E-3-(dimethylamino)-1-(2-methyl-4,5-diphenyl-1H-pyrrol
3-yl)prop-2-en-1-one or E-1-(2-methyl-4,5-diphenyl-1H-pyrrol-3-yl)-3
morpholinoprop-2-en-1-one with hydrazine hydrate, phenyl hydrazine,
hydroxylamine, various heterocyclic amines and active methylene compounds.
PMID- 24934572
TI - Synthesis, antibacterial and antitubercular activities of benzimidazole bearing
substituted 2-pyridone motifs.
AB - A series of benzimidazole bearing 2-pyridones 5a-r were synthesized and evaluated
for their in vitro antibacterial and antitubercular activity. Further, all
compounds were examined for their cytotoxic study on VERO cell line and
characterized by well-known spectral techniques. It was observed that the
compounds 5h, 5i, 5k, 5q and 5r were found to possess significant broad spectrum
antibacterial activity (12.5-100 MUg/mL of MIC), while compounds 5g-5i, 5k and 5l
proved to be the most potent antitubercular activity in range of 2.76-20.4 MUM of
MIC at low level of cytotoxicity, indicating good selectivity. From SAR studies,
lipophilic profile of compounds was remarkably vital for antibacterial activity,
while MIC values of antitubercular activity could not be directly correlated with
lipophilicity.
PMID- 24934573
TI - Design, synthesis and antifungal activity of novel triazole derivatives
containing substituted 1,2,3-triazole-piperdine side chains.
AB - Due to increasing incidence of invasive fungal infections and severe drug
resistance to triazole antifungal agents, a series of novel antifungal triazoles
with substituted triazole-piperidine side chains were designed and synthesized.
Most of the target compounds showed good inhibitory activity against a variety of
clinically important fungal pathogens. In particular, compounds 8t and 8v were
highly active against Candida albicans and Cryptococcus neoformans with MIC
values in the range of 0.125 MUg/mL to 0.0125 MUg/mL. They represent promising
leads for the development of new generation of triazole antifungal agents.
Molecular docking studies revealed that the target compounds interacted with
CACYP51 mainly through hydrophobic and Van der Waals interactions.
PMID- 24934575
TI - Toll-like receptor 3 inhibits Newcastle disease virus replication through
activation of pro-inflammatory cytokines and the type-1 interferon pathway.
AB - Newcastle disease virus (NDV) is an avian paramyxovirus that can selectively
replicate in and destroy human tumor cells. In this report, we demonstrate that
NDV infection in HeLa cells leads to the activation of the pattern recognition
Toll-like receptor 3 (TLR3). Overexpression of TLR3 enhanced the activity of the
IFN-beta promoter and the transcription factor NF-kappa B (NF-kappaB), thereby
decreasing viral protein synthesis and the virus titer. In addition, the
reduction of endogenous TLR3 by small interfering RNA (siRNA) increased NDV
replication. Similar anti-NDV effects were observed in DF-1 chicken fibroblast
cells with the exogenous expression of chicken TLR3 (cTLR3). Immunofluorescence
staining of HeLa cells indicated that the dsRNA generated during NDV replication
colocalized with TLR3 in punctate subcellular structures. Altogether, our results
strongly suggest that TLR3 actively participates in the recognition of the innate
pro-inflammatory response after NDV infection and leads to the consequent
antiviral cytokine/interferon secretion.
PMID- 24934576
TI - Hypoglycemia mediated by paraneoplastic production of Insulin like growth factor
2 from a malignant renal solitary fibrous tumor - clinical case and literature
review.
AB - BACKGROUND: Hypoglycemic episodes are infrequent in individuals without a history
of diabetes mellitus or bariatric surgery. When hypoglycemia does occur in such
individuals, an uncommon but important diagnosis to consider is non-islet cell
tumor hypoglycemia (NICTH). We report a case of NICTH associated with
paraneoplastic insulin-like growth factor-2 (IGF-2) production and review current
relevant medical literature. CASE PRESENTATION: A 60 year old male with no
relevant past medical history was referred to the endocrinology clinic with 18
month history of episodic hypoglycemic symptoms and, on one occasion was noted to
have a fingerstick glucose of 36 mg/dL while having symptoms of hypoglycemia.
Basic laboratory evaluation was unrevealing. Further evaluation however showed an
elevated serum IGF-2 level at 2215 ng/mL (reference range 411-1248 ng/mL).
Imaging demonstrated a large right suprarenal mass. A right nephrectomy with
resection of the mass demonstrated a malignant solitary fibrous tumor. Post
resection, the patient's IGF-2 levels normalized and hypoglycemic symptoms
resolved. CONCLUSION: Due to the structural and biochemical homology between IGF
2 and insulin, elevated levels of IGF-2 can result in hypoglycemia. A
posttranslational precursor to IGF-2 known as "big IGF" also possesses biologic
activity. Review of recent reported cases of NICTH identified widespread anatomic
locations and varied pathologic diagnoses of tumors associated with
paraneoplastic production of IGF-2 causing hypoglycemia. Definitive management of
hypoglycemia associated with paraneoplastic production of IGF-2 consists of
resection of the tumor responsible for IGF-2 production. Accumulating literature
provides a firm basis for routine IGF-2 laboratory evaluation in patients
presenting with spontaneous hypoglycemia with no readily apparent cause.
PMID- 24934578
TI - Psychometric properties of the finding a balance scale for family caregivers of
elders with dementia in Taiwan.
AB - The Finding a Balance Scale, designed to measure the degree to which caregivers
can balance the competing demands of caregiving and other priorities, assists
health care providers in understanding the process of family caregiving. The aim
of this study was to examine the scale's psychometric properties and determine an
appropriate cutoff score for identifying caregivers at high risk for poor
caregiving consequences. We found adequate reliabilities and appropriate
validities in a convenience sample of 197 family caregivers of elders with
dementia in Taiwan. The optimal cutoff was also determined. The validated Finding
a Balance Scale provides an assessment tool to explore the competing
responsibilities, conditions, and difficulties for family caregivers of elders
with dementia in Taiwan.
PMID- 24934577
TI - Significance of 18 F-FDG PET and immunohistochemical GLUT-1 expression for
cardiac myxoma.
AB - Cardiac tumours are relatively rare and are difficult to diagnose merely with
imaging techniques. We demonstrated an unusual case of left atrial myxoma,
displaying the successful detection by positron emission tomography using 2-deoxy
2-[18 F] fluoro-D-glucose (18 F-FDG PET), correlated closely to more intense and
enhanced immunoreactivity with glucose transporter-1 (GLUT-1) in a substantial
number of cardiac myxoma cells. Further prospective studies are needed to
validate the significance of 18 F-FDG PET findings for cardiac myxoma and the
association with immunohistochemical GLUT-1 expression in its tumour cells, after
collecting and investigating a larger number of surgical cases examined with both
of them. VIRTUAL SLIDES: The virtual slide(s) for this article can be found here:
http://www.diagnosticpathology.diagnomx.eu/vs/2991481941253449.
PMID- 24934579
TI - Plasticity and redundancy among AMA-RON pairs ensure host cell entry of
Toxoplasma parasites.
AB - Malaria and toxoplasmosis are infectious diseases caused by the apicomplexan
parasites Plasmodium and Toxoplasma gondii, respectively. These parasites have
developed an invasion mechanism involving the formation of a moving junction (MJ)
that anchors the parasite to the host cell and forms a ring through which the
parasite penetrates. The composition and the assembly of the MJ, and in
particular the presence of protein AMA1 and its interaction with protein RON2 at
the MJ, have been the subject of intense controversy. Here, using reverse
genetics, we show that AMA1, a vaccine candidate, interacts with RON2 to maintain
the MJ structural integrity in T. gondii and is subsequently required for
parasite internalization. Moreover, we show that disruption of the AMA1 gene
results in upregulation of AMA1 and RON2 homologues that cooperate to support
residual invasion. Our study highlights a considerable complexity and molecular
plasticity in the architecture of the MJ.
PMID- 24934580
TI - Postsurgical Pantoea calida meningitis: a case report.
AB - INTRODUCTION: Pantoea calida, a recently described environmental
Enterobacteriaceae organism, has not yet been associated with human infection.
CASE PRESENTATION: We report a case of postoperative meningitis caused by P.
calida. After pituitary adenoma resection, a 52-year-old Caucasian woman
developed febrile meningitis confirmed by cerebrospinal fluid analysis. P. calida
was grown in pure culture from this fluid and was firmly identified with partial
rpoB gene sequencing. She was cured by a 14-day course of meropenem. CONCLUSIONS:
P. calida must be added to the list of opportunistic Enterobacteriaceae pathogens
responsible for postsurgical meningitis. It is easily identified by matrix
assisted laser desorption/ionization time-of-flight mass spectrometry.
PMID- 24934581
TI - Towards rapid genotyping of resistant malaria parasites: could loop-mediated
isothermal amplification be the solution?
AB - Loop-mediated isothermal amplification (LAMP) is an innovative molecular
technique that has been validated for point-of-care testing to diagnose malaria.
Molecular detection and tracking of anti-malarial drug resistance is mainly based
on highly sophisticated, costly and time-consuming techniques. With the
validation of resistance-associated gene mutations in malaria parasites, there is
a need to develop rapid, easy-to-use molecular tests for anti-malarial drug
resistance genotyping. LAMP could be further developed as a point-of-care test to
rapidly detect anti-malarial drug resistance-associated molecular markers,
thereby help detecting and monitoring drug resistance in surveillance studies.
PMID- 24934582
TI - High volumetric breast density predicts risk for breast cancer in postmenopausal,
but not premenopausal, Korean Women.
AB - PURPOSE: We investigated the association between mammographic breast density and
breast cancer risk in Korean women according to menopausal status and breast
cancer subtypes. METHODS: We enrolled 677 patients diagnosed with breast cancer
and 1,307 healthy controls who participated in screening mammography at the
National Cancer Center. Breast density was estimated using volumetric breast
composition measurement. RESULTS: Of the total population, 1,156 (58.3 %) women
were postmenopausal. The risk of breast cancer increased progressively with the
increment of volumetric density grade (VDG) in postmenopausal women (p < 0.001).
High breast density (VDG 4) was significantly associated with breast cancer
compared with low breast density (VDG 1/2) regardless of body mass index.
However, the association with parity and history of hormone replacement therapy
(HRT) was only found in those with >=2 children and those not receiving HRT.
Breast density was positively associated with breast cancer risk regardless of
histologic grade, tumor size, lymph node involvement, Ki67 index, and hormone
receptor status. The association was more prominent in human epidermal growth
factor receptor 2 (HER2)-positive tumors (VDG 1/2 vs. VDG 4 for HER2 normal, odds
ratio [OR] 2.21, 95 % confidence interval [CI] 1.28-3.83, p < 0.001; for HER2
positive, OR 8.63, 95 % CI 3.26-22.83, p = 0.001; P heterogeneity = 0.030).
However, no significant association was found between breast density and breast
cancer risk in premenopausal women except for those with large-sized tumors (>2
cm) and a Ki67 index >15 %. CONCLUSION: High volumetric breast density is
significantly associated with the risk of breast cancer in postmenopausal women;
however, these relationships were not found in premenopausal women.
PMID- 24934583
TI - CT-guided high-dose-rate brachytherapy of liver tumours does not impair hepatic
function and shows high overall safety and favourable survival rates.
AB - BACKGROUND OR PURPOSE: Computed tomography-guided high-dose-rate brachytherapy
(CT-HDRBT) is an emerging therapeutic option for irresectable liver tumours.
However, its potentially negative effect on liver function has not yet been
investigated. The aim of this study was to evaluate CT-HDRBT for potential liver
damage and functional impairment by means of quantitative liver function tests,
patient safety, and survival. METHODS: Sixty-nine patients receiving hepatic CT
HDRBT were investigated in a prospective study. The liver function was determined
before and after intervention, and 6 and 12 weeks later. The study visits
included liver function assessment by conventional biochemical parameters, the
liver function capacity test based on 13C-Methacetin (MA) kinetics in ug/kg/hr
(LiMAx test), and the indocyanine green plasma disappearance rate test. Tumour
progression was re-staged by magnetic resonance imaging (MRI) twice. The 2-year
survival was analysed. RESULTS: A significant alteration of biochemical liver
parameters was observed for liver enzymes and bilirubin directly after
intervention, all biochemical parameters had normalised at the 6-week follow-up.
There were no changes in LiMAx test values after the intervention, showing no
effect on metabolic liver function capacity. In approximately two-thirds of the
patients, MRI re-staging revealed a significant tumour response, and no local
tumour recurrence occurred. No patient developed a radiation-induced liver
disease. CONCLUSION: CT-HDRBT for local tumour treatment has little impact on
total liver function capacity by high patient safety and encouraging survival
rates. Thus, it should be an important option in treating patients without a
surgical alternative, especially when liver function is impaired due to previous
surgery or chronic liver disease.
PMID- 24934584
TI - Robotic thyroidectomy for cancer in the US: patterns of use and short-term
outcomes.
AB - BACKGROUND: We describe nationally representative patterns of utilization and
short-term outcomes from robotic versus open thyroidectomy for thyroid cancer.
METHODS: Descriptive statistics and multivariable analysis were used to analyze
patterns of use of robotic thyroidectomy from the National Cancer Database (2010
2011). Short-term outcomes were compared between patients undergoing robotic
versus open thyroidectomy, while adjusting for confounders. RESULTS: A total of
68,393 patients with thyroid cancer underwent thyroidectomy; 225 had robotic
surgery and 57,729 underwent open surgery. Robotic thyroid surgery use increased
by 30 % from 2010 to 2011 (p = 0.08). Robotic cases were reported from 93
centers, with 89 centers performing <10 robotic cases. Compared with the open
group, the robotic group was younger (51 vs. 47 years; p < 0.01) and included
more Asian patients (4 vs. 8 %; p = 0.006) and privately-insured patients (68 vs.
77 %; p = 0.01). Tumor size was similar between patients undergoing robotic
versus open surgery. Total thyroidectomy was performed less frequently in the
robotic group (67 vs. 84 % open; p < 0.0001). Patients were relatively more
likely to undergo robotic surgery if they were female (odds ratio [OR] 1.6; p =
0.04), younger (OR 0.8/10 years; p < 0.0001), or underwent lobectomy (OR 2.4; p <
0.0001). In adjusted multivariable analysis, there were no differences in the
number of lymph nodes removed or length of stay between groups; however, there
was a non-significant increase in the incidence of positive margins with robotic
thyroidectomy. CONCLUSIONS: Use of robotic thyroidectomy for thyroid cancer is
limited to a few institutions, with short-term outcomes that are comparable to
open surgery. Multi-institutional studies should be undertaken to compare
thyroidectomy-specific complications and long-term outcomes.
PMID- 24934586
TI - The promise of axillary imaging in individualized surgical management of breast
cancer patients: another step forward.
PMID- 24934585
TI - Rate of contralateral prophylactic mastectomy is influenced by preoperative MRI
recommendations.
AB - BACKGROUND: Women with breast cancer increasingly undergo contralateral
prophylactic mastectomy (CPM). We evaluated the relationship between preoperative
magnetic resonance imaging (MRI) findings and CPM. Other clinicopathologic
variables associated with CPM choice and the pathology found in the contralateral
breast are also reported. METHODS: Newly diagnosed breast cancer patients were
prospectively enrolled in the University of Iowa Breast Molecular Epidemiology
Resource. Patients with stages 0-III breast cancer who underwent mastectomy for
the index cancer were eligible for this analysis. Univariate logistic regression
and a multivariate model were used to identify factors predictive of CPM.
RESULTS: Among 134 patients (mean age 54.9 years), 53 (39.6 %) chose CPM. On
univariate analysis, patients undergoing CPM were more likely to have a
preoperative breast MRI (64.2 vs. 39.5 %, p = 0.006) and to have follow-up
testing recommended for the contralateral breast (28.3 vs. 4.9 %, p = 0.001).
Univariate analysis also associated CPM with younger age (p < 0.0001), BRCA
testing (p < 0.0001), BRCA mutation (p = 0.034) and reconstruction performed (p =
0.001). Median age of youngest child at diagnosis varied significantly between
the CPM (15.9 years) and non-CPM (24.3 years) groups (p = 0.0018). On
multivariate analysis, MRI follow-up recommendation, young age, reconstruction
and human epidermal growth factor receptor 2 (HER2) positivity of the index
cancer were significantly associated with CPM. Of the CPM specimens, one (1.8 %)
had ductal carcinoma-in situ, which had not been identified on MRI. CONCLUSIONS:
Abnormal findings in the contralateral breast on preoperative MRI, as well as
young age, reconstruction and HER2-positive status correlated with CPM choice in
this cohort. Occult malignancy was rare.
PMID- 24934587
TI - Disseminated neoplasia in the soft-shell clam Mya arenaria: membrane lipid
composition and functional parameters of circulating cells.
AB - In a previous study we compared lipid composition and functional parameters of
circulating cells from Cerastoderma edule affected or not by disseminated
neoplasia (neoplastic cells vs hemocytes) (Le Grand et al. Chem Phys Lipids 167:9
20 2013). Neoplastic cells presented morpho-functional modifications concomitant
to striking membrane lipid alterations: the proportion of particular plasmalogen
molecular species was drastically decreased. We wanted to test whether this
pattern was representative of bivalve neoplastic cells. For the purpose, a
similar study was conducted on another bivalve species affected by disseminated
neoplasia, the soft-shell clam (Mya arenaria). Although total reactive oxygen
species production was unaffected, M. arenaria neoplastic cells presented some
functional alterations: phagocytosis activity was reduced by 33 %. However, lipid
compositions were not drastically altered. Particularly, sterol and plasmalogen
levels did not differ between both cell types (about 43 % of membrane lipids and
35 % of phospholipids, respectively in hemocytes and neoplastic cells). This
could be related to the fact that disseminated neoplasia was not related to
hemolymph cell proliferation in M. arenaria (0.9 +/- 0.2 10(6)cell mL(-1),
considering both healthy and neoplastic clams, n = 6). Nevertheless this study
highlighted minor but specific alterations of membrane lipid composition in M.
arenaria neoplastic cells. The only phospholipid subclass in which the fatty acid
profile strongly differed between both cell types was serine plasmalogen
(PlsSer), with neoplastic cells presenting lower specific enrichment of 20:1n-11
in PlsSer. Such specific alteration of membrane lipid composition strengthened
the assumption of an implication of key plasmalogen molecular species in this
leukemia-like disease in bivalves.
PMID- 24934588
TI - Re-esterified palm oils, compared to native palm oil, do not alter fat
absorption, postprandial lipemia or growth performance in broiler chicks.
AB - Re-esterified palm oils are obtained from the chemical esterification of palm
acid oils (rich in free fatty acids) with glycerol, both economically interesting
by-products from oil refining and biodiesel industries, respectively. Thus, re
esterified palm oils could be an economically interesting alternative to native
palm oil in broiler chick diets. However, because they may have different
physicochemical properties than have their corresponding native oil, we assessed
the effect of fatty acid (FA) positional distribution within acylglycerol
molecules and the effect of acylglycerol composition on FA apparent absorption,
and their possible consequences on the evolution of postprandial lipemia and
growth performance in broiler chicks. Seventy-two 1-day-old female broiler chicks
were randomly distributed into 18 cages. The three treatments used were the
result of a basal diet supplemented with 6 wt% of native palm oil (N-TAG), re
esterified palm oil (E-TAG), or re-esterified palm oil high in mono- and
diacylglycerols (E-MDAG). Chemical esterification raised the fraction of palmitic
acid at the sn-2 position from 9.63 mol% in N-TAG oil to 17.9 mol% in E-TAG oil.
Furthermore, E-MDAG oil presented a high proportion of mono- (23.1 wt%) and
diacylglycerols (51.2 wt%), with FA mainly located at the sn-1,3 positions, which
resulted in a lower gross-energy content and an increased solid-fat index at the
chicken's body temperature. However, re-esterified palm oils did not alter fat
absorption, postprandial lipemia, or growth performance, compared to native palm
oil, so they can be used as alternative fat sources in broiler chick diets.
PMID- 24934589
TI - A novel assay of DGAT activity based on high temperature GC/MS of
triacylglycerol.
AB - Diacylglycerol acyltransferase (DGAT) catalyzes the final step in the acyl-CoA
dependent biosynthesis of triacylglycerol (TAG), a high-energy compound composed
of three fatty acids esterified to a glycerol backbone. In vitro DGAT assays,
which are usually conducted with radiolabeled substrate using microsomal
fractions, have been useful in identifying compounds and genetic modifications
that affect DGAT activity. Here, we describe a high-temperature gas
chromatography (GC)/mass spectrometry (MS)-based method for monitoring molecular
species of TAG produced by the catalytic action of microsomal DGAT. This method
circumvents the need for radiolabeled or modified substrates, and only requires a
simple lipid extraction prior to GC. The utility of the method is demonstrated
using a recombinant type-1 Brassica napus DGAT produced in a strain of
Saccharomyces cerevisae that is deficient in TAG synthesis. The GC/MS-based assay
of DGAT activity was strongly correlated with the typical in vitro assay of the
enzyme using [1-(14)C] acyl-CoA as an acyl donor. In addition to determining DGAT
activity, the method is also useful for determining substrate specificity and
selectivity properties of the enzyme.
PMID- 24934590
TI - Maldi-tof fingerprinting of seminal plasma lipids in the study of human male
infertility.
AB - This study proposed lipid fingerprinting of human seminal plasma by mass
spectrometry as an analytical method to differentiate biological conditions. For
this purpose, we chose infertile men as a model to study specific conditions,
namely: high and low seminal plasma lipid peroxidation levels (sub-study 1.1),
high and low sperm nuclear DNA fragmentation (sub-study 1.2), and intervention
status: before and after subinguinal microsurgical varicocelectomy (study 2).
Study 1 included 133 patients, of which 113 were utilized for sub-study 1.1 and
89 for sub-study 1.2. Study 2 included 17 adult men submitted to subinguinal
varicocelectomy, before and 90 days after varicocelectomy. Lipids were extracted
from seminal plasma and submitted to Matrix-Assisted Laser Desorption Ionization
Quadrupole-Time-of-Flight Mass Spectrometry in the positive ionization mode.
Spectra were processed using Waters((r)) MassLynx, and MetaboAnalyst online
software was used for statistical analyses. For sub-studies 1.1 and 1.2, and
study 2, univariate analysis revealed 8, 87 and 34 significant ions,
respectively. Multivariate analysis was performed through PCA and PLS-DA. PCA
generated 56, 32 and 34 components respectively for each study and these were
submitted to logistic regression. A ROC curve was plotted and the area under the
curve was equal to 97.4, 92.5 and 96.5%. PLS-DA generated a list of 19, 24 and 23
VIP ions for sub-studies 1.1 and 1.2, and study 2, respectively. Therefore, this
study established the lipid profile and comparison of patterns altered in
response to specific biological conditions.
PMID- 24934592
TI - Antifungal and antibacterial activity of Haliclona sp. from the Persian Gulf,
Iran.
AB - In this study, antifungal and antibacterial activities of diethyl ether, methanol
and aqueous extracts of Haliclona sp. were assessed (in vitro). The antibacterial
activity of the extracts was determined by broth dilution methods against
clinical Gram-negative bacteria: Escherichia coli, Pseudomonas aeruginosa and
Gram-positive bacteria: Staphylococcus aureus aureus, Bacillus subtilis
spizizenii. The antifungal activity of the extracts was determined by using a
broth microdilution test against clinical fungi Candida albicans and Aspergillus
fumigatus. Our results showed diethyl ether extract of Haliclona sp. was active
on Gram-positive bacteria. In addition, methanol extract in comparison with
diethyl ether extract had better activity against C. albicans (MIC: 0.75 mg/mL,
MFC: 1.5mg/mL) and A. fumigatus (MIC: 2mg/mL, MFC: 3mg/mL). Aqueous extract had
neither antifungal nor antibacterial activities. Based our results, Haliclona sp.
can be considered as a source of novel antibiotic and antifungal.
PMID- 24934591
TI - Enhanced solubility and oral bioavailability of gamma-tocotrienol using a self
emulsifying drug delivery system (SEDDS).
AB - The aim of this study was to evaluate the in vitro and in vivo performance of
gamma-tocotrienol (gamma-T3) incorporated in a self-emulsifying drug delivery
system (SEDDS) and to compare its enhanced performance to a commercially
available product, namely Tocovid SuprabioTM (hereafter Tocovid), containing
tocotrienols. The solubilization of gamma-T3 was tested in a dynamic in vitro
lipolysis model followed by in vitro cellular uptake study for the lipolysis
products. In addition, in vitro uptake studies using Caco2 cells were conducted
at different concentrations of gamma-T3 prepared as SEDDS, Tocovid, or mixed
micelles. gamma-T3 incorporated in SEDDS or Tocovid was orally administered to
rats at different doses and absolute oral bioavailability from both formulations
were determined. The dynamic in vitro lipolysis experiment showed about two fold
increase in the solubilization of gamma-T3 prepared as SEDDS compared to Tocovid,
which correlated with higher cellular uptake in the subsequent uptake studies. In
vitro cellular uptake and in vivo oral bioavailability studies have shown a
twofold increase in the cellular uptake and oral bioavailability of gamma-T3
incorporated in SEDDS compared to Tocovid as a result of improvement in its
solubility and passive uptake as confirmed by in vitro studies. In conclusion,
incorporation of gamma-T3 in SEDDS formulation enhanced gamma-T3 solubilization
and passive permeability, thus its cellular uptake and oral bioavailability when
compared to Tocovid.
PMID- 24934593
TI - Postoperative mediastinitis due to Candida tropicalis: first reported case in
Morocco.
AB - Mediastinitis is a rare and serious nosocomial complication of cardiac surgery.
It is estimated at less than 2% of cases of median sternotomy. We report the case
of a postoperative mediastinitis due to Candida tropicalis. A 9-month-old baby
was operated for complete repair of tetralogy of Fallot in which we isolated C.
tropicalis from sternal purulent fluid and blood culture. The child did not
survive, despite the initiation of antifungal therapy. The management of this
type of infection requires an early diagnosis and an appropriate prolonged
treatment, associated with effective preventive measures.
PMID- 24934594
TI - [Definitive pacemaker implanted via the femoral route].
PMID- 24934596
TI - Myasthenia Gravis: paradox versus paradigm in autoimmunity.
AB - Myasthenia Gravis (MG) is a paradigm of organ-specific autoimmune disease (AID).
It is mediated by antibodies that target the neuromuscular junction. The purpose
of this review is to place MG in the general context of autoimmunity, to
summarize the common mechanisms between MG and other AIDs, and to describe the
specific mechanisms of MG. We have chosen the most common organ-specific AIDs to
compare with MG: type 1 diabetes mellitus (T1DM), autoimmune thyroid diseases
(AITD), multiple sclerosis (MS), some systemic AIDs (systemic lupus erythematous
(SLE), rheumatoid arthritis (RA), Sjogren's syndrome (SS)), as well as
inflammatory diseases of the gut and liver (celiac disease (CeD), Crohn's disease
(CD), and primary biliary cirrhosis (PBC)). Several features are similar between
all AIDs, suggesting that common pathogenic mechanisms lead to their development.
In this review, we address the predisposing factors (genetic, epigenetic,
hormones, vitamin D, microbiota), the triggering components (infections, drugs)
and their interactions with the immune system [1,2]. The dysregulation of the
immune system is detailed and includes the role of B cells, Treg cells, Th17 and
cytokines. We particularly focused on the role of TNF-alpha and interferon type I
whose role in MG is very analogous to that in several other AIDS. The implication
of AIRE, a key factor in central tolerance is also discussed. Finally, if MG is a
prototype of AIDS, it has a clear specificity compared to the other AIDS, by the
fact that the target organ, the muscle, is not the site of immune infiltration
and B cell expansion, but exclusively that of antibody-mediated pathogenic
mechanisms. By contrast, the thymus in the early onset subtype frequently
undergoes tissue remodeling, resulting in the development of ectopic germinal
centers surrounded by high endothelial venules (HEV), as observed in the target
organs of many other AIDs.
PMID- 24934595
TI - Characterization of an injectable, degradable polymer for mechanical
stabilization of mandibular fractures.
AB - This study investigated the use of injectable poly(propylene fumarate) (PPF)
formulations for mandibular fracture stabilization applications. A full factorial
design with main effects analysis was employed to evaluate the effects of the
PPF:N-vinyl pyrrolidone (NVP, crosslinking agent) ratio and dimethyl toluidine
(DMT, accelerator) concentration on key physicochemical properties including
setting time, maximum temperature, mechanical properties, sol fraction, and
swelling ratio. Additionally, the effects of formulation crosslinking time on the
mechanical and swelling properties were investigated. The results showed that
increasing the PPF:NVP ratio from 3:1 to 4:1 or decreasing the DMT concentration
from 0.05 to 0.01 v/w % significantly decreased all mechanical properties as well
as significantly increased the sol fraction and swelling ratio. Also, increasing
the crosslinking time at 37 degrees C from 1 to 7 days significantly increased
all mechanical properties and decreased both the sol fraction and swelling ratio.
This study further showed that the flexural stiffness of ex vivo stabilized
rabbit mandibles increased from 1.7 +/- 0.3 N/mm with a traditional mini-plate
fixator to 14.5 +/- 4.1 N/mm for the 4:1 (0.05 v/w % DMT) PPF formulation at day
1. Overall, the formulations tested in this study were found to have properties
suitable for potential further consideration in mandibular fracture fixation
applications.
PMID- 24934597
TI - Maintenance of immune tolerance by Foxp3+ regulatory T cells requires CD69
expression.
AB - Although FoxP3(+) regulatory T cells are key players in the maintenance of immune
tolerance and autoimmunity, the lack of specific markers constitute an obstacle
to their use for immunotherapy protocols. In this study, we have investigated the
role of the C-type lectin receptor CD69 in the suppressor function of Tregs and
maintenance of immune tolerance towards harmless inhaled antigens. We identified
a novel FoxP3(+)CD69(+) Treg subset capable to maintain immune tolerance and
protect to developing inflammation. Although CD69(+) and CD69(-)FoxP3(+) Tregs
exist in homeostasis, only CD69-expressing Tregs express high levels of CTLA-4,
ICOS, CD38 and GITR suppression-associated markers, secrete high amounts of
TGFbeta and have potent suppressor activity. This activity is regulated by STAT5
and ERK signaling pathways and is impaired by antibody-mediated down-regulation
of CD69 expression. Moreover, immunotherapy with FoxP3(+)CD69(+) Tregs restores
the homeostasis in Cd69(-/-) mice, that fail to induce tolerance, and is also
highly proficient in the prevention of inflammation. The identification of the
FoxP3(+)CD69(+) Treg subset paves the way toward the development of new
therapeutic strategies to control immune homeostasis and autoimmunity.
PMID- 24934599
TI - Immunomodulation neuroprotection and remyelination - the fundamental therapeutic
effects of glatiramer acetate: a critical review.
AB - Multiple sclerosis (MS) is a multifaceted heterogeneous disease with various
patterns of tissue damage. In addition to inflammation and demyelination,
widespread axonal and neuronal pathologies are central components of this
disease. MS therapies aim to restrain the pathological processes, enhance
protective mechanisms, and prevent disease progression. The amino acid copolymer,
glatiramer acetate (GA, Copaxone), an approved treatment for MS, has a unique
mode of action. Evidence from the animal model experimental autoimmune
encephalomyelitis (EAE) and from MS patients indicates that GA affects various
levels of the innate and the adaptive immune response, inducing deviation from
the pro-inflammatory to the anti-inflammatory pathways. This includes competition
for the binding of antigen presenting cells, driving dendritic cells, monocytes,
and B-cells towards anti-inflammatory responses, induction of Th2/3 and T
regulatory cells, and downregulating of both Th1 and Th-17 cells. The immune
cells induced by GA reach the inflamed disease organ and secrete in situ anti
inflammatory cytokines alleviating the pathological processes. Furthermore,
cumulative findings have revealed that in addition to its immunomodulatory
activities GA promotes neuroprotective repair processes such as neurotrophic
factors secretion and remyelination. This review aims to provide a comprehensive
overview on the diverse mechanism of action of GA in EAE/MS, in particular on the
in situ effect of GA and its ability to generate neuroprotection and repair in
the CNS. In view of its immunomodulatory activity, the beneficial effects of GA
in various models of additional autoimmune related pathologies, such as immune
rejection and inflammatory bowel disease (IBD), are also presented.
PMID- 24934598
TI - MBD2 regulates TH17 differentiation and experimental autoimmune encephalomyelitis
by controlling the homeostasis of T-bet/Hlx axis.
AB - Unlike genetic alterations, epigenetic modifications are reversible and amenable
to pharmacological interventions, which make them appealing targets for clinical
therapy. However, little is known about epigenetic regulation in experimental
autoimmune encephalomyelitis (EAE). Here we demonstrated that methyl-CpG-binding
domain protein 2 (MBD2), an epigenetic regulator, controls autoimmunity and EAE
through T-bet/Hlx. Tbx21 and Hlx underwent a DNA methylation turnover upon
polarizations and a unique methylation pattern was essential for TH17
development. Loss of Mbd2 resulted in a defect for reading the information
encoded by this methylation turnover, which disrupted the homeostasis of T
bet/Hlx axis and suppressed TH17 differentiation. DNA demethylation induced
similar effect on helper T cell differentiation. Therefore, Mbd2(-/-) mice were
completely protected from EAE. Pathogenic splenocytes isolated from wild-type
mice challenged with MOG35-55 could adoptively transfer disease to Mbd2(-/-)
mice. In addition, Mbd2(-/-) mice reconstituted with unstimulated wild-type
splenocytes developed EAE as wild-type mice did. These data would provide novel
insights into epigenetic regulation of EAE.
PMID- 24934600
TI - Modulating inflammatory cell responses to spinal cord injury: all in good time.
AB - Spinal cord injury can have a range of debilitating effects, permanently
impacting a patient's quality of life. Initially thought to be an immune
privileged site, the spinal cord is able to mount a timely and well organized
inflammatory response to injury. Intricate immune cell interactions are
triggered, typically consisting of a staggered multiphasic immune cell response,
which can become deregulated if left unchecked. Although several immunomodulatory
compounds have yielded success in experimental rodent spinal cord injury models,
their translation to human clinical studies needs further consideration. Because
temporal differences between rodent and human inflammatory responses to spinal
cord injury do exist, drug delivery timing will be a crucial component in
recovery from spinal cord injury. Given too early, immunomodulatory therapies may
impede beneficial inflammatory reactions to the injured spinal cord or even miss
the opportunity to dampen delayed harmful autoimmune processes. Therefore, this
review aims to summarize the temporal inflammatory response to spinal cord
injury, as well as detailing specific immune cell functions. By clearly defining
the chronological order of inflammatory events after trauma, immunomodulatory
drug delivery timing can be better optimized. Further, we compare spinal cord
injury-induced inflammatory responses in rodent and human studies, enabling
clinicians to consider these differences when initiating clinical trials.
Improved understanding of the cellular immune response after spinal cord injury
would enhance the efficacy of immunomodulatory agents, enabling combined
therapies to be considered.
PMID- 24934601
TI - Direct electrochemical and AFM detection of amyloid-beta peptide aggregation on
basal plane HOPG.
AB - Amyloidogenesis is associated with more than 30 human diseases, including
Alzheimer's which is related to aggregation of beta-amyloid peptide (Abeta).
Here, consecutive stages of Abeta42 aggregation and amyloid fibril formation were
followed electrochemically via oxidation of tyrosines in Abeta42 adsorbed on the
basal plane graphite electrode and directly correlated with Abeta42 morphological
changes observed by atomic force microscopy of the same substrate. The results
offer new tools for analysis of mechanisms of Abeta aggregation.
PMID- 24934602
TI - Thoracodorsal nerve transfer for elbow flexion reconstruction in infraclavicular
brachial plexus injuries.
AB - PURPOSE: To report the clinical results of thoracodorsal nerve (TDN) transfer to
the biceps nerve for elbow flexion restoration in infraclavicular brachial plexus
injuries. METHODS: Five male patients, mean age 33 years and affected with
infraclavicular brachial plexus injuries, underwent a direct coaptation of the
TDN to the nerve to the biceps an average of 8 months after injury. The procedure
included the transfer of a branch of the TDN to the musculocutaneous nerve in 2
patients. RESULTS: All patients achieved M4 elbow flexion strength according to
the British Medical Research Council scale at a mean follow-up of 22 months.
CONCLUSIONS: Direct TDN transfer seems to be a useful surgical procedure for
restoring elbow flexion in patients with infraclavicular brachial plexus
injuries. TYPE OF STUDY/LEVEL OF EVIDENCE: Therapeutic IV.
PMID- 24934603
TI - Discrepancies between meeting abstracts and subsequent full text publications in
hand surgery.
AB - PURPOSE: Research abstracts presented during the proceedings of an annual meeting
are often cited and can influence clinical practice. Prior studies show that
roughly 50% of abstracts at American Society for Surgery of the Hand meetings are
eventually published. Yet, it is unknown how often the results or conclusions of
published studies differ from the podium presentation. The objective of this
study was to quantify the differences between abstracts presented during the
annual meeting of the American Society for Surgery of the Hand and the resulting
manuscripts. METHODS: We retrospectively reviewed every abstract delivered as a
podium presentation at the American Society for Surgery of the Hand annual
meeting from 2000 to 2010. We searched the PubMed database for matching
publications and compared authorship, country of origin, hypothesis, study design
and methodology, changes in study groups or populations, results, and
conclusions. RESULTS: Of 798 total abstracts, we analyzed 719 involving the hand,
wrist, and brachial plexus. Fifty-six different journals published 393 of the
abstracts, for a 49% publication rate. Mean time to publication was 18 months
with a median of 14 and maximum of 122 months. There were inconsistencies between
the results and/or conclusions in 14% of full-length articles compared with the
abstract presented at the meeting. A total of 9% of articles were published with
fewer subjects. Authorships changes were noted in 54% of publications.
CONCLUSIONS: Abstracts represent preliminary investigations and major and minor
changes occur before subsequent publication. Caution should be exercised in
referencing abstracts or altering clinical practice based on their content. TYPE
OF STUDY/LEVEL OF EVIDENCE: Economic/decision analysis IV.
PMID- 24934604
TI - Automated Patch Clamp Analysis of nAChalpha7 and Na(V)1.7 Channels.
AB - Automated patch clamp devices are now commonly used for studying ion channels. A
useful modification of this approach is the replacement of the glass pipet with a
thin planar glass layer with a small hole in the middle. Planar patch clamp
devices, such as the three described in this unit, are overtaking glass pipets in
popularity because they increase throughput, are easier to use, provide for the
acquisition of high-quality and information-rich data, and allow for rapid
perfusion and temperature control. Covered in this unit are two challenging
targets in drug discovery: voltage-gated sodium subtype 1.7 (Na(V)1.7) and
nicotinic acetylcholine alpha7 receptors (nAChalpha7R). Provided herein are
protocols for recording activation and inactivation kinetics of Na(V)1.7, and
activation and allosteric modulation of nAChalpha7R.
PMID- 24934605
TI - Electrophysiological Studies of Voltage-Gated Sodium Channels Using QPatch HT, an
Automated Patch-Clamp System.
AB - Voltage-gated sodium (Na(v)) channels are highly sensitive to membrane potential
and have fast gating kinetics. Patch clamp electrophysiology has long been the
gold standard for studying these channels. Combining high throughput with high
information content/accuracy, automated patch clamp technologies have emerged as
critical tools in ion channel drug discovery. Described in this unit is the use
of QPatch, one of the automated patch clamp systems, to study Na(v) channel
function and pharmacology.
PMID- 24934606
TI - Overview of genetically engineered mouse models of colorectal carcinoma to enable
translational biology and drug development.
AB - Preclinical models for colorectal cancer (CRC) are critical for translational
biology and drug development studies to characterize and treat this condition.
Mouse models of human cancer are particularly popular because of their relatively
low cost, short life span, and ease of use. Genetically engineered mouse models
(GEMMs) of CRC are engineered from germline or somatic modification of critical
tumor suppressor genes and/or oncogenes that drive mutations in human disease.
Detailed in this overview are the salient features of several useful colorectal
cancer GEMMs and their value as tools for translational biology and preclinical
drug development.
PMID- 24934608
TI - Times change: the NVMO journal going from Dutch to English and from paper to
electronics.
PMID- 24934612
TI - Rhodanine dye-based small molecule acceptors for organic photovoltaic cells.
AB - The solution-processable small molecules based on carbazole or fluorene
containing rhodanine dyes at both ends were synthesized and introduced as
acceptors in organic photovoltaic cells. The high energy levels of their lowest
unoccupied molecular orbitals resulted in a power conversion efficiency of 3.08%
and an open circuit voltage of up to 1.03 V.
PMID- 24934611
TI - How many radiographs are needed to detect angular stable head screw cut outs of
the proximal humerus - a cadaver study.
AB - INTRODUCTION: Cut out of locking head screws is the most common complication of
locking plates in fracture fixation of the proximal humerus with potentially
disastrous consequences. Aim of the study was to find the single best and
combination of radiographic projections to reliably detect screw cut outs.
MATERIALS AND METHODS: The locking plate was fixed to six cadaveric proximal
humeri. Six different radiographs were performed: anteriorposterior in internal
(apIR), in neutral (ap0) and in 30 degrees external rotation (apER); axial in 30
degrees (ax30) and 60 degrees (ax60) abduction and an outlet view. Each head
screw (n=9) was sequentially exchanged to perforate the humeral head with the tip
and all radiographs were repeated for each cut out. Randomized image reading by
two blinded examiners for cut out was done for single projection and
combinations. RESULTS: Interrater agreement was 0.72-0.93. Best single projection
was ax30 (sensitivity 76%) and the worst was the outlet view (sens. 17%).
Standard combination of apIR/outlet reached a sens. of 54%. The best combination
of two was: apER/ax30 (90% sens.), of three: apIR/apER/ax30 (96% sens.) and of
four: apIR/ap0/apER/ax30 (100% sens.). CONCLUSION: Standard radiographs
(ap/outlet), especially in internal rotation, may miss nearly half of screw cut
outs. Single best radiographic projection was an axial view with 30 degrees
abduction. To account for all cut outs and correct screw position a combination
of four projections was needed. These simple and feasible intraoperative and
postoperative radiographs help to detect screw perforations of the locking plate
reliably. LEVEL OF EVIDENCE: I (Study of Diagnostic Test).
PMID- 24934609
TI - Assessment of mitral regurgitation severity by Doppler color flow mapping of the
vena contracta in dogs.
AB - BACKGROUND: Quantitative and semiquantitative methods have been proposed for the
assessment of MR severity, and though all are associated with limitations.
Measurement of vena contracta width (VCW) has been used in clinical practice.
OBJECTIVE: To measure the VCW in dogs with different levels of MR severity.
ANIMALS: Two hundred and seventy-nine dogs were classified according to 5 levels
of MR severity. METHODS: This was a retrospective study. EROA and regurgitant
volume calculated by the PISA method, were measured and indexed to BSA.
Descriptive statistics were calculated for VCW and VCW index for all categories
of MR severity. Spearman's rank correlation coefficients (rhos ) were calculated
to compare the results of the different methods (VCW and VCW index vs RV PISA, RV
PISA index, EROA, EROA index), and between VCW and VCW index versus MR severity.
RESULTS: All Spearman's rank correlation coefficients were significant (P <
.001). The median values of VCW resulted of 2.9 mm (IQR 3.4-2.5) and of 4.6 mm
(IQR 5.4-4.1) in the groups previously classified as mild-to-moderate and
moderate-to-severe, respectively. The median values of VCW index resulted of 4.4
mm/m(2) (IQR = 5.5-4.2) in mild-to-moderate MR and of 10.8 mm/m(2) (IQR = 12.8
9.4) in moderate-to-severe MR. CONCLUSION AND CLINICAL IMPORTANCE: This is not a
validation study against any previously validated invasive gold standard, the VCW
method has proved easy to employ and it might be an additional tool in
quantifying disease severity that supports, rather than replace, data coming from
other techniques in daily clinical practice and research.
PMID- 24934610
TI - Persistence and discontinuation of adjuvant endocrine therapy in women with
breast cancer.
AB - AIMS: Although 8-73 % of breast cancer patients who receive adjuvant endocrine
therapy discontinue it, discontinuation is little studied in Asian breast cancer
patients. MATERIALS AND METHODS: To determine frequency and reasons for
discontinuation at a single institution, we reviewed records and database
information for women with hormone receptor-positive breast cancer who were
treated at the National Kyushu Cancer Center 2001-2006, defining "persistence" as
continued endocrine treatment (even when physicians decided to stop because of
recurrent disease or severe adverse effects), and "discontinuation" as ending
therapy due to patient's wishes. RESULTS: Among 686 patients who started adjuvant
endocrine therapy, 607 patients (88 %) persisted, 79 patients (12 %)
discontinued. Of the 79 patients who discontinued, 37 (46 %) did so because of
side effects, 26 (33 %) stopped appointments, 11 (14 %) stopped for "no
particular reason", 4 (5 %) to get pregnant, and 1 (1 %) for economic reasons.
The rate of persistence was higher in patients with lymph node involvement than
in those without lymph node involvement (92 vs. 87 %; P = 0.03). CONCLUSIONS:
Clinicians should discuss side effects with patients, both as part of informed
consent and to prepare them to continue therapy, and should be aware that over
time, patients' reasons for discontinuation change.
PMID- 24934613
TI - Hepatoprotective effect of silymarin on di(2-ethylhexyl)phthalate (DEHP) induced
injury in liver FL83B cells.
AB - Di(2-ethylhexyl)phthalate (DEHP), is a toxic environmental pollutant in our life
which can contaminate air, water, and soil. The hepatoprotective effect of
silymarin on DEHP-induced injury in FL83B mouse liver cells was investigated by
analyzing the cell viability, lactate dehydrogenase (LDH), alanine
aminotransferase (ALT), cell cycle arrest, and cell morphology. The results
revealed that cell viability decreased while released LDH and ALT increased with
the increase of DEHP concentrations. Moreover, cell population of sub-G1 and S
phase increased as the concentrations of DEHP increased. Silymarin at 25 MUM
achieved the highest hepatoprotective effect and exhibited 79% cell viability
while only 46% cell viability was found in DEHP injured control. It was also
found to reduce LDH release and cell populations of sub-G1 and S phase.
Therefore, silymarin could ameliorate DEHP-induced injury and have potential to
be further developed as a natural ingredient of health food against phthalate
plasticizers induced liver injury.
PMID- 24934618
TI - Laboratory to community: chemoprevention is the answer.
AB - In the current issue, Johnson and colleagues present exciting results, using
biomarkers involved in aflatoxin B1 (AFB1)-induced hepatocarcinogenesis, as an
example of a conceptual framework to target mechanisms of action in developing
chemopreventive agents. Their innovative approach offers considerable promise for
a field that has long been neglected. Proof-of-principle was demonstrated using a
synthetic triterpenoid (CDDO-Im), which activates Nrf2 signal transduction
pathway, inhibits formation of AFB1-induced DNA adducts and neoplastic hepatic
foci, and alters the expression of genes associated with aflatoxin-mediated
toxicity.
PMID- 24934617
TI - Childhood maltreatment, adult attachment and psychotic symptomatology: a study in
patients, siblings and controls.
AB - PURPOSE: The association between childhood maltreatment (ChM) and psychotic
disorders is well established. However, there is an ongoing debate about which
factors account for this relationship. One explanation is that the relationship
between ChM and psychosis is mediated by adult attachment style. Therefore, in
this study, we aimed to investigate whether adult attachment style mediates the
relationship between ChM and positive and negative symptomatology. METHODS: We
investigated the relation between ChM and psychotic symptoms, taking into account
levels of (insecure) attachment, in 131 patients with psychotic illness, 123
siblings and 72 controls. ChM was assessed with the Childhood Trauma
Questionnaire (CTQ). Attachment dimensions of anxiety and avoidance were measured
using the Psychosis Attachment Measure (PAM). RESULTS: In both patients and
siblings, ChM predicted positive symptoms and this relationship was partly
mediated by attachment style. This relationship was found to be stronger for
siblings than for patients. ChM predicted negative symptoms in patients and
siblings. In the patient sample, attachment style did not mediate the
relationship between ChM and negative symptoms, whereas attachment style was
found to be a mediator in the sibling sample. CONCLUSIONS: ChM was associated
with positive and negative symptomatology in both patients and siblings.
Particularly in siblings, the relationship between ChM and psychosis seems to be
mediated by adult attachment style. Perhaps attachment style may play a more
prominent role on a subclinical level.
PMID- 24934620
TI - How to undermine general practice.
PMID- 24934619
TI - Of mice, rats, and men: could Nrf2 activation protect against aflatoxin
heptocarcinogenesis in humans?
AB - In this issue, Johnson and colleagues provide a remarkable demonstration of the
potential for "chemoprevention" of cancer from mutagenic chemicals. The authors
demonstrated complete protection of rats from a carcinogenic treatment regimen
with the potent dietary mutagen and hepatocarcinogen, aflatoxin B1 (AFB) by
pretreatment with a synthetic oleanane triterpenoid, 1-[2-cyano-3-,12-dioxooleana
1,9(11)-dien-28-oyl]imidazole (CDDO-Im). This study is notable for two reasons:
(i) Activation of the Nrf2/Keap1/ARE "antioxidant response" pathway by CDDO-Im
conferred complete protection against AFB-induced hepatocellular carcinomas in
the Fisher F344 rat (a strain frequently used in life-time carcinogenicity
bioassays), and (ii) extensive AFB-DNA adduct formation was seen in all animals
at early time points, including those treated with CDDO-Im, albeit at lower
levels (~30% of the untreated animals), suggesting a strong divergence in the
association between early DNA-damaging events, and tumor formation later in life.
The authors suggest that this provides compelling experimental support for the
concept of carcinogenic "thresholds" for mutagenic chemicals, because the
treatment reduced persistent, mutagenic adducts (AFB-FAPyr adducts) only by 70%,
but nearly completely eliminated tumors after approximately 2 years and
preneoplastic lesions 6 weeks after the last dose of AFB.
PMID- 24934621
TI - Sulfate assimilation pathway intermediate phosphoadenosine 59-phosphosulfate
acts as a signal molecule affecting production of curli fibres in Escherichia
coli.
AB - The enterobacterium Escherichia coli can utilize a variety of molecules as sulfur
sources, including cysteine, sulfate, thiosulfate and organosulfonates. An
intermediate of the sulfate assimilation pathway, adenosine 59-phosphosulfate
(APS), also acts as a signal molecule regulating the utilization of different
sulfur sources. In this work, we show that inactivation of the cysH gene, leading
to accumulation of phosphoadenosine 59-phosphosulfate (PAPS), also an
intermediate of the sulfate assimilation pathway, results in increased surface
adhesion and cell aggregation by activating the expression of the curli-encoding
csgBAC operon. In contrast, curli production was unaffected by the inactivation
of any other gene belonging to the sulfate assimilation pathway. Overexpression
of the cysH gene downregulated csgBAC transcription, further suggesting a link
between intracellular PAPS levels and curli gene expression. In addition to curli
components, the Flu, OmpX and Slp proteins were also found in increased amounts
in the outer membrane compartment of the cysH mutant; deletion of the
corresponding genes suggested that these proteins also contribute to surface
adhesion and cell surface properties in this strain. Our results indicate that,
similar to APS, PAPS also acts as a signal molecule, albeit with a distinct
mechanism and role: whilst APS regulates organosulfonate utilization, PAPS would
couple availability of sulfur sources to remodulation of the cell surface, as
part of a more global effect on cell physiology.
PMID- 24934607
TI - Surgery and neurodevelopmental outcome of very low-birth-weight infants.
AB - IMPORTANCE: Reduced death and neurodevelopmental impairment among infants is a
goal of perinatal medicine. OBJECTIVE: To assess the association between surgery
during the initial hospitalization and death or neurodevelopmental impairment of
very low-birth-weight infants. DESIGN, SETTING, AND PARTICIPANTS: A retrospective
cohort analysis was conducted of patients enrolled in the National Institute of
Child Health and Human Development Neonatal Research Network Generic Database
from 1998 through 2009 and evaluated at 18 to 22 months' corrected age. Twenty
two academic neonatal intensive care units participated. Inclusion criteria were
birth weight 401 to 1500 g, survival to 12 hours, and availability for follow-up.
A total of 12 111 infants were included in analyses. EXPOSURES: Surgical
procedures; surgery also was classified by expected anesthesia type as major
(general anesthesia) or minor (nongeneral anesthesia). MAIN OUTCOMES AND
MEASURES: Multivariable logistic regression analyses planned a priori were
performed for the primary outcome of death or neurodevelopmental impairment and
for the secondary outcome of neurodevelopmental impairment among survivors.
Multivariable linear regression analyses were performed as planned for the
adjusted mean scores of the Mental Developmental Index and Psychomotor
Developmental Index of the Bayley Scales of Infant Development, Second Edition,
for patients born before 2006. RESULTS: A total of 2186 infants underwent major
surgery, 784 had minor surgery, and 9141 infants did not undergo surgery. The
risk-adjusted odds ratio of death or neurodevelopmental impairment for all
surgery patients compared with those who had no surgery was 1.29 (95% CI, 1.08
1.55). For patients who had major surgery compared with those who had no surgery,
the risk-adjusted odds ratio of death or neurodevelopmental impairment was 1.52
(95% CI, 1.24-1.87). Patients classified as having minor surgery had no increased
adjusted risk. Among survivors who had major surgery compared with those who had
no surgery, the adjusted risk of neurodevelopmental impairment was greater and
the adjusted mean Bayley scores were lower. CONCLUSIONS AND RELEVANCE: Major
surgery in very low-birth-weight infants is independently associated with a
greater than 50% increased risk of death or neurodevelopmental impairment and of
neurodevelopmental impairment at 18 to 22 months' corrected age. The role of
general anesthesia is implicated but remains unproven.
PMID- 24934622
TI - Arabidopsis ZDP DNA 3'-phosphatase and ARP endonuclease function in 8-oxoG repair
initiated by FPG and OGG1 DNA glycosylases.
AB - Oxidation of guanine in DNA generates 7,8-dihydro-8-oxoguanine (8-oxoG), an
ubiquitous lesion with mutagenic properties. 8-oxoG is primarily removed by DNA
glycosylases distributed in two families, typified by bacterial Fpg proteins and
eukaryotic Ogg1 proteins. Interestingly, plants possess both Fpg and Ogg1
homologs but their relative contributions to 8-oxoG repair remain uncertain. In
this work we used Arabidopsis cell-free extracts to monitor 8-oxoG repair in wild
type and mutant plants. We found that both FPG and OGG1 catalyze excision of 8
oxoG in Arabidopsis cell extracts by a DNA glycosylase/lyase mechanism, and
generate repair intermediates with blocked 3'-termini. An increase in oxidative
damage is detected in both nuclear and mitochondrial DNA from double fpg ogg1
mutants, but not in single mutants, which suggests that a single deficiency in
one of these DNA glycosylases may be compensated by the other. We also found that
the DNA 3'-phosphatase ZDP (zinc finger DNA 3'-phosphoesterase) and the
AP(apurinic/apyirmidinic) endonuclease ARP(apurinic endonuclease redox protein)
are required in the 8-oxoG repair pathway to process the 3'-blocking ends
generated by FPG and OGG1. Furthermore, deficiencies in ZDP and/or ARP decrease
germination ability after seed deteriorating conditions. Altogether, our results
suggest that Arabidopsis cells use both FPG and OGG1 to repair 8-oxoG in a
pathway that requires ZDP and ARP in downstream steps.
PMID- 24934623
TI - Variation in inpatient costs of hematopoietic cell transplantation among
transplant centers in the United States.
PMID- 24934624
TI - Nomadic beekeeper movements create the potential for widespread disease in the
honeybee industry.
AB - OBJECTIVE: To examine the nomadic movements of Australian beekeepers and
determine their potential to assist the spread of pests and diseases. METHODS: A
questionnaire was mailed to all beekeepers in Australia who maintained >100
hives, requesting information on the location of their home base, locations used
throughout the year and the crops that the bees fed on in each location. The
information was analysed using network analysis software and a geographic
information system. RESULTS: Nomadic Australian beekeepers formed a connected
network linking 288 locations from central Queensland to western Victoria. A
second, smaller network included 42 locations in south-eastern South Australia.
Almond orchards in Robinvale and Boundary Bend and lucerne seed production in
Keith were locations of major hive congregations driven by the opportunity to
provide paid pollination services. In the 3 months after completion of almond
pollination in August 2008, movement of hives occurred from Robinvale and
Boundary Bend to 49 locations, ranging from south-east Queensland to south-west
Victoria. DISCUSSION: The movements identified in this study highlight the
potential for rapid spread of disease or pests throughout the beekeeping industry
should an incursion occur.
PMID- 24934625
TI - Is severe OHSS associated with adverse pregnancy outcomes? Evidence from a case
control study.
AB - Ovarian hyperstimulation syndrome (OHSS) is a serious and potentially life
threatening complication of fertility treatment. This study evaluated pregnancy
outcomes of women hospitalized for severe OHSS. A case-control study was
performed of 125 women who were hospitalized due to severe OHSS compared with a
control group, consisting of 156 women matched by age and aetiology of
infertility, who conceived via IVF and did not develop OHSS. Among women with
singleton pregnancies, patients with severe OHSS delivered significantly earlier
(37.96 versus 39.11 weeks) and had smaller babies (2854 g versus 3142 g) compared
with the matched controls. Similarly, rates of preterm delivery (<34 weeks of
gestation: 8.9% versus 0%, P < 0.01; <37 weeks of gestation: 20.5% versus 5.1%, P
< 0.01) were significantly increased among patients in the study group. There
were no between-group differences in the rates of gestational diabetes,
gestational hypertension and intrauterine growth restriction. In contrast, twin
pregnancies following OHSS were not significantly different from matched control
twins, with regard to the rates of delivery <34 weeks and <37 weeks of gestation,
gestational diabetes, gestational hypertension and intrauterine growth
restriction. In conclusion, severe OHSS at early gestation is associated with
adverse pregnancy outcome only in singleton gestations.
PMID- 24934626
TI - On the cost and prevention of iatrogenic multiple pregnancies.
AB - Multiple pregnancies are an undesirable complication of IVF and of ovulation
induction and/or ovulation enhancement without IVF. Studies based on published
population data and data from the Centers for Disease Control and Prevention
indicate that savings from the mitigation of iatrogenic multiples would save
money in the billions (10(9)) of US dollars on a national basis. The aim of this
study was to determine whether, using real data from a major regional insurance
carrier for the interval 2005-2009 covering obstetric costs requiring
hospitalization and neonatal costs through the first year, it was possible to
show that the cost saved by eliminating iatrogenic multiple births would be
adequate to fund a protocol to minimize iatrogenic multiple births. The net
savings on an annual basis for the study group of 13,478 was about US$4.4
million. Applying the regional findings to national data suggests savings of
approximately US$6.3 billion if national iatrogenic multiples were eliminated.
These findings indicate that the health insurance industry should be able to
offer infertility coverage at a lower rate by requiring a treatment algorithm
designed to essentially eliminate iatrogenic multiple pregnancies. It is
concluded that efforts should be made to assure a singleton birth when treating
infertility.
PMID- 24934627
TI - Treatment of severe tetanus with intrathecal baclofen via implantable infusion
device: a case report.
AB - OBJECTIVE: Severe tetanus remains a serious issue in less developed countries,
leading to prolonged hospitalization due to prolonged neuromuscular contraction
of muscles. We present a case of severe tetanus in the United States that was
successfully managed with intrathecal baclofen. CASE REPORT: A 42-year-old male
without tetanus vaccination history presented to the emergency department with
intractable jaw pain and worsening diffuse muscle contractures due to severe
generalized tetanus requiring prolonged paralysis and ventilator support. After
14 days of continuous neuromuscular treatment with benzodiazepines, vecuronium,
propofol, and magnesium sulfate, a baclofen pump trial was performed 14 days post
admission as an alternative to prolonged neuromuscular blockade. After
demonstrable improvement in spasms and paroxysmal contractures due to intrathecal
baclofen (ITB), a baclofen pump was implanted on hospital day 17. The catheter
was threaded to T4 for maximal effect of intrathecal baclofen on the upper and
lower extremities at an initial rate of 100 MUg/day. ITB was titrated upward, the
vecuronium was slowly weaned, and the patient was weaned off a ventilator by day
14 of ITB treatment. At an ITB dose of 450 MUg/day, propofol was discontinued.
ITB was continued over the next four weeks and eventually weaned over the next
two weeks. The ITB pump was removed eight weeks after placement, and the patient
was successfully discharged to home. CONCLUSION: Due to prolonged muscle weakness
associated with long-term use of paralytic agents and sedation, early ITB trial
and pump placement should be considered as an alternative in the treatment of
severe tetanus to shorten length of stay and improve the functional outcome of
the patient.
PMID- 24934628
TI - Phase transitions in rare earth tellurides under pressure.
AB - Using first-principles calculations we have studied the valence and structural
transitions of the rare earth monotellurides RTe (R = Ce, Pr, Nd, Pm, Sm, Eu, Gd,
Tb, Dy, Ho, Er, Tm and Yb) under pressure. The self-interaction corrected local
spin-density approximation is used to establish the ground state valence
configuration as a function of volume for the RTe in both the NaCl (B1) and CsCl
(B2) structures. We find that in ambient conditions all the RTe are stabilized in
the B1 structure. A trivalent (R(3+)) rare earth ground state is predicted for
the majority of the RTe, with the exception of SmTe, EuTe, DyTe, TmTe and YbTe,
where the fully localized divalent (R(2+)) rare earth configuration is found to
be energetically most favourable. Under pressure, the trivalent RTe undergo
structural transitions to the B2 structure without associated valence transition.
The divalent RTe on the other hand are characterized by a competition between the
structural and electronic degrees of freedom, and it is the degree of f-electron
delocalization that determines the sequence of phase transitions. In EuTe and
YbTe, where respectively the half-filled and filled shells result in a very
stable divalent configuration, we find that it is the structural B1 -> B2
transition that occurs first, followed by the R(2+) -> R(3+) valence transition
at even higher pressures. In SmTe, DyTe and TmTe, the electronic transition
occurs prior to the structural transition. With the exception of YbTe, the
calculated transition pressures are found to be in good agreement with
experiment.
PMID- 24934629
TI - Variables affecting postoperative tissue perfusion monitoring in free flap breast
reconstruction.
AB - Postoperative flap monitoring is a key component for successful free tissue
transfer. Tissue oxygen saturation measurement (TOx) with near-infrared
spectrophotometry (NIRS) is a method used for this purpose. The aim of this study
was to identify external variables that can affect TOx. Patients who had breast
reconstruction with free flaps were monitored prospectively and intra-operative
details were recorded. Flap TOx was recorded with NIRS pre-extubation,
postextubation, and then every four hours for 36 hours. At each of these time
points, blood oxygen saturation (SO2), amount of supplemental oxygen, and blood
pressure were recorded. Thirty flaps were monitored. Initially, a significant
trend over time was detected such that for every increase of 24 hours, TOx
decreased on average by 2.1% (P = 0.025). However, when accounting for SO2
levels, this decrease was no longer significant (P = 0.19). An increase by 1% in
SO2 produced an increase in TOx reading of 0.36 (P = 0.007). The amount of
supplemental O2, systolic blood pressure, and diastolic blood pressure did not
have a significant impact on TOx (P > 0.05). The TOx values were highest in the
free TRAM flaps and were lower in decreasing order in the muscle-sparing TRAM,
DIEP, and SIEA flaps (P > 0.05). The TOx values did not significantly correlate
with vessel size, perforator number, or perforator row. Postoperative flap TOx
was found to correlate with SO2 and was not significantly dependent on blood
pressure, supplemental O2, or surgical variables. Careful interpretation of
oximetry values is essential in decision making during postoperative flap
monitoring.
PMID- 24934630
TI - New autoinjector technology for the delivery of subcutaneous methotrexate in the
treatment of rheumatoid arthritis.
AB - Methotrexate (MTX) is the cornerstone of treatment for rheumatoid arthritis (RA),
and is widely used both as first-line therapy and as an important component of
long-term therapy. Although subcutaneous MTX is typically delivered orally,
parenteral administration offers benefits with respect to tolerability and
systemic exposure, and may be an underutilized treatment option. The RA patient
population presents specific challenges for safe and accurate administration of
parenteral therapies, because of common symptoms of joint pain and limited manual
dexterity. These challenges may contribute to the low incidence of parenteral MTX
administration. A novel MTX autoinjector (MTXAI) was recently introduced, which
is designed to facilitate subcutaneous MTX self-administration among patients
with RA. Here we review the development and utility of the MTXAI in the treatment
of RA, and discuss how this technology may facilitate the use of subcutaneous
MTX.
PMID- 24934631
TI - Association between nocturnal blood pressure dipping and insulin resistance in
children affected by NAFLD.
AB - The aim of this study was to analyse the relationship between insulin-glucose
metabolism, nocturnal blood pressure dipping and nonalcoholic fatty liver disease
(NAFLD) in obese adolescents without diabetes. One hundred one consecutive
children, with biopsy-proven NAFLD, were included in this study. Blood samples
were drawn for the analyses of liver function tests, insulin-glucose metabolism
and lipid profile appraisal. An ambulatory blood pressure measurement (ABPM) was
performed. Seventy-six children (75.3 %) were systolic nondippers, and 23 of them
were diastolic nondippers (30.3 %). No differences were found in the
anthropometric parameters between the two groups. When compared to the systolic
dippers, the systolic nondippers had higher medians of mean nocturnal blood
pressure, glucose at 0, 60 and 120 min in the oral glucose tolerance test (OGTT),
OGTT insulin at all time points and insulin-resistance values. No correlation of
histopathological features with dipping/nondipping statuses was found.
CONCLUSIONS: We found an association between a nocturnal blood pressure fall and
measures of insulin levels, independent of obesity, or daytime blood pressure
levels, among the obese patients with NAFLD. Although no association between
nondipping profiles and NAFLD was observed in our study, further studies with a
longer term follow-up are needed, to better elucidate the complex link between
these particular entities.
PMID- 24934632
TI - Livin is associated with the invasive and oncogenic phenotypes of human
hepatocellular carcinoma cells.
AB - AIM: Livin, a member of the inhibitors of apoptosis proteins, is expressed in
variable cancers, and its expression is considered a poor prognostic marker. The
aims of this study were to observe the effect of Livin on the behaviors of
hepatocellular carcinoma (HCC) cells and to evaluate its expression in HCC
tissues and its relation to prognosis. METHODS: The biological effects of Livin
on tumor cell behavior were investigated using siRNA in HepG2 and Chang cells.
Migration, invasion and proliferation assays were performed. Flow cytometric
analyses and western blotting were used to evaluate the impact of Livin on
apoptosis and the cell cycle. In addition, western blotting and
immunohistochemistry were used to investigate Livin expression in HCC tissues.
RESULTS: Livin knockdown suppressed tumor cell migration, invasion and
proliferation in HCC cells, and increased the proportion of apoptotic cells as
compared with scrambled siRNA-transfected HCC cells. Furthermore, Livin knockdown
resulted in the activation of caspases and increased apoptosis. In addition,
Livin knockdown modulated cell cycle regulatory protein levels such as decrease
of cyclins and cyclin-dependent kinase (CDK) level, and increase of CDK inhibitor
(CDKI) level in HCC cells. The Livin protein level was significantly elevated in
HCC tissues as compared with normal hepatic tissues. However, Livin expression
was not found to be associated with clinicopathological parameters, which
included patient survival. CONCLUSION: These results suggest that Livin is
associated with invasive and oncogenic phenotypes of human HCC cells.
PMID- 24934634
TI - Characterisation and bioactivity of oosporein produced by endophytic fungus
Cochliobolus kusanoi isolated from Nerium oleander L.
AB - Bioactive compounds comprising secondary metabolites produced by endophytic fungi
have wide applications in pharmacology and agriculture. Isolation,
characterisation and evaluation of biological activities of secondary metabolites
were carried out from Cochliobolus kusanoi an endophytic fungus of Nerium
oleander L. The fungus was identified based on 18S rDNA sequence analysis. There
are no reports available on the compounds of C.kusanoi hence, antimicrobial
metabolite produced by this fungus was extracted and purified by fractionation
using hexane, diethyl ether, dichloromethane, ethyl acetate and methanol. Out of
all the solvent fractions, the methanol fraction exhibited better antimicrobial
activity which was further purified and characterised as oosporein. Oosporein
from C.kusanoi exhibited broad spectrum in vitro antimicrobial, antioxidant and
cytotoxic activities. The characterisation and antioxidant activity of oosporein
from C. kusanoi are reported for the first time.
PMID- 24934635
TI - No evidence for copy number and methylation variation in H19 and KCNQ10T1
imprinting control regions in children born small for gestational age.
AB - BACKGROUND: There is a substantial genetic component for birthweight variation,
and although there are known associations between fetal genotype and birthweight,
the role of common epigenetic variation in influencing the risk for small for
gestational age (SGA) is unknown. The two imprinting control regions (ICRs)
located on chromosome 11p15.5, involved in the overgrowth disorder Beckwith
Wiedemann syndrome (BWS) and the growth restriction disorder Silver-Russell
syndrome (SRS), are prime epigenetic candidates for regulating fetal growth. We
investigated whether common variation in copy number in the BWS/SRS 11p15 region
or altered methylation levels at IGF2/H19 ICR or KCNQ10T1 ICR was associated with
SGA. METHODS: We used a methylation-specific multiplex-ligation-dependent probe
amplification assay to analyse copy number variation in the 11p15 region and
methylation of IGF2/H19 and KCNQ10T1 ICRs in blood samples from 153 children
(including 80 SGA), as well as bisulfite pyrosequencing to measure methylation at
IGF2 differentially methylated region (DMR)0 and H19 DMR. RESULTS: No copy number
variants were detected in the analyzed cohort. Children born SGA had 2.7% lower
methylation at the IGF2 DMR0. No methylation differences were detected at the H19
or KCNQ10T1 DMRs. CONCLUSIONS: We confirm that a small hypomethylation of the
IGF2 DMR0 is detected in peripheral blood leucocytes of children born SGA at
term. Copy number variation within the 11p15 BWS/SRS region is not an important
cause of non-syndromic SGA at term.
PMID- 24934636
TI - Online GESS: prediction of miRNA-like off-target effects in large-scale RNAi
screen data by seed region analysis.
AB - BACKGROUND: RNA interference (RNAi) is an effective and important tool used to
study gene function. For large-scale screens, RNAi is used to systematically down
regulate genes of interest and analyze their roles in a biological process.
However, RNAi is associated with off-target effects (OTEs), including microRNA
(miRNA)-like OTEs. The contribution of reagent-specific OTEs to RNAi screen data
sets can be significant. In addition, the post-screen validation process is time
and labor intensive. Thus, the availability of robust approaches to identify
candidate off-targeted transcripts would be beneficial. RESULTS: Significant
efforts have been made to eliminate false positive results attributable to
sequence-specific OTEs associated with RNAi. These approaches have included
improved algorithms for RNAi reagent design, incorporation of chemical
modifications into siRNAs, and the use of various bioinformatics strategies to
identify possible OTEs in screen results. Genome-wide Enrichment of Seed Sequence
matches (GESS) was developed to identify potential off-targeted transcripts in
large-scale screen data by seed-region analysis. Here, we introduce a user
friendly web application that provides researchers a relatively quick and easy
way to perform GESS analysis on data from human or mouse cell-based screens using
short interfering RNAs (siRNAs) or short hairpin RNAs (shRNAs), as well as for
Drosophila screens using shRNAs. Online GESS relies on up-to-date transcript
sequence annotations for human and mouse genes extracted from NCBI Reference
Sequence (RefSeq) and Drosophila genes from FlyBase. The tool also accommodates
analysis with user-provided reference sequence files. CONCLUSION: Online GESS
provides a straightforward user interface for genome-wide seed region analysis
for human, mouse and Drosophila RNAi screen data. With the tool, users can either
use a built-in database or provide a database of transcripts for analysis. This
makes it possible to analyze RNAi data from any organism for which the user can
provide transcript sequences.
PMID- 24934638
TI - Strategies for fertility preservation in young early breast cancer patients.
AB - Diagnosis of breast cancer in young women poses a threat to fertility. Due to a
recent trend of delaying pregnancy, an increasing number of breast cancer
patients in reproductive age wish to bear children. Health care providers have
the responsibility to know how to manage fertility issues in cancer survivors.
Oncofertility counseling is of great importance to many young women diagnosed
with cancer and should be managed in a multi-disciplinary background. Most of
young breast cancer patients are candidate to receive chemotherapy, which could
lead to premature ovarian failure. A baseline evaluation of ovarian reserve may
help in considering the different fertility preservation options. The choice of
the suitable strategy depends also on age, type of chemotherapy, partner status
and patients' motivation. Various options are available, some established such as
embryo and oocyte cryopreservation, some still experimental such as ovarian
tissue cryopreservation and ovarian suppression with GnRHa during chemotherapy.
An early referral to a reproductive specialist should be offered to patients at
risk of infertility who are interested in fertility preservation.
PMID- 24934637
TI - Long term survival of HER2-positive early breast cancer treated with trastuzumab
based adjuvant regimen: a large cohort study from clinical practice.
AB - Trastuzumab-based regimens for the adjuvant treatment of HER2-positive early
breast cancer significantly prolonged overall survival (OS) and disease free
survival (DFS) in large randomized trials, with sustained benefits at four-year
follow-up. We assessed long-term survival estimates and predictors in a large
cohort of Italian women with early breast cancer treated with trastuzumab in
clinical practice. Through a record linkage between five regional healthcare
databases, we identified women treated with trastuzumab for early breast cancer
in Lombardy (2006-2009). DFS and OS were estimated using the Kaplan-Meier method,
and independent predictors were assessed using proportional hazard models. 2046
women received trastuzumab in early breast cancer adjuvant setting. Overall, the
proportion of patients surviving free of disease was 93.9% at one year, 85.8% at
2 years, 79.4% at 3 years, and 75.0% at 4 years. OS estimates were 98.7%, 95.4%,
91.5% and 89.4% at 1, 2, 3 and 4 years, respectively. Significant independent
predictors of worse survival outcomes were age <40 or >=70 years compared to age
40-69 years, positive nodal status, radical breast surgery, combination therapy
with paclitaxel, having at least one comorbidity (i.e. diabetes, cardiovascular
disease), and a trastuzumab-based regimen lasting less than six months. Long term
survival rates of women treated with trastuzumab for early breast cancer in
clinical practice were consistent with estimates from clinical trials testing the
drug in the adjuvant setting.
PMID- 24934640
TI - Center-defined unacceptable HLA antigens facilitate transplants for sensitized
patients in a multi-center kidney exchange program.
AB - Multi-center kidney paired donation (KPD) is an exciting new transplant option
that has not yet approached its full potential. One barrier to progress is
accurate virtual crossmatching for KPD waitlists with many highly sensitized
patients. Virtual crossmatch results from a large multi-center consortium, the
National Kidney Registry (NKR), were analyzed to determine the effectiveness of
flexible center-specific criteria for virtual crossmatching. Approximately two
thirds of the patients on the NKR waitlist are highly sensitized (>80% CPRA).
These patients have antibodies against HLA-A (63%), HLA-B (66%), HLA-C (41%), HLA
DRB1 (60%), HLA-DRB3/4/5 (18-22%), HLA-DQB1 (54%) and HLA-DPB1 (26%). With donors
typed for these loci before activation, 91% of virtual crossmatches accurately
predicted an acceptable cell-based donor crossmatch. Failed virtual crossmatches
were attributed to equivocal virtual crossmatches (46%), changes in HLA
antibodies (21%), antibodies against HLA-DQA (6%), transcription errors (6%),
suspected non-HLA antibodies (5%), allele-specific antibodies (1%) and unknown
causes (15%). Some failed crossmatches could be prevented by modifiable factors
such as more frequent assessment of HLA antibodies, DQA1 typing of donors and
auditing data entry. Importantly, when transplant centers have flexibility to
define crossmatch criteria, it is currently feasible to use virtual crossmatching
for highly sensitized patients to reliably predict acceptable cell-based
crossmatches.
PMID- 24934639
TI - Comparison of Profiles of Perioperative Serum C-Reactive Protein Levels in
Neonates Undergoing the Norwood Procedure or Arterial Switch Operation.
AB - OBJECTIVES: Serum C-reactive protein (CRP) is a marker of systemic inflammatory
response induced by cardiopulmonary bypass (CPB). Neonates undergoing the Norwood
procedure (NP) have a poorer systemic oxygen transport status than those after
other CPB surgeries. We compared the perioperative CRP in neonates undergoing NP
or arterial switch operation (ASO). METHODS: Data obtained prior to and within
postoperative day (POD) 15 from 64 neonates in NP group and 47 in ASO group.
Plasma CRP, white blood cells, doses of inotropes and steroid, cultures of blood
and body fluids were recorded simultaneously. Demographic data included the
durations of CPB, aortic cross clamp (ACC) and circulatory arrest, intensive care
unit and hospital stay, and death. RESULTS: NP group had a shorter CPB and ACC
but a longer circulatory arrest than ASO group. CRP was higher preoperatively in
NP group than ASO group (21 +/- 24 vs.13 +/- 26 mg/L, P = 0.01). CRP increased to
80 +/- 48 mg/L after NP and 73 +/- 36 mg/L after ASO on POD1-2, and then
gradually decreased to 51 +/- 35 mg/L in NP group and to 43 +/- 46 mg/L in ASO
group by POD-15. Throughout the postoperative period, CRP, as well as lactate,
was significantly higher in NP group than ASO group (P = 0.04 and 0.003,
respectively). CRP correlated positively with neutrophil count and negatively
with lymphocyte count, CPB duration, and doses of hydrocortisone. CONCLUSIONS:
Neonates undergoing NP have a higher level of serum CRP, reflecting a greater
systemic inflammatory response before and after CPB than those undergoing ASO,
despite of shorter CPB and ACC. The higher level of serum CRP was associated with
a significantly higher level of arterial lactate in the NP group than in the ASO
group. This may suggest an important role of systemic oxygen transport in
systemic inflammatory response in addition to CPB in neonates with congenital
heart defects.
PMID- 24934641
TI - Assessment of motor function of the remnant stomach by 13C breath test with
special reference to gastric local resection.
AB - BACKGROUND: Indications for gastric local resection (LR) include gastrointestinal
stromal tumors, neuroendocrine tumors, and early gastric cancer. LR is expected
to preserve physiological function and ameliorate postgastrectomy syndrome.
METHODS: Gastric emptying was assessed by the (13)C-acetate breath test in 20
healthy volunteers (HVs) and 60 gastrectomized patients [distal gastrectomy with
Billroth I reconstruction (DGBI) in 26 patients, LR in 34 patients]. For the
(13)C breath test, 100 mg of (13)C-acetate sodium salt was mixed in a test meal.
Breath samples were collected before intake and during the next 3 h. We compared
the gastric reservoir capacity using the gastric retention rate at 5 min (RR5)
and gastric emptying by the half emptying time (T1/2). Patients completed a
questionnaire survey about their symptoms, dietary intake, body weight, and
restriction of activities of daily living [reflecting quality of life (QOL)].
RESULTS: The RR5 values for the HV, LR, and DGBI groups were 93.7, 90.0, and
45.3* %, respectively (*compared to HV and LR, p < 0.0001). The T1/2 values were
23.3, 20.2, and 5.9* min, respectively. Dietary intake and body weight change
were significantly more reduced in the DGBI group than the LR group (p < 0.05).
Subgroup analysis indicated that the reservoir capacity in those with LR at the
lesser curvature was more disturbed than that in patients with LR at the greater
curvature. The questionnaire showed no differences in those patients' QOL.
CONCLUSIONS: Because the reservoir capacity, the gastric emptying and QOL were
maintained, LR is an option for selected patients with early gastric cancer.
PMID- 24934642
TI - A six-step protocol to systematic process evaluation of multicomponent cluster
randomised health promoting interventions illustrated by the Boost study.
AB - BACKGROUND: In multicomponent interventions it is important to examine the
implementation of each component to enable valid assessments of the effectiveness
of each component. Many studies do not systematically document, evaluate and
report the level of implementation and there is a lack of systematic approaches
to conduct process evaluation studies to guide researchers and evaluators. The
aim of this study was to present a systematic approach to plan process evaluation
of the implementation of randomised multicomponent interventions. METHODS:
Building on existing process evaluation frameworks and concepts, we developed a
six-step protocol: 1. Brainstorm of processes necessary for full implementation
and potential barriers and facilitators to implementation; 2. Application of
process evaluation concepts to ensure inclusion of important implementation
processes; 3. Measurement of proximal outcomes; 4. Identification of relevant
data sources; 5. Selection of methods and timing of data collection of process
measures; 6. Development of instruments. The protocol was applied to the Boost
study, a multicomponent school-based dietary intervention. RESULTS AND
CONCLUSIONS: The protocol was readily applicable for planning process evaluation
of environmental and educational intervention components in a school setting. The
protocol ensures systematic assessment of the implementation processes that are
crucial for interpretation of intervention effects. TRIAL REGISTRATION: Current
Controlled Trials ISRCTN11666034.
PMID- 24934643
TI - Spectrum of the mutations in Bernard-Soulier syndrome.
AB - Bernard-Soulier syndrome (BSS) is a rare autosomal recessive bleeding disorder
characterized by defects of the GPIb-IX-V complex, a platelet receptor for von
Willebrand factor (VWF). Most of the mutations identified in the genes encoding
for the GP1BA (GPIbalpha), GP1BB (GPIbbeta), and GP9 (GPIX) subunits prevent
expression of the complex at the platelet membrane or more rarely its interaction
with VWF. As a consequence, platelets are unable to adhere to the vascular
subendothelium and agglutinate in response to ristocetin. In order to collect
information on BSS patients, we established an International Consortium for the
study of BSS, allowing us to enrol and genotype 132 families (56 previously
unreported). With 79 additional families for which molecular data were gleaned
from the literature, the 211 families characterized so far have mutations in the
GP1BA (28%), GP1BB (28%), or GP9 (44%) genes. There is a wide spectrum of
mutations with 112 different variants, including 22 novel alterations. Consistent
with the rarity of the disease, 85% of the probands carry homozygous mutations
with evidence of founder effects in some geographical areas. This overview
provides the first global picture of the molecular basis of BSS and will lead to
improve patient diagnosis and management.
PMID- 24934644
TI - Mechanistic insights into response of Staphylococcus aureus to bioelectric effect
on polypyrrole/chitosan film.
AB - Treatment of biofilm-related infections in orthopedics remains a serious clinical
challenge. It is known that an electric current can significantly enhance the
potency of some antibiotics against biofilms (bioelectric effect) but the
uncertainty of the mechanisms and the electrolytic cell-like system used in
previous studies limit its applications. Herein, the behavior of Staphylococcus
aureus (S. aureus) on an electrically conductive polypyrrole/chitosan film upon
passage of a direct current (DC) through the film was investigated in the absence
and presence of gentamicin. The killing efficacy of the bacteria within the
biofilm by gentamicin was greatly enhanced by the DC treatment. From an analysis
of the gene expression by the biofilm bacteria after treatment with gentamicin,
DC and their combination, it is postulated that the promotion of bacterial
autolysis by DC treatment is responsible for the enhanced susceptibility of
biofilm S. aureus to gentamicin. This postulate is supported by an increase in
the amount of extracellular deoxyribonucleic acid and adenosine triphosphate, and
the appearance of disrupted bacterial cells in the biofilm after DC treatment.
These findings provide a new insight into the interaction between DC and
bacteria, and offer potential benefits for the treatment of infections in
orthopedics.
PMID- 24934645
TI - Doxorubicin loaded singlet-oxygen producible polymeric micelle based on chlorine
e6 conjugated pluronic F127 for overcoming drug resistance in cancer.
AB - Drug resistance remains one of the primary obstacles to the success of cancer
chemotherapy. In this work, we demonstrate a singlet-oxygen producible polymeric
(SOPP) micelle based on photosensitizer (PS, chlorin e6 (Ce6)) conjugated
amphiphilic copolymer (pluronic F127((r)), PF127) for overcoming drug resistance
in cancer by applying photochemical internalization (PCI). The doxorubicin (DOX)
loaded SOPP micelles were self-assembled from Ce6-PF127 conjugates, which have a
spherical shape with a uniform size of ~30 nm. Compared with free Ce6, enhanced
singlet-oxygen generation efficiency in the DOX-loaded SOPP micelles have been
demonstrated in aqueous environments due to their increased water-dispersibility.
Under low dose of laser power and anti-cancer drug (DOX) conditions, in vitro and
in vivo studies on drug-resistant cancer cells demonstrated that singlet-oxygen
mediated cellular membrane damage (caused by lipid peroxidation) significantly
increased the cellular uptake of drug (DOX), which led to overcoming the drug
resistance in cancer cells without undesirable side effects. We believe this
approach could represent a promising platform for drug-resistant cancer
treatment.
PMID- 24934646
TI - Effectiveness of lifestyle change plus dental care (LCDC) program on improving
glycemic and periodontal status in the elderly with type 2 diabetes.
AB - BACKGROUND: Currently, there is an increased prevalence of diabetes mellitus
among the elderly. To minimize adverse effects on glycemic control, prevention
and management of general and oral complications in diabetic patients is
essential. The purpose of the present study is to assess the effectiveness of a
Lifestyle Change plus Dental Care (LCDC) program to improve glycemic and
periodontal status in the elderly with type 2 diabetes. METHODS: A quasi
experimental study was conducted in Health Centers 54 (intervention) and 59
(control) from October 2013 to January 2014. 66 diabetic patients per health
center were included. At baseline, the intervention group attended a 20 minute
lifestyle and oral health education program, individual lifestyle counseling
using motivational interviewing (MI), application of self regulation manual, and
individual oral hygiene instruction. The intervention group received booster
education every visit by viewing a 15 minute educational video. The control group
received a routine program. Participants were assessed at baseline and 3 month
follow up for glycosylated hemoglobin (HbA1c), fasting plasma glucose (FPG), body
mass index (BMI), periodontal status, knowledge, attitude and practice of oral
health and diabetes mellitus. Data were analyzed by using descriptive statistic,
Chi-square test, Fisher's exact test, t-test, and multiple linear regression.
RESULTS: After the 3 month follow up, a multiple linear regression analysis
showed that the intervention group was significantly negatively correlated in
both glycemic and periodontal status. Participants in the intervention group had
significantly lower glycosylated hemoglobin (HbA1c), fasting plasma glucose
(FPG), plaque index score, gingival index score, pocket depth, clinical
attachment level (CAL), and percentage of bleeding on probing (BOP) when compared
to the control group. CONCLUSIONS: The combination of lifestyle change and dental
care in one program improved both glycemic and periodontal status in the elderly
with type 2 diabetes. TRIAL REGISTRATION: ClinicalTrials.in.th: TCTR20140602001.
PMID- 24934647
TI - Cellular signaling in the aging immune system.
AB - Causes for immunosenescence and inflamm-aging have to be established. Efficient
function of the immune system requires homeostatic regulation from receptor
recognition of antigenic challenge to cell responses and adaptation to its
changing environment. It is reasonable to assume that one of the most important
molecular causes of immunosenescence is alteration in the regulation of signaling
pathways. Indeed, alterations in feed-forward and negative feedback (inhibitory)
signaling have been highlighted in all cells involved in the immune response
including short-lived (neutrophils) and long-lived (T lymphocytes) cells. These
dysregulations tip the balance in favor of altered (less efficient) function of
the immune system. In this review, we summarize our knowledge on signal
transduction changes in the aging immune system and propose a unifying mechanism
as one of the causes of immunosenescence. Modulation of these pathways with aging
represents a major challenge to restore the immune response to functional levels.
PMID- 24934649
TI - Etiology of fatal community-acquired pneumonia in children.
PMID- 24934650
TI - A long-term static immersion experiment on the leaching behavior of heavy metals
from waste printed circuit boards.
AB - Printed circuit boards (PCBs) are the main components of electrical and
electronic equipment (EEE). Waste PCBs contain several kinds of heavy metals,
including Cu, Pb and Zn. We characterize the leaching of heavy metals (Cu, Pb, Zn
and Ni) from waste PCBs in a pH range of 3.0 to 5.6 using a novel approach based
on batch pH-static leaching experiments in this work. The results indicate that
the leaching behavior of Cu, Pb, Zn and Ni is strongly dependent on pH. Leaching
behavior also varies with different pH values and leaching times. The maximum
concentrations of Cu, Pb, Zn and Ni in leachate from waste PCBs were 335.00,
17.57, 2.40 and 2.33 mg L(-1), respectively. The highest Pb, Ni, and Cu
concentrations leached significantly exceeded the European Union waste-acceptance
limit values with respect to inert waste landfills. The leaching of metals
follows the shrinking core model with surface reaction control.
PMID- 24934648
TI - B cell function and influenza vaccine responses in healthy aging and disease.
AB - Influenza vaccination is less effective in elderly as compared to young
individuals. Several studies have addressed the identification of immune
biomarkers able to monitor or predict a protective humoral immune response to the
vaccine. In this review, we summarize these data, with emphasis on the effects of
aging on influenza vaccine-specific B cell responses in healthy individuals and
patients with Type-2 Diabetes, HIV and cardiovascular diseases.
PMID- 24934651
TI - Sexual risk behavior, alcohol use, and social media use among secondary school
students in informal settlements in Cape Town and Port Elizabeth, South Africa.
AB - South Africa's HIV prevalence among young people remains among the highest in the
world. A cross-sectional study was carried out in 2012 to estimate prevalences of
sexual risk behavior and hazardous alcohol use (HAU) (via the Alcohol Use
Disorder Identification Test) as well as to investigate potential associations
between these outcomes and social media use. In all, 4485 students (mean age
15.66 years, SD 1.39) at 46 secondary schools in informal settlements in Cape
Town and Port Elizabeth completed mobile-phone-assisted, self-administered
baseline questionnaires within a cluster-randomized trial. In all, 312 females
(12.5 %) and 468 males (23.5 %) screened positive for HAU (AOR = 1.98, 95 % CI
1.69-2.34). 730 males (39.9 %) and 268 females (11.8 %) reported having had two
or more partners in the last year (AOR = 3.46, 95 % CI 2.87-4.16). Among females,
having a Facebook account was associated with reported multiple partnerships in
the last year (AOR = 1.81, 95 % CI 1.19-2.74), age-disparate sex in the last year
(AOR = 1.96, 95 % CI 1.16-3.32) and HAU (AOR = 1.97, 95 % CI 1.41-2.74). Using
Mxit-a popular mobile instant messaging application-was associated with higher
odds of reported multiple partnerships in the last year among both males (AOR =
1.70, 95 % CI 1.35-2.14) and females (AOR = 1.45, 95 % CI 1.07-1.96) and with HAU
among both males (AOR = 1.47, 95 % CI 1.14-1.90) and females (AOR = 1.50, 95 % CI
1.18-1.90). Further longitudinal and qualitative research should explore in more
depth the observed links between social media and risk behavior.
PMID- 24934652
TI - Experiences of violence and association with decreased drug abstinence among
women in Cape Town, South Africa.
AB - Drug abuse is a contributing factor in women's HIV risk in low-income communities
in Cape Town, South Africa. This study assessed whether experiencing violence is
associated with reduced drug abstinence among adult women (n = 603) participating
in a randomized field trial for an HIV prevention study in Cape Town. In relation
to drug abstinence at 12-month follow-up, multivariable regression models were
used to assess (1) baseline partner and non-partner victimization, and (2)
victimization at 12-month follow-up among participants reporting baseline
victimization. Baseline partner (AOR = 0.6; 95 % CI 0.4-0.9) and non-partner
victimization (AOR = 0.6; 95 % CI 0.4-0.9) were associated with a reduced
likelihood of drug abstinence at follow-up. Among participants who reported
victimization at baseline, those no longer reporting victimization at follow-up
did not differ significantly in drug abstinence compared with those who reported
victimization at follow-up. The study findings highlight the lasting impact of
victimization on women's drug use outcomes, persisting regardless of whether
violence was no longer reported at follow-up. Overall, the findings support the
need for the primary prevention of violence to address the cycle of violence,
drug use, and HIV among women in this setting.
PMID- 24934653
TI - A putative soybean GmsSOS1 confers enhanced salt tolerance to transgenic
Arabidopsis sos1-1 mutant.
AB - The cDNA of GmsSOS1, a putative plasma membrane Na(+)/H(+) antiporter gene
isolated from Glycine max, Glycine soja, and their hybrid, was constructed into
plant expression vector pCAMBIA 1300 and then transformed with Agrobacterium
tumefaciens under the control of CaMV 35S promoter to Arabidopsis thaliana wild
type (WT) and mutant (atsos1-1) plants. By hygromycin resistance detection and
PCR analysis, transgenic plants (WT35S:GmsSOS1 and atsos1-1 35S:GmsSOS1) were
obtained. Seed germination, seedling growth, and Na(+) contents in roots and
shoots were analytically compared among WT, atsos1-1 mutant, and their transgenic
lines under salt stress. The results showed that when GmsSOS1 was integrated into
the genome of A. thaliana, the inhibitions of salt stress on seed germination and
seedling growth were all significantly improved, and enhanced salt tolerance was
displayed, which may be attributed to the decrease of Na(+) absorption in roots
and transportation in shoots of the transgenic lines, especially for that of
atsos1-1 mutant.
PMID- 24934654
TI - Identification of inhibitors of Plasmodium falciparum RuvB1 helicase using
biochemical assays.
AB - Human malaria is a major parasitic infection, and the situation has worsened
mainly due to the emergence of resistant malaria parasites to several anti
malarial drugs. Thus, an urgent need to find suitable drug targets has led to the
development of newer classes of anti-malarial drugs. Helicases have been targeted
to develop therapeutics for viral, bacterial, and other microorganism infections.
Recently, Plasmodium falciparum RuvB ATPases/helicases have been characterized
and proposed as a suitable antimalarial drug target. In the present study, the
screening of various compounds was done and the results suggest that PfRuvB1
ATPase activity is inhibited considerably by the novobiocin and partially by
cisplatin and ciprofloxacin. Helicase assay of PfRuvB1 in the presence of various
compounds suggest novobiocin, actinomycin, and ethidium bromide as potent
inhibitors. Novobiocin inhibits the helicase activity of PfRuvB1 possibly by
blocking the ATPase activity of PfRuvB1. This study is unique in respect to the
identification of novobiocin as inhibitor of PfRuvB1, partially by competing with
ATP binding at its active site and provides evidence for PfRuvB1 as target of
novobiocin after DNA gyrase-B and HSP90. These studies will certainly help the
pharmacologist to design and develop some novel inhibitor specific to PfRuvB1,
which may serve as suitable chemotherapeutics to target malaria.
PMID- 24934656
TI - New perspectives in the management of basal cell carcinoma.
PMID- 24934657
TI - Access to institutional delivery care and reasons for home delivery in three
districts of Tanzania.
AB - INTRODUCTION: Globally, health facility delivery is encouraged as a single most
important strategy in preventing maternal and neonatal morbidity and mortality.
However, access to facility-based delivery care remains low in many less
developed countries. This study assesses facilitators and barriers to
institutional delivery in three districts of Tanzania. METHODS: Data come from a
cross-sectional survey of random households on health behaviours and service
utilization patterns among women and children aged less than 5 years. The survey
was conducted in 2011 in Rufiji, Kilombero, and Ulanga districts of Tanzania,
using a closed-ended questionnaire. This analysis focuses on 915 women of
reproductive age who had given birth in the two years prior to the survey. Chi
square test was used to test for associations in the bivariate analysis and
multivariate logistic regression was used to examine factors that influence
institutional delivery. RESULTS: Overall, 74.5% of the 915 women delivered at
health facilities in the two years prior to the survey. Multivariate analysis
showed that the better the quality of antenatal care (ANC) the higher the odds of
institutional delivery. Similarly, better socioeconomic status was associated
with an increase in the odds of institutional delivery. Women of Sukuma ethnic
background were less likely to deliver at health facilities than others. Presence
of couple discussion on family planning matters was associated with higher odds
of institutional delivery. CONCLUSION: Institutional delivery in Rufiji,
Kilombero, and Ulanga district of Tanzania is relatively high and significantly
dependent on the quality of ANC, better socioeconomic status as well as between
partner communication about family planning. Therefore, improving the quality of
ANC, socioeconomic empowerment as well as promoting and supporting inter-spousal
discussion on family planning matters is likely to enhance institutional
delivery. Programs should also target women from the Sukuma ethnic group towards
universal access to institutional delivery care in the study area.
PMID- 24934658
TI - Challenging the gold standard for 3D-QSAR: template CoMFA versus X-ray alignment.
AB - X-ray-based alignments of bioactive compounds are commonly used to correlate
structural changes with changes in potencies, ultimately leading to three
dimensional quantitative structure-activity relationships such as CoMFA or CoMSIA
models that can provide further guidance for the design of new compounds. We have
analyzed data sets where the alignment of the compounds is entirely based on
experimentally derived ligand poses from X-ray-crystallography. We developed
CoMFA and CoMSIA models from these X-ray-determined receptor-bound conformations
and compared the results with models generated from ligand-centric Template
CoMFA, finding that the fluctuations in the positions and conformations of
compounds dominate X-ray-based alignments can yield poorer predictions than those
from the self-consistent template CoMFA alignments. Also, when there exist
multiple different binding modes, structural interpretation in terms of binding
site constraints can often be simpler with template-based alignments than with X
ray-based alignments.
PMID- 24934659
TI - Cymbopogon martinii essential oil and geraniol at noncytotoxic concentrations
exerted immunomodulatory/anti-inflammatory effects in human monocytes.
AB - OBJECTIVES: In traditional medicine, plants have formed the basis of
sophisticated systems that have been in existence for thousands of years and
still provide mankind with new remedies. Cymbopogon martinii, known as palmarosa,
has been used in aromatherapy as a skin tonic due to its antimicrobial
properties. It has also used in Ayurvedic medicine for skin problems and to
relieve nerve pain. The immunomodulatory action of C. martinii essential oil (EO)
and geraniol was evaluated regarding the production of pro- and anti-inflammatory
cytokines (tumour necrosis factor (TNF)-alpha and IL-10, respectively) by human
monocytes in vitro. METHODS: Monocyte cultures were incubated with EO or
geraniol. After 18 h, cytotoxicity assays were performed using 3-(4,5-dimethyl
thiazol-2-yl)-2,5-diphenyltetrazolium bromide method, and cytokine production was
determined by ELISA. KEY FINDINGS: The variables showed no cytotoxic effects on
monocytes. TNF-alpha production was not affected by C. martinii and geraniol, and
only the concentration of 5 MUg/ml of C. martinii stimulated its production. On
the other hand, all concentrations of C. martinii and geraniol increased IL-10
production by human monocytes. CONCLUSIONS: Data showed that noncytotoxic
concentrations of EO and geraniol exerted an anti-inflammatory action by
increasing IL-10 production; moreover, geraniol seemed to be probably responsible
for EO immunomodulatory activity in our assay condition.
PMID- 24934660
TI - A novel model for Ki67 assessment in breast cancer.
AB - BACKGROUND: Ki67 is currently the proliferation biomarker of choice, with both
prognostic and predictive value in breast cancer. A lack of consensus regarding
Ki67 use in pre-analytical, analytical and post-analytical practice may hinder
its formal acceptance in the clinical setting. METHODS: One hundred breast cancer
samples were stained for Ki67. A standard estimation of Ki67 using fixed
denominators of 200, 400 and 1 000 counted tumor cells was performed, and a cut
off at 20% was applied, Ki67static. A novel stepwise counting strategy for Ki67
estimation, Ki67scs, was developed based on rejection regions derived from exact
two-sided binomial confidence intervals for proportions. Ki67scs was defined by
the following parameters: the cut-off (20%), minimum (50) and maximum (400)
number of tumor cells to count, increment (10) and overall significance level of
the test procedure (0.05). Results from Ki67scs were compared to results from the
Ki67static estimation with fixed denominators. RESULTS: For Ki67scs, the median
number of tumor cells needed to determine Ki67 status was 100; the average, 175.
Among 38 highly proliferative samples, the average Ki67scs fraction was 45%. For
these samples, the fraction decreased from 39% to 37% to 35% with static counting
of 200, 400 and 1 000 cells, respectively. The largest absolute difference
between the estimation methods was 23% (42% (Ki67scs) vs. 19% (Ki67static)) and
resulted in an altered sample classification. Among the 82 unequivocal samples,
74 samples received the same classification using both Ki67scs and Ki67static. Of
the eight disparate samples, seven were classified highly proliferative by
Ki67static when 200 cells were counted; whereas all eight cases were classified
as low proliferative when 1 000 cells were counted. CONCLUSIONS: Ki67 estimation
using fixed denominators may be inadequate, particularly for tumors demonstrating
extensive heterogeneity. We propose a time saving stepwise counting strategy,
which acknowledges small highly proliferative hot spots. VIRTUAL SLIDES: The
virtual slide(s) for this article can be found here:
http://www.diagnosticpathology.diagnomx.eu/vs/3588156111195336.
PMID- 24934661
TI - A novel high-speed imaging technique to predict the macroscopic spray
characteristics of solution based pressurised metered dose inhalers.
AB - PURPOSE: Non-volatile agents such as glycerol are being introduced into solution
based pMDI formulations in order to control mean precipitant droplet size. To
assess their biopharmaceutical efficacy, both microscopic and macroscopic
characteristics of the plume must be known, including the effects of external
factors such as the flow generated by the patient's inhalation. We test the
hypothesis that the macroscopic properties (e.g. spray geometry) of a pMDI spray
can be predicted using a self-similarity model, avoiding the need for repeated
testing. METHODS: Glycerol-containing and glycerol-free pMDI formulations with
matched mass median aerodynamic diameters are investigated. High-speed schlieren
imaging is used to extract time-resolved velocity, penetration and spreading
angle measurements of the pMDI spray plume. The experimental data are used to
validate the analytical model. RESULTS: The pMDI spray develops in a manner
characteristic of a fully-developed steady turbulent jet, supporting the
hypothesis. Equivalent glycerol-containing and non glycerol-containing
formulations exhibit similar non-dimensional growth rates and follow a self
similar scaling behaviour over a range of physiologically relevant co-flow rates.
CONCLUSIONS: Using the proposed model, the mean leading edge penetration,
velocity and spreading rate of a pMDI spray may be estimated a priori for any co
flow conditions. The effects of different formulations are captured in two
scaling constants. This allows formulators to predict the effects of variation
between pMDIs without the need for repeated testing. Ultimately, this approach
will allow pharmaceutical scientists to rapidly test a number of variables during
pMDI development.
PMID- 24934662
TI - Aerosolized montelukast polymeric particles-an alternative to oral montelukast
alleviate symptoms of asthma in a rodent model.
AB - PURPOSE: Cysteinyl leukotrienes (CysLTs) propagate inflammatory reactions that
result from allergen exposure in asthma. Montelukast, a CysLT type-1 receptor
antagonist, disrupts mediator-receptor interactions and minimizes inflammatory
response. In this study, we have evaluated anti-asthmatic efficacy of inhalable
montelukast-loaded large porous particulate formulations in ovalbumin-induced rat
airway inflammation model that mimics asthma. METHODS: The anti-inflammatory
effects of a montelukast-loaded formulation were investigated in rats by
measuring the total protein content, levels of injury markers and number of
inflammatory cells in the bronchoalveolar lavage fluid (BALF). The
histopathological studies assessed the morphological and structural changes that
occur in asthmatic lungs. Animals were also challenged with methacholine to
examine the airway hyper-reactivity. RESULTS: Compared with healthy animals,
asthmatic animals showed a 3.8- and 4.77-fold increase in the protein content and
number of inflammatory cells in BALF, respectively. Intratracheal montelukast
particles reduced the protein content by 3.3-fold and the number of inflammatory
cells by 2.62-fold. Also, montelukast particles reduced the lactate dehydrogenase
(LDH) and myeloperoxidase (MPO) levels by a 4.87- and 6.8-fold in BALF,
respectively. Montelukast particles reduced the airway wall thickness by 2.5-fold
compared with untreated asthmatic lungs. Further, particulate formulation
protected the lungs against methacholine-induced bronchial provocation (p <
0.05). CONCLUSIONS: Respirable large porous particles containing montelukast
alleviated allergen-induced inflammatory response in an animal model and
prevented histological changes associated with asthma. Thus montelukast-loaded
large porous polylactic acid (PLA) particles could be an aerosolized delivery
approach for administration of currently available oral montelukast.
PMID- 24934663
TI - Prevention of biofilm formation by methacrylate-based copolymer films loaded with
rifampin, clarithromycin, doxycycline alone or in combination.
AB - PURPOSE: This study reports the incorporation of the antibiotics rifampin,
doxycycline and clarithromycin in poly(styrene-co-methyl methacrylate films and
their effect on biofilm prevention. BACKGROUND: Invasive procedures in patients
such as surgical device, or intravenous or urinary catheter implantation, often
results in complicated hospital-acquired nosocomial infections. Biofilm formation
is essential to establish these infections on these devices and novel antibiotic
delivery approaches are needed for more effective management. METHODS: The films
were evaluated in vitro for drug release and for their ability to prevent biofilm
formation by methicillin susceptible and methicillin resistant Staphylococcus
aureus. Surface tension components, obtained from contact angle measurements, and
the morphology of the films evaluated by scanning electron microscopy were also
investigated. RESULTS: In this study, antibiotic-loaded methacrylic copolymer
films that effectively released rifampin, clarithromycin and doxycycline for up
to 21 days prevented biofilm formation when tested in an in vitro bioreactor
model. These drug loaded copolymer films provided the advantage by coating
materials with a novel surface that was unsuitable for resettling of biofilms
once the antibiotic was dissolved from the polymer surface. A combination of
rifampin and clarithromycin released from the polymer film provided >99.9% kill
of an MRSA inoculate for up to 72 h. CONCLUSION: Results showed that combining
multiple drugs in copolymer films with unique surface properties, initial
hydrophilicity and increase in roughness, can be an effective way to prevent
biofilm formation.
PMID- 24934664
TI - Enhanced association of probucol with chylomicron by pharmaceutical excipients:
an in vitro study.
AB - In this study, we examined the effect of pharmaceutical excipients preferred in
lipid-based formulations for lymphatic delivery on in vitro association of
probucol with chylomicron (CM). CM stability study was performed under the
conditions of room temperature, refrigeration and deep freezing to optimize the
storage condition of CM dispersion prior to CM-binding study. The mean particle
size, size distribution and zeta potential value were considerably maintained for
48 h under the refrigeration condition. CM-binding study was conducted using
probucol incorporated in vehicles composed of solubilizer (Transcutol HP or
ethanol or propylene glycol) or surfactant (Tween-80 or Tween-20 or Cremophor
ELP), and CM dispersion obtained by a density-gradient ultracentrifugation.
Levels of the association of probucol with CM were largely governed by solubility
of probucol in pharmaceutical excipients tested in this study, and the ability of
solubilizers tested to enhance the affinity of probucol with CM was much greater
than that of surfactants tested. Furthermore, the association of probucol with CM
was enhanced by increasing the amount of the drug solubilized in propylene glycol
or Transcutol HP. Together, the result of this CM-binding study showed that
solubilizers tested in this study can increase levels of the association of
probucol with CM, potentially leading to an increase in lymphatic exposure of
drugs. Thus, identifying pharmaceutical excipients having better solubilizing
ability would be advantageous for enhanced lymphatic delivery.
PMID- 24934665
TI - Biomimetic block copolymer particles with gated nanopores and ultrahigh protein
sorption capacity.
AB - The design of micro- or nanoparticles that can encapsulate sensitive molecules
such as drugs, hormones, proteins or peptides is of increasing importance for
applications in biotechnology and medicine. Examples are micelles, liposomes and
vesicles. The tiny and, in most cases, hollow spheres are used as vehicles for
transport and controlled administration of pharmaceutical drugs or nutrients.
Here we report a simple strategy to fabricate microspheres by block copolymer
self-assembly. The microsphere particles have monodispersed nanopores that can
act as pH-responsive gates. They contain a highly porous internal structure,
which is analogous to the Schwarz P structure. The internal porosity of the
particles contributes to their high sorption capacity and sustained release
behaviour. We successfully separated similarly sized proteins using these
particles. The ease of particle fabrication by macrophase separation and self
assembly, and the robustness of the particles makes them ideal for sorption,
separation, transport and sustained delivery of pharmaceutical substances.
PMID- 24934667
TI - The relationship between happiness and health: evidence from Italy.
AB - We test the relationship between happiness and self-rated health in Italy. The
analysis relies on a unique dataset collected through the administration of a
questionnaire to a representative sample (n = 817) of the population of the
Italian Province of Trento in March 2011. Based on probit regressions and
instrumental variables estimates, we find that happiness is strongly correlated
with perceived good health, after controlling for a number of relevant socio
economic phenomena. Health inequalities based on income, work status and
education are relatively contained with respect to the rest of Italy. As
expected, this scales down the role of social relationships.
PMID- 24934668
TI - Including the interactive effect of elevated CO2 concentration and leaf
temperature in global models of isoprene emission.
PMID- 24934669
TI - Multimodal imaging of aortoiliac occlusive disease with three-dimensional
postprocessing of PET angiography and CT.
AB - Two patients with aortoiliac occlusive disease underwent dynamic and late-static
positron emission tomography/computed tomography (PET/CT) acquisitions with 257
and 244 MBq F-18 FDG (CT scan parameters 50 mAs, 120 kV, pitch 1.25). Three
dimensional reconstructions revealed an occluded aortic stent and a high-grade
aortic stenosis and demonstrated the relations of vascular pathologies to
adjacent structures. Early-dynamic PET can be performed without additional
radioactive tracer and may be valuable for evaluation and intervention planning
in patients with contraindications to other angiographic modalities.
PMID- 24934670
TI - Predictors of mesorectal fascia invasion after gadolinium injection in rectal
carcinoma after neoadjuvant therapy.
AB - OBJECTIVE: To assess spectral presaturation inversion-recovery MRI sequence with
gadolinium to identify predictors of mesorectal fascia (MRF) invasion in patients
with locally advanced rectal carcinoma after neoadjuvant therapy. MATERIALS AND
METHODS: Sixty-five patients underwent neoadjuvant concomitant radiation and
chemotherapy and surgery. Magnetic resonance images were assessed by two
radiologists. RESULTS: Linear (odds ratio, 95% confidence intervals: 19.33, 1.98
188.6) and reticular strands (odds ratio, 95% confidence intervals: 9.75, 1.45
67.77) reaching the MRF are predictors of MRF invasion. CONCLUSION: Linear or
reticular mesorectal strands reaching the MRF detected at contrast-enhanced MRI
represent a predictor of MRF invasion.
PMID- 24934666
TI - Platelets, a reliable source for peripheral Alzheimer's disease biomarkers?
AB - Peripheral biomarkers play an indispensable role in quick and reliable diagnoses
of any kind of disease. With the population ageing, the number of people
suffering from age-related diseases is expected to rise dramatically over the
coming decades. In particular, all types of cognitive deficits, such as
Alzheimer's disease, will increase. Alzheimer's disease is characterised mainly
by coexistence of amyloid plaques and neurofibrillary tangles in brain. Reliable
identification of such molecular characteristics antemortem, however, is
problematic due to restricted availability of appropriate sample material and
definitive diagnosis is only possible postmortem. Currently, the best molecular
biomarkers available for antemortem diagnosis originate from cerebrospinal fluid.
Though, this is not convenient for routine diagnosis because of the required
invasive lumbar puncture. As a consequence, there is a growing demand for
additional peripheral biomarkers in a more readily accessible sample material.
Blood platelets, due to shared biochemical properties with neurons, can
constitute an attractive alternative as discussed here. This review summarises
potential platelet Alzheimer's disease biomarkers, their role, implication, and
alteration in the disease. For easy comparison of their performance, the Hedge
effect size was calculated whenever data were available.
PMID- 24934671
TI - Volatile compounds of Viola odorata absolutes: identification of odorant active
markers to distinguish plants originating from France and Egypt.
AB - Absolutes isolated from Viola odorata leaves, valuable materials for the flavor
and fragrance industry, were studied. Violets are mainly cultivated in France and
Egypt and extracted locally. The absolutes of the two origins showed different
olfactory profiles both in top and heart notes, as evidenced by sensory analysis.
The aims of this study were i) to characterize the volatile compounds, ii) to
determine the odorant-active ones, and iii) to identify some markers of the plant
origin. Two complementary analytical methods were used for these purposes, i.e.,
headspace solid-phase microextraction (HS-SPME) using different fiber coatings
followed by GC/MS analysis and gas chromatography - olfactometry/mass
spectrometry (GC-O/MS) applied to violet leaf extracts. From a total of 70
identified compounds, 61 have never been reported so far for this species, 17
compounds were characterized by both techniques (with seven among them known from
the literature), 23 compounds were solely identified by HS-SPME GC/MS (among them
only two being already mentioned as components of violet absolutes in the
literature), and, finally, 30 compounds were only identified by GC-O/MS.
According to the HS-SPME GC/MS analyses, ethyl hexanoate and (2E,6Z)-nona-2,6
dienol were specific volatile compounds of the sample with French origin, while
(E,E)-hepta-2,4-dienal, hexanoic acid, limonene, tridecane, and eugenol were
specific of the samples with Egyptian origin. Additional compounds that were not
detected by HS-SPME GC/MS analysis were revealed by GC-O analyses, some of them
being markers of origin. Pent-1-en-3-ol, 3-methylbut-2-enal, 2-methoxy-3-(1
methylethyl)pyrazine, 4-ethylbenzaldehyde, beta-phenethyl formate, and 2-methoxy
3-(2-methylpropyl)pyrazine revealed to be odorant markers of the French sample,
whereas cis-rose oxide, trans-rose oxide, and 3,5,5-trimethylcyclohex-2-enone
were odorant markers of the Egyptian samples.
PMID- 24934672
TI - Binding pockets and permeation channels for dioxygen through cofactorless 3
hydroxy-2-methylquinolin-4-one 2,4-dioxygenase in association with its natural
substrate, 3-hydroxy-2-methylquinolin-4(1H)-one. A perspective from molecular
dynamics simulations.
AB - This work describes an investigation of pathways and binging pockets (BPs) for
dioxygen (O2 ) through the cofactorless oxygenase 3-hydroxy-2-methylquinolin-4
one 2,4-dioxygenase in complex with its natural substrate, 3-hydroxy-2
methylquinolin-4(1H)-one, in aqueous solution. The investigation tool was random
acceleration molecular dynamics (RAMD), whereby a tiny, randomly oriented
external force is applied to O2 in order to accelerate its movements. In doing
that, care was taken that the external force only continues, if O2 moves along a
direction for a given period of time, otherwise the force changed direction
randomly. Gates for expulsion of O2 from the protein, which can also be taken as
gates for O2 uptake, were found throughout almost the whole external surface of
the protein, alongside a variety of BPs for O2 . The most exploited gates and BPs
were not found to correspond to the single gate and BP proposed previously from
the examination of the static model from X-ray diffraction analysis of this
system. Therefore, experimental investigations of this system that go beyond the
static model are urgently needed.
PMID- 24934673
TI - Diarylheptanoids from green alder bark and their potential for DNA protection.
AB - Nine diarylheptanoids, 1-9, catechin (11), and a phenolic glucoside, 10, were
isolated from the bark of green alder (Alnus viridis). Four of the isolated
compounds, i.e., 2, 5, 8, 10, are new. The structures of 1-11 were determined on
the basis of spectroscopic data. All isolated compounds were evaluated for their
in vitro protective effects on chromosome aberrations in peripheral human
lymphocytes using cytokinesis-block micronucleus (CBMN) assay. Almost all of them
exerted a pronounced effect of decreasing DNA damage of human lymphocytes, acting
stronger than the known synthetic protector amifostine.
PMID- 24934674
TI - Semisynthesis and antifungal activity of novel oxime ester derivatives of
carabrone modified at C(4) against Botrytis cinerea.
AB - To continuously improve the potential utility of the natural lead compound of
carabrone in agrochemistry, carabrone oxime and 36 novel oxime ester derivatives
of carabrone modified at C(4) were synthesized, and evaluated for their
antifungal activities against Botrytis cinerea in vitro and in vivo. Of these 36
oxime ester derivatives, some compounds exhibited antifungal activities in vitro
or in vivo. It was found that compounds with a pyridinyl residue can either
efficiently inhibit spore germination or efficiently inhibit hyphal growth of B.
cinerea, and compound 9 exhibited the highest activity in vitro and in vivo with
IC50 and EC50 values of 1.17 and 12.9 MUg/ml, respectively. Further, the
structure-activity relationships are also discussed.
PMID- 24934675
TI - Chloranthones A - D: minor and unprecedented dinor-eudesmenes from Chloranthus
elatior.
AB - Four novel naturally occurring diastereoisomers of dinor-eudesmenes, named
chloranthones A-D (1-4, resp.), were isolated as minor components from the EtOH
extract of the aerial parts of Chloranthus elatior. The unprecedented framework
was established using extensive 2D-NMR techniques. Their absolute configurations
were deduced from the observed Cotton effects in their circular dichroism (CD)
spectra. A plausible biosynthetic pathway to the dinor-eudesmenes is proposed.
PMID- 24934676
TI - Tenualexin, other phytoalexins and indole glucosinolates from wild cruciferous
species.
AB - In general, the chemodiversity of phytoalexins, elicited metabolites involved in
plant defense mechanisms against microbial pathogens, correlates with the
biodiversity of their sources. In this work, the phytoalexins produced by four
wild cruciferous species (Brassica tournefortii, Crambe abyssinica (crambe),
Diplotaxis tenuifolia (sand rocket), and Diplotaxis tenuisiliqua (wall rocket))
were identified and quantified by HPLC with photodioarray and electrospray mass
detectors. In addition, the production of indole glucosinolates, biosynthetic
precursors of cruciferous phytoalexins, was evaluated. Tenualexin, (=2-(1,4
dimethoxy-1H-indol-3-yl)acetonitrile), the first cruciferous phytoalexin
containing two MeO substituents in the indole ring, was isolated from D.
tenuisiliqua, synthesized, and evaluated for antifungal activity. The
phytoalexins cyclobrassinin and spirobrassinin were detected in B. tournefortii
and C. abyssinica, whereas rutalexin and 4-methoxybrassinin were only found in B.
tournefortii. D. tenuifolia, and D. tenuisiliqua produced 2-(1H-indol-3
yl)acetonitriles as phytoalexins. Because tenualexin appears to be one of the
broad-range antifungals occurring in crucifers, it is suggested that D.
tenuisiliqua may have disease resistance traits important to be incorporated in
commercial breeding programs.
PMID- 24934677
TI - Sesquiterpenoids from Chloranthus henryi and their anti-neuroinflammatory
activities.
AB - Five new and seven known mono-sesquiterpenoids (1-5 and 6-12, resp.) together
with five known lindenane-type disesquiterpenoids, 13-17, were isolated from the
whole plant of Chloranthus henryi. Based on spectroscopic methods, the new
structures were established to be (5S,6R,8S,10R)-6-hydroxyeudesma-4(15),7(11)
diene-12,8-olide (1), 6alpha-hydroxyeudesma-4(15),7(11),8(9)-triene-12,8-olide
(2), 8,12-epoxy-1beta-hydroxyeudesma-4(15),7,11-trien-6-one (3), 12
oxochloraniolide A (4), and (4alpha)-8-hydroxy-12-norcardina-6,8,10-trien-11-one
(5), respectively. Among the isolates, compound 2, zederone epoxide (8),
spicachlorantin G (13), chloramultilide A (14), shizukaol B (15), and
spicachlorantin B (17) showed significant anti-neuroinflammatory effects by
inhibiting nitric-oxide (NO) production in lipopolysaccharide (LPS)-stimulated
murine BV-2 microglial cells with relatively low cytotoxicity.
PMID- 24934678
TI - Two new butenolides produced by an Actinomycete Streptomyces sp.
AB - Two new butenolides, (4S)-4,10-dihydroxydodec-2-en-1,4-olide (1) and (4S)-4,8,10
trihydroxy-10-methyldodec-2-en-1,4-olide (2), together with three known
compounds, MKN-003B (3), MKN-003C (4), and cyclo(Ala-Leu) (5), were isolated from
the culture broth of a bacterium of the genus Streptomyces derived from soil
environment. The structures of these compounds were elucidated on the basis of
spectroscopic analysis. The inhibitory activities of the butenolides against
eight pathogenic fungi were evaluated. All of the butenolides showed moderate-or
weak antifungal activities in a broth microdilution assay.
PMID- 24934679
TI - Essential-oil composition of the needles collected from natural populations of
Macedonian pine (Pinus peuce Griseb.) from the Scardo-Pindic mountain system.
AB - The needle-terpene profiles of two natural Pinus peuce populations from the
Scardo-Pindic mountain system (Mt. Osljak and Mt. Pelister) were analyzed. Among
the 90 detected compounds, 87 were identified. The dominant constituents were
alpha-pinene (45.5%), germacrene D (11.1%), beta-pinene (10.8%), and camphene
(10.3%). The following eight additional components were found to be present in
medium-to-high amounts (0.5-10%): bornyl acetate (5.0%), beta-phellandrene
(3.4%), beta-caryophyllene (2.9%), beta-myrcene (0.9%), germacrene D-4-ol (0.9%),
tricyclene (0.7%), (E)-hex-2-enal (0.7%), and bicyclogermacrene (0.6%). Although
the general needle-terpene profiles of the populations from Mt. Osljak and Mt.
Pelister were found to be similar to those of the populations from Zeletin,
Sjekirica, and Mokra Gora (Dinaric Alps), principle component analysis (PCA) of
eight terpenes (alpha-pinene, beta-myrcene, alpha-terpinolene, bornyl acetate,
alpha-terpinyl acetate, beta-caryophyllene, trans-beta-farnesene, and germacrene
D) in 139 tree samples suggested a divergence between the two population groups,
i.e., the samples from the Scardo-Pindic mountain system and those from the
Dinaric Alps. Genetic analysis of the beta-pinene content demonstrated a partial
divergence between the two geographical groups. The profiles of both population
groups differed from those published for populations from the Balkan-Rhodope
mountains system (literature results), which were characterized by high contents
of bornyl acetate and citronellol (Greek populations) or delta-car-3-ene
(Bulgarian populations).
PMID- 24934680
TI - Inhibitory effects of constituents of an endophytic fungus Hypoxylon investiens
on nitric oxide and interleukin-6 production in RAW264.7 macrophages.
AB - Three new compounds, hypoxyloamide (1), 8-methoxynaphthalene-1,7-diol (2), and
hypoxylonol (3), together with seven compounds isolated from nature for the first
time, investiamide (4), hypoxypropanamide (5), hypoxylonol A (6), investienol
(7), 2-heptylfuran (8), (3S)-5-methyl-8-O-methylmellein (9), (4R)-O
methylsclerone (10), along with 19 known compounds, 11-29, were isolated from the
culture broth of Hypoxylon investiens BCRC 10F0115, a fungal endophyte residing
in the stems of an endemic Formosan plant Litsea akoensis var. chitouchiaoensis.
The structures of the new compounds were established by spectroscopic methods,
including UV, IR, HR-ESI-MS, and extensive 1D- and 2D-NMR techniques. Of these
isolates, 2, 8-methoxynaphthalen-1-ol (15), and 1,8-dimethoxynaphthalene (16)
showed nitric oxide (NO) inhibitory activity with IC50 values of 11.8+/-0.9,
17.8+/-1.1, and 13.3+/-0.5 MUM, respectively, stronger than the positive control
quercetin (IC50 36.8+/-1.3 MUM). Compounds 2, 15, and 16 also showed interleukin
6 (IL-6) inhibitory activity with IC50 values of 9.2+/-1.7, 18.0+/-0.6, and 2.0+/
0.1 MUM, stronger than the positive control quercetin (IC50 31.3+/-1.6 MUM). To
the best of our knowledge, this is the first report on guaiane sesquiterpene
metabolites, 3, 6, and 7, from the genus Hypoxylon.
PMID- 24934681
TI - Anti-adipogenic activity of the naturally occurring phenanthroindolizidine
alkaloid antofine via direct suppression of PPARgamma expression.
AB - Antofine (ANTF) is a phenanthroindolizidine alkaloid isolated from the root of
Cynanchum paniculatum Kitagawa (Asclepiadaceae), which is used as an herbal
remedy for pain and inflammation. ANTF also possesses antiviral and
antitumorigenic activities. In this study, we investigated the role of ANTF in
adipogenesis. Chronic ABTF administration suppressed adipocyte differentiation
and marker expression in a dose-dependent manner. Furthermore, acute
administration of ANTF at early stages of differentiation process inhibited lipid
droplet formation and adipogenic gene expression. ANTF Treatment decreased
expression of PPARgamma protein, a master transcription factor in the regulation
of adipocyte differentiation, leading to a suppression of aP2 promoter activity.
These results suggest that ANTF exerts potent anti-adipogenic effects via direct
suppression of PPARgamma protein expression, with consequent downregulation of
adipogenic gene expression.
PMID- 24934682
TI - Cytotoxic mannopyranosides of indole alkaloids from Zanthoxylum nitidum.
AB - Three new mannopyranosides of indole alkaloids, methyl 7-(beta-D
mannopyranosyloxy)-1H-indole-2-carboxylate (1), methyl 7-[(3-O-acetyl-beta-D
mannopyranosyl)oxy]-1H-indole-2-carboxylate (2), and 2-methyl-1H-indol-7-yl beta
D-mannopyranoside (3), were isolated from an EtOH extract of the roots of
Zanthoxylum nitidum. Their structures were identified as new compounds on the
basis of the spectroscopic analyses. Bioactivity evaluation revealed that these
alkaloids possess significant cytotoxicities against all the tested tumor cell
lines with IC50 values of less than 30 MUM.
PMID- 24934683
TI - Cytotoxic compounds from the leaves of Garcinia polyantha.
AB - A new compound, named banganxanthone C (=12-(1,1-dimethylprop-2-en-1-yl)-5,10
dihydroxy-9-methoxy-2-methyl-2-(4-methylpent-3-en-1-yl)-2H,6H-pyrano[3,2
b]xanthen-6-one; 4), together with five known compounds, were isolated from the
leaves of Garcinia polyantha. The structures of the compounds were elucidated on
the basis of 1D- and 2D-NMR spectroscopy. Among the known compounds, two were
xanthones, one was a pentacyclic triterpene, one sterol, and one benzophenone
derivative. Isoxanthochymol (2) and 4-[(2E)-3,7-dimethylocta-2,6-dien-1-yl]-1,5,8
trihydroxy-3-methoxy-9H-xanthen-9-one (3) exhibited significant antiproliferative
activity against the leukemia cell line TPH-1 with IC50 inhibition values of 1.5
and 2.8 MUg/ml, respectively. The cytotoxic activity was found to be related to
apoptosis induction.
PMID- 24934684
TI - New triterpenoids from the fruiting bodies of Ganoderma lucidum and their
bioactivities.
AB - Phytochemical investigation of the AcOEt extract of G. Lucidum has led to the
isolation of two new triterpenoids, 1 and 2, together with five known ones, 3-7.
The structures of the new compounds were identified as 12beta-acetoxy-3beta,7beta
dihydroxy-11,15,23-trioxolanost-8-en-26-oic acid butyl ester (1) and 12beta
acetoxy-3,7,11,15,23-pentaoxolanost-8-en-26-oic acid butyl ester (2) on the basis
of detailed spectroscopic analysis (mass spectrometry, and 1D- and 2D-NMR
experiments). The antimicrobial activities of 1 and 2 were also evaluated.
PMID- 24934685
TI - [Transfusion-transmitted bacterial infection of a apheresis platelet concentrate
with Streptococcus gallolyticus: Analysis of one case].
AB - Bacterial infections are uncommon complications of the blood products transfusion
but they are potentially serious. Many advances have been done over the past few
years to guarantee the microbiological security of blood products as the donors
selection with a medical talk, the derivation of the first 30 millilitres blood
during the donation, the deleucocytation of blood products... But in spite of
these advances, cases of bacterial infection always remain. The purpose of this
study was to point out the platelet concentrate's transfusion-transmitted
bacterial infection with Streptococcus gallolyticus and the unusual consequence
for the donor by uncovering an asymptomatic rectal neoplastic tumor. This study
as raised as to whether the usefulness of systematic bacterial inactivation in
the platelets concentrates.
PMID- 24934686
TI - Mid-term results of endovascular treatment with the Gore TAG device for
degenerative descending thoracic aortic aneurysms.
AB - PURPOSE: To confirm the validity of using Gore TAG for degenerative descending
thoracic aneurysm repair, we evaluated the mid-term clinical outcomes in our
single-center experience. METHODS: From May 2008 to April 2011, elective thoracic
endovascular aortic repair (TEVAR) with Gore TAG without left subclavian artery
(LSA) coverage for a degenerative descending thoracic aneurysm was performed in
36 consecutive cases. RESULTS: The procedural success rate was 100%, and no
patient died within 30 days of the operation. We observed no cases of paraplegia
or stroke. The mean follow-up was 33.2 +/- 13.0 months (the maximum follow-up was
58.0 months). The actuarial survival rate was 100, 97.2 and 94.4% at 1, 2 and 3
years. There was no aorta-related death at 3 years. Two (5.6%) late distal Type I
endoleaks were observed. Freedom form aortic events rate was 97.2, 97.2, and
97.2% at 1, 2, and 3 years respectively. In six cases with bird-beak
configurations at the 2-year follow-up, the bird-beak length and angle had
increased gradually. CONCLUSIONS: Elective TEVAR using the Gore TAG for
degenerative descending thoracic aortic aneurysms without LSA coverage is
effective and provides satisfactory early and mid-term outcomes. However, since
the bird-beak configurations progressed, a conformable and flexible device for
the next generation of procedures will be needed.
PMID- 24934687
TI - Population genetic structure of an invasive forensically important insect.
AB - The forensic utility of an insect can depend in part on its population structure.
Although some native North American species have been characterized in this
fashion, information is lacking for species that were introduced from elsewhere
and that might have lower genetic diversity and less geographic differentiation.
We surveyed Chrysomya megacephala, an Asian fly present in the continental USA
since the 1980s. Amplified Fragment Length Polymorphism profiles were generated
from adult insects collected across Florida and in Mobile, Alabama. Analysis of
Molecular Variance on 151 polymorphic loci found significant but very small
variation among samples. STRUCTURE and principal coordinate analyses produced the
same two clusters in the population, consistent with C. megacephala in Florida
having originated from two separate source populations. A weak negative
correlation between genetic and geographic distances probably reflected the
geographic arrangement of the genetic clusters. A positive relative relatedness
coefficient for each sample indicated that flies arriving at a bait within a
short time were likely to be close relatives, consistent with the earlier results
for native North American carrion flies. However, genetic diversity estimated for
the introduced Florida C. megacephala was lower than for native species or for
published data on Malaysian C. megacephala, perhaps reflecting the genetic
effects of being introduced to a new geographic region. Genetic assignment, a
method that has been proposed as a way to infer corpse postmortem relocation, was
much less successful for C. megacephala compared to the native species, possibly
reflecting a history of admixture.
PMID- 24934688
TI - [How can we contribute to tuberculosis control in the workplace?].
PMID- 24934689
TI - Systemic capillary leak syndrome associated with a rare abdominal and four-limb
compartment syndrome: a case report.
AB - INTRODUCTION: Systemic capillary leak syndrome is a rare and life threatening
disease characterized by periodic episodes of hypovolemic shock due to leakage of
plasma from the intravascular to the extravascular space. It is associated with
hemoconcentration, hypoalbuminemia, and generalized edema. We report the case of
a patient with idiopathic systemic capillary leak syndrome who developed an
unexpected and potentially fatal abdominal and four-limb compartment syndrome.
This was successfully treated with fasciotomies and medical treatment including
terbutaline, theophylline, and corticosteroids. To the best of our knowledge this
is the first report of this kind in the literature. CASE PRESENTATION: A
previously healthy 54-year-old Caucasian man presented to the emergency
department of our internal medicine ward with a medical history of aggravation of
general health related to dizziness, weight gain, and two syncopal attacks. Due
to a massive emission of fluids and proteins from the intravascular to the
extracellular compartments, he developed compartment syndromes in his upper and
lower limbs and the abdominal compartment. The abdomen and all four limbs
required decompression by a fasciotomy of both forearms, both thighs, both lower
legs, and the abdomen within 24 hours after admission. After 60 days of treatment
he was dismissed from the clinic. He was able to return to his previous
occupation and reached the same level of athletic activity as before the illness.
CONCLUSIONS: Systemic capillary leak syndrome is a very rare disease that can
lead to a fatal clinical outcome. It is important to be aware of the fatal
complications that can be caused by this disease. Despite the fact that systemic
capillary leak syndrome represents a very rare disease it is still important to
be aware of life threatening complications, like compartment syndromes, which
need surgical intervention. However, early diagnosis and interdisciplinary
treatment can lead to a good clinical outcome.
PMID- 24934690
TI - Relationship between betel quid chewing and risks of cardiovascular disease in
older adults: a cross-sectional study in Taiwan.
AB - BACKGROUND: The association between betel quid (BQ) chewing and oral cancer is
well established. However, evidence regarding the relationship between BQ chewing
and cardiovascular disease (CVD) is still insufficient. METHODS: This cross
sectional study included 2002 men and 1175 women aged 50 and older in a city
level health examination survey of an agricultural and fishing population in
2013. In addition to anthropometric parameters, CVD risks were estimated using
high-sensitivity C-reactive protein (hs-CRP), brachial-ankle pulse wave velocity
(baPWV) and ankle-brachial index. Age, gender, smoking and alcohol drinking
status were all incorporated into the multivariate logistic regression model to
delineate the effect of BQ chewing on CVD risks. RESULTS: Two hundred forty-one
(12%) males and eight (0.7%) females were ever chewers. BQ chewing was an
independent risk factor for general obesity (odds ratio [OR] 1.43, 95% confidence
interval [CI] 1.07-1.91, p=0.017), central obesity (OR 2.27, 95% CI 1.53-3.37,
p<0.001) and an elevated hs-CRP level (OR 1.38, 95% CI 1.03-1.85, p=0.029).
Subjects who chewed more frequently had a higher systolic blood pressure
(p=0.025) and baPWV (p=0.006). The waist circumference (p=0.015) and waist-to
height ratio (p=0.022) were greater in current chewers than in former chewers.
CONCLUSION: These findings suggest that BQ chewing is associated with obesity and
a higher CVD risk as estimated by hs-CRP. Furthermore, potential beneficial
effects of BQ chewing cessation on central obesity were also found.
PMID- 24934692
TI - Biopolymers 2013: Biopolymer assemblies for material design.
PMID- 24934693
TI - Synthesis and pharmacological evaluation of like- and unlike-configured
tetrahydro-2-benzazepines with the alpha-substituted benzyl moiety in the 5
position.
AB - A large set of tetrahydro-2-benzazepines with an alpha-hydroxy or alpha
(aryl)alkoxy substituted benzyl moiety in the 5-position was prepared according
to the recently reported C6C1 + C3N synthetic strategy. The Heck reaction of 2
iodobenzaldehyde acetal 4 and the subsequent Stetter reaction led to the ketone
7, which was reduced diastereoselectively to form the like-configured alcohol 8.
The diastereomeric unlike-configured alcohol 9 was obtained by a Mitsunobu
inversion of 8. Alkylation and reductive cyclization of the diastereomeric
alcohols 8 and 9 provided like- and unlike-configured 2-benzazepines 13 and 23,
which allowed the introduction of various substituents at the N-atom. Analysis of
the relationship between the structure and the sigma1 affinity revealed that
large substituents such as the butyl, benzyl or 4-phenylbutyl moiety at the
benzazepine N-atom resulted in high affinity ligands. A p-methoxybenzyl ether is
less tolerated by the sigma1 receptor than a methyl ether or an alcohol. The
unlike-configured alcohols 25d and 27d show slightly higher sigma1 affinity than
their like-configured diastereomers 15d and 17d. With respect to the sigma1
affinity, sigma1/sigma2 selectivity and lipophilic ligand efficiency, like- and
unlike-configured alcohols 15d and 25d represent the most promising sigma1
ligands of this series. Interactions of the novel 2-benzazepines with various
binding sites of the NMDA receptor were not observed.
PMID- 24934691
TI - Scientific overview: 2013 BBC plenary symposium on tobacco addiction.
AB - Nicotine dependence plays a critical role in addiction to tobacco products, and
thus contributes to a variety of devastating tobacco-related diseases (SGR 2014).
Annual costs associated with smoking in the US are estimated to be between $289
and $333 billion. Effective interventions for nicotine dependence, especially in
smokers, are a critical barrier to the eradication of tobacco-related diseases.
This overview highlights research presented at the Plenary Symposium of Behavior,
Biology and Chemistry: Translational Research in Addiction Conference (BBC),
hosted by the UT Health Science Center San Antonio, on March 9-10, 2013. The
Plenary Symposium focused on tobacco addiction, and covered topics ranging from
basic science to national policy. As in previous years, the meeting brought
together globally-renowned scientists, graduate student recruits, and young
scientists from underrepresented populations in Texas and other states with the
goal of fostering interest in drug addiction research in young generations.
PMID- 24934695
TI - Pathway analysis of cervical cancer genome-wide association study highlights the
MHC region and pathways involved in response to infection.
AB - Cervical cancer is caused by infection with human papillomavirus (HPV). A genome
wide association study (GWAS) has identified several susceptibility loci for
cervical cancer, but they explain only a small fraction of cervical cancer
heritability. Other variants with weaker effect may be missed due to the
stringent significance threshold. To identify important pathways in cervical
carcinogenesis, we performed a two-stage pathway analysis in two independent
GWASs in the Swedish population, using the single-nucleotide polymorphism (SNP)
ratio test. The 565 predefined pathways from Kyoto Encyclopedia of Genes and
Genomes and BioCarta databases were systematically evaluated in the discovery
stage (1034 cases and 3948 controls with 632,668 SNPs) and the suggestive
pathways were further validated in the replication stage (616 cases and 506
controls with 341,358 SNPs). We found 12 pathways that were significant in both
stages, and these were further validated using set-based analysis. For 10 of
these pathways, the effect was mainly due to genetic variation within the major
histocompatibility complex (MHC) region. In addition, we identified a set of
novel candidate genes outside the MHC region in the pathways denoted
'Staphylococcus aureus infection' and 'herpes simplex infection' that influenced
susceptibility to cervical cancer (empirical P = 4.99 * 10(-5) and 4.99 * 10(-5)
in the discovery study; empirical P = 8.98 * 10(-5) and 0.009 in the replication
study, respectively). Staphylococcus aureus infection may evoke an inflammatory
response that inadvertently enhances malignant progression caused by HPV
infection, and Herpes simplex virus-2 infection may act in conjunction with HPV
infection to increase the risk of cervical carcinoma development. These findings
provide new insights into the etiology of cervical cancer.
PMID- 24934694
TI - Neuropeptide precursor VGF is genetically associated with social anhedonia and
underrepresented in the brain of major mental illness: its downregulation by
DISC1.
AB - In a large Scottish pedigree, disruption of the gene coding for DISC1 clearly
segregates with major depression, schizophrenia and related mental conditions.
Thus, study of DISC1 may provide a clue to understand the biology of major mental
illness. A neuropeptide precursor VGF has potent antidepressant effects and has
been reportedly associated with bipolar disorder. Here we show that DISC1
knockdown leads to a reduction of VGF, in neurons. VGF is also downregulated in
the cortices from sporadic cases with major mental disease. A positive
correlation of VGF single-nucleotide polymorphisms (SNPs) with social anhedonia
was also observed. We now propose that VGF participates in a common
pathophysiology of major mental disease.
PMID- 24934696
TI - Recombinant human relaxin-2: (how) can a pregnancy hormone save lives in acute
heart failure?
AB - Acute heart failure (AHF) syndrome, characterized by pulmonary and/or venous
congestion owing to increased cardiac filling pressures with or without
diminished cardiac output, is still associated with high post-discharge mortality
and hospitalization rates. Many novel and promising therapeutic approaches, among
them endothelin-1, vasopressin and adenosine antagonists, calcium sensitization,
and recombinant B-type natriuretic hormone, have failed in large studies.
Likewise, the classic drugs, vasodilators, diuretics, and inotropes, have never
been shown to lower mortality.The phase III trial RELAX-AHF tested recombinant
human relaxin-2 (rhRlx) and found it to improve clinical symptoms moderately, to
be neutral regarding the combination of death and hospitalization at day 60, to
be safe, and to lower mortality at day 180. This review focuses on basic research
and pre-clinical findings that may account for the benefit of rhRlx in AHF. The
drug combines short-term hemodynamic advantages, such as moderate blood pressure
decline and functional endothelin-1 antagonism, with a wealth of protective
effects harboring long-term benefits, such as anti-inflammatory, anti-fibrotic,
and anti-oxidative actions. These pleiotropic effects are exerted through a
complex and intricate signaling cascade involving the relaxin-family peptide
receptor-1, the glucocorticoid receptor, nitric oxide, and a cell type-dependent
variety of kinases and transcription factors.
PMID- 24934697
TI - Could vitamin D supplements be a new therapy for heart failure? Possible
pathogenic mechanisms from data of intervention studies.
AB - Vitamin D deficiency may play a role in the pathogenesis of chronic heart failure
(HF), but whether giving patients supplements to raise vitamin D into the normal
range improves their survival is not clear. It has been demonstrated that vitamin
D deficiency is common in patients with HF, especially the elderly, in obese and
in dark skinned people, and that low vitamin D levels are associated with adverse
outcome. The epidemiological data have been confirmed by experimental data, which
show that knockout mice for the vitamin D receptor developed myocardial
hypertrophy and dysfunction. Data from interventional studies are scarce and
discordant, and more research is urgently needed to confirm whether add-on
supplementation therapy with vitamin D has a role in the management of patients
with chronic HF.
PMID- 24934698
TI - Risk factors and early pharmacological interventions to prevent chronic
postsurgical pain following cardiac surgery.
AB - Chronic postsurgical pain (CPSP) after cardiac surgery represents a significant
clinical problem. The prevalence of CPSP varies widely between studies, but
severe CPSP is present in less than 10% of the patients. Important differential
diagnoses for CPSP after cardiac surgery are myocardial ischemia, sternal
instability and mediastinitis. CPSP after cardiac surgery may be thoracic pain
present at the site of the sternotomy or leg pain due to vein-graft harvesting.
The CPSP can be neuropathic pain, visceral pain, somatic pain or mixed pain.
Potential risk factors for CPSP are young age, female gender, overweight,
psychological factors, preoperative pain, surgery-related factors and severe
postoperative pain. In addition to standard postoperative analgesics, the use of
N-methyl-D-aspartate (NMDA) antagonists, alpha-2 agonists, local anesthetics,
gabapentinoids, and corticosteroids are all proposed to reduce the risk for CPSP
after cardiac surgery. Still, no specific pharmacological therapy, cognitive
therapy or physical therapy is established to protect against CPSP. The only
convincing prevention of CSPS is adequate treatment of acute postoperative pain
irrespective of method. Hence, interventions against acute pain, preferably in a
step-wise approach titrating the interventions for each patient's individual
needs, are essential concerning prevention of CPSP after cardiac surgery. It is
also important that surgeons consider the risk for CPSP as a part of the basis
for decision-making around performing a surgical procedure and that patients are
informed of this risk.
PMID- 24934699
TI - Screening for hepatocellular carcinoma in chronic liver disease: a systematic
review.
AB - BACKGROUND: Guidelines recommend routine screening for hepatocellular carcinoma
(HCC) in high-risk patients, but the strength of evidence supporting these
recommendations is unclear. PURPOSE: To review the benefits and harms of HCC
screening in patients with chronic liver disease. DATA SOURCES: MEDLINE,
PsycINFO, and ClinicalTrials.gov from inception to April 2014; Cochrane databases
to June 2013; reference lists; and technical advisors. STUDY SELECTION: English
language trials and observational studies comparing screening versus no
screening, studies of harms, and trials comparing different screening intervals.
DATA EXTRACTION: Mortality and adverse events were the outcomes of interest.
Individual-study quality and the overall strength of evidence were dual-reviewed
using published criteria. DATA SYNTHESIS: Of 13,801 citations, 22 studies met
inclusion criteria. The overall strength of evidence on the effects of screening
was very low. One large trial of patients with hepatitis B found decreased HCC
mortality with periodic ultrasonographic screening (rate ratio, 0.63 [95% CI,
0.41 to 0.98]), but the study was limited by methodological flaws. Another trial
in patients with hepatitis B found no survival benefit with periodic alpha
fetoprotein screening. In 18 observational studies, screened patients had earlier
stage HCC than clinically diagnosed patients, but lead- and length-time biases
confounded the effects on mortality. Two trials found no survival differences
between shorter (3- to 4-month) and longer (6- to 12-month) screening intervals.
Harms of screening were not well-studied. LIMITATIONS: Only English-language
studies were included. The evidence base is limited by methodological issues and
a paucity of trials. CONCLUSION: There is very-low-strength evidence about the
effects of HCC screening on mortality in patients with chronic liver disease.
Screening tests can identify early-stage HCC, but whether systematic screening
leads to a survival advantage over clinical diagnosis is uncertain. PRIMARY
FUNDING SOURCE: U.S. Department of Veterans Affairs Quality Enhancement Research
Initiative.
PMID- 24934700
TI - Overdose with modified-release paracetamol (Panadol Osteo(r)) presenting to a
metropolitan emergency medicine network: a case series.
AB - BACKGROUND: There are currently no large cases series documenting poisoning with
paracetamol modified-release (Panadol Osteo(r), GlaxoSmithKline, Sydney, NSW,
Australia). Management guidelines recommend at least two serum paracetamol
concentrations 4 h apart and initiating treatment with N-acetylcysteine (NAC) if
more than 10 g is ingested. OBJECTIVE: To describe a cohort of Panadol Osteo(r)
poisoning and determine if the management of identified cases was consistent with
existing guidelines. METHOD: Descriptive retrospective case series presenting to
a metropolitan hospital network with paracetamol poisoning from October 2009 to
September 2013. RESULTS: There were 42 cases of Panadol Osteo(r) poisoning
identified. Twenty-nine patients (median ingested dose 19 950 mg) were treated
with NAC, of which 27 were acute single ingestions. Of NAC-treated patients, 85%
(23/27) had an initial serum paracetamol concentration that was above the
nomogram line. However, 15% (4/27) had an initial non-toxic concentration that
later increased above the line. In 14 untreated patients (median ingested dose
7980 mg), one was an unrecognised late line-crosser with initial non-toxic serum
paracetamol concentration. Only 43% (6/14) had a repeat paracetamol concentration
measured. Three patients had a 4 h paracetamol >500 MUmol/L. Late line-crossing
was seen in the NAC-treated group at this level. In two untreated patients, NAC
should have been commenced on the reported dose. CONCLUSION: Most patients
presenting with Panadol Osteo(r) poisoning requiring NAC treatment had an initial
serum paracetamol concentration indicating need for treatment. A small number of
late treatment nomogram line-crossers was seen on repeat paracetamol estimation.
The current guideline for Panadol Osteo(r) poisoning would have detected all
cases requiring NAC treatment.
PMID- 24934701
TI - Intrastriatal 6-OHDA lesion differentially affects dopaminergic neurons in the
ventral tegmental area of prenatally stressed rats.
AB - Exposure to a variety of stressful events during the last week of pregnancy in
rats interferes with the correct progeny development, which in turn leads to
delays in motor development, impaired adaptation to stressful conditions, altered
sexual behaviour, learning deficits, neuronal development and brain morphology.
Many of these alterations have been attributed to changes in dopamine (DA)
neurotransmission and occur primarily in the mesolimbic system. We found that
prenatally stressed offspring showed higher levels of cells expressing tyrosine
hydroxylase (TH) in the ventral tegmental area (VTA) and that these cells were
more susceptible to a neurochemical insult with 6-hydroxy-DA (6-OHDA) in
adulthood. Moreover, prenatally stressed rats presented differences in terms of
the number and asymmetry of neuronal nitric oxide synthase-expressing cells in
the VTA and nucleus accumbens, respectively. Similar to the results described for
TH-expressing cells, the nitrergic systems were differentially regulated after 6
OHDA lesion in control and prenatally stressed rats. These results indicated that
prenatal stress affects the dopaminergic and nitrergic systems in the mesolimbic
pathway. In addition, we propose that the mesolimbic areas are more susceptible
than the motor areas to a neurochemical insult during adult life.
PMID- 24934702
TI - Molecular and ultrastructural characterization of Dictyocoela diporeiae n. sp.
(Microsporidia), a parasite of Diporeia spp. (Amphipoda, Gammaridea).
AB - Dictyocoela diporeiae n. sp. is described from Diporeia spp. (Amphipoda,
Gammaridea) collected from Lake Superior (USA), and its morphology and taxonomic
affiliation are discussed. In hematoxylin- and eosin-stained sections of infected
amphipods, the microsporidian was observed to infect muscle tissue surrounding
the ovaries. Melanized hemocytic encapsulations were often observed in or near
masses of microsporidians. The microsporidians appeared as spores measuring 1.99
+/- 0.09 MUm long by 1.19 +/- 0.05 MUm wide. Each spore contained eight coils of
isofilar polar filaments that were arranged in single ranks. Polar filaments
measured 71 +/- 3 nm in diameter. A prominent lamellar polaroplast composed of
ordered concentric membranes was found at the apical end of the spore surrounding
the polar filament. A distinct posterior vacuole was observed at the distal end
of the spore. Phylogenetic analysis based on 16s RNA sequences showed that the
microsporidian belongs to the genus Dictyocoela, and is most similar to D.
berillonum, yet distinctly different. The species is new, based on its
morphology, genetic sequence, host, and location within the host.
PMID- 24934703
TI - Hyperinsulinemic hypoglycemia syndrome in 2 dogs with bartonellosis.
PMID- 24934704
TI - Factors associated with utilization of reproductive healthcare services among
migrant women workers in Chong Qing, China.
AB - OBJECTIVE: To investigate the use of reproductive healthcare services among
migrant women workers in Chong Qing, China, and provide suggestions to improve
the utilization of these services by young women. METHODS: In a qualitative
interview-based study between March, 2013 and June, 2013, personal in-depth
interviews were conducted among young women workers, factory doctors, healthcare
service providers, and policy-makers in Chong Qing, China. RESULTS: Women workers
seldom visited hospitals and did so only when their pain became unbearable. The
workers' use of reproductive healthcare services was particularly influenced by
the high cost of hospitalization and long waiting periods. Factory doctors could
only solve minor problems. Public healthcare providers stated that migrant women
had a higher morbidity rate from reproductive tract infections as compared with
local women. The policy-makers considered that the health system was beneficial
to women's reproductive health; however, few workers had good comprehension of
government policies. CONCLUSION: Migrant women workers are vulnerable owing to
lack of reproductive health care. The government and both social and health
enterprise should consider the convenience of these women and the affordability
of treatments when formulating reproductive healthcare policies. Effective
measures should be taken to improve the use of these services by migrant women
workers.
PMID- 24934705
TI - Ultrasound diagnosis of fetal head engagement.
AB - OBJECTIVE: To compare clinical data and transperineal ultrasound results for the
diagnosis of fetal head engagement. METHODS: The present prospective longitudinal
study enrolled 100 term women attending the Mongi Slim Hospital, La Marsa,
Tunisia, between July and September 2012. The clinical assessment of fetal
engagement was compared with ultrasound measurements. Ultrasound examination was
performed in the delivery room. The probe was placed on the ano-vulvar area. The
measure used was the distance between the perineum and external table of fetal
skull. RESULTS: The ultrasound measures of the perineum to external table of
fetal skull ranged from 13 to 75mm. The measures of the perineum to succedaneum
bump ranged from 22 to 68mm. A threshold of 55mm was determined as the perineum
to fetal head distance above which a diagnosis of engagement would be reversed.
This proposed threshold had a positive predictive value of 98.6%, a sensitivity
of 86.7%, and a specificity of 94.1%. CONCLUSION: Transperineal ultrasound is a
simple, rapid, and reproducible method for the diagnosis of fetal head
engagement. It can be used in the delivery room in addition to clinical
examination and when the latter is hampered by a succedaneum bump.
PMID- 24934706
TI - Use of a vial equilibration technique to measure the change in fugacity capacity
of avian food and feces samples for 1,2,3,4-tetrachlorobenzene.
AB - A vial equilibration technique was used to estimate the fugacity capacities of
food and feces samples for 1,2,3,4-tetrachlorobenzene (TCB). The method was
calibrated using different volumes of n-octanol and by comparing the measured and
predicted fugacity capacity (Zoct) of n-octanol for TCB. The vial equilibration
technique showed linearity with increasing amounts of n-octanol added to the
vial. However, the measured Zoct was on average 8.5 times lower than the
literature estimate and interpreted to be influenced by co-solvent effects. The
ratio of fugacity capacities of food/feces was 2.9 and was consistent with the
ratio estimated using Zt calculation methods (4.3) which considers partitioning
capacity of both lipids and non-lipid organic matter. These results provide
experimental support to the use of lipid equivalent approaches as opposed to
lipid normalization when estimating the partition capacity of biological samples
containing low lipid contents.
PMID- 24934707
TI - The swan mussel (Anodonta cygnea) in Anzali wetland of Iran, a potential
biomonitor for Cd and Pb.
AB - Protecting wetlands from environmental pollution has been of significant
importance, as they are the vital habitats for various kinds of birds and
animals. This study has aimed to monitor the contamination of Cd and Pb in Anzali
wetland, located in the north of Iran using a mussel biomonitor. The contents of
Cd and Pb were measured in the surface sediment, the soft tissue, and the shell
of A. cygnea. The samples were collected from four sites in the region. The
results demonstrated that the shell of A. cygnea can be employed as a precise
case for biomonitoring of Cd and Pb, due to the higher biota-sediment
accumulation factor and the lower coefficient of variation values found in the
shell compared with the soft tissue, and also according to the positive
correlation between Cd and Pb levels in the shell of A. cygnea and the sediment.
PMID- 24934713
TI - Preparation of therapeutic dose of 177Lu-DOTA-TATE using a novel single vial
freeze-dried kit: a comparison with 'in-situ' preparation at hospital
radiopharmacy.
AB - OBJECTIVE: Patient dose of (177)Lu-DOTA-TATE, used for providing radiotherapeutic
treatment to the patients suffering from cancers of neuroendocrine origin, could
be prepared at the hospital radiopharmacy either 'in-situ' or by using
freezedried kits. The objective of the present work is to formulate and evaluate
a single vial freeze-dried DOTA-TATE kit, which is capable of producing up to 7.4
GBq (200 mCi) dose of (177)Lu-DOTA-TATE and to compare the two methodologies
presently used for the preparation of the agent. EXPERIMENTAL: Freeze-dried DOTA
TATE kits, comprising a lyophilized mixture of DOTA-TATE, gentisic acid and
ammonium acetate, were prepared and used for the formulation of patient doses of
(177)Lu-DOTA-TATE. The kits were subjected to detailed radiochemical evaluation
and the shelf-life of the kits was determined. The pharmacokinetic behavior of
the agent was studied in normal Wistar rats. These kits were utilized for
treating the patients suffering from various types of neuroendocrine cancers.
RESULTS: The freeze-dried kits were used for the preparation of up to 7.4 GBq
(200 mCi) therapeutic doses of (177)Lu- DOTA-TATE with a radiochemical purity of
>99% and were found to have sufficiently long shelf-life. Biological studies
carried out in normal Wistar rats exhibited no significant accumulation of
activity in any of the vital organs/tissue except in kidneys and non-accumulated
activity showed major renal clearance. Clinical studies carried out in cancer
patients exhibited accumulation of activity in the cancerous lesions and
metastatic sites. CONCLUSION: The kit was useful for the convenient preparation
of therapeutic dose of (177)Lu-DOTA-TATE, suitable for human administration. The
use of kit is expected to reduce the batch failure and radiation exposure to the
working personnel.
PMID- 24934714
TI - Bovine viral diarrhoea virus ('pestivirus') in Australia: to control or not to
control?
AB - BACKGROUND: Acute infection with bovine viral diarrhoea virus (BVDV) usually
causes only mild clinical disease in cattle, but infection of animals of breeding
age can result in immune suppression (resulting in an increased incidence and
severity of secondary disease) and decreased reproductive performance. If
infection occurs during pregnancy, the virus may cross the placenta and either
cause abortion, establish immunotolerance and persistent infection (PI) in the
fetus or cause congenital deformities. These outcomes depend on the stage of
pregnancy at the time of infection. INTERNATIONAL PERSPECTIVE: BVDV is recognised
as a disease of significant financial impact in a number of countries. As a
result, national and regional BVDV control programs are now in place in several
regions around the world. In Europe, these programs largely rely on the
identification and removal of the PI animals, whereas vaccination has tended to
be the chosen method of control in the United States. BVD IN AUSTRALIA: BVDV is
endemic in Australian cattle populations, with more than 80% of herds surveyed
showing some level of exposure to the pathogen. The cost to the national industry
is estimated to be AUD57.9 million annually. This review identifies and discusses
the challenges to BVDV control in Australia, including farmer attitudes, herd
size, sheep as a potential reservoir host and diagnostic capabilities. We
conclude that systematic BVDV control in Australia is, or soon will be, an
option; however, detailed cost-benefit analyses will need to be undertaken.
PMID- 24934716
TI - Prevalence and characteristics of systolic blood pressure thresholds in
individuals 60 years or older.
PMID- 24934717
TI - Occupational stress, survivorship issues and key themes in this issue:
occupational stress, survivorship interventions, cancer in Chinese populations.
PMID- 24934718
TI - pH-induced motion control of self-propelled oil droplets using a hydrolyzable
gemini cationic surfactant.
AB - Self-propelled motion of micrometer-sized substances has drawn much attention as
an autonomous transportation system. One candidate vehicle is a chemically driven
micrometer-sized oil droplet. However, to the best of our knowledge, there has
been no report of a chemical reaction system controlling the three-dimensional
motion of oil droplets underwater. In this study, we developed a molecular system
that controlled the self-propelled motion of 4-heptyloxybenzaldehyde oil droplets
by using novel gemini cationic surfactants containing carbonate linkages (2G12C).
We found that, in emulsions containing sodium hydroxide, the motion time of the
self-propelled oil droplets was longer in the presence of 2G12C than in the
presence of gemini cationic surfactants without carbonate linkages. Moreover, in
2G12C solution, oil droplets at rest underwent unidirectional, self-propelled
motion in a gradient field toward a higher concentration of sodium hydroxide.
Even though they stopped within several seconds, they restarted in the same
direction. 2G12C was gradually hydrolyzed under basic conditions to produce a
pair of the corresponding monomeric surfactants, which exhibit different
interfacial properties from 2G12C. The prolonged and restart motion of the oil
droplets were explained by the increase in the heterogeneity of the interfacial
tension of the oil droplets.
PMID- 24934715
TI - Deletion of atbf1/zfhx3 in mouse prostate causes neoplastic lesions, likely by
attenuation of membrane and secretory proteins and multiple signaling pathways.
AB - The ATBF1/ZFHX3 gene at 16q22 is the second most frequently mutated gene in human
prostate cancer and has reduced expression or mislocalization in several types of
human tumors. Nonetheless, the hypothesis that ATBF1 has a tumor suppressor
function in prostate cancer has not been tested. In this study, we examined the
role of ATBF1 in prostatic carcinogenesis by specifically deleting Atbf1 in mouse
prostatic epithelial cells. We also examined the effect of Atbf1 deletion on gene
expression and signaling pathways in mouse prostates. Histopathologic analyses
showed that Atbf1 deficiency caused hyperplasia and mouse prostatic
intraepithelial neoplasia (mPIN) primarily in the dorsal prostate but also in
other lobes. Hemizygous deletion of Atbf1 also increased the development of
hyperplasia and mPIN, indicating a haploinsufficiency of Atbf1. The mPIN lesions
expressed luminal cell markers and harbored molecular changes similar to those in
human PIN and prostate cancer, including weaker expression of basal cell marker
cytokeratin 5 (Ck5), cell adhesion protein E-cadherin, and the smooth muscle
layer marker Sma; elevated expression of the oncoproteins phospho-Erk1/2, phospho
Akt and Muc1; and aberrant protein glycosylation. Gene expression profiling
revealed a large number of genes that were dysregulated by Atbf1 deletion,
particularly those that encode for secretory and cell membrane proteins. The four
signaling networks that were most affected by Atbf1 deletion included those
centered on Erk1/2 and IGF1, Akt and FSH, NF-kappaB and progesterone and beta
estradiol. These findings provide in vivo evidence that ATBF1 is a tumor
suppressor in the prostate, suggest that loss of Atbf1 contributes to
tumorigenesis by dysregulating membrane and secretory proteins and multiple
signaling pathways, and provide a new animal model for prostate cancer.
PMID- 24934719
TI - Somatosensory change and pain relief induced by repetitive transcranial magnetic
stimulation in patients with central poststroke pain.
AB - OBJECTIVE: To quantify changes in pain and somatosensory function in patients
with central poststroke pain (CPSP) syndrome following five sessions of
repetitive transcranial magnetic stimulation (rTMS). METHODS: Fourteen CPSP
patients underwent MRI-guided TMS mapping to identify the motor hotspot for
evoked responses from a muscle corresponding to a painful region (hand, N = 11,
or distal leg, N = 3). Targeted rTMS consisting of 2000 stimuli/10 Hz each
session was delivered over five sessions. Quantitative somatosensory testing
(QST) was performed within the painful area and at the contralateral mirror-image
site at baseline and after the rTMS. RESULTS: At baseline there were significant
sensory deficits of the affected body side for warm and cold detection and
heat/cold pain thresholds. Following rTMS, sensory thresholds showed significant
improvements for cold detection threshold (repeated-measures ANOVA, p = 0.04).
Subjects' pain reports (numerical rating scale 0-10) showed modest but
significant improvements in the first week after rTMS (baseline 7.0 +/- 1.5; post
TMS 6.3 +/- 1.5; Wilcoxon signed-rank test, p = 0.018), and these were largely
maintained for up to four weeks post-rTMS. Improvements in warm detection
threshold showed a significant correlation with decrease in pain score
(Spearman's rank-order correlation, p = 0.007). CONCLUSIONS: Five sessions of
open-label rTMS provided analgesia and improved thermal sensibility. The
correlation of reduction of detection threshold for warmth and pain relief
suggest that the effect of rTMS may be mediated via circuitries that share the
processing of noxious and thermal signals, such as the insula and the
somatosensory and anterior cingulate cortices. QST may have a role in the
assessment of patients with neuropathic pain for suitability for rTMS treatment
and is likely to add to our understanding of how rTMS induces pain relief.
PMID- 24934720
TI - The effect of dephasing on the thermoelectric efficiency of molecular junctions.
AB - In this work we report the results of theoretical analysis of the effect of the
thermal environment on the thermoelectric efficiency of molecular junctions. The
environment is represented by two thermal phonon baths associated with the
electrodes, which are kept at different temperatures. The analysis is carried out
using the Buttiker model within the scattering matrix formalism to compute
electron transmission through the system. This approach is further developed so
that the dephasing parameters are expressed in terms of relevant energies,
including the thermal energy, strengths of coupling between the molecular bridge
and the electrodes and characteristic energies of electron-phonon interactions.
It is shown that the latter significantly affect thermoelectric efficiency by
destroying the coherency of electron transport through the considered system.
PMID- 24934721
TI - Comparison of objective muscle strength in C5-C6 and C5-C7 brachial plexus injury
patients after double nerve transfer.
AB - PURPOSE: The purpose of this study was to evaluate the quantitative muscle
strength to distinguish the outcomes of different injury levels in upper arm type
brachial plexus injury (BPI) patients with double nerve transfer. METHODS: Nine
patients with C5-C6 lesions (age = 32.2 +/- 13.9 year old) and nine patients with
C5-C7 lesions (age = 32.4 +/- 7.9 year old) received neurotization of the spinal
accessory nerve to the suprascapular nerve combined with the Oberlin procedure
(fascicles of ulnar nerve transfer to the musculocutaneous nerve) were recruited.
The average time interval between operation and evaluation were 27.3 +/- 21.0 and
26.9 +/- 20.6 months for C5-C6 and C5-C7, respectively. British Medical Research
Council (BMRC) scores and the objective strength measured by a handheld
dynamometer were evaluated in multiple muscles to compare outcomes between C5-C6
and C5-C7 injuries. RESULTS: There were no significant differences in BMRC scores
between the groups. C5-C6 BPI patients had greater quantitative strength in
shoulder flexor (P = 0.02), shoulder extensor (P < 0.01), elbow flexor (P =
0.04), elbow extensor (P = 0.04), wrist extensor (P = 0.04), and hand grip (P =
0.04) than C5-C7 BPI patients. CONCLUSIONS: Upper arm type BPI patients have a
good motor recovery after double nerve transfer. The different outcomes between
C5-C6 and C5-C7 BPI patients appeared in muscles responding to hand grip, wrist
extension, and sagittal movements in shoulder and elbow joints.
PMID- 24934722
TI - Organization of primary health care for diabetes and hypertension in high, low
and middle income countries.
AB - Chronic non-communicable diseases, predominantly diabetes and cardiovascular
disease are a major public health problem globally. The chronicity of these
diseases necessitates a restructuring of healthcare to address the
multidisciplinary, sustained care including psychosocial support and development
of self-management skills. Primary healthcare with elements of the chronic-care
model provides the best opportunity for engagement with the health system. In
this review, the authors discuss aspects of primary healthcare for management of
diabetes and hypertension and innovations such as mobile-phone messaging, web
based registries, computer-based decision support systems and multifaceted health
professionals in the care team among others that are being tested to improve the
quality of care for these diseases in high, middle and low-income countries. The
goal of quality care for diabetes and hypertension demands innovation within the
realities of health systems both in high as well as low and middle-income
countries.
PMID- 24934723
TI - Aberrant methylation of the MSH3 promoter and distal enhancer in esophageal
cancer patients exposed to first-hand tobacco smoke.
AB - PURPOSE: Polymorphisms in MSH3 gene confer risk of esophageal cancer when in
combination with tobacco smoke exposure. The purpose of this study was to
investigate the methylation status of MSH3 gene in esophageal cancer patients in
order to further elucidate possible role of MSH3 in esophageal tumorigenesis.
METHODS: We applied nested methylation-specific polymerase chain reaction to
investigate the methylation status of the MSH3 promoter in tumors and matching
adjacent normal-looking tissues of 84 esophageal cancer patients from a high-risk
South African population. The Cancer Genome Atlas data were used to examine DNA
methylation profiles at 17 CpG sites located in the MSH3 locus. RESULTS: Overall,
promoter methylation was detected in 91.9 % of tumors, which was significantly
higher compared to 76.0 % in adjacent normal-looking esophageal tissues (P =
0.008). When samples were grouped according to different demographics (including
age, gender and ethnicity) and smoking status of patients, methylation
frequencies were found to be significantly higher in tumor tissues of Black
subjects (P = 0.024), patients of 55-65 years of age (P = 0.032), males (P =
0.037) and tobacco smokers (P = 0.015). Furthermore, methylation of the MSH3
promoter was significantly more frequent in tumor samples from smokers compared
to tumor samples from non-smokers [odds ratio (OR) = 31.9, P = 0.031]. The TCGA
data confirmed significantly higher DNA methylation level at the MSH3 promoter
region in tumors (P = 0.0024). In addition, we found evidence of an aberrantly
methylated putative MSH3-associated distal enhancer element. CONCLUSION: Our
results suggest that methylation of MSH3 together with exposure to tobacco smoke
is involved in esophageal carcinogenesis. Due to the active role of the MSH3
protein in modulating chemosensitivity of cells, methylation of MSH3 should
further be examined in association with the outcome of esophageal cancer
treatment using anticancer drugs.
PMID- 24934724
TI - Outcome and toxicity profiles in the treatment of locally advanced lung cancer
with volumetric modulated arc therapy.
AB - PURPOSE: To report about the outcome of radiation treatment of advanced lung
cancer patients with volumetric modulated arcs [RapidArc (RA)]. PATIENTS AND
METHODS: Seventy-five consecutive patients (all stages IIIA and IIIB) were
treated with RA. Among them 71 % were men; 25.4 % presented unspecified non-small
cell lung cancer, 41.3% adenocarcinoma and 33.3 % squamous cell carcinoma. Of
them, 54.7 % received sequential chemotherapy while 45.3% were treated with
concomitant regimen. Dose prescription ranged from 54 to 72 Gy. Analysis included
survival, local control (LC) and toxicity profiles. RESULTS: Median follow-up was
21.2 months (range 6-75). One- two- and five-year actuarial LC was 91.9 +/- 3.2,
79.5 +/- 5.7 and 67.4 +/- 9.5 %, respectively. Median survival was 19.0 +/- 1.1
months. Actuarial survival at 1-2-5 years was 80.0 +/- 4.6, 38.5 +/- 5.9 and 15.2
+/- 4.9 %, respectively. Acute toxicity of G2 was reported in 24, 25.3 and 4.0 %
of patients for lung, esophageal and hematological profiles. A total of 2.7 % of
patients reported G3 toxicity in the esophagus and 5.3 % of the patients
experienced G3-G4 hematological toxicity. Significant differences were observed
in all cases between concomitant and sequential chemotherapy regiments. Only 1.3
% (1 patient) showed G2 lung late toxicity. No significant correlation was found
between toxicity and organ's irradiation levels. CONCLUSION: RA proved to be a
safe and advantageous treatment modality for advanced lung cancer with results in
line with expectations from earlier literature.
PMID- 24934726
TI - Bacteria diversity, distribution and insight into their role in S and Fe
biogeochemical cycling during black shale weathering.
AB - A group of black shale samples, which were collected sequentially along a
continuous depositional unit from bottom fresh zone toward the surface regolith
of the weathering profile at Chengkou County, Southwest China, were examined
using mineralogical, geochemical and pyrosequencing techniques. The mineralogical
and geochemical analyses indicated that the black shale profile provided a series
of extremely acidic and chemical species that changed microbial habitats
following the process of weathering. This finding is in contrast with a previous
hypothesis that a low-diversity bacterial community existed in these harsh
environments; the pyrosequencing analyses showed extremely diverse microbial
communities with 33 different phyla/groups in these samples. Among these
phyla/groups, proteobacteria, actinobacteria and firmcutes were more dominant
than other phyla, and the phylogenetic structures of the bacterial communities
vary with the progressive process of weathering. Moreover, the canonical
correlation analysis suggested that pH and sulfur in sulfate, followed by total
Fe and sulfur in pyrite, are the significant factors that shape the microbial
community structure. In addition, a large proportion of S- and Fe-related
bacteria, such as Acidithiobacillus, Sulfobacillus, Thiobacillus, Ferrimicrobium
and Ferrithrix, may be responsible for pyrite bio-oxidation, as well as for S and
Fe biogeochemical cycling, in the black shale weathering environments.
PMID- 24934727
TI - Spinal injury in ankylosing spondylitis.
PMID- 24934725
TI - Comparison of cetuximab to bevacizumab as the first-line bio-chemotherapy for
patients with metastatic colorectal cancer: superior progression-free survival is
restricted to patients with measurable tumors and objective tumor response--a
retrospective study.
AB - PURPOSE: We aimed to compare the treatment efficacy of cetuximab versus
bevacizumab in combination with either irinotecan-based or oxaliplatin-based
regimens (targeted triplet) as the first-line treatment for patients with
metastatic colorectal cancer. METHODS: Between April 2005 and March 2012,
patients (n = 158) diagnosed with metastatic colorectal cancer after at least
four courses of first-line bevacizumab-based (n = 95) or cetuximab-based triplet
(n = 63) were retrospectively analyzed. The KRAS genotypes were sequenced for all
patients. The Kaplan-Meier method was used for survival analysis, and Cox
proportional hazards models were used for univariate and multivariate analyses.
RESULTS: Cetuximab-based triplet was associated with a higher objective response
rate (66.0 vs. 47.2 %, p = 0.037) and a higher conversion rate to resectability
(39.7 vs. 20.0 %, p = 0.007) compared to bevacizumab-based triplet. Compared with
bevacizumab-based triplet, cetuximab-based triplet significantly increased
progression-free survival in patients with measurable metastatic colorectal
cancer who achieved objective tumor response (responders) (median 13.1 vs. 10.5
months, p = 0.023), but no significant increase was observed for overall
survival. After adjustment for group differences in baseline characteristics and
combined chemotherapy agents, cetuximab-based triplet remained an independent
determinant of progression-free survival in responders as compared with
bevacizumab-based triplet. KRAS mutation was not a prognostic factor in patients
with metastatic colorectal cancer. CONCLUSIONS: As compared with bevacizumab
based triplet, cetuximab-based triplet as the first-line treatment of metastatic
colorectal cancer was associated with better progression-free survival in
patients with measurable tumors who achieved objective tumor response to bio
chemotherapy.
PMID- 24934728
TI - Phenotype prediction based on genome-wide DNA methylation data.
AB - BACKGROUND: DNA methylation (DNAm) has important regulatory roles in many
biological processes and diseases. It is the only epigenetic mark with a clear
mechanism of mitotic inheritance and the only one easily available on a genome
scale. Aberrant cytosine-phosphate-guanine (CpG) methylation has been discussed
in the context of disease aetiology, especially cancer. CpG hypermethylation of
promoter regions is often associated with silencing of tumour suppressor genes
and hypomethylation with activation of oncogenes.Supervised principal component
analysis (SPCA) is a popular machine learning method. However, in a recent
application to phenotype prediction from DNAm data SPCA was inferior to the
specific method EVORA. RESULTS: We present Model-Selection-SPCA (MS-SPCA), an
enhanced version of SPCA. MS-SPCA applies several models that perform well in the
training data to the test data and selects the very best models for final
prediction based on parameters of the test data.We have applied MS-SPCA for
phenotype prediction from genome-wide DNAm data. CpGs used for prediction are
selected based on the quantification of three features of their methylation
(average methylation difference, methylation variation difference and methylation
age-correlation). We analysed four independent case-control datasets that
correspond to different stages of cervical cancer: (i) cases currently
cytologically normal, but will later develop neoplastic transformations, (ii,
iii) cases showing neoplastic transformations and (iv) cases with confirmed
cancer. The first dataset was split into several smaller case-control datasets
(samples either Human Papilloma Virus (HPV) positive or negative). We demonstrate
that cytology normal HPV+ and HPV- samples contain DNAm patterns which are
associated with later neoplastic transformations. We present evidence that DNAm
patterns exist in cytology normal HPV- samples that (i) predispose to neoplastic
transformations after HPV infection and (ii) predispose to HPV infection itself.
MS-SPCA performs significantly better than EVORA. CONCLUSIONS: MS-SPCA can be
applied to many classification problems. Additional improvements could include
usage of more than one principal component (PC), with automatic selection of the
optimal number of PCs. We expect that MS-SPCA will be useful for analysing recent
larger DNAm data to predict future neoplastic transformations.
PMID- 24934729
TI - Polysaccharide extracts of the brown alga Sargassum asperifolium possess in vitro
cancer chemopreventive properties.
AB - The cancer chemopreventive activity of the polysaccharide extracts (E1-E4) of
Sargassum asperifolium, a brown alga in Red Sea shores in Egypt, was
investigated. Tumour anti-initiation activity (the modulation of carcinogen
metabolism) indicated that E3 and E4 were potent anti-initiators by inhibiting
the carcinogen activator cytochrome P450-1A, and enhancing carcinogen
detoxification enzymes glutathione-S-transferase. Only E4 significantly enhanced
quinone reductase activity. All polysaccharide extracts possessed anti-promotion
property by their anti-inflammatory activity. E3 and E4 dramatically induced the
growth of spleen macrophages. E2, E3 and E4 significantly inhibited nitric oxide
generation from lipopolysaccharide (LPS)-stimulated spleen macrophages, while E1,
E3 and E4 led to significant inhibition of LPS-induced tumour necrosis factor
alpha. The extracts E1, E2 and E4 showed cytotoxicity against HepG2 cells, where
E2 and E4 induced cell death due to apoptosis. In conclusion, E3 and E4 are
promising cancer chemopreventive extracts, since they had tumour anti-initiating
activity via their protective modulation of carcinogen metabolism.
PMID- 24934730
TI - Mutational analysis of AGXT in two Chinese families with primary hyperoxaluria
type 1.
AB - BACKGROUND: Primary hyperoxaluria type 1 is a rare autosomal recessive disease of
glyoxylate metabolism caused by a defect in the liver-specific peroxisomal enzyme
alanine:glyoxylate aminotransferase (AGT) that leads to hyperoxaluria, recurrent
urolithiasis, and nephrocalcinosis. METHODS: Two unrelated patients with
recurrent urolithiasis, along with members of their families, exhibited mutations
in the AGXT gene by PCR direct sequencing. RESULTS: Two heterozygous mutations
that predict truncated proteins, p.S81X and p.S275delinsRAfs, were identified in
one patient. The p.S81X mutation is novel. Two heterozygous missense mutations,
p.M1T and p.I202N, were detected in another patient but were not identified in
her sibling. These four mutations were confirmed to be of paternal and maternal
origin. CONCLUSIONS: These are the first cases of primary hyperoxaluria type 1 to
be diagnosed by clinical manifestations and AGXT gene mutations in mainland
China. The novel p.S81X and p.I202N mutations detected in our study extend the
spectrum of known AGXT gene mutations.
PMID- 24934731
TI - An increased incidence of total anomalous pulmonary venous connection among
Hispanics in southern Nevada.
AB - OBJECTIVE: Ethnicity may influence the occurrence of specific cardiac
malformations. DESIGN: We retrospectively analyzed the occurrence of isolated
total anomalous pulmonary venous connection in the Hispanic and non-Hispanic
populations of Southern Nevada over a 10-year period from 2003 to 2013. RESULTS:
The mean cases per 100,000 live births among Hispanics was 19.8 (99% confidence
interval 5.9-33.7) and among non-Hispanics was 2.5 (99% confidence interval 0.4
4.6), P = 0.007. CONCLUSIONS: We found a significantly higher incidence of
isolated total anomalous pulmonary venous connection in Hispanics vs. non
Hispanics.
PMID- 24934733
TI - Acute superior mesenteric venous thrombosis: transcatheter thrombolysis and
aspiration thrombectomy therapy by combined route of superior mesenteric vein and
artery in eight patients.
AB - PURPOSE: To assess the feasibility, effectiveness, and safety of catheter
directed thrombolysis and aspiration thrombectomy therapy by combined route of
superior mesenteric vein and artery (SMV+SMA) for acute superior mesenteric
venous thrombosis (ASMVT). METHODS: This retrospective study reviewed eight ASMVT
patients with transcatheter direct thrombolysis and aspiration thrombectomy
therapy via SMV and indirect thrombolysis via SMA during a period of 14 months.
The demographics, etiology, risk factors, therapeutic effect, complications,
mortality, and follow-up of the study population were assessed. Anatomic and
imaging classification of location and extent of thrombus at diagnosis and degree
of thrombus lysis were described. RESULTS: Technical success was achieved with
substantial improvement in symptoms and thrombus resolution after thrombolytic
therapy in all patients. The local urokinase infusion by SMA and SMV was
performed for 5-7 (6.13 +/- 0.83) and 7-15 (12 +/- 2.51) days. Anticoagulation
was performed catheter-directed and then orally throughout hospitalization and
after discharge. Four patients required delayed localized bowel resection after
thrombolytic therapy with no death. Thrombolytic therapy was not interrupted
despite minor bleeding at the puncture site in two patients and sepsis in another
two postoperatively. Nearly complete removal of thrombus was demonstrated by
contrast-enhanced CT scan and portography before discharge. Patients were
discharged in 10-27 (19.25 +/- 4.89) days after admission. No recurrence
developed during the follow-up of 10-13 (12.13 +/- 0.99) months. CONCLUSIONS:
Catheter-directed thrombolytic and aspiration therapy via SMV+SMA is beneficial
for ASMVT in avoiding patient death, efficient resolving thrombus, rapid
improving symptoms, reversing extensive intestinal ischemia, averting bowel
resection, or localizing infarcted bowel segment and preventing short bowel
syndrome.
PMID- 24934732
TI - A randomized, prospective, parallel group study of laparoscopic versus
laparoendoscopic single site donor nephrectomy for kidney donation.
AB - Few prospective, randomized studies have assessed the benefits of
laparoendoscopic single site donor nephrectomy (LESS-DN) over laparoscopic donor
nephrectomy (LDN). Our center initiated such a trial in January 2011, following
subjects randomized to LESS-DN versus LDN from surgery through 5 years
postdonation. Subjects complete recovery/satisfaction questionnaires at 2, 6 and
12 months postdonation; transplant recipient outcomes are also recorded. One
hundred subjects (49 LESS-DN, 51 LDN) underwent surgery; donor demographics were
similar between groups, and included a predominance of female, living-unrelated
donors, mean age of 47 years who underwent left donor nephrectomy. Operative
parameters (overall time, time to extraction, warm ischemia time, blood loss)
were similar between groups. Conversion to hand-assist laparoscopy was required
in 3 LESS-DN (6.1%) versus 2 LDN (3.9%; p = 0.67). Questionnaires revealed that
97.2% of LESS-DN versus 79.5% of LDN (p = 0.03) were 100% recovered by 2 months
after donation. No significant difference was seen in satisfaction scores between
the groups. Recipient outcomes were similar between groups. Our randomized trial
comparing LESS donor nephrectomy to LDN confirms that LESS-DN offers a safe
alternative to conventional LDN in terms of intra- and post-operative
complications. LDN and LESS-DN offer similar recovery and satisfaction after
donation.
PMID- 24934734
TI - Use of percutaneous aspiration thrombectomy vs. anticoagulation therapy to treat
acute iliofemoral venous thrombosis: 1-year follow-up results of a randomised,
clinical trial.
AB - PURPOSE: The purpose of this study was to compare the efficacy of percutaneous
aspiration thrombectomy (PAT) followed by standard anticoagulant therapy, with
anticoagulation therapy alone, for the treatment of acute proximal lower
extremity deep vein thrombosis. METHODS: In this randomised, prospective study,
42 patients with acute proximal iliofemoral deep vein thrombosis documented via
Doppler ultrasound examination, were separated into an interventional treatment
group (16 males, 5 females, average age 51 years) and a medical treatment group
(13 males, 8 females, average age 59 years). In the interventional group, PAT
with large-lumen 9-F diameter catheterisation was applied, after initiation of
standard anticoagulant therapy. Balloon angioplasty (n 19) and stent
implementation (n: 14) were used to treat patients with residual stenosis (>50 %)
after PAT. Prophylactic IVC filters were placed in two patients. The thrombus
clearance status of the venous system was evaluated by venography. In both the
medical and interventional groups, venous patency rates and clinical symptom
scores were evaluated at months 1, 3, and 12 after treatment. RESULTS: Deep
venous systems became totally cleared of thrombi in 12 patients treated with PAT.
The venous patency rates in month 12 were 57.1 and 4.76 % in the interventional
and medical treatment groups, respectively. A statistically significant
improvement was observed in clinical symptom scores of the interventional group
(PAT) with or without stenting (4.23 +/- 0.51 before treatment; 0.81 +/- 0.92 at
month 12) compared with the medical treatment group (4.00 +/- 0.63 before
treatment; 2.43 +/- 0.67 at month 12). During follow-up, four patients in the
medical treatment and one in the interventional group developed pulmonary
embolisms. CONCLUSIONS: For treatment of acute deep vein thrombosis, PAT with or
without stenting is superior to anticoagulant therapy alone in terms of both
ensuring venous patency and improving clinical symptoms. PAT is a safe,
inexpensive, and easily performed method of endovascular treatment with a low
rate of major complications. Our present findings and literature data suggest
that PAT can be used as first-line treatment in proximal deep vein thrombosis
patients, especially when thrombolytic treatment is contraindicated.
PMID- 24934735
TI - Chemoembolization of extrahepatic collateral arteries for treatment of
hepatocellular carcinoma in the caudate lobe of the liver.
AB - PURPOSE: This study was designed to evaluate the efficacy and safety in
performing chemoembolization of extrahepatic collateral arteries (EHC) for
hepatocellular carcinoma (HCC) located in the caudate lobe. METHODS: Between
January 2006 and November 2013, chemoembolization via EHC was performed in 35
patients with 35 caudate HCCs. Preprocedural and follow-up CT or MR scans,
angiographic images, and medical records were reviewed retrospectively in
consensus. Chi-square analysis was used to evaluate the relationship between
tumor characteristics and type of EHC and that between tumor response and the
characteristics of the tumor and chemoembolization. RESULTS: In 31 (88.6 %)
patients, EHCs supplying the caudate HCC originated from the right inferior
phrenic artery (RIPA). The remaining four HCCs were supplied by the
gastroduodenal artery, dorsal pancreatic artery, and right and left gastric
arteries. Superselective catheterization of tumor-feeding vessels from the EHC
was achieved in 27 patients (77.1 %). There were no major complications.
Individual tumor response supplied by the EHC at follow-up contrast-enhanced CT
were as follows: complete response (n = 18), partial response (n = 9), stable
disease (n = 3), and progressive disease (n = 3). Non-RIPA EHCs were
significantly more common in patients who had previously received
chemoembolization via the RIPA (50 %) than those who had not (6.5 %; P = 0.01).
There was no significant predictive factor associated with tumor response.
CONCLUSIONS: HCC in the caudate lobe can be supplied by several EHCs.
Chemoembolization via these arteries can be performed safely and effectively.
PMID- 24934736
TI - The accordion sign in the transplant ureter: ramifications during balloon
dilation of strictures.
AB - PURPOSE: This study was designed to demonstrate the accordion sign within the
transplant ureter and evaluate its ramifications during balloon dilation of
strictures. METHODS: A retrospective electronic chart and imaging review included
demographic characteristics, procedure reports, and complications of 28 renal
transplant patients having ureteral strictures treated with percutaneous balloon
dilation reported in our transplant nephrology database during an 8-year period.
The accordion sign was deemed present or absent on the basis of an imaging review
and was defined as present when a tortuous ureter became kinked and irregular
when foreshortened after placement of a wire or a catheter. Procedure-related
urine leaks were categorized as occurring at the stricture if within 2 cm;
otherwise, they were considered away from the stricture. RESULTS: The accordion
sign was associated with a significantly greater occurrence of leaks away from
the stricture (P = 0.001) but not at the stricture (P = 0.34). CONCLUSIONS: The
accordion sign is an important consideration when performing balloon dilation
procedures on transplant ureteral strictures, given the increased risk of leak
away from the stricture. Its presence should prompt additional care during wire
and catheter manipulations.
PMID- 24934738
TI - A randomized controlled trial of hypnosis compared with biofeedback for adults
with chronic low back pain.
AB - BACKGROUND: Chronic low back pain (CLBP) is common and results in significant
costs to individuals, families and society. Although some research supports the
efficacy of hypnosis for CLBP, we know little about the minimum dose needed to
produce meaningful benefits, the roles of home practice and hypnotizability on
outcome, or the maintenance of treatment benefits beyond 3 months. METHODS: One
hundred veterans with CLBP participated in a randomized, four-group design study.
The groups were (1) an eight-session self-hypnosis training intervention without
audio recordings for home practice; (2) an eight-session self-hypnosis training
intervention with recordings; (3) a two-session self-hypnosis training
intervention with recordings and brief weekly reminder telephone calls; and (4)
an eight-session active (biofeedback) control intervention. RESULTS: Participants
in all four groups reported significant pre- to post-treatment improvements in
pain intensity, pain interference and sleep quality. The hypnosis groups combined
reported significantly more pain intensity reduction than the control group.
There was no significant difference among the three hypnosis conditions. Over
half of the participants who received hypnosis reported clinically meaningful (>=
30%) reductions in pain intensity, and they maintained these benefits for at
least 6 months after treatment. Neither hypnotizability nor amount of home
practice was associated significantly with treatment outcome. CONCLUSIONS: The
findings indicate that two sessions of self-hypnosis training with audio
recordings for home practice may be as effective as eight sessions of hypnosis
treatment. If replicated in other patient samples, the findings have important
implications for the application of hypnosis treatment for chronic pain
management.
PMID- 24934737
TI - Three-dimensional path planning software-assisted transjugular intrahepatic
portosystemic shunt: a technical modification.
AB - PURPOSE: This study was designed to report our results with a modified technique
of three-dimensional (3D) path planning software assisted transjugular
intrahepatic portosystemic shunt (TIPS). METHODS: 3D path planning software was
recently developed to facilitate TIPS creation by using two carbon dioxide
portograms acquired at least 20 degrees apart to generate a 3D path for overlay
needle guidance. However, one shortcoming is that puncturing along the overlay
would be technically impossible if the angle of the liver access set and the
angle of the 3D path are not the same. To solve this problem, a prototype 3D path
planning software was fitted with a utility to calculate the angle of the 3D
path. Using this, we modified the angle of the liver access set accordingly
during the procedure in ten patients. RESULTS: Failure for technical reasons
occurred in three patients (unsuccessful wedged hepatic venography in two cases,
software technical failure in one case). The procedure was successful in the
remaining seven patients, and only one needle pass was required to obtain portal
vein access in each case. The course of puncture was comparable to the 3D path in
all patients. No procedure-related complication occurred following the
procedures. CONCLUSIONS: Adjusting the angle of the liver access set to match the
angle of the 3D path determined by the software appears to be a favorable
modification to the technique of 3D path planning software assisted TIPS.
PMID- 24934740
TI - An efficient dye-sensitized BiOCl photocatalyst for air and water purification
under visible light irradiation.
AB - A photosensitized BiOCl catalyst was found to be effective for photocatalytic
water purification and air remediation under visible light irradiation (lambda >
420 nm). Prepared by a solvothermal method, the BiOCl crystals possessed a 3D
hierarchical spherical structure with the highly active facets exposed. When
sensitized by Rhodamine B (RhB), the photocatalyst system was more active than N
doped TiO2 for breaking down 4-chlorophenol (4-CP, 200 ppm) and nitric monoxide
(NO, 500 ppb). The high activity could be attributed to the hierarchical
structure (supplying feasible reaction tunnels for adsorption and transition of
reactants or products) and the efficient exposure of the {001} facets. The former
provides an enriched oxygen atom density that promotes adsorption of cationic dye
RhB, and creates an oxygen vacancy state. The HO and O2(-) radicals produced from
the injected electrons from the excited dye molecule (RhB*) into the conduction
band of BiOCl were responsible for the excellent photocatalytic performance of
the RhB-BiOCl system.
PMID- 24934739
TI - The risk of placental abruption and placenta previa in pregnant women with
chronic hepatitis B viral infection: a systematic review and meta-analysis.
AB - INTRODUCTION: Several epidemiological studies have found a positive association
between chronic hepatitis B virus (CHB) infection and the risk of placental
abruption and placenta previa, but various studies have reported conflicting
findings. The objective was to systematically review the literature to determine
a possible association between CHB infection and these two placental
complications. METHODS: We conducted a computerized search in electronic database
through March 1, 2014, supplemented with a manual search of reference lists, to
identify original published research on placental abruption and placenta previa
rates in women with CHB infection. Data were independently extracted, and
relative risks were calculated. The meta-analysis was performed using Stata
version 10.0 software. RESULTS: Five studies involving 9088 placenta previa cases
were identified. No significant association between CHB infection and placenta
previa was identified (OR = 0.98, 95% CI = 0.60-1.62). Five studies involving
15571 placental abruption cases were identified. No significant association
between CHB infection and placental abruption was identified (OR = 1.42, 95% CI,
0.93-2.15). DISCUSSION: The immune response against the virus represents a key
factor in determining infection outcomes. No observation of significant increased
risk of the placental complications could be partially explained by the complex
immune response during CHB infection. CONCLUSIONS: Our meta-analysis found no
evidence of significant associations between CHB infection and increased risk of
placental abruption as well as placenta previa. Further well-designed studies
were warranted to assess any potential association between CHB infection and
increased risk of placental abruption as well as placenta previa.
PMID- 24934741
TI - 40 GHz RF biosensor based on microwave coplanar waveguide transmission line for
cancer cells (HepG2) dielectric characterization.
AB - This paper presents a 40-GHz RF biosensor that involves using a microwave
coplanar waveguide (CPW) transmission line for the dielectric characterization of
cancer cells (Hepatoma G2, HepG2). In the past, conventional resonator-based
biosensors were designed to operate at a specific resonant peak; however, the
dielectric sensitivity of the cells was restricted to a narrow bandwidth. To
provide a very wide bandwidth (1-40 GHz), biosensors were based on a microwave
CPW transmission line. The proposed biosensor can rapidly measure two frequency
dependent cell-based dielectric parameters of HepG2 cells, microwave attenuation
(alpha(f)cell) and the dielectric constant (epsilonr(f)cell), while removing the
microwave parasitic effects (including the cultured medium and substrate
materials). The proposed biosensor can be applied in postoperative cancer
diagnosis.
PMID- 24934742
TI - 3D graphene nano-grid as a homogeneous protein distributor for ultrasensitive
biosensors.
AB - In order to realize the protein uniform immobilization, a 3D nano-gird
architecture of thiol grafted graphene film was fabricated to serve as a novel
linker between protein and substrate. Relied on the online monitor by QCM,
graphene deposition process can be exactly controlled to construct the perfect
and continuous cavities with the consistent size of 500 nm. The synergetic
characterization of FESEM and Nano-indentation characterizations have revealed
the strong stability of grid structure to provide a firm foundation for further
protein adsorption. Instead of common partial aggregation behavior, proteins can
be spontaneously distributed into cavities by the interaction from thiol group.
According to the verifications of various proteins, the efficiency of this
distributor will not be constricted by the category and amount of protein, which
exhibit its versatility of homogeneous distribution. Glucose and lactate oxidase
loaded graphene distributors were directly served as biosensors to verify the
superiority of distribution. Their sensitivities can be remarkably improved three
times since the adoption of this nano-grid structured graphene distributor.
PMID- 24934743
TI - Highly selective turn-on fluorescent sensor for nanomolar detection of
biologically important Zn2+ based on isonicotinohydrazide derivative: application
in cellular imaging.
AB - A new Zn(2+) selective chemosensor (3) was synthesized by condensation of
commercially available substituted salicylaldehyde and isonicotinohydrazide, and
characterized by single crystal X-ray crystallography. Receptor 3 with Zn(2+)
exhibited a highly selective and pronounced enhancement in the fluorescence
emission among different cations by forming a 2:1 complex. The receptor can
detect Zn(2+) up to nanomolar level (6.75 nM) with good tolerance of other metal
ions and can be used for in vitro cellular imaging.
PMID- 24934744
TI - Label-free enumeration of colorectal cancer cells from lymphocytes performed at a
high cell-loading density by using interdigitated ring-array microelectrodes.
AB - We report the label-free enumeration of human colorectal-carcinoma cells from
blood lymphocytes by using interdigitated ring-array microelectrodes; this
enumeration was based on the dielectrophoretic selection of cells. Because of the
novel design of the device, a continuous flow of cells is uniformly distributed
into parallel streams through 300 rings (~40 MUm in diameter each) that are
integrated into the electrode digits. Using this array, 82% of cancer cells were
recovered and 99% of blood lymphocytes were removed. Most of the cancer cells
recovered were viable (94%) and could be cultivated for >8 days, during which
period they retained their normal cell morphology and proliferation rates. The
recovery rate correlated closely with cancer-cell loadings in spiked samples and
this relationship was linear over a range of at least 2 orders of magnitude.
Importantly, because of the 3D structure of the rings, these results were
obtained at a high cell-loading concentration (10(7)cells/mL). The rings could be
further optimized for use in accurate label-free identification and measurement
of circulating tumor cells in cancer research and disease management.
PMID- 24934746
TI - Recent research trends of radio-frequency biosensors for biomolecular detection.
AB - This article reviews radio-frequency (RF) biosensors based on passive and/or
active devices and circuits. In particular, we focus on RF biosensors designed
for detection of various biomolecules such as biotin-streptavidin, DNA
hybridization, IgG, and glucose. The performance of these biosensors has been
enhanced by the introduction of various sensing schemes with diverse
nanomaterials (e.g., carbon nanotubes, graphene oxide, magnetic and gold
nanoparticles, etc.). In addition, the RF biosensing platforms that can be
associated with an RF active system are discussed. Finally, the challenges of RF
biosensors are presented and suggestions are made for their future direction and
prospects.
PMID- 24934745
TI - Self-assemble ZnMn2O4 hierarchical hollow microspheres into self-supporting
architecture for enhanced biosensing performance.
AB - We demonstrate a facile and scalable approach to fabricate and self-assemble the
hierarchical hollow microspheres into self-supporting architecture by naturally
grown branches. The supporting branches can afford integrated transport channels
and significantly improve the kinetic performance and mechanical stability.
Meanwhile, the supported hierarchical microspheres acting as functional cell can
provide high active sites, multiple response and suitable environment for
immobilizing biomolecules. Different enzymes are immobilized for biosensors. The
experiments demonstrate that the effective assembly of hierarchical microspheres
into large size ordered architecture by self-supporting branches can
significantly enhance the biosensing performance.
PMID- 24934747
TI - SERS molecular sentinel for the RNA genetic marker of PB1-F2 protein in highly
pathogenic avian influenza (HPAI) virus.
AB - We have developed a simple and sensitive assay for the detection of the RNA
genetic marker associated with high pathogenicity influenza (HPAI) virus. The
assay constituted of an array of Raman label tagged hairpin-DNA immobilized on a
surface-enhanced Raman scattering (SERS) active substrate as the molecular
sentinel (MS) reporter. Upon incubation of the assay with the target RNA, the
structure of the hairpin-DNA probe changed from stem-loop configuration (closed
state) to DNA/RNA hybridization configuration (open state) so that the Raman
label tag will be physically separated from the SERS substrate and induce a
decrease of Raman scattering intensity. A metal film over nanosphere (MFON)
substrate was developed with a SERS enhancement of about 1.7 * 10(5). Based on
this MS-modified substrate, the SERS signal showed a linear relationship to the
target RNA in the range of 0-60 attomoles and the limit of detect is 2.67
attomoles. The non-complementary RNA sequences control was also detected and no
spectral response was observed. The sensing process only required a single
hybridization step and post-hybridization washing could also be omitted. Given
that this ultrasensitive biosensor assay is free of polymerase chain reaction
(PCR) amplification, it would be a potential diagnostic tool for point-of-care
HPAI virus detection.
PMID- 24934748
TI - DNA-length-dependent fluorescent sensing based on energy transfer in self
assembled multilayers.
AB - In this paper, a novel DNA-length-dependent fluorescent sensor was constructed
based on the fluorescence resonance energy transfer. In the self-assembled
multilayers (Quartz/GO/PDDA/Tx-DNA/PDDA/ZnO@CdS), ZnO@CdS and graphene oxide(GO)
were employed as an energy donor and an energy acceptor, respectively. Single
stranded Tx-DNA (x represents different chain length of DNA) and
poly(diallydimethylammonium) chloride (PDDA) were used as a linker. In the
presence of complementary Px-DNA, the formation of double-stranded DNA leads to a
change in chain length and achieves the purpose of changing the distance between
ZnO@CdS and GO. Thereby, it enhances the efficiency of energy transfer between
ZnO@CdS and GO resulting in the quench of fluorescence of ZnO@CdS, and thus
different length DNA sequence was detected.
PMID- 24934749
TI - Comparative syntheses of tetracycline-imprinted polymeric silicate and acrylate
on CdTe quantum dots as fluorescent sensors.
AB - The amphoteric drug molecule tetracycline, which contains groups with pKa 3.4
9.9, was used as a template for conjugating molecularly imprinted polymers (MIPs)
and as a quencher for CdTe quantum dot (QD) fluorescence. Two MIP-QD composites
were synthesized by a sol-gel method using a silicon-based monomer and a monomer
linker between the MIP and QD, i.e., tetraethoxylsilane/3
mercaptopropyltriethoxysilane (MPS) and tetraethoxylsilane/3
aminopropyltriethoxysilane (APS). Another MIP-QD composite was synthesized by the
chain-growth polymerization of methacrylic acid (MAA) and an allyl mercaptan
linker. The prepared MIP-QDs were characterized by FTIR and SEM and utilized at
0.33 mg/mL to determine the tetracycline content in phosphate buffers (pH 7.4,
50mM) through the Perrin and Stern-Volmer models of quenching fluorometry. The
Perrin model was applied to tetracycline concentrations of 7.4 MUM-0.37 mM for
MIP-MPS-QD, 7.4 MUM-0.12 mM for MIP-APS-QD, and 7.4 MUM-0.10mM for MIP-MAA-QD
(R(2)=0.9988, 0.9978, and 0.9931, respectively). The Stern-Volmer model was
applied to tetracycline concentrations of 0.12-0.37 mM for MIP-APS-QD
(R(2)=0.9983) and 0.10-0.37 mM for MIP-MAA-QD (R(2)=0.9970). The detection limits
were 0.45 MUM, 0.54 MUM, and 0.50 MUM for MIP-MPS-QD, MIP-APS-QD, and MIP-MAA-QD,
respectively. Equilibrium times, differences between imprinted and nonimprinted
polymers, and MIP-QD quenching mechanisms were discussed. Finally, specificity
studies demonstrated that MIP-MAA-QD exhibited optimal recoveries of 96% from
bovine serum albumin (n=5, RSD=3.6%) and 91% from fetal bovine serum (n=5,
RSD=4.8%).
PMID- 24934750
TI - Autonomous capillary microfluidic system with embedded optics for improved
troponin I cardiac biomarker detection.
AB - Cardiovascular diseases are the most prevalent medical conditions affecting the
modern world, reducing the quality of life for those affected and causing an ever
increasing burden on clinical resources. Cardiac biomarkers are crucial in the
diagnosis and management of patient outcomes. In that respect, such proteins are
desirable to be measured at the point of care, overcoming the shortcomings of
current instrumentation. We present a CO2 laser engraving technique for the rapid
prototyping of a polymeric autonomous capillary system with embedded on-chip
planar lenses and biosensing elements, the first step towards a fully
miniaturised and integrated cardiac biosensing platform. The system has been
applied to the detection of cardiac Troponin I, the gold standard biomarker for
the diagnosis of acute myocardial infarction. The devised lab-on-a-chip device
was demonstrated to have 24 pg/ml limit of detection, which is well within the
minimum threshold for clinically applicable concentrations. Assays were completed
within approximately 7-9 min. Initial results suggest that, given the
portability, low power consumption and high sensitivity of the device, this
technology could be developed further into point of care instrumentation useful
in the diagnosis of various forms of cardiovascular diseases.
PMID- 24934753
TI - Dissecting the steps of CO2 reduction: 1. The interaction of CO and CO2 with
gamma-Al2O3: an in situ FTIR study.
AB - The adsorption of CO2 and CO was investigated on a pure gamma-Al2O3 support
material that has been used in Pd and Ru catalysts for the reduction of CO2. The
adsorption of CO2 resulted in the formation of carbonates, bicarbonates and
linearly adsorbed CO2 species. The amount and the nature of the adsorbed species
were dependent on the annealing temperature of the alumina support. On gamma
Al2O3 annealed at 473 K mostly bicarbonates formed, while no adsorbed CO2 was
seen on this highly hydroxylated surface. With increasing calcination temperature
the amount of both surface carbonates and linearly adsorbed CO2 increased, but
still the most abundant surface species were bicarbonates. Surface carbonates and
adsorbed CO2 can readily be removed from the alumina surface, while bicarbonates
are stable to elevated temperatures. The interaction of CO with gamma-Al2O3 is
much weaker than that of CO2. At room temperature CO adsorbs only on Lewis acid
sites, and can be readily removed by evacuation. At 100 K CO can probe different
defect sites on the alumina surface. Under no conditions we have observed the
formation of any carbonates or bicarbonates upon the interaction of CO with the
pure alumina support. In co-adsorption experiments CO competes for adsorption
sites with the linearly adsorbed CO2 on the 773 K-annealed gamma-Al2O3 surface,
but it does not result in the desorption of CO2, rather in the increased
production of weakly held carbonates. After the removal of adsorbed CO, CO2 moves
back to its original adsorption sites, i.e., Lewis acidic Al(3+) centers. The
exposure of a CO2-saturated gamma-Al2O3 to H2O did not affect any of the adsorbed
surface species. The findings of this study will be used to rationalize the
results of our ongoing in situ and in operando studies on the reduction of CO2 on
supported Pd and Ru catalysts.
PMID- 24934754
TI - Chicken or egg--Weismann revisited.
PMID- 24934751
TI - AP-1 activation attenuates the arsenite-induced apoptotic response in human
bronchial epithelial cells by up-regulating HO-1 expression.
AB - Arsenite is a cytotoxic reagent that has been used clinically to treat certain
cancers. Although the cytotoxic mechanisms of arsenite have been investigated,
the cellular mechanisms that act against arsenite damage are poorly understood.
Heme oxygenase 1 (HO-1) has been implicated in cellular survival under other
multiple stress conditions. Here, we show that a significant induction of HO-1
expression is present in human bronchial epithelial cells (Beas-2B) treated with
lethal doses of arsenite treatment. This induction depends on the known ERK/AP1
signaling pathway. As expected, HO-1 RNAi knockdown, or ERK/AP1 inhibition,
renders the Beas-2B cells more sensitive to arsenite damage. Our data thus
suggest that transcriptional upregulation of HO-1 expression via a putative
ERK/AP-1 pathway constitutes an inherent mechanism by which arsenite-induced
apoptosis is attenuated.
PMID- 24934756
TI - Newer clinically available antithrombotics and their antidotes.
AB - New oral anticoagulants (NOACs) have emerged as an alternative therapy to
warfarin in the treatment of arterial and venous thromboembolism and in stroke
prevention in patients with non-valvular atrial fibrillation (AF). Three of them,
i.e., dabigatran, rivaroxaban, and apixaban, have been approved for clinical use
in North America and in a number of European countries. In non-valvular AF, their
approval was based on large randomized trials showing that they are non-inferior
or even, in some instances, superior to warfarin. Dabigatran is a direct thrombin
(factor IIa) inhibitor; rivaroxaban and apixaban are direct factor Xa inhibitors.
Before using NOACs, it is recommended to become familiar with their
pharmacological characteristics and their metabolism. The absence of specific
antidotes is often cited as part of the possible weaknesses of NOACs. Antidotes
are perceived to be useful in emergency situations such as life-threatening
bleeding or non-elective major surgery. NOACs do not require blood monitoring,
and therefore, patient compliance to the treatment is essential. For the present
time, there are no specific antidotes available for the three NOACs approved for
clinical use. However, phase I or phase II research studies in this area are
ongoing. For dabigatran, a specific antidote has been tested in a rat model of
anticoagulation, and a study in healthy male volunteers has been recently
reported. For rivaroxaban, prothrombin complex concentrates (PCCs) have been
found to completely reverse the prolongation of the prothrombin time induced by
this NOAC. For apixaban, recombinant factor VII was found in an experimental
study using human blood to be superior to activated PCC (aPCC) and PCC. More
specific antidotes for rivaroxaban and apixaban are in phases I and II
evaluation. The management of patients suffering from a major bleeding or
requiring a non-elective major surgery includes non-specific reversal agents and
is discussed in the light of a recent position paper and of current literature.
Most recommendations are based on expert opinions only as randomized trials using
agents for reversal of anticoagulation in case of life-threatening bleeding or of
major urgent surgery are not available.
PMID- 24934755
TI - Arabic-speaking migrants' experiences of the use of interpreters in healthcare: a
qualitative explorative study.
AB - INTRODUCTION: Arabic-speaking migrants have constituted a growing population in
recent years. This entails major challenges to ensure good communication in the
healthcare encounter in order to provide individual and holistic healthcare. One
of the solutions to ensure good communication between patient and healthcare
staff who do not share the same language is to use a professional interpreter. To
our knowledge, no previous qualitative studies have been found concerning Arabic
speaking migrants and the use of interpreters. This study aims to ascertain their
individual experiences which can help extend our understanding of the studied
area. METHOD: A purposive sample of 13 Arabic-speaking persons with experience of
using interpreters in healthcare encounters. Data were collected between November
2012 and March 2013 by four focus-group interviews and analysed with qualitative
analysis according to a method described for focus groups. RESULTS: Four
categories appeared from the analysis: 1) The professional interpreter as
spokesperson; 2) Different types of interpreters and modes of interpretation
adapting to the healthcare encounter; 3) The professional interpreter's task and
personal properties affected the use of professional interpreters in a healthcare
encounter; 4) Future planning of the use of professional interpreters in a
healthcare encounter. The main findings were that the use of interpreters was
experienced both as a possibility and as a problem. The preferred type of
interpreters depended on the interpreter's dialect and ability to interpret
correctly. Besides the professional interpreter's qualities of good skill in
language and medical terminology, translation ability, neutrality and
objectivity, Arabic-speaking participants stated that professional interpreters
need to share the same origin, religion, dialect, gender and political views as
the patient in order to facilitate the interpreter use and avoid inappropriate
treatment. CONCLUSION: The study showed that the personal qualities of a good
interpreter not only cover language ability but also origin, religion, dialect,
gender and political views. Thus, there is need to develop strategies for
personalized healthcare in order to avoid inappropriate communication, to satisfy
the preferences of the person in need of interpreters and improve the impact of
interpretation on the quality of healthcare.
PMID- 24934757
TI - Phrenic nerve stimulation in cardiac resynchronization therapy.
AB - In cardiac resynchronization therapy (CRT), the electrical impulse delivered by
the left ventricular (LV) lead may incidentally cause phrenic nerve stimulation
(PNS). The purpose of this state-of-the-art review is to describe the frequency,
risk factors, and clinical consequences of PNS and to present the most recent
options to successfully manage PNS. PNS occurs in 2 to 37% of implanted patients
and is not always detected in the supine position during implantation. Lateral
and posterior veins are at higher risk of PNS than anterior veins, and apical
positions are at higher risk of PNS than basal positions. The management of PNS
discovered during implantation may include mapping the course of the target vein
in order to find a PNS-free site, targeting another vein if available, and pacing
with alternative configurations before changing the lead location. Non-invasive
options for management of post-operative PNS depend on the difference between PNS
and LV stimulation thresholds and include reducing the LV pacing output,
automatic determination of LV stimulation threshold and minimal output delivery
by the device, increasing the pulse duration, and electronic repositioning. New
quadripolar leads allow to pace from different cathodes, and the multiple pacing
configurations available have proved superior to bipolar leads in mitigating PNS.
This electronic repositioning addresses almost all of the clinically relevant PNS
and should markedly reduce the need for invasive lead repositioning or CRT
abandon, which is actually the last option for 2% of patients.
PMID- 24934758
TI - Usefulness of QRS voltage correction by body mass index to improve
electrocardiographic detection of left ventricular hypertrophy in patients with
systemic hypertension.
AB - Obesity reduces the accuracy of voltage-based electrocardiographic (ECG) criteria
for diagnosis of left ventricular (LV) hypertrophy. We developed a new ECG score
for diagnosis of LV hypertrophy, defined either by a typical strain pattern or a
product of the Cornell voltage (R wave height in lead aVL plus S wave depth in
lead V3) by body mass index >604 mm?kg/m(2). We examined a population of 2,747
untreated hypertensive subjects (mean age 49 +/- 11 years) with good quality ECG
and echocardiographic tracings. Several traditional ECG criteria for LV
hypertrophy were compared with the new score, with echocardiographic LV mass
taken as reference. Among the tested criteria, the highest sensitivity combined
with specificity was yielded by the new score (sensitivity 36.1%, 95% confidence
interval [CI] 32.9 to 39.4; specificity 90.5%, 95% CI 89.1 to 91.8; and accuracy
73.1%, 95% CI 71.5 to 74.8). Prevalence of ECG LV hypertrophy with the new score
was 18%. On the basis of comparisons between areas under the receiver operating
characteristic curves, the best performance was achieved by the new score with
respect to other ECG criteria for LV hypertrophy (all p <0.0001). In conclusion,
correction of Cornell voltage by body mass index as a marker of obesity improves
the performance of traditional electrocardiography for diagnosis of LV
hypertrophy in patients with hypertension.
PMID- 24934759
TI - Cardiovascular magnetic resonance determinants of left ventricular noncompaction.
AB - Insufficient precision remains in accurately identifying left ventricular
noncompaction (LVNC) from the healthy normal morphologic spectrum. We aim to
provide a better distinction between normal left ventricular trabeculations and
LVNC. We used a previously well-defined cohort of 120 healthy volunteers for
normal reference values of the trabecular/compacted ratio derived from a
consistent selection of short-axis cardiovascular magnetic resonance images. We
performed forward selection of logistic regression models, selecting the best
model that was subsequently assessed for discrimination and calibration,
validated, and converted into a clinical diagnostic chart to benchmark the
boundaries of detection from a cohort of 30 patients considered to have LVNC. We
showed that 3 combinations of a maximal end-diastolic trabecular/compacted ratio
(>=1 [apex], >1.8 [midcavity]), (>2 [apex], >=0.6 [midcavity]), or (>0.5 [base],
>1.8 [midcavity]) separate the cohorts with the highest accuracy (C statistic
[95% confidence interval] of 0.9749 (0.9748 to 0.9751) for the diagnostic chart).
Quantitative cardiovascular magnetic resonance also shows that patients
considered to have LVNC have a significantly reduced ejection fraction compared
with normal volunteers. At midcavity and apical level, it is difficult to
identify papillary muscles that are replaced by a dense trabecular meshwork. In
conclusion, we developed a new, refined, diagnostic tool for identifying LVNC,
based on an a priori assessment of the trabecular architecture in healthy
volunteers.
PMID- 24934760
TI - Proteinuria in adults with sickle-cell disease: the role of
hydroxycarbamide(hydroxyurea) as a protective agent.
AB - BACKGROUND: Renal abnormalities are often seen in sickle cell disease (SCD).
OBJECTIVE: To investigate the role of hydroxycarbamide as a protective agent in
sickle cell nephropathy. SETTING: Patients with SCD followed at a Hematology
outpatients clinic. METHODS: Prospective study with 26 SCD patients. Renal
function evaluation was performed and a comparison between patients and control
group was done. Patients using hydroxycarbamide were compared to those not taking
this drug. MAIN OUTCOME MEASURE: Effect of hydroxycarbamide on renal function.
RESULTS: Patients mean age was 32.1 +/- 9.9 years, and 16 (61 %) were males.
Glomerular hyperfiltration was found in nine patients with SCD (34.6 %). GFR < 60
mL/min/1.73 m2 was observed in three cases (11.5 %). Microalbuminuria (30-300
mg/day) was found in seven cases (27 %) and macroalbuminuria (>300 mg/dia) in one
patient (3.8 %). All patients had urinary concentrating deficit, and inability to
acidify urine was found in ten cases (38.4 %). The comparison of patients
according to the use of hydroxycarbamide showed lower levels of serum creatinine
in those using the drug (0.6 +/- 0.1 vs. 0.8 +/- 0.3 mg/dL, p = 0.03), as well as
lower levels of 24 h-proteinuria (226 +/- 16 vs. 414 +/- 76 mg/dL, p = 0.0001),
but not microalbuminuria (79 +/- 15 vs. 55 +/- 86 mg/dL, p = 0.35). CONCLUSION:
SCD is associated with important renal abnormalities. Hydroxycarbamide seems to
protect kidney function in SCD by decreasing proteinuria but not
microalbuminuria.
PMID- 24934761
TI - The immunomodulatory effect of propolis on receptors expression, cytokine
production and fungicidal activity of human monocytes.
AB - OBJECTIVES: Propolis is a beehive product and its immunomodulatory action has
been documented; however, little is known concerning its mechanisms of action on
human cells. Propolis influence on the initial events of the immune response was
assessed, evaluating cell markers, cytokine production and the fungicidal
activity of human monocytes. METHODS: Toll-like receptor (TLR)-2, TLR-4, human
leukocyte antigen-DR and cluster of differentiation (CD)80 expression by human
monocytes was assessed using a FACSCalibur flow cytometer, cytokine production
(tumour necrosis factor (TNF)-alpha and interleukin (IL)-10) was determined by
ELISA and the candidacidal activity was investigated after monocytes incubation
with propolis and challenged with Candida albicans. The role of TLR-2 and TLR-4
on propolis action was assessed as well. KEY FINDINGS: Propolis upregulated TLR-4
and CD80 expression and affected TNF-alpha and IL-10 production, depending on
concentration. Propolis also increased the fungicidal activity of monocytes.
Cytokine production was decreased by blocking TLR-4, whereas the fungicidal
activity was affected by blocking TLR-2. CONCLUSIONS: Propolis exerted an
immunomodulatory action on cell receptors, cytokine production and fungicidal
activity of human monocytes without affecting cell viability and depending on
concentration. TLR-2 and TLR-4 may be involved in its mechanism of action.
PMID- 24934762
TI - Association of TRPS1 gene with different EMT markers in ERalpha-positive and
ERalpha-negative breast cancer.
AB - BACKGROUND: Breast cancer is a heterogeneous disease consisting of different
subtypes. Trichorhinophalangeal syndrome type 1 (TRPS1) gene, a GATA-type
transcription factor, has been found to be highly expressed in breast cancer.
Epithelial-to-mesenchymal transition (EMT) is known to play an important role in
tumour invasion and metastasis. Our objective was to elucidate the different
roles and clinical relevance of TRPS1 in different estrogen receptor (ER)
expression subtypes of breast cancer. METHODS: An immunohistochemical study was
performed. The correlation between clinicopathological features and other
biomarker profiles were analysed statistically. RESULT: TRPS1 expression was
correlated with the patients' age (P=0.017). It was positively related with
ERalpha (P<0.001), progesterone receptor (PR) (P<0.001) and ERbeta (P=0.001)
status, but negatively associated with Ki67 (P=0.002) and HER2 (P=0.025) status.
In ERalpha-positive breast cancer, TRPS1 expression was positively associated
with the expression of E-cadherin (P<0.001), beta-catenin(P=0.001), ERbeta
(P=0.03), and p53 (P=0.002) status, while in ERalpha-negative breast cancer,
TRPS1 expression was correlated with slug (P=0.004), vimentin (P=0.003), smooth
muscle actin (SMA) (P=0.031), and IMP3 (P=0.005) expression. CONCLUSIONS: Based
on our findings, we conclude that TRPS1 is positively associated with E-cadherin
and beta-catenin status in ERalpha-positive breast cancer cells, while it is also
significantly associated with mesenchymal markers of EMT in ERalpha-negative
breast cancer cells. TRPS1 can be a prognostic marker depending on the type of
breast cancer. VIRTUAL SLIDES: The virtual slide(s) for this article can be found
here: http://www.diagnosticpathology.diagnomx.eu/vs/8686515681264281.
PMID- 24934764
TI - Recent trends for novel options in experimental biological therapy of beta
thalassemia.
AB - INTRODUCTION: beta-thalassemias are caused by nearly 300 mutations of the beta
globin gene, leading to low or absent production of adult hemoglobin.
Achievements have been recently obtained on innovative therapeutic strategies for
beta-thalassemias, based on studies focusing on the transcriptional regulation of
the gamma-globin genes, epigenetic mechanisms governing erythroid
differentiation, gene therapy and genetic correction of the mutations. AREAS
COVERED: The objective of this review is to describe recently published
approaches (the review covers the years 2011 - 2014) useful for the development
of novel therapeutic strategies for the treatment of beta-thalassemia. EXPERT
OPINION: Modification of beta-globin gene expression in beta-thalassemia cells
was achieved by gene therapy (eventually in combination with induction of fetal
hemoglobin [HbF]) and correction of the mutated beta-globin gene. Based on recent
areas of progress in understanding the control of gamma-globin gene expression,
novel strategies for inducing HbF have been proposed. Furthermore, the
identification of microRNAs involved in erythroid differentiation and HbF
production opens novel options for developing therapeutic approaches for beta
thalassemia and sickle-cell anemia.
PMID- 24934763
TI - Senescence impairs direct conversion of human somatic cells to neurons.
AB - Recent reports have shown that fibroblasts can be converted to neurons by forced
expression of transcription factors. However, the mechanisms underlying this
conversion remain unclear. Here, we show that the efficiency of neuronal
conversion of embryonic human fibroblasts aged in culture is lower than that in
cells in early culture stages. Moreover, depletion of p16(Ink4a) and p19(Arf)
involved in the activation of cellular senescence is sufficient to convert human
fibroblast and epithelial cells into neurons. The induced neurons express neuron
specific proteins, generate action potentials and neurotransmitter receptor
mediated currents. Genome-wide transcriptional analysis shows that the induced
neurons have a profile different from fibroblasts and similar to that of control
neurons induced by established methods. We further noted that expression of p53
blocks the neuronal conversion, whereas expression of human telomerase reverse
transcriptase (hTERT) induces it. Our results indicate that overcoming senescence
is a crucial step for neuronal conversion of somatic cells.
PMID- 24934765
TI - Purification, characterization, and in vitro activity of 2,4-Di-tert-butylphenol
from Pseudomonas monteilii PsF84: conformational and molecular docking studies.
AB - A novel strain of Pseudomonas monteilii, PsF84, was isolated from tannery waste
soil from Jajmau, Kanpur, India. 16S rRNA gene sequence phylogenetic analysis
confirmed the taxonomic affiliation of PsF84 as P. monteilii. An antifungal
volatile organic compound (VOC) active against hyphal growth of Fusarium
oxysporum (CIMAP-IMI-357464) in vitro was isolated from strain PsF84 by using
chromatographic techniques. The molecular formula of the antifungal VOC was
deduced to be C14H22O by EI-MS and 1D and 2D NMR spectral analysis. 2,4-Di-tert
butylphenol was found to be effective against an agriculturally important fungus,
namely, F. oxysporum, in inhibiting spore germination and hyphal growth.
Molecular docking analysis of 2,4-di-tert-butylphenol with beta-tubulin further
validated the potential of beta-tubulin binding in F. oxysporum. Two residues of
beta-tubulin protein, HIS 118 and THR 117, showed hydrogen binding with ligand.
To the authors' knowledge, this is the first report of antifungal VOC (2,4-di
tert-butylphenol) produced by P. monteilii PsF84 that can be a potent inhibitor
of beta-tubulin of F. oxysporum.
PMID- 24934766
TI - [Therapeutic targeted approaches on androgen receptors in prostate cancer].
AB - The treatment of metastatic prostate cancer since the 1940s is based on the
consideration of oncogenic addiction to its androgen receptor (AR). The
significant improvement in survival outcomes over the past decade depends not
only on the development of effective cytotoxic chemotherapy but also new
molecules targeting the AR or decreasing testosterone levels, even in case of
castration-resistant cancer. In this review, we summarize the structure and
function of the RA, the mechanisms of androgen suppression, the concept of
resistance to castration, historical targeted treatment on the AR and those
recently marketed as abiraterone acetate and enzalutamide.
PMID- 24934767
TI - Correlating Rubisco catalytic and sequence diversity within C3 plants with
changes in atmospheric CO2 concentrations.
PMID- 24934768
TI - [How i do... intrauterine balloon tamponade for postpartum haemorrhage].
PMID- 24934769
TI - [48,XXYY men with azoospermia: how to manage infertility?].
AB - 48,XXYY syndrome is a rare form of sex chromosomal aneuploidy. Usually considered
as a variant of Klinefelter syndrome because of shared features (azoospermia,
tall stature, hypergonadotropic hypogonadism), it is a separate entity because
diagnostic is currently made in prepubertal boy with neuro-psychological
disorders. We here report the case of a 48,XXYY patient consulting for adult
infertility and the indication to perform testicular sperm extraction is
discussed.
PMID- 24934770
TI - [Rare case of bilateral pulmonary agenesis and prenatal diagnosis].
AB - Bilateral pulmonary agenesis (BPA) is a rare congenital lung malformation. The
prognosis is severe as it is incompatible with extra-uterine life. Although
multiple prenatal imaging modalities are developed, the prenatal diagnosis of BPA
remains problematic. We report a case of BPA observed in our unity and for which
the diagnosis was not clearly identified during the evaluation. This report
illustrates the need to consider all the imaging aspects and particularly during
US examination suspecting BPA.
PMID- 24934771
TI - [How I do... to reduce the laparotomy incision size by means of an obstetrical
technique].
PMID- 24934773
TI - Prevalence and potential causes of epilepsy in Nigeria.
PMID- 24934772
TI - Interactive XCMS Online: simplifying advanced metabolomic data processing and
subsequent statistical analyses.
AB - XCMS Online (xcmsonline.scripps.edu) is a cloud-based informatic platform
designed to process and visualize mass-spectrometry-based, untargeted metabolomic
data. Initially, the platform was developed for two-group comparisons to match
the independent, "control" versus "disease" experimental design. Here, we
introduce an enhanced XCMS Online interface that enables users to perform
dependent (paired) two-group comparisons, meta-analysis, and multigroup
comparisons, with comprehensive statistical output and interactive visualization
tools. Newly incorporated statistical tests cover a wide array of univariate
analyses. Multigroup comparison allows for the identification of differentially
expressed metabolite features across multiple classes of data while higher order
meta-analysis facilitates the identification of shared metabolic patterns across
multiple two-group comparisons. Given the complexity of these data sets, we have
developed an interactive platform where users can monitor the statistical output
of univariate (cloud plots) and multivariate (PCA plots) data analysis in real
time by adjusting the threshold and range of various parameters. On the
interactive cloud plot, metabolite features can be filtered out by their
significance level (p-value), fold change, mass-to-charge ratio, retention time,
and intensity. The variation pattern of each feature can be visualized on both
extracted-ion chromatograms and box plots. The interactive principal component
analysis includes scores, loadings, and scree plots that can be adjusted
depending on scaling criteria. The utility of XCMS functionalities is
demonstrated through the metabolomic analysis of bacterial stress response and
the comparison of lymphoblastic leukemia cell lines.
PMID- 24934774
TI - Assessment of pharmacokinetics and tolerability of intranasal diazepam relative
to rectal gel in healthy adults.
AB - Diazepam rectal gel (RG) is currently the only approved rescue therapy for
outpatient management of seizure clusters in the United States. There is an unmet
medical need for an alternative rescue therapy for seizure clusters that is
effective, and more convenient to administer with a socially acceptable method of
delivery. An intranasal diazepam formulation has been developed, and this study
evaluates the tolerability and bioavailability of diazepam nasal spray (NS)
relative to an equivalent dose of diazepam-RG in healthy adults. Twenty-four
healthy adults were enrolled in a phase 1, open-label, 3-period crossover study.
Plasma diazepam and metabolite concentrations were measured by serial sampling.
Dose proportionality for 5- and 20-mg intranasal doses and the bioavailability of
20mg diazepam-NS relative to 20mg diazepam-RG were assessed by maximum plasma
concentration (Cmax) and systemic exposure parameters (AUC0-infinity and AUC0
24). The mean Cmax values for 20mg diazepam-NS and 20mg diazepam-RG were 378 +/-
106 and 328 +/- 152 ng/mL, achieved at 1.0 and 1.5h, respectively. Subjects
administered intranasal and rectal gel formulations experienced nasal and rectal
leakage, respectively. Diazepam absorption following intranasal administration
was consistent but 3 subjects with diazepam-RG had low plasma drug levels at the
earliest assessment of 5 min, due to poor retention, and were excluded from
analysis. Excluding them, the treatment ratios (20mg diazepam-NS:20mg diazepam
RG) and 90% confidence intervals for diazepam Cmax and AUC0-24 were 0.98 (0.85
1.14) and 0.89 (0.80-0.98), respectively, suggesting that the bioavailability was
comparable between the two formulations. Dose proportionality was observed
between the lowest and highest dose-strengths of intranasal formulation. Both
intranasal and rectal treatments were well tolerated with mild to moderate
adverse events. Results suggest that a single-dose of 20mg diazepam-NS is
tolerable and comparable in bioavailability to that of diazepam-RG. The
intranasal formulation may provide caregivers and patients with a more socially
acceptable and convenient alternative rescue therapy in the acute treatment of
seizure clusters.
PMID- 24934775
TI - Detection of short tandem repeat polymorphisms from human nails using direct
polymerase chain reaction method.
AB - Human nail is an important forensic material for parental testing and individual
identification in large-scale disasters. Detection of STR polymorphism from hard
tissues generally requires DNA purification, which is technically complicated and
time consuming. In the present study, we attempted to detect STR polymorphisms
from untreated human nail samples by direct PCR amplification method using the
primer mixture supplied with the GenePrint(r) SilverSTR(r) III System or the
AmpFlSTR(r) Identifiler(r) PCR Amplification Kit, and Tks Gflex DNA polymerase
known to be effective for amplification from crude samples. A nail fragment
measuring approximately 1.5 mm in breadth and 0.5 mm in length was placed
directly into a PCR tube, and various PCR conditions were tested. The PCR
products were analyzed by denaturing acrylamide gel electrophoresis or CE.
Multiple STR polymorphisms were detected successfully. This method that detects
STR polymorphisms not only from fresh human fingernails, but also from old nail
fragments stored at room temperature for up to 10 years is expected to become a
novel DNA analytical method in forensic medicine and genetic studies.
PMID- 24934776
TI - Sustained Complete Molecular Remission After Discontinuation of Tyrosine Kinase
Inhibitors in Blast-Phase Chronic Myeloid Leukemia.
PMID- 24934777
TI - Reply to A.E. Mazzucco.
PMID- 24934778
TI - Next steps for breast implant-associated anaplastic large-cell lymphoma.
PMID- 24934779
TI - Epidermal Growth Factor Receptor P753S Mutation in Cutaneous Squamous Cell
Carcinoma Responsive to Cetuximab-Based Therapy.
PMID- 24934780
TI - Managing advanced colorectal cancer: have we reached the PEAK with current
therapies?
PMID- 24934781
TI - Aromatase Inhibitor Therapy As Effective Rescue in a Patient With Tamoxifen
Refractory Metastatic Granulosa Cell Tumor of the Ovary.
PMID- 24934782
TI - How well does supplemental screening magnetic resonance imaging work in high-risk
women?
PMID- 24934783
TI - Randomized trial of a telephone-based weight loss intervention in postmenopausal
women with breast cancer receiving letrozole: the LISA trial.
AB - PURPOSE: Obesity is associated with poor outcomes in women with operable breast
cancer. Lifestyle interventions (LIs) that help women reduce their weight may
improve outcomes. PATIENTS AND METHODS: We conducted a multicenter randomized
trial comparing mail-based delivery of general health information alone or
combined with a 24-month standardized, telephone-based LI that included diet (500
to 1,000 kcal per day deficit) and physical activity (150 to 200 minutes of
moderate-intensity physical activity per week) goals to achieve weight loss (up
to 10%). Women receiving adjuvant letrozole for T1-3N0-3M0 breast cancer with a
body mass index (BMI) >= 24 kg/m(2) were eligible. Weight was measured in the
clinic, and self-report physical activity, quality-of-life (QOL), and diet
questionnaires were completed. The primary outcome was disease-free survival.
Accrual was terminated at 338 of 2,150 planned patients because of loss of
funding. RESULTS: Mean weight loss was significantly (P < .001) greater in the LI
arm versus the comparison arm (4.3 v 0.6 kg or 5.3% v 0.7% at 6 months and 3.1 v
0.3 kg or 3.6% v 0.4% at 24 months) and occurred consistently across strata (BMI
24 to < 30 v >= 30 kg/m(2); prior v no prior adjuvant chemotherapy). Weight loss
was greatest in those with higher baseline levels of moderate-intensity physical
activity or improvement in QOL. Hospitalization rates and medical events were
similar. CONCLUSION: A telephone-based LI led to significant weight loss that was
still evident at 24 months, without adverse effects on QOL, hospitalizations, or
medical events. Adequately powered randomized trials with cancer end points are
needed.
PMID- 24934784
TI - Reply to M.C. Chamberlain.
PMID- 24934785
TI - Weight loss interventions and breast cancer survival: the time is now.
PMID- 24934788
TI - Twenty-twenty hindsight: an adjuvant breast cancer trial through the
retrospectoscope.
PMID- 24934786
TI - Frailty and adherence to adjuvant hormonal therapy in older women with breast
cancer: CALGB protocol 369901.
AB - PURPOSE: Most patients with breast cancer age >= 65 years (ie, older patients)
are eligible for adjuvant hormonal therapy, but use is not universal. We examined
the influence of frailty on hormonal therapy noninitiation and discontinuation.
PATIENTS AND METHODS: A prospective cohort of 1,288 older women diagnosed with
invasive, nonmetastatic breast cancer recruited from 78 sites from 2004 to 2011
were included (1,062 had estrogen receptor-positive tumors). Interviews were
conducted at baseline, 6 months, and annually for up to 7 years to collect
sociodemographic, health care, and psychosocial data. Hormonal initiation was
defined from records and discontinuation from self-report. Baseline frailty was
measured using a previously validated 35-item scale and grouped as prefrail or
frail versus robust. Logistic regression and proportional hazards models were
used to assess factors associated with noninitiation and discontinuation,
respectively. RESULTS: Most women (76.4%) were robust. Noninitiation of hormonal
therapy was low (14%), but in prefrail or frail (v robust) women the odds of
noninitiation were 1.63 times as high (95% CI, 1.11 to 2.40; P = .013) after
covariate adjustment. Nonwhites (v whites) had higher odds of noninitiation (odds
ratio, 1.71; 95% CI, 1.04 to 2.80; P = .033) after covariate adjustment. Among
initiators, the 5-year continuation probability was 48.5%. After adjustment, the
risk of discontinuation was higher with increasing age (P = .005) and lower for
stage >= IIB (v stage I) disease (P = .003). CONCLUSION: Frailty is associated
with noninitiation of hormonal therapy, but it does not seem to be a major
predictor of early discontinuation in older patients.
PMID- 24934789
TI - Forecasting unanticipated consequences of "The Sunshine Act": mostly cloudy.
PMID- 24934787
TI - Comparison of doxorubicin and cyclophosphamide versus single-agent paclitaxel as
adjuvant therapy for breast cancer in women with 0 to 3 positive axillary nodes:
CALGB 40101 (Alliance).
AB - PURPOSE: Optimal adjuvant chemotherapy for early-stage breast cancer balances
efficacy and toxicity. We sought to determine whether single-agent paclitaxel (T)
was inferior to doxorubicin and cyclophosphamide (AC), when each was administered
for four or six cycles of therapy, and whether it offered less toxicity. PATIENTS
AND METHODS: Patients with operable breast cancer with 0 to 3 positive nodes were
enrolled onto the study to address the noninferiority of single-agent T to AC,
defined as the one-sided 95% upper-bound CI (UCB) of hazard ratio (HR) of T
versus AC less than 1.30 for the primary end point of relapse-free survival
(RFS). As a 2 * 2 factorial design, duration of therapy was also addressed and
was previously reported. RESULTS: With 3,871 patients enrolled onto the trial, a
median follow-up period of 6.1 years, and 437 RFS events, we achieved an HR of
1.26 (one sided 95% UCB, 1.48; favoring AC does not allow a conclusion of
noninferiority of T with AC; UCB > 1.3). With 266 patient deaths, the HR for
overall survival (OS) was 1.27 favoring AC (UCB, 1.56). The estimated absolute
advantage of AC at 5 years is 3% for RFS (91 v 88%) and 1% for OS (95 v 94%). All
nine treatment-related deaths were patients receiving AC and are included in the
analyses of both RFS and OS. Hematologic toxicity was more common in patients
treated with AC, and neuropathy was more common in patients treated with T.
CONCLUSION: This trial did not show noninferiority of T to AC, a conclusion that
is unlikely to change with additional events and follow-up. T was less toxic than
AC.
PMID- 24934790
TI - Is there a role for vascular endothelial growth factor receptor 2 inhibitors in
glioblastoma?
PMID- 24934791
TI - Onco-nephrology: an invitation to a new field.
PMID- 24934792
TI - The heritability of cancer.
PMID- 24934794
TI - Needle biopsy for breast cancer diagnosis: a quality metric for breast surgical
practice.
PMID- 24934793
TI - Effectiveness of screening with annual magnetic resonance imaging and
mammography: results of the initial screen from the ontario high risk breast
screening program.
AB - PURPOSE: The Ontario Breast Screening Program expanded in July 2011 to screen
women age 30 to 69 years at high risk for breast cancer with annual magnetic
resonance imaging (MRI) and digital mammography. To the best of our knowledge,
this is the first organized screening program for women at high risk for breast
cancer. PATIENTS AND METHODS: Performance measures after assessment were compared
with screening results for 2,207 women with initial screening examinations. The
following criteria were used to determine eligibility: known mutation in BRCA1,
BRCA2, or other gene predisposing to a markedly increased risk of breast cancer,
untested first-degree relative of a gene mutation carrier, family history
consistent with hereditary breast cancer syndrome and estimated personal lifetime
breast cancer risk >= 25%, or radiation therapy to the chest (before age 30 years
and at least 8 years previously). RESULTS: The recall rate was significantly
higher among women who had abnormal MRI alone (15.1%; 95% CI, 13.8% to 16.4%)
compared with mammogram alone (6.4%; 95% CI, 5.5% to 7.3%). Of the 35 breast
cancers detected (16.3 per 1,000; 95% CI, 11.2 to 22.2), none were detected by
mammogram alone, 23 (65.7%) were detected by MRI alone (10.7 per 1,000; 95% CI,
6.7 to 15.8), and 25 (71%) were detected among women who were known gene mutation
carriers (30.8 per 1,000, 95% CI, 19.4 to 43.7). The positive predictive value
was highest for detection based on mammogram and MRI (12.4%; 95% CI, 7.3% to
19.3%). CONCLUSION: Screening with annual MRI combined with mammography has the
potential to be effectively implemented into an organized breast screening
program for women at high risk for breast cancer. This could be considered an
important management option for known BRCA gene mutation carriers.
PMID- 24934795
TI - Prevalence of soil-transmitted helminths after mass albendazole administration in
an indigenous community of the Manu jungle in Peru.
AB - Few data are available on the epidemiology of soil-transmitted helminths (STHs)
in indigenous populations of the Peruvian Amazon. While albendazole is being
increasingly used in deworming campaigns, few data exist on the impact of mass
drug administration in isolated populations. We studied the prevalence of STHs,
anemia, and malnutrition in a Matsigenka ethnic group from the Peruvian Amazon.
Participants had received two doses of albendazole on consecutive days, 3 months
before and again 2 weeks before data collection. Overall, 290 subjects were
included. Most were female (53.7%) and 63.9% were <=19 years old. Half of the
participants had helminth infections. Trichiuris (30.2%), hookworm (19.1%),
Ascaris (17.7%), and Strongyloides (5.6%) were the most common helminths. Other
helminth ova included Capillaria hepatica and Fasciola-like eggs. Subjects of 5
19 years (51.8 %) and 20-35 years (68.6 %) old had helminths more often than
those under 5 years (38%) and older than 35 years (41.5%) (P = 0.02). Anemia
was detected in 41% of children and this was more common in children under 5
years that in those of 5-19 years [odd ratio (OR) = 5.68; 95% CI: 2.71-11.88].
Overall, 72.1% of children were malnourished. Stunting was common in children
(70.7%), but wasting was not (2.9%). Despite repeated albendazole administration,
this population continued to have a high prevalence of STHs, anemia, and
malnutrition. In addition, we detected unusual organisms and organisms that do
not respond to albendazole. Further studies are needed to assess the rationale
and efficacy of mass chemotherapy for STHs in the Amazon.
PMID- 24934796
TI - Presence of potentially pathogenic free-living amoebae strains from well water
samples in Guinea-Bissau.
AB - Free-living amoebae (FLA) include opportunistic pathogens such as Naegleria
fowleri, Balamuthia mandrillaris, and the genera Sappinia and Acanthamoeba. In
this study, a survey was conducted in order to evaluate the presence of
potentially pathogenic amoebic strains in water samples collected from wells
located in the western part of Guinea-Bissau. The samples were left to
precipitate for 48 hours and then the sediments were seeded on non-nutrient agar
plates containing Escherichia coli spread and cultures were checked daily for the
presence of FLA. Identification of FLA strains was based on the morphological and
polymerase chain reaction (PCR) using the 18S rDNA or 16S mitochondrial rDNA
genes in the case of Naegleria and Balamuthia genera, respectively. In the case
of positive samples of Acanthamoeba, strains were further classified at the
genotype level by sequencing the diagnostic fragment 3 (DF3) region located in
the 18S rDNA gene as previously described. Sappinia sp. was not isolated during
the study and thus, no molecular analysis was performed for this genus. The
obtained results revealed the presence of Acanthamoeba (genotypes T3 and T4),
Naegleria fowleri, and Balamuthia mandrillaris. To the best of our knowledge,
this is the first report demonstrating the presence of FLA in water bodies from
Guinea-Bissau and the first report on the isolation of Balamuthia mandrillaris
from environmental sources in Africa.
PMID- 24934797
TI - Incidentally detected increased FDG uptake in bowel and its correlation with
hystopathological data: our experience in a case series study.
AB - When an intense intestinal FDG accumulation occurs, especially if focal, it can
be referred to either physiological intestinal activity or bowel disease, thus
leading to a radical change in patient's prognosis. Within a year, we recommended
a colonoscopy to 103 of 7365 patients who were subjected to a total body FDG
PET/CT. In a case-series study, we re-evaluated the patients and their lesions if
already investigated with colonoscopy and biopsy. Only 18 patients were included
in our study, but in none of them biopsy was negative and 3 adenocarcinomas, 8
adenomas, 5 inflammatory patterns, 1 hyperplastic polyp and 1 eosinophilic
infiltrate were diagnosed. In 16 patients, no suspicion was present and diagnosis
was absolutely incidental. Besides, among the three major groups
(adenocarcinomas, adenomas and phlogosis), SUVmax values were significantly
different. Adenocarcinomas are linked with high SUVmax values (ranging from 8.3
to 20.2) and large size (ranging from 26 to 43 mm). PET/CT sensitivity is low in
detecting adenomas, being 71.4% if they are larger than 6 mm and 50% if SUVmax is
lower than 4.9. SUVmax values in inflammatory lesions can range from 5.7 to 12.
Colorectal cancer is still the second leading cause of cancer death, for this
reason in many countries screening programs have been approved and colonoscopy is
considered the golden standard. PET/CT cannot be considered as a screening test,
but if it incidentally reveals intestinal abnormalities, this data cannot be
underestimated and colonoscopy is highly recommended.
PMID- 24934798
TI - An improved Doppler model for obtaining accurate maximum blood velocities.
AB - Maximum blood velocity estimates are frequently required in diagnostic
applications, including carotid stenosis evaluation, arteriovenous fistula
inspection, and maternal-fetal examinations. However, the currently used methods
for ultrasound measurements are inaccurate and often rely on applying heuristic
thresholds to a Doppler power spectrum. A new method that uses a mathematical
model to predict the correct threshold that should be used for maximum velocity
measurements has recently been introduced. Although it is a valuable and
deterministic tool, this method is limited to parabolic flows insonated by
uniform pressure fields. In this work, a more generalized technique that
overcomes such limitations is presented. The new approach, which uses an extended
Doppler spectrum model, has been implemented in an experimental set-up based on a
linear array probe that transmits defocused steered waves. The improved model has
been validated by Field II simulations and phantom experiments on tubes with
diameters between 2mm and 8mm. Using the spectral threshold suggested by the new
model significantly higher accuracy estimates of the peak velocity can be
achieved than are now clinically attained, including for narrow beams and non
parabolic velocity profiles. In particular, an accuracy of +1.2+/-2.5 cm/s has
been obtained in phantom measurements for velocities ranging from 20 to 80 cm/s.
This result represents an improvement that can significantly affect the way
maximum blood velocity is investigated today.
PMID- 24934799
TI - A physics-based temperature model for ultrasonic vibration-assisted pelleting of
cellulosic biomass.
AB - Temperature in ultrasonic vibration-assisted (UV-A) pelleting of cellulosic
biomass has a significant impact on pellet quality. However, there are no reports
on temperature models for UV-A pelleting of cellulosic biomass. The development
of a physics-based temperature model can help to explain experimentally
determined relations between UV-A pelleting process variables and temperature,
and provide guidelines to optimize these process variables in order to produce
pellets of good quality. This paper presents such a model for UV-A pelleting of
cellulosic biomass. Development of the model is described first. Then temperature
distribution is investigated using the model, and temperature difference between
the top and the bottom surfaces of a pellet is explained. Based on this model,
relations between process variables (ultrasonic power and pelleting duration) and
temperature are predicted. Experiments were conducted for model verification, and
the results agreed well with model predictions.
PMID- 24934800
TI - Improvements in elbow motion after resection of heterotopic bone: a systematic
review.
AB - Complex elbow trauma, severe burn, or a closed head injury render patients at
risk for developing heterotopic ossification around the elbow. When heterotopic
ossification restricts elbow motion, some patients request surgical resection. We
performed a systematic review of the literature to analyze improvement in elbow
motion after resection of heterotopic ossification around the elbow. We found
that, on average, etiology had little impact on outcome after resection of
heterotopic ossification. Resection of heterotopic bone generally leads to
improvement of elbow function.
PMID- 24934801
TI - High-yielding sequential one-pot synthesis of chiral and achiral alpha
substituted acrylates via a metal-free reductive coupling reaction.
AB - A general process for the high-yielding synthesis of substituted chiral and
achiral alpha-substituted acrylates was achieved through the sequential one-pot
combination of a metal-free reductive coupling reaction followed by an
Eschenmoser methylenation. The proline catalyzed reaction of Meldrum's acid,
aldehydes and Hantzsch ester followed by methylenation was successful with
Eschenmoser's salt in the presence of an alcohol solvent. Herein, we have shown
the high-yielding synthesis of privileged building blocks from chiral/achiral
alpha-substituted acrylates and shown them to be very good intermediates in the
pharmaceuticals and natural products synthesis.
PMID- 24934802
TI - Radiochemical pharmacokinetic profile of P10 peptide with antifungal properties.
AB - Paracoccidioidomycosis (PCM) is a chronic granulomatous disease that is caused by
the thermally dimorphic fungus Paracoccidioides brasiliensis. It is endemic in
some countries of Latin America and can cause a high-burden fungal infection with
significant morbidity and mortality. The peptide P10, which demonstrates immune
protection against experimental PCM, was radiolabeled with a radioisotope and
evaluated in vivo. The radiolabeling was conducted to trace the pharmacokinetics
of the molecule in principal organs and tissues. This was achieved with high
radiochemical purity. Biodistribution and scintigraphic imaging showed fast blood
clearance that was mainly renal; however, hepatobiliar excretion was also, with
marked uptake in cervical lymph nodes. This profile may be useful for the
development of a prophylactic drug or vaccine for patients exposed to PCM.
PMID- 24934803
TI - Uncommon opportunistic yeast bloodstream infections from Qatar.
AB - Eleven uncommon yeast species that are associated with high mortality rates
irrespective of antifungal therapy were isolated from 17/187 (201 episodes)
pediatric and elderly patients with fungemia from Qatar. The samples were taken
over a 6-year period (January 2004-December 2010). Isolated species included
Kluyveromyces marxianus, Lodderomyces elongisporus, Lindnera fabianii, Candida
dubliniensis, Meyerozyma guilliermondii, Candida intermedia, Pichia kudriavzevii,
Yarrowia lipolytica, Clavispora lusitaniae, Candida pararugosa, and
Wickerhamomyces anomalus. Matrix-assisted laser desorption/ionization time-of
flight mass spectrometry provided correct identifications compared with molecular
analysis testing of the same isolates. Low minimal inhibitory concentrations were
found when isavuconazole and voriconazole were used for all uncommon yeast
species evaluated in this study. Resistance to antifungal drugs was low and
remained restricted to a few species.
PMID- 24934804
TI - Interindividual variability and intraindividual stability of oral fungal
microbiota over time.
AB - Oral microbiota is one of the most complex and diverse microbial communities in
the human body. In the present study, we aimed to characterize oral fungi
biodiversity and stability over time in a group of healthy participants with good
oral health. Oral health and oral fungal microbiota were evaluated in 40 healthy
individuals. A follow-up of 10 participants was carried out 28 weeks and 30 weeks
after the first sampling. Oral rinse was collected and incubated in a fungal
selective medium at 25oC and 37oC for 7 days. Fungi were identified based on
macro- and microscopic morphology. API/ID32C was used for yeast identification,
and molecular techniques were used to identify the most prevalent nonidentified
moulds, mainly by sequencing 18S and internally transcribed spacer regions.
Moulds were recovered from all participants and yeast from 92.5%. The most
frequently isolated fungi were Candida spp., Rhodotorula spp., Penicillium spp.,
Aspergillus spp., and Cladosporium spp. The oral fungal community presented a
high interindividual variability, but the frequency and quantification of each
fungal taxon was constant over the 30-week observation period, showing a
consistent intraindividual stability over time. The intraindividual stability
opposed to interindividual variability may suggest a common and a variable group
of fungi in the oral cavity.
PMID- 24934805
TI - Characteristic and clinical relevance of Candida mannan test in the diagnosis of
probable invasive candidiasis.
AB - The gold standard laboratory tests used to diagnose invasive Candida infection
(ICI) are based on the in vitro culture of blood or samples from other sterile
sites. However, these tests have limited sensitivity (Se) and are generally not
diagnostic until late in the infectious process. The Serion Candida mannan kit
was evaluated for the diagnosis of ICI at Grenoble University Hospital (France)
between 2007 and 2011. The results were then compared with worldwide data
published between 1997 and 2011. This retrospective study was based on follow-up
from the investigation of 162 patients of whom 91 had proven ICI; 13 had Candida
colonization index (CCI) scores >=0.42, positive mannan tests, with
nonconcomitant infections; and 58 had no evidence of Candida infection. Candida
albicans, C. glabrata, C. tropicalis, and C. parapsilosis were the etiologic
agents in 104 patients. For patients with or without ICI, the 12-week mortality
rates were 35/104 (33.7%) and 6/58 (10.3%), respectively. The mannan diagnostic
specificity was 51% and Se was 77%. However, in the meta-analysis (n = 1,536),
values were 86% and 62%, respectively. Positive mannan test results may appear
early (median 6 days) in the development of candidemia and have moderate
diagnostic value for ICI, with a negative predictive value of 83%. In patients at
risk of ICI with negative candidemia, the combination of Candida mannan test data
with a CCI score >=0.42 may improve the diagnosis of probable ICI.
PMID- 24934806
TI - Melanocytes and melanin represent a first line of innate immunity against Candida
albicans.
AB - Melanocytes are dendritic cells located in the skin and mucosae that synthesize
melanin. Some infections induce hypo- or hyperpigmentation, which is associated
with the activation of Toll-like receptors (TLRs), especially TLR4. Candida
albicans is an opportunist pathogen that can switch between blastoconidia and
hyphae forms; the latter is associated with invasion. Our objectives in this
study were to ascertain whether C. albicans induces pigmentation in melanocytes
and whether this process is dependent on TLR activation, as well as relating this
with the antifungal activity of melanin as a first line of innate immunity
against fungal infections. Normal human melanocytes were stimulated with C.
albicans supernatants or with crude extracts of the blastoconidia or hyphae
forms, and pigmentation and TLR2/TLR4 expression were measured. Expression of the
melanosomal antigens Melan-A and gp100 was examined for any correlation with
increased melanin levels or antifungal activity in melanocyte lysates.
Melanosomal antigens were induced earlier than cell pigmentation, and hyphae
induced stronger melanization than blastoconidia. Notably, when melanocytes were
stimulated with crude extracts of C. albicans, the cell surface expression of
TLR2/TLR4 began at 48 h post-stimulation and peaked at 72 h. At this time,
blastoconidia induced both TLR2 and TLR4 expression, whereas hyphae only induced
TLR4 expression. Taken together, these results suggest that melanocytes play a
key role in innate immune responses against C. albicans infections by recognizing
pathogenic forms of C. albicans via TLR4, resulting in increased melanin content
and inhibition of infection.
PMID- 24934807
TI - Interferon-based treatment for patients with mycosis fungoides and hepatitis C
virus infection: a case series.
AB - BACKGROUND: Interferon (IFN) is a treatment option for both mycosis fungoides
(MF) and hepatitis C virus (HCV) infection. Chemotherapy and anti-HCV treatment
are generally not administered concurrently for fear of overlapping side effects.
OBJECTIVE: Herein, we report on a subset of patients who received IFN-containing
therapy for MF and HCV infection simultaneously. We aimed to evaluate whether
concomitant treatment for MF and HCV is effective and well tolerated. METHODS: We
reviewed the records of patients who were seen at MD Anderson Cancer Center from
2008 to 2013 with histologically confirmed MF and chronic HCV infection, and were
treated with simultaneous focus on both diseases. RESULTS: Six HCV-infected
patients with MF received simultaneous anti-HCV and anti-MF treatment with IFN
containing therapy. Two patients achieved sustained virological response
(regarded as virological cure). They both received antiviral combination therapy
with ribavirin. All patients experienced some improvement of their cutaneous
lesions, with two of them achieving complete MF remission. All six patients
developed side effects while receiving treatment; two of them had grade 4 toxic
effects requiring treatment discontinuation. CONCLUSION: IFN-based therapy can be
administered for MF and HCV infection concurrently to provide not only
virological but also oncological benefits to chronically HCV-infected MF
patients. However, this regimen is poorly tolerated. Further studies are
warranted in this patient population, using different treatment combinations with
improved efficacy, safety, and tolerability.
PMID- 24934808
TI - Selective and potent Akt inhibition triggers anti-myeloma activities and enhances
fatal endoplasmic reticulum stress induced by proteasome inhibition.
AB - The PI3K/Akt pathway plays a crucial role in the pathogenesis of multiple myeloma
(MM) in the bone marrow (BM) milieu. However, efficacy of selective and potent
Akt inhibition has not yet been fully elucidated. In this study, we, therefore,
examined the biologic impact of selective and potent Akt inhibition by a novel
allosteric inhibitor TAS-117. TAS-117 induced significant growth inhibition,
associated with downregulation of phosphorylated Akt (p-Akt), selectively in MM
cell lines with high baseline p-Akt. Cytotoxicity of TAS-117 was also observed in
patient MM cells, but not in normal peripheral blood mononuclear cells.
Importantly, TAS-117 induced significant cytotoxicity in MM cells even in the
presence of BM stromal cells, associated with inhibition of IL6 secretion. Oral
administration of TAS-117 significantly inhibited human MM cell growth in murine
xenograft models. TAS-117 triggered apoptosis and autophagy, as well as induction
of endoplasmic reticulum (ER) stress response with minimal expression of C/EBP
homologous protein (CHOP), a fatal ER stress marker. Importantly, TAS-117
enhanced bortezomib-induced cytotoxicity, associated with increased CHOP and PARP
cleavage and blockade of bortezomib-induced p-Akt, suggesting that TAS-117
augments bortezomib-induced ER stress and apoptotic signaling. Carfilzomib
induced cytotoxicity was similarly enhanced by TAS-117. Importantly, TAS-117
enhanced bortezomib-induced cytotoxicity in vivo, associated with prolonged host
survival. Our results show that selective and potent Akt inhibition by TAS-117
triggers anti-MM activities in vitro and in vivo, as well as enhances
cytotoxicity of proteasome inhibition, providing the preclinical framework for
clinical evaluation of selective Akt inhibitors, alone and in combination with
proteasome inhibitors in MM.
PMID- 24934809
TI - In vivo regulation of human glutathione transferase GSTP by chemopreventive
agents.
AB - Relatively little progress has been made in determining the in vivo regulation of
glutathione S-transferase P (GSTP), particularly the human enzyme hGSTP1, despite
being identified as a significant factor in carcinogenesis and development of
drug resistance in tumor cell lines. Here, we report the characterization of a
transgenic reporter mouse that reveals how hGSTP1 is regulated in vivo by
chemopreventive agents. Basal expression was found in crypts and villi of the
small and large intestine, bronchiolar epithelial cells, the epidermis and hair
follicles, gall bladder epithelium, choroid plexus, and biliary epithelium.
Expression was induced in different tissues by the antioxidant chemopreventive
agents ethoxyquin and butylated hydroxyanisole. However, genetic deletion of the
Nrf2 transcription factor, which directs central genetic programs of
detoxification and protection against oxidative stress, increased rather than
attenuated GSTP1 expression. In vitro investigations with mouse embryonic
fibroblasts revealed factors, in addition to Nrf2, that control the expression of
GSTP1, offering further insights into regulation. The new reporter mouse
described here provides a useful tool to gain deeper insights into the mechanisms
of action of chemopreventive compounds and other environmental agents.
PMID- 24934810
TI - MYC synergizes with activated BRAFV600E in mouse lung tumor development by
suppressing senescence.
AB - The activated RAS/RAF cascade plays a crucial role in lung cancer, but is also
known to induce cellular senescence, a major barrier imposed on tumor cells early
in tumorigenesis. MYC is a key factor in suppression of RAS/BRAF(V600E)-induced
senescence in vitro. However, it is still unclear whether MYC has the same role
during tumor development in vivo. Using a conditional, compound knock-in model of
Cre-activated BRAF(V600E) and tamoxifen-regulatable MycER, we show that tamoxifen
induced activation of MYC accelerated the onset and increased the number and size
of BRAF(V600E)-driven adenomas in a dose-dependent manner, resulting in reduced
survival. Furthermore, MYC activation leads to reduced expression of the
senescence markers p16(INK4A), p21(CIP1), and H3K9me3-containing heterochromatin
foci, and an increased percentage of Ki67(+) tumor cells. This suggests that MYC
already early during tumor formation suppresses a BRAF(V600E)-induced senescence
like state. Initial activation of MYC followed by tamoxifen withdrawal still
resulted in an increased number of tumors and reduced survival. However, these
tumors were of smaller size, showed increased expression of p16(INK4A) and
p21(CIP1), and reduced number of Ki67(+) cells, indicating that MYC inactivation
restores BRAF(V600E)-induced senescence. Surprisingly, MYC activation did not
promote adenoma to carcinoma progression. This suggests that senescence
suppression by MYC is a discrete step in tumor development important for
sustained tumor growth but preceding malignant transformation and that additional
oncogenic events are required for carcinoma development and metastasis. These
findings contribute to our understanding of the neoplastic transformation
process, with implications for future treatment strategies.
PMID- 24934812
TI - EWS-WT1 oncoprotein activates neuronal reprogramming factor ASCL1 and promotes
neural differentiation.
AB - The oncogenic fusion gene EWS-WT1 is the defining chromosomal translocation in
desmoplastic small round-cell tumors (DSRCT), a rare but aggressive soft tissue
sarcoma with a high rate of mortality. EWS-WT1 functions as an aberrant
transcription factor that drives tumorigenesis, but the mechanistic basis for its
pathogenic activity is not well understood. To address this question, we created
a transgenic mouse strain that permits physiologic expression of EWS-WT1 under
the native murine Ews promoter. EWS-WT1 expression led to a dramatic induction of
many neuronal genes in embryonic fibroblasts and primary DSRCT, most notably the
neural reprogramming factor ASCL1. Mechanistic analyses demonstrated that EWS-WT1
directly bound the proximal promoter of ASCL1, activating its transcription
through multiple WT1-responsive elements. Conversely, EWS-WT1 silencing in DSRCT
cells reduced ASCL1 expression and cell viability. Notably, exposure of DSRCT
cells to neuronal induction media increased neural gene expression and induced
neurite-like projections, both of which were abrogated by silencing EWS-WT1.
Taken together, our findings reveal that EWS-WT1 can activate neural gene
expression and direct partial neural differentiation via ASCL1, suggesting agents
that promote neural differentiation might offer a novel therapeutic approach to
treat DSRCT.
PMID- 24934811
TI - ABCB5 maintains melanoma-initiating cells through a proinflammatory cytokine
signaling circuit.
AB - The drug efflux transporter ABCB5 identifies cancer stem-like cells (CSC) in
diverse human malignancies, where its expression is associated with clinical
disease progression and tumor recurrence. ABCB5 confers therapeutic resistance,
but other functions in tumorigenesis independent of drug efflux have not been
described that might help explain why it is so broadly overexpressed in human
cancer. Here we show that in melanoma-initiating cells, ABCB5 controls IL1beta
secretion, which serves to maintain slow cycling, chemoresistant cells through an
IL1beta/IL8/CXCR1 cytokine signaling circuit. This CSC maintenance circuit
involved reciprocal paracrine interactions with ABCB5-negative cancer cell
populations. ABCB5 blockade induced cellular differentiation, reversed resistance
to multiple chemotherapeutic agents, and impaired tumor growth in vivo. Together,
our results defined a novel function for ABCB5 in CSC maintenance and tumor
growth.
PMID- 24934813
TI - Candida colonization index and subsequent infection in critically ill surgical
patients: 20 years later.
AB - INTRODUCTION: For decades, clinicians dealing with immunocompromised and
critically ill patients have perceived a link between Candida colonization and
subsequent infection. However, the pathophysiological progression from
colonization to infection was clearly established only through the formal
description of the colonization index (CI) in critically ill patients.
Unfortunately, the literature reflects intense confusion about the
pathophysiology of invasive candidiasis and specific associated risk factors.
METHODS: We review the contribution of the CI in the field of Candida infection
and its development in the 20 years following its original description in 1994.
The development of the CI enabled an improved understanding of the pathogenesis
of invasive candidiasis and the use of targeted empirical antifungal therapy in
subgroups of patients at increased risk for infection. RESULTS: The recognition
of specific characteristics among underlying conditions, such as neutropenia,
solid organ transplantation, and surgical and nonsurgical critical illness, has
enabled the description of distinct epidemiological patterns in the development
of invasive candidiasis. CONCLUSIONS: Despite its limited bedside practicality
and before confirmation of potentially more accurate predictors, such as specific
biomarkers, the CI remains an important way to characterize the dynamics of
colonization, which increases early in patients who develop invasive candidiasis.
PMID- 24934815
TI - Taichi exercise for self-rated sleep quality in older people: a systematic review
and meta-analysis.
AB - OBJECTIVES: Self-reported sleep disorders are common in older adults, resulting
in serious consequences. Non-pharmacological measures are important complementary
interventions, among which Taichi exercise is a popular alternative. Some
experiments have been performed; however, the effect of Taichi exercise in
improving sleep quality in older people has yet to be validated by systematic
review. Using systematic review and meta-analysis, this study aimed to examine
the efficacy of Taichi exercise in promoting self-reported sleep quality in older
adults. DESIGN: Systematic review and meta-analysis of randomized controlled
studies. DATA SOURCES: 4 English databases: Pubmed, Cochrane Library, Web of
Science and CINAHL, and 4 Chinese databases: CBMdisc, CNKI, VIP, and Wanfang
database were searched through December 2013. REVIEW METHODS: Two reviewers
independently selected eligible trials, conducted critical appraisal of the
methodological quality by using the quality appraisal criteria for randomized
controlled studies recommended by Cochrane Handbook. A standardized data form was
used to extract information. Meta-analysis was performed. RESULTS: Five
randomized controlled studies met inclusion criteria. All suffered from some
methodological flaws. The results of this study showed that Taichi has large
beneficial effect on sleep quality in older people, as indicated by decreases in
the global Pittsburgh Sleep Quality Index score [standardized mean difference=
0.87, 95% confidence intervals (95% confidence interval) (-1.25, -0.49)], as well
as its sub-domains of subjective sleep quality [standardized mean difference=
0.83, 95% confidence interval (-1.08, -0.57)], sleep latency [standardized mean
difference=-0.75, 95% confidence interval (-1.42, -0.07)], sleep duration
[standardized mean difference=-0.55, 95% confidence interval (-0.90, -0.21)],
habitual sleep efficiency [standardized mean difference=-0.49, 95% confidence
interval (-0.74, -0.23)], sleep disturbance [standardized mean difference=-0.44,
95% confidence interval (-0.69, -0.19)], and daytime dysfunction [standardized
mean difference=-0.34, 95% confidence interval (-0.59, -0.09)]. Daytime
sleepiness improvement was also observed. CONCLUSIONS: Weak evidence shows that
Taichi exercise has a beneficial effect in improving self-rated sleep quality for
older adults, suggesting that Taichi could be an effective alternative and
complementary approach to existing therapies for older people with sleep
problems. More rigorous experimental studies are required.
PMID- 24934814
TI - Impact of fluid balance on outcome of adult patients treated with extracorporeal
membrane oxygenation.
AB - PURPOSE: To assess the relationship between early daily fluid balance (FB) and 90
day outcome in adult patients treated with extracorporeal membrane oxygenation
(ECMO). DESIGN: Retrospective observational study. SETTING: Tertiary referral
centre for ECMO. PATIENTS: 115 patients treated with ECMO for refractory heart
failure and 57 patients treated with ECMO for refractory respiratory failure.
METHODS: We analysed the association between early daily FB versus hospital and
90-day mortality using multivariable logistic regression model, Cox proportional
hazards model and propensity score. RESULTS: We obtained detailed demographic,
clinical, and biochemical data, daily FB, and continuous renal replacement days.
Fifty-seven per cent of patients had acute kidney injury (AKI) at ECMO
initiation, and 60 % (n = 103) of patients received continuous renal replacement
therapy (CRRT) during ECMO course, beginning at a median of 1 (0-3.5) days after
ECMO initiation. Overall 90-day mortality was 24 %. Survivors exhibited lower
daily FB from day 3 to day 5. After adjustments, Acute Physiology and Chronic
Health Evaluation (APACHE) III, CRRT during the first 3 days, major bleeding
event at day 1 and positive FB on day 3 were independent predictors of 90-day
mortality. Positive FB at ECMO day 3 remained an independent predictor of
hospital and 90-day mortality, regardless of the statistical model used or the
inclusion of a propensity score to have positive FB. CONCLUSIONS: Positive FB at
ECMO day 3 is an independent predictor of 90-day mortality. Further
interventional studies aimed at testing the value of strategy of tight control of
FB during the early ECMO period are now warranted.
PMID- 24934819
TI - Pressure-induced structural changes and insulator-metal transition in layered
bismuth triiodide, BiI3: a combined experimental and theoretical study.
AB - Noting that BiI3 and the well-known topological insulator (TI) Bi2Se3 have the
same high symmetry parent structures, and that it is desirable to find a wide
band gap TI, we determine here the effects of pressure on the structure, phonons
and electronic properties of rhombohedral BiI3. We report a pressure-induced
insulator-metal transition near 1.5 GPa, using high pressure electrical
resistivity and Raman measurements. X-ray diffraction studies, as a function of
pressure, reveal a structural peculiarity of the BiI3 crystal, with a drastic
drop in c/a ratio at 1.5 GPa, and a structural phase transition from rhombohedral
to monoclinic structure at 8.8 GPa. Interestingly, the metallic phase, at
relatively low pressures, exhibits minimal resistivity at low temperatures,
similar to that in Bi2Se3. We corroborate these findings with first-principles
calculations and suggest that the drop in the resistivity of BiI3 in the 1-3 GPa
range of pressure arises possibly from the appearance of an intermediate crystal
phase with a lower band-gap and hexagonal crystal structure. Calculated Born
effective charges reveal the presence of metallic states in the structural
vicinity of rhombohedral BiI3. Changes in the topology of the electronic bands of
BiI3 with pressure, and a sharp decrease in the c/a ratio below 2 GPa, are shown
to give rise to changes in the slope of phonon frequencies near that pressure.
PMID- 24934816
TI - Anthropometric and lifestyle characteristics of active and inactive Saudi and
British adolescents.
AB - OBJECTIVES: To compare the anthropometric and lifestyle characteristics of active
and inactive adolescents in Saudi Arabia and Britain. METHODS: A school-based
cross-sectional study was conducted at four cities in Saudi Arabia (Riyadh and Al
Khobar; N = 1,648) and Britain (Birmingham and Coventry; N = 1,158). The
participants (14- to 18-year-olds) were randomly selected using a multistage
stratified cluster sampling. Measurements included anthropometric [BMI, Waist
circumference (WC), Waist to height ratio], screen time, validated physical
activity questionnaire and dietary habits. RESULTS: British males were lighter (P
= 0.04, 64.4 vs. 68.2 kg), and had lower values for WC (P = 0.003, 77.1 vs. 78.7
cm) than Saudi males. Males (P = 0.0001) were significantly more active than
females but the difference between inactive Saudi and British females was greater
than that between inactive Saudi and British males. Being female was
significantly (P < 0.001) associated with lower activity levels in both the Saudi
and British adolescents. Having lower frequency of fruit intake was significantly
(P < 0.001) associated with lower activity levels, whereas increased frequency of
consumption of French fries/potato chips was significantly (P = 0.008) associated
with increased activity levels in Saudi adolescents. Among British adolescents,
lower frequency of breakfast was (P = 0.045) associated with lower activity
levels, increased frequency of consumption of sweetened beverages was
significantly (P = 0.005) associated with higher activity levels. Higher energy
drinks intake frequency was significantly (P = 0.007) associated with higher
activity levels. CONCLUSION: The present study identifies crosscultural
differences and similarities in lifestyle habits in adolescents from Britain and
Saudi Arabia. Activity status (active vs. inactive) appears to play an important
role in other lifestyle related behaviors, with active adolescent more likely to
engage in healthy dietary behavior than their inactive peers, irrespective of
country of origin.
PMID- 24934820
TI - [Fixed pigmented erythema antihistamine H1: about 2 cases and review of the
literature].
AB - We describe two cases of fixed drug eruptions induced by pheniramine (1(st) case)
and loratadine (2(nd) case).
PMID- 24934822
TI - [Methandienone misuse: interest of medical anti-doping units].
AB - We report a case of methandienone misuse leading to a preventive action of the
Lorraine medicale anti-doping unit.
PMID- 24934821
TI - Facial hyperpigmentation during imatinib therapy for gastrointestinal stromal
tumor.
AB - We report a rare case of facial hyperpigmentation during imatinib therapy for a
gastrointestinal stromal tumor.
PMID- 24934823
TI - Valvular heart disease associated with long-term treatment by methysergide: a
case report.
AB - This case report concerns a woman treated continuously since at least 10 years by
methysergide for cluster headache. The echocardiographic and histological
features of the severe valve fibrosis presented by this patient are very similar
to those described with 5 HT(2B) receptors agonistic drugs.
PMID- 24934824
TI - Primary epiploic appendagitis: reconciling CT and clinical challenges.
AB - OBJECTIVES: Our aim was to explain the spectrum of clinical and CT findings in 18
patients with acute epiploic appendagitis. METHODS AND MATERIALS: We reviewed the
clinical records and CT pictures of 18 consecutive patients seen in Nejran Armed
Forces Hospital, Nejran, Kingdom of Saudi Arabia and Sohag University Hospital,
Sohag, Egypt between July 2006 and June 2013 with a diagnosis of primary epiploic
appendagitis. RESULTS: The age ranged between 22 and 64 years old with a mean of
44.3. Males were affected in 72 % of cases. Eleven patients had acute pain in
left lower quadrant. Nausea and vomiting were encountered in four patients. No
fever was recorded in all cases. Leukocytosis was found in four patients and C
reactive protein (CRP) was high in five. CT scan diagnosed primary epiploic
appendagitis (PEA) in 15 patients, while 3 patients were diagnosed
intraoperatively. The left colon was affected in 11 patients. All patients except
one had a central fatty core surrounded by inflammation with size ranging between
1.5 and 3.5 cm in length. Fifteen patients were treated conservatively, and three
cases underwent surgical exploration. Ten patients (55.5 %) completed the follow
up schedule. Complete resolution was noted in three patients at 2 weeks, in six
patients at 3 months, and only one patient had residual changes at 6 months.
CONCLUSION: PEA is a challenging clinical diagnosis, and CT scan is extremely
necessary in diagnosing this disease accurately. The awareness of the surgeons
concerning this rare occasion will avoid unnecessary hospital admission and
operative treatment.
PMID- 24934825
TI - D-Xylose assimilation via the Weimberg pathway by solvent-tolerant Pseudomonas
taiwanensis VLB120.
AB - The natural ability of Pseudomonas taiwanensis VLB120 to use xylose as sole
carbon and energy source offers a high potential for sustainable industrial
biotechnology. In general, three xylose assimilation routes are reported for
bacteria. To elaborate the metabolic capacity of P. taiwanensis VLB120 and to
identify potential targets for metabolic engineering, an in silico/in vivo
experiment was designed, allowing for discrimination between these pathways.
Kinetics of glucose and xylose degradation in P. taiwanensis VLB120 was
determined and the underlying stoichiometry was investigated by genome-based
metabolic modelling and tracer studies using stable isotope labelling.
Additionally, reverse transcription quantitative polymerase chain reaction
experiments have been performed to link physiology to the genomic inventory.
Based on in silico experiments, a labelling strategy was developed, ensuring a
measurable and unique (13) C-labelling distribution in proteinogenic amino acids
for every possible distribution between the different xylose metabolization
routes. A comparison with in vivo results allows the conclusion that xylose is
metabolized by P. taiwanensis VLB120 via the Weimberg pathway. Transcriptomic and
physiological studies point to the biotransformation of xylose to xylonate by
glucose dehydrogenase. The kinetics of this enzyme is also responsible for the
preference of glucose as carbon source by cells growing in the presence of
glucose and xylose.
PMID- 24934826
TI - Acting in the face of uncertainty.
PMID- 24934827
TI - Thyroid function and dysfunction in term and premature equine neonates.
AB - BACKGROUND: This study was performed to compare thyroid function of premature
foals to term foals. HYPOTHESIS: Premature foals are more markedly
hypothyroxinemic than expected for their severity of illness alone. ANIMALS:
Twenty clinically normal term foals; 28 sick, hospitalized term foals; 24 sick,
hospitalized premature foals. METHODS: Thyroid hormones (TH) and thyrotropin
(TSH) were measured, both at rest and in response to thyrotropin-releasing
hormone (TRH), in the 3 groups of foals. Clinical and clinicopathologic data were
recorded. RESULTS: Normal foals had high TH at birth, which decreased over the
first month into the normal reference range for adult horses. TSH was within the
normal adult reference range soon after birth, and did not change over time. At
24-36 hours of age, triiodothyronine (T3) was significantly lower in both
premature and term hospitalized foals compared to normal foals; premature foals
were not different from term hospitalized foals. Thyroxine (T4) was not different
between normal and term hospitalized foals, but was significantly lower than in
premature foals of both of these groups. TSH was not different among the 3
groups. TRH stimulation tests identified significant differences in T4 among all
3 groups of foals, whereas T3 was similar in premature and term hospitalized
foals and different from normal foals. TSH response to TRH was significantly
higher in premature foals compared to normal foals. CONCLUSIONS AND CLINICAL
IMPORTANCE: The hypothalamic-pituitary-thyroid axis is different in foals
compared to adult horses. Sick foals exhibit nonthyroidal illness syndrome.
Premature foals are more markedly hypothyroxinemic than can be accounted for by
their severity of illness alone.
PMID- 24934828
TI - Describing drivers of and barriers to persistence with tiotropium in patients
with chronic obstructive pulmonary disease: a mixed-methods approach.
AB - OBJECTIVE: To understand the factors influencing persistence with tiotropium in
patients with chronic obstructive pulmonary disease (COPD). METHODS: Patients
classified as 'persistent' or 'non-persistent' with tiotropium were identified
from pharmacy dispensing records. Patients were compared for health status,
beliefs and behaviours using data from questionnaires and interviews. KEY
FINDINGS: Perceptions of the risks and benefits of medication, fear of worsening
illness, and the GP's emphasis on the importance of the medication were key
determinants of tiotropium persistence. CONCLUSIONS: Perceptions, attitudes and
beliefs of patients and doctors influence persistence with tiotropium. These
complex interactions need to be targeted to improve persistence with medicines in
COPD.
PMID- 24934829
TI - Preparation of stimuli-responsive "mushroom-like" janus polymer particles as
particulate surfactant by site-selective surface-initiated AGET ATRP in aqueous
dispersed systems.
AB - Micrometer-sized, monodisperse, "mushroom-like" Janus poly(methyl
methacrylate)/poly(styrene-2-(2-bromoisobutyryloxy)ethyl methacrylate)-graft
poly(2-(dimethyl amino)ethyl methacrylate) (PMMA/P(S-BIEM)-g-PDM) particles were
successfully synthesized by site-selective surface-initiated activator generated
by electron transfer for atom transfer radical polymerization in aqueous
dispersed systems with spherical PMMA/P(S-BIEM) composite particles having
controlled morphologies prepared using the solvent evaporation method. The
anisotropic nonspherical shape of the obtained particles was controlled by
changing the percentage of the surface area occupied by localized initiation
sites (bromine group) at the surface of the PMMA/P(S-BIEM) composite particles
with different P(S-BIEM) contents. Grafted PDM layer formed at the surface
(contacting with water) of the P(S-BIEM) phase reversibly exhibited the volume
phase transition in response to temperature and pH, which gave different
nonspherical shapes ("open" or "closed" mushroom-cap). On the basis of such dual
stimuli-responsive properties, the nonspherical particles effectively operated as
particulate surfactant for Pickering emulsion, resulting in a stable 1-octanol-in
water emulsion at optimum temperature and pH value, and the Pickering emulsion
could be easily unstabilized quickly by controlling them.
PMID- 24934830
TI - A profile of travelers--an analysis from a large swiss travel clinic.
AB - BACKGROUND: Globally, the Swiss have one of the highest proportions of the
population traveling to tropical and subtropical countries. Large travel clinics
serve an increasing number of customers with specific pre-travel needs including
uncommon destinations and preexisting medical conditions. This study aims to
identify health characteristics and travel patterns of travelers seeking advice
in the largest Swiss travel clinic so that tailored advice can be delivered.
METHODS: A descriptive analysis was performed on pre-travel visits between July
2010 and August 2012 at the Travel Clinic of the Institute of Social and
Preventive Medicine, University of Zurich, Switzerland. RESULTS: A total of
22,584 travelers sought pre-travel advice. Tourism was the main reason for travel
(17,875, 81.5%), followed by visiting friends and relatives (VFRs; 1,715, 7.8%),
traveling for business (1,223, 5.6%), and "other reasons" (ie, volunteer work,
pilgrimage, study abroad, and emigration; 1,112, 5.1%). The main travel
destination was Thailand. In the VFR group, the highest proportions of traveling
children (258, 15.1%) and of pregnant or breastfeeding women (23, 3.9%) were
observed. Mental disorders were more prominent in VFRs (93, 5.4%) and in travel
for "other reasons" (63, 5.7%). The latter stayed for the longest periods abroad;
272 (24.9%) stayed longer than 6 months. VFR travelers received the highest
percentage of yellow fever vaccinations (523, 30.5%); in contrast, rabies (269,
24.2%) and typhoid vaccinations (279, 25.1%) were given more often to the "other
travel reasons" group. CONCLUSIONS: New insights into the characteristics of a
selected and large population of Swiss international travelers results in
improved understanding of the special needs of an increasingly diverse population
and, thus, in targeted preventive advice and interventions.
PMID- 24934833
TI - Predicting admission of patients by their presentation to the emergency
department.
AB - OBJECTIVE: The present study aims to determine the importance of certain factors
in predicting the need of hospital admission for a patient in the ED. METHODS:
This is a retrospective observational cohort study between January 2010 and March
2012. The characteristics, including blood test results, of 100,123 patients who
presented to the ED of a tertiary referral urban hospital, were incorporated into
models using logistic regression in an attempt to predict the likelihood of
patients' disposition on leaving the ED. These models were compared with triage
nurses' prediction of patient disposition. RESULTS: Patient age, their initial
presenting symptoms or diagnosis, Australasian Triage Scale category, mode of
arrival, existence of any outside referral, triage time of day and day of the
week were significant predictors of the patient's disposition (P < 0.001). The
ordering of blood tests for any patient and the extent of abnormality of those
tests increased the likelihood of admission. The accuracy of triage nurses'
admission prediction was similar to that offered by a model that used the
patients' presentation characteristics. The addition of blood tests to that model
resulted in only 3% greater accuracy in prediction of patient disposition.
CONCLUSIONS: Certain characteristics of patients as they present to hospital
predict their admission. The accuracy of the triage nurses' prediction for
disposition of patients is the same as that afforded by a model constructed from
these characteristics. Blood test results improve disposition accuracy only
slightly so admission decisions should not always wait for these results.
PMID- 24934832
TI - Dynamics of allograft fibrosis in pediatric liver transplantation.
AB - Progressive liver allograft fibrosis (LAF) is well known to occur long term, as
shown by its high prevalence in late posttransplant liver biopsies (LBs). To
evaluate the influence of clinical variables and immunosuppression on LAF
progression, LAF dynamic was assessed in 54 pediatric liver transplantation (LT)
recipients at 6 months, 3 and 7 years post-LT, reviewing clinical, biochemical
data and protocol LBs using METAVIR and the liver allograft fibrosis score,
previously designed and validated specifically for LAF assessment. Scoring
evaluations were correlated with fibrosis quantification by morphometric
analysis. Progressive LAF was found in 74% of long-term patients, 70% of whom had
unaltered liver enzymes. Deceased grafts showed more fibrosis than living-related
grafts (p = 0.0001). Portal fibrosis was observed in correlation with prolonged
ischemia time, deceased grafts and lymphoproliferative disease (p = 0.001, 0.006
and 0.012, respectively). Sinusoidal fibrosis was correlated with biliary
complications (p = 0.01). Centrilobular fibrosis was associated with vascular
complications (p = 0.044), positive autoantibodies (p = 0.017) and high gamma
globulins levels (p = 0.028). Steroid therapy was not associated with reduced
fibrosis (p = 0.83). LAF could be viewed as a dynamic process with mostly
progression along the time. Peri- and post-LT-associated factors may condition
fibrosis development in a specific area of the liver parenchyma.
PMID- 24934831
TI - Next generation modeling in GWAS: comparing different genetic architectures.
AB - The continuous advancement in genotyping technology has not been accompanied by
the application of innovative statistical methods, such as multi-marker methods
(MMM), to unravel genetic associations with complex traits. Although the
performance of MMM has been widely explored in a prediction context, little is
known on their behavior in the quantitative trait loci (QTL) detection under
complex genetic architectures. We shed light on this still open question by
applying Bayes A (BA) and Bayesian LASSO (BL) to simulated and real data. Both
methods were compared to the single marker regression (SMR). Simulated data were
generated in the context of six scenarios differing on effect size, minor allele
frequency (MAF) and linkage disequilibrium (LD) between QTLs. These were based on
real SNP genotypes in chromosome 21 from the Spanish Bladder Cancer Study. We
show how the genetic architecture dramatically affects the behavior of the
methods in terms of power, type I error and accuracy of estimates. Markers with
high MAF are easier to detect by all methods, especially if they have a large
effect on the phenotypic trait. A high LD between QTLs with either large or small
effects differently affects the power of the methods: it impairs QTL detection
with BA, irrespectively of the effect size, although boosts that of small effects
with BL and SMR. We demonstrate the convenience of applying MMM rather than SMR
because of their larger power and smaller type I error. Results from real data
when applying MMM suggest novel associations not detected by SMR.
PMID- 24934834
TI - Increasing incidence of bullous pemphigoid in Northern Finland: a retrospective
database study in Oulu University Hospital.
AB - BACKGROUND: Bullous pemphigoid (BP) is an autoimmune blistering skin disease of
elderly people. Some studies have suggested that the incidence of BP has
increased, but the diagnostic accuracy and methodology of studies have varied
considerably. OBJECTIVES: To examine the incidence of BP in Northern Finland, and
whether the incidence has changed over time. METHODS: This was a retrospective
database study of all BP cases diagnosed in the Oulu University Hospital, Finland
between 1985 and 2009. The diagnostic criteria were clinical features
characteristic of BP (all patients) and positive direct or indirect
immunofluorescence in the skin biopsy. The age-standardized incidences were
calculated by the direct standardization method. Incidence rate ratios (IRR) were
estimated by the Poisson regression model. To derive adjusted IRRs, age and sex
were used as potential confounding factors. RESULTS: The crude incidence of BP
was 17 per 1 million person-years [95% confidence interval (CI) 15-20] between
1985 and 2009. Using the general European population as a reference, the age
standardized incidence was 14 per 1 million person-years (95% CI 12-17). The
incidence of BP increased 1.8-fold (IRR 1.8, 95% CI 1.3-2.6; P < 0.001) in 2005
09 compared with the mean incidence of BP between 1985 and 2004, but after the
adjustment for age and sex the increase was 1.4-fold (IRR 1.4, 95% CI 1.0-2.0; P
= 0.043). CONCLUSIONS: This is the first study with immunohistologically verified
BP diagnoses that reports the increase in the incidence of BP in age- and sex
adjusted populations.
PMID- 24934835
TI - Editor's Letter.
PMID- 24934836
TI - Risk, Feasibility, and Cost Evaluation of a Prisoner Condom Access Pilot Program
in One California State Prison.
AB - This study evaluated the safety and security impact, feasibility, and cost of a
program to provide condoms to inmates. A 1-year pilot study of wall-mounted
condom dispensing machines in one California state prison compared pre- and post
intervention rates of penal code violations related to sexual misconduct,
contraband, controlled substances, and violence. The rates of penal code
violations were unchanged or decreased compared to the pre-pilot year. Discreetly
located condom dispensers were vandalized less frequently than those in plain
view (p < .05). Distributing condoms using the pilot model would cost less than
$2 per inmate annually. Results suggest that the use of discreetly located
dispensing machines is an acceptable, feasible, low-cost option to prevent the
transmission of sexually transmitted diseases and poses no safety or security
risk in a typical medium-security prison setting.
PMID- 24934838
TI - The Impact of Traumatic Brain Injury on Prison Health Services and Offender
Management.
AB - A large percentage of incarcerated offenders report a history of traumatic brain
injury (TBI) with concomitant neuropsychiatric and social sequelae. However,
research looking at the relationship between TBI and delivery of correctional
health services and offender management is limited. In this study, the
relationships between TBI and use of correctional medical/psychological services,
chemical dependency (CD) treatment completion rates, in-prison rule infractions,
and recidivism were investigated. Findings indicated that TBI history has a
statistically significant association with increased usage of correctional
medical/psychological services, including crisis interventions services, and with
higher recidivism rates. Results also showed a trend toward offenders with TBI
incurring higher rates of in-prison rule infractions and lower rates of CD
treatment completion. Implications and future directions for correctional systems
are discussed.
PMID- 24934837
TI - A Condom Distribution Program in the Los Angeles Men's Central Jail: Sheriff
Deputies' Attitudes and Opinions.
AB - The K6G unit of Los Angeles Men's Central Jail is comprised of males who have sex
with males (MSM), whether gay, bisexual, or transgender. Within this unit,
condoms are distributed to inmates, one condom per week. The current study was
conducted to better understand the experiences and opinions of jail staff as they
pertain to the condom distribution program's effectiveness and impact on jail
safety and management. A total of 10 staff interviews were conducted with the
unit's line staff (n = 8) and administrative personnel (n = 2). Findings suggest
that despite the contradictory "mixed message" that jail staff felt the program
sent, it causes few operational or safety concerns and is perceived to be
beneficial to public health.
PMID- 24934839
TI - Measuring HIV Knowledge Among Women Incarcerated in Jail.
AB - Incarcerated women often have arresting behaviors that are also high risk for
acquiring HIV, such as drug use and sex work. Little research has been done
related to HIV knowledge among women in jail. This study assessed HIV knowledge
among English-speaking women 18 years and older detained in a large, Southeastern
jail (N = 202). HIV knowledge was assessed using the Brief HIV Knowledge
Questionnaire. HIV knowledge scores were significantly lower among women over age
50, F(3, 195) = 7.39, p < .001; those with less than a high school education,
F(2, 187) = 4.10, p = .018; and those with no history of sexually transmitted
infections, F(1, 200) = 7.66, p = .006. Assessment of HIV knowledge among
incarcerated women revealed a need for prevention education.
PMID- 24934840
TI - Evaluation of a Renal Transplant Program for Incarcerated ESRD Patients.
AB - Renal transplantation (Tx) improves mortality and morbidity but is limited by
availability of suitable organs. Clinical and economic impact of a Tx program for
end-stage renal disease (ESRD) prisoners was evaluated. Wait list time and
patient and organ survival rates were assessed. Twelve of the 104 ESRD prisoners
at a prison dialysis unit were activated; 9 transplanted, 2 released active on
the United Network for Organ Sharing list, and 1 died after listing. Kidneys from
antibody-positive hepatitis C (HepC) donors were given to consenting HepC
antibody-positive recipients. The average waiting period was 6.6 months for HepC
positive kidney recipients and 49.6 months for others. Compared with costs of
continuing dialysis, Tx resulted in substantial savings. Patients with HepC
experienced good graft and survival rates when given grafts from HepC donors,
suggesting that transplantation is a viable, cost-effective option for the
incarcerated patient with ESRD including those who have chronic HepC infection.
PMID- 24934841
TI - Distribution of A(H1N1)pdm09 Influenza Vaccine: Need for Greater Consideration of
Smaller Jails.
AB - To identify factors associated with vaccine receipt among correctional facilities
during the A(H1N1)pdm09 influenza pandemic, this study surveyed one third of U.S.
correctional facilities. Analysis of the association of average daily population
(ADP) on A(H1N1)pdm09 influenza vaccine receipt found that an ADP increase of 100
inmates resulted in a 32% increased likelihood of receiving influenza vaccine
among smaller jails. Zero percent of large jails, 14% of federal prisons, 11% of
nonfederal prisons, and 57% of small jails reported never receiving pandemic
influenza vaccine. A qualitative assessment identified barriers to vaccine
delivery, lessons learned from pandemic response, and recommendations for public
health partners. Building stronger relationships between public health entities
and correctional facilities to collaborate in influenza pandemic preparedness
efforts may help protect correctional and community populations.
PMID- 24934842
TI - Simulation: Introduction to Correctional Nursing in a Prison Setting.
AB - Simulation can be used to introduce students to critical nursing skills that
reduce errors, enhance nurse-patient communication, and improve safety and
security when caring for patients in the correctional setting. The interactive
environment creates scenarios in a realistic fashion that include experiences
that nursing students may encounter in a correctional facility setting, such as
aggressive clients, gang-related activities, and noncompliant personalities.
Orientation prior to entering the facilities is the key to safety, reducing
student anxiety, and enhancing the student's ability to learn. The simulation
scenario designed for the correctional setting provides an environment for the
teaching and learning of correctional nursing skills and multidisciplinary
collaboration. Scenarios are embedded with communication, safety, delegation, and
critical thinking education goals. Student evaluations are assessed and reported.
PMID- 24934843
TI - Psychoactive Medication Misadventuring in Correctional Health Care.
AB - Due to multiple factors, unprecedented numbers of individuals with mental health
disorders and many with comorbid substance use disorders are now incarcerated in
jails and prisons. This article details a variety of medication use and potential
abuse/misuse/misadventuring topics that are unique to the correctional health
care setting, with emphasis on how the large number of persons with psychiatric
and/or substance use disorders affects these issues and methods that correctional
systems commonly use to manage these inmate-patients. Also discussed are the
potential for inmate feigning or malingering as a goal-directed behavior,
potential for misadventuring/abuse of commonly used medications, urine drug
screening/surveillance, and the effect of psychoactive medications on heat
tolerance and environmental issues.
PMID- 24934844
TI - Journal of Correctional Health Care.
PMID- 24934846
TI - Safety in childbirth: can India maintain its momentum?
PMID- 24934845
TI - Comparative effectiveness of a multifaceted intervention to improve adherence to
annual colorectal cancer screening in community health centers: a randomized
clinical trial.
AB - IMPORTANCE: Colorectal cancer (CRC) screening rates are lower among Latinos and
people living in poverty. Fecal occult blood testing (FOBT) is one recommended
screening modality that may overcome cost and access barriers. However, the
ability of FOBT to reduce CRC mortality depends on high rates of adherence to
annual screening. OBJECTIVE: To determine whether a multifaceted intervention
increases adherence to annual FOBT compared with usual care. DESIGN, SETTING, AND
PARTICIPANTS: Patient-level randomized controlled trial conducted in a network of
community health centers. Included were 450 patients who had previously completed
a home FOBT from March 2011 through February 2012 and had a negative test result:
72% of participants were women; 87% were Latino; 83% stated that Spanish was
their preferred language; and 77% were uninsured. INTERVENTIONS: Usual care at
participating health centers included computerized reminders, standing orders for
medical assistants to give patients home fecal immunochemical tests (FIT), and
clinician feedback on CRC screening rates. The intervention group also received
(1) a mailed reminder letter, a free FIT with low-literacy instructions, and a
postage-paid return envelope; (2) an automated telephone and text message
reminding them that they were due for screening and that a FIT was being mailed
to them; (3) an automated telephone and text reminder 2 weeks later for those who
did not return the FIT; and (4) personal telephone outreach by a CRC screening
navigator after 3 months. MAIN OUTCOMES AND MEASURES: Completion of FOBT within 6
months of the date the patient was due for annual screening. RESULTS:
Intervention patients were much more likely than those in usual care to complete
FOBT (82.2% vs 37.3%; P < .001). Of the 185 intervention patients completing
screening, 10.2% completed prior to their due date (intervention was not given),
39.6% within 2 weeks (after initial intervention), 24.0% within 2 to 13 weeks
(after automated call/text reminder), and 8.4% between 13 and 26 weeks (after
personal call). CONCLUSIONS AND RELEVANCE: This intervention greatly increased
adherence to annual CRC screening; most screenings were achieved without personal
calls. It is possible to improve annual CRC screening for vulnerable populations
with relatively low-cost strategies that are facilitated by health information
technologies. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT01453894.
PMID- 24934847
TI - Chemoimmunotherapy with oral low-dose fludarabine, cyclophosphamide and rituximab
(old-FCR) as treatment for elderly patients with chronic lymphocytic leukaemia.
AB - Median age at diagnosis for chronic lymphocytic leukaemia (CLL) patients is now
72 years, thus a consistent number of patients may not tolerate standard doses
i.v. of fludarabine, cyclophosphamide and rituximab (FCR), the best available
therapy, due to unacceptable myelotoxicity and risk of severe infections. We
studied safety and efficacy of the addition of rituximab to the oral low-dose FC
regimen (old-FCR) in a selected population of 30 elderly (median age 75, 15
untreated, 15 treated with 1 prior therapy) CLL patients. Complete remission (CR)
rate was 80% in the untreated patients (overall response rate, ORR 93%), and 30%
in pretreated patients (ORR 74%). Progression free survivals (PFS) were 45 months
and 30 months in the untreated and treated patients, respectively. In patients
achieving CR, old-FCR led to PFS of 67 months. Moreover, haematological toxicity
was mild (grade 3-4: 15%) and patients were treated mostly in outpatient clinic.
Old-FCR could be a good therapy option for elderly CLL patients outside clinical
trials, larger studies are needed to confirm our findings.
PMID- 24934848
TI - Combination anti-CD137 and anti-CD40 antibody therapy in murine myc-driven
hematological cancers.
AB - In order to stimulate antigen presentation and T cell activity against cancer, we
treated three different tumor models in mice with the monoclonal antibodies anti
CD40 plus anti-CD137 (BiMab). In a subcutaneous transplantable MC38 colon cancer
model, there was significant enhancement in the survival of mice following BiMab
treatment. Anti-CD40 has shown considerable success against lymphoma in previous
studies by other investigators, and we also showed in this study that, in a model
of EMU-Myc lymphoma, there was a statistically significant enhancement of
survival of mice following BiMab treatment. Following the success of the BiMab
treatment in the previous two models, we wished to determine if it would be
successful in a mouse model of multiple myeloma. Firstly, we tested a
transplantable model of disease in which multiple myeloma cells derived from
Vk*MYC mice were injected intravenously. A minor proportion of anti-CD137 and
BiMab treated mice experienced prolongation of life beyond 250 days. Then we
tested the therapy in a spontaneously occurring multiple myeloma model, in Vk*MYC
transgenic mice. The majority of mice treated survived longer than control mice,
although statistical significance was not demonstrated.
PMID- 24934850
TI - Oxygenated fatty acids isolated from wheat bran slurries.
AB - Oxygenated fatty acids are classified as secondary metabolites in wheat, produced
by oxidation of free fatty acids (FFAs). Oxygenated fatty acids have significant
impact on the organoleptic and sensory properties of food products and
participate in regulation of defense and developmental processes in plants. The
objective of this study was to identify oxygenated fatty acids from wheat flour
slurries. Wheat bran/water slurries were incubated for 4 h and freeze dried.
Total lipids were extracted in chloroform/methanol/water; and methylated and
silylated FFAs were analyzed with gas chromatography/mass spectroscopy. Seven
oxygenated fatty acids were identified, (A) 12,13-dihydroxy-9-octadecenoic acid,
(B) 9-hydroxy-10,12-octadecadienoic acid, (C) 13-hydroxy-9cis,11trans
octadecadienoic acid, (D) 9,10,13-trihydroxy-11trans-octadecenoic acid, (E)
9,12,13-trihydroxy-10trans,15cis-octadecadienoic acid, (F) 10-oxo-13-hydroxy
11trans-octadecenoic acid and (G) 12-oxo-13-hydroxy-octadecanoic acid, in wheat
bran slurries. Our results are important for whole wheat food applications since
oxygenated fatty acids can result in bitter flavors in the final product.
PMID- 24934849
TI - Malaria chemoprophylaxis regimens: a descriptive drug utilization study.
AB - BACKGROUND: Mefloquine belongs to the priority chemoprophylaxis drugs for
travelers to malaria endemic regions. We aimed to assess the prescribing patterns
for mefloquine and other antimalarials. METHODS: We conducted a descriptive drug
utilization study using the U.K. Clinical Practice Research Datalink (CPRD). We
assessed characteristics of individuals with a first-time antimalarial
prescription for mefloquine, atovaquone/proguanil, chloroquine and/or proguanil,
or doxycycline between 2001 and 2012. RESULTS: Of 165,218 individuals with a
first-time antimalarial prescription, 108,344 (65.6%), 25,294 (15.3%), 23,195
(14.0%), and 8385 (5.1%) were prescribed atovaquone/proguanil, mefloquine,
doxycycline, and chloroquine and/or proguanil, respectively. Among mefloquine
users, 7.5% had a history of a neuropsychiatric disorder (versus 12.6%-13.7%
among other antimalarial users) and 0.04% had a history of severe liver disease
(versus 0.04%-0.1% among other antimalarial users). A total of 19.4% mefloquine
users were children younger than 12 years (versus 0.4%-15.8% among other
antimalarials), and 1.3% pregnant or postpartum women (versus 0.4%-1.4% among
users of other antimalarials). CONCLUSIONS: The most frequently prescribed
antimalarial chemoprophylaxis was atovaquone/proguanil. Mefloquine was
occasionally prescribed for patients with comorbidities listed as
contraindications, but most practitioners observed contraindications. Mefloquine
was often prescribed for children and pregnant women.
PMID- 24934851
TI - Identification of putative pathogenic SNPs implied in schizophrenia-associated
miRNAs.
AB - BACKGROUND: Schizophrenia is a severe brain disorder, and SNPs (Single nucleotide
polymorphism) in schizophrenia-associated miRNAs are believed to be one of the
important reasons for dysregulation which might contribute to the altered
expression of genes and ultimately result in the disease. Identification of
causal SNPs in associated miRNAs may have certain significance in understanding
the mechanism of schizophrenia. RESULTS: For the above purposes, a method based
on detection of free energy change is proposed for identification of causal SNPs
in schizophrenia-associated miRNAs. A miRNA is firstly segmented, and free energy
change is computed after adding an SNP into a segment. The method discovers
successfully 6 out of 32 known SNPs and some artificial SNPs could cause
significant change in free energy, and among which, 6 known SNPs are supposed to
be responsible for most cases of schizophrenia in population. CONCLUSIONS: The
proposed method is not only a convenient way to discover causal SNPs in
schizophrenia-associated miRNAs without any biochemical assay or sample
comparison between cases and controls, but it also has high resolution for causal
SNPs even if the SNPs are not reported for their very rare cases in the
population. Moreover, the method can be applied to discover the causal SNPs in
miRNAs associated with other diseases.
PMID- 24934852
TI - Variability in urinary phthalate metabolite levels across pregnancy and sensitive
windows of exposure for the risk of preterm birth.
AB - BACKGROUND: Preterm birth is a significant public health problem, affecting over
1 in 10 live births and contributing largely to infant mortality and morbidity.
Everyday exposure to environmental chemicals such as phthalates could contribute
to prematurity, and may be modifiable. In the present study we examine
variability in phthalate exposure across gestation and identify windows of
susceptibility for the relationship with preterm birth. METHODS: Women were
recruited early in pregnancy as part of a prospective, longitudinal birth cohort
at the Brigham and Women's Hospital in Boston, Massachusetts. Urine samples were
collected at up to 4 time points during gestation for phthalate measurement, and
birth outcomes were recorded at delivery. From this population we selected all
130 cases of preterm birth, defined as delivery before 37 weeks of completed
gestation, as well as 352 random controls. RESULTS: Urinary phthalate metabolite
levels were moderately variable over pregnancy, but levels measured at multiple
time points were associated with increased odds of preterm birth. Adjusted odds
ratios (aOR) for spontaneous preterm birth were strongest in association with
phthalate metabolite concentrations measured at the beginning of the third
trimester (aOR for summed di-2-ethylhexyl phthalate metabolites [?DEHP]=1.33, 95%
confidence interval [CI]=1.02, 1.73). Odds ratios for placental preterm birth,
defined as delivery with presentation of preeclampsia or intrauterine growth
restriction, were slightly elevated in the first trimester for DEHP metabolites
(aOR for ?DEHP=1.33, 95% CI=0.99, 1.78). CONCLUSIONS: Pregnant women with
exposure to phthalates both early and late in pregnancy are at an increased risk
of delivering preterm, but mechanisms may differ based on etiology.
PMID- 24934853
TI - Prenatal exposure to organophosphate pesticides and reciprocal social behavior in
childhood.
AB - Prenatal exposure to organophosphate pesticides (OPs) has been associated with
adverse neurodevelopmental outcomes in childhood, including low IQ, pervasive
developmental disorder (PDD), attention problems and ADHD. Many of these
disorders involve impairments in social functioning. Thus, we investigated the
relationship between biomarkers of prenatal OP exposure and impaired reciprocal
social behavior in childhood, as measured by the Social Responsiveness Scale
(SRS). Using a multi-ethnic urban prospective cohort of mother-infant pairs in
New York City recruited between 1998 and 2002 (n=404) we examined the relation
between third trimester maternal urinary levels of dialkylphosphate (SigmaDAP) OP
metabolites and SRS scores among 136 children who returned for the 7-9year visit.
Overall, there was no association between OPs and SRS scores, although in
multivariate adjusted models, associations were heterogeneous by race and by sex.
Among blacks, each 10-fold increase in total diethylphosphates (SigmaDEP) was
associated with poorer social responsiveness (beta=5.1 points, 95% confidence
interval (CI) 0.8, 9.4). There was no association among whites or Hispanics, or
for total SigmaDAP or total dimethylphosphate (SigmaDMP) biomarker levels.
Additionally, stratum-specific models supported a stronger negative association
among boys for SigmaDEPs (beta=3.5 points, 95% CI 0.2, 6.8), with no notable
association among girls. Our results support an association of prenatal OP
exposure with deficits in social functioning among blacks and among boys,
although this may be in part reflective of differences in exposure patterns.
PMID- 24934854
TI - Development and application of an inhalation bioaccessibility method (IBM) for
lead in the PM10 size fraction of soil.
AB - An approach for assessing the inhalation bioaccessibility of Pb in the PM10 size
fraction is presented, using an in vitro simulated epithelial lung fluid to
represent the extracellular environment of the lung. The developed inhalation
bioaccessibility method (IBM) is applied to a range of urban surface soils and
mining wastes obtained from Mitrovica, Kosovo, a site where impacts upon human
health following exposure to Pb have been internationally publicised. All Pb
determinations were undertaken by inductively coupled plasma mass spectrometry
(ICP-MS). The pseudo-total concentration of Pb (microwave acid digestion using
aqua-regia) varied between matrices: smelter (20,900-72,800mgkg(-1)), topsoil
(274-13,700mgkg(-1)), and tailings (2990mgkg(-1)-25,300mgkg(-1)). The in vitro
inhalation bioaccessibility was typically several orders of magnitude lower:
smelter (7.0-965mgkg(-1)), topsoil (9.8-1060mgkg(-1)), and tailings (0.7mgkg(-1)
49.2mgkg(-1)). The % inhalation bioaccessibility ranged from 0.02 to 11.0%, with
the higher inhalation bioaccessible Pb concentrations being observed for samples
from the Bosniak Mahalla area of Mitrovica (an area proposed for the relocation
of internally displaced peoples). The estimated inhalation dose (for adults)
calculated from the PM10 pseudo-total Pb concentration ranged from 0.369 to
1.284MUgkg(-1)BWday(-1) (smelter), 0.005-0.242MUgkg(-1)BWday(-1) (topsoil), and
0.053-0.446MUgkg(-1)BWday(-1) (tailings). When daily inhalation doses were
calculated using the bioaccessible Pb concentration the modelled exposure doses
were much lower: smelter (0.0001-0.0170MUgkg(-1)BWday(-1)), topsoil (0.0002
0.0187MUgkg(-1)BWday(-1)) and tailings (0.0001-0.0009MUgkg(-1)BWday(-1)).
Modelled for the neutral pH conditions of the interstitial lung environment, the
results indicate a low potential inhalation bioaccessibility for Pb in these
samples. Given the already elevated environmental Pb burden experienced by the
local population, where significant prolonged dust or particulate generating
activities are taking place, or where the inhaled particles are phagocytized,
then inhalation exposure has the potential to significantly add to the overall Pb
burden. Such data are important for local policy makers to better enable them to
assess risk, especially in areas where soils/dusts have elevated levels of
contamination.
PMID- 24934855
TI - Occurrences, toxicities, and ecological risks of benzophenone-3, a common
component of organic sunscreen products: a mini-review.
AB - Benzophenone-3 (BP-3) has been widely used in sunscreens and many other consumer
products, including cosmetics. The widespread use of BP-3 has resulted in its
release into the water environment, and hence its potential impact on aquatic
ecosystem is of concern. To better understand the risk associated with BP-3 in
aquatic ecosystems, we conducted a thorough review of available articles
regarding the physicochemical properties, toxicokinetics, environmental
occurrence, and toxic effects of BP-3 and its suspected metabolites. BP-3 is
lipophilic, photostable, and bioaccumulative, and can be rapidly absorbed via
oral and dermal routes. BP-3 is reported to be transformed into three major
metabolites in vivo, i.e., benzophenone-1 (BP-1), benzophenone-8 (BP-8), and
2,3,4-trihydroxybenzophenone (THB). BP-1 has a longer biological half-life than
its parent compound and exhibits greater estrogenic potency in vitro. BP-3 has
been detected in water, soil, sediments, sludge, and biota. The maximum detected
level in ambient freshwater and seawater is 125ng/L and 577.5ng/L, respectively,
and in wastewater influent is 10,400ng/L. The major sources of BP-3 are reported
to be human recreational activities and wastewater treatment plant (WWTP)
effluents. BP-3 and its derivatives have been also detected in fish lipid. In
humans, BP-3 has been detected in urine, serum, and breast milk samples
worldwide. BP-1 has also been detected in placental tissues of delivering women.
While sunscreens and cosmetics are known to be major sources of exposure, the
fact that BP-3 has been detected frequently among young children and men suggests
other sources. An increasing number of in vitro studies have indicated the
endocrine disrupting capacity of BP-3. Based on a receptor binding assay, BP-3
has shown strong anti-androgenic and weak estrogenic activities but at the same
time BP-3 displays anti-estrogenic activity as well. Predicted no effect
concentration (PNEC) for BP-3 was derived at 1.32MUg/L. The levels observed in
ambient water are generally an order of magnitude lower than the PNEC, but in
wastewater influents, hazard quotients (HQs) greater than 1 were noted.
Considering limited ecotoxicological information and significant seasonal and
spatial variations of BP-3 in water, further studies on environmental monitoring
and potential consequences of long-term exposure in aquatic ecosystem are
warranted.
PMID- 24934856
TI - The crab Carcinus maenas as a suitable experimental model in ecotoxicology.
AB - Aquatic ecotoxicology broadly focuses on how aquatic organisms interact with
pollutants in their environment in order to determine environmental hazard and
potential risks to humans. Research has produced increasing evidence on the
pivotal role of aquatic invertebrates in the assessment of the impact of
pollutants on the environment. Its potential use to replace fish bioassays, which
offers ethical advantages, has already been widely studied. Nevertheless, the
selection of adequate invertebrate experimental models, appropriate experimental
designs and bioassays, as well as the control of potential confounding factors in
toxicity testing are of major importance to obtain scientifically valid results.
Therefore, the present study reviews more than four decades of published research
papers in which the Green crab Carcinus maenas was used as an experimental test
organism. In general, the surveyed literature indicates that C. maenas is
sensitive to a wide range of aquatic pollutants and that its biological responses
are linked to exposure concentrations or doses. Current scientific knowledge
regarding the biology and ecology of C. maenas and the extensive studies on
toxicology found for the present review recognise the Green crab as a reliable
estuarine/marine model for routine testing in ecotoxicology research and
environmental quality assessment, especially in what concerns the application of
the biomarker approach. Data gathered provide valuable information for the
selection of adequate and trustworthy bioassays to be used in C. maenas toxicity
testing. Since the final expression of high quality testing is a reliable
outcome, the present review recommends gender, size and morphotype separation in
C. maenas experimental designs and data evaluation. Moreover, the organisms'
nutritional status should be taken into account, especially in long-term studies.
Studies should also consider the crabs' resilience when facing historical and
concurrent contamination. Finally, experimental temperature and salinity should
be harmonised so as to obtain reliable comparisons between different studies.
Concerning future reaserch areas, data gathered in the present review reveals
that in vitro assays derived from C. maenas are still lacking. Also, a complete
C. maenas genome sequencing programme will be essencial for cutting-edge reseach.
PMID- 24934857
TI - Attributing population-scale human exposure to various source categories: merging
exposure models and biomonitoring data.
AB - Information about the distribution of chemical-production mass with respect to
use and release is a major and unavailable input for calculating population-scale
exposure estimates. Based on exposure models and biomonitoring data, this study
evaluates the distribution of total production volumes (and environmental
releases if applicable) for a suite of organic compounds. We used Bayesian
approaches that take the total intake from our exposure models as the prior
intake distribution and the intake inferred from measured biomarker
concentrations in the NHANES survey as the basis for updating. By carrying out a
generalized sensitivity analysis, we separated the input parameters for which the
modeled range of the total intake is within a factor of 2 of the intake inferred
from biomonitoring data and those that result in a range greater than a factor of
2 of the intake. This analysis allows us to find the most sensitive (or
important) parameters and the likelihood of emission rates for various source
emission categories. Pie charts of contribution from each exposure pathway
indicate that chemical properties are a primary determinant of the relative
contribution of each exposure pathway within a given class of compounds. For
compounds with relatively high octanol-water partition coefficients (Kow) such as
di-2-ethylhexyl phthalate (DEHP), pyrene, 2,2',4,4'-tetrabromodiphenyl ether
(PBDE-47), and 2,2',4,4',5,5'-hexabromodiphenyl ether (PBDE-153), more than 80%
of exposure derives from outdoor food ingestion and/or indoor dust ingestion. In
contrast, for diethyl phthalate (DEP), di-iso-butyl phthalate (DiBP), di-n-butyl
phthalate (DnBP), butylbenzyl phthalate (BBP), and naphthalene, all relatively
volatile compounds, either inhalation (indoor and outdoor) or dermal uptake from
direct consumer use is the dominant exposure pathway. The approach of this study
provides insights on confronting data gaps to improve population-scale exposure
estimates used for high-throughput chemical prioritization.
PMID- 24934858
TI - [The use of transesophageal echocardiography to guide the trans-septal puncture.
Practical guide, tips and tricks].
AB - Transesophageal echocardiography is very useful to guide transseptal puncture for
left atrial ablation procedures. This paper is a practical guide for the
ultrasonographer who seeks to meet the expectations of the electrophysiologist,
but also for young EP's in order to improve their understanding of the
echocardiographical views and to ameliorate the communication between the two
specialists. The tips and tricks of all the steps of the exam are presented.
PMID- 24934859
TI - Responsiveness of the ten-metre walk test, Step Test and Motor Assessment Scale
in inpatient care after stroke.
AB - BACKGROUND: Responsiveness of a measurement tool is its ability to detect change
over time. The aim of this study was to determine the responsiveness and
floor/ceiling effects of the ten-metre walk test (10mWT), Step Test and Motor
Assessment Scale (MAS) lower limb items. METHODS: An inception cohort study was
conducted, including 190 stroke survivors admitted to a comprehensive stroke
unit. The 10mWT, Step Test and MAS were administered within 48 hours of admission
and repeated in the 48 hours before discharge. Responsiveness was analysed with
Effect Size (ES), Standardised Response Mean (SRM) and a median-based Effect Size
(mES). Floor/ceiling effects were calculated as the percentage of participants
scoring the lowest/highest possible scores. RESULTS: Responsiveness of each
outcome measure varied according to the statistic used. Values for the 10mWT were
ES 1.44, SRM 0.93, mES 0.45; the step test ES 1.99, SRM 0.88, mES 0.36; MAS sit
to-stand (item 4) score ES 1.27, SRM 1.00, mES 0.50; and for MAS item 5 (walking)
ES 1.43, SRM 1.10, mES 0.50. The MAS item 3 (sitting balance) was moderately
responsive in all analyses (ES 0.72, SRM 0.71, mES 0.50). The MAS mobility score
(summed items 3-5) consistently showed large responsiveness (ES 1.42, SRM 1.16,
mES 0.92). The Step Test had the highest proportion of participants who didn't
change (46%) and item 4 of the MAS showed the largest ceiling effect on discharge
(44%). CONCLUSIONS: Most measures were able to detect change in motor performance
during inpatient stroke rehabilitation but the MAS mobility score was the only
measure that demonstrated large responsiveness and no marked floor or ceiling
effects.
PMID- 24934861
TI - Listeria exploits damage and death to spread bad news.
AB - Pathogenic Listeria monocytogenes replicates within the host cytosol; little is
known about how it transits from cell to cell, spreading infection. A recent
study implicates infection-induced membrane damage as a trigger for
efferocytosis, the recognition and uptake of dead cells, thereby tricking
neighboring cells into taking up the invader.
PMID- 24934862
TI - Dissecting the steps of CO2 reduction: 2. The interaction of CO and CO2 with
Pd/gamma-Al2O3: an in situ FTIR study.
AB - Alumina supported Pd catalysts with metal loadings of 0.5, 2.5 and 10 wt% were
investigated by in situ FTIR spectroscopy in order to understand the nature of
adsorbed species formed during their exposure to CO2 and CO. Exposing the
annealed samples to CO2 at 295 K resulted in the formation of alumina support
bound surface species only: linear adsorbed CO2, bidentate carbonates and
bicarbonates. Room temperature exposure of all three samples to CO produced IR
features characteristic of both ionic and metallic Pd, as well as bands we
observed upon CO2 adsorption (alumina support-bound species). Low temperature
(100 K) adsorption of CO on the three samples provided information about the
state of Pd after oxidation and reduction. Oxidized samples contained exclusively
ionic Pd, while mostly metallic Pd was present in the reduced samples. Subsequent
annealing of the CO-saturated samples revealed the facile (low temperature)
reduction of PdO(x) species by adsorbed CO. This process was evidenced by the
variations in IR bands characteristic of ionic and metallic Pd-bound CO, as well
as by the appearance of IR bands associated with CO2 adsorption as a function of
annealing temperature. Samples containing oxidized Pd species (oxidized, annealed
or reduced) always produced CO2 upon their exposure to CO, while no CO2-related
surface entities were observed on samples having only fully reduced (metallic)
Pd.
PMID- 24934860
TI - Interaction between ROS dependent DNA damage, mitochondria and p38 MAPK underlies
senescence of human adult stem cells.
AB - Human endometrium-derived mesenchymal stem cells (hMESCs) enter the premature
senescence under sublethal oxidative stress, however underlying mechanism remains
unknown. Here, we showed that exogenous H2O2 induces a rapid phosphorylation and
co-localization of ATM, H2A.X, 53BP1 leading to DNA damage response (DDR)
activation. DDR was accompanied with nuclear translocation of p-p53 followed by
up-regulation of p21Waf1 and the permanent hypophosphorylation of pRb.
Additionally, the increased p38MAPK/MAPKAPK-2 activation persisted in H2O2
treated cells. We suggest that both p53/p21/pRb and p38MAPK/MAPKAPK-2 pathways
are responsible for establishing an irreversible cell cycle arrest that is
typical of senescence. The process of further stabilization of senescence
required prolonged DDR signaling activation that was provided by the permanent
ROS production which in turn was regulated by both p38MAPK and the increased
functional mitochondria. To reverse senescence, the pharmacological inhibition of
p38MAPK was performed. Cell treatment with SB203580 was sufficient to recover
partially senescence phenotype, to block the ROS elevation, to decrease the
mitochondrial function, and finally to rescue proliferation. Thus, suppression of
the p38MAPK pathway resulted in a partial prevention of H2O2-induced senescence
of hMESCs. The current study is the first to reveal the molecular mechanism of
the premature senescence of hMESCs in response to oxidative stress.
PMID- 24934863
TI - Third-space fluid distribution of pemetrexed in non-small cell lung cancer
patients.
AB - AIM: Hydrophilic drugs particularly those with low plasma protein binding may
accumulate in third-space fluid in the body. Cytotoxic drugs like methotrexate
(MTX) cause damage in the tissue, and evacuation of the third-space fluid in
pleura is strongly recommended before new dosing. Pemetrexed (PEM) is a multi
targeted antifolate similar to MTX approved for the treatment for malignant
pleural mesothelioma and non-small cell lung cancer. Current recommendations for
patients receiving treatment with PEM prescribe draining of the pleural fluid.
This is based upon the recommendations for MTX and not directly to any specific
findings relating to PEM. The recommendations are the same because PEM is an
analogue of MTX; the molecular structures and pharmacokinetic parameters are
similar. However, since draining the pleural fluid is painful and cancer patient
are particularly susceptible to infection subsequently, it is relevant to examine
the recommendations for PEM explicitly. METHOD: Eight patients treated with a 500
mg/m(2) PEM combined with platinum salt were examined. Plasma samples were first
collected in relation to the start of PEM infusion. Thereafter, plasma and pleura
samples were taken at various times after drug infusion from each patient; in two
patients, sampling was done twice but on different occasions. The quantitative
determination of PEM was performed with reversed-phase high-performance liquid
chromatography, and sample preparation was performed using protein precipitation
with perchloric acid. Pharmacokinetic analysis was performed using a non
compartment method as well a two-compartment model. RESULTS: The results were
calculated from 10 samples taken from eight patients, where data from one patient
point were excluded as the patient had impaired renal function, and three samples
were reported as below limit of quantification. The plasma PEM pharmacokinetics
calculated showed an elimination half-life (t 1/2 elimination) of 3.2 h and
distribution half-life (t 1/2-distribution) of 6 min. Clearance (CL) was 5.1 L/h,
central volume of distribution (V(central)) 23.2 L and peripheral volume
distribution (V(peripheral)) 10.6 L, and the area under the curve was 186 MUg
h/mL. Using non-compartment methods, an elimination half-life of 3.1 h and an
apparent CL of 3.2 L/h were measured, whereas an apparent steady-state volume
became 14.2 L. The pleura concentrations were only half of simultaneous plasma
concentrations, and elimination half-life was 3.15 h. CONCLUSION: Pemetrexed is
not likely to accumulate in the pleural fluid, and evacuation of fluid might not
be necessary. Further investigation is needed to recommend no drainage of the
fluid, i.e., in patients with renal impairment.
PMID- 24934864
TI - Comparative pharmacokinetic/pharmacodynamic characterisation of a new pegylated
recombinant E. coli L-asparaginase preparation (MC0609) in Beagle dog.
AB - PURPOSE: A new pegylated recombinant L-asparaginase (MC0609) was designed to
improve pharmacokinetic characteristics and to further reduce immunogenicity in
comparison with the currently marketed pegylated Escherichia coli L-asparaginase
(pegaspargase, Oncaspar((r))). METHODS: Comparative pharmacokinetics (PK),
bioavailability, pharmacodynamics and immunogenicity studies were performed in
CD((r)) rats and Beagle dogs after intravenous (i.v.) and intramuscular (i.m.)
single-dose administration of MC0609 or Oncaspar((r)). Bioanalytical data on
enzymatic activity in serum of animals were used to develop a population
pharmacokinetic (PopPK) model to simulate different dosages of MC0609 comparable
to the activity time profile of Oncaspar((r)). RESULTS: In contrast to
Oncaspar((r)), which showed an accelerated elimination over time, a constant
serum elimination of enzymatic activity over time was seen for MC0609. Linear PK
of MC0609 resulted in a prolonged and dose-dependent duration of enzymatic
activity and longer depletion of L-asparagine in peripheral blood. The different
PK characteristics of MC0609 and Oncaspar((r)) were confirmed by PopPK analysis
and model development. The PK parameters of Oncaspar((r)) in dog scaled to body
surface area were in the same range than the parameters determined in paediatric
acute lymphoblastic leukaemia patients. Therefore, the dog is considered a
clinically relevant model for PK evaluation of Oncaspar((r)). Distinct
differences in immunogenic potential of both preparations were detected after
single-dose administration of a therapeutic dose to dogs. An absolute
bioavailability of 66 % was calculated for the intramuscular administration of
MC0609. CONCLUSIONS: The new pegylated recombinant L-asparaginase preparation
MC0609 revealed striking differences in PK/PD properties compared with
Oncaspar((r)) in rat and dog.
PMID- 24934865
TI - Impact of patient ethnicity on the metabolic and immunologic effects of PI3K-mTOR
pathway inhibition in patients with solid tumor malignancies.
AB - PURPOSE: Inhibition of the phosphatidylinositol 3-kinase (PI3K)/mammalian target
of rapamycin (mTOR) pathway is associated with metabolic and immunologic
perturbations that impact drug tolerability. Here, we studied whether PI3
kinase/mTOR pathway inhibitors are associated with greater metabolic impact and
decreased tolerability in Asian patients. METHODS: A retrospective analysis was
conducted of consecutive patients with advanced malignancies treated on phase 1
trials of PI3K/mTOR inhibitors. Adverse events related to PI3K/mTOR inhibition,
fasting plasma glucose (FPG), insulin, and c-peptide levels, hemoglobin A1c
(HgbA1c), and T cell subsets were prospectively collected. Mann-Whitney and Chi
square tests were used to compare continuous and categorical variables,
respectively, between Asian and Caucasian patients. RESULTS: A total of 103
patients (31 Asian; 72 Caucasian) were treated consecutively across five clinical
trials. Baseline age, gender distribution, and metabolic parameters were
comparable with the exception of lower median body mass index (BMI) in Asian
patients (23.0 vs. 24.8 kg/m(2), p = 0.024). There were no differences in drug
tolerability, adherence, or duration of therapy. Asian patients experienced a
higher incidence of grade >= 2 hyperglycemia (37.5 vs. 18.1%, p = 0.03), and
greater increases in FPG, HgbA1c, and insulin resistance. No differences in
incidence or severity of mucositis, rash, or pneumonitis were observed. Drug
effects on neutrophils, lymphocytes, and T cell subsets were similar.
CONCLUSIONS: PI3K/mTOR inhibitors have greater glycemic impact in Asian patients,
despite similar baseline metabolic parameters, comparable dose intensity, and a
lower median BMI. Further studies are warranted to explore the mechanisms
underlying these differences and optimize dosing in Asian patients.
PMID- 24934866
TI - Evaluation of pharmacokinetics and safety of ponatinib in subjects with chronic
hepatic impairment and matched healthy subjects.
AB - PURPOSE: This study evaluated the effects of chronic hepatic impairment on the
single-dose pharmacokinetics (PK) of the tyrosine kinase inhibitor ponatinib.
METHODS: Subjects (n = 16) had Child-Pugh class A (mild, n = 6), B (moderate, n =
6), or C (severe, n = 4) hepatic impairment and were matched with healthy
controls (n = 8). Each subject received a single oral dose of ponatinib 30 mg
under fasting conditions, and PK parameters were assessed in blood samples
collected through 96 h post-dose. RESULTS: Ponatinib maximum plasma
concentrations (C max) were observed after 5-6 h in Child-Pugh A, Child-Pugh B,
and healthy subjects, and after ~3 h in Child-Pugh C subjects. The estimated %
geometric mean ratios for C max, area under the plasma concentration-time curves
from time zero to last observation (AUC0-t ) and to infinity (AUC0-infinity)
suggested a slightly lower exposure in Child-Pugh B (61.4, 89.1, and 90.6%,
respectively) and Child-Pugh C subjects (62.8, 77.1, and 79.4%) versus healthy
subjects. Child-Pugh A subjects had similar estimated % geometric mean ratio for
C max (106.7%), and slightly greater estimated % geometric mean ratios for AUC0-t
(133.0%) and AUC0-infinity (122.8%), versus healthy subjects. Mean elimination
half-life was extended in subjects with hepatic impairment (43-47 vs 36 h).
Ponatinib was generally well tolerated. A single serious AE (pancreatitis) in the
Child-Pugh C group resolved with treatment. DISCUSSION: As no major differences
in ponatinib single-dose PK were observed in patients with hepatic impairment
versus healthy subjects, a reduction of ponatinib starting dose in these patients
is not necessary, but caution is recommended when administering ponatinib to
these patients.
PMID- 24934867
TI - Does intramuscular thermal feedback modulate eccrine sweating in exercising
humans?
AB - AIM: Few investigators have considered the possibility that skeletal muscles
might contain thermosensitive elements capable of modifying thermoeffector
responses. In this experiment, the temporal relationships between dynamic changes
in deep-body and intramuscular temperatures and eccrine sweat secretion were
explored during rhythmical and reproducible variations in heat production.
METHODS: Eight subjects performed semi-recumbent cycling (25 degrees C) at a
constant load to first establish whole-body thermal and sudomotor steady states
(35 min), followed by a 24-min block of sinusoidal workload variations (three, 8
min periods) and then returning to steady-state cycling (20 min). Individual
oesophageal, mean skin and intramuscular (vastus lateralis) temperatures were
independently cross-correlated with simultaneously measured forehead sweat rates
to evaluate the possible thermal modulation of sudomotor activity. RESULTS: Both
intramuscular and oesophageal temperatures showed strong correlations with
sinusoidal variations in sweating with respective maximal cross-correlation
coefficients of 0.807 (+/-0.044) and 0.845 (+/-0.035), but these were not
different (P = 0.40). However, the phase delay between intramuscular temperature
changes and sweat secretion was significantly shorter than the delay between
oesophageal temperature and sweating [25.6 s (+/-12.6) vs. 46.9 s (+/-11.3); P =
0.03]. CONCLUSION: The temporal coupling of eccrine sweating to intramuscular
temperature, combined with a shorter phase delay, was consistent with the
presence of thermosensitive elements within skeletal muscles that appear to
participate in the modulation of thermal sweating.
PMID- 24934868
TI - Non-codified traditional medicine practices from Belgaum Region in Southern
India: present scenario.
AB - BACKGROUND: Traditional medicine in India can be classified into codified
(Ayurveda, Unani, Siddha, Homeopathy) and non-codified (folk medicine) systems.
Both the systems contributing equally to the primary healthcare in India. The
present study is aimed to understand the current scenario of medicinal practices
of non-codified system of traditional medicine in Belgaum region, India. METHODS:
The study has been conducted as a basic survey of identified non-codified
traditional practitioners by convenience sampling with semi structured, open
ended interviews and discussions. The learning process, disease diagnosis,
treatment, remuneration, sharing of knowledge and socio-demographic data was
collected, analysed and discussed. RESULTS: One hundred and forty traditional
practitioners were identified and interviewed for the present study. These
practitioners are locally known as "Vaidya". The study revealed that the non
codified healthcare tradition is practiced mainly by elderly persons in the age
group of 61 years and above (40%). 73% of the practitioners learnt the tradition
from their forefathers, and 19% of practitioners developed their own practices
through experimentation, reading and learning. 20% of the practitioners follow
distinctive "Nadi Pariksha" (pulse examination) for disease diagnosis, while
others follow bodily symptoms and complaints. 29% of the traditional
practitioners do not charge anything, while 59% practitioners receive money as
remuneration.Plant and animal materials are used as sources of medicines, with a
variety of preparation methods. The preference ranking test revealed higher
education and migration from villages are the main reasons for decreasing
interest amongst the younger generation, while deforestation emerged as the main
cause of medicinal plants depletion. CONCLUSION: Patrilineal transfer of the
knowledge to younger generation was observed in Belgaum region. The observed
resemblance in disease diagnosis, plant collection and processing between non
codified traditional system of medicine and Ayurveda require further methodical
studies to establish the relationship between the two on a more objective basis.
However, the practice appears to be at crossroads with threat of extinction,
because of non-inheritance of the knowledge and non-availability of medicinal
plants. Hence conservation strategies for both knowledge and resources at
societal, scientific and legislative levels are urgently required to preserve the
traditional wisdom.
PMID- 24934869
TI - Orphans and new gene origination, a structural and evolutionary perspective.
AB - The frequency of de novo creation of proteins has been debated. Early it was
assumed that de novo creation should be extremely rare and that the vast majority
of all protein coding genes were created in early history of life. However, the
early genomics era lead to the insight that protein coding genes do appear to be
lineage-specific. Today, with thousands of completely sequenced genomes, this
impression remains. It has even been proposed that the creation of novel genes, a
continuous process where most de novo genes are short-lived, is as frequent as
gene duplications. There exist reports with strongly indicative evidence for de
novo gene emergence in many organisms ranging from Bacteria, sometimes generated
through bacteriophages, to humans, where orphans appear to be overexpressed in
brain and testis. In contrast, research on protein evolution indicates that many
very distantly related proteins appear to share partial homology. Here, we
discuss recent results on de novo gene emergence, as well as important technical
challenges limiting our ability to get a definite answer to the extent of de novo
protein creation.
PMID- 24934870
TI - Evaluation of 4-bromophenol biodegradation in mixed pollutants system by
Arthrobacter chlorophenolicus A6 in an upflow packed bed reactor.
AB - Bromophenol is listed as priority pollutant by U.S. EPA, however, there is no
report so far on its removal in mixed pollutants system by any biological reactor
operated in continuous mode. Furthermore, bromophenol along with chlorophenol and
nitrophenol are usually the major constituents of paper pulp and pesticide
industrial effluent. The present study investigated simultaneous biodegradation
of these three pollutants with specially emphasis on substrate competition and
crossed inhibition by Arthrobacter chlorophenolicus A6 in an upflow packed bed
reactor (UPBR). A 2(3) full factorial design was employed with these pollutants
at two different levels by varying their influent concentration in the range of
250-450 mg l(-1). Almost complete removal of all these pollutants and 97 %
effluent toxicity removal were achieved in the UPBR at a pollutant loading rate
of 1707 mg l(-1) day(-1) or lesser. However, at higher loading rates, the reactor
performance deteriorated due to transient accumulation of toxic intermediates.
Statistical analysis of the results revealed a strong negative interaction of 4
CP on 4-NP biodegradation. On the other hand, interaction effect between 4-CP and
4-BP was found to be insignificant. Among these three pollutants 4-NP
preferentially degraded, however, 4-CP exerted more inhibitory effect on 4-NP
biodegradation. This study demonstrated the potential of A. chlorophenolicus A6
for biodegradation of 4-BP in mixed pollutants system by a flow through UPBR
system.
PMID- 24934872
TI - The EGFR demonstrates linear signal transmission.
AB - Cells sense information encoded in extracellular ligand concentrations and
process it using intracellular signalling cascades. Using mathematical modelling
and high-throughput imaging of individual cells, we studied how a transient
extracellular growth factor signal is sensed by the epidermal growth factor
receptor system, processed by downstream signalling, and transmitted to the
nucleus. We found that transient epidermal growth factor signals are linearly
translated into an activated epidermal growth factor receptor integrated over
time. This allows us to generate a simplified model of receptor signaling where
the receptor acts as a perfect sensor of extracellular information, while the
nonlinear input-output relationship of EGF-EGFR triggered signalling is a
consequence of the downstream MAPK cascade alone.
PMID- 24934871
TI - Mobility and one-year mortality of stroke patients after hip-fracture surgery.
AB - BACKGROUND: History of stroke is a risk factor for hip fracture. We investigated
one-year mortality and change of mobility differences between stroke patients and
non-stroke patients after hip-fracture surgery. METHODS: We retrospectively
evaluated 548 patients who had hip-fracture surgery from May 2003 to Dec 2008 and
were older than 50 years at the time of surgery. We identified 77 patients with a
history of stroke and 471 patients with no history of stroke. We compared
postoperative change of mobility and 1-year mortality for the two groups.
RESULTS: Although stroke patients had lower preinjury mobility (p < 0.001) and
higher American Society of Anesthesiologists score (p < 0.001), 1-year mortality
and the decrease of mobility were similar to those for non-stroke patients.
CONCLUSIONS: History of stroke did not affect 1-year mortality and the decrease
of mobility after hip fracture. LEVEL OF EVIDENCE: Therapeutic level III.
PMID- 24934874
TI - Prevention: High-potency statins associated with increased diabetes risk.
PMID- 24934873
TI - Fecal carriage of extended-spectrum beta-lactamases and AmpC-producing
Escherichia coli in a Libyan community.
AB - BACKGROUND: Extended-spectrum beta-lactamases (ESBLs), including the AmpC type,
are important mechanisms of resistance among Enterobacteriaeceae. CTX-M type
extended-spectrum beta- lactamases, of which there are now over 90 variants, are
distributed globally, yet appear to vary in regional distribution. AmpC beta
lactamases hydrolyze third generation cephalosporins, but are resistant to
inhibition by clavulanate or other beta-lactamase inhibitors in vitro. Fecal
carriage and rates of colonization by bacteria harboring these resistance
mechanisms have been reported in patients with community-acquired infections and
in healthy members of their households. Expression of these ESBLs compromises the
efficacy of current antibacterial therapies, potentially increasing the
seriousness of hospital- and community-acquired Escherichia coli (E. coli)
infections.To investigate the occurrence of ESBL-producing E. coli in human fecal
flora isolated from two pediatric populations residing in the Libyan cities
Zleiten and Abou El Khoms. Isolates were further studied to characterize genes
encoding beta-lactam resistance, and establish genetic relationships. METHODS:
Antibiotic resistance profiles of phenotypically characterized E. coli isolates
recovered from the stools of 243 Libyan children during two surveillance periods
in 2001 and 2007 were determined by the disk diffusion method. ESBL-screening was
performed using the cephalosporin/clavulanate double synergy disc method, and the
AmpC-phenotype was confirmed by the aminophenyl-boronic acid test. ESBL genes
were molecularly characterized. Phylogenetic group and multilocus sequence typing
(MLST) were determined for ESBL-producing isolates and PFGE was performed to
compare banding profiles of some dominant strains. RESULTS: ESBLs were identified
in 13.4% (18/134) of E. coli isolates, and nine isolates (6.7%) demonstrated AmpC
activity; all 18 isolates contained a CTX-M gene. Three CTX-M gene families (CTX
M-1, n=9; CTX-M-15, n=8 and CTX-M-3, n=1) were distributed in diverse E. coli
backgrounds (phylogenetic group D, 39%; B2, 28%; B1, 22% and A, 11%). MLST
analysis revealed 14 sequence type (ST) with six new sequence types. The gene
encoding the CMY-2 enzyme was detected in five AmpC-positive E. coli.
CONCLUSIONS: These results identified heterogeneous clones of CTX-M-producing E.
coli in the fecal isolates, indicating that the intestinal tract acts as a
reservoir for ESBL-producing organisms, and a trafficker of antibiotic resistance
genes.
PMID- 24934875
TI - Hypertension: Benefits of combined therapy.
PMID- 24934876
TI - Prismatic adaptation as a novel tool to directionally modulate motor cortex
excitability: evidence from paired-pulse TMS.
AB - BACKGROUND: The prismatic adaptation (PA) is a visuo-motor procedure that has
captured the attention of neuroscientists in the last decades, hence it seems to
affect high-order cognition. However, the basic neural processes related to PA
and its effects on cortical plasticity are not clear yet. OBJECTIVE/HYPOTHESIS:
The aim of the present study is to explore whether PA induces a direct effect on
the motor cortices (M1) excitability. METHODS: Fourteen healthy participants were
submitted to paired-pulse TMS to measure short-intracortical-inhibition (SICI)
and intracortical-facilitation (ICF) on both the left and the right M1, before
and after PA, that could induce a leftward or rightward after-effect. RESULTS: An
increase of intracortical-facilitation was found in the M1 contralateral to the
after-effect direction. Moreover the extent of facilitation and of the after
effect were correlated to each others. CONCLUSION: This finding reveals that PA
influences M1 cortices directly, raising their excitability. The present
investigation represents an innovative step for the understanding of
neurophysiological processes by which PA affects brain functions.
PMID- 24934877
TI - Protective Ag:TiO2 thin films for pressure sensors in orthopedic prosthesis: the
importance of composition, structural and morphological features on the
biological response of the coatings.
AB - DC reactive magnetron sputtered Ag:TiO2 nanocomposite thin films were developed
to be used as protective coatings in pressure sensor devices. The coatings, with
Ag content varying from 0 to about 30 at.%, were prepared and characterized in
order to study their biological response. The as-deposited samples were annealed
in vacuum at 500 degrees C in order to evaluate the influence of their
morphological and structural differences over the response elicited upon contact
with simulated bodily fluids and cultured human cells, as well as selected
microorganisms. The results showed that the annealing treatment produced less
porous films with an enhanced structure, with a significant reduction in
structural defects and improved crystallinity. Additionally, samples with higher
Ag contents (>=12.8 at.%) exhibited Ag agglomerates/clusters at the surface, a
result anticipated from the XRD data. The crystallization of the TiO2 matrix was
also observed by XRD analysis, albeit delayed by the dispersion of Ag into the
matrix. Biological characterization showed that the antimicrobial activity and
cytotoxicity of the coatings were directly related with their composition,
closely followed by the particular structural and morphological features, namely
those resulting from annealing process.
PMID- 24934878
TI - Beyond a warming fingerprint: individualistic biogeographic responses to
heterogeneous climate change in California.
AB - Understanding recent biogeographic responses to climate change is fundamental for
improving our predictions of likely future responses and guiding conservation
planning at both local and global scales. Studies of observed biogeographic
responses to 20th century climate change have principally examined effects
related to ubiquitous increases in temperature - collectively termed a warming
fingerprint. Although the importance of changes in other aspects of climate -
particularly precipitation and water availability - is widely acknowledged from a
theoretical standpoint and supported by paleontological evidence, we lack a
practical understanding of how these changes interact with temperature to drive
biogeographic responses. Further complicating matters, differences in life
history and ecological attributes may lead species to respond differently to the
same changes in climate. Here, we examine whether recent biogeographic patterns
across California are consistent with a warming fingerprint. We describe how
various components of climate have changed regionally in California during the
20th century and review empirical evidence of biogeographic responses to these
changes, particularly elevational range shifts. Many responses to climate change
do not appear to be consistent with a warming fingerprint, with downslope shifts
in elevation being as common as upslope shifts across a number of taxa and many
demographic and community responses being inconsistent with upslope shifts. We
identify a number of potential direct and indirect mechanisms for these
responses, including the influence of aspects of climate change other than
temperature (e.g., the shifting seasonal balance of energy and water
availability), differences in each taxon's sensitivity to climate change, trophic
interactions, and land-use change. Finally, we highlight the need to move beyond
a warming fingerprint in studies of biogeographic responses by considering a more
multifaceted view of climate, emphasizing local-scale effects, and including a
priori knowledge of relevant natural history for the taxa and regions under
study.
PMID- 24934879
TI - Transcriptome comparison reveals the patterns of selection in domesticated and
wild ramie (Boehmeria nivea L. Gaud).
AB - Ramie is an old fiber crop, cultivated for thousands of years in China. The
cultivar ramie evolved from the wild species Qingyezhuma (QYZM, Boehmeria nivea
var. tenacissima). However, the mechanism of domestication of this old fiber crop
is poorly understood. In order to characterize the selective pattern in ramie
domestication, orthologous genes between the transcriptomes of domesticated ramie
variety Zhongzhu 1 (ZZ1) and wild QYZM were assessed using bidirectional best-hit
method and ratio of non-synonymous (Ka) to synonymous (Ks) nucleotide
substitutions was estimated. Sequence comparison of 56,932 and 59,246 unigenes
from the wild QYZM and domesticated ZZ1, respectively, helped identify 10,745
orthologous unigene pairs with a total orthologous length of 10.18 Mb. Among
these unigenes, 85 and 13 genes were found to undergo significant purifying and
positive selection, respectively. Most of the selected genes were homologs of
those involved in abiotic stress tolerance or disease resistance in other plants,
suggesting that abiotic and biotic stresses were important selective pressures in
ramie domestication. Two genes probably related to the fiber yield of ramie were
subjected to positive selection, which may be caused by human manipulation. Thus,
our results show the pervasive effects of artificial and natural selections on
the accelerated domestication of ramie from its wild relative.
PMID- 24934880
TI - Interprofessional learning in primary care: an exploration of the service user
experience leads to a new model for co-learning.
AB - BACKGROUND: Research about service user involvement in research and education
focuses on the purpose, the methods, the barriers and the impact of their
involvement. Few studies report on the experience of the service users who get
involved. This paper reports an exploration of the experience of service users
who participated in an interprofessional educational initiative in primary care -
the Learning to Improve the Management of Back Pain in the Community (LIMBIC)
project. Service users attended workshops with practice teams and assisted them
in developing small scale quality improvement projects to improve their provision
of care for people with back pain. OBJECTIVES: To explore the experience of
service users involved in the LIMBIC project. DESIGN: Using the philosophical and
methodological approaches of pragmatism this study analysed data from the wider
LIMBIC project and collected primary data through semi structured interviews with
service users. Secondary data were reanalysed and integrated with primary data to
address the research question. SETTING: The study was undertaken in the primary
health care setting. PARTICIPANTS: Patients participated as service users in
workshops and quality improvement projects with members from their practice
teams. METHODS: Interviews with service users were transcribed and analysed
thematically. Document and thematic analyses of secondary data from the LIMBIC
project included focus group transcripts, patient stories, film, emails, meeting
notes, a wiki and educational material such as presentations. RESULTS: Themes
identified through the analyses illustrated the importance, to the service users,
of the sense of community, of clear communication, and of influencing change
through involvement. A model for co-learning with service users resulted from the
analyses. CONCLUSIONS: The experience of service users can be optimised by
planning, preparation and support so that their wealth of expertise can be
recognised and utilised. A model for co-learning was developed and is presented
in this paper.
PMID- 24934881
TI - Luteolin-phospholipid complex: preparation, characterization and biological
evaluation.
AB - OBJECTIVES: This study aims to develop novel carrier system incorporating
luteolin, a poorly soluble biologically active plant active. METHODS: We
investigated a lipid-based drug delivery system to enhance dissolution and
absorption profile of luteolin. Luteolin was complexed with phospholipids, and
the preparation was characterized. The formulation was evaluated for
physicochemical properties, in-vitro solubility or release studies. In vivo anti
inflammatory action of luteolin and its phospholipid complex was evaluated by
using carrageenan and 12-O-tetradecanoylphorbol-13-acetate as inducers. KEY
FINDINGS: The prepared luteolin-phospholipid complex (LPC) showed drug loading of
about 72.64% with average particle size of 152.6 nm. The Fourier transform
infrared spectroscopy and thermal studies confirm formation of complex. The
solubility of luteolin as LPC was about 2.5 times higher than the solubility of
pure luteolin in water. In the diffusion study, LPC showed 95.12% of drug release
at the end of 2 h. Animal studies demonstrated significant differences in
response of LPC and luteolin. CONCLUSION: LPC was successfully prepared by
optimizing the process parameters. The resultant delivery system improved
bioavailability and efficacy of luteolin and in the future may become an
efficient tool for administration of luteolin.
PMID- 24934882
TI - Stimuli-responsive blue fluorescent supramolecular polymers based on a
pillar[5]arene tetramer.
AB - A tetraphenylethene-bridged pillarene tetramer with aggregation-induced emission
properties forms an A4/B2-type supramolecular polymer and a gel with a symmetric
neutral guest linker, showing a remarkable fluorescence emission enhancement in
solution and the solid state and a good responsiveness to temperature and solvent
composition.
PMID- 24934883
TI - Prediction of fatty acid-binding residues on protein surfaces with three
dimensional probability distributions of interacting atoms.
AB - Protein-fatty acid interaction is vital for many cellular processes and
understanding this interaction is important for functional annotation as well as
drug discovery. In this work, we present a method for predicting the fatty acid
(FA)-binding residues by using three-dimensional probability density
distributions of interacting atoms of FAs on protein surfaces which are derived
from the known protein-FA complex structures. A machine learning algorithm was
established to learn the characteristic patterns of the probability density maps
specific to the FA-binding sites. The predictor was trained with five-fold cross
validation on a non-redundant training set and then evaluated with an independent
test set as well as on holo-apo pair's dataset. The results showed good accuracy
in predicting the FA-binding residues. Further, the predictor developed in this
study is implemented as an online server which is freely accessible at the
following website, http://ismblab.genomics.sinica.edu.tw/.
PMID- 24934884
TI - Quality of Lupinus albus L. (white lupin) seed: extent of genotypic and
environmental effects.
AB - White lupin seed can be used for traditional and functional foods or as animal
feed. This study aimed to support lupin breeders and production stakeholders by
assessing the extent of genotypic, environmental, and genotype * environment (GE)
interaction effects on seed contents of oil, tocopherols (TOC), and quinolizidine
alkaloids (QA), grain yield, and seed weight of eight elite genotypes grown in
two climatically contrasting Italian locations for two cropping years. On
average, plants in the subcontinental climate site exhibited higher grain yield
and seed size, about 8% lower oil content, and almost 85% higher QA content than
those in the Mediterranean climate site. The range of genotype means was 2.97
5.14 t/ha for yield, 92-110 mg/g for oil, and 0.121-0.133 mg/g for TOC. TOC
amount was largely unpredictable and featured large GE interactions that hinder
its genetic improvement. Oil and alkaloid contents and seed size are more
predictable and offer potential for selection.
PMID- 24934885
TI - From systemic hormone therapy to vaginal estrogen - a nationwide register study
in Finland, 2003-2012.
AB - OBJECTIVES: To assess the patterns of use of reimbursed systemic hormone therapy
(HT) and vaginal estrogen preparations among women aged 45 and older in Finland.
STUDY DESIGN: Reimbursed purchases of prescribed systemic HT and vaginal estrogen
preparations for the years 2003-2012 were obtained from a nationwide prescription
registry. Systemic preparations included estrogen patches, gels and tablets,
tibolone, continuous combination preparations and sequential combination
preparations. Prescribed vaginal estrogens included a vaginal ring and vaginal
tablets. MAIN OUTCOME MEASURES: Annual period prevalence for systemic HT and
vaginal estrogen use. RESULTS: The total prevalence of prescribed HT use remained
relatively constant (at 26-27%) throughout the studied period, but the share of
women using systemic preparations decreased from 21% to 12%, while the share of
women using vaginal estrogens increased from 9% to 19%. Decreases were observed
for all classes of systemic preparations, although the decrease was largest for
sequential combination preparations (from 4.9% to 1.6%) and estrogen tablets
(from 5.2% to 2.9%). Continuous combination preparations remained the most
commonly used types of systemic preparation (5.4-4.2%). Systemic HT use decreased
most among 45-49 year old women (9.5-4.3%), while the use of vaginal estrogens
increased most among those aged 65 and over. CONCLUSIONS: Based on the register
data, the trends in HT use indicate changed prescribing patterns in accordance
with clinical guidelines. It is notable that since 2009, vaginal estrogen was
more commonly prescribed than systemic HT.
PMID- 24934886
TI - Efficacy and safety of garenoxacin tablets on clinically diagnosed atypical
pneumonia: postmarketing surveillance in Japan.
AB - We performed a postmarketing surveillance study to determine the efficacy and
safety of the oral quinolone antibacterial agent garenoxacin (Geninax((r))
Tablets 200 mg) against atypical pneumonia. Between October 2009 and July 2011,
patients with community-acquired pneumonia visited 26 facilities in Japan; we
collected survey forms from 105 of these patients who were suspected of having
atypical pneumonia based on the Japanese Respiratory Society Guidelines for the
Management of Community-Acquired Pneumonia in Adults. We examined the safety in
105 patients and the efficacy in 71 patients. 1. The efficacy rates among
patients suspected of having atypical pneumonia and those with a confirmed
diagnosis of atypical pneumonia were 94.8% (55/58 patients) and 92.3% (12/13
patients), respectively. The efficacy rate was 4/4 for patients in whom
Chlamydophila pneumoniae was detected (including 1 patient with a polymicrobial
infection with another bacterial strain) and 90% (9/10 patients) for patients in
whom Mycoplasma pneumoniae was detected (garenoxacin was ineffective in 1 of 2
patients with a polymicrobial infection with another bacterial strain). 2. The
incidence of adverse drug reactions (including abnormal laboratory tests) was
4.8% (5/105 patients). Among the adverse drug reactions, gastrointestinal
disorders, infection and infestation, nervous system disorder, and skin and
subcutaneous tissue disorder were observed in 2.9% of patients (3/105), 1.0%
(1/105), 1.0% (1/105), and 1.0% (1/105), respectively. In conclusion, garenoxacin
showed an efficacy rate of greater than 90% for suspected atypical pneumonia and
confirmed atypical pneumonia. Garenoxacin is considered to be useful in daily
practice.
PMID- 24934888
TI - Statin-use debate creates furor at The BMJ.
PMID- 24934887
TI - Efficacy and safety of garenoxacin tablets on bacterial pneumonia: postmarketing
surveillance in Japan.
AB - We performed a postmarketing surveillance study to determine the efficacy and
safety of the oral quinolone antibacterial agent, garenoxacin (Geninax((r))
Tablets 200 mg), against bacterial pneumonia. Between October 2009 and March
2011, patients with community-acquired pneumonia visited 174 facilities in Japan;
we collected survey forms from 739 patients of these patients who were suspected
with bacterial pneumonia on the basis of factors, e.g., the presence of purulent
sputum or suspected presence of bacterial pathogens in clinical specimens. We
examined the safety in 730 patients and the efficacy in 535 patients. The
efficacy rate of garenoxacin for bacterial pneumonia was 92.8% (479/516
patients). The eradication rates for Streptococcus pneumoniae and Haemophilus
influenzae, the major pathogens of bacterial pneumonia, were 98.5% (65/66
strains) and 100% (65/65 strains), respectively. The incidence of adverse drug
reactions (including abnormal laboratory tests) was 7.9% (58/730 patients). Among
the main adverse drug reactions, abnormal laboratory tests were observed in 2.1%
patients (15/730), hepatobiliary disorders were observed in 1.8% patients
(13/730), and skin and subcutaneous tissue disorders were observed in 1.6%
patients (12/730). In conclusion, garenoxacin showed an efficacy rate of greater
than 90% for bacterial pneumonia and is considered to be useful in daily
practice.
PMID- 24934889
TI - Dermoscopy.
PMID- 24934891
TI - Canadians call for better palliative care.
PMID- 24934892
TI - More international grads seek residency.
PMID- 24934893
TI - Granulocyte colony-stimulating factor therapy for stem cell mobilization
following anterior wall myocardial infarction: the CAPITAL STEM MI randomized
trial.
AB - BACKGROUND: Small studies have yielded divergent results for administration of
granulocyte colony-stimulating factor (G-CSF) after acute myocardial infarction.
Adequately powered studies involving patients with at least moderate left
ventricular dysfunction are lacking. METHODS: Patients with left ventricular
ejection fraction less than 45% after anterior-wall myocardial infarction were
treated with G-CSF (10 MUg/kg daily for 4 days) or placebo. After initial
randomization of 86 patients, 41 in the placebo group and 39 in the G-CSF group
completed 6-month follow-up and underwent measurement of left ventricular
ejection fraction by radionuclide angiography. RESULTS: Baseline and 6-week mean
ejection fraction was similar for the G-CSF and placebo groups: 34.8% (95%
confidence interval [CI] 32.6%-37.0%) v. 36.4% (95% CI 33.5%-39.2%) at baseline
and 39.8% (95% CI 36.2%-43.4%) v. 43.1% (95% CI 39.2%-47.0%) at 6 weeks. However,
G-CSF therapy was associated with a lower ejection fraction at 6 months relative
to placebo (40.8% [95% CI 37.4%-44.2%] v. 46.0% [95% CI 42.7%-44.3%]). Both
groups had improved left ventricular function, but change in left ventricular
ejection fraction was lower in patients treated with G-CSF than in those who
received placebo (5.7 [95% CI 3.4-8.1] percentage points v. 9.2 [95% CI 6.3-12.1]
percentage points). One or more of a composite of several major adverse cardiac
events occurred in 8 patients (19%) within each group, with similar rates of
target-vessel revascularization. INTERPRETATION: In patients with moderate left
ventricular dysfunction following anterior-wall infarction, G-CSF therapy was
associated with a lower 6-month left ventricular ejection fraction but no
increased risk of major adverse cardiac events. Future studies of G-CSF in
patients with left ventricular dysfunction should be monitored closely for
safety. TRIAL REGISTRATION: ClinicalTrials.gov, no. NCT00394498.
PMID- 24934894
TI - Ensuring equitable access to sick leave.
PMID- 24934897
TI - Prioritizing continuity in Canadian clinical teaching units.
PMID- 24934898
TI - Supreme Court date set for weed growers.
PMID- 24934895
TI - Management of Bell palsy: clinical practice guideline.
PMID- 24934900
TI - Nitrogen-fixation catalyst based on graphene: every part counts.
AB - The catalytic profile and function of each component of a molybdenum-graphene
based catalyst (Mo/N-doped graphene) for nitrogen fixation, which combines the
merits of these two components, is evaluated computationally. The Mo/N part acts
as an active centre for N2 bond breaking and the graphene part works as an
electron transmitter and electron reservoir.
PMID- 24934899
TI - Induced regulatory T cells in inhibitory microenvironments created by cancer.
AB - INTRODUCTION: Regulatory T cells (Tregs) accumulating in the peripheral
circulation and tumor sites of patients contribute to tumor escape from the host
immune system. Tregs encompass subsets of immune cells with distinct phenotypic
and functional properties. Whereas natural (n) or thymic-derived (t) Tregs
regulate responses to self-antigens, inducible (i) or peripheral (p) Tregs
generated and expanded in regulatory microenvironments control immune responses
to a broad variety of antigens. AREAS COVERED: Tregs accumulating in the tumor
microenvironment (TME) are contextually regulated. They acquire phenotypic and
functional attributes imposed by the inhibitory molecular pathways operating in
situ. Several molecular pathways active in human cancer are reviewed. The
pathways may differ from one tumor to another, and environmentally induced Tregs
may be functionally distinct. Potential therapeutic strategies for selective
silencing of iTregs are considered in the light of the newly acquired
understanding of their phenotypic and functional diversity. EXPERT OPINION: Human
Tregs accumulating in cancer comprise 'bad' subsets, which inhibit antitumor
immunity, and 'good' anti-inflammatory subsets, which maintain tolerance to self
and benefit the host. Future therapeutic strategies targeting Tregs will need to
discriminate between these Treg subsets and will need to consider reprogramming
strategies instead of Treg elimination. Re-establishment of effective antitumor
immune responses in cancer patients without disturbing a normal homeostatic T
cell balance will greatly benefit from insights into inhibitory pathways engaged
by human tumors.
PMID- 24934902
TI - Identification of the translational start site of codon-optimized mCherry in
Mycobacterium tuberculosis.
AB - BACKGROUND: Fluorescent proteins are used widely as reporter genes in many
organisms. We previously codon-optimized mCherry for Mycobacterium tuberculosis
and generated expression constructs with high level expression in mycobacteria
with multiple uses in vitro and in vivo. However, little is known about the
expression of fluorescent proteins in mycobacteria and the translational start
codon for mCherry has not been experimentally determined. RESULTS: We determined
the translational start site for functional (fluorescent) mCherry in
mycobacteria. Several potential translational start codons were identified;
introduction of downstream stop codons by mutagenesis was used to determine which
start codon was utilized in the bacterial cells. Fluorescent protein was
expressed from a construct which would allow translation of a protein of 226
amino acids or a protein of 235 amino acids. No fluorescence was seen when a
construct which could give rise to a protein of 219 amino acids was used. Similar
results were obtained in mycobacteria and in Escherichia coli. Western blotting
confirmed that mCherry was expressed from the constructs encoding 235 or 226
amino acids, but not from the plasmid encoding 219 amino acids. N-terminal
sequencing and mass determination confirmed that the mature protein was 226 amino
acids and commenced with the amino acid sequence AIIKE. CONCLUSION: We conclude
that mCherry is expressed in M. tuberculosis as a smaller protein than expected
lacking the GFP-derived N-terminal sequence designed to allow efficient fusions.
PMID- 24934901
TI - Ratiometric measurement of hydrogen sulfide and cysteine/homocysteine ratios
using a dual-fluorophore fragmentation strategy.
AB - Hydrogen sulfide (H2S) is an integral signaling molecule in biology with complex
generation, translocation, and metabolism processes that are intertwined with
cellular thiols. Differentiating the complex interplay between H2S and biological
thiols, however, remains challenging due to the difficulty of monitoring H2S and
thiol levels simultaneously in complex redox environments. As a step toward
unraveling the complexities of H2S and thiols in sulfur redox homeostasis, we
present a dual-fluorophore fragmentation strategy that allows for the ratiometric
determination of relative H2S and cysteine (Cys) or homocysteine (Hcy)
concentrations, two important metabolites in H2S biosynthesis. The key design
principle is based on a nitrobenzofurazan-coumarin (NBD-Coum) construct, which
fragments into spectroscopically differentiable products upon nucleophilic
aromatic substitution with either H2S or Cys/Hcy. Measurement of the ratio of
fluorescence intensities from coumarin and the NBD-Cys or NBD-Hcy adducts
generates a sigmoidal response with a dynamic range of 3 orders of magnitude. The
developed scaffold displays a rapid response (<1 min) and is selective for
sulfhydryl-containing nucleophiles over other reactive sulfur, oxygen, and
nitrogen species, including alcohol- and amine-functionalized amino acids,
polyatomic anionic sulfur species, NO, and HNO. Additionally, NBD-Coum is
demonstrated to differentiate and report on different oxidative stress stimuli in
simulated sulfur pools containing H2S, Cys, and cystine.
PMID- 24934903
TI - Adverse obstetric and neonatal outcomes in women with severe mental illness: to
what extent can they be prevented?
AB - BACKGROUND: Women with schizophrenia and bipolar disorder are at a higher risk of
obstetric and neonatal complications. The aim of this study was to better
understand the factors that may influence these adverse outcomes. METHOD: We
examined obstetric and neonatal outcomes of pregnant women with schizophrenia and
bipolar disorder and factors possibly influencing these outcomes. A retrospective
review of the medical history of 112 women with a DSM-IV diagnosis of
schizophrenia or bipolar disorder was undertaken. Data for controls were
extracted from the hospital's electronic birth record data. RESULTS: Women with
schizophrenia and bipolar disorder presented later for their first antenatal
visit and had higher rates of smoking and illicit drug use than the control
group. They also had higher rates of pre-eclampsia and gestational diabetes.
Their infants were less likely to have Apgar scores 8-10 at both 1 and 5minutes
and were more likely to be admitted to special care/neonatal intensive care
nursery than the infants of controls. The rate of pre-term birth was
significantly increased in the women with schizophrenia and bipolar disorder. Pre
term birth and admission to special care/neonatal intensive care were predicted
by smoking and illicit drug use. CONCLUSION: These data point to potentially
modifiable factors as significant contributors to the high rate of adverse
obstetric and neonatal outcomes in women with mental illness. Comprehensive
management of women with mental illness prior to, during pregnancy and in the
postnatal period may have long-term benefits for their offspring.
PMID- 24934904
TI - The relationship between serum brain-derived neurotrophic factor (BDNF) and
cardiometabolic indices in schizophrenia.
AB - Brain derived neurotrophic factor (BDNF), which has been implicated in the
pathogenesis of schizophrenia, has been recently shown to be involved in the
regulation of metabolism and energy homeostasis. This study seeks to examine the
relationship between BDNF, metabolic indices and cardiovascular (CVD) risk in
patients with schizophrenia. Medical histories, demographic information and
anthropometric measurements were collected and analyzed from 61 participants with
schizophrenia. Fasting glucose and lipids were measured in a central laboratory,
and serum BDNF was analyzed using commercially available enzyme-linked
immunosorbent assay (ELISA). The 10-year CVD risk for each participant was
computed using the Framingham risk score (FRS). Linear regressions were performed
to examine the relationships between serum BDNF with body mass index (BMI), blood
pressure (BP), triglycerides (TG), total cholesterol, high-density lipoprotein
cholesterol (HDL-C) and glucose. To examine the relationship between serum BDNF
and FRS, serum BDNF was categorized into quartiles, and a multiple regression was
performed. After adjusting for age, gender and current smoking status, diastolic
BP (dBP) (p=0.045) and TG (p=0.015) were found to be significantly associated
with serum BDNF. Participants in the highest quartile of serum BDNF had a 3.3
times increase in FRS over those in the lowest quartile. Our findings support the
possible regulatory role of BDNF in metabolism and cardiovascular homeostasis
among patients with schizophrenia similar to that observed among the non-mentally
ill. Serum BDNF not only present itself as a candidate biomarker of schizophrenia
but also might be a viable marker of metabolic co-morbidities associated with
schizophrenia.
PMID- 24934905
TI - Lack of insight 3 years after first-episode psychosis: an unchangeable illness
trait determined from first presentation?
AB - BACKGROUND: Lack of insight is recognized as a symptom that predisposes the
individuals with psychosis to noncompliance with the treatment, leading to poorer
course of illness. This study aimed to explore baseline predictors of
disturbances on insight at follow-up. METHODS: Three insight dimensions (insight
of: 'mental illness', 'need for treatment' and 'the social consequences of the
disorder') were measured with the Scale to Assess Unawareness of Mental Disorder
(SUMD) in a cohort of 224 first-episode psychosis (FEP) patients at 3-year follow
up. Subgroups, good vs. poor insight, were compared on baseline clinical,
neuropsychological, premorbid and sociodemographic characteristics. Regression
models tested baseline predictors for each insight dimension. RESULTS: At 3-year
follow-up a high percentage of patients, 45%, 36% and 33% for each dimension,
were found to remain lacking insight. Poor insight into having an illness was
predicted by a diagnosis of schizophrenia and poor baseline insight of the social
consequences; insight into the need for treatment was predicted by adolescent
adjustment and depression at baseline; and insight into the social consequences
of the disorder was determined by late adolescent adjustment and baseline insight
of mental illness. CONCLUSIONS: Our findings support the hypothesis that long
term insight in psychosis seems to be, to some extent, determined from first
presentation, showing trait-like properties. A subgroup of 'lacking insight'
patients, which is characterized by a diagnosis of schizophrenia, lower levels of
premorbid adjustment and less severe depressive symptoms at baseline might
benefit from special interventions targeted at enhancing insight from their first
contact with psychiatric services.
PMID- 24934906
TI - Novel 3-hydroxypropyl-bonded phase by direct hydrosilylation of allyl alcohol on
amorphous hydride silica.
AB - A novel 3-hydroxypropyl (propanol)-bonded silica phase has been prepared by
hydrosilylation of allyl alcohol on a hydride silica intermediate, in the
presence of platinum (0)-divinyltetramethyldisiloxane (Karstedt's catalyst). The
regio-selectivity of this synthetic approach had been correctly predicted by
previous reports involving octakis(dimethylsiloxy)octasilsesquioxane (Q8 M8 (H) )
and hydrogen silsesquioxane (T8 H8 ), as molecular analogs of hydride amorphous
silica. Thus, C-silylation predominated (~94%) over O-silylation, and high
surface coverages of propanol groups (5 +/- 1 MUmol/m(2) ) were typically
obtained in this work. The propanol-bonded phase was characterized by
spectroscopic (infrared (IR) and solid-state NMR on silica microparticles),
contact angle (on fused-silica wafers) and CE (on fused-silica tubes) techniques.
CE studies of the migration behavior of pyridine, caffeine, Tris(2,2'
bipyridine)Ru(II) chloride and lysozyme on propanol-modified capillaries were
carried out. The adsorption properties of these select silanol-sensitive solutes
were compared to those on the unmodified and hydride-modified tubes. It was found
that hydrolysis of the SiH species underlying the immobilized propanol moieties
leads mainly to strong ion-exchange-based interactions with the basic solutes at
pH 4, particularly with lysozyme. Interestingly, and in agreement with water
contact angle and electroosmotic mobility figures, the silanol-probe interactions
on the buffer-exposed (hydrolyzed) hydride surface are quite different from those
of the original unmodified tube.
PMID- 24934907
TI - Diet in the treatment of ADHD in children - a systematic review of the
literature.
AB - BACKGROUND: Attention-deficit/hyperactivity disorder (ADHD) is one of the most
prevalent psychiatric conditions in childhood. Dietary changes have been
suggested as a way of reducing ADHD symptoms. AIMS: To provide an overview of the
evidence available on dietary interventions in children with ADHD, a systematic
review was carried out of all dietary intervention studies in children with ADHD.
METHODS: Relevant databases were searched in October 2011, with an update search
in March 2013. The studies included describe diet interventions in children with
ADHD or equivalent diagnoses measuring possible changes in core ADHD symptoms:
inattention, hyperactivity and impulsivity. RESULTS: A total of 52 studies were
identified, some investigating whether ADHD symptoms can improve by avoiding
certain food elements (20 studies), and some whether certain food elements may
reduce ADHD symptoms (32 studies). CONCLUSION: Elimination diets and fish oil
supplementation seem to be the most promising dietary interventions for a
reduction in ADHD symptoms in children. However, the studies on both treatments
have shortcomings, and more thorough investigations will be necessary to decide
whether they are recommendable as part of ADHD treatment.
PMID- 24934909
TI - The lip in lupus erythematosus.
AB - Lupus erythematosus (LE) frequently compromises the skin, lips and oral mucosa.
There is a large body of medical and dental literature about the cutaneous and
mucosal lesions of LE, but very little has been written specifically about labial
lupus. The lip has a peculiar anatomical and histological architecture, and LE
lesions at this site may have some distinct features. This article reviews the
existing data and adds some new concepts to the issue of labial lupus in all of
its presentations, comparing such lesions to the well-established
characterization of cutaneous LE (in acute, subacute and chronic lesions), and
highlighting some special clinical features that may enable a better diagnosis
and differential diagnosis of lip diseases.
PMID- 24934908
TI - Venom immunotherapy: an updated review.
AB - Venom immunotherapy (VIT) is the most effective form of specific immunotherapy to
date. Hitherto, several relevant queries remain unanswered, namely optimal doses,
duration, and means of assessment. Important progress has been lately made in
terms of diagnosis by means of component-resolved diagnosis. Moreover, basophil
activation test results in patients with negative serum immunoglobulin E (IgE)
and skin prick test confer this technique a promising future, although these
outcomes shall be considered with caution. This review aims to unravel the
important advances made on diagnosis, management, and prognosis and also focuses
on several undetermined aspects of VIT.
PMID- 24934910
TI - Johnson square procedure for lentigo maligna and lentigo maligna melanoma.
AB - BACKGROUND: Lentigo maligna (LM) and lentigo maligna melanoma (LMM) can be
difficult to manage surgically. Predetermined margins can be inadequate because
of subclinical spread, or can affect function when margins are adjacent to the
eye or mouth. AIM: To describe our 5-year experience in Nottingham of using the
staged square procedure (Johnson square) in excising difficult facial LM and LMM.
METHODS: The square procedure is a staged technique useful for ill-defined
lesions and for lesions that have a high recurrence rate due to subclinical
spread. It uses paraffin wax-embedded peripheral vertical sections for margin
control, ensuring complete clearance as the surgical margins are usually examined
at distances of 2-5 mm from the periphery of the lesion. RESULTS: We treated 21
patients with LM or LMM with the staged square procedure over a 5-year period. Of
the 21 patients, 10 needed only one stage of surgery, 6 needed two stages, 3
needed three stages and 2 needed four stages. To date, there has been only one
recurrence, which was of an extensive lesion that crossed the medial canthus,
making margin control impossible because of the anatomical limitations.
CONCLUSIONS: The staged square procedure is an effective treatment for LM and
LMM. It attempts to conserve tissue while ensuring a higher clearance rate. This
offers favourable cosmetic outcomes and better prognosis, especially for facial
LM and LMM.
PMID- 24934911
TI - How to set up a psychodermatology clinic.
AB - BACKGROUND: Psychodermatology is a recognized subspecialty, but lack of awareness
among dermatologists and limitation of resources make the management of these
patients challenging. Clinicians are often unsure about the practicalities of
setting up a psychodermatology service. There is confusion about which model is
best suited to which service, and about the development of a psychodermatology
multidisciplinary team. AIM: To identify the necessary steps in setting up a
psychodermatology clinic. METHODS: The study was based on the experience of a UK
based psychodermatology unit and the recently published standards by the UK
Psychodermatology Working Party. RESULTS: The type of service provision will
depend on the type of patients seen in the unit. The core team will be composed
of a psychodermatologist and a psychologist. Access to a psychiatrist is
essential if patients present with primary psychiatric conditions or primary
cutaneous conditions with suicidal or other psychiatric risks. Adequate training
of the healthcare staff is advised. The premises and time allocation should be
adequate, and this translates into higher tariffs. Using business care tariffs
for people with mental health conditions might be more appropriate, as the
consultations are longer and involve more members of staff; however, the overall
cost remains lower than if these patients were seen in a general dermatology
service or in the community. CONCLUSIONS: Psychodermatology services are globally
limited, and yet the demand for psychodermatology care is high. There is evidence
that dedicated psychodermatology services are cost-effective. Healthcare
professionals need to be aware of the steps necessary to establish and maintain
psychodermatology services.
PMID- 24934912
TI - Two-year experience of using the measles, mumps and rubella vaccine as
intralesional immunotherapy for warts.
AB - BACKGROUND: The currently available treatments for warts, including cryosurgery,
laser surgery, electrosurgery, and topical keratolytic applications, are often
very painful and can induce disfiguring scars. Recently, intralesional
immunotherapy with skin test antigens and vaccines has been shown to be effective
in the management of warts. AIMS: To evaluate the efficacy of a new intralesional
immunotherapy for warts, using the measles, mumps and rubella (MMR) vaccine.
METHODS: A retrospective study was performed, and we enrolled 136 patients with
various types of warts into the study, which was for a duration of 2 years.
Patients were treated for a total of six times at 2-week intervals. The treatment
response was classified as one of three levels, based on reduction in the size
and number of warts, and patients with complete response (CR) were checked for
recurrence. Clinical evaluations were carried out using photographs and medical
records. RESULTS: Over half (51.5%) of patients experienced > 50% reduction in
the size and number of warts, and 46.7% who had distant warts (in different
locations) showed good response. Common warts showed significantly higher
treatment response than other types of warts (P < 0.05). However, other clinical
variables did not have any effect on efficacy. Almost all the patients reported
mild pain during the injection, but other side effects were rarely observed. Only
5.6% of patients who experienced CR had recurrence of warts after 6 months.
CONCLUSIONS: We suggest that intralesional immunotherapy with MMR vaccine is a
tolerable and effective method for patients who are sensitive to pain, concerned
about side effects, or have common warts. Treatment response is improved by
increasing the number of injections.
PMID- 24934913
TI - Cases of occupational dermatitis and asthma in beauticians that were reported to
The Health and Occupation Research (THOR) network from 1996 to 2011.
AB - BACKGROUND: Beauticians are exposed to many potential allergens in their
occupation. AIM: To identify the sources of occupational skin and respiratory
disease reported in beauticians, with an emphasis on acrylate chemicals, and to
investigate the trends over time. METHODS: We used the Health and Occupation
Research (THOR) database to identify occupational disease in beauticians between
1996 and 2011. Trend analysis was carried out to look for any change in the
allergens reported over this period. RESULTS: In total, 257 cases of contact
dermatitis (CD) in beauticians were identified, which were associated with 502
suspected agents. The most frequently cited source of allergic CD was acrylate
chemicals. The trend analysis showed a small average annual percentage increase
in work-related CD in beauticians for all agents (1.1%; 95% CI -2.5 to 4.9).
There was a small decrease in cases in which acrylates were not cited (-1.7%; 95%
CI -5.9 to 2.7), and a statistically significant increase when acrylates were
cited (7.4%; 95% CI 0.9 to 14.4). There were 11 cases of occupational asthma.
CONCLUSION: We found an increase in cases of occupational dermatitis associated
with acrylates in beauticians over a 15-year period, and describe other causes of
occupational dermatitis.
PMID- 24934914
TI - Atypical naevus spilus: detection by in vivo confocal microscopy.
AB - Naevus spilus (NS) is a naevoid disorder characterized by hyperpigmented macules
or papules scattered over a cafe-au-lait macule. Such cafe-au-lait macules are
often present at birth, and the darker pigmented speckles of NS slowly increase
in number and size over a period of several years. NS can therefore be difficult
to evaluate clinically for the development of melanoma. In vivo confocal
microscopy (IVCM) is a novel method that allows examination at cellular
resolution of cutaneous lesions in vivo. IVCM has been shown to have twice the
specificity of dermoscopy for the diagnosis of melanoma, with comparable
sensitivity. It has been shown to be useful in the detection and grading of
dysplastic naevi, which are recognized precursors of melanoma in some cases. In
this report, we highlight that IVCM can also be used as a tool complementary to
dermoscopy to identify areas of dynamic change in clinically and dermoscopically
equivocal lesions. IVCM may thereby assist in the early detection of melanocytic
atypia and melanoma arising in NS, in turn leading to excision of melanoma at an
early stage, which is associated with a favourable outcome. We also outline some
of the difficulties encountered in confocal microscopy and histology when
differentiating melanoma from dysplastic naevi.
PMID- 24934915
TI - Simplified noncultured autologous cell grafting for the treatment of chronic
nonhealing ulcers: the six-well plate technique.
AB - Chronic recalcitrant ulcers are associated with severe morbidity, and there are
few effective treatment options available. Living skin substitutes are an
important form of adjuvant therapy to enhance healing of such wounds. We
investigated a novel, simplified, noncultured, autologous, cell grafting
procedure, using a six-well plate technique, to treat chronic recalcitrant
wounds. This was a prospective pilot study that involved harvesting an ultrathin
split-skin graft from the gluteal region, which was washed, separated and
prepared in six different wells to obtain an autologous mixture of keratinocytes,
melanocytes and fibroblasts; this was subsequently applied directly to the wound
using a hyaluronic acid (HA) matrix. Eight patients with a total of 14 ulcers
were recruited. The primary endpoint assessed was the percentage of re
epithelialization of the ulcers. Secondary endpoints included quality of life and
wound bed indices. At baseline, the median wound surface area was 7.4 cm(2) (mean
17.6 +/- 23.6 cm(2) , range 0.5-80.0 cm(2) ) with a median duration of 18 months
(mean 70.2 +/- 95.9, range 3-216 months). The median wound surface area was
reduced by 74.3%, from 7.4-1.9 cm(2) , at the final visit. Overall, 28.3% of the
ulcers achieved complete healing, and 71.3% of the ulcers had reduction in wound
size. Post-graft, there was also improvement in secondary wound bed parameters
and all quality of life domains of the Cardiff Wound Impact Schedule. These
results suggest that this noncultured autologous six-well technique might be
beneficial for treating recalcitrant ulcers.
PMID- 24934916
TI - Suicide and depression in a patient with psoriasis receiving adalimumab: the role
of the dermatologist.
AB - A 53-year-old man took his own life while receiving biological therapy with the
tumour necrosis factor-alpha inhibitor adalimumab for severe psoriasis. The
patient had a psychiatric history and a 32-year history of variable unstable
psoriasis. Reported suicide in patients with psoriasis receiving adalimumab is
rare (only one other reported case). It is important, however, to recognize the
effects of skin disease on the mental health of vulnerable patients receiving
biological agents. Patients will benefit from a more holistic approach that
includes both dermatological and psychological assessment and treatment.
PMID- 24934917
TI - Discordant expression of desmoglein 2 and 3 at the mRNA and protein levels in
nodular and superficial basal cell carcinoma revealed by immunohistochemistry and
fluorescent in situ hybridization.
AB - BACKGROUND: Basal cell carcinoma (BCC) is the most common human cancer. It is
thought that skewed expression of desmogleins (Dsgs) in BCC may promote
tumourigenesis. AIM: To comparatively examine expression of Dsg2/Dsg3, using
fluorescent in situ hybridization (FISH) and immunohistochemistry (IHC) in BCC
subtypes. METHODS: In total, 84 frozen sections from patients with various
clinical or histological subtypes of BCC were analyzed. Expressions of Dsg2/Dsg3
protein and Dsg2/Dsg3 mRNA were evaluated using IHC and FISH, respectively, in
BCC nests and BCC-free epidermis, and then quantitatively measured. RESULTS:
There was loss of correlation between Dsg2 and Dsg3 (IHC) in nodular and
superficial BCC (nBCC, sBCC), and significant correlation between Dsg2 and Dsg3
(FISH) in BCC, but not nBCC and sBCC. CONCLUSIONS: Because more prominent
aberrations of Dsg2/Dsg3 expression were seen at the protein than at the mRNA
level in BCC, these comparative observations indicate greater importance of
events at the proteome level than those at the genome level in tumour functional
compartments. Different Dsg2/Dsg3 expression in sBCC and nBCC might corroborate
the possibility that sBCC and nBCC are separate conditions. These results may
contribute to better understanding of the biological behaviour of BCC.
PMID- 24934918
TI - Raman spectroscopy as an analytical tool for melanoma research.
AB - BACKGROUND: Raman spectroscopy is an optical noninvasive screening technology
that generates individual fingerprints of living cells by reflecting their
molecular constitution. AIM: To discriminate melanoma cells from melanocytes, to
identify drug-induced melanoma cell death stages (apoptosis, necrosis, autophagy)
and to assess the susceptibility of melanoma cells to anticancer therapy.
METHODS: We used Raman spectroscopy on normal and melanoma cells, and on wild
type (WT) and mutant melanoma cells, to investigate whether the technique could
distinguish between different types of cells, identify mutations and evaluate
response to anticancer therapy. RESULTS: Using the multivariate principal
component analysis of the Raman spectra, melanocytes could be distinguished from
melanoma cells, and WT melanoma cells could be distinguished from melanoma cells
with BRAF or NRAS mutations. When we used the apoptosis inducer staurosporine,
the necrosis inducer 3-bromopyruvate and the autophagy inducer resveratrol to
induce cell death in SKMEL28 melanoma cells, Raman spectroscopy clearly
distinguished between these three types of cell death, as confirmed by
immunoblotting. Finally, the technique could discriminate between different
melanoma cell lines according to their susceptibility to high-dose ascorbate.
CONCLUSIONS: Raman spectroscopy is a powerful noninvasive tool to distinguish
between melanocytes and melanoma cells, to analyze the specific type of cell
death in melanoma cells, and to predict the susceptibility of melanoma cells to
anticancer drugs.
PMID- 24934919
TI - Identification of a novel mutation (p.Ile198Thr) in gene TYR in a Pakistani
family with nonsyndromic oculocutaneous albinism.
AB - The TYR gene (MIM #6069333) is located at position 11q14.3 on the human
chromosome, and encodes tyrosinase, which is expressed in melanocytes and
controls the biosynthesis of melanin. Most TYR mutations eliminate the activity
of tyrosinase, preventing melanocytes from producing any melanin throughout life.
People with this form of albinism have white hair, light-coloured eyes and very
pale skin. Some mutations in TYR reduce but do not completely eliminate
tyrosinase activity, and allow some melanin to be produced. We report a Pakistani
family with four members affected by oculocutaneous albinism (OCA). Blood samples
were collected from all affected individuals, normal siblings and their parents.
Genomic DNA was extracted, and sequence analysis of all the coding exons and
adjacent intronic sequences of TYR was performed, which identified a novel
missense substitution (p.Ile198Thr). Sequencing of TYR in 90 unrelated healthy
individuals showed no sequence variant at this location. Our study expands the
mutational spectrum of OCA1.
PMID- 24934920
TI - Nodule on the forehead.
PMID- 24934921
TI - 'Dumbo' ear.
PMID- 24934922
TI - Comparison of efficacy and diffusion of three formulations of botulinum toxin
type A in two patients with forehead hyperhidrosis.
PMID- 24934923
TI - Examining the influence of antenatal care visits and skilled delivery on neonatal
deaths in Ghana.
AB - BACKGROUND: Many Sub-Saharan African countries may not achieve the Millennium
Development goal of reducing child mortality by 2015 partly due to the stalled
reduction in neonatal deaths, which constitute about 60% of infant deaths.
Although many studies have emphasized the importance of accessible maternal
healthcare as a means of reducing maternal and child mortality, very few of these
studies have explored the affordability and accessibility concerns of maternal
healthcare on neonatal mortality. OBJECTIVE: This study bridges this research gap
as it aims to investigate whether the number of antenatal visits and skilled
delivery are associated with the risk of neonatal deaths in Ghana. METHODS: Using
individual level data of women in their reproductive years from the 2008
Demographic and Health Survey, the study employs an instrumental variable
strategy to deal with the potential endogeneity of antenatal care visits.
RESULTS: Estimates from the instrumental variable estimation show that antenatal
care visits reduce the risk of neonatal death by about 2%, while older women have
an approximately 0.2% higher risk of losing their neonates than do younger women.
CONCLUSION: Findings suggest that women who attend antenatal visits have a
significantly lower probability of losing their babies in the first month of
life. Further, results show that women's age significantly affects the risk of
losing their babies in the neonatal stage. However, the study finds no
significant effect of skilled delivery and education on neonatal mortality.
PMID- 24934924
TI - Are the UK systems of innovation and evaluation of medical devices compatible?
The role of NICE's Medical Technologies Evaluation Programme (MTEP).
AB - The economic evaluation of medical products and services is increasingly
prioritised by healthcare decision makers and plays a key role in informing
funding allocation decisions. It is well known that there are a number of
methodological difficulties in the health technology assessment of medical
devices, particularly in the provision of efficacy evidence. By contrasting
devices with pharmaceuticals, the way in which the differing systems of
innovation mould the UK's industry landscape is described and substantiated with
market statistics. In recognition of the challenges faced by industry, as well as
the growing need for cost-effective allocation of National Health Service (NHS)
resources, the National Institute for Health and Care Excellence (NICE) led the
development of the Medical Technologies Evaluation Programme (MTEP), which
launched in 2009/2010. The review of the UK's medical devices market supports the
programme's three principal aims: to simplify access to evaluation, speed up the
process, and increase evaluative capacity for devices within NICE. However, an
analysis of the output of MTEP's first 3 years suggests that it has some way to
go to meet each of these aims.
PMID- 24934925
TI - Obesity and oxidative stress: potential roles of melatonin as antioxidant and
metabolic regulator.
AB - Obesity is associated with an oxidative stress status, defined as an excessive
production of reactive oxygen species (ROS) compared to the level of antioxidants
acting in the natural defence systems. Several sources of ROS can be identified
in obesity (e.g., mitochondrial respiratory chain, or NADPH oxidase) and could
contribute to the pathogenesis of obesity. Indeed, these conditions favour the
development of insulin resistance and metabolic syndrome through deregulation of
adipokines and pro-inflammatory cytokines, so that it could be of interest to
associate antioxidant therapeutic strategies with strategies of weight loss.
Among antioxidants, melatonin holds a special place, on the one hand for its
antioxidant and anti-inflammatory properties, and on the other hand for its role
as a metabolic regulator. As melatonin modulates several processes involved in
obesity and its related metabolic alterations, it could have a therapeutic
interest in the treatment of obesity.
PMID- 24934926
TI - The effect of knee extensor open kinetic chain resistance training in the ACL
injured knee.
AB - PURPOSE: To investigate the effect of different loads of knee extensor open
kinetic chain resistance training on anterior knee laxity and function in the ACL
injured (ACLI) knee. METHODS: Fifty-eight ACLI subjects were randomised to one of
three (12-week duration) training groups. The STAND group trained according to a
standardised rehabilitation protocol. Subjects in the LOW and HIGH group trained
as did the STAND group but with the addition of seated knee extensor open kinetic
chain resistance training at loads of 2 sets of 20 repetition maximum (RM) and 20
sets of 2RM, respectively. Anterior knee laxity and measurements of physical and
subjective function were performed at baseline, 6 and 12 weeks. Thirty-six
subjects were tested at both baseline and 12 weeks (STAND n = 13, LOW n = 11,
HIGH n = 12). RESULTS: The LOW group demonstrated a reduction in 133 N anterior
knee laxity between baseline and 12 weeks testing when compared to the HIGH and
the STAND groups (p = 0.009). Specifically, the trained-untrained knee laxity
decreased an average of approximately 5 mm in the LOW group while remaining the
same in the other two groups. CONCLUSION: Twelve weeks of knee extensor open
kinetic chain resistance training at loads of 2 sets of 20RM led to a reduction
in anterior knee laxity in the ACLI knee. This reduction in laxity does not
appear to offer any significant short-term functional advantages when compared to
a standard rehabilitation protocol. These results indicate that knee laxity can
be decreased with resistance training of the thigh muscles. LEVEL OF EVIDENCE:
Randomised controlled trial, Level II.
PMID- 24934927
TI - Symmetry of squatting and the effect of fatigue following anterior cruciate
ligament reconstruction.
AB - PURPOSE: To evaluate weight-bearing and joint symmetry during the double-leg
squat exercise at baseline and after fatigue in patients who have undergone
anterior cruciate ligament reconstruction (ACLR) compared to uninjured controls.
METHODS: Ten males who had returned to sport after primary ACLR participated
along with ten uninjured male controls. Lower limb kinematic, kinetic and ground
reaction force (GRF) data were collected during double-leg squats at baseline and
after a generalised fatigue protocol. Symmetry indices were calculated for hip
and knee external flexion moments and the vertical GRF (weight-bearing symmetry)
at maximum squat depth. These were compared between ACLR and control groups
before and after fatigue using ANOVA models. RESULTS: The ACL group
preferentially unloaded the reconstructed limb at baseline, but changed to a more
symmetrical load distribution to perform the squat exercise in the fatigue
condition. This same loading pattern was seen at both the knee and hip joints.
The control group did not show any effect of fatigue. For both groups, symmetry
indices were closer to zero (which indicated perfect symmetry) in the fatigue
condition. CONCLUSIONS: When prescribing squat exercises, it should be recognised
that initially, patients with ACLR tend to unload the affected knee. More
symmetrical loading patterns may be achieved by inducing bilateral fatigue. When
fatigued, loading symmetry was similar between this patient group and controls.
This is relevant information for those who implement rehabilitation training
programmes. LEVEL OF EVIDENCE: III.
PMID- 24934928
TI - Radiographic landmarks for surgical reconstruction of the anterolateral ligament
of the knee.
AB - PURPOSE: To determine the radiographic landmarks of the anterolateral ligament
(ALL) on the femur and tibia to assist in intraoperative graft placement during
ALL reconstruction. METHODS: The footprints of the ALL, fibular collateral
ligament (FCL), popliteus insertion, lateral gastrocnemius insertion, and Gerdy's
tubercle were isolated and centrally marked with tantalum beads in thirteen fresh
frozen cadaveric knees. Measurements were taken from the true lateral
fluoroscopic images. On the femur, the mean distances from the ALL origin to the
FCL origin and from the ALL origin to the popliteus insertion were measured. On
the tibia, the mean distances from the ALL insertion to Gerdy's tubercle and from
the ALL insertion to the lateral tibial plateau were measured. Furthermore,
radiographic descriptions of the ALL origin and insertion were developed.
RESULTS: The ALL origin on the femur averaged 3.3 +/- 1.5 mm anterior-distal to
the FCL origin in one anatomical variant and 5.4 +/- 1.4 mm posterior-proximal to
the FCL origin in a second variant. The ALL origin was 9.9 +/- 2.7 mm from the
popliteus insertion. The ALL origin is described as overlying the posterior
femoral cortical line, between Blumensaat's line and a line from the posterior
condylar articular edge parallel to Blumensaat's line. The ALL insertion on the
tibia averaged 24.7 +/- 4.5 mm posterior to Gerdy's tubercle and 11.5 +/- 2.9 mm
distal to the lateral tibial plateau. The tibial ALL insertion is described
between the posterior tibial cortical line and a parallel line drawn down from
the apex of the tibial spine, and overlying a line drawn perpendicular to the
posterior tibial cortical line starting from the apex of the posterior tibial
condyles. CONCLUSIONS: Using direct lateral fluoroscopy, radiographic landmarks
of the ALL origin and insertion have been described.
PMID- 24934929
TI - A psychological injury prevention group intervention in Swedish floorball.
AB - PURPOSE: The main purpose of the study was to evaluate a psychological skills
training intervention at group level aiming to prevent injuries, separated in
traumatic and overuse, in male and female elite floorball players. METHODS:
Twenty-three teams in the premiere leagues for males and females were
volunteered, and the teams were allocated to an intervention group (n = 11, males
n = 94, females n = 99) and a control group (n = 12, males n = 109, females n =
99). The teams in the intervention group participated in a psychological skills
training programme consisting of six meetings with each team. The control group
received no substitute. All injuries were registered and documented according to
the time-loss definition and classified into either traumatic or overuse.
RESULTS: In total, 142 players (35 %) out of the 401 players sustained 197
injuries, 0.49 injury/player: in the intervention group 0.45 injury/player and in
the control group 0.53 injury/player. The analyses revealed no significant
differences in injuries between intervention groups and control groups. The
effect size of the influence of the psychological skills training in overuse
injuries was considered to be small, Cohen's d 0.2. CONCLUSIONS: This study
comprised the whole team for a group intervention and did not screen for at-risk
athletes, e.g. scoring high in anxiety or low in coping skills, which might have
influenced the result. A psychological injury prevention intervention forward to
a whole team might not influence the injury occurrence significantly. Thus, this
psychological intervention decreased the injury incidence which is of clinical
interest. LEVEL OF INCIDENCE: Level II.
PMID- 24934931
TI - One-pot native chemical ligation of peptide hydrazides enables total synthesis of
modified histones.
AB - One of the rising demands in the field of protein chemical synthesis is the
development of facile strategies that yield the protein in workable quantities
and homogeneity, with fewer handling steps. Although the native chemical ligation
of peptide hydrazides has recently been shown to be useful for the chemical
synthesis of proteins carrying acid-sensitive modification groups, previous
hydrazide-based protein synthesis studies have used sequential ligation
strategies. Here, we report a practical method for a "one-pot" native chemical
ligation of peptide hydrazides that would circumvent the need for the isolation
of the intermediate products. This method employed a fast and selective
arylboronate oxidation reaction mediated by H2O2, which draws attention to the
potential applications of the thus far under-exploited boron-based
functionalities in protein chemical synthesis. To demonstrate the practicality
and efficiency of the new one-pot method, we report its application to a scalable
total synthesis of modified histones (with five analogues of H3 and H4 as
examples) on a multi-milligram scale, with good homogeneity.
PMID- 24934930
TI - Aortic 4D flow: quantification of signal-to-noise ratio as a function of field
strength and contrast enhancement for 1.5T, 3T, and 7T.
AB - PURPOSE: To investigate for the first time the feasibility of aortic four
dimensional (4D) flow at 7T, both contrast enhanced (CE) and non-CE. To quantify
the signal-to-noise ratio (SNR) in aortic 4D flow as a function of field strength
and CE with gadobenate dimeglumine (MultiHance). METHODS: Six healthy male
volunteers were scanned at 1.5T, 3T, and 7T with both non-CE and CE acquisitions.
Temporal SNR was calculated. Flip angle optimization for CE 4D flow was carried
out using Bloch simulations that were validated against in vivo measurements.
RESULTS: The 7T provided 2.2 times the SNR of 3T while 3T provided 1.7 times the
SNR of 1.5T in non-CE acquisitions in the descending aorta. The SNR gains
achieved by CE were 1.8-fold at 1.5T, 1.7-fold at 3T, and 1.4-fold at 7T,
respectively. CONCLUSION: The 7T provides a new tool to explore aortic 4D flow,
yielding higher SNR that can be used to push the boundaries of acceleration and
resolution. Field strength and contrast enhancement at all fields provide
significant improvements in SNR.
PMID- 24934932
TI - Superconducting properties of (Ba-K)Fe2As2 single crystals disordered with fast
neutron irradiation.
AB - Resistivity rho(T), Hall coefficient RH(T), superconducting transition
temperature Tc and slopes of the upper critical field dHc2/dT were studied in
(Ba1-xKx)Fe2As2 (x = 0.218, 0.356, 0.531) single crystals irradiated with fast
neutrons. It is found that dTc/drhoSC-the rate of decreasing Tc as a function of
the rhoSC (rhoSC is the resistivity at T = Tc)-linearly increases with
concentration x. Slow changes in the Hall coefficient RH, as well as the
quadratic electronic contribution to the resistivity, show that there are no
substantial changes in the topology of the Fermi surface caused by irradiation.
The slopes of the upper critical field dHc2/dT in ab and c directions as a
function of rhoSC determined by Hall measurements show a reasonable agreement
with a model that suggests constancy of the band parameters.
PMID- 24934934
TI - The binder effect on an oxide-based anode in lithium and sodium-ion battery
applications: the fastest way to ultrahigh performance.
AB - A positive effect of the polyacrylic acid (PAA)-carboxymethyl cellulose (CMC)
binder to enhance the performance of an oxide-based anode was reported in
batteries. A series of super high capacity and cycling ability oxide powders
rarely achieved before was obtained, particularly most of them without any
specific carbon modification and/or morphology control.
PMID- 24934933
TI - Selective activity of the histone deacetylase inhibitor AR-42 against leukemia
stem cells: a novel potential strategy in acute myelogenous leukemia.
AB - Most patients with acute myelogenous leukemia (AML) relapse and die of their
disease. Increasing evidence indicates that AML relapse is driven by the
inability to eradicate leukemia stem cells (LSC). Thus, it is imperative to
identify novel therapies that can ablate LSCs. Using an in silico gene expression
based screen for compounds evoking transcriptional effects similar to the
previously described anti-LSC agent parthenolide, we identified AR-42 (OSU
HDAC42), a novel histone deacetylase inhibitor that is structurally similar to
phenylbutyrate, but with improved activity at submicromolar concentrations. Here,
we report that AR-42 induces NF-kappaB inhibition, disrupts the ability of Hsp90
to stabilize its oncogenic clients, and causes potent and specific cell death of
LSCs but not normal hematopoietic stem and progenitor cells. Unlike parthenolide,
the caspase-dependent apoptosis caused by AR-42 occurs without activation of Nrf
2-driven cytoprotective pathways. As AR-42 is already being tested in early
clinical trials, we expect that our results can be extended to the clinic.
PMID- 24934936
TI - Medical faculty opinions of peer tutoring.
AB - CONTEXT AND OBJECTIVES: Peer tutoring is a well-researched and established method
of learning defined as 'a medical student facilitating the learning of another
medical student'. While it has been adopted in many medical schools, other
schools may be reluctant to embrace this approach. The attitude of the teaching
staff, responsible for organizing and or teaching students in an undergraduate
medical course to formal peer teaching will affect how it is introduced and
operationalized. This study elicits faculty opinions on how best to introduce
peer tutoring for medical students. METHODS: Structured telephone interviews were
recorded, transcribed and analyzed using thematic analysis. The interviews were
with medically qualified staff responsible for organizing or teaching
undergraduate medical students at a New Zealand medical school. Six questions
were posed regarding perceived advantages and disadvantages of peer tutoring and
how the school and staff could support a peer-tutoring scheme if one was
introduced. FINDINGS: Staff generally supported the peer tutoring concept,
offering a safe environment for learning with its teachers being so close in
career stage to the learners. They also say disadvantages when the student
teachers imparted wrong information and when schools used peer tutoring to
justify a reduction in teaching staff. Subjects felt that faculty would be more
accepting of peer tutoring if efforts were made to build staff 'buy in' and
empowerment, train peer tutors and introduce a solid evaluation process.
CONCLUSIONS: Staff of our school expressed some concerns about peer tutoring that
are not supported in the literature, signaling a need for better communication
about the benefits and disadvantages of peer tutoring.
PMID- 24934937
TI - Superficial and deep learning approaches among medical students in an
interdisciplinary integrated curriculum.
AB - INTRODUCTION: Students' learning approaches have a significant impact on the
success of the educational experience, and a mismatch between instructional
methods and the learning approach is very likely to create an obstacle to
learning. Educational institutes' understanding of students' learning approaches
allows those institutes to introduce changes in their curriculum content,
instructional format, and assessment methods that will allow students to adopt
deep learning techniques and critical thinking. The objective of this study was
to determine and compare learning approaches among medical students following an
interdisciplinary integrated curriculum. METHODS: This was a cross-sectional
study in which an electronic questionnaire using the Biggs two-factor Study
Process Questionnaire (SPQ) with 20 questions was administered. RESULTS: Of a
total of 402 students at the medical school, 214 (53.2%) completed the
questionnaire. There was a significant difference in the mean score of
superficial approach, motive and strategy between students in the six medical
school years. However, no significant difference was observed in the mean score
of deep approach, motive and strategy. The mean score for years 1 and 2 showed a
significantly higher surface approach, surface motive and surface strategy when
compared with students in years 4-6 in medical school. DISCUSSION: The
superficial approach to learning was mostly preferred among first and second year
medical students, and the least preferred among students in the final clinical
years. These results may be useful in creating future teaching, learning and
assessment strategies aiming to enhance a deep learning approach among medical
students. Future studies are needed to investigate the reason for the preferred
superficial approach among medical students in their early years of study.
PMID- 24934938
TI - Students perceive healthcare as a valuable learning environment when accepted as
a part of the workplace community.
AB - BACKGROUND: The healthcare system is complex and the education of medical and
nursing students is not always a priority within it. However, education offered
at the point of care provides students with opportunities to apply knowledge, and
to develop the necessary skills and attitudes needed to practice their future
profession. The major objective of this study was to identify students' views of
generic aspects of the healthcare environment that influences their progress
towards professional competence. METHODS: We collected free text answers of 75
medical students and 23 nursing students who had completed an extensive
questionnaire concerning their learning in clinical wards. In order to obtain
richer data and a deeper understanding, we also interviewed a purposive sample of
students. Qualitative content analysis was conducted. RESULTS: We identified
three themes: (1) How management, planning and organising for learning enabled
content and learning activities to relate to the syllabus and workplace, and how
this management influenced space and resources for supervision and learning; (2)
Workplace culture elucidated how hierarchies and communication affected student
learning and influenced their professional development and (3) Learning a
profession illustrated the importance of supervisors' approaches to students,
their enthusiasm and ability to build relationships, and their feedback to
students on performance. DISCUSSION: From a student perspective, a valuable
learning environment is characterised as one where management, planning and
organising are aligned and support learning. Students experience a professional
growth when the community of practice accepts them, and competent and
enthusiastic supervisors give them opportunities to interact with patients and to
develop their own responsibilities.
PMID- 24934939
TI - Body expression skills training in a communication course for dental students.
AB - BACKGROUND: In the health professions, competency in communication skills is
necessary for the development of a satisfactory physician-patient interaction.
Body expression is an important domain of the communication process, often not
adequately addressed. The aim of this study was to describe the methodology and
content of a pilot introductory training session in body expression for dental
students before the beginning of their clinical training. METHODS: The
educational methods were based on experiential learning and embodied training,
where the session's content focused on five themes representing different phases
of the dental treatment session. A questionnaire was distributed before and after
the session to assess any changes in students' self-perceptions in communication
skills. RESULTS: There were statistically significant improvements in the total
values of the students self-perceptions of their communication skills obtained
before and after the training and in specific elements such as small group
situations, performing an interview, understanding the feelings of others and
expressing one's own feelings. DISCUSSION: The dental students in the present
study felt that this preclinical experiential learning session improved their
communication skills. The feedback from this training experience will enable
further development of an effective communication course for clinical dentistry.
PMID- 24934940
TI - Exploring the trustworthiness and reliability of focus groups for obtaining
useful feedback for evaluation of academic programs.
AB - BACKGROUND: At Shifa College of Medicine, evaluation is an integral part of the
curriculum. We used focus groups as a tool to obtain in-depth information
regarding students' experience with the new integrated, system- based curriculum.
The purpose of this study was to explore the usefulness of focus groups in
identifying important issues for curriculum improvement and to explore the
trustworthiness and representativeness of data obtained through this strategy.
METHODS: In 2012, we used focus groups to seek feedback from students regarding
their experience with the integrated curriculum. One course of each of the three
preclinical years was selected for this purpose. Three parallel focus groups were
conducted for each selected course. Each focus group was audio recorded, and the
moderator and a volunteer student took additional notes during the session. The
audio recordings were transcribed and data obtained from the three sources were
analyzed, coded, and categorized independently by three investigators. Both
manifest and latent themes were identified, using an inductive approach. Final
agreement on themes was reached by comparison of the independently done thematic
analysis by the three researchers. Reliability of data was established by
comparing responses from the three parallel focus groups of each course.
Trustworthiness of inferences was ensured by multiple coding, audit trail and
member checking with focus group participants who reviewed the themes for
validity. RESULTS: Most of the data on students' perceptions of their courses
from each of the parallel groups were in agreement: Similar themes were seen
within groups of the same class as well as across the three preclinical years.
CONCLUSION: Focus groups can be a useful tool for collecting trustworthy and
reliable information through a process that promotes interaction among student
participants. They can support quantitative data from students and be used to
support curriculum reform.
PMID- 24934941
TI - Pilot undergraduate course teaches students about chronic illness in children: an
educational intervention study.
AB - BACKGROUND: Recent data question whether medical education adequately prepares
physicians to care for the growing number of children with chronic medical
conditions. We describe a 10-week course designed to provide undergraduate
students with the knowledge and skills required to understand and care for
children with chronic or catastrophic illnesses. The course presented the illness
experience from the child's perspective and thus presented information in a
manner that was efficient, conducive, and memorable. The curriculum was designed
like a graduate-level seminar that included workshops, lectures, readings,
writing, and lively discussions. METHODS: This is an educational intervention
study that used survey data to assess changes in attitudes among and between
participants completing this course versus students not exposed to this course.
We used Somers' D test and Fisher's z-transformation to perform both pre- and
post-nonparametric comparisons. RESULTS: Course participants were more likely to
change their attitudes and agree that chronically ill children "feel comfortable
talking with their peers about their condition" (P=0.003) and less likely to
agree that these children "want to be treated differently," "want more sympathy,"
or "care less about romantic relationships" (P = 0.003, 0.002 and 0.02,
respectively). Controls were more likely to continue to agree that chronically
ill children "want to be treated differently" (P = 0.009) and "care less about
romantic relationships" (P = 0.02), and less likely to agree that these children
"talk openly" or "feel comfortable talking with their peers about their
condition" (P = 0.04). CONCLUSIONS: This classroom-based course serves as a
feasible and cost-effective model for universities and medical schools to aid in
improving student attitudes toward treating chronically ill children. The course
provides the unique opportunity to learn directly from those who care for and
those who have lived with chronic illness.
PMID- 24934942
TI - Trust, continuity and agency: keys to understanding older patients' attitudes to
general practice trainees.
AB - BACKGROUND: Populations are ageing and therefore non-communicable diseases are
becoming leading causes of global morbidity, which need to be the focus of
primary care services and training. Some older patients are uncomfortable with
general practitioner (GP) trainees managing their chronic conditions, reducing
clinical experience opportunities for trainees. This Australian cross-sectional
study explored the factors underlying patients' attitudes to trainees in an
agency theory framework. METHODS: Fifty patients aged 60 and over from each of 38
training practices were offered a questionnaire after their consultation.
Principal component analysis of the results was undertaken. Factor scores were
calculated. Binary logistic modelling was used to identify relationships between
participant characteristics, behaviours, attitude items and factor scores.
RESULTS: The response rate was 47.9% (n = 911). Three factors were identified:
'Interpersonal Trust' (IPT); 'Institution/system Trust' (ST); and 'Interpersonal
Continuity' (IPC). Lower self-rated health (SRH) was associated with higher IPT
factor scores (P = 0.023); higher SRH with higher ST scores (P = 0.001); and
chronic illness with higher IPC scores (P = 0.005). Higher ST scores were
associated with greater comfort with trainees' involvement in chronic care (P <
0.001) and frequency of trainee visits (P < 0.001), while higher IPC scores were
negatively associated (P < 0.001 and P = 0.003, respectively). High IPT scores
were associated with lower satisfaction with trainee visits (P = 0.001).
DISCUSSION: These results indicate that better SRH, via higher institution/ST, is
associated with favourable attitudes and attendance with trainees. In addition,
chronic illness, via a higher need for IPC, is associated with lower comfort and
attendance. These findings are consistent with agency theory, which shows
potential as a framework for future interventions and research into older patient
trainee interactions.
PMID- 24934943
TI - Community-based medical education: is success a result of meaningful personal
learning experiences?
AB - BACKGROUND: Community-based medical education (CBME) is the delivery of medical
education in a specific social context. Learners become a part of social and
medical communities where their learning occurs. Longitudinal integrated
clerkships (LICs) are year-long community-based placements where the curriculum
and clinical experience is typically delivered by primary care physicians. These
programs have proven to be robust learning environments, where learners develop
strong communication skills and excellent clinical reasoning. To date, no
learning model has been offered to describe CBME. METHODS: The characteristics of
CBME are explored by the authors who suggest that the social and professional
context provided in small communities enhances medical education. The authors
postulate that meaningfulness is engendered by the authentic context, which
develops over time. These relationships with preceptors, patients and the
community provide meaningfulness, which in turn enhances learning. RESULTS AND
DISCUSSION: The authors develop a novel learning model. They propose that the
context-rich environment of CBME allows for meaningful relationships and
experiences for students and that such meaningfulness enhances learning.
PMID- 24934944
TI - A study of obstetricians' knowledge, attitudes and practices in oral health and
pregnancy.
AB - BACKGROUND: Many studies have reported an association between periodontitis and
adverse pregnancy outcomes, but there has been little research on the knowledge
of obstetricians regarding oral care. The aim of the study was to assess the
knowledge and attitudes of practicing obstetricians in India about the
relationship between oral health and pregnancy outcomes, as well as their
practice behaviors regarding oral healthcare in pregnant women in Indian
settings. METHODS: A structured online questionnaire was sent to 130
obstetricians in the city of Chandigarh and adjoining areas of the union
territory. The questionnaire was prepared by dentists and obstetricians and was
validated in a prior pilot study. Obstetricians' knowledge of the effects of
pregnancy on oral health, and vice versa, were correlated with their experience
and practices. RESULTS: A total of 79.2% responded to the questionnaire. More
than 70% of respondent obstetricians correctly knew of the effects of
periodontitis on preterm birth and low birth weight babies. Only 40% recommended
dental examination during pregnancy and 47% advised patients about oral care
during pregnancy. There were significant correlations between knowledge of dental
health effects on pregnancy and referrals of patients to dentists. CONCLUSIONS:
This study found that although obstetricians generally were knowledgeable about
appropriate dental care practices during pregnancy as well as the relationship
between oral health and pregnancy outcomes, this knowledge often did not
translate into appropriate practice behavior.
PMID- 24934945
TI - Think global, act local: medical students contextualize global health education.
AB - BACKGROUND: There is considerable heterogeneity in the extent to which global
health education is emphasized in undergraduate medical curricula. Here, we
performed an exploratory analysis to test the hypothesis that exposure to global
health education may influence the attitudes of medical students toward the
treatment of local vulnerable patient populations. METHODS: All pre-clerkship
students at an urban Canadian university were invited to attend a voluntary
global health education session on challenges in treating human immunodeficiency
virus (HIV) in the developing world. Those who attended as well as those who did
not completed pre- and post-session surveys measuring willingness to treat
patients with HIV and related attitudes. A repeated measure analysis of variance
(ANOVA) was performed to assess the effect of the intervention on attitudes
toward locally affected populations. RESULTS: A total of 201 (81.4%) and 143
(58.3%) students completed the pre- and post-session surveys, respectively.
Students who scored their willingness to treat patients with HIV within highest
10% of the scale on the pre-session survey were excluded from the analysis to
account for a ceiling effect. On repeated measure ANOVA, willingness to treat
local patients with HIV increased significantly following the session (P < 0.01).
Students intending to attend the session also reported a greater propensity to
treat patients with HIV than those who did not (P = 0.03). DISCUSSION: In this
exploratory study, we find that following exposure to a global health lecture on
the challenges of HIV in the developing world, students possessed more favorable
attitudes toward the treatment of marginalized local patient populations, a
finding that may be exploited in undergraduate and continuing medical education.
PMID- 24934946
TI - Career intentions of medical students trained in India.
PMID- 24934947
TI - Is the UK'S situational judgement test a fair and appropriate way to allocate
jobs to new doctors?
PMID- 24934949
TI - Student medical journalism: the JPMS experience.
PMID- 24934948
TI - Paying to publish: Should we?
PMID- 24934950
TI - Electronic learning in Iran's medical schools; students' need for basic computer
skills.
PMID- 24934952
TI - FHI360-SATELLIFE essential health links.
PMID- 24934951
TI - Constructing hope and peace in Syrian dental education during the country's time
of difficulty: Success in Syrian smiles.
PMID- 24934953
TI - Issues, applications and outcomes in interprofessional education.
PMID- 24934954
TI - Engagement studios: students and communities working to address the determinants
of health.
AB - BACKGROUND: This article presents an innovative model for interprofessional
community-oriented learning. The Engagement Studios model involves a partnership
between community organizations and students as equal partners in conversations
and activities aimed at addressing issues of common concern as they relate to the
social determinants of health. METHODS: Interprofessional teams of students from
health and non-health disciplines work with community partners to identify
priority community issues and explore potential solutions. RESULTS: The student
teams work with a particular community organization, combining their unique
disciplinary perspectives to develop a project proposal, which addresses the
community issues that have been jointly identified. Approved proposals receive a
small budget to implement the project. DISCUSSION: In this paper we present the
Engagement Studios model and share lessons learned from a pilot of this
educational initiative.
PMID- 24934955
TI - Integrating medical and health multiprofessional residency programs: the
experience in building an interprofessional curriculum for health professionals
in Brazil.
AB - BACKGROUND: The aging of the population demands a development of the skills of
different health professionals working in teams due to the complexity of the
problems arising from this population. This article discusses the integration of
two residency programs: medical and multiprofessional. The challenge was to
construct a curriculum with practical and theoretical activities that develop
competencies within the scope of interprofessional education, ensuring the
necessary depth and detail of study in all the professional areas involved in the
development of specific skills. METHODS: The curricular integration was
accomplished by conducting workshops with the participation of coordinators from
both programs and service areas, preceptors of medicine, nursing, nutrition,
psychology, physiotherapy, and social services. In these workshops, we agreed
upon the goals, general and specific competencies, the standard weekly schedule,
practice scenarios, evaluation, and selection. RESULTS: The interprofessional
program has 26 residents, of which 6 are physicians 4 each from the other areas,
with 25 preceptors from the 6 areas that comprise the program. The residents
develop their training in six practical scenarios distributed between the first
and second years with increasing complexity. DISCUSSION: The program is based on
guidelines, physical conditions and human resources that allow for the overcoming
of barriers to the development of interprofessional education and collaborative
practice.
PMID- 24934956
TI - Patients' nursing records revealing opportunities for interprofessional workplace
learning in primary care: a chart review study.
AB - BACKGROUND: Working and learning go hand in hand during interprofessional
collaborative practice. Patients' nursing records are designed to record patient
care and health status. It is not known whether these records are also used to
keep track of interprofessional contacts or interprofessional learning between
team members. This study explored the usefulness of patients' nursing records in
optimising interprofessional workplace learning for general practitioners.
METHODS: We utilized a descriptive retrospective chart review. All palliative
home care teams of the Dutch speaking part of Belgium were involved. Throughout
the year 2010, a representative sample of patient charts was selected.
Characteristics of encounters between general practitioners and palliative care
nurses were extracted from the charts. RESULTS: Detailed accounts of
interprofessional contacts were found in the charts. Palliative care nurses
recorded number and type of contacts, topics discussed during contacts and
general practitioner's learning activities. DISCUSSION: Palliative care nurses
are sensitive and open towards the general practitioners' learning needs.
Patients' nursing records provide useful information for interprofessional team
discussions on workplace learning. Healthcare professionals should be trained to
respond to each other's learning needs.
PMID- 24934957
TI - The REACH project: implementing interprofessional practice at Australia's first
student-led clinic.
AB - BACKGROUND: REACH (Realising Education and Access in Collaborative Health) is an
initiative of students of the University of Melbourne to improve access to
primary health care services. It is driven by the voluntary commitment of over
120 students and is built upon the principles of collaboration,
interprofessionalism and client-centered care. Summary of work: The feasibility
of student-led clinics has been demonstrated with the operation of over 100 such
clinics in North America. Senior students from Medicine, Physiotherapy, Nursing
and Social Work attended a student-run clinics conference in Jacksonville,
Florida, USA in 2010. On return, research was conducted to identify a local
underserviced community appropriate for a clinic. On review of the literature, it
was determined an interprofessional model of care would best serve this
community. The student body engaged a local community health service as a project
partner. The model of care at the REACH Clinic was developed by senior students
from the schools of Medicine, Physiotherapy, Nursing and Social Work and managed
by an interconnected student committee structure. The final consultation model
involves a triage process and simultaneous consultation by two disciplines. This
model of care was successfully implemented during a 4-week pilot period in
October 2011. RESULTS: Several issues were identified during the REACH pilot,
with dissonance between the at-times competing principles in health care of
interprofessionalism, client-centered care and efficient care. CONCLUSIONS: An
interprofessional model of care was developed and successfully implemented in a 4
week pilot student-run clinic within an established community health service.
While providing a free health service, this model facilitated interprofessional
learning at both a clinical and management level and highlighted logistical and
ideological challenges that served as the basis for further refinement of this
model of community service .
PMID- 24934958
TI - Street outreach and shelter care elective for senior health professional
students: an interprofessional educational model for addressing the needs of
vulnerable populations.
AB - BACKGROUND: University of New Mexico Health Sciences Center (UNMHSC), located in
Albuquerque, New Mexico, USA, has an international reputation for developing and
implementing curricular initiatives addressing health inequities. The Street
Outreach and Shelter Care elective is designed to provide interprofessional
service learning opportunities for senior pharmacy and medical students
addressing the needs of our nation's most marginalized population-those
experiencing homelessness. METHODS: Our institution collaborated with multiple
community partners serving the homeless to develop, implement and teach a 4-week
senior elective for health professions students. During this elective, senior
pharmacy and medical student teams provide individualized health care to men in
local homeless shelter facilities. Students also participate in street outreach
programs across a continuum of homeless populations. Weekly interprofessional
education (IPE) faculty-facilitated sessions allow students to reflect on their
experiences and learn from other discipline perspectives. RESULTS: Student
evaluations uniformly reflect the transformative nature of the rotation since its
inception, April 2009. Our outcomes corroborated the findings of similar service
learning models developed to sensitize health professions students to the complex
challenges of homeless populations. DISCUSSION: Academic centers can play a
central role in health education reform by instituting curricula focusing on the
primacy of population welfare and just distribution of resources. Senior year is
an opportune time to reinforce social accountability among health professions
before graduation. This elective is based on adult principles of learning and can
serve as an international educational model for developing interprofessional
curricular innovations addressing the healthcare needs of vulnerable populations.
PMID- 24934959
TI - Community oriented interprofessional health education in Mozambique: one
student/one family program.
AB - BACKGROUND: In the remote northern region of Mozambique the ratio of doctors to
patients is 1:50,000. In 2007, Lurio University initiated an innovative, "One
Student/One Family" program of teaching and learning for health professions
students, to complement their traditional core curriculum. All students of each
of the school's six health degree programs complete a curriculum in "Family and
Community Health" in each year of their training. METHODS: Groups of six students
from six different health professions training programs make weekly visits to
communities, where each student is allocated to a family. Students learn from
their families about community life and health issues, within a community where
80% of the population still lacks access to modern health care and rely on
indigenous doctors and traditional remedies. In turn, students transmit
information to families about modern health care and report to the faculty any
major health problems they find. The educational/experiential approach is
interprofessional and community-oriented. RESULTS: The main perceived advantages
of the program are that it is applied and problem-based learning for students,
while simultaneously providing needed healthcare services to the community. The
major disadvantages include the complexity of coordinating multidisciplinary
groups, the time and distance required of students in traveling to communities,
and interpretation of multiple reports with variable data. DISCUSSION: This
community-oriented education program involving students from six disciplines uses
nontraditional teaching/learning methods is the basis of the ex libris of Lurio
University.
PMID- 24934960
TI - The bacterial lipopeptide iturins induce Verticillium dahliae cell death by
affecting fungal signalling pathways and mediate plant defence responses involved
in pathogen-associated molecular pattern-triggered immunity.
AB - Verticillium wilt in cotton caused by Verticillium dahliae is one of the most
serious plant diseases worldwide. Because no known fungicides or cotton cultivars
provide sufficient protection against this pathogen, V. dahliae causes major crop
yield losses. Here, an isolated cotton endophytic bacterium, designated Bacillus
amyloliquefaciens 41B-1, exhibited greater than 50% biocontrol efficacy against
V. dahliae in cotton plants under greenhouse conditions. Through high-performance
liquid chromatography and mass analysis of the filtrate, we found that the
antifungal compounds present in the strain 41B-1 culture filtrate were a series
of isoforms of iturins. The purified iturins suppressed V. dahliae
microsclerotial germination in the absence or presence of cotton. Treatment with
the iturins induced reactive oxygen species bursts, Hog1 mitogen-activated
protein kinase (MAPK) activation and defects in cell wall integrity. The
oxidative stress response and high-osmolarity glycerol pathway contribute to
iturins resistance in V. dahliae. In contrast, the Slt2 MAPK pathway may be
involved in iturins sensitivity in this fungus. In addition to antagonism,
iturins could induce plant defence responses as activators and mediate pathogen
associated molecular pattern-triggered immunity. These findings suggest that
iturins may affect fungal signalling pathways and mediate plant defence responses
against V. dahliae.
PMID- 24934961
TI - Dangers of eating vegetables: contralateral perfusion deficit with an inhaled
foreign body.
PMID- 24934962
TI - Combination treatment with varenicline and bupropion in an adaptive smoking
cessation paradigm.
AB - OBJECTIVE: The authors assessed the efficacy and safety of combination treatment
with varenicline and sustained-release bupropion for smokers who, based on an
assessment of initial smoking reduction prior to the quit date, were deemed
unlikely to achieve abstinence using nicotine patch treatment. METHOD: In a
randomized, double-blind, parallel-group adaptive treatment trial, the authors
identified 222 cigarette smokers who failed to show a reduction of more than 50%
in smoking after 1 week of nicotine patch treatment. Smokers were randomly
assigned to receive 12 weeks of varenicline plus bupropion or varenicline plus
placebo. The primary outcome measure was continuous smoking abstinence at weeks 8
11 after the target quit date. RESULTS: Both treatments were well tolerated.
Participants who received the combination treatment had a significantly higher
abstinence rate than those who received varenicline plus placebo (39.8% compared
with 25.9%; odds ratio=1.89; 95% CI=1.07, 3.35). Combination treatment had a
significantly greater effect on abstinence rate in male smokers (odds ratio=4.26;
95% CI=1.73, 10.49) than in female smokers (odds ratio=0.94; 95% CI=0.43, 2.05).
It also had a significantly greater effect in highly nicotine-dependent smokers
(odds ratio=3.51, 95% CI=1.64, 7.51) than in smokers with lower levels of
dependence (odds ratio=0.71, 95% CI=0.28, 1.80). CONCLUSIONS: Among smokers who
did not show a sufficient initial response to prequit nicotine patch treatment,
combination treatment with varenicline and bupropion proved more efficacious than
varenicline alone for male smokers and for smokers with a high degree of nicotine
dependence.
PMID- 24934964
TI - Quantitative estimation of the parameters for self-motion driven by difference in
surface tension.
AB - Quantitative information on the parameters associated with self-propelled objects
would enhance the potential of this research field; for example, finding a
realistic way to develop a functional self-propelled object and quantitative
understanding of the mechanism of self-motion. We therefore estimated five main
parameters, including the driving force, of a camphor boat as a simple self
propelled object that spontaneously moves on water due to difference in surface
tension. The experimental results and mathematical model indicated that the
camphor boat generated a driving force of 4.2 MUN, which corresponds to a
difference in surface tension of 1.1 mN m(-1). The methods used in this study are
not restricted to evaluate the parameters of self-motion of a camphor boat, but
can be applied to other self-propelled objects driven by difference in surface
tension. Thus, our investigation provides a novel method to quantitatively
estimate the parameters for self-propelled objects driven by the interfacial
tension difference.
PMID- 24934963
TI - A treatment for severe nodular acne: a randomized investigator-blinded,
controlled, noninferiority trial comparing fixed-dose adapalene/benzoyl peroxide
plus doxycycline vs. oral isotretinoin.
AB - BACKGROUND: Oral isotretinoin (ISO) is the gold standard for severe nodular acne.
However, as some patients are unwilling or unable to take, or are intolerant to,
ISO, other options are needed. OBJECTIVES: To compare efficacy and safety of oral
ISO vs. doxycycline 200 mg plus adapalene 0.1%/benzoyl peroxide 2.5% gel
(D+A/BPO) in severe nodular acne over 20 weeks. METHODS: This was a multicentre,
randomized, controlled, noninferiority investigator-blinded study involving 266
subjects. RESULTS: D+A/BPO showed a significantly earlier onset of action in
reducing nodules, papules/pustules and total lesions at week 2. ISO was superior
in reducing nodules (95.6% vs. 88.7%), papules/pustules (95.2% vs. 79.6%) and
total lesions (92.9% vs. 78.2%; all P < 0.01) at week 20. Half as many subjects
for D+A/BPO compared with ISO had treatment-related, medically relevant adverse
events (33 events in 18.0% of subjects vs. 73 in 33.8% of subjects,
respectively). D+A/BPO was noninferior to ISO in the intent-to-treat population
[95% confidence interval (CI) -2.7 to 20.8 (P = 0.13); 63.9% vs. 54.9% of
subjects, respectively] and per-protocol population [95% CI 3.9-28.6 (P = 0.01);
74.3% vs. 58% of subjects, respectively), based on the composite efficacy/safety
end point. CONCLUSIONS: D+A/BPO showed a favourable composite efficacy/safety
profile compared with ISO. This combination is an alternative to ISO in patients
intolerant to, or unable or unwilling to take, oral ISO, and is an option for
treatment of severe nodular acne.
PMID- 24934965
TI - Autophagy: basic principles and relevance to transplant immunity.
AB - Autophagy developed into a rapidly expanding field detailing its molecular
mechanism and relevance in health and disease. Autophagy is an evolutionarily
conserved process that summarizes a pathway in which intracellular material is
degraded within the lysosome and where the macromolecular constituents are
recycled. This "self-eating" process was originally described in a cell under
starvation but now numerous studies established autophagy as a cellular response
to stress. As a consequence, the autophagy machinery interfaces with most
cellular stress-response pathways, including those involved in controlling immune
response and inflammation. Autophagy also influences adaptive immunity through
its effect on antigen presentation, naive T cell repertoire selection and
homeostasis and TH cell polarization. Data are emerging that dysregulated
autophagy has an impact on human pathologies including infectious diseases,
cancers, aging and neurodegenerative conditions. This review focuses on recent
findings elucidating the ability of autophagy to be of significance in the
transplant setting.
PMID- 24934966
TI - Cancer research provides a good economic return as well as health gains, report
says.
PMID- 24934967
TI - Differential proteomics study of platelets in asymptomatic constitutional
macrothrombocytopenia: altered levels of cytoskeletal proteins.
AB - OBJECTIVES: Harris platelet syndrome (HPS), also known as asymptomatic
constitutional macrothrombocytopenia (ACMT), is an autosomal dominant platelet
disorder characterized by mild-to-severe thrombocytopenia and giant platelets
with normal platelet aggregation and absence of bleeding symptoms. We have
attempted a comparative proteomics study for profiling of platelet proteins in
healthy vs. pathological states to discover characteristic protein expression
changes in macrothrombocytes and decipher the factors responsible for the
functionally active yet morphologically distinct platelets. METHODS: We have used
2-D gel-based protein separation techniques coupled with MALDI-ToF/ToF-based mass
spectrometric identification and characterization of the proteins to investigate
the differential proteome profiling of platelet proteins isolated from the
peripheral blood samples of patients and normal volunteers. RESULTS AND
CONCLUSION: Our study revealed altered levels of actin-binding proteins such as
myosin light chain, coactosin-like protein, actin-related protein 2/3 complex,
and transgelin2 that hint toward the cytoskeletal changes necessary to maintain
the structural and functional integrity of macrothrombocytes. We have also
observed over expressed levels of peroxiredoxin2 that signifies the prevailing
oxidative stress in these cells. Additionally, altered levels of protein
disulfide isomerase and transthyretin provide insights into the measures adapted
by the macrothrombocytes to maintain their normal functional activity. This first
proteomics study of platelets from ACMT may provide an understanding of the
structural stability and normal functioning of these platelets in spite of their
large size.
PMID- 24934968
TI - Biosynthesis of gold nanoparticles using Sargassum swartzii and its cytotoxicity
effect on HeLa cells.
AB - In this investigation, biological synthesis of gold nanoparticles (AuNPs) using
Sargassum swartzii and its cytotoxicity against human cervical carcinoma (HeLa)
cells is reported. The biological synthesis involved the reduction of chloroauric
acid led to the formation of AuNPs within 5min at 60 degrees C and the formation
of AuNPs was confirmed using UV-vis spectrophotometer. The AuNPs were stable;
spherical in shape with well-defined dimensions, and the average size of the
particle is 35nm. A zeta potential value of -27.6mV revealed synthesized AuNPs
were highly stable. The synthesized AuNPs exhibited a dose-dependent cytotoxicity
against human cervical carcinoma (HeLa) cells. Furthermore, induction of
apoptosis was measured by DAPI (4',6-Diamidino-2-phenylindole dihydrochloride)
staining.
PMID- 24934969
TI - Comparative study for determination of some polycyclic aromatic hydrocarbons
'PAHs' by a new spectrophotometric method and multivariate calibration coupled
with dispersive liquid-liquid extraction.
AB - A modified dispersive liquid-liquid extraction (DLLE) procedure coupled with
spectrophotometric techniques was adopted for simultaneous determination of
naphthalene, anthracene, benzo(a)pyrene, alpha-naphthol and beta-naphthol in
water samples. Two different methods were used, partial least-squares (PLS)
method and a new derivative ratio method, namely extended derivative ratio (EDR).
A PLS-2 model was established for simultaneous determination of the studied
pollutants in methanol, by using twenty mixtures as calibration set and five
mixtures as validation set. Also, in methanol a novel (EDR) method was developed
for determination of the studied pollutants, where each component in the mixture
of the five PAHs was determined by using a mixture of the other four components
as divisor. Chemometric and EDR methods could be also adopted for determination
of the studied PAH in water samples after transferring them from aqueous medium
to the organic one by utilizing dispersive liquid-liquid extraction technique,
where different parameters were investigated using a full factorial design. Both
methods were compared and the proposed method was validated according to ICH
guidelines and successfully applied to determine these PAHs simultaneously in
spiked water samples, where satisfactory results were obtained. All the results
obtained agreed with those of published methods, where no significant difference
was observed.
PMID- 24934970
TI - Turn-on electrochemiluminescence sensing of Cd(2+) based on CdTe quantum dots.
AB - A simple and sensitive method for the detection of cadmium ion was proposed based
on the electrochemiluminescence (ECL) of thioglycolic acid capped-CdTe quantum
dots (CdTe QDs). The ECL of CdTe QDs was firstly quenched by introduction of
S(2)(-) and was restored due to following addition of Cd(2+), on the basis of
which, a "turn-on" ECL method for the detection of Cd(2+) was demonstrated. The
ECL of CdTe QDs exhibited linear response toward Cd(2+) concentration in the
range from 6.3nM to 3.4MUM (R=0.999) with a detection limit of 2.1nM. The
proposed assay was simple, sensitive, selective, and practicable in real water
samples.
PMID- 24934972
TI - Synthesis, characterization and spectroscopic behavior of novel 2-oxo-1,4
disubstituted-1,2,5,6-tetrahydrobenzo[h]quinoline-3-carbonitrile dyes.
AB - Two synthetic pathways were adopted to synthesize the target 2-oxo-1,4
disubstituted-1,2,5,6-tetrahydro-benzo[h]quinoline-3-carbonitriles. Structure of
the synthesized compounds has been characterized based on FT-IR, (1)H NMR, (13)C
NMR and elemental analyses. UV-Vis and fluorescence spectroscopy measurements
provided that all compounds are good absorbent and fluorescent. Fluorescence
polarity study demonstrated that these compounds were sensitive to the polarity
of the microenvironment provided by different solvents. In addition,
spectroscopic and physicochemical parameters, including singlet absorption,
extinction coefficient, Stokes shift, oscillator strength and dipole moment were
investigated in order to explore the analytical potential of synthesized
compounds.
PMID- 24934971
TI - Self-assembly of Terbium(III)-based metal-organic complexes with two-photon
absorbing active.
AB - Hybrid complexes based on D-pi-A type dyes p-aminostyryl-pyridinum and
Terbium(III) complex anion (1, 2) have been synthesized by ionic exchange
reaction. Meanwhile two different alkyl-substituted amino groups were used as
electron donors in organic dyes cations. The synthesized complexes were
characterized by element analysis. In addition, the structural features of them
were systematic studied by single crystal X-ray diffraction analysis. Their
linear properties have been systematically investigated by absorption spectra and
fluorescence, the results show that the energy transfer takes place from the
trans-4-[4'-(N,N-diethylamino)styryl]-N-methyl pyridinium (2') cation to Tb(III).
In addition, complex 2 exhibit a large two-photon absorption coefficient beta:
0.044cm/GW at 710nm.
PMID- 24934973
TI - Synthesis and characterization of metal complexes of Schiff base ligand derived
from imidazole-2-carboxaldehyde and 4-aminoantipyrine.
AB - The Co(II), Ni(II), Cu(II) and Zn(II) complexes of the Schiff base derived from
imidazole-2-carboxaldehyde and 4-aminoantipyrine were synthesized. These
compounds were characterized by elemental analysis, IR, mass, (1)H NMR,
electronic spectra, magnetic moment, molar conductance, thermal analysis, powder
XRD and SEM. The analytical data show that the metal to ligand ratio is 1:1. The
IR results show that the ligand acts as a bidentate donor coordinating through
the azomethine nitrogen and imidazole nitrogen atoms. From the electronic spectra
and magnetic moment value predicts the geometry of the complexes. The surface
morphology of the compounds was studied by SEM. The compounds were screened for
their antibacterial activity and antifungal activity using Kirby Bayer disc
diffusion method. The DNA cleavage and superoxide dismutase activities of the
compounds were investigated. The anticancer activities of the complexes have been
carried out towards HeLa and HCT116 cancer cells.
PMID- 24934974
TI - Detection of perchlorate using Ag/DMAH(+) SERS-active capture matrices.
AB - In this communication, the fabrication of SERS-active capture matrices for the
detection of perchlorate is described. The amine groups of amine-modified
magnetic microparticles were used to immobilize silver colloidal particles. Once
immobilized, the silver was reacted with dimethylaminoethanethiol hydrochloride
(DMAH(+)Cl(-)) to form a self-assembled monolayer (SAM). The DMAH(+) SAM exhibits
reasonably good selectivity for perchlorate. It was shown that calibration curves
could be generated by ratioing the perchlorate peak with a DMAH(+) peak that did
not change upon interaction with the perchlorate ion. Flow experiments, using
Ag/DMAH(+) capture matrices held in place by a magnet, showed instantaneous
response to changes in perchlorate concentration. The use of solid phase
extraction (SPE) to eliminate chloride ion interference was explored.
PMID- 24934975
TI - FT-IR, FT-Raman and UV spectroscopic investigation, electronic properties,
electric moments, and NBO analysis of anethole using quantum chemical
calculations.
AB - FT-IR and FT-Raman spectra of anethole (1-Methoxy-4-(1-propenyl)benzene), a
flavoring agent of commercial value, have been recorded in the regions 4000-400
and 4000-100cm(-1) respectively. The structure of the title molecule has been
optimized and the structural parameters have been calculated by DFT/B3LYP method
with 6-311++G(d,p) basis set. The fundamental vibrational wavenumbers as well as
their intensities were calculated and a good agreement between observed and
scaled calculated wavenumbers has been achieved. UV-Vis spectrum of the title
compound was recorded in the region 200-500nm and the electronic properties such
as HOMO and LUMO energies and associated energy gap were calculated by Time
dependent-density functional theory (TD-DFT) approach. Nonlinear optical (NLO)
study divulges the nonlinear properties of the molecule. Stability of the title
molecule arising from hyper-conjugative interactions and charge delocalization
has been investigated using natural bond orbital (NBO) analysis. The theoretical
results were found to be in coherence with the measured experimental data.
PMID- 24934976
TI - Metabolic profiling of isomeric aglycones central-icaritin (c-IT) and icaritin
(IT) in osteoporotic rats by UPLC-QTOF-MS.
AB - The isomers, although of similarly chemical structures, have different
pharmacological activities due to their metabolic processes in vivo. Central
icaritin (c-IT) and icaritin (IT) are isomers and major bioactive aglycones of
the Herba Epimedii. In this study, we found that the anti-osteoporotic effect of
c-IT was stronger than IT on bone structural changes in osteoporotic rats
evaluated by Micro-MUCT with the parameters of bone mineral density (BMD), bone
mineral content (BMC), tissue mineral content (TMC), and tissue mineral density
(TMD). c-IT treatment significantly increased the bone microarchitecture,
compared with IT (p < 0.05). In order to explain their differences in anti
osteoporosis, the metabolic profiling and pathways of c-IT and IT in the plasma,
bile, urine, and faeces of ovariectomized (OVX) rats were investigated by ultra
performance liquid chromatography quadrupole time of flight mass spectrometry
(UPLC-QTOF-MS) after oral administration of c-IT or IT (80 mg/kg). Finally, 59
metabolites of c-IT and 43 metabolites of IT were identified by elucidating their
corresponding quasimolecular ions and fragment ions. IT could be quickly absorbed
into blood and reached a maximum plasma concentration, and then be rapidly
conversed to its glucuronidation metabolites, most of which were excreted out by
urine. Interestingly, the absorbed and conjugated speeds of c-IT were slower than
IT. The metabolic processes of c-IT existed enterohepatic circulation, which
decreased the metabolism and excretion rate of c-IT, and prolonged the anti
osteoporosis effect. Our findings provided evidence on the difference on
metabolic profiles of c-IT and IT in osteoporotic rats, which might shed new
lights on improving anti-osteoporotic effects of IT and c-IT.
PMID- 24934977
TI - Preoperative beta-blocker use in coronary artery bypass grafting surgery:
national database analysis.
AB - IMPORTANCE: Use of preoperative beta-blockers has been associated with a
reduction in perioperative mortality for patients undergoing coronary artery
bypass grafting (CABG) surgery in observational research studies, which led to
the adoption of preoperative beta-blocker therapy as a national quality standard.
OBJECTIVE: To determine whether preoperative beta-blocker use within 24 hours of
CABG surgery is associated with reduced perioperative mortality in a contemporary
sample of patients. DESIGN, SETTING, AND PARTICIPANTS: Retrospective analysis of
the Society of Thoracic Surgeons National Adult Cardiac database for 1107
hospitals performing cardiac surgery in the United States from January 1, 2008,
through December 31, 2012. Participants included 506,110 patients 18 years and
older undergoing nonemergent CABG surgery who had not experienced a myocardial
infarction in the prior 21 days or any other high-risk presenting symptom. We
used logistic regression and propensity matching with a greedy 5-to-1 digit
matching algorithm to examine the association between beta-blocker use and the
main outcomes of interest. EXPOSURES: Preoperative beta-blocker use. MAIN
OUTCOMES AND MEASURES: Incidence of perioperative mortality, permanent stroke,
prolonged ventilation, any reoperation, renal failure, deep sternal wound
infection, and atrial fibrillation. RESULTS: Among the 506,110 patients
undergoing CABG surgery who met the inclusion criteria, 86.24% received
preoperative beta-blockers within 24 hours of surgery. In propensity-matched
analyses that included 138,542 patients, we found no significant difference
between patients who did and did not receive preoperative beta-blockers in rates
of operative mortality (1.12% vs 1.17%; odds ratio [OR], 0.96 [95% CI, 0.87
1.06]; P = .38), permanent stroke (0.97% vs 0.98%; OR, 0.99 [95% CI, 0.89-1.10];
P = .81), prolonged ventilation (7.01% vs 6.86%; OR, 1.02 [95% CI, 0.98-1.07]; P
= .26), any reoperation (3.60% vs 3.69%; OR, 0.97 [95% CI, 0.92-1.03]; P = .35),
renal failure (2.33% vs 2.24%; OR, 1.04 [95% CI, 0.97-1.11]; P = .30), and deep
sternal wound infection (0.29% vs 0.34%; OR, 0.86 [95% CI, 0.71-1.04]; P = .12).
However, patients who received preoperative beta-blockers within 24 hours of
surgery had higher rates of new-onset atrial fibrillation when compared with
patients who did not (21.50% vs 20.10%; OR, 1.09 [95% CI, 1.06-1.12]; P < .001).
Results of logistic regression analyses were broadly consistent. CONCLUSIONS AND
RELEVANCE: Preoperative beta-blocker use among patients undergoing nonemergent
CABG surgery who have not had a recent myocardial infarction was not associated
with improved perioperative outcomes.
PMID- 24934978
TI - Interleukin-6 deletion in mice driven by aP2-Cre-ERT2 prevents against high-fat
diet-induced gain weight and adiposity in female mice.
AB - AIM: Interleukin-6 (IL-6) is a major cytokine controlling body weight and
metabolism, but because many types of cells can synthesize and respond to IL-6
considerable uncertainty still exists about the mechanisms underlying IL-6
effects. Therefore, the aim of this study was to analyse the effects of tissue
specific deletion of IL-6 using a fatty acid binding protein (aP2) promoter-Cre
inducible system (aP2-Cre-ERT2). METHODS: Tissue-specific IL-6 KO mice (aP2-IL-6
KO mice) were produced upon tamoxifen administration and were fed a high-fat diet
(HFD, 58.4% kcal from fat) or a control diet (18%) for 14 weeks. RESULTS: aP2-IL
6 KO female mice on a HFD gained less weight and adiposity than littermate wild
type mice, but these effects were not observed in males. Hypothalamic factors
such as NPY and AgRP showed a pattern of expression consistent with this sex
specific phenotype. PGC-1alpha expression was increased in several tissues in aP2
IL-6 KO female mice, which is compatible with increased energy expenditure. Serum
leptin, insulin, glucose, cholesterol and triglycerides levels were increased by
HFD, and in females IL-6 deficiency reversed this effect in the case of insulin
and cholesterol. HFD induced impaired responses to insulin and glucose tolerance
tests, but no significant differences between genotypes were observed.
CONCLUSION: The present results demonstrate that deletion of IL-6 driven by aP2
Cre regulates body weight, body fat and metabolism in a sex-specific fashion.
PMID- 24934980
TI - Should the patent system for pharmaceuticals be replaced? A theoretical approach.
AB - This paper acknowledges the difficulties of providing access to innovative drugs
in some jurisdictions under the patent system and it contributes to the current
debate on mechanisms aimed at facilitating such access. We employ a highly
stylized static model of two markets (North and South) to analyse the conditions
under which a new system based on royalty payments would be preferred to a patent
system for pharmaceuticals. In the welfare calculations we have considered
explicitly the influence of marketing activities by the patent owner as well as
the shadow price of public funds needed to finance the royalties. The bargaining
power of the firm in terms of obtaining higher compensation is also considered.
The result: are not unambiguously conclusive being heavily dependent on the
relevant values of the parameters. Nevertheless, it seems that for realistic
parameter values, the new system could be preferred by all the parties involved.
PMID- 24934979
TI - Functional characterization of histamine H4 receptor on human mast cells.
AB - Among the four different types of histamine receptors (H1-H4), H4R is
predominantly expressed in immune cells and involved in immunomodulatory
response. Here, in this study we determined the expression of H4R in human mast
cells (HMC-1, LAD-2 and primary cord blood derived CD34+ human mast cells) and
characterized its functional properties. Interestingly, we found that human mast
cells responded to both histamine (natural ligand) and 4-methylhistamine
(selective H4R agonist) for sustained intracellular calcium mobilization,
degranulation and cytokine production. However, only histamine induced the
release of cAMP, but 4-methylhistamine down regulates cAMP indicating that H4R
mediates its effect through Galphai/o protein and H1R via Galphaq protein.
Furthermore, both histamine and 4-methylhistamine induced the production of
cysteinyl leukotrienes and LTB4. Using human inflammation antibody array
membrane, we found that H4R induced the expression of various inflammatory
proteins, involving pro-inflammatory cytokines and chemokines and these are TGF
beta1, TNF-alpha, TNF-beta, PDGF-BB, TIMP-2, M-CSF, IP-10, IL-16, IL-6, IL-3, IL
10, MIP-1alpha, IL-1alpha, ICAM-1, Eotaxin-2, RANTES, IL-8, MCP-1, and IL-6sR. We
also quantified the level of various inflammatory cytokines produced by human
mast cells through H4R. It was observed that, the production level of Th2
cytokines IL-4(401.34 pg/ml), IL-5 (64.21 pg/ml) and IL-13 (1044 pg/ml) and
classical proinflammatory cytokines IL-6 (221.27 pg/ml) and IL-1beta (34.24
pg/ml) and chemokines MCP-1(106 pg/ml) and IL-8 (818.32 pg/ml). Furthermore,
activation of H4R caused the phosphorylation of ERK and PI3K in a time dependent
manner. Taken together these data demonstrate that, the activation of H4R in
human mast cells produced not only inflammatory mediators that are associated
with allergic reactions but also other inflammatory conditions.
PMID- 24934982
TI - The combined effect of physical, psychosocial/organisational and/or environmental
risk factors on the presence of work-related musculoskeletal symptoms and its
consequences.
AB - This study assessed the combined effect of physical and
psychosocial/organisational and/or environmental factors on the presence of
musculoskeletal symptoms (MSS) and its consequences (reduced activities and
absenteeism due to MSS) in a random sample of 3003 workers in New Zealand. By
telephone interview, participants reported their current workplace exposures and
MSS (neck/shoulder, arm/elbow, wrist and low back) and its consequences. Data
were analysed using multivariable logistic regression. Combined exposure to
physical and psychosocial/organisational and/or environmental factors increased
the odds of MSS in the neck/shoulder (OR 3.14, 95% CI 1.79-5.52), arms/elbow
regions (OR 4.14, 95% CI 2.21-7.76) and low back (OR 1.74, 95% CI 1.28-2.37) and
its consequences, i.e. reduced activities due to neck/shoulder symptoms (OR 5.45,
95% CI 2.28-13.00), absenteeism due to neck/shoulder symptoms (OR 5.19, 95% CI
2.24-12.01) and absenteeism due to low back symptoms (OR 4.37, 95% CI 2.92-6.53).
In contrast, favourable psychosocial/organisational work conditions reduced the
odds of wrist symptoms due to poor physical work conditions (OR 2.19, 95% CI 1.44
3.34). We conclude that to reduce MSS and its consequences, employers need to
adopt a multifaceted approach: concentrate on improving physical conditions as
well as the psychosocial/organisational and environmental aspects of the working
environment.
PMID- 24934981
TI - Quantitative group testing-based overlapping pool sequencing to identify rare
variant carriers.
AB - BACKGROUND: Genome-wide association studies have revealed that rare variants are
responsible for a large portion of the heritability of some complex human
diseases. This highlights the increasing importance of detecting and screening
for rare variants. Although the massively parallel sequencing technologies have
greatly reduced the cost of DNA sequencing, the identification of rare variant
carriers by large-scale re-sequencing remains prohibitively expensive because of
the huge challenge of constructing libraries for thousands of samples. Recently,
several studies have reported that techniques from group testing theory and
compressed sensing could help identify rare variant carriers in large-scale
samples with few pooled sequencing experiments and a dramatically reduced cost.
RESULTS: Based on quantitative group testing, we propose an efficient overlapping
pool sequencing strategy that allows the efficient recovery of variant carriers
in numerous individuals with much lower costs than conventional methods. We used
random k-set pool designs to mix samples, and optimized the design parameters
according to an indicative probability. Based on a mathematical model of
sequencing depth distribution, an optimal threshold was selected to declare a
pool positive or negative. Then, using the quantitative information contained in
the sequencing results, we designed a heuristic Bayesian probability decoding
algorithm to identify variant carriers. Finally, we conducted in silico
experiments to find variant carriers among 200 simulated Escherichia coli
strains. With the simulated pools and publicly available Illumina sequencing
data, our method correctly identified the variant carriers for 91.5-97.9%
variants with the variant frequency ranging from 0.5 to 1.5%. CONCLUSIONS: Using
the number of reads, variant carriers could be identified precisely even though
samples were randomly selected and pooled. Our method performed better than the
published DNA Sudoku design and compressed sequencing, especially in reducing the
required data throughput and cost.
PMID- 24934983
TI - Effects of portable computing devices on posture, muscle activation levels and
efficiency.
AB - Very little research exists on ergonomic exposures when using portable computing
devices. This study quantified muscle activity (forearm and neck), posture
(wrist, forearm and neck), and performance (gross typing speed and error rates)
differences across three portable computing devices (laptop, netbook, and slate
computer) and two work settings (desk and computer) during data entry tasks.
Twelve participants completed test sessions on a single computer using a test
rest-test protocol (30min of work at one work setting, 15min of rest, 30min of
work at the other work setting). The slate computer resulted in significantly
more non-neutral wrist, elbow and neck postures, particularly when working on the
sofa. Performance on the slate computer was four times less than that of the
other computers, though lower muscle activity levels were also found. Potential
or injury or illness may be elevated when working on smaller, portable computers
in non-traditional work settings.
PMID- 24934985
TI - Undetectable hepatitis C virus RNA during syphilis infection in two HIV/HCV-co
infected patients.
AB - BACKGROUND: Treponema pallidum, the causative agent of syphilis, elicits a
vigorous immune response in the infected host. This study sought to describe the
impact of syphilis infection on hepatitis C virus (HCV) RNA levels in patients
with HIV and chronic HCV infection. METHODS: Patients with chronic HIV/HCV and
syphilis co-infection were identified by their treating physicians from 1 October
2010 to 31 December 2013. Stored plasma samples obtained before, during, and
after syphilis infection were analysed for interleukin (IL)-2, IL-4, IL-6, IL-8,
IL-10, tumour necrosis factor alpha (TNF-alpha), interferon gamma (IFN-gamma),
and IFN-gamma-inducible protein 10 kDa (IP-10). RESULTS: Undetectable HCV RNA at
the time of early latent syphilis infection was observed in 2 patients with HIV
and chronic HCV infection. After treatment of the syphilis infection, HCV RNA
levels increased again in patient 1, whereas patient 2 initiated HCV therapy and
remained HCV RNA-negative. Available plasma samples obtained before and after the
episode with undetectable HCV RNA were phylogenetically identical, making the
possibility of spontaneous clearance and HCV reinfection less likely. The IL-10,
TNF-alpha, and IP-10 levels increased at the time of syphilis diagnosis in
patient 1 and decreased again after treatment of the syphilis infection.
CONCLUSIONS: We propose that T. pallidum-induced cytokine secretion resulted in
an immune response hindering HCV replication during syphilis infection. We
suggest that HIV/HCV-co-infected patients with unexpected undetectable HCV RNA
are tested for syphilis infection and that the serological tests include both non
treponemal and treponemal tests to avoid false-positive results caused by HCV.
PMID- 24934984
TI - Detection of serum antibodies to hepatitis E virus in domestic pigs in Italy
using a recombinant swine HEV capsid protein.
AB - BACKGROUND: The hepatitis E virus (HEV) has been detected in both humans and
animals, particularly pigs, worldwide. Several evidences, including human
infection following consumption of raw contaminated meat, suggest a zoonotic
transmission of HEV. In Italy, large circulation of genotype 3 HEV has been
reported in swine, and recent studies have confirmed the involvement of this
genotype in autochthonous human cases. RESULT: In this study 111 sera collected
from healthy pigs in two Italian regions were tested for anti-HEV IgG antibodies.
For specific HEV antibody detection in swine, we developed ELISA and Western
blotting methods, using a truncated capsid (ORF2) protein lacking the first 111
amino acids of a swine HEV genotype 3 strain. The ORF2-based ELISA revealed anti
HEV antibodies in 104 out of 111 pigs compared with 102 detected with a
commercial ELISA kit. A lower number of sera reacted with the recombinant ORF2
protein in a Western blotting format (81/111). Using a Latent class analysis
(LCA), the estimated sensitivities for ELISA-ORF2 and ELISA-kit tests were 0.961
and 0.936, respectively, whereas specificities were 0.599 and 0.475. The
estimated sensitivity of Western blotting was 0.775, and the specificity was
0.944. CONCLUSIONS: The overall results confirm the high prevalence of HEV
seropositive healthy pigs in Italy. Through comparisons with a commercial ELISA
test, the swine genotype 3 HEV antigen produced in this study was proven suitable
to detect anti-HEV antibodies in pig sera by both ELISA and Western Blotting.
PMID- 24934987
TI - Depressive symptoms are frequent among drug users, but not associated with
hepatitis C infection.
AB - AIM: To compare the prevalence and severity of depressive symptoms among drug
users with and without hepatitis C virus (HCV) infection. METHODS: This was a
cross-sectional survey study carried out at the 2 major drug treatment centres on
the island of Funen, Denmark. Participants were drug users presenting to the 2
treatment centres. Individuals with chronic hepatitis B virus or HIV infection
were excluded. Participants completed the Major Depression Inventory (MDI)
questionnaire when presenting at the centres. Patients with MDI scores indicating
severe depression (total MDI score >= 35) were referred for treatment evaluation.
Hepatitis C status was classified by the presence of anti-HCV as a marker of HCV
exposure and HCV-RNA as a marker of ongoing infection. RESULTS: Two hundred and
sixty-eight patients were included, of whom 235 (88%) had complete serological
testing; 100 (43%, 95% confidence interval (CI) 36-49%) had chronic hepatitis C.
The median MDI score was 22 (interquartile range 12-33); 32% (95% CI 26-39%) had
a score compatible with depression and 14% (95% CI 10-19%) were rated as severe
depression. Depression was not associated with hepatitis C (HCV-infected 29%, non
infected 35%; p = 0.25). Forty-one percent (11/27) of the evaluated participants
started antidepressant treatment. CONCLUSIONS: Our study demonstrated a high
prevalence of depressive symptoms among drug users, but this was not more
frequent among HCV-infected patients. The high overall prevalence of depression
underlines the relevance of screening for depression in patients who are drug
users.
PMID- 24934986
TI - Comparison of two durations of triple-drug therapy in patients with uncomplicated
brucellosis: A randomized controlled trial.
AB - BACKGROUND: Brucellosis remains the most common zoonotic disease throughout the
world and especially in Iran. Several clinical trials have tested different
therapeutic regimens for brucellosis, but few have assessed the optimal duration
of treatment. METHODS: We performed a randomized controlled trial to compare a
triple-drug regimen of doxycycline plus rifampicin for 6 weeks and streptomycin
for the first 7 days with doxycycline plus rifampicin for 8 weeks and
streptomycin for 7 days in patients with uncomplicated brucellosis in Arak, Iran.
The primary outcome measure for the treatment groups was the relapse rate
measured at 1, 3, 6, 12, and 24 months after cessation of therapy. RESULTS:
Eligible patients were randomized to one of the 2 groups with 72 per arm. We
found no significant difference in the relapse rate for the 8-week treatment
group compared to the 6-week group (9.7% vs 13.9%). There were no significant
differences between the 6-week and 8-week groups regarding the relapse rate,
period between clinical presentation and beginning of treatment, and time of
relapse. Symptom resolution was achieved in all cases at a median 9.5 days and no
cases experienced continuing symptoms after treatment. CONCLUSIONS: Our trial
found no significant difference between 6-week and 8-week regimens of doxycycline
and rifampicin plus streptomycin for the first 7 days. Further comparative
studies with a large sample size should be implemented to achieve a consistent
therapeutic regimen for uncomplicated brucellosis, to help identify those who may
benefit from longer treatment, and to minimize adverse effects and unnecessary
continuation of treatment.
PMID- 24934988
TI - Corynebacterium minutissimum vascular graft infection: case report and review of
281 cases of prosthetic device-related Corynebacterium infection.
AB - Corynebacterium spp. have proven their pathogenic potential in causing
infections, particularly in the setting of immunosuppression and prosthetic
devices. We conducted a PubMed literature review of all cases of Corynebacterium
prosthetic device infections published in the English language through December
2013. The majority of cases involved peritoneal dialysis and central venous
catheters, but prosthetic joints and central nervous system shunts/drains were
also involved. The management of these cases in terms of retention or removal of
the device was not uniform; however, the overall mortality remained the same
among both groups. All of these prosthetic device infections pose potential
problems in management when the device cannot be removed safely for the patient,
especially with the lack of data on the pathogenicity of Corynebacterium species.
However with better identification of species and sensitivities, successful
treatment is possible even with retention of the device.
PMID- 24934989
TI - Need for improvements in the surveillance and management of chronic viral
hepatitis in HIV patients followed in a Danish outpatient clinic.
AB - OBJECTIVES: The aim of this study was to assess hepatitis B virus (HBV) and
hepatitis C virus (HCV) surveillance and management in HIV patients currently
followed in an outpatient clinic at a Danish University Hospital. METHODS:
Patient data, including demographic characteristics, clinical findings, and
hepatitis serology, were reviewed at baseline. Patients with incomplete or non
updated serology within the last 2 y were retested in the next 6 months, and the
results were reviewed again at follow-up. RESULTS: At baseline, 84% and 74% of
the 574 HIV patients were found to have incomplete and/or non-updated HBV and HCV
serology, respectively. At follow-up, updated HBV serology was achieved in 535
(93%) patients; 15 (3%) patients were found to have a chronic active infection
and 156 (27%) had a resolved infection, whereas 65 (11%) were vaccinated against
HBV and 299 (52%) were non-immune. No patients were found to have developed
chronic HBV infection following HIV diagnosis (equal to 3649 patient-y). Updated
HCV serology revealed that 25 (4%) had a chronic active HCV infection and 15 (3%)
had a resolved HCV infection. The anti-HCV incidence rate was 0.27/100 patient-y.
A liver pathology assessment had not been performed within the last 2 y in 80% of
the HBV and 32% of the HCV co-infected patients. CONCLUSIONS: Hepatitis screening
and assessment had been inadequately performed. New cases of chronic hepatitis
seem to occur infrequently. However, a more proactive hepatitis surveillance and
management strategy integrated into the overall HIV health care program is
warranted.
PMID- 24934990
TI - Molecular analysis of the rpsL gene for rapid detection of streptomycin-resistant
Mycobacterium tuberculosis: a meta-analysis.
AB - BACKGROUND: Drug-resistant Mycobacterium tuberculosis (MTB) is a major threat to
tuberculosis (TB) control programs and public health. Most conventional methods
of drug susceptibility testing (DST) are precise but time-consuming. Molecular
analysis of the rpsL gene has been used widely in diagnosing streptomycin
resistant MTB since it is rapid and specific. The aim of the present study was to
perform a meta-analysis to assess the accuracy of molecular assay of the rpsL
gene for the rapid detection of streptomycin-resistant MTB. METHODS: We searched
PubMed, Web of Science, and EBSCO databases for studies that applied a molecular
assay of the rpsL gene to detect streptomycin-resistant MTB with a conventional
method as the reference. The sensitivity and specificity were pooled by a random
effect model using Meta-DiSc software. A summary receiver operating
characteristic curve (SROC) was applied to summarize the diagnostic accuracy.
RESULTS: A total of 22 studies involving 2618 specimens with 1372 streptomycin
resistant and 1246 streptomycin-susceptible specimens met our inclusion criteria.
The overall sensitivity and specificity estimates were 0.64 (95% confidence
interval (CI) 0.61-0.66) and 1.00 (95% CI 0.99-1.00), respectively. The area
under the SROC curve was 0.9069 and the Cochrane (Q*) index was 0.8387.
CONCLUSIONS: This meta-analysis reveals that molecular assay of the rpsL gene is
a reliable and useful method for the detection of streptomycin-resistant MTB.
PMID- 24934991
TI - Circle-to-circle amplification on a digital microfluidic chip for amplified
single molecule detection.
AB - We demonstrate a novel digital microfluidic nucleic acid amplification concept
which is based on padlock probe mediated DNA detection and isothermal circle-to
circle amplification (C2CA). This assay platform combines two digital approaches.
First, digital microfluidic manipulation of droplets which serve as micro
reaction chambers and shuttling magnetic particles between these droplets
facilitates the integration of complex solid phase multistep assays. We
demonstrate an optimized novel particle extraction and transfer protocol for
superparamagnetic particles on a digital microfluidic chip that allows for nearly
100% extraction efficiencies securing high assay performance. Second, the
compartmentalization required for digital single molecule detection is solved by
simple molecular biological means, circumventing the need for complex
microfabrication procedures necessary for most, if not all, other digital nucleic
acid detection methods. For that purpose, padlock probes are circularized in a
strictly target dependent ligation reaction and amplified through two rounds of
rolling circle amplification, including an intermediate digestion step. The
reaction results in hundreds of 500 nm sized individually countable DNA
nanospheres per detected target molecule. We demonstrate that integrated
miniaturized digital microfluidic C2CA results in equally high numbers of C2CA
products MUL(-1) as off-chip tube control experiments indicating high assay
performance without signal loss. As low as 1 aM synthetic Pseudomonas aeruginosa
DNA was detected with a linear dynamic range over 4 orders of magnitude up to 10
fM proving excellent suitability for infectious disease diagnostics.
PMID- 24934992
TI - Novel pyrazoline derivatives as bi-inhibitor of COX-2 and B-Raf in treating
cervical carcinoma.
AB - Twenty four pyrazoline derivatives modified from Celecoxib were designed and
synthesized as bi-inhibitor of COX-2 and B-Raf. They were evaluated for their COX
1/COX-2/B-Raf inhibitory and anti-proliferation activities. Compound A3 displayed
the most potent activity against COX-2 and HeLa cell line (IC50=0.008 MUM;
GI50=19.86 MUM) and showed superb COX-1/COX-2 selectivity (>500), being more
potent and selective than positive control Celecoxib or 5-fluorouracil. Compounds
A5 and B5 were introduced best B-Raf inhibitory activities (IC50=0.15 MUM and
0.12 MUM, respectively). Compound A4 retained superb bioactivity against COX-2
and HeLa cell line (IC50=0.015 MUM; GI50=23.82 MUM) and displayed moderate B-Raf
inhibitory activity (IC50=3.84 MUM). Docking simulation was conducted to give
binding patterns. QSAR models were built using bioactivity data and optimized
conformations to provide a future modification of COX-2/B-Raf inhibitors.
PMID- 24934993
TI - Coumarin hybrids as novel therapeutic agents.
AB - Naturally occurring coumarins, having wide spectrum of activities such as
antioxidant, anti-inflammatory, anticancer, MAO-B inhibitory and antimicrobial,
are frequently used by the researchers to develop novel synthetic and
semisynthetic coumarin based therapeutic agents. Many of these agents are hybrid
molecules, which are designed through concept of molecular hybridization and have
shown multiple pharmacological activities. This multifunctional attribute of
these hybrid compounds makes them potential drug candidates for the treatment of
multifactorial diseases such as cancer, Alzheimer's disease, metabolic syndromes,
AIDS, malaria, and cardiovascular diseases. The present review compiles research
reports on development of different coumarin hybrids, classify these on the basis
of their therapeutic uses and propose structure-activity relationships. It is
intended to help medicinal chemist in designing and synthesizing novel and potent
hybrid compounds for the treatment of different disorders.
PMID- 24934994
TI - Bacterial community survey of Solenopsis invicta Buren (red imported fire ant)
colonies in the presence and absence of Solenopsis invicta virus (SINV).
AB - Insect bacterial symbionts contribute to many essential biological functions of
their hosts and can also influence host fecundity and fitness. The physiological
contribution symbionts provide can aid in immune response and xenobiotic
detoxification. Both of these immune factors can directly impact strategies aimed
at managing insect populations. One biological control strategy that shows
promise in insects is the use of single-stranded RNA viruses within the group
Dicistroviridae. The Solenopsis invicta Virus (SINV; Dicistroviridae), a ssRNA
virus, has been proposed as a potential biological control agent for the urban
pest S. invicta Buren or red imported fire ant (RIFA). SINV has been shown to be
prevalent in RIFA populations of Texas and Florida; however, mortality is
associated with high viral load. In other insect microbe systems, presence of
particular bacteria induced resistance against Dicistrovirus. If this type of
relationship is present in the RIFA-SINV system, their bacterial community could
reduce the effectiveness of SINV as a biological control system. The advantage of
454 pyro-sequencing is that it enables classification of unculturable bacteria.
This study examines the bacterial community in brood, workers, and reproductive
cast members from colonies with and without SINV infection. Manipulation of the
bacterial community may alter virus infection and replication within the mid-gut.
Understanding the differences in the microbial community of ant colonies may
provide insights that will refine current efforts designing control strategies
for this important urban pest.
PMID- 24934995
TI - 2,3-Dihydroxybenzoic acid electrospun into poly(D,L-lactide)
(PDLLA)/poly(ethylene oxide) (PEO) nanofibers inhibited the growth of Gram
positive and Gram-negative bacteria.
AB - Widespread emergence of antibiotic-resistant pathogens in recent years has
restricted the treatment options for various infectious diseases. Investigation
of alternative antimicrobial agents and therapies is thus of utmost importance.
Electrospinning of 50 mg/ml 2,3-dihydroxybenzoic acid (DHBA) into 24 % (w/v)
poly(D,L-lactide) (PDLLA) and poly(ethylene oxide) (PEO) (1:1) produced
nanofibers with an average diameter of 401 +/- 122 nm. DHBA released from the
nanofibers (315 +/- 0.04 ug/ml within 2 h) inhibited the growth of Pseudomonas
aeruginosa Xen 5, Klebsiella pneumoniae Xen 39, Escherichia coli Xen 14,
Salmonella typhimurium Xen 26, and Staphylococcus aureus strains Xen 30, Xen 31,
and Xen 36. The reason for the rapid diffusion of DHBA from PEO:PDLLA may be due
to formation of hydrogen bonds between the hydroxyl groups of DHBA and the C=O
groups of the PDLLA. DHBA formed a strong interaction with PDLLA and increased
the thermal stability of the nanofiber mesh. The DHBA-containing nanofibers were
non-hemolytic, suggesting that they may be incorporated in the development of a
wound dressing.
PMID- 24934996
TI - Secondary brain abscess following simple renal cyst infection: a case report.
AB - BACKGROUND: Escherichia coli (E. coli) is the most common causative bacteria of
neonatal meningitis, but hematogenous intracranial E. coli infection is rare in
adults. Moreover, intracranial abscess formation owing to E. coli, including
brain abscesses and subdural empyema formation, is extremely rare. We herein
present a case involving a patient with a brain abscess owing to E. coli
following a simple renal cyst infection. A review of the literature is also
presented. CASE PRESENTATION: A 77-year-old Japanese woman with a history of
polymyalgia rheumatica was admitted to our hospital because of persistent fever,
right flank pain, and pyuria. Intravenous antibiotics were administered; however,
her level of consciousness deteriorated 6 days after admission. Contrast-enhanced
magnetic resonance imaging showed a brain abscess in the left occipital lobe and
pyogenic ventriculitis. Enhanced abdominal computed tomography revealed a right
renal cyst with heterogeneous content. Culture of urine, blood, and aspirated pus
from the infected cyst revealed E. coli with identical antibiotic sensitivity in
all sites, suggesting that the cyst infection and subsequent bacteremia might
have caused the brain abscess. The patient recovered after a 6-week course of
meropenem. CONCLUSION: The prognosis of patients with E. coli-associated
intracranial abscess is usually poor. Advanced age and immunosuppression may be
potent risk factors for intracranial abscess formation owing to the hematogenous
spread of E. coli.
PMID- 24934997
TI - Tuning bio-inspired skin-core structure of nascent fiber via interplay of polymer
phase transitions.
AB - The properties of polymer fibers are determined by their inner structures. We
performed dynamic Monte Carlo simulations of early-stage solidification in the
fluid filaments of stretched polymer solutions after extrusion into a coagulation
bath upon fiber spinning. We observed that the radial temperature gradient
dominates polymer crystallization to form an oriented crystalline skin (from
single to multiple layers), while the radial non-solvent influx dominates phase
separation to form a concentrated but less oriented core. The skin-core structure
offers fibers a balanced performance between strength and toughness similar to
plant stems, which can be tuned by the interplay of phase transitions. Our
molecular-level observations facilitate a systematic understanding of the
microscopic mechanism of fiber-spinning, which will pave a way towards making
excellent polymer fibers.
PMID- 24934998
TI - The subjectively perceived quality of postgraduate medical training in
integrative medicine within the public healthcare systems of Germany and
Switzerland: the example of anthroposophic hospitals.
AB - BACKGROUND: Integrative medicine (IM) integrates evidence-based Complementary and
Alternative Medicine (CAM) with conventional medicine (CON). Medical schools
offer basic CAM electives but in postgraduate medical training (PGMT) little has
been done for the integration of CAM. An exception to this is anthroposophic
medicine (AM), a western form of CAM based on CON, offering an individualized
holistic IM approach. AM hospitals are part of the public healthcare systems in
Germany and Switzerland and train AM in PGMT. We performed the first quality
evaluation of the subjectively perceived quality of this PGMT. METHODS: An
anonymous full survey of all 214 trainers (TR) and 240 trainees (TE) in all 15 AM
hospitals in Germany and Switzerland, using the ETHZ questionnaire for annual
national PGMT assessments in Switzerland (CH) and Germany (D), complemented by a
module for AM. Data analysis included Cronbach's alpha to assess internal
consistency questionnaire scales, 2-tailed Pearson correlation of specific
quality dimensions of PGMT and department size, 2-tailed Wilcoxon Matched-Pair
test for dependent variables and 2-tailed Mann-Whitney U-test for independent
variables to calculate group differences. The level of significance was set at p
< 0.05. RESULTS: Return rates were: D: TE 89/215 (41.39%), TR 78/184 (42.39%);
CH: TE 19/25 (76%), TR 22/30 (73.33%). Cronbach's alpha values for TE scales were
>0.8 or >0.9, and >0.7 to >0.5 for TR scales. Swiss hospitals surpassed German
ones significantly in Global Satisfaction with AM (TR and TE); Clinical
Competency training in CON (TE) and AM (TE, TR), Error Management, Culture of
Decision Making, Evidence-based Medicine, and Clinical Competency in internal
medicine CON and AM (TE). When the comparison was restricted to departments of
comparable size, differences remained significant for Clinical Competencies in AM
(TE, TR), and Culture of Decision Making (TE). CON received better grades than AM
in Global Satisfaction and Clinical Competency. Quality of PGMT depended on
department size, working conditions and structural training features. CONCLUSION:
The lower quality of PGMT in German hospitals can be attributed to larger
departments, more difficult working conditions, and less favorable structural
features for PGMT in AM, possibly also in relation to increased financial
pressure.
PMID- 24934999
TI - Regulation of nitrogenase by reversible mono-ADP-ribosylation.
AB - Posttranslational modification of proteins plays a key role in the regulation of
a plethora of metabolic functions. Protein modification by mono-ADP-ribosylation
was first described as a mechanism of action of bacterial toxins. Since these
pioneering studies, the number of pathways regulated by ADP-ribosylation in
organisms from all domains of life expanded significantly. However, in only a few
cases the full regulatory ADP-ribosylation circuit is known. Here, we review the
system where mono-ADP-ribosylation regulates the activity of an enzyme: the
regulation of nitrogenase in bacteria. When the nitrogenase product, ammonium,
becomes available, the ADP-ribosyltransferase (DraT) covalently links an ADP
ribose moiety to a specific arginine residue on nitrogenase switching-off
nitrogenase activity. After ammonium exhaustion, the ADP-ribosylhydrolase (DraG)
removes the modifying group, restoring nitrogenase activity. DraT and DraG
activities are reversibly regulated through interaction with PII signaling
proteins . Bioinformatics analysis showed that DraT homologs are restricted to a
few nitrogen-fixing bacteria while DraG homologs are widespread in Nature.
Structural comparisons indicated that bacterial DraG is closely related to
Archaea and mammalian ADP-ribosylhydrolases (ARH). In all available structures,
the ARH active site consists of a hydrophilic cleft carrying a binuclear Mg(2+)
or Mn(2+) cluster, which is critical for catalysis.
PMID- 24935001
TI - Lactoferrin for prevention of neonatal sepsis.
AB - Preterm neonates are at risk to acquire infections. In addition to the high
mortality associated with sepsis, these patients are at risk for long-term
disabilities, particularly neurodevelopment impairment. Several interventions
have been evaluated to reduce rates of infections in neonates but have not proven
efficacy. Lactoferrin (LF), a milk glycoprotein with anti-inflammatory,
immunomodulatory and anti-microbial properties, has the potential to prevent
infections in young children. We performed a review of current and ongoing
clinical trials of LF for prevention of neonatal sepsis, and found eleven
registered clinical trials that include more than 6,000 subjects. Few of these
trials have finished; despite their small sample size, the preliminary results
show a trend towards a positive protective effect of LF on neonatal infections.
Larger trials are underway to confirm the findings of these initial studies. This
information will help to define LF's role in clinical settings and, if proven
effective, would profoundly affect the treatment of low birth weight neonates as
a cost-effective intervention worldwide.
PMID- 24935000
TI - HDAC-inhibition counteracts everolimus resistance in renal cell carcinoma in
vitro by diminishing cdk2 and cyclin A.
AB - BACKGROUND: Targeted therapies have improved therapeutic options of treating
renal cell carcinoma (RCC). However, drug response is temporary due to resistance
development. METHODS: Functional and molecular changes in RCC Caki-1 cells, after
acquired resistance to the mammalian target of rapamycin (mTOR)-inhibitor
everolimus (Cakires), were investigated with and without additional application
of the histone deacetylase (HDAC)-inhibitor valproic acid (VPA). Cell growth was
evaluated by MTT assay, cell cycle progression and apoptosis by flow cytometry.
Target molecules of everolimus and VPA, apoptotic and cell cycle regulating
proteins were investigated by western blotting. siRNA blockade was performed to
evaluate the functional relevance of the proteins. RESULTS: Everolimus resistance
was accompanied by significant increases in the percentage of G2/M-phase cells
and in the IC50. Akt and p70S6K, targets of everolimus, were activated in Cakires
compared to drug sensitive cells. The most prominent change in Cakires cells was
an increase in the cell cycle activating proteins cdk2 and cyclin A. Knock-down
of cdk2 and cyclin A caused significant growth inhibition in the Cakires cells.
The HDAC-inhibitor, VPA, counteracted everolimus resistance in Cakires, evidenced
by a significant decrease in tumor growth and cdk2/cyclin A. CONCLUSION: It is
concluded that non-response to everolimus is characterized by increased
cdk2/cyclin A, driving RCC cells into the G2/M-phase. VPA hinders everolimus non
response by diminishing cdk2/cyclin A. Therefore, treatment with HDAC-inhibitors
might be an option for patients with advanced renal cell carcinoma and acquired
everolimus resistance.
PMID- 24935002
TI - Pretreating lignocellulosic biomass by the concentrated phosphoric acid plus
hydrogen peroxide (PHP) for enzymatic hydrolysis: evaluating the pretreatment
flexibility on feedstocks and particle sizes.
AB - In order to seek a high-efficient pretreatment path for converting
lignocellulosic feedstocks to fermentable sugars by enzymatic hydrolysis, the
concentrated H3PO4 plus H2O2 (PHP) was attempted to pretreat different
lignocellulosic biomass for evaluating the pretreatment flexibility on
feedstocks. Meanwhile, the responses of pretreatment to particle sizes were also
evaluated. When the PHP-pretreatment was employed (final H2O2 and H3PO4
concentration of 1.77% and 80.0%), 71-96% lignin and more than 95% hemicellulose
in various feedstocks (agricultural residues, hardwood, softwood, bamboo, and
their mixture, and garden wastes mixture) can be removed. Consequently, more than
90% glucose conversion was uniformly achieved indicating PHP greatly improved the
pretreatment flexibility to different feedstocks. Moreover, when wheat straw and
oak chips were PHP-pretreated with different sizes, the average glucose
conversion reached 94.9% and 100% with lower coefficient of variation (7.9% and
0.0%), which implied PHP-pretreatment can significantly weaken the negative
effects of feedstock sizes on subsequent conversion.
PMID- 24935003
TI - Microalgae harvesting by flotation using natural saponin and chitosan.
AB - This study aims to investigate the harvesting of microalgae by dispersed air
flotation (DiAF) using natural biosurfactant saponin as the collector and
chitosan as the flocculant. Two types of microalgae, Chlorella vulgaris and
Scenedesmus obliquus, were used in this study. It was observed that saponin was a
good frother, but not an effective collector when used alone for flotation
separation of algae. However, with the pre-flocculation of 5 mg/L of chitosan,
separation efficiency of >93% microalgae cells was found at 20 mg/L of saponin.
Removal efficiency of >54.4% and >73.0% was found for polysaccharide and protein,
respectively at 20 mg/L of saponin and chitosan each. Experimental results show
that DiAF using saponin and chitosan is effective for separation of microalgae,
and algogenic organic matter (AOM). It can potentially be applied in the
integrated microalgae-based biorefinery.
PMID- 24935004
TI - Co-pelletization of sewage sludge and biomass: the density and hardness of
pellet.
AB - In the present study, the effects of process parameters on pellet properties were
investigated for the co-pelletization of sludge and biomass materials. The
relaxed pellet density and Meyer hardness of pellets were identified. Scanning
electron microscopy, FT-IR spectra and chemical analysis were conducted to
investigate the mechanisms of inter-particular adhesion bonding.
Thermogravimetric analysis was applied to investigate the combustion
characteristics. Results showed that the pellet density was increased with the
parameters increasing, such as pressure, sludge ratio and temperature. High
hardness pellets could be obtained at low pressure, temperature and biomass size.
The optimal moisture content for co-pelletization was 10-15%. Moreover, the
addition of sludge can reduce the diversity of pellet hardness caused by the
heterogeneity of biomass. Increasing ratio of sludge in the pellet would slow
down the release of volatile. Synergistic effects of protein and lignin can be
the mechanism in the co-pelletization of sludge and biomass.
PMID- 24935005
TI - Investigation on thermochemical behavior of co-pyrolysis between oil-palm solid
wastes and paper sludge.
AB - The pyrolysis characteristics of oil-palm solid wastes, paper sludge and their
blends were studied via thermogravimetric analysis. Blends ranging from 10 wt.%
to 90 wt.% on dosage ratio were prepared to investigate their co-pyrolysis
behavior and kinetics. There was a synergistic interaction at low temperature
during co-pyrolysis between oil-palm solid wastes and paper sludge. The
synergistic interaction would improve thermochemical pyrolysis reactivity of the
blends, which could be attributed to the hydrogenation role and the potential
mineral catalytic effects on paper sludge pyrolysis. The value of average
activation energy obtained by Starink and Friedmen methods did not gradually
decline with the increasing proportion of oil-palm solid wastes in the blends.
The lowest average activation energy was achieved when the percentage of oil-palm
solid wastes was 70%, which was 152 kJ/mol by Starink and 149 kJ/mol by Friedmen,
respectively.
PMID- 24935006
TI - Improvement of power generation using Shewanella putrefaciens mediated bioanode
in a single chambered microbial fuel cell: effect of different anodic operating
conditions.
AB - Three different approaches were employed to improve single chambered microbial
fuel cell (sMFC) performance using Shewanella putrefaciens as biocatalyst.
Taguchi design was used to identify the key process parameter (anolyte
concentration, CaCl2 and initial anolyte pH) for maximization of volumetric
power. Supplementation of CaCl2 was found most significant and maximum power
density of 4.92 W/m(3) was achieved. In subsequent approaches, effect on power
output by riboflavin supplementation to anolyte and anode surface modification
using nano-hematite (Fe2O3) was observed. Volumetric power density was increased
by 44% with addition of 100 nM riboflavin to anolyte while with 0.8 mg/cm(2) nano
Fe2O3 impregnated anode power density and columbic efficiency increased by 40%
and 33% respectively. Cyclic voltammetry revealed improvement in electrochemical
activity of Shewanella with nano-Fe2O3 loading and electrochemical impedance
depicted inverse relationship between charge transfer resistance and nano-Fe2O3
loading. This study suggests anodic improvement strategies for maximization of
power output.
PMID- 24935007
TI - Trehalose enhancing microbial electrolysis cell for hydrogen generation in low
temperature (0 degrees C).
AB - This work explored the feasibility of a method combining physical (sonication and
base) and biological (partial fermentation) processes for sludge treatment and
the effects of trehalose on the hydrogen generation of microbial electrolysis
cell at 0 degrees C. The results demonstrated that the above pretreatment method
was favorable, which promoted organics decomposing into lower molecular weight
matter. The promotion of trehalose for MEC efficiency was obvious and the optimal
concentration of trehalose was 50 mmol/L. With this concentration, the highest
hydrogen recovery rate was 0.25 m(3)-H2/-m(3)-reactor per day. Coulomb efficiency
and energy recovery efficiency were 46.4% and 203%, respectively. Further, the
consumption order of mixed substances was VFAs>proteins>carbohydrates. For
microorganism community, SEM photographs illustrated that the selectivity of
environmental temperature for the species of anode bacteria was strong and
denaturing gradient gel electrophoresis indicated that Microbacterium and
Proteobacteria were the two main species and Proteobacteria may be one of the
species that produced electrons.
PMID- 24935008
TI - Activation of oncogenic pathways in idiopathic pulmonary fibrosis.
AB - Idiopathic pulmonary fibrosis (IPF) is defined as a specific form of chronic,
progressive fibrosing interstitial pneumonia of unknown cause. The most recent
hypotheses on IPF pathogenesis suggest a central role of epithelial cell damage,
followed by a dysregulated molecular cross talk between epithelial cells and
fibroblasts. Thus, IPF progression has often been assimilated to that of cancer,
and several signaling patterns appear to be disrupted in both diseases. Here, we
analyze the expression in an IPF series of a panel of molecules, which are known
to play a role in tumorigenic process. Our findings, although preliminary, reveal
that IPF landscape is enriched in neoplastic potential expressed in a context of
complex genomic polyclonality and cellular heterogeneity. These results provide a
rationale for further investigations aimed to exploit-in a similar fashion to
cancer-targeted therapies for a "precision medicine" approach to IPF.
PMID- 24935009
TI - Constipation misperception is associated with gender, marital status, treatment
utilization and constipation symptoms experienced.
AB - BACKGROUND/AIMS: It is essential that clinicians have an understanding of
patients' perceptions of constipation as well as constipation mis-perception
(CM), which can be defined as failure to recognize the six constipation symptoms
(infrequency, straining, hard stool, incomplete evacuation, anorectal obstruction
or manual maneuver). The aims of our study were to identify the prevalence of CM
and its association with demographics and clinical features. METHODS: This
nationwide survey included 625 self-reported constipated subjects (431 females;
mean age, 41.2 years) among random participants in the National Health Screening
Program. The prevalence of CM for each constipation symptom was estimated, and
the participants were classified into nil (0), low (1-2), mid (3-4) and high (5
6) level CM subgroups according to the number of misperceived symptoms. RESULTS:
The highest rate of CM was observed for manual maneuver (48.3%), followed by
anorectal obstruction (38.4%), stool infrequency (34.6%), incomplete evacuation
(32.2%), hard stool (27.2%) and straining (25.4%). Among the nil (n = 153), low
(n = 242), mid (n = 144) and high level (n = 86) subgroups, there were
significant differences in the proportions of males (18.3%, 34.3%, 39.6% and
30.2%; P = 0.001, respectively), never-married status (25.7%, 38.2%, 36.8% and
45.9%; P = 0.030, respectively) and those who did not receive treatment for
constipation (41.8%, 47.5%, 58.3% and 66.3%; P < 0.001, respectively). There was
a significant linear trend of increasing degree of CM with decreasing symptoms
experienced (P < 0.001). CONCLUSIONS: CM is significantly associated with gender,
marital status, treatment utilization and the range of constipation symptoms
experienced.
PMID- 24935010
TI - European perspective for effective cancer drug development.
AB - Health systems and the clinical research landscape evolve continuously owing to
increased risk aversion, scrutiny by funding bodies, and costs of clinical
trials. In this context, however, current drug development procedures are far
from optimal, as exemplified by the late-stage failure of several drugs. The
identification of new drugs urgently requires approaches based on a solid
understanding of cancer biology, and that will support the design of robust
confirmatory trials. The complexity and the costs of drug development are now
beyond the knowledge and operational capacity of single organisations, therefore,
a drastic deviation from the traditional path of drug discovery and new forms of
multidisciplinary partnerships are needed to succeed in this sector. The European
Organisation for Research and Treatment of Cancer (EORTC) proposes the use of
collaborative molecular screening platforms (CMSPs) as a new approach to tackle
this issue. These CMSPs have the advantage of optimizing the expertise of several
partners and combining efforts alongside with cost-sharing models for efficient
patient selection. This article describes some of the challenges to advancing
drug development and improving medical treatments and how these hurdles can be
overcome.
PMID- 24935013
TI - Targeted therapies: ibrutinib resonates with us.
PMID- 24935014
TI - Haematological cancer: the translocation behind follicular lymphoma development.
PMID- 24935018
TI - A definition of recovery in myalgic encephalomyelitis and chronic fatigue
syndrome should be based upon objective measures.
AB - INTRODUCTION: Adamowicz and colleagues recently proposed to use "a consistent
definition of recovery that captures a broad-based return to health with
assessments of both fatigue and function as well as the patients' perceptions of
his/her recovery status" for patients with chronic fatigue syndrome (CFS).
METHODS: A qualitative analysis of case definitions for Myalgic encephalomyelitis
(ME) and CFS and methods to assess the symptoms and clinical status of ME and CFS
patients objectively. RESULTS: The criteria of CFS define a heterogeneous
disorder. ME, often used interchangeably with CFS, is principally defined by
muscle weakness, cognitive impairment etc., but above all post-exertional
"malaise": a long-lasting increase in symptoms, e.g. muscle pain and cognitive
deficits, after a minor exertion. The principle symptom of CFS however is
"chronic fatigue". Since post-exertional "malaise" is not obligatory for CFS,
only part of the CFS patients meet the diagnostic criteria for ME, while not all
ME patients qualify as CFS patients. There are several accepted methods to assess
characteristic symptoms and the clinical status of ME and CFS patients using
objective measures, e.g. (repeated) cardiopulmonary exercise tests. CONCLUSION:
To resolve the debate about the clinical status, proposed effectiveness of
therapies and recovery in ME and CFS, it is crucial to accurately diagnose
patients using well-defined criteria for ME and CFS and an objective assessment
of various typical symptoms, since subjective measures such as "fatigue" will
perpetuate the debate.
PMID- 24935016
TI - Sinonasal carcinoma: clinical, pathological, genetic and therapeutic advances.
AB - The sinonasal cavities represent an anatomical region affected by a variety of
tumours with clinical, aetiological, pathological, and genetic features distinct
from tumours at the main head and neck cancer localizations. Together, squamous
cell carcinoma and adenocarcinoma account for 80% of all sinonasal tumours, and
are aetiologically associated with professional exposure to wood and leather dust
particles and other industrial compounds, and therefore, are officially
recognized as an occupational disease. Owing to their distinctive
characteristics, sinonasal tumours should be considered as separate entities, not
to be included in the miscellany of head and neck cancers. Sinonasal tumours are
rare, with an annual incidence of approximately 1 case per 100,000 inhabitants
worldwide, a fact that has hampered molecular-genetic studies of the tumorigenic
pathways and the testing of alternative treatment strategies. Nevertheless, the
clinical management of sinonasal cancer has improved owing to advances in imaging
techniques, endoscopic surgical approaches, and radiotherapy. Genetic profiling
and the development of in vitro cell lines and animal models currently form the
basis for future targeted anticancer therapies. We review these advances in our
understanding and treatment of sinonasal tumours.
PMID- 24935019
TI - Authors' response to commentary by Twisk.
PMID- 24935017
TI - Psychological states, serum markers and survival: associations and predictors of
survival in patients with renal cell carcinoma.
AB - This study sought to determine if there was an association between prognostic
based serum biomarkers, survival, and psychosocial factors in patients with
metastatic renal cell carcinoma. Associations were found between psychosocial
factors and biomarker levels (hemoglobin with depressive symptoms (r = -0.29),
positive affect (r = 0.30), social support (r = 0.19), and perceived stress (r =
0.27); albumin with depressive symptoms (r = -0.19), positive affect (r = 0.22),
and social support (r = 0.20); alkaline phosphatase with depressive symptoms (r =
0.21), all p values <0.05. After adjustment for disease-related risk factors,
only the associations between positive affect and perceived stress with
hemoglobin remained significant (p's < 0.05). Positive affect (HR = 0.90; 95% CI
= 0.83, 0.97; p = 0.009) and depressive symptom total scores (HR = 1.03; 95% CI =
1.01, 1.06; p = 0.013), and alkaline phosphatase (HR 2.72; 95% CI = 1.41, 5.24; p
= 0.003) were associated with survival. This study suggests that measures of
positive and negative psychological outlook may contribute differently to health,
well-being, and survival.
PMID- 24935020
TI - Heart rate following short-term beta-blocker titration predicts all-cause
mortality in elderly chronic heart failure patients: insights from the CIBIS-ELD
trial.
AB - AIMS: Beta-blockers (BBs) improve outcomes in heart failure. Results from the
Cardiac Insufficiency Bisoprolol Study in Elderly (CIBIS-ELD) trial previously
demonstrated the feasibility of heart rate, not maximum dose, as a treatment
goal. In this pre-specified analysis, we investigated the prognostic value of
achieved heart rate after BB optimization on long-term mortality. METHODS AND
RESULTS: Elderly heart failure patients from the CIBIS-ELD trial were invited to
participate in a follow-up examination 4 years after the initial 12-week BB up
titration period. The relationship between all-cause mortality, BB dose, and
heart rate after titration and potentially confounding clinical variables was
analysed by multivariable Cox regression. In total, 728 patients (38% women; mean
age 72.9 +/- 5.4 years) were included. During a mean follow-up period of 45 +/- 9
months, 134 patients (19%) died, thus accumulating 2268 patient-years at risk.
There was no significant difference in baseline heart rate for survivors and non
survivors (P = 0.19). In models adjusting for age, sex, BB pre-treatment,
ventricular function, heart rate, and NYHA class at baseline, a heart rate
increase by 10 b.p.m. following up-titration was associated with a subsequent
mortality hazard ratio of 1.19 (95% confidence interval 1.02-1.38, P = 0.023).
The heart rate range with the lowest mortality and the fewest treatment-related
adverse events was 55-64 b.p.m. The achieved BB dose was not associated with
mortality risk. CONCLUSION: The heart rate after up-titration, but not BB dose,
predicted all-cause mortality risk in elderly patients with chronic heart
failure. These patients should be titrated to resting heart rates between 55 and
64 b.p.m.
PMID- 24935021
TI - Assessing Online Collaborative Discourse.
AB - PURPOSE: This qualitative study using transcript analysis was undertaken to
clarify the value of Harasim's Online Collaborative Learning Theory as a way to
assess the collaborative process within nursing education. The theory
incorporated three phases: (a) idea generating; (b) idea organizing; and (c)
intellectual convergence. METHOD: The transcripts of asynchronous discussions
from a 2-week module about disaster nursing using a virtual community were
analyzed and formed the data for this study. FINDINGS: This study supports the
use of Online Collaborative Learning Theory as a framework for assessing online
collaborative discourse. Individual or group outcomes were required for the
students to move through all three phases of the theory. DISCUSSION/CONCLUSION:
The phases of the Online Collaborative Learning Theory could be used to evaluate
the student's ability to collaborate. It is recommended that group process
skills, which have more to do with interpersonal skills, be evaluated separately
from collaborative learning, which has more to do with cognitive skills. Both are
required for practicing nurses. When evaluated separately, the student learning
needs are more clearly delineated.
PMID- 24935022
TI - Nanostructured electrochromic smart windows: traditional materials and NIR
selective plasmonic nanocrystals.
AB - Electrochromic devices, which dynamically change colour under applied potential,
are widely studied for use in energy-efficient smart windows. To improve the
viability of smart windows, many researchers are utilizing nanomaterials, which
can provide electrochromic devices with improved colouration efficiencies, faster
switching times, longer cycle lives, and potentially reduced costs. In an effort
to demonstrate a new type of electrochromic device that goes beyond the
capabilities of commonly used electrochromic materials, researchers have turned
to plasmonic transparent conductive oxide (TCO) nanocrystals. Electrochemical
injection of electrons into plasmonic TCO nanocrystal films induces a shift in
the plasmon frequency and gives rise to the new functionality of selective
optical modulation in the near-infrared region of the solar spectrum. These
nanocrystals can be used as building blocks to enable creation of advanced
electrochromic devices containing mesoporous electrodes or nanocrystal-in-glass
composites. Such devices have been important in advancing the field towards
achieving the ideal smart window with independent control over visible and NIR
transmittance.
PMID- 24935023
TI - Biomass production and nutrient removal by Chlorella sp. as affected by sludge
liquor concentration.
AB - The use of microalgae for biomass production and nutrient removal from the reject
water produced in the dewatering process of anaerobically digested sludge, sludge
liquor, was investigated. The sludge liquor was characterized by a high content
of total suspended solids (1590 mg L(-1)), a high nitrogen concentration (1210 mg
L(-1)), and a low phosphorus concentration (28 mg L(-1)). Chlorella sp. was grown
in sludge liquor diluted with wastewater treatment plant effluent water to
different concentrations (12, 25, 40, 50, 70, and 100%) using batch mode. The
environmental conditions were 25 degrees C, a continuous lightning of 115 MUmol
m(-2) s(-1), and a CO2 concentration of 3.0%. The highest biomass production
(0.42-0.45 g dry weight L(-1) Day(-1)) was achieved at 40-50% sludge liquor,
which was comparable to the production of the control culture grown with an
artificial fertilizer. The biomass production was 0.12 and 0.26 g dry weight L(
1) Day(-1) at 12% and 100% sludge liquor, respectively. The percentage of
nitrogen in the algal biomass increased from 3.6% in 12% sludge liquor and
reached a saturation of ~10% in concentrations with 50% sludge liquor and higher.
The phosphorus content in the biomass increased linearly from 0.2 to 1.5% with
increasing sludge liquor concentrations. The highest nitrogen removal rates by
algal biosynthesis were 33.6-42.6 mg TN L(-1) Day(-1) at 40-70% sludge liquor,
while the highest phosphorus removal rates were 3.1-4.1 mg TP L(-1) Day(-1) at 50
100% sludge liquor.
PMID- 24935025
TI - Counterflow isotachophoresis in a monolithic column.
AB - This study describes stationary counterflow isotachophoresis (ITP) in a
poly(acrylamide-co-N,N'-methylenebisacrylamide) monolithic column as a means for
improving ITP processing capacity and reducing dispersion. The flow profile in
the monolith was predicted using COMSOL's Brinkman Equation application mode,
which revealed that the flow profile was mainly determined by monolith
permeability. As monolith permeability decreases, the flow profile changes from a
parabolic shape to a plug shape. An experimental monolithic column was prepared
in a fused-silica capillary using an ultraviolet-initiated polymerization method.
A monolithic column made from 8% (wt.) monomer was chosen for the stationary
counterflow ITP experiments. Counterflow ITP in the monolithic column showed
undistorted analyte zones with significantly reduced dispersion compared to the
severe dispersion observed in an open capillary. Particularly, for r
phycoerythrin focused by counterflow ITP, its zone width in the monolithic column
was only one-third that observed in an open capillary. These experiments
demonstrate that stationary counterflow ITP in monoliths can be a robust and
practical electrofocusing method.
PMID- 24935026
TI - Ultrasound assisted transesterification of waste cooking oil using heterogeneous
solid catalyst.
AB - Transesterification based biodiesel production from waste cooking oil in the
presence of heterogeneous solid catalyst has been investigated in the present
work. The effect of different operating parameters such as type of catalyst,
catalyst concentration, oil to methanol molar ratio and the reaction temperature
on the progress of the reaction was studied. Some studies related to catalyst
reusability have also been performed. The important physicochemical properties of
the synthesized biodiesel have also been investigated. The results showed that
tri-potassium phosphate exhibits high catalytic activity for the
transesterification of waste cooking oil. Under the optimal conditions, viz.
catalyst concentration of 3wt% K3PO4, oil to methanol molar ratio of 1:6 and
temperature of 50 degrees C, 92.0% of biodiesel yield was obtained in 90min of
reaction time. Higher yield was obtained in the presence of ultrasound as
compared to conventional approach under otherwise similar conditions, which can
be attributed to the cavitational effects. Kinetic studies have been carried out
to determine the rate constant at different operating temperatures. It was
observed that the kinetic rate constant increased with an increase in the
temperature and the activation energy was found to be 64.241kJ/mol.
PMID- 24935024
TI - Curative vs. preventive management of nitrogen transfers in rural areas: lessons
from the case of the Orgeval watershed (Seine River basin, France).
AB - The Orgeval watershed (104 km(2)) is a long-term experimental observatory and
research site, representative of rural areas with intensive cereal farming of the
temperate world. Since the past few years, we have been carrying out several
studies on nitrate source, transformation and transfer of both surface and
groundwaters in relation with land use and agriculture practices in order to
assess nitrate (NO3(-)) leaching, contamination of aquifers, denitrification
processes and associated nitrous oxide (N2O) emissions. A synthesis of these
studies is presented to establish a quantitative diagnosis of nitrate
contamination and N2O emissions at the watershed scale. Taking this watershed as
a practical example, we compare curative management measures, such as pond
introduction, and preventive measures, namely conversion to organic farming
practices, using model simulations. It is concluded that only preventive measures
are able to reduce the NO3(-) contamination level without further increasing N2O
emissions, a result providing new insights for future management bringing
together water-agro-ecosystems.
PMID- 24935027
TI - Oil-water de-emulsification using ultrasonic technology.
AB - In this paper an oil-water de-emulsification process within large tanks using
ultrasonic technology is presented. As the device would operate in hazardous
areas, it should not consume an excessive amount of electrical power. Hence, the
paper investigates the suitable oil-water concentrations (10-90% concentrations
in step of 10%) which would lead to the fastest separation while consuming the
minimum amount of power. Extensive experiments which were conducted using a
powerful 20kHz ultrasonic sensor were indicative with good repeatability that the
emulsion layer with less water content (i.e. 10 to 40% water-cut) gets
significantly faster separation. The experimental study was then validated
through a set of finite element-based simulations for different ratios of oil
water emulsions. This led to suggest a new feasible de-emulsifying device which
consists of a one dimensional array of ultrasonic sensors which are vertically
distributed to emit ultrasonic waves in horizontal direction and in a time
multiplexed manner.
PMID- 24935028
TI - 'The living death of Alzheimer's' versus 'Take a walk to keep dementia at bay':
representations of dementia in print media and carer discourse.
AB - Understanding dementia is a pressing social challenge. This article draws on the
'Dementia talking: care conversation and communication' project which aims to
understand how talk about, and to people living with dementia is constructed. In
this article I draw on the construction of dementia manifest in two data sets - a
corpus of 350 recent UK national newspaper articles and qualitative data derived
from in-depth interviews with informal carers. These data were analysed using a
thematic discursive approach. A 'panic-blame' framework was evident in much of
the print media coverage. Dementia was represented in catastrophic terms as a
'tsunami' and 'worse than death', juxtaposed with coverage of individualistic
behavioural change and lifestyle recommendations to 'stave off' the condition.
Contrary to this media discourse, in carers' talk there was scant use of
hyperbolic metaphor or reference to individual responsibility for dementia, and
any corresponding blame and accountability. I argue that the presence of
individualistic dementia 'preventative' behaviour in media discourse is
problematic, especially in comparison to other more 'controllable' and treatable
chronic conditions. Engagement with, and critique of, the nascent panic-blame
cultural context may be fruitful in enhancing positive social change for people
diagnosed with dementia and their carers.
PMID- 24935029
TI - The future of quantum dots in drug discovery.
AB - The rapid development of drug discovery today is inseparable from the interaction
of advanced particle technologies and new drug synthesis protocols. Quantum dots
(QDs) are regarded as a unique class of fluorescent labels, with unique optical
properties such as high brightness and long-term colloidal and optical stability;
these are suitable for optical imaging, drug delivery and optical tracking,
fluorescence immunoassay and other medicinal applications. More importantly, QD
possesses a rich surface chemistry property that is useful for incorporating
various drug molecules, targeting ligands, and additional contrast agents (e.g.,
MRI, PET, etc.) onto the nanoparticle surface for achieving targeted and
traceable drug delivery therapy at both cellular and systemic levels. In recent
times, the advancement of QD technology has promoted the use of functionalized
nanocrystals for in vivo applications. Such research is paving the way for drug
discovery using various bioconjugated QD formulations. In this editorial, the
authors highlight the current research progress and future applications of QDs in
drug discovery.
PMID- 24935030
TI - Development of a BALB/c 3T3 neutral red uptake cytotoxicity test using a
mainstream cigarette smoke exposure system.
AB - BACKGROUND: Tobacco smoke toxicity has traditionally been assessed using the
particulate fraction under submerged culture conditions which omits the vapour
phase elements from any subsequent analysis. Therefore, methodologies that assess
the full interactions and complexities of tobacco smoke are required. Here we
describe the adaption of a modified BALB/c 3T3 neutral red uptake (NRU)
cytotoxicity test methodology, which is based on the Interagency Coordinating
Committee on the Validation of Alternative Methods (ICCVAM) protocol for in vitro
acute toxicity testing. The methodology described takes into account the
synergies of both the particulate and vapour phase of tobacco smoke. This is of
particular importance as both phases have been independently shown to induce in
vitro cellular cytotoxicity. FINDINGS: The findings from this study indicate that
mainstream tobacco smoke and the gas vapour phase (GVP), generated using the
Vitrocell(r) VC 10 smoke exposure system, have distinct and significantly
different toxicity profiles. Within the system tested, mainstream tobacco smoke
produced a dilution IC50 (dilution (L/min) at which 50% cytotoxicity is observed)
of 6.02 L/min, whereas the GVP produced a dilution IC50 of 3.20 L/min. In
addition, we also demonstrated significant dose-for-dose differences between
mainstream cigarette smoke and the GVP fraction (P < 0.05). This demonstrates the
importance of testing the entire tobacco smoke aerosol and not just the
particulate fraction, as has been the historical preference. CONCLUSIONS: We have
adapted the NRU methodology based on the ICCVAM protocol to capture the full
interactions and complexities of tobacco smoke. This methodology could also be
used to assess the performance of traditional cigarettes, blend and filter
technologies, tobacco smoke fractions and individual test aerosols.
PMID- 24935031
TI - Strong oviposition preference for Bt over non-Bt maize in Spodoptera frugiperda
and its implications for the evolution of resistance.
AB - BACKGROUND: Transgenic crops expressing Bt toxins have substantial benefits for
growers in terms of reduced synthetic insecticide inputs, area-wide pest
management and yield. This valuable technology depends upon delaying the
evolution of resistance. The 'high dose/refuge strategy', in which a refuge of
non-Bt plants is planted in close proximity to the Bt crop, is the foundation of
most existing resistance management. Most theoretical analyses of the high
dose/refuge strategy assume random oviposition across refugia and Bt crops.
RESULTS: In this study we examined oviposition and survival of Spodoptera
frugiperda across conventional and Bt maize and explored the impact of
oviposition behavior on the evolution of resistance in simulation models. Over
six growing seasons oviposition rates per plant were higher in Bt crops than in
refugia. The Cry1F Bt maize variety retained largely undamaged leaves, and
oviposition preference was correlated with the level of feeding damage in the
refuge. In simulation models, damage-avoiding oviposition accelerated the
evolution of resistance and either led to requirements for larger refugia or
undermined resistance management altogether. Since larval densities affected
oviposition preferences, pest population dynamics affected resistance evolution:
larger refugia were weakly beneficial for resistance management if they increased
pest population sizes and the concomitant degree of leaf damage. CONCLUSIONS:
Damaged host plants have reduced attractiveness to many insect pests, and crops
expressing Bt toxins are generally less damaged than conventional counterparts.
Resistance management strategies should take account of this behavior, as it has
the potential to undermine the effectiveness of existing practice, especially in
the tropics where many pests are polyvoltinous. Efforts to bring down total pest
population sizes and/or increase the attractiveness of damaged conventional
plants will have substantial benefits for slowing the evolution of resistance.
PMID- 24935032
TI - In vitro analysis of the susceptibility of HIV-1 subtype A and CRF01_AE
integrases to raltegravir.
AB - The antiviral efficacy of raltegravir (RAL) has been proven against human
immunodeficiency virus type 1 (HIV-1) subtypes B and C but remained to be
determined against other subtypes. Therefore, the enzymatic activities as well as
RAL resistance of HIV-1 subtype A and CRF01_AE integrases (INs) were
investigated. Previously published subtype A and CRF01_AE IN sequences from RAL
naive patients were aligned to generate consensus sequences for both IN subtypes.
Subtype A and CRF01_AE INs encoded by these consensus sequences as well as the
corresponding enzymes harbouring the N155H resistance mutation were expressed and
purified. Enzymatic activities of subtype A and CRF01_AE INs were analysed with
regard to typical 3'-end processing (3'-P) and strand transfer (ST) activities
both in the presence and absence of RAL and were compared with subtype B IN as
well as with the corresponding INs harbouring the N155H resistance mutation.
Subtypes B, A and CRF01_AE INs showed similar 3'-P and ST activities. In the
presence of RAL, the three wild-type INs exhibited ST activity IC50 values (50%
inhibitory concentrations) of 86.3 +/- 32.5, 158.3 +/- 99.0 and 100.0 +/- 65.7
nM, respectively. Analysis of 3'-P activity in the presence of RAL revealed
IC(50) > 10 MUM for all three enzymes. The three INs harbouring the N155H
mutation presented in vitro low but similar resistance levels to RAL. In
conclusion, INs from HIV-1 subtypes B, A and CRF01_AE showed similar responses to
RAL in vitro, suggesting the potency of this antiretroviral drug to treat HIV-1
subtype A- and CRF01_AE-infected patients.
PMID- 24935033
TI - High dynamic range proteome imaging with the structured illumination gel imager.
AB - A current challenge for proteomics is detecting proteins over the large
concentration ranges found in complex biological samples such as whole-cell
extracts. Currently, no unbiased, whole-proteome analysis scheme is capable of
detecting the full range of cellular proteins. This is due in part to the limited
dynamic range of the detectors used to sense proteins or peptides. We present a
new technology, structured illumination (SI) gel imager, which detects
fluorescently labeled proteins in electrophoretic gels over a 1 000 000-fold
concentration range. SI uses computer-generated masks to attenuate the
illumination of highly abundant proteins, allowing for long exposures of low
abundance proteins, thus avoiding detector saturation. A series of progressively
masked gel images are assembled into a single, very high dynamic range image. We
demonstrate that the SI imager can detect proteins over a concentration range of
approximately 1 000 000-fold, making it a useful tool for comprehensive, unbiased
proteome-wide surveys.
PMID- 24935034
TI - Biomask for skin regeneration.
PMID- 24935035
TI - Tissue-engineered collagen grafts to treat large tendon defects.
PMID- 24935036
TI - Recent progress on the development of gene-activated scaffolds encoding PDGF for
enhanced bone regeneration.
PMID- 24935037
TI - Stem cells show promise in the treatment of failing hearts.
PMID- 24935040
TI - Safety and feasibility of intramyocardial versus intracoronary delivery of
autologous cell therapy in advanced heart failure: the REGENERATE-IHD pilot
study.
AB - AIM: This study presents an interim safety and feasibility analysis of the
REGENERATE-IHD randomized controlled trial, which is examining the safety and
efficacy of three different delivery routes of bone marrow-derived stem cells
(BMSCs) in patients with ischemic heart failure. METHODS & RESULTS: The first 58
patients recruited to the REGENERATE-IHD study are included in this interim
analysis (pilot). Symptomatic patients with ischemic heart failure were
randomized to receive subcutaneous granulocyte colony-stimulating factor or
saline injections only; or subcutaneous granulocyte colony-stimulating factor
injections followed by intracoronary or intramyocardial injections of BMSCs or
serum (control). No significant differences were found in terms of safety and
feasibility between the different delivery routes, with no significant difference
in procedural complications or major adverse cardiac events. There was a signal
towards improved heart failure symptoms in the patients treated with
intramyocardial injection of mobilized BMSCs. CONCLUSION: Peripheral mobilization
of BMSCs with or without subsequent direct myocardial delivery appears safe and
feasible in patients with chronic ischemic heart failure.
PMID- 24935041
TI - Negative neuronal differentiation of human adipose-derived stem cell clones.
AB - AIMS: Adipose mesenchymal stem cells are a heterogeneous population. Therefore,
the question posed in this study is whether the heterogenic differentiation
potential exhibited by the different clones toward mesodermic lineages can be
extended to nonmesodermic lineages, such as the neuroectoderm. MATERIALS &
METHODS: Different single cell clones of human adipose mesenchymal stem cells
from the same donor were isolated. Neuronal plasticity of the clones was assessed
according to the pattern DNA methylation, gene expression and intracellular
calcium responses. RESULTS: Under neurogenic culture conditions, clones presented
variable expression of neuronal-specific genes, but still expressed osteogenic
markers. No calcium response was exhibited in response to KCl incubation. The DNA
methylation profile presented a very similar pattern in neuroectoderm gene
promoters. CONCLUSIONS: Data indicate that there are no significant differences
between the undifferentiated and supposedly neuronal-differentiated mesenchymal
cells.
PMID- 24935042
TI - Mesenchymal stem cells modulate release of matrix proteins from tendon surfaces
in vitro: a potential beneficial therapeutic effect.
AB - AIM: Injury of tendons contained within a synovial environment, such as joint,
bursa or tendon sheath, frequently fails to heal and releases matrix proteins
into the synovial fluid, driving inflammation. This study investigated the
effectiveness of cells to seal tendon surfaces and provoke matrix synthesis as a
possible effective injectable therapy. MATERIALS & METHODS: Equine flexor tendon
explants were cultured overnight in suspensions of bone marrow and synovium
derived mesenchymal stems cells and, as controls, two sources of fibroblasts,
derived from tendon and skin, which adhered to the explants. Release of the most
abundant tendon extracellular matrix proteins into the media was assayed, along
with specific matrix proteins synthesis by real-time PCR. RESULTS: Release of
extracellular matrix proteins was influenced by the coating cell type.
Fibroblasts from skin and tendon appeared less capable of preventing the release
of matrix proteins than mesenchymal stems cells. CONCLUSION: The source of cell
is an important consideration for cell therapy.
PMID- 24935044
TI - Regulation of cell-based therapeutic products intended for human applications in
the EU.
AB - AIMS: Recent developments in the field of cell-based therapeutic products (CBTPs)
have forced the EU to revise its legislation on therapeutic products by enacting
several new legal instruments. In this study, we investigate how CBTPs are
regulated and what determines their regulatory classification. Furthermore, we
compare the regulatory burden between CBTPs in different product categories.
MATERIALS & METHODS: Product categories covering CBTPs were identified and
characteristics critical for the regulatory classification of a CBTP were
determined in each category. The effect of the critical characteristics on the
classification was evaluated by constructing a decision tree that covers all
possible combinations of the critical characteristics. Differences in the
regulatory burden between CBTPs were evaluated by comparing regulations crucial
for placing a therapeutic product on the EU market between the product
categories. RESULTS: Regulation of CBTPs has been divided between the main
product categories of the EU legal framework for therapeutic products on the
basis of the characteristics of the cells that the CBTPs contain. The regulatory
burden is lowest for CBTPs regulated as blood, cells or tissues, and highest for
CBTPs regulated as medicinal products. CONCLUSION: CBTPs exist in all product
categories of the EU legal framework for therapeutic products. However, the
current framework does not cover all possible CBTPs. Furthermore, our results
indicate that the regulatory burden of a CBTP is related to the risk it may pose
to the health and safety of recipients.
PMID- 24935043
TI - Biomimetic fibrin-hyaluronan hydrogels for nucleus pulposus regeneration.
AB - AIM: To develop a biomimetic polymeric injectable hydrogel that can support
nucleus pulposus (NP) regeneration. MATERIALS & METHODS: Natural polymer-based
hydrogels were synthesized using fibrinogen (FBG) and hyaluronic acid (HA),
conjugated by a novel two-step procedure. Bovine NP cells were cultured in FBG-HA
conjugate-based 3D beads in vitro and in a nucleotomized organ culture model.
RESULTS: FBG-HA conjugate-based hydrogels prepared with 235 KDa HA at a FBG/HA
w/w ratio of 17:1 showed superior gel stability and mechanical properties and
markedly increased glycosaminoglycan synthesis compared with a FBG/HA mixture
based hydrogels or fibrin gels. Gene-expression levels of NP markers were
maintained in vitro. In organ culture, NP cells seeded in FBG-HA conjugate-based
hydrogels showed better integration with native NP tissue compared with fibrin
gels. Moreover, FBG-HA conjugate-based hydrogels restored compressive stiffness
and disc height after nucleotomy under dynamic load. CONCLUSION: Specific FBG-HA
conjugate-based hydrogels may be suitable as injectable materials for minimally
invasive, biological NP regeneration.
PMID- 24935045
TI - Health consumers and stem cell therapy innovation: markets, models and
regulation.
AB - Global health consumer demand for stem cell therapies is vibrant, but the supply
of treatments from the conventional science-based model of innovation is small
and unlikely to increase in the near future. At the same time, several models of
medical innovation have emerged that can respond to the demand, often employing a
transnational value chain to deliver the product. Much of the commentary has
approached the issue from a supply side perspective, demonstrating the extent to
which national and transnational regulation fails to impose what are regarded as
appropriate standards on the 'illicit' supply of stem cell therapies
characterized by little data and poor outcomes. By contrast, this article
presents a political economic analysis with a strong demand side perspective,
arguing that the problem of what is termed 'stem cell tourism' is embedded in the
demand-supply relationship of the health consumer market and its engagement with
different types of stem cell therapy innovation. To be meaningful, discussions of
regulation must recognize that analysis or risk being sidelined by a market,
which ignores their often wishful thinking.
PMID- 24935046
TI - Biologic scaffold for CNS repair.
AB - Injury to the CNS typically results in significant morbidity and endogenous
repair mechanisms are limited in their ability to restore fully functional CNS
tissue. Biologic scaffolds composed of individual purified components have been
shown to facilitate functional tissue reconstruction following CNS injury.
Extracellular matrix scaffolds derived from mammalian tissues retain a number of
bioactive molecules and their ability for CNS repair has recently been
recognized. In addition, novel biomaterials for dural mater repairs are of
clinical interest as the dura provides barrier function and maintains homeostasis
to CNS. The present article describes the application of regenerative medicine
principles to the CNS tissues and dural mater repair. While many approaches have
been exploring the use of cells and/or therapeutic molecules, the strategies
described herein focus upon the use of extracellular matrix scaffolds derived
from mammalian tissues that are free of cells and exogenous factors.
PMID- 24935047
TI - Biodegradable elastomers for biomedical applications and regenerative medicine.
AB - Synthetic biodegradable polymers are of great value for the preparation of
implants that are required to reside only temporarily in the body. The use of
biodegradable polymers obviates the need for a second surgery to remove the
implant, which is the case when a nondegradable implant is used. After
implantation in the body, biomedical devices may be subjected to degradation and
erosion. Understanding the mechanisms of these processes is essential for the
development of biomedical devices or implants with a specific function, for
example, scaffolds for tissue-engineering applications. For the engineering and
regeneration of soft tissues (e.g., blood vessels, cardiac muscle and peripheral
nerves), biodegradable polymers are needed that are flexible and elastic. The
scaffolds prepared from these polymers should have tuneable degradation
properties and should perform well under long-term cyclic deformation conditions.
The required polymers, which are either physically or chemically crosslinked
biodegradable elastomers, are reviewed in this article.
PMID- 24935049
TI - Ultra widefield fundus imaging for diabetic retinopathy.
AB - For decades, the standard method for screening and grading severity of diabetic
retinal disease has relied upon a montage of photographs using normal angle
fundus cameras. With the development of ultrawide field (UWF) fundus imaging,
more of the retina can be imaged with fewer pictures, less dependence on
photographer skill, and, often, greater ease on the patient. Recent studies have
shown comparability between traditional and UWF imaging for standard grading of
diabetic retinopathy. Moreover, UWF images can detect peripheral pathology not
typically seen in standard photographs, which may enlighten our understanding of
disease severity and suggest new indications for treatment.
PMID- 24935050
TI - Natural language processing pipelines to annotate BioC collections with an
application to the NCBI disease corpus.
AB - BioC is a new format and associated code libraries for sharing text and
annotations. We have implemented BioC natural language preprocessing pipelines in
two popular programming languages: C++ and Java. The current implementations
interface with the well-known MedPost and Stanford natural language processing
tool sets. The pipeline functionality includes sentence segmentation,
tokenization, part-of-speech tagging, lemmatization and sentence parsing. These
pipelines can be easily integrated along with other BioC programs into any BioC
compliant text mining systems. As an application, we converted the NCBI disease
corpus to BioC format, and the pipelines have successfully run on this corpus to
demonstrate their functionality. Code and data can be downloaded from
http://bioc.sourceforge.net. Database URL: http://bioc.sourceforge.net.
PMID- 24935051
TI - Effects of calcium carbonate, sevelamer hydrochloride or pantoprazole on the
pharmacokinetics of cinacalcet.
AB - BACKGROUND AND OBJECTIVES: Secondary hyperparathyroidism is a common consequence
of chronic kidney disease. Cinacalcet (Sensipar((r))) is often prescribed in
combination to reduce elevated levels of parathyroid hormone, calcium and
phosphorus. The objective of this study was to assess the effects of
concomitantly administered therapies of calcium carbonate (CaCO(3); TUMS((r))),
sevelamer hydrochloride (HCl; Renagel((r))) and pantoprazole sodium
(Protonix((r))) on the pharmacokinetics and safety of cinacalcet in healthy
subjects. METHODS: Three randomized, open-label, two-way crossover
pharmacokinetic studies were conducted in healthy subjects. Participants received
single doses of cinacalcet alone or in combination with either CaCO(3), sevelamer
HCl or pantoprazole. The pharmacokinetic profile of cinacalcet was characterized.
Safety assessments including adverse event reporting, changes in vital signs and
clinical laboratory measurements were conducted throughout the studies. RESULTS:
The 90 % confidence intervals for the area under the concentration-time curve
from time zero to the last quantifiable concentration (AUC(last)), area under the
concentration-time curve from time zero to infinity (AUC(0-infinity)) and maximum
plasma concentration (C(max)) of cinacalcet were within the accepted range of 80
125 % for both CaCO(3) and sevelamer HCl co-administration with cinacalcet. No
severe or serious adverse events or clinically relevant changes in physical or
laboratory findings occurred during the studies. CONCLUSION: The pharmacokinetic
parameters of cinacalcet were not affected by co-administration of CaCO(3),
sevelamer HCl or pantoprazole. Co-administration of these agents with cinacalcet
does not require an adjustment of the dose of cinacalcet.
PMID- 24935052
TI - Preliminary, open-label, pilot study of add-on oral Delta9-tetrahydrocannabinol
in chronic post-traumatic stress disorder.
AB - BACKGROUND AND OBJECTIVES: Many patients with post-traumatic stress disorder
(PTSD) achieve but partial remission with current treatments. Patients with
unremitted PTSD show high rates of substance abuse. Marijuana is often used as
compassion add-on therapy for treatment-resistant PTSD. This open-label study
evaluates the tolerance and safety of orally absorbable Delta(9)
tetrahydrocannabinol (THC) for chronic PTSD. METHODS: Ten outpatients with
chronic PTSD, on stable medication, received 5 mg of Delta(9)-THC twice a day as
add-on treatment. RESULTS: There were mild adverse effects in three patients,
none of which led to treatment discontinuation. The intervention caused a
statistically significant improvement in global symptom severity, sleep quality,
frequency of nightmares, and PTSD hyperarousal symptoms. CONCLUSIONS: Orally
absorbable Delta(9)-THC was safe and well tolerated by patients with chronic
PTSD.
PMID- 24935053
TI - Accelerated MRI thermometry by direct estimation of temperature from undersampled
k-space data.
AB - PURPOSE: Acceleration of magnetic resonance (MR) thermometry is desirable for
several applications of MR-guided focused ultrasound, such as those requiring
greater volume coverage, higher spatial resolution, or higher frame rates.
METHODS: We propose and validate a constrained reconstruction method that
estimates focal temperature changes directly from k-space without spatial or
temporal regularization. A model comprising fully-sampled baseline images is fit
to undersampled k-space data, which removes aliased temperature maps from the
solution space. Reconstructed temperature maps are compared to maps reconstructed
using parallel imaging (iterative self-consistent parallel imaging reconstruction
[SPIRiT]) and conventional hybrid thermometry, and temporally constrained
reconstruction thermometry. RESULTS: Temporal step response simulations
demonstrate finer temporal resolution and lower error in 4*-undersampled radial k
space reconstructions compared to temporally constrained reconstruction.
Simulations show that the k-space method can achieve higher accelerations with
multiple receive coils. Phantom heating experiments further demonstrate the
algorithm's advantage over reconstructions relying on parallel imaging alone to
overcome undersampling artifacts. In vivo model error comparisons show the
algorithm achieves low temperature error at higher acceleration factors (up to
32* with a radial trajectory) than compared reconstructions. CONCLUSION: High
acceleration factors can be achieved using the proposed temperature
reconstruction algorithm, without sacrificing temporal resolution or accuracy.
PMID- 24935054
TI - Design, synthesis, and fungicidal activity of novel carboxylic acid amides
represented by N-benzhydryl valinamode carbamates.
AB - Carboxylic acid amide (CAA) fungicides are an important class of agricultural
fungicide with oomycete activity and low toxicity toward mammalian cells. To find
CAA analogues with high activity against resistant pathogens, a series of
substituted N-benzhydryl valinamide carbamate derivatives were designed and
synthesized by introducing substituted aromatic rings into valinamide carbamate
leads. Bioassays showed that some title compounds exhibited very good in vitro
fungicidal activity against Phytophthora capsici and in vivo fungicidal
activities against Pseudoperonospora cubensis. Topomer CoMFA was performed to
explore the structure-activity relationship on the basis of the in vitro data.
The dimethoxy substituted aromatic analogue 9e was found to display higher in
vitro fungicidal activity against Phytophthora capsici than iprovalicarb but
lower activity than mandipropamid, and higher in vivo fungicidal activity against
Pseudoperonospora cubensis than dimethomorph at a dosage of 6.25 MUg mL(-1).
PMID- 24935055
TI - Spin-glass-like dynamics of ferromagnetic clusters in La(0.75)Ba(0.25)CoO3.
AB - We report a magnetization study of the compound La0.75Ba0.25CoO3 where the Ba(2+)
doping is just above the critical limit for percolation of ferromagnetic
clusters. The field cooled and zero-field cooled (ZFC) magnetization exhibit
thermomagnetic irreversibility and the ac susceptibility shows a frequency
dependent peak at the ferromagnetic ordering temperature (TC ~ 203 K) of the
clusters. These features indicate the presence of a non-equilibrium state below
TC. For the non-equilibrium state, the dynamic scaling of the imaginary part of
the ac susceptibility and the static scaling of the nonlinear susceptibility
clearly establish a spin-glass-like cooperative freezing of ferromagnetic
clusters at 200.9(2) K. The assertion of the occurrence of spin-glass-like
freezing of ferromagnetic clusters is further substantiated by ZFC ageing and
memory experiments. We also observe certain dynamical features which are not
present in a typical spin glass, such as: the initial magnetization after ZFC
ageing first increases and then decreases with the waiting time; and there is an
imperfect recovery of relaxation in negative temperature cycling experiments.
This imperfect recovery transforms to perfect recovery for concurrent field
cycling. Our analysis suggests that these additional dynamical features have
their origin in the inter-cluster exchange interaction and cluster size
distribution. The inter-cluster exchange interaction above the magnetic
percolation level gives a superferromagnetic state in some granular thin films,
but our results show the absence of a typical superferromagnetic-like state in
La0.75Ba0.25CoO3.
PMID- 24935056
TI - Reduction of hyperproduction of thyroid autoantibodies in patients without
disturbance of the thyroid function: new patents.
AB - A new method of reduction of autoimmune process activity related to the thyroid
in patients without signs of thyroiditis is presented in the article (patent of
Ukraine No. 103742). New patents and inventions from different countries of the
world related to the problem have been analysed. MATERIALS AND METHODS: Sixty one
patients with a significant disturbance of tolerance to the thyroid antigens in
the absence of disturbance of the thyroid function were involved in the research.
Twenty two patients with chronic hepatitis C, genotype 1 HCV, receiving antiviral
therapy, were also included in the research. Patients were immunized
intracutaneously with autoleukocytes for autoimmune process inhibition. After
single immunization with autoleukocytes decrease in the level of antibodies
against the thyroid antigens was observed in all patients. In some patients
without chronic hepatitis C the levels of thyroperoxidase and thyroglobulin
antibodies decreased by 50% and more (33.33% and 20.51%, respectively). In
patients with ChHC these indices were considerably lower, and the duration of the
achieved effect was shorter. However, immunization inhibited activity of immune
process in patients with ChHC due to interferon therapy. The suggested method
enables to decrease the threat for thyroiditis development even in patients with
chronic hepatitis C during antiviral therapy.
PMID- 24935057
TI - Microscopic gastrointestinal stromal tumors in the gastric antrum--reply.
PMID- 24935058
TI - Severe enterocolitis associated with antiepileptic-induced drug reaction with
eosinophilia and systemic symptoms.
AB - Drug reaction with eosinophilia and systemic symptoms (DRESS) is a rare but
serious drug-induced reaction with cutaneous, hematologic, and solid-organ
injury. Antiepileptic drugs are one of the most common classes of drugs
implicated in DRESS. A high morbidity and mortality may result, especially if the
offending drug is not withdrawn promptly. Although DRESS may involve many organs,
severe involvement of the gastrointestinal tract in DRESS and/or in association
with antiepileptic drugs has rarely been reported. We report detailed clinical
and histopathologic findings of a fatal case of DRESS syndrome resulting from
antiepileptic drug treatment that was accompanied by severe enterocolitis,
malabsorption, and cachexia.
PMID- 24935060
TI - Microscopic gastrointestinal stromal tumors in the gastric antrum.
PMID- 24935059
TI - Intermediate serrated polyp as an intermediate lesion of hyperplastic polyp and
sessile serrated polyp/adenoma in terms of morphological and molecular features.
AB - Although a hyperplastic polyp (HP) shares morphological and molecular features
with a sessile serrated adenoma/polyp (SSA/P), HPs and SSA/Ps are considered
nonneoplastic and neoplastic epithelial polyps, respectively. Because HPs and
SSA/Ps cover the morphological spectrum, we hypothesized that an intermediate
serrated polyp (ISP) might exist between an HP and an SSA/P in terms of both
morphological and molecular aspects. An ISP was defined as a serrated lesion that
carries distorted crypts (columnar crypt dilation, irregularly branching crypts,
or horizontally arranged basal crypts) in less than 3 consecutive crypts. We
analyzed HPs (microvesicular, n = 16, and goblet cell-rich, n = 28), ISPs (n =
44), and SSA/Ps (n = 26) for their methylation status of 8 CpG island methylator
phenotype panel markers and mutation status of KRAS/BRAF. The number of
methylated markers and BRAF mutation frequency increased in the order of HP, ISP,
and SSA/P. Microvesicular HPs and goblet cell-rich HPs are distinct from each
other, based on the high frequency of BRAF and KRAS mutation, respectively, but
are not different in the number of methylated panel markers. Proximally located
microvesicular HPs and ISPs were higher in the number of methylated markers but
lower in the frequency of BRAF mutation than distally located ones. However,
SSA/Ps did not show any difference in the number of methylated markers and the
frequency of BRAF mutation between proximally and distally located lesions. Our
findings that serrated polyps, intermediate between HPs and SSA/Ps in terms of
morphological features, display molecular alterations intermediate between those
of HPs and SSA/Ps suggest the presence of ISPs between HPs and SSA/Ps.
PMID- 24935061
TI - An evaluation of Congo red fluorescence for the diagnosis of amyloidosis.
AB - Congo red stain apple-green birefringence under polarized light is the most
popular method for detecting amyloid; however, it has limitations. The goal of
this study was to evaluate if examination of Congo red stain by fluorescent
microscopy (FM) significantly enhances the diagnostic yield. Congo red-stained
tissue sections were retrospectively and prospectively examined by light
microscopy (LM) with and without polarizer and by FM using the Texas red filter
and results by each method compared. Congo red-stained amyloid recognized by LM
was unequivocally and easily identified by FM in each of 48 cases. In 22 of them,
FM either confirmed the presence of a small amount of amyloid or lead to a
definitive diagnosis, which was otherwise missed. Eight cases with Congo red
negative by LM were also negative by FM. In 8 cases with a false-positive Congo
red stain, FM still detected the signal in 5, but it was absent in 3 cases. In
conclusion, Congo red fluorescence improves the diagnostic yield of LM for both
positive and negative cases.
PMID- 24935062
TI - Expression of high-mobility group AT-hook protein 2 and its prognostic
significance in malignant gliomas.
AB - High-mobility group AT-hook protein 2 (HMGA2) is an architectural transcription
factor associated with malignancy, invasiveness, and poor prognosis in a variety
of human neoplasms. This study investigated HMGA2 expression and prognostic value
in human gliomas. We also correlated HMGA2 expression with Ki-67 labeling index
and matrix metalloproteinase-2. Expression of HMGA2 in 78 human gliomas and 7
human normal brain samples was studied using immunohistochemistry, and 29 gliomas
were randomly selected and studied along with the normal brain by real-time
quantitative polymerase chain reaction and Western blot analysis. Expression of
HMGA2 protein was significantly higher in glioblastoma multiforme (World Health
Organization [WHO] grade IV; P = .007) and anaplastic astrocytoma (WHO grade III;
P = .037) than in diffuse astrocytoma (WHO grade II). Expression of HMGA2
correlated significantly with expression of Ki-67 (r = 0.415, P < .01) and matrix
metalloproteinase-2 (r = 0.363, P < .01), but not with patient sex and age. The
real-time quantitative polymerase chain reaction and Western blot analysis
revealed similar results. Patients with tumors expressing HMGA2 at a higher level
had a significantly shorter progression-free survival time (11.2 months versus
18.8 months; P = .021). Expression of HMGA2 significantly correlates with tumor
cell proliferation, invasion, and survival in gliomas. The results suggest that
HMGA2 has an important role in the treatment and prognosis of these cancers.
PMID- 24935063
TI - Clinical aspects of hysteroscopic diagnosis of atypical endometrial hyperplasia.
PMID- 24935064
TI - Association of Carotid Intima-media Thickness and Atherosclerotic Plaque with
Periodontal Status.
AB - Studies have suggested an association between clinical/subclinical
atherosclerosis and periodontal status. The purpose of this study was to
investigate the association among maximal carotid intima-media thickness (cIMT),
atherosclerotic plaque, and periodontal status in Chinese elderly patients. A
cross-sectional study was conducted of 847 participants (age, 70.64 +/- 9.03 yr)
with >=10 teeth. A questionnaire survey, routine biochemical tests, a periodontal
examination, and maximal cIMT measurement were performed for each. Traditional
risk factors for atherogenesis were considered in the statistical analysis. Mean
plaque index, which reflects oral hygiene, was correlated with maximal cIMT and
atherosclerotic plaque in the study sample overall (beta = 0.068, p < .001; OR =
2.051, p < .001) and in euglycemic participants (beta = 0.066, p = .008; odds
ratio = 2.122, p = .009). In hyperglycemic participants, multiple linear
regression analysis (p = .006) and multivariate logistic regression analysis (p =
.025) revealed a linear and dose-dependent association between mean clinical
attachment loss and maximal cIMT after adjustment for traditional risk factors.
Each 1-mm increase in mean clinical attachment loss corresponded to a 0.018-mm
increase in maximal cIMT. The risk of atherosclerotic plaque increased by 18.3%
with each 1-mm increase in mean clinical attachment loss. Other indicators of
periodontal exposure, including percentage of sites with attachment loss >= 3 to
5 mm (3%-5%), were also correlated with cIMT and atherosclerotic plaque in
hyperglycemic patients. In this elderly population, a linear and dose-dependent
association among mean clinical attachment loss, attachment loss 3% to 5%,
maximal cIMT, and atherosclerotic plaque was verified in those with
hyperglycemia. Poor oral hygiene was correlated with maximal cIMT and
atherosclerotic plaque in all participants, including those with normal blood
glucose.
PMID- 24935065
TI - Addition of Grape Seed Extract Renders Phosphoric Acid a Collagen-stabilizing
Etchant.
AB - Previous studies found that grape seed extract (GSE), which is rich in
proanthocyanidins, could protect demineralized dentin collagen from
collagenolytic activities following clinically relevant treatment. Because of
proanthocyanidin's adverse interference to resin polymerization, it was believed
that GSE should be applied and then rinsed off in a separate step, which in
effect increases the complexity of the bonding procedure. The present study aimed
to investigate the feasibility of combining GSE treatment with phosphoric acid
etching to address the issue. It is also the first attempt to formulate collagen
cross-linking dental etchants. Based on Fourier-transformed infrared spectroscopy
and digestion assay, it was established that in the presence of 20% to 5%
phosphoric acid, 30 sec of GSE treatment rendered demineralized dentin collagen
inert to bacterial collagenase digestion. Based on this positive result, the
simultaneous dentin etching and collagen protecting of GSE-containing phosphoric
acid was evaluated on the premise of a 30-second etching time. According to micro
Raman spectroscopy, the formulation containing 20% phosphoric acid was found to
lead to overetching. Based on scanning and transmission electronic microscopy,
this same formulation exhibited unsynchronized phosphoric acid and GSE
penetration. Therefore, addition of GSE did render phosphoric acid a collagen
stabilizing etchant, but the preferable phosphoric acid concentration should be
<20%.
PMID- 24935066
TI - MMP Inhibitors on Dentin Stability: A Systematic Review and Meta-analysis.
AB - The aim of this study was to systematically review the literature for in vitro
and ex vivo studies that evaluated the effect of matrix metalloproteinase (MMP)
inhibitors during the adhesive procedure on the immediate and long-term resin
dentin bond strength. The search was conducted in 6 databases with no publication
year or language limits, following the Preferred Reporting Items for Systematic
Reviews and Meta-Analyses (PRISMA) statement. From 1,336 potentially eligible
studies, 48 were selected for full-text analysis, and 30 were included for
review, with 17 considered in the meta-analysis. Two reviewers independently
selected the studies, extracted the data, and assessed the risk of bias. Pooled
effect estimates were expressed as the weighted mean difference between groups.
The most used MMP inhibitor was chlorhexidine (CHX). Immediate bond strength
results showed no difference between 2% CHX and control; however, a difference
was found between 0.2% CHX and control at baseline. After aging, CHX presented
higher bond strength values compared to control groups (p < .05). However, this
was not observed for longer periods of aging. High heterogeneity was found in
some comparisons, especially for the water storage aging subgroup. Subgroup
analyses showed that self-etching and etch-and-rinse adhesives are benefited by
the CHX use. From the studies included, only 1 presented low risk of bias, while
the others showed medium or high risk of bias. The use of MMP inhibitors did not
affect the immediate bond strength overall, while it influenced the aged bond
strength. Aging procedures influenced bond strength values of the dentin adhesion
stability.
PMID- 24935067
TI - Impact of the Oral Commensal Flora on Alveolar Bone Homeostasis.
AB - Homeostasis of healthy periodontal tissues is affected by innate and adaptive
immunosurveillance mechanisms in response to the normal oral flora. Recent
comparisons of germ-free (GF) and normal specific-pathogen-free (SPF) mice have
revealed the impact of host immunosurveillance mechanisms in response to the
normal oral flora on alveolar bone height. Prior reports that alveolar bone
height is significantly less in normal SPF mice compared with their age- and
strain-matched GF counterparts suggest that naturally occurring alveolar bone
loss is a normal component of healthy periodontal tissue homeostasis. In this
report, histomorphometric analyses confirmed increased alveolar bone loss and
revealed increased numbers of TRAP+ osteoclastic cells lining the alveolar bone
surface in SPF compared with GF mice. Increased numbers of RANKL+ cells and IL17+
cells in the periodontium of SPF mice demonstrate possible molecular mechanisms
mediating the up-regulated osteoclastogenesis and alveolar bone loss in SPF mice
compared with GF mice. Increased numbers of T-lymphocytic cells and T-helper
cells in the junctional epithelium of SPF mice compared with GF mice suggest that
the adaptive immune response contributes to physiologic alveolar bone loss in the
healthy periodontium. This GF animal model study notably begins to elucidate the
impact of host immunosurveillance mechanisms in response to the normal oral
flora, mediating catabolic alveolar bone homeostasis in the healthy periodontium.
PMID- 24935068
TI - Efficacy and safety of flurbiprofen axetil in the prevention of pain on propofol
injection: a systematic review and meta-analysis.
AB - BACKGROUND: Pain on injection is an acknowledged adverse effect (AE) of propofol
administration for the induction of general anesthesia. Flurbiprofen axetil has
been reported to reduce the pain of injection. However, results of published
papers on the efficacy of flurbiprofen axetil in managing pain on injection of
propofol are inconsistent. MATERIAL/METHODS: We conducted a comprehensive meta
analysis of studies to appraise the efficacy and safety of flurbiprofen axetil
for controlling pain induced by propofol injection. The pooled risk ratio (RR)
with corresponding 95% confidence intervals (CI) was calculated employing fixed-
or random-effects models, depending upon the heterogeneity of the included
trials. RESULTS: Compared with the placebo group, flurbiprofen axetil allows more
patients to have no pain (RR 3.51, 95% CI 2.22-5.55, p=0.000), and decreases the
cumulative number of patients with mild, moderate, and severe pain on injecting
propofol (RR 0.70, 95% CI 0.58-0.86, p=0.000; RR 0.59, 95% CI 0.46-0.75, p=0.000;
RR 0.25, 95% CI 0.16-0.38, p=0.000, respectively). In the stratified analysis by
the doses, flurbiprofen axetil at a dose of over 50 mg was found to be effective
in reducing propofol-induced pain on injection; however, there were no
significant differences in relieving pain between treatment and placebo groups
with flurbiprofen axetil at a dose of 25 mg. In terms of drug safety, there were
no adverse effects (AEs) reported between flurbiprofen axetil-based regimens and
placebo regimens. CONCLUSIONS: Flurbiprofen axetil, an injectable prodrug of
flurbiprofen, can significantly prevent or relieve the pain induced by propofol
injection. More studies are required to assess its adverse effects.
PMID- 24935069
TI - Identifying qualitative effects of different grazing types on below-ground
communities and function in a long-term field experiment.
AB - Herbivory is an important modulator of plant biodiversity and productivity in
grasslands, but our understanding of herbivore-induced changes on below-ground
processes and communities is limited. Using a long-term (17 years) experimental
site, we evaluated impacts of rabbit and invertebrate grazers on some soil
functions involved in carbon cycling, microbial diversity, structure and
functional composition. Both rabbit and invertebrate grazing impacted soil
functions and microbial community structure. All functional community measures
(functions, biogeochemical cycling genes, network association between different
taxa) were more strongly affected by invertebrate grazers than rabbits.
Furthermore, our results suggest that exclusion of invertebrate grazers decreases
both microbial biomass and abundance of genes associated with key biogeochemical
cycles, and could thus have long-term consequences for ecosystem functions. The
mechanism behind these impacts are likely to be driven by both direct effects of
grazing altering the pattern of nutrient inputs and by indirect effects through
changes in plant species composition. However, we could not entirely discount
that the pesticide used to exclude invertebrates may have affected some microbial
community measures. Nevertheless, our work illustrates that human activity that
affects grazing intensity may affect ecosystem functioning and sustainability, as
regulated by multi-trophic interactions between above- and below-ground
communities.
PMID- 24935070
TI - An overall characterization of pediatric acute lymphoblastic leukemia with CRLF2
overexpression.
AB - For an overall characterization of pediatric B-cell precursor acute lymphoblastic
leukemia (BCPALL) with CRLF2 overexpression (OE), we conducted genetic analysis
of CRLF2 in 167 pediatric BCPALL patients. CRLF2 OE was detected in 30 (18%) of
167 patients, the P2RY8-CRLF2 fusion was identified in only 3 (1.8%) of 167
patients, all of which demonstrated CRLF2 OE. Moreover, CRLF2 gain was identified
in 18 (11%) of 167 patients. Messenger RNA sequencing revealed a novel fusion
transcript, CSF2RA-CRLF2, in a case with CRLF2 OE, suggesting that this fusion is
associated with CRLF2 OE. In survival analysis, no significant differences in 5
year event-free survival (EFS) and overall survival were observed between
patients with and without CRLF2 OE (70.7 vs. 75.4%, log rank P = 0.68 and 96.4
vs. 82.1%, log rank P = 0.11, respectively). However, a significant difference in
5-year EFS between CRLF2 OE patients with and without IKZF1 deletion was observed
(44.4 vs. 83.1%, log rank P = 0.02). In multivariate analysis, only IKZF1
deletion was a significant predictor of inferior OS (hazard ratio: 2.427, P =
0.04).These findings suggest that CRLF2 OE is not an independent prognostic
factor in pediatric BCPALL.
PMID- 24935071
TI - Subclavian artery intervention with a balloon-tipped occlusion catheter via the
ipsilateral brachial artery without an introducer sheath.
AB - To protect against a distal embolism in the vertebral artery, subclavian artery
stenting can be achieved by positioning a filter device or balloon in the
ipsilateral vertebral artery. However, treatment with these devices is not easy,
and it is also an inaccurate method for cerebral protection. We developed a
balloon-tipped occlusion catheter (Optimo((r)) occlusion catheter) without an
introducer sheath, which allowed us to perform the minimally invasive
endovascular therapy (EVT). Herein, we report two EVT cases for subclavian artery
disease treated with an Optimo((r)) occlusion catheter via the ipsilateral
brachial artery. This method is effective for distal protection of both cerebral
and brachial artery embolism, and also enables EVT procedure retrogradely as
well.
PMID- 24935072
TI - Pharmacodynamics, pharmacokinetics and safety of ticagrelor in Asian patients
with stable coronary artery disease.
AB - This randomized, active-controlled, double-blind study assessed the
pharmacodynamics, pharmacokinetics and safety of ticagrelor in Japanese patients
and a smaller cohort of non-Japanese Asian patients. The study recruited patients
aged 20-80 years who had received aspirin 75-100 mg/day for >=2 weeks and had
percutaneous coronary intervention or acute coronary syndrome >3 months
previously. Patients received 4 weeks' treatment with ticagrelor 45 mg bid,
ticagrelor 90 mg bid or clopidogrel 75 mg qd (all with aspirin). The inhibition
of platelet aggregation (IPA, final-extent) and pharmacokinetics of ticagrelor
were assessed on days 1 and 28. Overall, 139 Asian patients were randomized
(ticagrelor 45 mg bid, n = 50; ticagrelor 90 mg bid, n = 43; clopidogrel, n = 46)
of whom 118 were Japanese. Mean final-extent IPA was greater with ticagrelor 90
mg bid versus ticagrelor 45 mg bid and with both ticagrelor doses versus
clopidogrel. At the end of the dosing interval on day 28, mean final-extent IPA
was 10.0% higher (95% confidence interval 0.5-19.5%) for ticagrelor 90 mg bid
versus ticagrelor 45 mg bid, 15.1% higher (5.8-24.4%) for ticagrelor 45 mg bid
versus clopidogrel, and 25.1% higher (15.5-34.7%) for ticagrelor 90 mg bid versus
clopidogrel. In Japanese patients, exposure to ticagrelor and its active
metabolite AR-C124910XX increased dose-proportionally. The safety profile of
ticagrelor was consistent with previous studies. Ticagrelor was associated with
enhanced IPA versus clopidogrel in Japanese patients.
PMID- 24935073
TI - You've received a complaint: what next?
PMID- 24935074
TI - ACR appropriateness criteria management of vertebral compression fractures.
AB - This is an updated review of management of vertebral compression fracture for
both benign osteoporotic and malignant causes. Vertebral compression fracture
radiologic imaging evaluation is discussed. A literature review is provided of
current indications for vertebral augmentation with percutaneous vertebroplasty
and kyphoplasty as well as medical management. Limitations and potential benefits
of these procedures are discussed. Variant tables describing various clinical
situations are also provided to assist in determining appropriate use of these
treatments for patient care. The ACR Appropriateness Criteria are evidence-based
guidelines for specific clinical conditions that are reviewed every 3 years by a
multidisciplinary expert panel. The guideline development and review include an
extensive analysis of current medical literature from peer-reviewed journals and
the application of a well-established consensus methodology (modified Delphi) to
rate the appropriateness of imaging and treatment procedures by the panel. In
those instances in which evidence is lacking or not definitive, expert opinion
may be used to formulate recommendations for imaging or treatment.
PMID- 24935075
TI - Senior work-up assessment and treatment team in an emergency department: a
randomised control trial.
AB - OBJECTIVE: To evaluate the impact of a senior early assessment model of care on
performance measures in a single ED. METHODS: A pragmatic single-blinded
randomised control trial with day of ED presentation randomised to one of three
study arms: senior work-up assessment and treatment (SWAT) model of care
intervention, non-SWAT control or control. PRIMARY OUTCOME: The primary outcomes
were the proportion of patients meeting National Emergency Access Target (NEAT)
criteria (ED length of stay less than 4 h) and ED length of stay. Secondary
outcome measured was time to decision to admit in the subgroup of admitted
patients. RESULTS: A total of 1737 patients were analysed. There was no overall
difference in NEAT performance (48% [95% CI 44, 51] vs 41% ([95% CI 37, 45] vs
46% [95% CI 41, 50], P = 0.09) or ED length of stay (P = 0.65) between SWAT, non
SWAT and standard of care control groups, respectively. In the subgroup of
patients discharged from ED (non-admitted), the SWAT intervention group was
associated with higher NEAT performance (P = 0.004) compared with non-SWAT and
control. CONCLUSION: A senior early assessment model of care was not associated
with improved overall NEAT performance and ED length of stay. However, there is
evidence that improvements were made in the subgroup of discharged patients.
There was no difference in overall NEAT performance among the three study groups.
PMID- 24935076
TI - Gene copy number alterations in the azoospermia-associated AZFc region and their
effect on spermatogenic impairment.
AB - The azoospermia factor c (AZFc) region in the long arm of human Y chromosome is
characterized by massive palindromes. It harbors eight multi-copy gene families
that are expressed exclusively or predominantly in testis. To assess
systematically the role of the AZFc region and these eight gene families in
spermatogenesis, we conducted a comprehensive molecular analysis (including Y
chromosome haplogrouping, AZFc deletion typing and gene copy quantification) in
654 idiopathic infertile men and 781 healthy controls in a Han Chinese
population. The b2/b3 partial deletion (including both deletion-only and deletion
duplication) was consistently associated with spermatogenic impairment. In the
subjects without partial AZFc deletions, a notable finding was that the frequency
of DAZ and/or BPY2 copy number alterations in the infertile group was
significantly higher than in the controls. Combined patterns of DAZ and/or BPY2
copy number abnormality were associated with spermatogenic impairment when
compared with the pattern of all AZFc genes with common level copies. In
addition, in Y chromosome haplogroup O1 (Y-hg O1), the frequency of copy number
alterations of all eight gene families was significantly higher in the case group
than that in the control group. Our findings indicate that the DAZ, BPY2 genes
may be prominent players in spermatogenesis, and genomic rearrangements may be
enriched in individuals belonging to Y-hg O1. Our findings emphasize the
necessity of routine molecular analysis of AZFc structural variation during the
workup of azoospermia and/or oligozoospermia, which may diminish the genetic risk
of assisted reproduction.
PMID- 24935079
TI - Effect of negative pressure wound therapy on wound healing.
AB - The efficacy of NPWT in promoting wound healing has been largely accepted by
clinicians, yet the number of high-level clinical studies demonstrating its
effectiveness is small and much more can be learned about the mechanisms of
action. In the future, hopefully we will have the data to assist clinicians in
selecting optimal parameters for specific wounds including interface material,
waveform of suction application, and the amount of suction to be applied. Further
investigation into specific interface coatings and instillation therapy are also
needed. We believe that advances in mechanobiology, the science of wound healing,
the understanding of biofilms, and advances in cell therapy will lead to better
care for our patients.
PMID- 24935080
TI - Impaired function of CD19(+) CD24(hi) CD38(hi) regulatory B cells in patients
with pemphigus.
AB - BACKGROUND: Pemphigus is an organ-specific autoimmune bullous disease.
OBJECTIVES: To determine the role of regulatory B cells (Bregs) in patients with
pemphigus. METHODS: The frequency of the occurrence of CD19(+) CD24(hi) CD38(hi)
Bregs was detected from 34 patients with pemphigus and 20 healthy controls.
Interleukin (IL)-10 secretion was processed after stimulating B cells. Specific
antidesmoglein antibody (Ab) titres and their subclasses were also measured. Ab
response and cytokine production from peripheral blood mononuclear cells (PBMCs)
with or without Bregs were analysed. RESULTS: The number of Bregs was
significantly increased in patients with pemphigus compared with healthy controls
(15 +/- 7% vs. 9 +/- 3%; P < 0.01) and the proportion of Bregs in the active
groups (newly diagnosed and chronic active patients) was significantly higher
than in remittent individuals (16 +/- 7% vs. 13 +/- 8%; P = 0.04). The IL-10
producing B cells were significantly increased upon stimulation both in patients
and in healthy controls. However, the increase ratio of IL-10-producing B cells
between short- and long-term stimulation was significantly lower in patients with
pemphigus (1.0-fold vs. 2.6-fold increase in control group; P < 0.01).
Strikingly, Bregs from the controls were able to suppress interferon (IFN)-gamma
expression and T helper cell 1 (Th1) immune response (26% inhibition rate), while
the suppressive function of Bregs from patients with pemphigus was significantly
decreased (9% inhibition rate). There was no difference in Ab levels from PBMCs
with or without Bregs after stimulation. CONCLUSIONS: Bregs in patients with
pemphigus are elevated but with defective regulatory function on Th1 cells.
PMID- 24935081
TI - Home-based family intervention increases knowledge, communication and living
donation rates: a randomized controlled trial.
AB - Our aim was to develop and test an educational program to support well-informed
decision making among patients and their social network regarding living donor
kidney transplantation (LDKT). One hundred sixty-three patients who were unable
to find a living donor were randomized to standard care or standard care plus
home-based education. In the education condition, patients and members of their
social network participated in home-based educational meetings and discussed
renal replacement therapy options. Patients and invitees completed pre-post self
report questionnaires measuring knowledge, risk perception, communication, self
efficacy and subjective norm. LDKT activities were observed for 6 months
postintervention. Patients in the experimental group showed significantly more
improvements in knowledge (p < 0.001) and communication (p = 0.012) compared with
the control group. The invitees showed pre-post increases in knowledge (p <
0.001), attitude toward discussing renal replacement therapies (p = 0.020),
attitude toward donating a kidney (p = 0.023) and willingness to donate a kidney
(p = 0.039) and a decrease in risk perception (p = 0.003). Finally, there were
significantly more inquiries (29/39 vs. 13/41, p < 0.001), evaluations (25/39 vs.
7/41, p < 0.001) and actual LDKTs (17/39 vs. 4/41, p = 0.003) in the experimental
group compared with the control group. Home-based family education supports well
informed decision making and promotes access to LDKT.
PMID- 24935082
TI - Sequential treatment with fluoxetine and relapse--prevention CBT to improve
outcomes in pediatric depression.
AB - OBJECTIVE: The authors evaluated a sequential treatment strategy of fluoxetine
and relapse-prevention cognitive-behavioral therapy (CBT) to determine effects on
remission and relapse in youths with major depressive disorder. METHOD: Youths 8
17 years of age with major depression were treated openly with fluoxetine for 6
weeks. Those with an adequate response (defined as a reduction of 50% or more on
the Children's Depression Rating Scale-Revised [CDRS-R]) were randomly assigned
to receive continued medication management alone or continued medication
management plus CBT for an additional 6 months. The CBT was modified to address
residual symptoms and was supplemented by well-being therapy. Primary outcome
measures were time to remission (with remission defined as a CDRS-R score of 28
or less) and rate of relapse (with relapse defined as either a CDRS-R score of 40
or more with a history of 2 weeks of symptom worsening, or clinical
deterioration). RESULTS: Of the 200 participants enrolled in acute-phase
treatment, 144 were assigned to continuation treatment with medication management
alone (N=69) or medication management plus CBT (N=75). During the 30-week
continuation treatment period, time to remission did not differ significantly
between treatment groups (hazard ratio=1.26, 95% CI=0.87, 1.82). However, the
medication management plus CBT group had a significantly lower risk of relapse
than the medication management only group (hazard ratio=0.31, 95% CI=0.13, 0.75).
The estimated probability of relapse by week 30 was lower with medication
management plus CBT than with medication management only (9% compared with
26.5%). CONCLUSIONS: Continuation-phase relapse-prevention CBT was effective in
reducing the risk of relapse but not in accelerating time to remission in
children and adolescents with major depressive disorder.
PMID- 24935083
TI - Clinical features, genetics, and outcome of pediatric patients with
hemophagocytic lymphohistiocytosis in Korea: report of a nationwide survey from
Korea Histiocytosis Working Party.
AB - BACKGROUND: We analyzed a nationwide registry of pediatric patients with
hemophagocytic lymphohistiocytosis (HLH) in Korea to assess the clinical and
genetic features and treatment outcomes in pediatric HLH. METHODS: The Korea
Histiocytosis Working Party retrospectively analyzed data on 251 pediatric
patients diagnosed with HLH between 1996 and 2011. RESULTS: In the study cohort,
25 cases were categorized with familial HLH, 64 with presumed secondary HLH, and
162 with unspecified HLH. Of 217 evaluable patients, 91 (42%) had concomitant
Epstein-Barr virus infection. Of 238 evaluable patients, central nervous system
(CNS) involvement, which was more frequent in the familial group, was evident in
81 cases (34%). Genetic tests revealed a predominant UNC13D mutation with a high
incidence of two recurrent splicing mutations (c.118-308C>T and c.754-1G>C). The
5-yr overall survival rate was 68% (38% in the familial group and 81% in the
presumed secondary group). The 5-yr overall survival rate among 32 patients who
underwent allogeneic hematopoietic stem cell transplantation was 64%. In
multivariate analysis, a younger age at diagnosis, severe transaminasemia, and a
coagulation abnormality were independent prognostic factors for survival.
Responses during initial treatments were also significant indicators of outcome.
CONCLUSION: Our study showed the unique predominance of a UNC13D mutation and
vulnerability to Epstein-Barr virus infection in Korean children with HLH and
emphasizes the prognostic significance of age, liver dysfunction, and treatment
responses in this disease. A multicenter prospective trial that builds on the
present results is warranted to identify subgroups of patients with a poor
prognosis and identify optimal treatments.
PMID- 24935084
TI - Temporally unstructured electrical stimulation to the amygdala suppresses
behavioral chronic seizures of the pilocarpine animal model.
AB - Electrical stimulation applied to the basolateral amygdala in the
pentylenetetrazole animal model of seizures may result in either a proconvulsant
or an anticonvulsant effect depending on the interpulse intervals used: periodic
or nonperiodic, respectively. We tested the effect of this electrical stimulation
temporal coding on the spontaneous and recurrent behavioral seizures produced in
the chronic phase of the pilocarpine animal model of temporal lobe epilepsy, an
experimental protocol that better mimics the human condition. After 45 days of
the pilocarpine-induced status epilepticus, male Wistar rats were submitted to a
surgical procedure for the implantation of a bipolar electrical stimulation
electrode in the right basolateral amygdala and were allowed to recover for seven
days. The animals were then placed in a glass box, and their behaviors were
recorded daily on DVD for 6h for 4 consecutive days (control period). Spontaneous
recurrent behavioral seizures when showed in animals were further recorded for an
extra 4-day period (treatment period), under periodic or nonperiodic electrical
stimulation. The number, duration, and severity of seizures (according to the
modified Racine's scale) during treatment were compared with those during the
control period. The nonperiodically stimulated group displayed a significantly
reduced total number and duration of seizures. There was no difference between
control and treatment periods for the periodically stimulated group. Results
corroborate previous findings from our group showing that nonperiodic electrical
stimulation has a robust anticonvulsant property. In addition, results from the
pilocarpine animal model further strengthen nonperiodic electrical stimulation as
a valid therapeutic approach in current medical practice. Our working hypothesis
is that temporally unstructured electrical stimulation may wield its effect by
desynchronizing neural networks involved in the ictogenic process.
PMID- 24935085
TI - "Ictal" lateralized periodic discharges.
AB - OBJECTIVE: Whether lateralized periodic discharges (LPDs) represent ictal or
interictal phenomena, and even the circumstances in which they may represent one
or the other, remains highly controversial. Lateralized periodic discharges are,
however, widely accepted as being ictal when they are time-locked to clinically
apparent symptoms. We sought to investigate the characteristics of "ictal"
lateralized periodic discharges (ILPDs) defined by time-locked clinical symptoms
in order to explore the utility of using this definition to dichotomize LPDs into
"ictal" and "nonictal" categories. METHODS: Our archive of all continuous EEG
(cEEG) reports of adult inpatients undergoing prolonged EEG monitoring for
nonelective indications between 2007 and 2011 was searched to identify all
reports describing LPDs. Lateralized periodic discharges were considered ILPDs
when they were reported as being consistently time-locked to clinical symptoms;
LPDs lacking a clear time-locked correlate were considered to be "nonictal"
lateralized periodic discharges (NILPDs). Patient charts and available
neuroimaging studies were also reviewed. Neurophysiologic localization of LPDs,
imaging findings, presence of seizures, discharge outcomes, and other demographic
factors were compared between patients with ILPDs and those with NILPDs. p-Values
were adjusted for false discovery rate (FDR). RESULTS: One thousand four hundred
fifty-two patients underwent cEEG monitoring at our institution between 2007 and
2011. Lateralized periodic discharges were reported in 90 patients, 10 of whom
met criteria for ILPDs. Nine of the patients with ILPDs demonstrated motor
symptoms, and the remaining patient experienced stereotyped sensory symptoms.
Ictal lateralized periodic discharges had significantly increased odds for
involving central head regions (odds ratio [OR]=11; 95% confidence interval
[CI]=2.16-62.6; p=0.018, FDR adjusted), with a trend towards higher proportion of
lesions involving the primary sensorimotor cortex (p=0.09, FDR adjusted).
CONCLUSIONS: When defined by the presence of a time-locked clinical correlate,
ILPDs appear to be strongly associated with a central EEG localization. This is
likely due to cortical irritability in central head regions having greater
propensity to manifest with positive, clinically apparent, and time-locked
symptoms. Thus, dichotomization of ILPDs and NILPDs on this basis principally
reflects differences in underlying anatomical locations of the periodic
discharges rather than providing a clinically salient categorization.
PMID- 24935086
TI - Segmental hair analysis for differentiation of tilidine intake from external
contamination using LC-ESI-MS/MS and MALDI-MS/MS imaging.
AB - Segmental hair analysis has been used for monitoring changes of consumption habit
of drugs. Contamination from the environment or sweat might cause interpretative
problems. For this reason, hair analysis results were compared in hair samples
taken 24 h and 30 days after a single tilidine dose. The 24-h hair samples
already showed high concentrations of tilidine and nortilidine. Analysis of wash
water from sample preparation confirmed external contamination by sweat as
reason. The 30-day hair samples were still positive for tilidine in all segments.
Negative wash-water analysis proved incorporation from sweat into the hair
matrix. Interpretation of a forensic case was requested where two children had
been administered tilidine by their nanny and tilidine/nortilidine had been
detected in all hair segments, possibly indicating multiple applications. Taking
into consideration the results of the present study and of MALDI-MS imaging, a
single application as cause for analytical results could no longer be excluded.
Interpretation of consumption behaviour of tilidine based on segmental hair
analysis has to be done with caution, even after typical wash procedures during
sample preparation. External sweat contamination followed by incorporation into
the hair matrix can mimic chronic intake. For assessment of external
contamination, hair samples should not only be collected several weeks but also
one to a few days after intake. MALDI-MS imaging of single hair can be a
complementary tool for interpretation. Limitations for interpretation of
segmental hair analysis shown here might also be applicable to drugs with
comparable physicochemical and pharmacokinetic properties.
PMID- 24935087
TI - Genotype-guided vs clinical dosing of warfarin and its analogues: meta-analysis
of randomized clinical trials.
AB - IMPORTANCE: Significant variations in dose requirements of warfarin and its
analogues (acenocoumarol and phenprocoumon) make selecting the appropriate dose
for an individual patient difficult. Genetic factors account for approximately
one-third of the variation in dose requirement. The clinical usefulness of
genotype-guided dosing of warfarin has been previously assessed in randomized
clinical trials that were limited by lack of power and inconsistent results.
OBJECTIVE: To compare genotype-guided initial dosing of warfarin and its
analogues with clinical dosing protocols. DATA SOURCES AND STUDY SELECTION:
MEDLINE (inception to December 31, 2013), EMBASE (inception to December 31,
2013), and the Cochrane Library Central Register of Controlled Trials (inception
to December 31, 2013) were searched for randomized clinical trials comparing
genotype-guided warfarin dosing vs clinical dosing for adults with indications
for anticoagulation. DATA EXTRACTION AND SYNTHESIS: Two investigators extracted
data independently on trial design, baseline characteristics, and outcomes. High
quality studies were considered those that described an appropriate method of
randomization, allocation concealment, blinding, and completeness of follow-up.
MAIN OUTCOMES AND MEASURES: The outcomes analyzed included the percentage of time
that the international normalized ratio (INR) was within the therapeutic range,
the percentage of patients with an INR greater than 4, and the incidence of major
bleeding and thromboembolic events. Summary standardized differences in means (or
Mantel-Haenszel risk ratios) were obtained using a random-effects model. RESULTS:
In 9 trials, 2812 patients were randomized to receive warfarin, acenocoumarol, or
phenprocoumon according to a genotype-guided algorithm or a clinical dosing
algorithm. Follow-up ranged from 4 weeks to 6 months (median, 12 weeks). The
standardized difference in means of the percentage of time that the INR was
within the therapeutic range was 0.14 (95% CI, -0.10 to 0.39) in the genotype
guided dosing cohort (P = .25). The risk ratio for an INR greater than 4 was 0.92
(95% CI, 0.82 to 1.05) for genotype-guided dosing vs clinical dosing. The risk
ratios for major bleeding and thromboembolic events were 0.60 (95% CI, 0.29 to
1.22) and 0.97 (95% CI, 0.46 to 2.05), respectively, for genotype-guided vs
clinical dosing. CONCLUSIONS AND RELEVANCE: In this meta-analysis of randomized
clinical trials, a genotype-guided dosing strategy did not result in a greater
percentage of time that the INR was within the therapeutic range, fewer patients
with an INR greater than 4, or a reduction in major bleeding or thromboembolic
events compared with clinical dosing algorithms.
PMID- 24935088
TI - Usefulness of contrast-enhanced 3-dimensional T1-VISTA in the diagnosis of facial
neuritis: comparison with contrast-enhanced T1-TSE.
AB - BACKGROUND AND PURPOSE: Compared with 2-dimensional imaging, 3D-reformatted
imaging is a valuable technique that offers improved anatomic accuracy with
minimal flow artifact and thinner sections without gaps between slices. Our aim
was to evaluate the usefulness of CE 3D T1-VISTA with fat suppression sequences
compared with CE T1-TSE with fat suppression sequences in patients with facial
neuritis. MATERIAL AND METHODS: The study enrolled 32 consecutive patients who
underwent IAC MR imaging for Bell's palsy. IAC MR scanning, including CE T1-VISTA
and CE T1-TSE, was performed in all patients using a 3T scanner. The order of the
scans was random. Signal intensity was measured at three segments (canalicular,
labyrinthine, and anterior genu) of the facial nerve by drawing a ROI along the
affected side and the normal side in each segment. We compared the quantitative
CR of the two MR sequences with paired t-tests. RESULTS: In all three segments,
the lesion-to-normal contrast ratios on CE 3D T1-VISTA (canalicular: 2.32;
labyrinthine: 2.22; anterior genu: 1.97) were greater than those on CE T1-TSE
(canalicular: 2.17; labyrinthine: 1.72; anterior genu: 1.68). The labyrinthine
and anterior genu segments had significantly higher lesion-to-normal contrast
ratios on CE 3D T1-VISTA in patients with facial neuritis (labyrinthine: P<0.001;
anterior genu: P=0.002). CONCLUSION: CE 3D T1-VISTA was superior to CE T1-TSE in
terms of image contrast between lesions and the normal facial nerve.
PMID- 24935089
TI - Multiparametric 3T MRI in the evaluation of intraglandular prostate cancer:
correlation with histopathology.
AB - INTRODUCTION: Prostate cancer is common and may be treated immediately or managed
conservatively by observation. We sought to determine how reliable
multiparametric MRI is in the detection of intraprostatic prostate cancer and
what role it has in risk stratification. METHODS: The histology from 38 whole
mount prostate specimens was compared with preoperative multiparametric 3T MRI
studies with an endorectal receiver coil in place. T1-weighted, T2-weighted,
diffusion (b values 50 400 800), perfusion (Ve , Kep , Ktrans , area under the
curve) and proton spectroscopic sequences were used. RESULTS: For cancers greater
than 0.5 cc, the detection rate for combined T2-weighted imaging and diffusion
weighted imaging (DWI) was 85%. For cancers 0.1 cc-0.5 cc, the sensitivity was
52%.Per patient, false positive rate was 50% for combined T2-weighted imaging and
DWI. Perfusion imaging had a sensitivity of 70% for tumours greater than 0.5 cc
but had a per patient false positive rate of 80% influenced by benign prostatic
hypertrophy. In only 15 patients could a satisfactory spectroscopy study be
obtained. Weak correlation was found between the Gleason score and tumour size (r
= 0.51), apparent diffusion coefficient (ADC) (r = -0.30) and (choline +
creatine)/citrate ratio (r = 0.41). CONCLUSION: T2-weighted imaging and DWI in
combination were the best strategy for detecting prostate cancer and had a
sensitivity of 85% for detecting lesions greater than 0.5 cc. At 3T, an ADC
threshold of between 1100-1200.10(-6) mm(2) /s was optimal for diagnosing
prostate cancer. There are significant limitations in the use of perfusion and
spectroscopy to detect prostate cancer. Magnetic resonance imaging-targeted or
guided biopsy post-MRI imaging is likely to be needed in some patients to assist
risk stratification.
PMID- 24935090
TI - Auranofin and related heterometallic gold(I)-thiolates as potent inhibitors of
methicillin-resistant Staphylococcus aureus bacterial strains.
AB - A series of new heterometallic gold(I) thiolates containing ferrocenyl-phoshines
were synthesized. Their antimicrobial properties were studied and compared to
that of FDA-approved drug, auranofin (Ridaura), prescribed for the treatment of
rheumatoid arthritis. MIC in the order of one digit micromolar were found for
most of the compounds against Gram-positive bacteria Staphylococcus aureus and CA
MRSA strains US300 and US400. Remarkably, auranofin inhibited S. aureus, US300
and US400 in the order of 150-300 nM. This is the first time that the potent
inhibitory effect of auranofin on MRSA strains has been described. The effects of
a selected heterometallic compound and auranofin were also studied in a non
tumorigenic human embryonic kidney cell line (HEK-293).
PMID- 24935091
TI - Mono and dinuclear phosphinegold(I) sulfanylcarboxylates: influence of nuclearity
and substitution of PPh3 for PEt3 on cytotoxicity.
AB - Gold complexes of the type [Au(PEt3)(Hxspa)] were prepared by reacting
triethylphosphinegold(I) chloride in ethanol/water (8:1) with the 3-(aryl)-2
sulfanylpropenoic acids H2xspa [x=p=3-phenyl-; f=3-(2-furyl)-; t=3-(2-thienyl)-;
py=3-(2-pyridyl); Clp=3-(2-Chlorophenyl)-; -o-mp=3-(2-methoxyphenyl)-; -p-mp=3-(4
methoxyphenyl)-; -o-hp=3-(2-hydroxyphenyl)-; -p-hp=3-(4-hydroxyphenyl)-; -diBr-o
hp=3-(3,5-dibromo-2-hidroxyphenyl-); spa=2-sulfanylpropenoato] or 2
cyclopentylidene-2-sulfanylacetic acid (H2cpa) and KOH in a 1:1:1 mole ratio. The
compounds were characterized by IR spectroscopy and FAB mass spectrometry and by
(1)H, (13)C and (31)P NMR spectroscopy. The in vitro antitumor activity of these
and of the previously described dinuclear [(AuPEt3)2(xspa)] complexes against the
HeLa-229, A2780 and A2780cis cell lines was determined and compared with those of
the analogous PPh3 complexes. The results show that the substitution of the PPh3
ligand by PEt3 is particularly effective in increasing the cytotoxicity of the
dinuclear [(AuPR3)2(xspa)] complexes, giving rise to compounds that are
significantly more active than cisplatin against the aforementioned cell lines.
In addition, and as a preliminary test for nephrotoxicity, the cytotoxicity of
the most active compounds against the normal renal LCC-PK1 cell line was
evaluated and compared with that of cisplatin.
PMID- 24935092
TI - Copper(II) complexes of alloferon 1 with point mutations (H1A) and (H9A)
stability structure and biological activity.
AB - Mono- and polynuclear copper(II) complexes of the alloferon 1 with point
mutations (H1A) A(1)GVSGH(6)GQH(9)GVH(12)G (Allo1A) and (H9A)
H(1)GVSGH(6)GQA(9)GVH(12)G (Allo9A) have been studied by potentiometric, UV
visible, CD, EPR spectroscopic and mass spectrometry (MS) methods. To obtain a
complete complex speciation different metal-to-ligand molar ratios ranging from
1:1 to 4:1 for Allo1A and to 3:1 for Allo9A were studied. The presence of the His
residue in first position of the peptide chain changes the coordination abilities
of the Allo9A peptide in comparison to that of the Allo1A. Imidazole-N3 atom of N
terminal His residue of the Allo9A peptide forms stable 6-membered chelate with
the terminal amino group. Furthermore, the presence of two additional histidine
residues in the Allo9A peptide (H(6),H(12)) leads to the formation of the CuL
complex with 4N {NH2,NIm-H(1),NIm-H(6),NIm-H(12)} binding site in wide pH range
(5-8). For the Cu(II)-Allo1A system, the results demonstrated that at
physiological pH7.4 the predominant complex the CuH-1L consists of the 3N {NH2,N(
),CO,NIm} coordination mode. The inductions of phenoloxidase activity and
apoptosis in vivo in Tenebrio molitor cells by the ligands and their copper(II)
complexes at pH7.4 were studied. The Allo1A, Allo1K peptides and their copper(II)
complexes displayed the lowest hemocytotoxic activity while the most active was
the Cu(II)-Allo9A complex formed at pH7.4. The results may suggest that the N
terminal-His(1) and His(6) residues may be more important for their proapoptotic
properties in insects than those at positions 9 and 12 in the peptide chain.
PMID- 24935093
TI - Silver carboxylate metal-organic frameworks with highly antibacterial activity
and biocompatibility.
AB - Two novel Ag-based metal-organic frameworks (MOFs) [Ag2(O-IPA)(H2O).(H3O)] (1)
and [Ag5(PYDC)2(OH)] (2) were synthesized under the hydrothermal conditions using
aromatic-carboxylic acids containing hydroxyl and pyridyl groups as ligands (HO
H2IPA=5-hydroxyisophthalic acid and H2PYDC=pyridine-3, 5-dicarboxylic acid).
Single crystal X-ray diffraction indicated that two compounds exhibit three
dimensional frameworks constructed from different rod-shaped molecular building
blocks. Both compounds favor slow release of Ag(+) ions leading to excellent and
long-term antimicrobial activities towards Gram-negative bacteria, Escherichia
coli (E. coli) and Gram-positive bacteria, Staphylococcus aureus (S. aureus).
Their antibacterial potency was evaluated by using a minimal inhibition
concentration (MIC) benchmark and an inhibition zone testing. High-resolution
transmission electron microscope images indicate that the Ag-based MOFs could
rupture the bacterial membrane resulting in cell death. Hematological study
showed that these MOFs exhibit good biocompatibility in mice. In addition, good
thermal stability and optical stability under UV-visible and visible light are
beneficial for their antibacterial application.
PMID- 24935094
TI - American Medical Association calls for stricter regulation of electronic
cigarettes.
PMID- 24935096
TI - The practice of 'doing' evaluation: lessons learned from nine complex
intervention trials in action.
AB - BACKGROUND: There is increasing recognition among trialists of the challenges in
understanding how particular 'real-life' contexts influence the delivery and
receipt of complex health interventions. Evaluations of interventions to change
health worker and/or patient behaviours in health service settings exemplify
these challenges. When interpreting evaluation data, deviation from intended
intervention implementation is accounted for through process evaluations of
fidelity, reach, and intensity. However, no such systematic approach has been
proposed to account for the way evaluation activities may deviate in practice
from assumptions made when data are interpreted. METHODS: A collective case study
was conducted to explore experiences of undertaking evaluation activities in the
real-life contexts of nine complex intervention trials seeking to improve
appropriate diagnosis and treatment of malaria in varied health service settings.
Multiple sources of data were used, including in-depth interviews with
investigators, participant-observation of studies, and rounds of discussion and
reflection. RESULTS AND DISCUSSION: From our experiences of the realities of
conducting these evaluations, we identified six key 'lessons learned' about ways
to become aware of and manage aspects of the fabric of trials involving the
interface of researchers, fieldworkers, participants and data collection tools
that may affect the intended production of data and interpretation of findings.
These lessons included: foster a shared understanding across the study team of
how individual practices contribute to the study goals; promote and facilitate
within-team communications for ongoing reflection on the progress of the
evaluation; establish processes for ongoing collaboration and dialogue between
sub-study teams; the importance of a field research coordinator bridging everyday
project management with scientific oversight; collect and review reflective field
notes on the progress of the evaluation to aid interpretation of outcomes; and
these approaches should help the identification of and reflection on possible
overlaps between the evaluation and intervention. CONCLUSION: The lessons we have
drawn point to the principle of reflexivity that, we argue, needs to become part
of standard practice in the conduct of evaluations of complex interventions to
promote more meaningful interpretations of the effects of an intervention and to
better inform future implementation and decision-making.
PMID- 24935095
TI - Distinct functions of the laminin beta LN domain and collagen IV during cardiac
extracellular matrix formation and stabilization of alary muscle attachments
revealed by EMS mutagenesis in Drosophila.
AB - BACKGROUND: The Drosophila heart (dorsal vessel) is a relatively simple tubular
organ that serves as a model for several aspects of cardiogenesis. Cardiac
morphogenesis, proper heart function and stability require structural components
whose identity and ways of assembly are only partially understood. Structural
components are also needed to connect the myocardial tube with neighboring cells
such as pericardial cells and specialized muscle fibers, the so-called alary
muscles. RESULTS: Using an EMS mutagenesis screen for cardiac and muscular
abnormalities in Drosophila embryos we obtained multiple mutants for two
genetically interacting complementation groups that showed similar alary muscle
and pericardial cell detachment phenotypes. The molecular lesions underlying
these defects were identified as domain-specific point mutations in LamininB1 and
Cg25C, encoding the extracellular matrix (ECM) components laminin beta and
collagen IV alpha1, respectively. Of particular interest within the LamininB1
group are certain hypomorphic mutants that feature prominent defects in cardiac
morphogenesis and cardiac ECM layer formation, but in contrast to amorphic
mutants, only mild defects in other tissues. All of these alleles carry clustered
missense mutations in the laminin LN domain. The identified Cg25C mutants display
weaker and largely temperature-sensitive phenotypes that result from glycine
substitutions in different Gly-X-Y repeats of the triple helix-forming domain.
While initial basement membrane assembly is not abolished in Cg25C mutants,
incorporation of perlecan is impaired and intracellular accumulation of perlecan
as well as the collagen IV alpha2 chain is detected during late embryogenesis.
CONCLUSIONS: Assembly of the cardiac ECM depends primarily on laminin, whereas
collagen IV is needed for stabilization. Our data underscore the importance of a
correctly assembled ECM particularly for the development of cardiac tissues and
their lateral connections. The mutational analysis suggests that the
beta6/beta3/beta8 interface of the laminin beta LN domain is highly critical for
formation of contiguous cardiac ECM layers. Certain mutations in the collagen IV
triple helix-forming domain may exert a semi-dominant effect leading to an
overall weakening of ECM structures as well as intracellular accumulation of
collagen and other molecules, thus paralleling observations made in other
organisms and in connection with collagen-related diseases.
PMID- 24935097
TI - Effects of prior oral exposure to combinations of environmental immunosuppressive
agents on ovalbumin allergen-induced allergic airway inflammation in Balb/c mice.
AB - Abstract Humans are exposed daily to multiple environmental chemicals in the
atmosphere, in food, and in commercial products. Therefore, hazard identification
and risk management must account for exposure to chemical mixtures. The objective
of the study reported here was to investigate the effects of combinations of
three well-known environmental immunotoxic chemicals - methoxychlor (MXC), an
organochlorine compound; parathion (PARA), an organophosphate compound; and
piperonyl butoxide (PBO), an agricultural insecticide synergist - by using a
mouse model of ovalbumin (OVA)-induced allergic airway inflammation. Four-week
old Balb/c mice were exposed orally to either one or two of the environmental
immunotoxic chemicals for five consecutive days, prior to intraperitoneal
sensitization with OVA and an inhalation challenge. We assessed IgE levels in
serum, B-cell counts, and cytokine production in hilar lymph nodes, and
differential cell counts and levels of related chemokines in bronchoalveolar
lavage fluid (BALF). Mice treated with MXC + PARA or PBO + MXC showed marked
increases in serum IgE, IgE-positive B-cells and cytokines in lymph nodes, and
differential cell counts and related chemokines in BALF compared with mice that
received the vehicle control or the corresponding individual test substances.
These results suggest that simultaneous exposure to multiple environmental
chemicals aggravates allergic airway inflammation more than exposure to
individual chemicals. It is expected that the results of this study will help
others in their evaluation of immunotoxic combinational effects when conducting
assessments of the safety of environmental/occupational chemicals.
PMID- 24935098
TI - Current evidence of transurethral Ho:YAG and Tm:YAG treatment of bladder cancer:
update 2014.
AB - INTRODUCTION: Bladder cancer (BC) represents a growing health care problem
worldwide. In times of tight budgets and an aging society, new strategies for the
transurethral treatment of BC are needed. Laser devices used for tumor
vaporization and/or en bloc resection provide an alternative to parvenu
strategies. MATERIALS AND METHODS: Medline/Cochrane search was performed using
following terms: bladder cancer, urothelial carcinoma, laser, en bloc,
vaporization, photoablation, holmium, thulium, Ho:YAG, Tm:YAG, HoLRBT and TmLRBT.
Last date of search was February 12, 2014. RESULTS: Eighteen publications in
English were identified including 800 patients (Ho:YAG = 652 patients and Tm:YAG
= 148 patients). Data on en bloc resection techniques were presented in 10
publications, 7 publications provided data of tumor vaporization and one
publication presented data on both. Level of evidence based on SIGN is mainly 3
(non-analytic studies); only three studies are level 2 (prospective case control
studies). Tumor vaporization seems to be a promising alternative for the
treatment of recurrent tumors in selected patients. It can be performed in an
office-based approach without the need of general anesthesia. The use of
photodynamic diagnostic might enhance surgical quality. The principle of en bloc
resection should provide accurate staging in most cases; however, data on this
important aspect are missing. Peri- and postoperative complications are scarce.
Due to the nature of the energy source, bladder perforation caused by obturator
nerve reflex is highly unlikely when using lasers. There is a trend toward
decreased infield recurrence rates. CONCLUSIONS: Lasers are potentially useful
alternatives to conventional TURBT, but systematical assessments using
standardized classification systems and well-designed RCTs are needed to make
results comparable.
PMID- 24935099
TI - Effects of mutual shading on the regulation of photosynthesis in field-grown
sorghum.
AB - In the field, close planting inevitably causes mutual shading and depression of
leaf photosynthesis. To clarify the regulative mechanisms of photosynthesis under
these conditions, the effects of planting density on leaf structure, gas exchange
and proteomics were carefully studied in field-grown sorghum. In the absence of
mineral deficiency, (1) close planting induced a significant decrease in light
intensity within populations, which further resulted in much lower stomatal
density and other anatomical characteristics associated with shaded leaves; (2)
sorghum grown at high planting density had a lower net photosynthetic rate and
stomatal conductance than those grown at low planting density; (3) approximately
62 protein spots changed their expression levels under the high planting density
conditions, and 22 proteins associated with photosynthesis were identified by
mass spectrometry. Further analysis revealed the depression of photosynthesis
caused by mutual shading involves the regulation of leaf structure, absorption
and transportation of CO2, photosynthetic electron transport, production of
assimilatory power, and levels of enzymes related to the Calvin cycle.
Additionally, heat shock protein and oxygen-evolving enhancer protein play
important roles in photoprotection in field-grown sorghum. A model for the
regulation of photosynthesis under mutual shading was suggested based on our
results.
PMID- 24935100
TI - Understanding delayed access to antenatal care: a qualitative interview study.
AB - BACKGROUND: Delayed access to antenatal care ('late booking') has been linked to
increased maternal and fetal mortality and morbidity. The aim of this qualitative
study was to understand why some women are late to access antenatal care.
METHODS: 27 women presenting after 19 completed weeks gestation for their first
hospital booking appointment were interviewed, using a semi-structured format, in
community and maternity hospital settings in South Yorkshire, United Kingdom.
Interviews were transcribed verbatim and entered onto NVivo 8 software. An
interdisciplinary, iterative, thematic analysis was undertaken. RESULTS: The late
booking women were diverse in terms of: age (15-37 years); parity (0-4);
socioeconomic status; educational attainment and ethnicity. Three key themes
relating to late booking were identified from our data: 1) 'not knowing':
realisation (absence of classic symptoms, misinterpretation); belief (age,
subfertility, using contraception, lay hindrance); 2) 'knowing': avoidance
(ambivalence, fear, self-care); postponement (fear, location, not valuing care,
self-care); and 3) 'delayed' (professional and system failures,
knowledge/empowerment issues). CONCLUSIONS: Whilst vulnerable groups are strongly
represented in this study, women do not always fit a socio-cultural stereotype of
a 'late booker'. We report a new taxonomy of more complex reasons for late
antenatal booking than the prevalent concepts of denial, concealment and
disadvantage. Explanatory sub-themes are also discussed, which relate to
psychological, empowerment and socio-cultural factors. These include poor
reproductive health knowledge and delayed recognition of pregnancy, the influence
of a pregnancy 'mindset' and previous pregnancy experience, and the perceived
value of antenatal care. The study also highlights deficiencies in early
pregnancy diagnosis and service organisation. These issues should be considered
by practitioners and service commissioners in order to promote timely antenatal
care for all women.
PMID- 24935102
TI - Theoretical predictions of isotope effects versus their experimental values for
an example of uncatalyzed hydrolysis of atrazine.
AB - Kinetic isotope effects are one of the most powerful experimental techniques for
establishing the nature of a chemical process. However their interpretation very
often seeks support from electronic structure calculations in order to get
detailed information regarding the transition state which is not experimentally
available. For an example of atrazine hydrolysis we have shown how the match
between experimentally and theoretically determined magnitudes of carbon,
nitrogen and chlorine kinetic isotope effects can be used to discuss the
mechanism under different reaction conditions. Two different density functionals
combined with the explicit presence of solvent molecules and a continuum
solvation model revealed that although the reaction proceeds via the same
concerted mechanism regardless of the reaction conditions the transition state
structure for an acid and base-catalyzed pathway is different.
PMID- 24935101
TI - Antiproliferative activity and induction of apoptotic by ethanolic extract of
Alpinia galanga rhizhome in human breast carcinoma cell line.
AB - BACKGROUND: We investigated the potential of galangal rhizomes to induce
cytotoxic and apoptotic effects in the cultured human breast carcinoma cell line,
(MCF-7) in compare with the non-malignant (MRC-5) cells. METHODS: Both cells were
cultured in DMEM medium and treated with galangal rhizomes for three consecutive
days. The percentage of apoptotic cells was determined by flow cytometry using
Annexin-V fluorescein isothiocyanate. RESULTS: The results showed that the
ethanolic extract of galangal rhizomes decreased cell viability in the malignant
cells as a concentration- and time- dependent manner. The IC50 values against MCF
7 were determined at 400.0 +/- 11.7 and 170.0 +/- 5.9 MUg/ml after 48 and 72 h
respectively. The morphology of MCF-7 cells treated with the ethanolic extract
confirmed the cell proliferation assay results. Alpinia galanga induced apoptosis
in MCF-7 cells, as determined by flow cytometry. CONCLUSIONS: We concluded that
the extract of Alpinia galanga exerts pro-apoptotic effects in a breast cancer
derived cell line and could be considered as a potential chemotherapeutic agent
in breast cancer.
PMID- 24935103
TI - An innovative synergistic grid approach to the computational study of protein
aggregation mechanisms.
AB - Thanks to the advances in grid technologies, we are able to propose here an
evolution of our molecular simulator that, when moving to larger systems, instead
of reducing the granularity of the dynamical treatment (as is often done in
molecular dynamics studies of such systems) exploits the extra power of the grid
approach to the end of preserving the detailed nature of theatomistic formulation
of the interaction. Key steps of such evolution are: (1) the assemblage of the
interaction based on a composition of the ab initio intramolecular data and a
portable parameterization of the intermolecular potential linking ab initio
evaluation of intramolecular potentials and the partitioning of molecular
polarizability; (2) the exploitation of an efficient coordinated porting and
running of molecular dynamics codes on the European grid distributed computing
infrastructure. As a prototype case study, the N-methylacetamide dimer in vacuo
has been considered and the formation of possible conformers is analyzed.
PMID- 24935104
TI - Understanding electrostatic and steric requirements related to hypertensive
action of AT(1) antagonists using molecular modeling techniques.
AB - AT1 receptor is an interesting biological target involved in several important
diseases, such as blood hypertension and cardiovascular pathologies. In this
study we investigated the main electrostatic and steric features of a series of
AT1 antagonists related to hypertensive activity using structure and ligand-based
strategies (docking and CoMFA). The generated 3D model had good internal and
external consistency and was used to predict the potency of an external test set.
The predicted values of pIC50 are in good agreement with the experimental results
of biological activity, indicating that the 3D model can be used to predict the
biological property of untested compounds. The electrostatic and steric CoMFA
maps showed molecular recognition patterns, which were analyzed with structure
based molecular modeling studies (docking). The most and the least potent
compounds docked into the AT1 binding site were subjected to molecular dynamics
simulations with the aim to verify the stability and the flexibility of the
ligand-receptor interactions. These results provided valuable insights on the
electronic/structural requirements to design novel AT1 antagonists.
PMID- 24935105
TI - A rational reduction of CI expansions: combining localized molecular orbitals and
selected charge excitations.
AB - Based on localized molecular orbitals, the proposed method reduces large
configuration interaction (CI) spaces while maintaining agreement with reference
values. Our strategy concentrates the numerical effort on physically pertinent CI
contributions and is to be considered as a tool to tackle large systems including
numerous open-shells. To show the efficiency of our method we consider two 4
electron parent systems. First, we illustrate our approach by describing the van
der Waals interactions in the (H2)2 system. By systematically including local
correlation, dispersion and charge transfer mechanisms, we show that 90% of the
reference full CI dissociation energy of the H2 dimer is reproduced using only 3%
of the full CI space. Second, the conformational cis/trans rotation barrier of
the butadiene molecule is remarkably reproduced (97% of the reference value) with
less than 1% of the reference space. This work paves the way to numerical
strategies which afford the electronic structure determination of large open
shell systems avoiding the exponential limitation. At the same time, a physical
analysis of the contents of the wave function is offered.
PMID- 24935106
TI - A comparison of various optimization algorithms of protein-ligand docking
programs by fitness accuracy.
AB - In protein-ligand docking, an optimization algorithm is used to find the best
binding pose of a ligand against a protein target. This algorithm plays a vital
role in determining the docking accuracy. To evaluate the relative performance of
different optimization algorithms and provide guidance for real applications, we
performed a comparative study on six efficient optimization algorithms,
containing two evolutionary algorithm (EA)-based optimizers (LGA, DockDE) and
four particle swarm optimization (PSO)-based optimizers (SODock, varCPSO, varCPSO
ls, FIPSDock), which were implemented into the protein-ligand docking program
AutoDock. We unified the objective functions by applying the same scoring
function, and built a new fitness accuracy as the evaluation criterion that
incorporates optimization accuracy, robustness, and efficiency. The varCPSO and
varCPSO-ls algorithms show high efficiency with fast convergence speed. However,
their accuracy is not optimal, as they cannot reach very low energies. SODock has
the highest accuracy and robustness. In addition, SODock shows good performance
in efficiency when optimizing drug-like ligands with less than ten rotatable
bonds. FIPSDock shows excellent robustness and is close to SODock in accuracy and
efficiency. In general, the four PSO-based algorithms show superior performance
than the two EA-based algorithms, especially for highly flexible ligands. Our
method can be regarded as a reference for the validation of new optimization
algorithms in protein-ligand docking.
PMID- 24935107
TI - Substituent effects on molecular properties of dicarba-closo-dodecarborane
derivatives.
AB - In this paper we study the role played by substituent effects on reactivity and
NLO properties of ortho-, meta- and para- dicarba-closo-dodecarborane derivatives
at B3LYP/6-31G(d,p) level of theory. In addition correlations with Hammett
parameters of the substituents were established. In accordance with obtained
results the reactivity properties of derivatives have not been significantly
influenced by the isomer type, however the replaced para isomers were the most
sensitive to NLO calculations. Moreover, the push-pull para isomers were found to
be the most reactive and displayed the largest values of beta tot and dipole
moment.
PMID- 24935108
TI - Apparent basicities of the surfaces characterizing the dominant crystal habits of
distinct polymorphic forms of 4-aminosulfonamide.
AB - A new approach for estimating local basicities/acidities of groups exposed on
crystal surfaces was formulated and validated. The model, constructed within a
quantitative structure-property relationship (QSPR) framework, allowed the
expression of the protonic properties of amine and sulfonamide groups as
functions of simple molecular descriptors of geometric types. This enabled the
application of a QM/MM approach for the structural optimization of SNM molecules
located on the surfaces of the dominant crystal habits. The obtained pKa values
were used for classification of the protonic properties of four p
aminosulfonamide (SNM) polymorphs. The computed distributions of the surface pK a
values suggested that, for all polymorphs, the amino group has statistically the
same proton-accepting ability on the crystal surface as in bulk water solution.
Although sulfonamide groups on the crystal surface-especially those distributed
on beta- and gamma-dominant faces-seem to be more acidic compared to bulk water
solution, the pK a values are statistically indistinguishable irrespective of the
morphology. This suggests that experimentally observed differences in the
perichromic properties of SNM polymorphs do not arise from local pH changes,
Thus, apparent local basicities are to be relaed to structural similarity of SNM
surfaces and thymol blue conformers anabling direct interactions.
PMID- 24935109
TI - Theoretical study on the reaction mechanism of carbaryl with OH radicals.
AB - A theoretical investigation on the multiple-channel degradation mechanism of
carbaryl with .OH in the atmosphere was completed by the variational transition
state theory. Eleven reaction channels have been identified using the density
functional theory. The equilibrium geometries and the corresponding harmonic
vibrational frequencies of the stationary points were obtained at the B3LYP/6
31++G(d,p) level. The minimum energy paths were calculated at the same level, and
energetic information was further refined at the B3LYP/6-31++G(3df,2p) level. The
rate constants of the 11 reaction channels were calculated by improved canonical
variational transition state theory with small-curvature tunneling correction
over the temperature range 200-800 K. The total rate constants are in good
agreement with available experimental data and the three-parameter expression
k(T) = 1.85 * 10(-21) T (3.44)exp(1180.18/T) cm(3) molecule(-1) s(-1) was given.
PMID- 24935110
TI - DFT study of sulfur derivatives of cumulenes and their protonated forms of
interstellar interest and calculations of dissociation energies of protonated
forms (SC(CH)C(n-2)S)(+) (n = 3-8).
AB - A theoretical study of the sulfur cumulenes SCnS (n = 3-8), CnS ( n = 1-8) and of
their protonated forms (SCnS)H(+) and (CnS)H(+) that might exist in the
interstellar environment, has been carried out by means of the standard B3LYP/6
311G** method. The geometries and relative energies of singlet and triplet states
according to the number of carbons have been computed. Like neutral species, we
have found that the ground state of the most stable protonated forms (SC(CH)Cn
2S)(+) and ((HC)Cn-1S)(+), alternates between a triplet state for the even series
and a singlet state for the odd series. We provided the data needed to simulate
infrared and microwave spectra (vibration frequencies, dipole moments, and
rotational constants) for each protonated species (SCnS)H(+) and (CnS)H(+) and
for each neutral CnS species. The computing of dissociation energies of the most
stable protonated forms (SC(CH)Cn-2S)(+) (n = 3-8) has shown that the lowest
values are obtained for the dissociation of compounds with an even number of
carbons, in their triplet state, which produce the observed fragments CS and C3S.
The dissociation of even protonated forms requires less energy than for the odd
protonated forms.
PMID- 24935112
TI - Potential energy function information from quantum phase shift using the variable
phase method.
AB - The present work discusses quantum phase shift sensitivity analysis with respect
to the potential energy function. A set of differential equations for the
functional derivative of the quantum phase shift with respect to the potential
energy function was established and coupled with the variable phase equation.
This set of differential equations provides a simple, exact and straightforward
way to establish the sensitivity matrix. The present procedure is easier to use
than the finite difference approach, in which several direct problems have to be
addressed. Furthermore, integration of the established equations can be used to
demonstrate how the sensitivity phase shift is accumulated as a function of the
interatomic distance. The potential energy function was refined to produce a
better quality function. The average error on the phase shift decreased from 9.8%
in the original potential function to 0.13% in the recovered potential. The
present procedure is an important initial step for further work towards
recovering potential energy functions in upper dimensions or to recovering this
function from cross sections.
PMID- 24935111
TI - Evaluation of active designs of cephalosporin C acylase by molecular dynamics
simulation and molecular docking.
AB - Optimization to identify the global minimum energy conformation sequence in in
silico enzyme design is computationally non-deterministic polynomial-time (NP)
hard, with the search time growing exponentially as the number of design sites
increases. This drawback forces the modeling of protein-ligand systems to adopt
discrete amino acid rotamers and ligand conformers, as well as continuum solvent
treatment of the environment; however, such compromises produce large numbers of
false positives in sequence selection. In this report, cephalosporin acylase,
which catalyzes the hydrolytic reaction of cephalosporin C to 7
aminocephalosporanic acid, was used to investigate the dynamic features of active
site-transition-state complex structures using molecular dynamics (MD)
simulations to potentially eliminate false positives. The molecular docking
between cephalosporin C and wild type acylase N176 and its eight mutants showed
that the rate-limiting step in the hydrolytic reaction of cephalosporin C is the
acylation process. MD simulations of the active-site-transition-state complex
structures of the acylation processes for N176 and its eight mutants showed that
the geometrical constraints between catalytic residues and small molecule
transition states are always well maintained during the 20 ns simulation for
mutants with higher activities, and more hydrogen bonds between binding residues
and functional groups of the ligand side chain in the active pocket are formed
for mutants with higher activities. The conformations of the ligand transition
states were changed greatly after the simulation. This indicates that the
hydrogen bond network between the ligand and protein could be improved to enhance
the activity of cephalosporin C acylase in subsequent design.
PMID- 24935113
TI - Elucidating the structural basis of diphenyl ether derivatives as highly potent
enoyl-ACP reductase inhibitors through molecular dynamics simulations and 3D-QSAR
study.
AB - Diphenyl ether derivatives are good candidates for anti-tuberculosis agents that
display a promising potency for inhibition of InhA, an essential enoyl-acyl
carrier protein (ACP) reductase involved in fatty acid biosynthesis pathways in
Mycobacterium tuberculosis. In this work, key structural features for the
inhibition were identified by 3D-QSAR CoMSIA models, constructed based on
available experimental binding properties of diphenyl ether inhibitors, and a set
of four representative compounds was subjected to MD simulations of inhibitor
InhA complexes for the calculation of binding free energies. The results show
that bulky groups are required for the R1 substituent on the phenyl A ring of the
inhibitors to favor a hydrophobic pocket formed by residues Phe149, Met155,
Pro156, Ala157, Tyr158, Pro193, Met199, Val203, Leu207, Ile215, and Leu218. Small
substituents with a hydrophilic property are required at the R3 and R4 positions
of the inhibitor phenyl B rings to form hydrogen bonds with the backbones of
Gly96 and Met98, respectively. For the R2 substituent, small substituents with
simultaneous hydrophilic or hydrophobic properties are required to favor the
interaction with the pyrophosphate moiety of NAD(+) and the methyl side chain of
Ala198, respectively. The reported data provide structural guidance for the
design of new and potent diphenyl ether-based inhibitors with high inhibitory
activities against M. tuberculosis InhA.
PMID- 24935114
TI - Medium-term outcome of recipients of marginal donor hearts selected with new
stress-echocardiographic techniques over standard criteria.
AB - BACKGROUND: Heart transplantation is limited by severe donor organ shortage.
Regardless of the changes made in the acceptance of marginal donors, any such
mechanism cannot be considered successful unless recipient graft survival rates
remain acceptable. A stress echo-driven selection of donors has proven successful
in older donors with normal left ventricular resting function and in standard
donors with reversible resting left ventricular dysfunction acutely improving
during stress, or slowly improving (over hours) during intensive hormonal
treatment. Aim of this study is to assess the medium-term outcome of recipients
of marginal donor hearts selected with new echocardiographic techniques over
standard criteria. METHODS AND RESULTS: We enrolled 43 recipients of marginal
donor hearts: age > 55 years, or < 55 years but with concomitant risk factors, n
= 32; acutely improving during stress, n = 3; or slowly improving during hormonal
treatment, n = 8. At follow-up (median, 30 months; interquartile range, 21-52
months), 37 of the recipients were still alive. One-year survival was 93%.
CONCLUSION: The strict use of new stress-echocardiographic techniques over
standard criteria of marginal donor management, together with comprehensive
monitoring of the donor, has the potential to substantially increase the number
of donor hearts without adverse effects on recipient medium-term outcome.
PMID- 24935115
TI - Pregnancy during breast cancer: does a mother's parity status modify an
offspring's mortality risk?
AB - To assess whether children born to primiparous women around the time of a breast
cancer diagnosis have an increased mortality risk. From the merged Swedish Multi
Generation and Cancer Registers, we identified 49,750 eligible children whose
mother was diagnosed with breast cancer between 1958 and 2010. Mortality rates in
offspring were compared to the background population using standardized mortality
ratios (SMR), adjusted for calendar year of birth, attained age, and sex, and
calculated for each category of timing of delivery (before, around, or after
mother's diagnosis) and mother's parity status. Hazard ratios were assessed using
a Cox proportional hazards model and adjusted for socioeconomic status, year of
birth and mother's age at birth. Children born to a primiparous woman around a
breast cancer diagnosis had a mortality rate five times greater than the
background population (SMR 5.26, 95 % CI 1.93-11.5), whereas children born to a
multiparous woman had a twofold increase (SMR 2.40, 95 % CI 1.10-4.55). Children
of primiparous women born around diagnosis had an adjusted hazard ratio fourfold
to that of children of primiparous women born before their mother's diagnosis (HR
4.29, 95 % CI 1.68-8.91), whereas hazard ratios for children of primiparous or
multiparous women born at other times were not statistically significant.
Children born to primiparous women around a breast cancer diagnosis have an
increased relative mortality risk. Although relative risk is increased, in
absolute terms children born from a cancer complicated pregnancy do relatively
well. Additional investigations are needed to elucidate the reason(s) underlying
this observation before the information can be used to inform patient counseling
and clinical care.
PMID- 24935116
TI - Automated radiochemical synthesis and biodistribution of [11C]l-alpha
acetylmethadol ([11C]LAAM).
AB - Long-acting opioid agonists methadone and l-alpha-acetylmethadol (LAAM) prevent
withdrawal in opioid-dependent persons. Attempts to synthesize [(11)C]-methadone
for PET evaluation of brain disposition were unsuccessful. Owing, however, to
structural and pharmacologic similarities, we aimed to develop [(11)C]LAAM as a
PET ligand to probe the brain exposure of long-lasting opioids in humans. This
manuscript describes [(11)C]LAAM synthesis and its biodistribution in mice. The
radiochemical synthetic strategy afforded high radiochemical yield, purity and
specific activity, thereby making the synthesis adaptable to automated modules.
PMID- 24935117
TI - Evaluation of silica/ferrocyanide composite as a dual-function material for
simultaneous removal of 137Cs+ and 99TcO4- from aqueous solutions.
AB - A novel mesoporous silica-coated ferrocyanide (MSCFC) composite was successfully
synthesized and evaluated as a dual-function material for simultaneous removal of
(137)Cs(+) cations and (99)TcO4(-) anions from aqueous solutions. Sorption
behavior of both radionuclides on MSCFC under different experimental conditions
has been studied using a batch technique. Results revealed that about 100% of
(137)Cs(+) and 97% of (99)TcO4(-) were removed by MSCFC in the pH ranges of 2.2
12.4 and 4.1-9.5, respectively. Sorption kinetic data were analyzed by pseudo
first-order, pseudo-second-order and intraparticle diffusion kinetic models,
while Langmuir and Freundlich models were applied for the sorption isotherms. The
maximum sorption capacity of MSCFC for radiocesium was determined and compared
with other reported sorbents. Applicability of the coated ferrocyanide for
simultaneous removal of (137)Cs(+) and (99)TcO4(-) from low-level liquid
radioactive waste (LLLW) was also tested, and the data revealed that 99.91% and
98.34% were removed from (137)Cs(+) and (99)TcO4(-), respectively. It is
concluded that MSCFC exhibits excellent efficiency for simultaneous removal of
the mixed radionuclides with different charge from LLLW.
PMID- 24935118
TI - Spatial velocity distributions in pulse-wave propagation based on fluid-structure
interaction.
AB - In this paper, spatial velocity distributions in pulse-wave propagation based on
a fluid-structure interaction model are presented. The investigation is performed
using the assumption of laminar flow and a linear-elastic wall. The fluid
structure interaction scheme is constructed using the finite element method. The
results show that velocity distributions embody an obvious time delay in an
elastic tube model. Further, the fully developed flow is delayed and the velocity
values are increased in comparison with a rigid tube model. The increase in the
wall thickness makes the time delay between the velocity peaks of different sites
smaller while the time delay between the velocity minima is unchanged. Similarly,
the time delay between the velocity bottoms is more easily found when decreasing
the internal radius. The model gives valid results for spatial velocity
distributions, which provide important information for wave propagation.
PMID- 24935120
TI - Genetics: Fracture risk in acromegaly.
PMID- 24935121
TI - Therapy: Statin potency is associated with risk of new-onset diabetes in patients
with CVD.
PMID- 24935119
TI - Obesity and cancer--mechanisms underlying tumour progression and recurrence.
AB - Over the past several years, the field of cancer research has directed increased
interest towards subsets of obesity-associated tumours, which include mammary,
renal, oesophageal, gastrointestinal and reproductive cancers in both men and
women. The increased risk of breast cancer that is associated with obesity has
been widely reported; this has drawn much attention and as such, warrants
investigation of the key mechanisms that link the obese state with cancer
aetiology. For instance, the obese setting provides a unique adipose tissue
microenvironment with concomitant systemic endocrine alterations that favour both
tumour initiation and progression. Major metabolic differences exist within
tumours that distinguish them from non-transformed healthy tissues. Importantly,
considerable metabolic differences are induced by tumour cells in the stromal
vascular fraction that surrounds them. The precise mechanisms that underlie the
association of obesity with cancer and the accompanying metabolic changes that
occur in the surrounding microenvironment remain elusive. Nonetheless, specific
therapeutic agents designed for patients with obesity who develop tumours are
clearly needed. This Review discusses recent advances in understanding the
contributions of obesity to cancer and their implications for tumour treatment.
PMID- 24935124
TI - Keys to the species of Neolebouria Gibson, 1976 (Digenea: Opecoelidae:
Plagioporinae), with a redescription of Neolebouria truncata (Linton, 1940).
AB - Three keys to the species of Neolebouria Gibson, 1976, representing three body
types, are provided based on the location of the genital pore relative to the
intestinal bifurcation. These are: the lanceolata body type, where the genital
pore is clearly prebifurcal; the diacopae body type, where the genital pore is
located at or near the level of the intestinal bifurcation; and the maorum body
type, where the genital pore is clearly postbifurcal. Fifteen species were
assigned to the lanceolata body type; eight species to the diacopae body type;
and four species to the maorum body type. Neolebouria truncata (Linton, 1940) is
redescribed and N. georgiensis Gibson, 1976 is reinstated as a valid species.
Neither N. georgiensis, nor N. antarctica Szidat & Graefe, 1967 (syn. Crassicutis
antarcticus Szidat & Graefe, 1967), as described by Zdzitowiecki et al. (1993),
represent N. antarctica, as originally described, and N. georgiensis and N.
antarctica, as described by Zdzitowiecki et al. (1993), may be conspecific.
PMID- 24935123
TI - Monorchiid trematodes of the painted sweetlips, Diagramma labiosum (Perciformes:
Haemulidae), from the southern Great Barrier Reef, including a new genus and
three new species.
AB - Five monorchiid species are reported from Diagramma labiosum Macleay
(Perciformes: Haemulidae) collected from Heron Island on the southern Great
Barrier Reef (GBR): two described species, Helicometroides longicollis Yamaguti,
1934 and Diplomonorchis kureh Machida, 2005 and three new species, including one
new genus, Asymmetrostoma heronensis n. g., n. sp., Lasiotocus arrhichostoma n.
sp. and Proctotrema addisoni n. sp. Helicometroides longicollis and D. kureh were
previously reported from the closely related species Diagramma pictum (Thunberg)
from Japan. Two further monorchiid species known from D. pictum, Genolopa
plectorhynchi (Yamaguti, 1934) and Paraproctotrema fusiforme Yamaguti, 1934,
appear to be absent from the southern Great Barrier Reef. Previous reports of two
other monorchiids from D. labiosum from the GBR, Paramonorcheides pseudocaranxi
Dove & Cribb, 1998 and Helicometroides vitellosus (Durio & Manter, 1968), are
shown to have been made in error. The high richness of monorchiids and other
trematode families in D. labiosum is consistent with that seen in other haemulids
elsewhere.
PMID- 24935125
TI - Syringophilid mites (Acari: Syringophilidae) associated with the rails (Aves:
Rallidae) and a key to the species of the genus Rafapicobia Skoracki, 2011.
AB - The fauna of quill mites of the family Syringophilidae Lavoipierre, 1953 (Acari:
Prostigmata Cheyletoidea) parasitising birds of the family Rallidae Vigors
(Gruiformes) is updated. A new species, Rafapicobia melzeri n. sp. (subfamily
Picobiinae), is described from four host species: Rallus aquaticus Linnaeus (type
host) from Germany, Pardirallus sanguinolentus (Swainson) from Chile, Porzana
porzana (Linnaeus) from France and P. parva (Scopoli) from Kirghizia. The new
species is most similar to R. lepidocolaptesi Skoracki & Solarczyk, 2012 but
differs in the absence of agenital plates and the length ratios of setae ag2:g1
and vi:ve:si in females and in the punctate ornament on the hysteronotal and the
pygidial shields in males. A key to the species of the genus Rafapicobia is
proposed. This is the first record of a representative of the subfamily
Picobiinae on gruiform birds. Additionally, new rallid hosts are reported for
Charadriphilus ralli Skoracki & Bochkov, 2010 (subfamily Syringophilinae):
Gallinula melanops (Vieillot) from Chile, Laterallus melanophaius (Vieillot) from
Paraguay, and P. parva (Scopoli) from Kirghizia.
PMID- 24935122
TI - Possible fetal determinants of male infertility.
AB - Although common reproductive problems, such as male infertility and testicular
cancer, present in adult life, strong evidence exists that these reproductive
disorders might have a fetal origin. The evidence is derived not only from large
epidemiological studies that show birth-cohort effects with regard to testicular
cancer, levels of testosterone and semen quality, but also from histopathological
observations. Many infertile men have histological signs of testicular
dysgenesis, including Sertoli-cell-only tubules, immature undifferentiated
Sertoli cells, microliths and Leydig cell nodules. The most severe gonadal
symptoms occur in patients with disorders of sexual development (DSDs) who have
genetic mutations, in whom even sex reversal of individuals with a 46,XY DSD can
occur. However, patients with severe DSDs might represent only a small proportion
of DSD cases, with milder forms of testicular dysgenesis potentially induced by
exposure to environmental and lifestyle factors. Interestingly, maternal smoking
during pregnancy has a stronger effect on spermatogenesis than a man's own
smoking. Other lifestyle factors such as alcohol consumption and obesity might
also have a role. However, increasing indirect evidence exists that exposure to
ubiquitous endocrine disrupting chemicals, present at measurable concentrations
in individuals, might affect development of human fetal testis. If confirmed,
health policies to prevent male reproductive problems should not only target
adult men, but also pregnant women and their children.
PMID- 24935126
TI - A new species of Bathycreadium Kabata, 1961 (Digenea: Opecoelidae) from Phycis
blennoides (Brunnich) (Gadiformes: Phycidae) in the western Mediterranean.
AB - Bathycreadium brayi n. sp. is described based on specimens collected from the
deep-sea greater forkbeard Phycis blennoides (Brunnich) (Gadiformes: Phycidae) in
the Western Mediterranean. The new species is distinguished from B. flexicolle
Kabata, 1961, B. elongata (Maillard, 1970) and B. nanaflexicolle Dronen, Rubec &
Underwood, 1977 by the much smaller size of the body and most organs, the large
(in relation to body length and width) ventral sucker and the distinctly more
anterior extent of the vitelline fields and from B. biscayense Bray, 1973 in
having a narrower body, longer forebody and oesophagus, distinctly smaller
ventral sucker and sucker width ratio and the shape of the gonads. Re-examination
of the type-material of B. biscayense revealed that the original description of
this species is based on composite material. A redescription of B. biscayense
based on adult specimens from its type-host, Trachyrincus scabrus (Rafinesque),
and new data based on the re-examination of the type-specimens of B. flexicolle
and B. elongata are also provided.
PMID- 24935127
TI - Description of raabeia, synactinomyxon and neoactinomyxum developing stages of
myxosporeans (Myxozoa) infecting Isochaetides michaelseni Lastockin (Tubificidae)
in Lake Balaton and Kis-Balaton Water Reservoir, Hungary.
AB - Molecular and morphometric investigations were conducted on the actinosporean
morphotypes of myxosporeans surveyed in oligochaetes of Lake Balaton and Kis
Balaton Water reservoir. Oligochaetes belonging to the species Isochaetides
michaelseni Lastockin and Branchiura sowerbyi Beddard as well as to the genera
Nais Dujardin, Dero Muller and Aeolosoma Ehrenberg were studied during an 18
month period. Actinosporeans were obtained exclusively from I. michaelseni (7,818
specimens) with very low prevalence (0.01-0.06%). Four new actinosporean
morphotypes of the collective groups raabeia (2 types), synactinomyxon (1 type)
and neoactinomyxum (1 type) were found and described, including the first
synactinomyxon collective group from Hungarian biotopes and a new raabeia
morphotype. Except for Synactinomyxon type 1, the 18S rDNA analysis revealed that
the spores did not match any myxospore entity found in the GenBank.
PMID- 24935128
TI - Amblyomma hadanii n. sp. (Acari: Ixodidae), a tick from northwestern Argentina
previously confused with Amblyomma coelebs Neumann, 1899.
AB - All stages of Amblyomma hadanii n. sp. (Acari: Ixodidae) are described from
northwestern Argentina. The diagnostic characters for males are a combination of
the pattern of scutal ornamentation, basis capituli dorsally rectangular with
cornua, coxa I with two subequal spurs (the internal wider, the external longer),
coxae II-III with a single spur, coxa IV with a single spur not reaching level of
anus, ventral plates irregular in shape (larger and sometimes with a small
incision on festoons 4, 5 and 6) and hypostome spatulate with dental formula 3/3
in 7-8 rows. The diagnostic characters for the females are a combination of
scutal ornamentation, postero-lateral margins of scutum slightly convex, coxa I
with two subequal spurs (the internal wider, the external longer), basis capituli
dorsally rectangular, porose areas rounded, genital aperture U-shaped, and
hypostome spatulate with dental formula 3/3 in 7-8 rows. Diagnosis of nymphs can
be performed by a combination of basis capituli rectangular, scutum with large
punctations in the lateral fields and small punctations in the central field, and
cervical groove short and ending as a small shallow depression at the eye level.
Larvae are diagnosed by the shape of basis capituli, scutum with with posterior
margin slightly convex, and legs with coxa I with 2 triangular spur (the external
longer than the internal), and with coxae II and III each with 1 triangular spur.
The hosts recorded for this new tick species are Tapirus terrestris (Linnaeus),
horse, cattle, dog and humans. Analyses of a 410 bp fragment of the mitochondrial
16S rRNA gene and the complete sequence of the nuclear 18S rRNA gene supported
the description of A. hadanii as a new species.
PMID- 24935129
TI - A new species of Parapanteles Ashmead, 1900 (Hymenoptera: Braconidae:
Microgastrinae) parasitic on Charaxes athamas (Drury) (Lepidoptera: Nymphalidae)
in India.
AB - A new species of gregarious endoparasitoid, Parapanteles athamasae n. sp.
(Hymenoptera: Braconidae), parasitising caterpillars of Charaxes athamas (Drury)
(Lepidoptera: Nymphalidae) on the host plant Senegalia catechu (=Acacia catechu)
(L.f.) Hurter & Mabb., is described from Maharashtra, India. Diagnostic
characters of the new species include: propodeum with areola 0.93* longer than
wide, legs yellow, hind tibia 4.30* as long as ovipositor, ovipositor sheaths
exerted, first metasomal tergal plate 1.24* longer than wide, with coarse
sculpture merging with longitudinal striations at 3/4 of the apical region. This
is the first time a species of the family Nymphalidae Rafinesque is recorded in
association with Parapanteles Ashmead, 1900. A key to the Indian species of
Parapanteles based on females is also provided.
PMID- 24935130
TI - A new species of chondracanthid copepod parasitic in the pharynx of hagfishes
(Myxiniformes: Myxinidae) from off Taiwan.
AB - A new species of Acanthochondria Oakley, 1927 (Copepoda, Poecilostomatoida,
Chondracanthidae), parasitic in the pharynx of hagfishes Eptatretus burgeri
(Girard), E. sheni (Kuo, Huang & Mok) and E. yangi (Teng), from off Taiwan is
described and illustrated. The new species can be distinguished from its
congeners by having the neck region longer than wide (about 2-3 times as long as
wide), the moderately long protopod of leg 2 (Type E), the endopod of leg 2 as
large as exopod, the cephalosome wider than long, the armature formula of
antennule (1, 1, 2, 2, 9), the relatively long trunk (6.7 mm) and egg-sacs (14.4
mm). This is the first record of a parasitic chondracanthid from hagfishes. The
findings may provide insights into the phylogenetic relationships and
interactions among chondracanthids, hagfishes and demersal fishes, but call for
further molecular studies.
PMID- 24935131
TI - Block-step asymmetry 5 years after large-head metal-on-metal total hip
arthroplasty is related to lower muscle mass and leg power on the implant side.
AB - BACKGROUND: Metal-on-metal articulations mimic the human hip anatomy, presumably
lower dislocation rates and increase the range-of-motion. This study aims to
measure the muscle mass and power of both legs in patients with unilateral metal
on-metal total hip arthroplasty, and to investigate their effect on block-step
test, spatio-temporal gait parameters and self-reported function. METHODS: Twenty
eight patients (7 women), mean age 50 (28-68) years, participated in a 5-7 year
follow-up. Patients had received one type unilateral large-head metal-on-metal
total hip articulation, all of which were well-functioning at follow-up. Mean
muscle mass was measured by the total-body Dual energy X-ray Absorption scans,
and muscle power was measured in a leg extensor power rig. Block-step test and
spatio-temporal gait parameters were measured with an inertial measurement unit.
Self-reported function was assessed by the Hip Disability and Osteoarthritis
Outcome Score. FINDINGS: We found a significant difference between the mean
muscle mass of the implant-side leg and the non-implant-side leg in hip, thigh
and calf areas (P<0.008) and in mean muscle power (P=0.025). Correlations between
mean muscle mass and mean muscle power were significant for both the implant-side
leg (r=0.45, P=0.018) and the non-implant-side leg (r=0.51, P=0.007). The
difference in mean muscle power between legs correlated with block-step test
asymmetry during ascending (r=0.40, P=0.047) and descending (r=0.53, P=0.006).
Correlations between self-reported function and power of the implant-side leg
were not significant. INTERPRETATIONS: Young patients have not fully regained
muscle mass, muscle power and function 5-7 years after metal-on-metal total hip
arthroplasty.
PMID- 24935132
TI - Energy-efficient green catalysis: supported gold nanoparticle-catalyzed
aminolysis of esters with inert tertiary amines by C-O and C-N bond activations.
AB - Catalyzed by supported gold nanoparticles, an aminolysis reaction between various
aryl esters and inert tertiary amines by C-O and C-N bond activations has been
developed for the selective synthesis of tertiary amides. Comparison studies
indicated that the gold nanoparticles could perform energy-efficient green
catalysis at room temperature, whereas Pd(OAc)2 could not.
PMID- 24935133
TI - The Legacy of Nelson Mandela: Impact on Transcultural Health Care.
PMID- 24935134
TI - Enhancing Nurses' Ability to Care Within the Culture of Incarceration.
AB - Incarcerated women are a highly vulnerable population, most of whom have had
extremely adverse life experiences. Nurses who work in corrections have
significant challenges as they attempt to care in a setting that is focused on
punishment. This article focuses on the unique culture of incarceration as it
applies to women, along with the common challenges nurses face when caring for
these women. Leininger's Theory of Culture Care and the Sunrise Enabler are
discussed as useful tools to assist nurses in providing culture care within the
confines of the prison, as well as a means of understanding these women as
cultural beings apart from the prison setting. Despite the many security
restrictions that exist within the correctional environment, "care" can be
provided regardless of the setting. A model case has been developed to show the
enormous impact that culture care can have on the lives of many women who face
incarceration.
PMID- 24935135
TI - Transcultural Nursing Scholars' Corner: Sages, Storytellers, and Scholars.
PMID- 24935136
TI - Community-based abstinence education project: program outcomes.
AB - PROBLEM: Middle school and adolescent populations demonstrate high rates of
unintended pregnancies and sexually transmitted infections, with young people in
inner cities in the United States especially vulnerable. Teen births remain high,
and youth are affected physically, mentally, socially, and economically. METHODS:
The Sex After Marriage primary prevention program, a federally funded, community
based abstinence education (CBAE) initiative, was implemented for 3 years in
Philadelphia neighborhoods with vulnerable youth 12 to 18 years of age,
supporting adults, healthcare professionals, and the general public. The three
tiered program offered a middle school curriculum, Sex Can Wait, at 16 different
sites. The CBAE program delivered by the university's nursing center attempted to
support vulnerable youths' decisions to postpone sexual activity by matching the
interests of young people through an established curriculum, by holding workshops
for supporting adults, and by creating a multimedia approach to supplement
abstinence education initiatives including public service announcements and a
website. Youth and college ambassadors and community colleagues were trained in
the curriculum with a focus on healthy lifestyles. Youth and parents in
experimental and control groups completed self-report surveys before and after
program implementation. FINDINGS: The project achieved most of its objectives on
program evaluation. Youth (n = 1,428) 12 to 18 years of age received services,
with most completing >=75% of the program. Parents (n = 338) and other
participating adults (n = 486) also received education or services. CONCLUSIONS:
The need for risk reduction programs persists for youth in light of pregnancy,
birth, and sexually transmitted disease statistics. Bailey Wolf.
PMID- 24935137
TI - Multimodality imaging of cardiothoracic lymphoma.
AB - Lymphoma is the most common hematologic malignancy and represents approximately
5.3% of all cancers. The World Health Organization published a revised
classification scheme in 2008 that groups lymphomas by cell type and molecular,
cytogenetic, and phenotypic characteristics. Most lymphomas affect the thorax at
some stage during the course of the disease. Affected structures within the chest
may include the lungs, mediastinum, pleura, and chest wall, and lymphomas may
originate from these sites as primary malignancies or secondarily involve these
structures after arising from other intrathoracic or extrathoracic sources.
Pulmonary lymphomas are classified into one of four types: primary pulmonary
lymphoma, secondary pulmonary lymphoma, acquired immunodeficiency syndrome
related lymphoma, and post-transplantation lymphoproliferative disorders.
Although pulmonary lymphomas may produce a myriad of diverse findings within the
lungs, specific individual features or combinations of features can be used, in
combination with secondary manifestations of the disease such as involvement of
the mediastinum, pleura, and chest wall, to narrow the differential diagnosis.
While findings of thoracic lymphoma may be evident on chest radiography, computed
tomography has traditionally been the imaging modality used to evaluate the
disease and effectively demonstrates the extent of intrathoracic involvement and
the presence and extent of extrathoracic spread. However, additional modalities
such as magnetic resonance imaging of the thorax and (18)F-FDG PET/CT have
emerged in recent years and are complementary to CT in the evaluation of patients
with lymphoma. Thoracic MRI is useful in assessing vascular, cardiac, and chest
wall involvement, and PET/CT is more accurate in the overall staging of lymphoma
than CT and can be used to evaluate treatment response.
PMID- 24935138
TI - Response to "a note on the mapping and quantification of the human brain
corticospinal tract".
PMID- 24935139
TI - Lumbosacral transitional vertebra in a population-based study of 5860
individuals: prevalence and relationship to low back pain.
AB - PURPOSE: To investigate the prevalence of lumbosacral transitional vertebra
(LSTV) within the Chinese Han population, and to determine whether LSTV
correlates with low back pain (LBP) and gluteal pain. MATERIALS AND METHODS:
Typical standing pelvic radiographs were obtained for 5860 volunteers between 18
to 60 years of age. The lumbosacral region of each spine was evaluated to
identify LSTV, which was classified into types I, II, III, and IV based on
Castellvi's method. Histories of low back symptoms were obtained using a
questionnaire. The association of different subtypes of LSTV with LBP and gluteal
pain was explored. RESULTS: LSTV was found in 15.8% (928 of 5860) of our study
population. Of the 928 individuals with LSTV, 44.8% were type I (dysplastic
transverse process with height >19mm), 43.2% were type II (pseudoarticulation),
7.2% were type III (fusion), and 4.8% were type IV (a unilateral type II
transition with a type III fusion on the contralateral side). Type II LSTV were
closely associated with LBP and gluteal pain, with respective odds ratios (ORs)
of 2.56 (95% CI: 2.17-3.89) and 5.38 (95% CI: 4.29-8.43). Similarly, types IV
LSTV also demonstrated a significant correlation with LBP and gluteal pain, with
respective ORs of 4.28 (95% CI: 3.21-6.35) and 6.82 (95% CI: 5.17-16.59).
CONCLUSIONS: In this population-based study, the prevalence of LSTV was 15.8%,
with type I being the most common. Importantly, LSTV types II and IV were
significantly associated with LBP and gluteal pain.
PMID- 24935141
TI - The value of dental hygiene research conferences.
PMID- 24935140
TI - Dual-phase CT findings of groove pancreatitis.
AB - PURPOSE: Groove pancreatitis is a rare focal form of chronic pancreatitis that
occurs in the pancreaticoduodenal groove between the major and minor papillae,
duodenum and pancreatic head. Radiologic appearance and clinical presentation can
result in suspicion of malignancy rendering pancreaticoduodenectomy inevitable.
This study reports dual phase CT findings in a series of 12 patients with
pathology proven groove pancreatitis. MATERIALS AND METHODS: Retrospective review
of preoperative CT findings in 12 patients with histologically proven groove
pancreatitis after pancreaticoduodenectomy. Size, location, attenuation, presence
of mass or cystic components in the pancreas, groove and duodenum,
calcifications, duodenal stenosis and ductal changes were recorded. Clinical
data, laboratory values, endoscopic ultrasonographic and histopathological
findings were collected. RESULTS: Soft tissue thickening in the groove was seen
in all patients. Pancreatic head, groove and duodenum were all involved in 75%
patients. A discrete lesion in the pancreatic head was seen in half of the
patients, most of which appeared hypodense on both arterial and venous phases.
Cystic changes in pancreatic head were seen in 75% patients. Duodenal involvement
was seen in 92% patients including wall thickening and cyst formation. The main
pancreatic duct was dilated in 7 patients, with an abrupt cut off in 3 and a
smooth tapering stricture in 4. Five patients had evidence of chronic
pancreatitis with parenchymal calcifications. CONCLUSION: Presence of mass or
soft tissue thickening in the groove with cystic duodenal thickening is highly
suggestive of groove pancreatitis. Recognizing common radiological features may
help in diagnosis and reduce suspicion of malignancy.
PMID- 24935142
TI - Antimicrobial resistance--the global threat: state of the science.
PMID- 24935143
TI - Considerations for treating women with cancer.
PMID- 24935144
TI - Thirty years of HIV/AIDS and related oral manifestations and management.
PMID- 24935145
TI - Medical and dental implications of eating disorders.
PMID- 24935146
TI - A qualitative study of extended care permit dental hygienists in Kansas.
AB - PURPOSE: Currently, 37 states allow some type of alternative practice settings
for dental hygienists. This qualitative study was designed to explore the
experiences of the Extended Care Permit (ECP) dental hygienist in the state of
Kansas. As a first ever study of this workforce model, a qualitative research
design was chosen to illuminate the education and experiences of extended dental
hygiene practitioners in order to understand the impact ECP legislation has had
on increasing the public's access to oral health care services and define the
advantages and limitation of this model as one potential solution to access to
oral care. Snowball sampling was used to identify study participants who were
actively engaged in extended care practice. Nine subjects, which included one ECP
consultant and eight ECP providers, participated in this study. Data obtained via
personal interviews and through document analysis data were subsequently coded
and thematically analyzed by three examiners. An independent audit was conducted
by a fourth examiner to confirm dependability of results. Seven major categories
emerged from the data analysis: entrepreneur dental hygienist, partnerships,
funding, barriers, sustainability, models of care and the impact of the ECP. The
findings of this study revealed that ECP hygienists are making an impact with
underserved populations, primarily children, the elderly and special needs
patients.
PMID- 24935147
TI - A racial comparison of sociocultural factors and oral health perceptions.
AB - PURPOSE: There are limited data regarding race, sociocultural factors and dental
outcomes such as oral health perceptions. The purpose of this study is to
recognize and determine whether sociocultural factors impact oral health
practices, and how these relate to oral health care perceptions among African
American (AA) and Asian American (AS) comparison groups. METHODS: In this cross
sectional study, participants were selected using a purposive sampling technique
among new enrolling patients of AA and AS origin at the New York University
College of Dentistry (NYUCD). Sociocultural factors such as low education level,
poor access to care, limited financial status and perceptions of oral health such
as brushing and flossing were studied. RESULTS: Among 139 participants, 86
(61.87%) were AA and 53 (38.13%) were AS. Compared to AS, AA had poorer access to
care (58.14% vs. 43.40%, p<0.01) and cost was a greater financial barrier for
dental care (41.86% vs. 26.41%, p<0.01). Race was the strongest predictor of oral
health perceptions (OR =2.27, p<0.05) followed by limited financial status (OR
=1.335 p<0.05) and poor access to care (OR =1.299 p<0.01). AA had more adverse
oral health perceptions (83.72% vs. 69.81%, p<0.05), higher incidence of dental
decay (13.95% vs 7.54%, p<0.05) and mixed disease (dental decay and periodontal
disease) (88.37% vs. 60.37%, p<0.05) compared to AS. There was no difference in
oral health practices (brushing and flossing) between the two populations.
CONCLUSION: AA had more adverse oral health perceptions and higher incidence of
dental disease than AS. Cultural influences have an impact on perceptions and
behaviors that may affect oral health. Therefore, cultural awareness and
competency among oral health professionals should be emphasized.
PMID- 24935148
TI - In vitro effect of over-the-counter probiotics on the ability of Candida albicans
to form biofilm on denture strips.
AB - PURPOSE: There is a burgeoning recognition and interest in the potential of
probiotics in the treatment and prevention of oral candidiasis associated with
the use of dentures. Our aim was to investigate if commercially available over
the-counter probiotics can influence the ability of Candida albicans to form
biofilms, which is considered a hallmark of the initiation and progression of
oral candidiasis. METHODS: We conducted a 2*5 factorial in vitro study to culture
C. albicans on denture strips and challenge with one of the following four
commercially available probiotics in bacterial or cell-free supernatant form:
Accuflora(r), Align(r), Culturelle(r) and Sustenex(r). C. albicans biofilm
formation was studied in triplicates in all factorial combinations of the study
and assessed qualitatively with fluorescence microscopy and quantitatively with
tetrazolium salt (XTT) reduction assay. Quality control measures included
determination of coefficient of variation, Bland Altman plots and Pittman's test.
Results were analyzed using two-way analysis of variance (ANOVA) with pairwise
post-hoc Scheffe's tests. RESULTS: Our experimental conditions passed the quality
control checks. Two-way ANOVA results indicated that cell-free supernatants
provided a stronger and significant inhibitory effect on biofilm formation than
their bacterial counterparts (2-way ANOVA p=3.8*10(-6)). Further, Lactobacillus
containing probiotic formulations (Accuflora(r) and Culturelle(r)) significantly
reduced biofilm formation especially in supernatant form. CONCLUSION:
Commercially available probiotics that contain Lactobacilli species interfere
with the in vitro ability of C. albicans to form biofilms on dentures. The
mechanistic and clinical implications of our results need to be addressed by
larger in vivo studies.
PMID- 24935149
TI - Fast, simple, and sensitive high-performance liquid chromatography method for
measuring vitamins A and E in human blood plasma.
AB - Vitamins A and E are fat-soluble vitamins that play important roles in several
physiological processes. Monitoring their concentrations is needed to detect
deficiency and guide therapy. In this study, we developed a high-performance
liquid chromatography method to measure the major forms of vitamin A (retinol)
and vitamin E (alpha-tocopherol and gamma-tocopherol) in human blood plasma.
Vitamins A and E were extracted with hexane and separated on a reversed-phase
column using methanol as the mobile phase. Retinol was detected by ultraviolet
absorption, whereas tocopherols were detected by fluorescence emission. The
chromatographic cycle time was 4.0 min per sample. The analytical measurement
range was 0.03-5.14, 0.32-36.02, and 0.10-9.99 mg/L for retinol, alpha
tocopherol, and gamma-tocopherol, respectively. Intr-aassay and total coefficient
of variation were <6.0% for all compounds. This method was traceable to standard
reference materials offered by the National Institute of Standards and
Technology. Reference intervals were established using plasma samples collected
from 51 healthy adult donors and were found to be 0.30-1.20, 6.0-23.0, and 0.3
3.2 mg/L for retinol, alpha-tocopherol, and gamma-tocopherol, respectively. In
conclusion, we developed and validated a fast, simple, and sensitive high
performance liquid chromatography method for measuring the major forms of
vitamins A and E in human plasma.
PMID- 24935150
TI - Design of tissue engineering scaffolds based on hyperbolic surfaces: structural
numerical evaluation.
AB - Tissue engineering represents a new field aiming at developing biological
substitutes to restore, maintain, or improve tissue functions. In this approach,
scaffolds provide a temporary mechanical and vascular support for tissue
regeneration while tissue in-growth is being formed. These scaffolds must be
biocompatible, biodegradable, with appropriate porosity, pore structure and
distribution, and optimal vascularization with both surface and structural
compatibility. The challenge is to establish a proper balance between porosity
and mechanical performance of scaffolds. This work investigates the use of two
different types of triple periodic minimal surfaces, Schwarz and Schoen, in order
to design better biomimetic scaffolds with high surface-to-volume ratio, high
porosity and good mechanical properties. The mechanical behaviour of these
structures is assessed through the finite element method software Abaqus. The
effect of two parametric parameters (thickness and surface radius) is also
evaluated regarding its porosity and mechanical behaviour.
PMID- 24935151
TI - Left anterior descending coronary artery blood flow and left ventricular
unloading during extracorporeal membrane oxygenation support in a swine model of
acute cardiogenic shock.
AB - The impact of extracorporeal membrane oxygenation (ECMO) support on coronary
blood flow and left ventricular unloading is still debated. This study aimed to
further characterize the influence of ECMO on coronary artery blood flow and its
ability to unload the left ventricle in a short-term model of acute cardiogenic
shock. Seven anesthetized pigs were intubated and then underwent median
sternotomy and cannulation for venoarterial (VA) ECMO. Flow in the left anterior
descending (LAD) artery, left atrial pressure (LAP), left ventricular end
diastolic pressure (LVEDP), and mean arterial pressure (MAP) were measured before
and after esmolol-induced cardiac dysfunction and after initiating VA-ECMO
support. Induction of acute cardiogenic shock was associated with short-term
increases in LAP from 8 +/- 4 mm Hg to 18 +/- 14 mm Hg (P = 0.9) and LVEDP from 5
+/- 2 mm Hg to 13 +/- 17 mm Hg (P = 0.9), and a decrease in MAP from 63 +/- 16 mm
Hg to 50 +/- 24 mm Hg (P = 0.3). With VA-ECMO support, blood flow in the LAD
increased from 28 +/- 25 mL/min during acute unsupported cardiogenic shock to 67
+/- 50 mL/min (P = 0.003), and LAP and LVEDP decreased to 8 + 5 mm Hg (P = 0.7)
and 5 +/- 3 mm Hg (P = 0.5), respectively. In this swine model of acute
cardiogenic shock, VA-ECMO improved coronary blood flow and provided some degree
of left ventricular unloading for the short duration of the study.
PMID- 24935152
TI - Quantification of skeletal asymmetries in normal adolescents: cone-beam computed
tomography analysis.
AB - BACKGROUND: The detection and quantification of skeletal asymmetries is a
fundamental component to diagnosis and treatment planning in orthodontics. The
purpose of this study was to identify and quantify the characteristics of facial
and dental asymmetries in a normal, adolescent population using 3D imaging.
METHODS: Thirty consecutive Class I patients (mean age 14.32 years, SD 1.67)
meeting the inclusion criteria were analyzed by three-dimensional cone-beam
computed tomography (CBCT). Dental, maxillary, mandibular, and cranial base
variables were measured with Dolphin 3D. CBCT analysis consisted of the
localization of 34 anatomical landmarks. All reference points were digitized in
3D and analyzed using 67 skeletal and dental measurements. Student's t tests for
paired samples were used with a significance level of p < 0.05. RESULTS: Minor
right-left discrepancies were noted in all planes. The most anterior point of the
glenoid fossa and most condylar points were positioned more superior and lateral
on the right side, compared to the left side. Porion was also located more
superiorly on the right side relative to the left side. The posterior nasal spine
was found to be located to the right of the midsagittal plane. Slight dental
midline discrepancies were found, and the dental arch lengths were slightly
longer on the left side compared to the right. The height of the ramus, in both
3D and 2D, and the inclination of the ramus were greater on the right than that
on the left side. CONCLUSIONS: The findings of this study suggest minor
asymmetries exist and are likely a common occurrence in the normal human
craniofacial complex. Additionally, a natural compensatory mechanism may exist
which controls the size and shape of specific tissues in order to maintain
functional symmetry.
PMID- 24935153
TI - Andrographolide-loaded PLGA-PEG-PLGA micelles to improve its bioavailability and
anticancer efficacy.
AB - BACKGROUND: Andrographolide (ADG) isolated from Andrographis paniculata exhibits
anti-inflammatory and anticancer activities, but high hydrophobicity and poor
bioavailability greatly restricts its clinical application. OBJECTIVES: In this
study, ADG was encapsulated in a micelle formulation based on poly (D,L-lactide
co-glycolide)-b-poly (ethylene glycol)-b-poly (D,L-lactide-co-glycolide) (PLGA
PEG-PLGA) amphiphilic triblock copolymers, in order to enhance the anticancer
efficacy and bioavailability in vivo. METHODS: The physicochemical properties of
the ADG-loaded PLGA-PEG-PLGA micelles were investigated for encapsulation
efficiency, particle size, zeta potential and critical micelle concentration.
These micelles were further evaluated for in vitro cytotoxicity, including
proliferation inhibition, cell cycle arrest and pro-apoptosis effects against
human breast cancer MAD-MB-231 cells, cellular uptake and pharmacokinetics study
in rat. RESULTS: ADG-loaded PLGA-PEG-PLGA micelles had a high encapsulation and
loading efficiency of about 92 and 8.4% (w/w), respectively, and a stable
particle size of 124.3 +/- 6.4 nm. In vitro cytotoxicity testing demonstrated
that ADG-loaded PLGA-PEG-PLGA micelles exhibited higher proliferation inhibition,
cell cycle arrest at the G2/M phase and pro-apoptosis effects in MAD-MB-231
cells, which would be contributed to higher efficiency of cellular uptake and
intracellular transport. Further, the plasma AUC(0 - infinity) and mean resident
time of ADG-loaded PLGA-PEG-PLGA micelles were increased by 2.7- and 2.5-fold,
respectively, when compared to the raw suspension. CONCLUSION: All of these
investigations suggest that PLGA-PEG-PLGA micelles may be a potential drug
delivery strategy for improving ADG bioavailability and efficacy in cancer
therapy.
PMID- 24935154
TI - Molecular basis of gain-of-function LEOPARD syndrome-associated SHP2 mutations.
AB - The Src homology 2 (SH2) domain-containing protein tyrosine phosphatase 2 (SHP2)
is a critical signal transducer downstream of growth factors that promotes the
activation of the RAS-ERK1/2 cascade. In its basal state, SHP2 exists in an
autoinhibited closed conformation because of an intramolecular interaction
between its N-SH2 and protein tyrosine phosphatase (PTP) domains. Binding to pTyr
ligands present on growth factor receptors and adaptor proteins with its N-SH2
domain localizes SHP2 to its substrates and frees the active site from allosteric
inhibition. Germline mutations in SHP2 are known to cause both Noonan syndrome
(NS) and LEOPARD syndrome (LS), two clinically similar autosomal dominant
developmental disorders. NS-associated SHP2 mutants display elevated phosphatase
activity, while LS-associated SHP2 mutants exhibit reduced catalytic activity. A
conundrum in how clinically similar diseases result from mutations to SHP2 that
have opposite effects on this enzyme's catalytic functionality exists. Here we
report a comprehensive investigation of the kinetic, structural, dynamic, and
biochemical signaling properties of the wild type as well as all reported LS
associated SHP2 mutants. The results reveal that LS-causing mutations not only
affect SHP2 phosphatase activity but also induce a weakening of the
intramolecular interaction between the N-SH2 and PTP domains, leading to mutants
that are more readily activated by competing pTyr ligands. Our data also indicate
that the residual phosphatase activity associated with the LS SHP2 mutant is
required for enhanced ERK1/2 activation. Consequently, catalytically impaired
SHP2 mutants could display gain-of-function properties because of their ability
to localize to the vicinity of substrates for longer periods of time, thereby
affording the opportunity for prolonged substrate turnover and sustained RAS
ERK1/2 activation.
PMID- 24935155
TI - The use of induced pluripotent stem cells to reveal pathogenic gene mutations and
explore treatments for retinitis pigmentosa.
AB - BACKGROUND: Retinitis pigmentosa (RP) is an inherited human retinal disorder that
causes progressive photoreceptor cell loss, leading to severe vision impairment
or blindness. However, no effective therapy has been established to date.
Although genetic mutations have been identified, the available clinical data are
not always sufficient to elucidate the roles of these mutations in disease
pathogenesis, a situation that is partially due to differences in genetic
backgrounds. RESULTS: We generated induced pluripotent stem cells (iPSCs) from an
RP patient carrying a rhodopsin mutation (E181K). Using helper-dependent
adenoviral vector (HDAdV) gene transfer, the mutation was corrected in the
patient's iPSCs and also introduced into control iPSCs. The cells were then
subjected to retinal differentiation; the resulting rod photoreceptor cells were
labeled with an Nrl promoter-driven enhanced green fluorescent protein (EGFP)
carrying adenovirus and purified using flow cytometry after 5 weeks of culture.
Using this approach, we found a reduced survival rate in the photoreceptor cells
with the E181K mutation, which was correlated with the increased expression of
endoplasmic reticulum (ER) stress and apoptotic markers. The screening of
therapeutic reagents showed that rapamycin, PP242, AICAR, NQDI-1, and salubrinal
promoted the survival of the patient's iPSC-derived photoreceptor cells, with a
concomitant reduction in markers of ER stress and apoptosis. Additionally,
autophagy markers were found to be correlated with ER stress, suggesting that
autophagy was reduced by suppressing ER stress-induced apoptotic changes.
CONCLUSION: The use of RP patient-derived iPSCs combined with genome editing
provided a versatile cellular system with which to define the roles of genetic
mutations in isogenic iPSCs with or without mutation and also provided a system
that can be used to explore candidate therapeutic approaches.
PMID- 24935156
TI - Incidence and predictors of morphometric vertebral fractures in patients with
ankylosing spondylitis.
AB - INTRODUCTION: Ankylosing spondylitis (AS) is associated with an increased
incidence of vertebral fractures (VFs); however the actual incidence and
predictors of morphometric VFs are unknown. The present study examined the
incidence and predictors of new VFs in a large AS cohort. METHODS: In total, 298
AS patients who fulfilled the modified New York criteria were enrolled and spinal
radiographs were evaluated biennially. Clinical and laboratory data and
radiographic progression were assessed according to the Bath AS Disease Activity
Index, erythrocyte sedimentation rate, C-reactive protein (CRP), and the Stoke AS
spine score (SASSS). VF was defined according to the Genant criteria. The
incidence of VFs at 2 and 4 years was evaluated using the Kaplan-Meier method.
The age-specific standardized prevalence ratio (SPR) for AS patients in
comparison with the general population was calculated. RESULTS: Of 298 patients,
31 (10.8%) had previous VFs at baseline. A total of 30 new VFs occurred in 26
patients over 4 years. The incidence of morphometric VFs was 4.7% at 2 years and
13.6% at 4 years. Multivariate logistic regression analysis showed that previous
VFs at baseline and increased CRP levels at 2 years were predictors of new VFs
(odds ratio (OR) =12.8, 95% confidence interval (CI) = 3.6-45.3 and OR = 5.4, 95%
CI = 1.4-15.9). The age-specific specific standardized prevalence ratio of
morphometric VFs in AS was 3.3 (95% CI 2.1-4.5). CONCLUSIONS: The incidence of
morphometric VFs increased in AS. Previous VFs and increased CRP levels predicted
future VFs. Further studies are needed to identify the effects of treatment
interventions on the prevention of new VFs.
PMID- 24935157
TI - Foods and food components in the Mediterranean diet: supporting overall effects.
AB - The recent publication of the PREDIMED trial provided definitive evidence that a
Mediterranean diet provides protection against cardiovascular disease. Two
articles published in BMC Medicine provide further understanding of why this may
be the case, by considering contributory effects of olive oil, a core food in the
diet, and polyphenols, a class of identifiable protective compounds. Using a
number of statistical models, analyses were conducted to show around a 35%
cardiovascular disease risk reduction in the highest consumers of olive oil and a
similar degree of risk reduction for all-cause mortality comparing highest to
lowest quintiles of polyphenol intake. The effects were an advance on cohort
studies not related to trials. This suggests that it may be necessary to have
better control of the background diet to enable exposure of the value of
individual foods and nutrients in a dietary pattern, bearing in mind that, by
nature, it is difficult to separate out effects of foods, nutrients and whole
diets.
PMID- 24935159
TI - Density functional theory study on mechanisms of epoxy-phenol curing reaction.
AB - A comprehensive picture on the mechanism of the epoxy-phenol curing reactions is
presented using the density functional theory B3LYP/ 6-31G(d,p) and simplified
physical molecular models to examine all possible reaction pathways. Phenol can
act as its own promoter by using an addition phenol molecule to stabilize the
transition states, and thus lower the rate-limiting barriers by 27.0-48.9 kJ/mol.
In the uncatalyzed reaction, an epoxy ring is opened by a phenol with an apparent
barrier of about 129.6 kJ/mol. In catalyzed reaction, catalysts facilitate the
epoxy ring opening prior to curing that lowers the apparent barriers by 48.9-50.6
kJ/mol. However, this can be competed in highly basic catalysts such as amine
based catalysts, where catalysts are trapped in forms of hydrogen-bonded complex
with phenol. Our theoretical results predict the activation energy in the range
of 79.0-80.7 kJ/mol in phosphine-based catalyzed reactions, which agrees well
with the reported experimental range of 54-86 kJ/mol.
PMID- 24935158
TI - Enhancement of cell ingrowth, proliferation, and early differentiation in a three
dimensional silicon carbide scaffold using low-intensity pulsed ultrasound.
AB - Concerns over the use of autografts or allografts have necessitated the
development of biomaterials for bone regeneration. Various studies have been
performed to optimize the cultivation of osteogenic cells using osteoconductive
porous scaffolds. The aim of this study was to evaluate the osteogenic efficiency
of bone cell ingrowth, proliferation, and early differentiation in a silicon
carbide (SiC) porous ceramic scaffold promoted with low-intensity pulsed
ultrasound. MC3T3-E1 mouse preosteoblasts were seeded onto scaffolds and cultured
for 4 and 7 days with daily of 20-min ultrasound treatment. The cells were
evaluated for cell attachment, morphology, viability, ingrowth depth, volumetric
proliferation, and early differentiation. After 4 and 7 days of culture and
ultrasound exposure, the cell density was higher in the ultrasound-treated group
compared with the sham-treated group on SiC scaffolds. The cell ingrowth depths
inside the SiC scaffolds were 149.2+/-27.3 MUm at 1 day, 310.1+/-12.6 MUm for the
ultrasound-treated group and 248.0+/-19.7 MUm for the sham control at 4 days, and
359.6+/-18.5 MUm for the ultrasound-treated group and 280.0+/-17.7 MUm for the
sham control at 7 days. They were significantly increased, that is, 25%
(p=0.0029) and 28% (p=0.0008) increase, respectively, with ultrasound radiation
force as compared with those in sham control at 4 and 7 days postseeding. The
dsDNA contents were 583.5+/-19.1 ng/scaffold at 1 day, 2749.9+/-99.9 ng/scaffold
for the ultrasound-treated group and 2514.9+/-114.7 ng/scaffold for the sham
control at 4 days, and 3582.3+/-325.3 ng/scaffold for the ultrasound-treated
group and 2825.7+/-134.3 ng/scaffold for the sham control at 7 days. There was a
significant difference in the dsDNA content between the ultrasound- and sham
treated groups at 4 and 7 days. The ultrasound-treated group with the SiC
construct showed a 9% (p=0.00029) and 27% (p=0.00017) increase in the average
dsDNA content at 4 and 7 days over the sham control group, respectively. Alkaline
phosphatase activity was significantly increased by the treatment of ultrasound
at 4 (p=0.012) and 7 days (p=0.035). These results suggested that ultrasound
treatment with low-intensity acoustic energy facilitated the cellular ingrowth
and enhanced the proliferation and early differentiation of osteoblasts in SiC
scaffolds.
PMID- 24935161
TI - The effect of specific rhlA-las-box mutations on DNA binding and gene activation
by Pseudomonas aeruginosa quorum-sensing transcriptional regulators RhlR and
LasR.
AB - Pseudomonas aeruginosa is a free-living bacterium and an important opportunistic
pathogen. The genes coding for virulence-associated traits are regulated at the
level of transcription by the quorum-sensing response. In this response, the
regulator LasR coupled with the autoinducer 3-oxo-dodecanoyl homoserine lactone
(3O-C12-HSL) activates transcription of genes for several virulence factors.
LasR/3O-C12-HSL also activates transcription of rhlR, the gene coding for the
transcriptional regulator RhlR, and of rhlI that encodes the synthase that
produces the autoinducer butanoyl-homoserine lactone (C4-HSL) that interacts with
RhlR. Genes activated by RhlR/C4-HSL include those involved in rhamnolipids
production (like the rhlAB operon) and lecA, coding for PA-I lectin. The
molecular basis of LasR/3O-C12-HSL- and RhlR/C4-HSLDNA-binding specificity (at
the so-called las-boxes) has not been clearly determined, and the aim of this
work was to contribute to its understanding. Therefore, we analyzed the
interaction of LasR and RhlR to variants of the rhlA-las-box that were
constructed based on the comparison of this las-box to the las-box of lecA. We
conclude that LasR and RhlR DNA-binding specificity is a complex multifactorial
phenomenon in which both positive and negative effects are involved and that
binding of these proteins does not necessarily result in gene activation.
PMID- 24935160
TI - Graft surgery in extensive urethral stricture disease.
AB - Surgical treatment of long urethral stricture disease remains one of the most
challenging problems in urology. In recent years there has been continuous
discussion with regard to the etiology, location, length, and management of
extensive urethral stricture disease. Various tissues such as genital and
extragenital skin, buccal mucosa, lingual mucosa, small intestinal submucosa, and
bladder mucosa have been proposed for urethral reconstruction. The most frequent
questions pertain to the optimal technique for urethroplasty and the optimal
graft for substitution urethroplasty, as judged by both patient satisfaction and
outcome success. We review the recent literature with respect to any new
information on graft urethroplasty for extensive urethral stricture.
PMID- 24935162
TI - Pazopanib: a review of its use in the management of advanced renal cell
carcinoma.
AB - Pazopanib (Votrient((r))) is an orally administered multi-tyrosine kinase
inhibitor that is approved in the EU, the US and other countries for the
treatment of advanced renal cell carcinoma. Pazopanib predominantly inhibits
vascular endothelial growth factor receptor-1, -2 and -3, platelet-derived growth
factor receptor-alpha and -beta, and the stem cell factor receptor c-Kit,
resulting in inhibition of tumour angiogenesis, cell growth and survival. In
randomized controlled trials in patients with advanced, predominantly clear-cell,
renal cell carcinoma, progression-free survival (PFS) and the objective response
rate were significantly greater in pazopanib recipients than in placebo
recipients (VEG105192 trial), and pazopanib was noninferior to sunitinib with
respect to PFS (COMPARZ study). In a patient-preference, crossover study
involving 10 weeks of treatment with each drug (PISCES study), significantly more
patients expressed a preference for pazopanib than for sunitinib, with their
preference being based primarily on tolerability and quality-of-life issues.
Health-related quality-of-life (HR-QOL) assessments generally favoured pazopanib
over sunitinib in COMPARZ, and pazopanib did not cause deterioration in HR-QOL
compared with placebo in VEG105192. Pazopanib caused less myelosuppression, hand
foot syndrome, mucositis/stomatitis, dysgeusia and fatigue than sunitinib, but
more abnormal liver function tests. Therefore, pazopanib was noninferior to
sunitinib with respect to efficacy in the treatment of advanced renal cell
carcinoma, but had a differentiated tolerability profile, which affected HR-QOL
and patient preference.
PMID- 24935163
TI - Fetal and childhood growth patterns associated with bone mass in school-age
children: the Generation R Study.
AB - Low birth weight is associated with lower bone accrual in children and peak bone
mass in adults. We assessed how different patterns of longitudinal fetal and
early childhood growth influence bone properties at school age. In 5431 children
participating in a population-based prospective cohort study, we measured fetal
growth by ultrasound at 20 and 30 weeks gestation, and childhood growth at birth,
1, 2, 3, and 4 years of age. We analyzed these growth measurements in relation to
total body (less head) BMD measured by DXA at age 6. We used conditional growth
modeling; a technique which takes into account correlation between repeatedly
measured growth measures. Our results showed that estimated fetal weight gain,
femur length growth between 20 and 30 weeks of gestation, femur length growth
between 30 weeks and birth, as well as all height and weight growth measurements
from birth to 4 years of age were all positively associated with BMC, bone area
(BA), and BMD (all p < 0.01). Fetal femur length growth between 30 weeks and
birth was positively associated with BMC and BA (both p < 0.001), but not with
BMD. Overall, childhood growth measurements exerted a larger influence on bone
measures than fetal growth measures. The strongest effect estimate was observed
during the first year of life. Children born small (<10th percentile) for
gestational age (SGA) had lower BMC and BA, but not BMD, than children born
appropriate for gestational age (AGA), whereas children born large (>90th
percentile) for gestational age (LGA) had higher BMC and BA (all p < 0.001).
These differences were no longer present in children showing subsequent
accelerated and decelerated infant growth, respectively. We conclude that both
fetal and childhood growth patterns are associated with bone mineral accrual,
showing the strongest effect estimates in infancy. Compensatory infant growth
counteracts the adverse consequences of fetal growth restriction on bone
development.
PMID- 24935164
TI - Epidemiology of distal forearm fractures in Austria between 1989 and 2010.
AB - Only few studies have been published hitherto on country-specific incidence of
distal forearm fracture. In the prevailing study, incidences were estimated, and
trend analyses were performed for the entire Austrian population aged >=50a.
Incidence decreased significantly in women, but not in men, over the past 12
years of observation. INTRODUCTION: To estimate incidence of distal forearm
fracture and assess incidence trends in the entire Austrian population aged >=50a
from 1989-2010 for inpatient fractures and from 1999 to 2010 for all fractures.
METHODS: The number of inpatient forearm fractures was obtained from the Austrian
Hospital Discharge Register (AHDR) for the entire population aged >=50a from 1989
to 2010. Total number of distal forearm fractures was modeled using patient-level
data on 36,327 patients with distal forearm fractures. Crude and age-standardized
incidence rates (cases per 100,000) were estimated in 5-year age intervals. To
analyze the change in incidence over time, average annual changes expressed as
incidence rate ratios (IRR) were calculated. RESULTS: For all distal forearm
fractures, age-standardized incidence in women in 1999 and 2009 were estimated at
709 (95 % CI 675-743) and 607 (578-637), respectively. The age-standardized
incidences in men the same years were estimated at 171 (156-185) and 162 (151
174), respectively. IRR analyses showed a significant decrease in women (-1.1 %,
p < 0.01) but not in men (-0.8 %, p > 0.05) over the last 12 years (1999-2010).
CONCLUSION: Incidence of distal forearm fracture in the entire Austrian
population is comparable to hip fracture incidence which is known to be among the
highest worldwide. However, trend analyses reveal a significant decrease for all
distal forearm fractures in women, but not in men, over the last 12 years.
PMID- 24935166
TI - Base mediated 7-exo-dig intramolecular cyclization of Ugi-propargyl precursors: a
highly efficient and regioselective synthetic approach toward diverse 1,4
benzoxazepine-5(2H)-ones.
AB - A metal-free facile and efficient two-step synthetic protocol for the preparation
of 1,4-benzoxazepine-5(2H)-one derivatives has been developed. The protocol
involves Ugi reaction followed by K2CO3 mediated highly regioselective 7-exo-dig
intramolecular cyclization of less-nucleophilic oxygen with the pendant alkyne
moiety of an Ugi-propargyl precursor to afford the 1,4-benzoxazepine-5(2H)-one
derivatives in good to excellent yields.
PMID- 24935165
TI - Exacerbation of myasthenia gravis by alendronate.
AB - Myasthenia gravis is an important indication for the long-term prescription of
corticosteroids. We present a patient with myasthenia gravis who had worsening of
symptoms associated with the use of alendronate. A 24-year-old patient with
myasthenia gravis had been administered oral systemic corticosteroid (deflazacort
40 mg/day) for 3 years in order to control his myasthenic symptoms. One year
earlier, his lumbar spine bone mineral density was decreased. He was started on
oral calcium/vitamin D3 and alendronate (70-mg tablets once a week) for
osteoporosis. He reported an exacerbation of muscle weakness and extreme fatigue
on days when he took alendronate. He could not work on these days and has to be
on leave. Alendronate was stopped, and he was started on intravenous ibandronate
injections given every 3 months. He did not experience muscle weakness and
fatigue with ibandronate therapy. Alendronate should be used with caution in
patients with myasthenia gravis who have corticosteroid-induced osteoporosis.
PMID- 24935167
TI - The interaction of neutral evolutionary processes with climatically-driven
adaptive changes in the 3D shape of the human os coxae.
AB - Differences in the breadth of the pelvis among modern human populations and among
extinct hominin species have often been interpreted in the light of
thermoregulatory adaptation, whereby a larger pelvic girdle would help preserve
body temperature in cold environments while a narrower pelvis would help
dissipate heat in tropical climates. There is, however, a theoretical problem in
interpreting a pattern of variation as evidence of selection without first
accounting for the effects of neutral evolutionary processes (i.e., mutation,
genetic drift and migration). Here, we analyse 3D configurations of 27 landmarks
on the os coxae of 1494 modern human individuals representing 30 male and 23
female populations from five continents and a range of climatic conditions. We
test for the effects of climate on the size and shape of the pelvic bone, while
explicitly accounting for population history (i.e., geographically-mediated gene
flow and genetic drift). We find that neutral processes account for a substantial
proportion of shape variance in the human os coxae in both sexes. Beyond the
neutral pattern due to population history, temperature is a significant predictor
of shape and size variation in the os coxae, at least in males. The effect of
climate on the shape of the pelvic bone, however, is comparatively limited,
explaining only a small percentage of shape variation in males and females. In
accordance with previous hypotheses, the size of the os coxae tends to increase
with decreasing temperature, although the significance of the association is
reduced when population history is taken into account. In conclusion, the shape
and size of the human os coxae reflect both neutral evolutionary processes and
climatically-driven adaptive changes. Neutral processes have a substantial effect
on pelvic variation, suggesting such factors will need to be taken into account
in future studies of human and fossil hominin coxal variation.
PMID- 24935169
TI - Studies on a frustrated Heisenberg spin chain with alternating ferromagnetic and
antiferromagnetic exchanges.
AB - We study Heisenberg spin-1/2 and spin-1 chains with alternating ferromagnetic
(J(F)(1)) and antiferromagnetic (J(A)(1)) nearest-neighbor interactions and a
ferromagnetic next-nearest-neighbor interaction (J(F)(2)). In this model
frustration is present due to the non-zero J(F)(2). The model with site spin s
behaves like a Haldane spin chain, with site spin 2s in the limit of vanishing
J(F)(2)and large J(F)(1)/J(A)(1). We show that the exact ground state of the
model can be found along a line in the parameter space. For fixed J(F)(1), the
phase diagram in the space of J(A)(1)-J(F)(2) is determined using numerical
techniques complemented by analytical calculations. A number of quantities,
including the structure factor, energy gap, entanglement entropy and zero
temperature magnetization, are studied to understand the complete phase diagram.
An interesting and potentially important feature of this model is that it can
exhibit a macroscopic magnetization jump in the presence of a magnetic field; we
study this using an effective Hamiltonian.
PMID- 24935168
TI - Further evidence for phenotypic signatures of hybridization in descendant baboon
populations.
AB - Hybridization may have played a substantial role in shaping the diversity of our
evolving lineage. Although recent genomic evidence has shown that hybridization
occurred between anatomically modern humans (AMHS) and Neanderthals, it remains
difficult to pin down precisely where and when this gene flow took place.
Investigations of the hybrid phenotype in primates and other mammals are
providing models for identifying signatures of hybridization in the fossil
record. However, our understanding of intra- and inter-taxon variation in hybrids
is still limited. Moreover, there is little evidence from these studies that is
pertinent to the question of how long hybrid skeletal traits persist in
descendants, and therefore it is not clear whether observed hybrid phenotypes are
evidence of recent (e.g., F1) or much earlier hybridization events. Here, we
present an analysis updating a previous study of cranial variation in pedigreed
olive and yellow baboons and their hybrids. Results suggest that traits
previously associated with hybrids in baboons and other mammalian species are
also present in this expanded data set; many of these traits are highly
heritable, confirming a genetic basis for their variation in this mixed
population. While F1 animals - and especially F1 males - still have the highest
number of dental anomalies, these and other atypical traits persist into later
hybrid generations (such as F2 and B1). Moreover, non-F1 recombinants also show
extremely rare trait variations, including reduced canines and rotated teeth.
However, these results must be considered in light of the possibility that some
founding individuals may have themselves been unrecognized hybrids. Despite this,
the data are compelling, and indicate once again that further controlled research
remains to be done on primates and other mammals in order to better understand
variation in the hybrid phenotype.
PMID- 24935170
TI - Correlates between kinematics and baropodometric measurements for an integrated
in-vivo assessment of the segmental foot function in gait.
AB - Baropodometry and multi-segmental foot kinematics are frequently employed to
obtain insight into the mechanics of the foot-ground interaction in both basic
research and clinical settings. However, nothing hitherto has been reported on
the full integration of kinematics with baropodometric parameters, and only a few
studies have addressed the association between intersegmental kinematics and
plantar loading within specific foot regions. The aim of this study was to
understanding the relationships between foot joint mobility and plantar loading
by focusing on the correlation between these two measures. An integrated pressure
force-kinematics system was used to measure plantar pressure and rotations
between foot segments during the stance phase of walking in 10 healthy subjects.
An anatomically-based mask was applied to each footprint to obtain six regions
according to the position of the markers; hence each kinematic segment was paired
with a corresponding area of the plantar surface. Relationships between segmental
motion and relevant baropodometric data were explored by means of correlation
analysis. Negative, weak-to-moderate correlations (R(2)<0.5) were found between
pressure (mean and peak) and inter-segmental range of motion across all foot
joints except the Calcaneus-Midfoot. Temporal profiles of sagittal-plane
kinematics and baropodometric parameters were well correlated, particularly at
the ankle joint. Larger motion in the foot joints during walking was associated
with lower plantar pressure in almost all regions. The study helps improve our
understanding of the relationship between joint mobility and plantar loading in
the healthy foot and represents a critical preliminary analysis before addressing
possible clinical applications.
PMID- 24935171
TI - Effect of step width manipulation on tibial stress during running.
AB - Narrow step width has been linked to variables associated with tibial stress
fracture. The purpose of this study was to evaluate the effect of step width on
bone stresses using a standardized model of the tibia. 15 runners ran at their
preferred 5k running velocity in three running conditions, preferred step width
(PSW) and PSW+/-5% of leg length. 10 successful trials of force and 3-D motion
data were collected. A combination of inverse dynamics, musculoskeletal modeling
and beam theory was used to estimate stresses applied to the tibia using subject
specific anthropometrics and motion data. The tibia was modeled as a hollow
ellipse. Multivariate analysis revealed that tibial stresses at the distal 1/3 of
the tibia differed with step width manipulation (p=0.002). Compression on the
posterior and medial aspect of the tibia was inversely related to step width such
that as step width increased, compression on the surface of tibia decreased
(linear trend p=0.036 and 0.003). Similarly, tension on the anterior surface of
the tibia decreased as step width increased (linear trend p=0.029). Widening step
width linearly reduced shear stress at all 4 sites (p<0.001 for all). The data
from this study suggests that stresses experienced by the tibia during running
were influenced by step width when using a standardized model of the tibia. Wider
step widths were generally associated with reduced loading of the tibia and may
benefit runners at risk of or experiencing stress injury at the tibia, especially
if they present with a crossover running style.
PMID- 24935172
TI - The effect of stride length on the dynamics of barefoot and shod running.
AB - A number of interventions and technique changes have been proposed to attempt to
improve performance and reduce the number of running related injuries. Running
shoes, barefoot running and alterations in spatio-temporal parameters (stride
frequency and stride length) have been associated with significant kinematic and
kinetic changes, which may have implications for performance and injury
prevention. However, because footwear interventions have been shown to also
affect spatio-temporal parameters, there is uncertainty regarding the origin of
the kinematic and kinetic alterations. Therefore, the purpose of this study was
to independently evaluate the effects of shoes and changes in stride length on
lower extremity kinetics. Eleven individuals ran over-ground at stride lengths +/
5 and 10% of their preferred stride length, in both the barefoot and shod
condition. Three-dimensional motion capture and force plate data were captured
synchronously and used to compute lower extremity joint moments. We found a
significant main effect of stride length on anterior-posterior and vertical GRFs,
and sagittal plane knee and ankle moments in both barefoot and shod running. When
subjects ran at identical stride lengths in the barefoot and shod conditions we
did not observe differences for any of the kinetic variables that were measured.
These findings suggest that barefoot running triggers a decrease in stride
length, which could lead to a decrease in GRFs and sagittal plane joint moments.
When evaluating barefoot running as a potential option to reduce injury, it is
important to consider the associated change in stride length.
PMID- 24935173
TI - Usefulness of a ventilation tube as a partial ossicular replacement prosthesis
(PORP) in ossiculoplasty in patients with chronic otitis media.
AB - BACKGROUND: The principal objective of ossiculoplasty is to reconstruct the sound
conducting system in the ear to the best possible degree after the elimination of
pathological lesions from the middle ear. The ossicular chain is reconstructed
with the use of the patient's own properly modelled ossicles and synthetic
prostheses. The objective of the study was to assess the degree of hearing
improvement after tympanoplasty in patients for whom a ventilation tube was used
as a partial ossicular replacement prosthesis (PORP). MATERIAL AND METHODS:
Between 2009 and 2012, 387 patients underwent ear surgery at the
Otolaryngological Teaching Hospital of the Collegium Medicum at the Jagiellonian
University of Cracow. We focussed on the patients in whom a vibrating element in
the form of a properly modelled anvil or a ventilation tube was attached to the
preserved and normally mobile stapes. RESULTS: A significant hearing improvement
was observed in patients with type 2 tympanoplasty in the course of chronic
cholesteatoma otitis media and in patients with simple chronic inflammatory
process in whom a ventilation tube was used as a PORP in the reconstruction.
Granulation tissue was determined to be an unfavorable factor in the prognosis of
hearing improvement following tympanoplasty. CONCLUSIONS: Significant hearing
improvement was observed after type 2 tympanoplasty in patients with
cholesteatoma whose ossicular chain was not appreciably damaged. A ventilation
tube used as a PORP is an effective alternative in the reconstruction of the
ossicular chain.
PMID- 24935174
TI - Whole genome gene copy number profiling of gastric cancer identifies PAK1 and
KRAS gene amplification as therapy targets.
AB - Gastric cancer is the second leading cause of death from cancer worldwide, with
an approximately 20% 5-year survival rate. To identify molecular subtypes
associated with the clinical prognosis, in addition to genetic aberrations for
potential targeted therapeutics, we conducted a comprehensive whole-genome
analysis of 131 Chinese gastric cancer tissue specimens using whole-genome array
comparative genomic hybridization. The analyses revealed gene focal
amplifications, including CTSB, PRKCI, PAK1, STARD13, KRAS, and ABCC4, in
addition to ERBB2, FGFR2, and MET. The growth of PAK1-amplified gastric cancer
cells in vitro and in vivo was inhibited when the corresponding mRNA was knocked
down. Furthermore, both KRAS amplification and KRAS mutation were identified in
the gastric cancer specimens. KRAS amplification was associated with worse
clinical outcomes, and the KRAS gene mutation predicted sensitivity to the MEK1/2
inhibitor AZD6244 in gastric cancer cell lines. In summary, amplified PAK1, as
well as KRAS amplification/mutation, may represent unique opportunities for
developing targeted therapeutics for the treatment of gastric cancer.
PMID- 24935175
TI - Deferoxamine attenuates acute hydrocephalus after traumatic brain injury in rats.
AB - Acute post-traumatic ventricular dilation and hydrocephalus are relatively
frequent consequences of traumatic brain injury (TBI). Several recent studies
have indicated that high iron levels in brain may relate to hydrocephalus
development after intracranial hemorrhage. However, the role of iron in the
development of post-traumatic hydrocephalus is still unclear. This study was to
determine whether or not iron has a role in hydrocephalus development after TBI.
TBI was induced by lateral fluid-percussion in male Sprague-Dawley rats. Some
rats had intraventricular injection of iron. Acute hydrocephalus was measured by
magnetic resonance T2-weighted imaging and brain hemorrhage was determined by T2*
gradient-echo sequence imaging and brain hemoglobin levels. The effect of
deferoxamine on TBI-induced hydrocephalus was examined. TBI resulted in acute
hydrocephalus at 24 h (lateral ventricle volume: 24.1 +/- 3.0 vs. 9.9 +/- 0.2
mm(3) in sham group). Intraventricular injection of iron also caused
hydrocephalus (25.7 +/- 3.4 vs. 9.0 +/- 0.6 mm(3) in saline group). Deferoxamine
treatment attenuated TBI-induced hydrocephalus and heme oxygenase-1 upregulation.
In conclusion, iron may contribute to acute hydrocephalus after TBI.
PMID- 24935176
TI - The intersection of foregut and bariatric surgeries: treating the whole, not the
parts.
PMID- 24935177
TI - The effect of bariatric surgery on gout: a comparative study.
AB - BACKGROUND: Obesity is a risk factor for the development of gout. An increased
incidence of early gouty attacks after bariatric surgery has been reported, but
the data is sparse. The effect of weight loss surgery on the behavior of gout
beyond the immediate postoperative phase remains unclear. The objective of this
study was to evaluate the pre- and postoperative frequency and features of gouty
attacks in bariatric surgery patients. METHODS: Charts were reviewed to identify
patients who had gout before bariatric surgery. Demographic and gout-related
parameters were recorded. The comparison group consisted of obese individuals
with gout who underwent nonbariatric upper abdominal procedures. RESULTS: Ninety
nine morbidly obese patients who underwent bariatric surgery had gout. The
comparison group consisted of 56 patients. The incidence of early gouty attack in
the first month after surgery was significantly higher in the bariatric group
than the nonbariatric group (17.5% versus 1.8%, P = .003). In the bariatric
group, 23.8% of patients had at least one gouty attack during the 12-month period
before surgery, which dropped to 8.0% during postoperative months 1-13 (P =
.005). There was no significant difference in the number of gouty attacks in the
comparison group before and after surgery (18.2% versus 11.1%, P = .33). There
was a significant reduction in uric acid levels 13-months after bariatric surgery
compared with baseline values (9.1+/-2.0 versus 5.6+/-2.5 mg/dL, P = .007).
CONCLUSION: The frequency of early postoperative gout attacks after bariatric
surgery is significantly higher than that of patients undergoing other
procedures. However, the incidence decreases significantly after the first
postoperative month up to 1 year.
PMID- 24935178
TI - Is Glucagon-like peptide-1 for real?
PMID- 24935179
TI - A novel dedicated endoscopic stent for staple-line leaks after laparoscopic
sleeve gastrectomy: a case series.
AB - BACKGROUND: Staple-line leak is the most serious complication of laparoscopic
sleeve gastrectomy (LSG) occurring in .5-7% of cases. Patients with this
complication are often managed with an esophageal covered, self-expandable metal
stent positioned at endoscopy. Unfortunately, migration of these stents has been
reported in 30-50% of cases. A novel fully-covered, self-expanding metal stent
(Megastent), specifically designed for post-LSG leaks is now available. The
objective of this study was to describe the first case series of patients with a
staple-line leak after LSG who were endoscopically managed with such a novel
stent. METHODS: Four patients who developed a staple-line leak after LSG were
treated by positioning a Megastent at endoscopy. The stents were removed after 8
weeks. RESULTS: A complete leak repair was achieved in all patients. No stent
migration occurred. Prokinetic therapy was needed to treat vomiting episodes
during stent presence. At endoscopic evaluation after stent removal, a decubitus
lesion at the distal part of the duodenal bulb was observed. CONCLUSION: These
preliminary results would suggest the use of the Megastent as an option for
stenting of a staple-line leak after LSG. Further studies are still necessary.
PMID- 24935180
TI - Intussusception after Roux-en-Y gastric bypass.
AB - BACKGROUND: Jejuno-jejunal (J-J) intussusception is a rare complication after
Roux-en-Y gastric bypass (RYGB). Prompt diagnosis is critical as it may lead to
obstruction and bowel necrosis, but clinical presentation is nonspecific. A
definitive treatment plan has not been established with intussusception after
RYGB. The aim of our study was to describe clinical presentation and outcomes of
treatment in patients with intussusception after RYGB. METHODS: Out of 3022
patients who underwent laparoscopic RYGB between January 2003 and January 2013,
12 (0.4%) patients presented with intussusception after RYGB. A retrospective
review of a prospectively collected database was performed. RESULTS: Of the 12
patients, 11 (91.7%) presented with left or right upper quadrant abdominal pain
as their chief complaint, and 1 (8.3%) presented with persistent nausea and
vomiting. Diagnosis was made by computed tomographic scan (n = 1) or
intraoperative findings (n = 11) at a mean period of 24.9 +/- 26.0 months (range
3-85) after laparoscopic RYGB. Seven (58.3%) patients were treated only with
reduction, 2 (16.7%) with resection and revision of J-J anastomosis, the
remaining 3 (25.0%) underwent imbrication/plication of the J-J anastomosis. Only
1 (8.3%) patient, who was treated by reduction, returned with subsequent finding
of recurrent intussusception at 9 months. All patients did well at a mean follow
up of 12.7 +/- 16.4 months (range 1-47). CONCLUSION: While reduction alone of the
intussusception is safe and effective, there is a risk of recurrence, and
imbrication of the J-J anastomosis may be a more effective means of treatment.
PMID- 24935181
TI - Prospective observational study of emergent endotracheal intubation practice in
the intensive care unit and emergency department of an Australian regional
tertiary hospital.
AB - OBJECTIVE: The present study aimed to describe the characteristics and outcomes
of intubation occurring in the ICU and ED of an Australian tertiary teaching
hospital. METHODS: This was a prospective observational study of intubation
practice across the Geelong Hospital over a 6 month period from 1 August 2012 to
31 January 2013. Data were entered by the intubating team through an online data
collection form. RESULTS: There were 119 patients intubated and 134 attempts at
intubation in the ED and ICU over a 6 month period. The first-pass success rate
was 104/119 (87.4%), and all but a single patient was intubated by the second
attempt. Propofol, fentanyl, midazolam and suxamethonium were the most common
drugs used in rapid sequence induction. AEs were reported in 44/134 (32.8%) of
intubation attempts, with transient hypoxia and hypotension being the most
common. A significant adverse outcome, namely aspiration pneumonitis, occurred in
one patient. There were no peri-intubation deaths. CONCLUSION: The majority of
airways are managed by ICU and ED consultants and trainees, with success rates
and AE rates comparable with other published studies.
PMID- 24935182
TI - Effects of melatonin derivatives on human malaria parasite Plasmodium falciparum.
AB - Melatonin's function in modulating the circadian cycle of Plasmodium falciparum
has been an intense investigation for the past 45 years. The stimulatory effects
of melatonin on malaria growth, development and differentiation have been
confirmed by numerous studies conducted in the past 40 years but the molecular
mechanisms underlying melatonin stimulatory effects have been well understood
recently. Melatonin has been identified as a "signal" essential for
synchronization of malaria parasitic cell cycle. Melatonin has been shown to
modulate the release of intracellular Ca2+ and cAMP in Plasmodium falciparum. In
this context, melatonin receptor blocking agent luzindole has been shown to block
melatonin's actions in these intracellular events occurring in human malaria
parasites. Recent studies have resulted in the synthesis and development of
melatonin derivatives, compounds 7-11 and 12-16. Of these compounds 12, 13 and 14
were able to inhibit the Plasmodium falciparum growth and this serves as a
promising lead for the development of future antimalarial compounds that will
have rapid antimalarial actions with low toxicity. Some antimalarial drugs that
have been patented are also summarized in this review.
PMID- 24935184
TI - Retarded hydrodynamic properties of fractal clusters.
AB - Fractal clusters are commonly encountered when working with the stability and the
aggregation of colloidal suspensions. In spite of the number of studies that have
focused on their stationary hydrodynamic properties, no information is currently
known on their retarded hydrodynamic properties. The objective of this work is to
close this gap. Clusters with a broad range of fractal dimension values,
generated via Monte-Carlo simulations have been analyzed. A rigorous model based
on multipole expansion of time-dependent Stokes equations has been developed, and
then the full cluster resistance matrix as a function of the frequency has been
computed. An attempt has been made to extend Basset, Boussinesque and Oseen
equations to fractal clusters, but it was found that the corresponding
hydrodynamic radius needs to be a function of frequency. In the case of
translational motion, the cluster hydrodynamic radius loses any structural
information at high frequencies, becoming independent of the fractal dimension,
but depending only on its mass. A simplified model, based on an extension of
Kirkwood-Rieseman approach has also been developed. This allows one to perform
calculations for clusters with arbitrary masses and fractal dimensions, with good
accuracy and very low computational time. It is the first time that the frequency
dependence of hydrodynamic properties of complex non-spherical objects has been
investigated.
PMID- 24935183
TI - Activity of alkaline phosphatase adsorbed and grafted on "polydopamine" films.
AB - The oxidation of dopamine in slightly basic solutions and in the presence of
oxygen as an oxidant allows for the deposition of dopamine-eumelanin
("polydopamine") films on almost all kinds of materials allowing for an easy
secondary functionalization. Molecules carrying nucleophilic groups like thiols
and amines can be easily grafted on those films. Herein we show that alkaline
phosphatase (ALP), as a model enzyme, adsorbs to "polydopamine" films and part of
the adsorbed enzyme is rapidly desorbed in contact with Tris buffer. However a
significant part of the enzyme remains irreversibly adsorbed and keeps some
enzymatic activity for at least 2 weeks whereas ALP adsorbed on quartz slides is
rapidly and quantitatively deactivated. In addition we estimated the Michaelis
constant Km of the enzyme irreversibly bound to the "polydopamine" film. The
Michaelis constant, and hence the affinity constant between paranitrophenol
phosphate and ALP are almost identical between the enzyme bound on the film and
the free enzyme in solution. Complementarily, it was found that "polydopamine"
films display some phosphatase like catalytic activity.
PMID- 24935186
TI - Application of Mn/MCM-41 as an adsorbent to remove methyl blue from aqueous
solution.
AB - In this study, the application of Mn loaded MCM-41 (Mn/MCM-41) was reported as a
novel adsorbent for methyl blue (MB) from aqueous solution. The mesoporous
structure of Mn/MCM-41 was confirmed by XRD technique. Surface area, pore size
and wall thickness were calculated from BET equation and BJH method using
nitrogen sorption technique. FT-IR studies showed that Mn were loaded on the
hexagonal mesoporous structures of MCM-41. It is found that the MCM-41 structure
retained after loading of Mn but its surface area and pore diameter decreased due
to pore blockage. Adsorption of MB from aqueous solution was investigated by
Mn/MCM-41 with changing Mn content, adsorbent dosage, initial MB concentration,
contact time, pH and the temperature. Under the chosen condition (25 degrees C,
0.02 g adsorbent dosage, 6.32 pH, 50 mg L(-1) MB, 1 wt.% Mn), a high MB
adsorption capacity (45.38 mg g(-1)) was achieved by Mn/MCM-41 process at 120
min, 8.6 times higher than MCM-41. The electrostatic interaction was considered
to be the main mechanism for the dye adsorption. The experimental data fitted
well to Freundlich and Dubinin-Radushkevich isotherms. The adsorption of MB on
Mn/MCM-41 followed pseudo-second-order kinetics. Thermodynamic parameters
suggested that the adsorption process is endothermic and spontaneous.
PMID- 24935185
TI - Nanovesicle formation and microstructure in aqueous
ditallowethylesterdimethylammonium chloride (DEEDMAC) solutions.
AB - HYPOTHESIS: Surfactant vesicles composed of ditallowethylesterdimethylammonium
chloride (DEEDMAC), a cationic double tail surfactant, are commonly present in
personal care industrial formulations such as fabric softeners. There is
significant interest in formulating vesicle dispersions, investigation of
stability, characterization of their structure and flow properties due to the
biodegradable nature of DEEDMAC. EXPERIMENTS: We investigate the formation and
structure of unilamellar nanovesicles having a shell made of DEEDMAC and a core
containing water. We use bright field optical microscopy to elucidate the
formation mechanism, and a combination of small angle neutron scattering (SANS),
cryogenic transmission electron microscopy (cryo-TEM), viscometry, densitometry,
dynamic light scattering (DLS), small angle X-ray scattering (SAXS) and zeta
potential measurements to determine the nanostructure of well-defined surfactant
nanovesicles (~15 nm diameter). FINDINGS: We report methods for the determination
of volume fraction of nanovesicles and vesicle density, which are crucial for
quantitative estimation of nanovesicle performance in practical applications and
for predicting vesicle stability. The nanovesicle volume fraction can be obtained
directly from the intrinsic viscosity and density. The robust method presented
here is simple and effective as confirmed by quantitative agreement of the
results with independent SANS measurements.
PMID- 24935188
TI - Nanoparticle zeta-potential measurements using tunable resistive pulse sensing
with variable pressure.
AB - Modern resistive pulse sensing techniques can be used to measure nanoparticle
electrophoretic mobility, and hence zeta-potential. In contrast to conventional
light scattering methods, resistive pulse sensing produces particle-by-particle
data. We have used tunable resistive pulse sensing (TRPS) to compare methods for
measuring the zeta-potential of carboxylated polystyrene nanoparticles. The five
particle sets studied had nominal surface charge density (sigma) between 0 and
0.67 C m(-2), and diameters in the range 160-230 nm. Data were collected with
pressure in the range +/-500 Pa applied across a tunable pore. In each
experiment, pressure was varied either continuously or in discrete steps.
Calculations of the zeta-potential were obtained by analysing both the rate and
the full-width half maximum duration of resistive pulses. Data obtained from
duration analyses were more reproducible than rate methods, yielding typical
variations smaller than +/-5 mV. When sigma was greater (less negative) than
0.32 C m(-2), all of the analysis methods studied yielded a monotonic
relationship between zeta-potential and sigma. Complicated pulse data were
observed near the pressure at which the net particle flux is zero, and these
observations have been explored by examining competition between electrokinetic
and pressure-driven transport. The typical difference between zeta-potentials
obtained using TRPS and phase analysis light scattering was 15% (<5 mV), with an
experimental error of ~10% attributable to both techniques.
PMID- 24935187
TI - Folic acid-conjugated pH/temperature/redox multi-stimuli responsive polymer
microspheres for delivery of anti-cancer drug.
AB - The folic acid (FA)-conjugated pH/temperature/redox multi-stimuli responsive
poly(methacrylic acid-co-N,N-bis(acryloyl)cystamine/poly(N-isopropylacrylamide-co
glycidyl methacrylate-co-N,N-bis(acryloyl)cystamine) microspheres were prepared
by a two-stage distillation-precipitation polymerization with subsequent surface
modification with FA. The microspheres were characterized by transmission
electron microscopy, dynamical light scattering, Fourier-transform infrared
spectra, UV-vis spectra and elemental analysis. The degradation of the functional
microspheres could be triggered by a reductive reagent, such as glutathione, due
to presence of BAC crosslinker. The drug-loaded microspheres exhibited a
pH/temperature/redox multi-stimuli responsive drug release character for
doxorubicin hydrochloride as a model anti-cancer drug, which was efficiently
loaded into the microspheres with a high loading capacity of 208.0% and an
encapsulation efficiency of 85.4%. In vitro drug delivery study indicated that
the FA-conjugated microspheres could deliver Dox into MCF-7 cells more
efficiently than the microspheres without functionalization of FA. Furthermore,
WST-1 assay showed that the microspheres had no obvious toxicity to MCF-7 cells
even at a high concentration of 2000 MUg mL(-1). The resultant microsphere may be
a promising vector for delivery of anti-cancer drugs as it exhibits a low
cytotoxicity and degradability, precise molecular targeting property and multi
stimuli responsively controlled drug release.
PMID- 24935189
TI - Vapor-phase hydrothermal synthesis of rutile TiO2 nanostructured film with
exposed pyramid-shaped (111) surface and superiorly photoelectrocatalytic
performance.
AB - Rutile TiO2 nanostructured film with exposed pyramid-shaped (111) surface was
successfully fabricated using metal titanium foil as substrate through a facile
vapor-phase hydrothermal method. The fabricated rutile TiO2 film was composed of
vertically aligned rod-like structures with diameters ranged from 400 to 700 nm
and thickness of ca. 2.0 MUm. The obtained rutile TiO2 film as photoanode
exhibited excellent photoelectrocatalytic activity toward water oxidation and
rhodamine B decolorization under UV illumination, which was more than 3.5 and 1.2
times of that obtained by highly ordered anatase TiO2 nanotube array film
photoanode under the same experimental conditions, respectively. The excellent
photoelectrocatalytic performance of the rutile TiO2 film photoanode could be due
to the superior photoelectron transfer property and the high oxidative capability
of {111} crystal facets. The superior photoelectron transfer capability of the
photoanodes was manifested by the inherent resistance (R0) of the photoanodes
using a simple photoelectrochemical method. The calculated R0 values were 50.5
and 86.2 Omega for the rutile TiO2 nanostructured film and anatase TiO2 nanotube
array film, respectively. Lower R0 value of the rutile TiO2 photoanode indicated
a superior photoelectron transfer capability owing to good single crystal
property of the rod-like rutile nanostructure. Almost identical valence band
level (1.94 eV) of the rutile TiO2 nanostructured film and anatase TiO2 nanotube
array film (meaning a similar oxidation capability) further confirmed the
significant role of photoelectron transfer capability and exposed high-energy
{111} crystal facets for improved photoelectrocatalytic performance of the rutile
TiO2 nanostructured film photoanode.
PMID- 24935190
TI - Synthesis of mesoporous silica hollow nanospheres with multiple gold cores and
catalytic activity.
AB - The core-shell Au@resorcinol-formaldehyde (RF) nanospheres with multiple cores
have been successfully synthesized by a modified Stober method. After coating
mesoporous silica and the calcination, the Au@meso-SiO2 hollow nanospheres with
multiple gold cores can be obtained, which have a high surface area (~537 m(2)/g)
and uniform pore size (~2.5 nm). The Au@meso-SiO2 hollow nanospheres can be used
as a catalyst for the reduction of 4-nitrophenol by NaBH4 into 4-aminophenol, and
exhibit excellent catalytic performance.
PMID- 24935191
TI - Conversion of waste FGD gypsum into hydroxyapatite for removal of Pb2+ and Cd2+
from wastewater.
AB - Flue gas desulfurization (FGD) gypsum, a familiar waste generated from coal-fired
power plants, was successfully transformed to hydroxyapatite (FGD-HAP) by
hydrothermal method. The obtained FGD-HAP was characterized by XRD, FTIR, TEM and
BET methods and investigated as adsorbent for removal of Pb(2+) and Cd(2+) from
wastewater. Batch experiments were performed by varying the pH values, contact
time and initial metal concentration. The result of pH impact showed that the
adsorption of two ions was pH dependent process, and the pH 5.0-6.0 was found to
be the optimum condition. The achieved experimental data were analyzed with
various kinetic and isotherm models. The kinetic studies displayed that the
pseudo-second order kinetic model could describe adsorption processes well with
high correlation coefficient, and the Langmuir isotherm model provided the best
fit to the equilibrium experimental data. The maximum adsorption capacities
calculated from Langmuir equation were 277.8 and 43.10mg/g for Pb(2+) and Cd(2+),
respectively, which can compete with other adsorbents. The thermodynamic
parameters revealed the adsorption processes were endothermic and spontaneous in
nature. In binary adsorption, the amount of Cd(2+) adsorbed on FGD-HAP decreased
by 46.0% with increasing concentration of Pb(2+), which was higher than that of
Pb(2+)(21.7%), demonstrating the stronger affinity between FGD-HAP and Pb(2+).
The highest amount of Pb(2+) and Cd(2+) desorbed from saturated FGD-HAP by EDTA
solution confirmed the FGD-HAP was a promising alternative adsorbent in treatment
of toxic Pb(2+) and Cd(2+) wastewater.
PMID- 24935192
TI - Preparation and biological evaluation of photoluminescent carbonaceous
nanospheres.
AB - Carbon nanospheres (CNP) possess several unique properties that render CNP
superior to traditional organic dyes and quantum dots in the biological
application. However, the interaction of CNP with biological systems was far from
well-known. In this study, a simple method using cocoon silk was used to
synthesize photoluminescent CNP. The particle size of CNP was 100.6 nm with well
dispersity. The excitation/emission wavelength was 340 nm and 442 nm. Cellular
uptake demonstrated the uptake of CNP by A549 cells was a time-, concentration-
and energy-dependent procedure. Endosome was involved in the uptake rather than
mitochondria. Through several uptake inhibitors, it showed the uptake was energy
dependent and mainly mediated by clathrin-mediated endocytosis. In vivo, CNP were
mainly distributed in heart and lung, while only a modest amount of CNP was
distributed in spleen, liver and kidney. The distribution in tumor was relatively
low, which made CNP a candidate for heart cell imaging. At as high as 2mg/mL, CNP
showed no obvious toxicity to cells. The hemolysis rate of CNP was also lower
than 10%. These results suggested CNP was relatively safe in biological
application.
PMID- 24935193
TI - Macroporous crosslinked polydivinylbenzene/polyacryldiethylenetriamine
(PDVB/PADETA) interpenetrating polymer networks (IPNs) and their efficient
adsorption to o-aminobenzoic acid from aqueous solutions.
AB - Macroporous crosslinked polydivinylbenzene/polyacryldiethylenetriamine
(PDVB/PADETA) interpenetrating polymer networks (IPNs) were prepared in this
study and their adsorption performance was investigated using o-aminobenzoic acid
as the adsorbate. The results indicated that PDVB/PADETA IPNs had a large
equilibrium capacity to o-aminobenzoic acid, the Freundlich and Sips models were
appropriate for fitting the equilibrium data, and the isosteric enthalpy kept
constant (about -30 kJ mol(-1)) with the equilibrium capacity at 5-125 mg g(-1).
The pseudo-first-order rate equation characterized the kinetic data better than
the pseudo-second-order rate equation. At an initial concentration of 593.1 mg L(
1) and a flow rate of 84 mL h(-1), the breakthrough and saturated capacities were
50.62 and 95.70 mg mL(-1) wet resin, respectively, and the resin column could be
regenerated by 0.1 mol L(-1) of sodium hydroxide (w/v) and 40% of ethanol (v/v).
PMID- 24935194
TI - Cutaneous Richter syndrome: a better place to transform?
AB - The development of high-grade lymphoma in patients with chronic lymphocytic
leukaemia is known as Richter syndrome (RS) and is associated with a grave
prognosis, with a mean survival of 8 months despite treatment. Cutaneous RS has
been described in a handful of cases and may be associated with a better outcome
than the more common extracutaneous variants. We review the literature with
particular emphasis on pathogenesis, treatment and survival of RS. We postulate
that the absence of B symptoms and a normal lactate dehydrogenase level,
presumably reflecting localized or limited disease, and a lower tumour burden,
may explain the apparently better survival in some patients with cutaneous RS
than with extracutaneous variants.
PMID- 24935195
TI - Geographic variation in sex-chromosome differentiation in the common frog (Rana
temporaria).
AB - In sharp contrast with birds and mammals, sex-determination systems in
ectothermic vertebrates are often highly dynamic and sometimes multifactorial.
Both environmental and genetic effects have been documented in common frogs (Rana
temporaria). One genetic linkage group, mapping to the largest pair of
chromosomes and harbouring the candidate sex-determining gene Dmrt1, associates
with sex in several populations throughout Europe, but association varies both
within and among populations. Here, we show that sex association at this linkage
group differs among populations along a 1500-km transect across Sweden. Genetic
differentiation between sexes is strongest (FST = 0.152) in a northern-boreal
population, where male-specific alleles and heterozygote excesses (FIS = -0.418
in males, +0.025 in females) testify to a male-heterogametic system and lack of X
Y recombination. In the southernmost population (nemoral climate), in contrast,
sexes share the same alleles at the same frequencies (FST = 0.007 between
sexes), suggesting unrestricted recombination. Other populations show
intermediate levels of sex differentiation, with males falling in two categories:
some cluster with females, while others display male-specific Y haplotypes. This
polymorphism may result from differences between populations in the patterns of X
Y recombination, co-option of an alternative sex-chromosome pair, or a mixed sex
determination system where maleness is controlled either by genes or by
environment depending on populations or families. We propose approaches to test
among these alternative models, to disentangle the effects of climate and
phylogeography on the latitudinal trend, and to sort out how this polymorphism
relates to the 'sexual races' described in common frogs in the 1930s.
PMID- 24935197
TI - The risk of switch to mania in patients with bipolar disorder during treatment
with an antidepressant alone and in combination with a mood stabilizer.
AB - OBJECTIVE: This study examined the risk of antidepressant-induced manic switch in
patients with bipolar disorder treated either with antidepressant monotherapy or
with an antidepressant in conjunction with a mood stabilizer. METHOD: Using
Swedish national registries, the authors identified 3,240 patients with bipolar
disorder who started treatment with an antidepressant and had no antidepressant
treatment during the previous year. Patients were categorized into those
receiving antidepressant monotherapy and those receiving an antidepressant plus a
mood stabilizer. A within-individual design was used to control for confounding
by disorder severity, genetic makeup, and early environmental factors. Cox
regression analyses conditioned on individual were used to compare the rate of
mania 0-3 months and 3-9 months after the start of antidepressant treatment with
a preceding non-treatment period. RESULTS: Nearly 35% of the patients were
treated with antidepressant monotherapy. The increased risk of treatment-emergent
mania was confined to patients on antidepressant monotherapy (hazard ratio=2.83,
95% CI=1.12, 7.19). Among patients treated with a concurrent mood stabilizer, no
acute change in risk of mania was observed during the 3 months after the start of
antidepressant treatment (hazard ratio=0.79, 95% CI=0.54, 1.15), and a decreased
risk was observed during the period 3-9 months after treatment initiation (hazard
ratio=0.63, 95% CI=0.42, 0.93). CONCLUSIONS: In this national registry study,
antidepressant monotherapy was associated with an increased risk of mania.
However, no risk of mania was seen in patients receiving an antidepressant while
treated with a mood stabilizer. The results highlight the importance of avoiding
antidepressant monotherapy in the treatment of bipolar disorder.
PMID- 24935196
TI - DAP12 deficiency in liver allografts results in enhanced donor DC migration,
augmented effector T cell responses and abrogation of transplant tolerance.
AB - Liver interstitial dendritic cells (DC) have been implicated in immune regulation
and tolerance induction. We found that the transmembrane immuno-adaptor DNAX
activating protein of 12 kDa (DAP12) negatively regulated conventional liver
myeloid (m) DC maturation and their in vivo migratory and T cell allostimulatory
ability. Livers were transplanted from C57BL/6(H2(b) ) (B6) WT or DAP12(-/-) mice
into WT C3H (H2(k) ) recipients. Donor mDC (H2-K(b+) CD11c(+) ) were quantified
in spleens by flow cytometry. Anti-donor T cell reactivity was evaluated by ex
vivo carboxyfluorescein diacetate succinimidyl ester-mixed leukocyte reaction and
delayed-type hypersensitivity responses, while T effector and regulatory T cells
were determined by flow analysis. A threefold to fourfold increase in donor
derived DC was detected in spleens of DAP12(-/-) liver recipients compared with
those given WT grafts. Moreover, pro-inflammatory cytokine gene expression in the
graft, interferon gamma (IFNgamma) production by graft-infiltrating CD8(+) T
cells and systemic levels of IFNgamma were all elevated significantly in DAP12(-/
) liver recipients. DAP12(-/-) grafts also exhibited reduced incidences of CD4(+)
Foxp3(+) cells and enhanced CD8(+) T cell IFNgamma secretion in response to donor
antigen challenge. Unlike WT grafts, DAP12(-/-) livers failed to induce tolerance
and were rejected acutely. Thus, DAP12 expression in liver grafts regulates donor
mDC migration to host lymphoid tissue, alloreactive T cell responses and
transplant tolerance.
PMID- 24935198
TI - Hydrodynamic slip on DNA observed by optical tweezers-controlled translocation
experiments with solid-state and lipid-coated nanopores.
AB - We use optical tweezers to investigate the threading force on a single dsDNA
molecule inside silicon-nitride nanopores between 6 and 70 nm in diameter, as
well as lipid-coated solid-state nanopores. We observe a strong increase of the
threading force for decreasing nanopore size that can be attributed to a
significant reduction in the electroosmotic flow (EOF), which opposes the
electrophoresis. Additionally, we show that the EOF can also be reduced by
coating the nanopore wall with an electrically neutral lipid bilayer, resulting
in an 85% increase in threading force. All experimental findings can be described
by a quantitative theoretical model that incorporates a hydrodynamic slip effect
on the DNA surface with a slip length of 0.5 nm.
PMID- 24935199
TI - Probe-based confocal laser endomicroscopy and fluorescence-based enhanced reality
for real-time assessment of intestinal microcirculation in a porcine model of
sigmoid ischemia.
AB - BACKGROUND AND AIM: Surgeons currently rely on visual clues to estimate the
presence of sufficient vascularity for safe anastomosis. We aimed to assess the
accuracy of endoluminal confocal laser endomicroscopy (CLE) and laparoscopic
fluorescence-based enhanced reality (FLER), using near-infrared imaging and
fluorescence from injected Indocyanine Green, to identify the transition from
ischemic to vascular areas in a porcine model of mesenteric ischemia. METHODS:
Six pigs underwent 1-h sigmoid segmental ischemia. The ischemic area was
evaluated by clinical assessment and FLER to determine presumed viable margins.
For each sigmoid colon, 5 regions of interest (ROIs) were identified: ischemic
(ROI 1), presumed viable margins ROI 2a (distal) and 2b (proximal), and vascular
areas 3a (distal) and 3b (proximal). After injection of fluorescein, CLE scanning
of the mucosa from the ischemic area toward viable margins was performed.
Capillary blood samples were obtained by puncturing the serosa at the ROIs, and
capillary lactates were measured with the EDGE((r)) analyzer. RESULTS: Capillary
lactates were significantly higher at ROI 1 (4.91 mmol/L) when compared to
resection margins (2.8 mmol/L; mean difference: 2.11; p < 0.05) identified by
FLER. There was no significant difference in lactates between ROI1 and resection
margins identified by clinical evaluation. In 50 % of cases, ROI 2aCLINIC
2bCLINIC were considered to match (<1 cm distance) with ROI 2aFLER-2bFLER.
Confocal analysis revealed specific clues to identify the transition from
ischemic to viable areas corresponding to those assessed by FLER in 11/12 cases
versus 7/12 for those identified by clinical evaluation. CONCLUSIONS: In this
experimental model, FLER and CLE were more accurate than clinical evaluation to
delineate bowel vascularization.
PMID- 24935200
TI - The impact of laparoscopic anti-reflux surgery in patients with Barrett's
esophagus.
AB - BACKGROUND: Barrett's esophagus (BE) is a major risk factor for esophageal
adenocarcinoma. It is believed that BE is caused by chronic gastro-esophageal
reflux disease (GERD). Laparoscopic anti-reflux surgery (LARS) restores the
competency of the cardia and may thereby change the natural course of BE. We
studied the impact of LARS on the histological profile of BE and on the control
of GERD. METHODS: We identified all patients with BE who underwent LARS from 1994
to 2007 and contacted them to assess post-operative GERD symptoms via
questionnaire. Endoscopy findings, histology, 24 hour pH monitoring, and
manometry were also collected using our prospectively maintained database.
Histological regression was defined as either loss of dysplasia or disappearance
of BE. RESULTS: Two hundred and fifteen patients met the initial inclusion
criteria; in 82 of them histology from post-operative endoscopy was available for
review. Endoscopy was performed a median of 8 years (range, 1-16 years) after
surgery. Regression of BE occurred in 18 (22%) patients while in 6 (7%) BE
progressed to dysplasia or cancer. Thirty-six (43%) patients underwent pre- and
post-operative manometry. The median lower esophageal sphincter pressure
increased from 9 to 17 mmHg in these patients. Thirty-four (41%) patients
underwent pre- and post-operative pH studies. The median DeMeester score
decreased from 54 to 9. Sixty-seven (82%) of 82 patients completed the post
operative questionnaire; 86% of these patients reported improvement in heartburn
and regurgitation. CONCLUSIONS: LARS was associated with both physiologic and
symptomatic control of GERD in patients with BE. LARS resulted in regression of
BE in 22% of patients and progression in 7%. Thus, continued surveillance of
Barrett's is needed after LARS.
PMID- 24935201
TI - Hospital-acquired conditions after bariatric surgery: we can predict, but can we
prevent?
AB - BACKGROUND: Centers for Medicare and Medicaid Services initiated a non-payment
policy for certain hospital-acquired conditions (HACs) in 2008. This study aimed
to determine the rate of the three most common HACs (surgical site infection
(SSI), urinary tract infection (UTI), and venous thromboembolism (VTE)) among
bariatric surgery patients. Additionally, the association of HACs with patient
factors and the effect of HACs on post-operative outcomes were investigated.
METHODS: Patients over 18 years with a body mass index (BMI) >= 35 who underwent
bariatric surgery were identified using the American College of Surgeons'
National Surgical Quality Improvement Program (ACS-NSQIP) database (2005-2012).
Patients were grouped into two categories: HAC versus no HAC patients and
baseline characteristics and outcomes, including 30-day mortality, reoperation,
and mean length of stay (LOS) were compared. Multivariable logistic regression
analysis was performed to identify the risk factors for developing a HAC.
RESULTS: 98,553 patients were identified, 2,809 (2.9%) developed at least one
HACs. SSI was the most common HAC (1.8%), followed by UTI (0.7%) and VTE (0.4%).
The rate of these HACs significantly decreased from 4.6% in 2005-2006 to 2.5% in
2012 (p < 0.001). Laparoscopic gastric banding was associated with the lowest
rates of HAC (1.3%) and open gastric bypass with the highest (8.0%). HAC patients
had significantly higher rates of in-hospital mortality (0.8 vs. 0.1%, p < 0.001)
and LOS (3.9 vs. 2.1 days, p < 0.001). On adjusted analysis, open GBP patients
had 5.36-fold higher odds of developing a HAC. Interestingly, the presence of a
resident surgeon 7-11 years post graduation was associated with significantly
increased odds of HACs (1.86, 1.50-2.31, p < 0.001). CONCLUSION: Our data
demonstrate a strong correlation between these three HACs following bariatric
surgery and factors intrinsic to the bariatric patient population. This calls
into question the non-payment policy for inherent patient factors on which they
cannot have impact. These findings are important to help inform health care
policy decisions regarding access to care for bariatric surgery patients.
PMID- 24935202
TI - A multi-center study of using carbon nanoparticles to track lymph node metastasis
in T1-2 colorectal cancer.
AB - BACKGROUND: How to identify whether T1-2 colorectal cancers have lymph nodes
metastases pre-op or intra-op is a crucial problem in clinic. The purpose of this
study was to evaluate the feasibility of using carbon nanoparticles to track
lymph nodes metastases in T1-2 colorectal cancers. METHODS: A multi-center study
was performed between July 2012 and January 2014. Seventy-three patients with T1
2 colorectal cancer identified by pre-op endoscopic ultrasonography (EUS) were
recruited. 1 ml carbon nanoparticles suspension was endoscopically injected into
the submucosal layer at four points around the site of the primary tumor 1 day
before surgery. Laparoscopic radical resection with lymphadenectomy was
performed. Sentinel lymph nodes (SLNs) were defined as nodes that were black-dyed
by carbon nanoparticles. Pathology confirmed whether lymph nodes have cancer
metastases and the SLNs accuracy. RESULTS: SLNs were easily found under
laparoscopy. The mean number of SLNs was 3 (range 1-5). All patients had SLNs
lying alongside the mesenteric vessel or main arterial vessel. After pathological
analysis, 2 patients (9.52%) had lymph node metastasis in 21 patients with EUS T1
cancers, and 10 patients (19.23%) had lymph node metastasis in 52 patients with
EUS T2 cancers. In two T1 cases with lymph node metastasis, SLNs were positive
with 100% accuracy. In ten T2 cases with lymph node metastasis, SLNs were
positive in nine cases. In pathology, carbon nanoparticles were seen in lymphatic
vessels, and lymphoid sinus and macrophages in negative SLNs. When SLNs were
positive, carbon nanoparticles were seen around cancer cells in lymph nodes. The
overall sensitivity, specificity, accuracy of SLNs in T1-2 colorectal cancers
were 91.67, 100, 98.63%, respectively. CONCLUSIONS: We demonstrated the
feasibility of using carbon nanoparticles to track lymph nodes metastases in T1-2
colorectal cancers. Carbon nanoparticles black-dyed lymph nodes play a role as
SLNs in T1-2 colorectal cancers.
PMID- 24935203
TI - Efficacy and survival analysis of percutaneous radiofrequency versus microwave
ablation for hepatocellular carcinoma: an Egyptian multidisciplinary clinic
experience.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is a primary tumor of the liver with
poor prognosis. For early stage HCC, treatment options include surgical
resection, liver transplantation, and percutaneous ablation. Percutaneous
ablative techniques (radiofrequency and microwave techniques) emerged as best
therapeutic options for nonsurgical patients. AIMS: We aimed to determine the
safety and efficacy of radiofrequency and microwave procedures for ablation of
early stage HCC lesions and prospectively follow up our patients for survival
analysis. PATIENTS AND METHODS: One Hundred and 11 patients with early HCC are
managed in our multidisciplinary clinic using either radiofrequency or microwave
ablation. Patients are assessed for efficacy and safety. Complete ablation rate,
local recurrence, and overall survival analysis are compared between both
procedures. RESULTS: Radiofrequency ablation group (n = 45) and microwave
ablation group (n = 66) were nearly comparable as regards the tumor and patients
characteristics. Complete ablation was achieved in 94.2 and 96.1% of patients
managed by radiofrequency and microwave ablation techniques, respectively (p
value 0.6) with a low rate of minor complications (11.1 and 3.2, respectively)
including subcapsular hematoma, thigh burn, abdominal wall skin burn, and pleural
effusion. Ablation rates did not differ between ablated lesions <= 3 and 3-5 cm.
A lower incidence of local recurrence was observed in microwave group (3.9 vs.
13.5% in radiofrequency group, p value 0.04). No difference between both groups
as regards de novo lesions, portal vein thrombosis, and abdominal
lymphadenopathy. The overall actuarial probability of survival was 91.6% at 1
year and 86.1% at 2 years with a higher survival rates noticed in microwave group
but still without significant difference (p value 0.49). CONCLUSION:
Radiofrequency and microwave ablations led to safe and equivalent ablation and
survival rates (with superiority for microwave ablation as regards the incidence
of local recurrence).
PMID- 24935204
TI - Per-oral endoscopic myotomy white paper summary.
PMID- 24935205
TI - Severe radiotoxicity in an allogeneic transplant recipient with a heterozygous
ATM mutation.
AB - Patients receiving radiotherapy often experience toxicity of the skin and mucous
membranes. While radiotherapy is a mainstay of myeloablative conditioning for
allogeneic hematopoietic stem cell transplantation (ASCT), no risk factors for
radiotoxicity have been identified in this setting. Here, we report on a patient
with excessive radiation-induced toxicity after ASCT who carried a heterozygous
mutation in the Ataxia telangiectasia mutated (ATM) gene. This is the first case
to suggest a genetic basis for increased radiotoxicity after myeloablative ASCT.
PMID- 24935207
TI - Detection and characterization of emerging psychoactive substances by ion
mobility spectrometry.
AB - Rapid detection and identification of novel psychoactive substances (NPS)
continues to present significant analytical challenges to forensic and analytical
chemists. Ion mobility spectrometry (IMS) has been traditionally considered as
the analytical technique of choice to detect illicit drugs in security points in
airports, borderlines and customs. Databases of the reduced mobility (K0 ) values
of illicit drugs are available in the scientific literature and they should be
completed with data of emerging designer drugs. In this paper, we have evaluated
the effect of different measurement conditions and determined the K0 values of an
important number of NPS including different families; such as phenethylamines,
cathinones, synthetic cannabinoids and tryptamines among others to be
incorporated to the existing data to provide a rapid detection and identification
of this emerging threat.
PMID- 24935206
TI - Design and evaluation of locked nucleic acid-based splice-switching
oligonucleotides in vitro.
AB - Antisense-mediated modulation of pre-mRNA splicing is an attractive therapeutic
strategy for genetic diseases. Currently, there are few examples of modulation of
pre-mRNA splicing using locked nucleic acid (LNA) antisense oligonucleotides,
and, in particular, no systematic study has addressed the optimal design of LNA
based splice-switching oligonucleotides (LNA SSOs). Here, we designed a series of
LNA SSOs complementary to the human dystrophin exon 58 sequence and evaluated
their ability to induce exon skipping in vitro using reverse transcription
polymerase chain reaction. We demonstrated that the number of LNAs in the SSO
sequence and the melting temperature of the SSOs play important roles in inducing
exon skipping and seem to be key factors for designing efficient LNA SSOs. LNA
SSO length was an important determinant of activity: a 13-mer with six LNA
modifications had the highest efficacy, and a 7-mer was the minimal length
required to induce exon skipping. Evaluation of exon skipping activity using
mismatched LNA/DNA mixmers revealed that 9-mer LNA SSO allowed a better mismatch
discrimination. LNA SSOs also induced exon skipping of endogenous human
dystrophin in primary human skeletal muscle cells. Taken together, our findings
indicate that LNA SSOs are powerful tools for modulating pre-mRNA splicing.
PMID- 24935208
TI - Multiple roles of complement MASP-1 at the interface of innate immune response
and coagulation.
AB - MASP-1 is a versatile serine protease that cleaves a number of substrates in
human blood. In recent years it became evident that besides playing a crucial
role in complement activation MASP-1 also triggers other cascade systems and even
cells to mount a more powerful innate immune response. In this review we
summarize the latest discoveries about the diverse functions of this multi
faceted protease. Recent studies revealed that among MBL-associated serine
proteases, MASP-1 is the one responsible for triggering the lectin pathway via
its ability to rapidly autoactivate then cleave MASP-2, and possibly MASP-3. The
crystal structure of MASP-1 explains its more relaxed substrate specificity
compared to the related complement enzymes. Due to the relaxed specificity, MASP
1 interacts with the coagulation cascade and the kinin generating system, and it
can also activate endothelial cells eliciting pro-inflammatory signaling.
PMID- 24935210
TI - RANZCR Body Systems Framework of diagnostic imaging examination descriptors.
AB - INTRODUCTION: A unified and logical system of descriptors for diagnostic imaging
examinations and procedures is a desirable resource for radiology in Australia
and New Zealand and is needed to support core activities of RANZCR. Existing
descriptor systems available in Australia and New Zealand (including the Medicare
DIST and the ACC Schedule) have significant limitations and are inappropriate for
broader clinical application. METHODS: An anatomically based grid was
constructed, with anatomical structures arranged in rows and diagnostic imaging
modalities arranged in columns (including nuclear medicine and positron emission
tomography). The grid was segregated into five body systems. The cells at the
intersection of an anatomical structure row and an imaging modality column were
populated with short, formulaic descriptors of the applicable diagnostic imaging
examinations. Clinically illogical or physically impossible combinations were
'greyed out'. Where the same examination applied to different anatomical
structures, the descriptor was kept identical for the purposes of streamlining.
RESULTS: The resulting Body Systems Framework of diagnostic imaging examination
descriptors lists all the reasonably common diagnostic imaging examinations
currently performed in Australia and New Zealand using a unified grid structure
allowing navigation by both referrers and radiologists. The Framework has been
placed on the RANZCR website and is available for access free of charge by
registered users. CONCLUSION: The Body Systems Framework of diagnostic imaging
examination descriptors is a system of descriptors based on relationships between
anatomical structures and imaging modalities. The Framework is now available as a
resource and reference point for the radiology profession and to support core
College activities.
PMID- 24935209
TI - Systolic blood pressure levels among adults with hypertension and incident
cardiovascular events: the atherosclerosis risk in communities study.
AB - IMPORTANCE: Studies document a progressive increase in heart disease risk as
systolic blood pressure (SBP) rises above 115 mm Hg, but it is unknown whether an
SBP lower than 120 mm Hg among adults with hypertension (HTN) lowers heart
failure, stroke, and myocardial infarction risk. OBJECTIVE: To examine the risk
of incident cardiovascular (CV) events among adults with HTN according to 3 SBP
levels: 140 mm Hg or higher; 120 to 139 mm Hg; and a reference level of lower
than 120 mm Hg. DESIGN, SETTING, AND PARTICIPANTS: A total of 4480 participants
with HTN but without prevalent CV disease at baseline (years 1987-1989) from the
Atherosclerosis Risk in Communities Study were included. Measurements of SBP were
taken at baseline and at 3 triennial visits; SBP was treated as a time-dependent
variable and categorized as elevated (>=140 mm Hg), standard (120-139 mm Hg), and
low (<120 mm Hg). Multivariable Cox regression models included baseline age, sex,
diabetes status, BMI, high cholesterol level, smoking status, and alcohol intake.
MAIN OUTCOMES AND MEASURES: Incident composite CV events (heart failure, ischemic
stroke, myocardial infarction, or death related to coronary heart disease).
RESULTS: After a median follow-up of 21.8 years, a total of 1622 incident CV
events had occurred. Participants with elevated SBP developed incident CV events
at a significantly higher rate than those in the low BP group (adjusted hazard
ratio [HR], 1.46; 95% CI, 1.26-1.69). However, there was no difference in
incident CV event-free survival among those in the standard vs low SBP group
(adjusted HR, 1.00; 95% CI, 0.85-1.17). Further adjustment for BP medication use
or diastolic BP did not significantly affect the results. CONCLUSIONS AND
RELEVANCE: Among patients with HTN, having an elevated SBP carries the highest
risk for cardiovascular events, but in this categorical analysis, once SBP was
below 140 mm Hg, an SBP lower than 120 mm Hg did not appear to lessen the risk of
incident CV events.
PMID- 24935211
TI - Fluid intake from beverages across age groups: a systematic review.
AB - BACKGROUND: Fluid intake, especially water, is essential for human life and also
necessary for physical and mental function. The present study aimed to assess
beverage consumption across age groups. METHODS: A systematic review was
conducted. Original research in English language publications and available
studies (or abstracts in English) from 2000 to 2013 was searched for by using the
medical subheading (MeSH) terms: ('beverage' OR 'fluid' [Major]) AND
('consumption' [Mesh] OR 'drinking' [Mesh] OR 'intake' [Mesh]) AND ('child'
[Mesh] OR 'adolescent' [Mesh] OR 'adult' [Mesh]). Article selection was
restricted to those papers covering healthy populations of all age groups in a
nationwide sample, or from a representative sample of the population of a city or
cities, which examined the trends or patterns of beverage intake and the
determinants of beverage intake. Sixty-five studies were identified with respect
to beverage consumption across age groups. The papers were screened by thoroughly
reading titles or abstracts. Full-text articles were assessed by three
investigators. RESULTS: Total beverage intake varied between 0.6 and 3.5 L day(
1) among all age groups (males more than females). Plain water contributed up to
58%, 75% and 80% of the total beverage intake in children, adolescents and
adults, respectively. Milk consumption was higher among children; consumption of
soft drinks was higher among adolescents; and the consumption of tea, coffee and
alcoholic beverages was higher among adults. CONCLUSIONS: Plain water is the main
water source for all age groups and the consumption of other beverages varies
according to age.
PMID- 24935212
TI - Development of a pseudo/anonymised primary care research database: Proof-of
concept study.
AB - General practice records present a comprehensive source of data that could form a
variety of anonymised or pseudonymised research databases to aid identification
of potential research participants regardless of location. A proof-of-concept
study was undertaken to extract data from general practice systems in 15
practices across the region to form pseudo and anonymised research data sets. Two
feasibility studies and a disease surveillance study compared numbers of
potential study participants and accuracy of disease prevalence, respectively.
There was a marked reduction in screening time and increase in numbers of
potential study participants identified with the research repository compared
with conventional methods. Accurate disease prevalence was established and
enhanced with the addition of selective text mining. This study confirms the
potential for development of national anonymised research database from general
practice records in addition to improving data collection for local or national
audits and epidemiological projects.
PMID- 24935213
TI - Digital divide and information needs for improving family support among the poor
and underserved.
AB - Despite of its emotional benefits, communication with family members who live
abroad can present a large financial burden for low-income foreign-born
individuals. The aims of this study were (1) to explore the current technologies
available for low-cost communication with family living abroad and (2) to assess
the level of awareness and use of low-cost technologies for family communication
as well as related information needs among low-income foreign-born individuals.
This mixed-methods study included an environmental scan, survey, and focus groups
with low-income foreign-born individuals living in East Harlem in New York City.
Low-income individuals who have family members living abroad face financial
stress with complicated technology choices for communication with family living
abroad and they have many information needs. They would welcome interactive and
convenient educational tools that (1) build skills for utilization of various
technologies and (2) provide decision support to simplify choosing among the vast
array of available communication options.
PMID- 24935214
TI - Human type 5 adenovirus-based tuberculosis vaccine: is the respiratory route of
delivery the future?
AB - Despite progress in managing TB, there were 8.6 million new cases in 2012. To
control TB will require a more effective vaccine than BCG, new drugs and better
diagnostic tests. Recombinant replication-defective adenoviruses expressing
foreign DNA have been studied as vaccines. We developed and evaluated a
recombinant replication-deficient human Ad5 vector expressing Ag85A (Ad5Ag85A) as
a TB vaccine in animal models and a Phase I human study. Animal models of
Ad5Ag85A show markedly improved protection over BCG alone and immunization via
the respiratory route provides the best type of protection. In humans,
intramuscular vaccination was safe; Ad5Ag85A was immunogenic and stimulated
polyfunctional T cell responses, more potently in previously BCG-vaccinated
volunteers. Pre-existing Ad5 antibodies did not dampen the response. Given its
potency, Ad5-based TB vaccines are well-positioned to be delivered to the
respiratory tract, induce local lung immunity to control TB, and inform
innovative approaches to new TB vaccination strategies.
PMID- 24935215
TI - A new Cretaceous genus of xyelydid sawfly illuminating nygmata evolution in
Hymenoptera.
AB - BACKGROUND: Nygmata are prominent glandular structures on the wings of insects.
They have been documented in some extant insects, including several families of
Neuroptera and Mecoptera, the majority of Trichoptera, and a few of the
hymenopteran Symphyta. However, because nygmata are rarely preserved in
compression fossils, their early development and evolution are still enigmatic.
For example, the only documented nygmata in the Hymenoptera are on the forewings
of the Triassic xyelids Asioxyela paurura and Madygenius primitives. RESULTS:
This study describes and illustrates a new genus and species from the family
Xyelydidae, Rectilyda sticta gen. et sp. nov., from the Early Cretaceous Yixian
Formation of Duolun County, Inner Mongolia, China. This genus has 1-RS reclival
and linearly aligned with 1-M, which is different from all other genera in the
Xyelydidae. In addition, R. sticta gen. et sp. nov. has clearly preserved
nygmata: four symmetrical nygmata on each forewing and two on each hind wing.
CONCLUSION: Previous reports of nygmata on the forewings of Triassic xyelids and
extant sawflies, together with this new fossil record of nygmata, provide rare
insights into their developmental trends, as well as into the evolution of
hymenopterans and insects in general.
PMID- 24935216
TI - Stereotactic body radiotherapy for very elderly patients (age, greater than or
equal to 85 years) with stage I non-small cell lung cancer.
AB - BACKGROUND: Stereotactic body radiotherapy (SBRT) for non-small cell lung cancer
(NSCLC) is primarily a treatment option for medically inoperable patients, who
are often elderly. However, few studies report the effects of SBRT in elderly
patients. Thus, we retrospectively analyzed clinical outcomes and feasibility
following treatment of very elderly patients (age >= 85 years) with stage Iota
NSCLC and younger patients (age < 85 years) with SBRT in our institution.
METHODS: From January 2006 to December 2012, 81 patients (20 very elderly; median
age, 80 years; age range 64-93 years) with stage Iota NSCLC received SBRT.
Prescription doses of 48 Gy were delivered in 4 fractions over 2 weeks or doses
of 60 Gy were delivered in 10 fractions over 3 weeks. RESULTS: Local control was
achieved in 91.8% of all patients at 3 years (83.1% and 93.8% of very elderly and
younger patients, respectively), and the 3-year overall survival (OS) rate was
69.4% (40.7% and 75.0% of very elderly and younger patients, respectively). OS
rates were significantly shorter for the very elderly group than for the younger
group, with a 3-year cause-specific survival (CSS) rate of 77.9% (50.4% and 81.6%
of very elderly and younger patients, respectively) and a 3-year progression-free
survival (PFS) rate of 59.5% (44.7% and 63.5% in very elderly and younger groups,
respectively). Multivariate analysis revealed a significant correlation between T
stage and OS. Grades 2 and 3 radiation pneumonitis (RP) occurred in 7 (8.6%) and
2 (2.5%) patients, respectively. Among patients of very elderly and younger
groups, grade 2 RP occurred in 4 (20%) and 3 (4.9%) patients, and grade 3
occurred in 2 (10%) and 0 (0%) patients, respectively. No grade 4 or 5 toxicity
was observed, RP was significantly more severe among very elderly patients.
CONCLUSIONS: SBRT for stage Iota NSCLC was well tolerated and feasible in very
elderly patients. The efficacy of SBRT was comparable to that achieved in younger
patients, although very elderly patients experienced significantly more severe
RP. Although this study cohort included only 20 very elderly patients, the
present data suggest that decreasing volumes of normal lung tissues exposed to >=
20 Gy and mean lung doses reduces the risk of RP in very elderly patients. The
present data warrant studies of larger very elderly cohorts.
PMID- 24935217
TI - Abnormal aortic arch morphology in Turner syndrome patients is a risk factor for
hypertension.
AB - Hypertension in Turner syndrome (TS) is a multifactorial, highly prevalent and
significant problem that warrants timely diagnosis and rigorous treatment. The
objective of this study was to investigate the association between abnormal
aortic arch morphology and hypertension in adult TS patients. This was a single
centre retrospective study in 74 adult TS patients (age 29.41 +/- 8.91 years) who
underwent a routine cardiac MRI. Patients were assigned to the hypertensive group
(N = 31) if blood pressure exceeded 140/90 mmHg and/or if they were treated with
antihypertensive medication. Aortic arch morphology was evaluated on MRI images
and initially assigned as normal (N = 54) or abnormal (N = 20), based on the
curve of the transverse arch and the distance between the left common carotid
left subclavian artery. We additionally used a new more objective method to
describe aortic arch abnormality in TS by determination of the relative position
of the highest point of the transverse arch (AoHP). Logistic regression analysis
showed that hypertension is significantly and independently associated with age,
BMI and abnormal arch morphology, with a larger effect size for the new AoHP
method than for the classical method. TS patients with hypertension and abnormal
arch morphology more often had dilatation of the ascending aorta. There is a
significant association between abnormal arch morphology and hypertension in TS
patients, independent of age and BMI, and not related to other structural heart
disease. We suggest that aortic arch morphology should be included in the risk
stratification for hypertension in TS and propose a new quantitative method to
express aortic arch morphology.
PMID- 24935218
TI - Risk factors for rehospitalization in heart failure with preserved ejection
fraction compared with reduced ejection fraction.
AB - Although there have been several studies regarding heart failure with preserved
ejection fraction (HFpEF), investigations of the risk factors for readmission of
Japanese patients with HFpEF remain scarce. Therefore, our goal was to identify
the risk factors for readmission of Japanese patients with heart failure (HF),
particularly those with HFpEF. We analyzed 310 patients who were hospitalized for
the first time with HF. Preserved EF was defined EF >=50 %, and reduced EF (rEF)
was EF <50 %. The study endpoint was readmission for HF after discharge. Medical
history, vital signs, electrocardiograms, chest radiographs, blood tests and
echocardiograms were compared between patients with HFpEF and with HFrEF. Among
the 142 patients who had HFpEF, 43 reached the endpoint within 1 year.
Multivariate analysis revealed depression (HR: 7.185), high brain natriuretic
peptide (BNP) levels at discharge (HR: 1.003), and dilated inferior vena cava
(HR: 1.100) as independent risk factors for readmission. In contrast, 39 of the
168 patients with HFrEF reached the endpoint. Risk factors for readmission of
HFrEF patients were low sodium (HR: 0.856), high blood urea nitrogen (HR: 1.045),
high BNP levels at discharge (HR: 1.003) and absence of beta-blocker prescription
(HR: 0.395). In conclusion, our study suggests that the predictors of HF
readmission differ between HFpEF and HFrEF patients.
PMID- 24935219
TI - Embryological signaling pathways in Barrett's metaplasia development and
malignant transformation; mechanisms and therapeutic opportunities.
AB - Barrett's metaplasia of the esophagus (BE) is the precursor lesion of esophageal
adenocarcinoma (EAC), a deadly disease with a 5-year overall survival of less
than 20%. The molecular mechanisms of BE development and its transformation to
EAC are poorly understood and current surveillance and treatment strategies are
of limited efficacy. Increasing evidence suggests that aberrant signaling through
pathways active in the embryological development of the esophagus contributes to
BE development and progression to EAC. We discuss the role that the Bone
morphogenetic protein, Hedgehog, Wingless-Type MMTV Integration Site Family (WNT)
and Retinoic acid signaling pathways play during embryological development of the
esophagus and their contribution to BE development and malignant transformation.
Modulation of these pathways provides new therapeutic opportunities. By
integrating findings in developmental biology with those from translational
research and clinical trials, this review provides a platform for future studies
aimed at improving current management of BE and EAC.
PMID- 24935222
TI - The effect of the ionic size of small quaternary ammonium BF4 salts on
electrochemical double layer capacitors.
AB - By varying the cation size of quaternary ammonium salts, approximately 10% higher
capacitance was achieved with trimethylethylammonium BF4 and
trimethylpropylammonium BF4 relative to tetraethylammonium BF4 using microporous
activated carbon (AC) electrodes. The ions carried solvation shells in the bulk
electrolytes, but became desolvated within the narrow AC pores when the
electrochemical double-layer capacitor was charged to a high potential. The
capacitance depended on the size of the cation rather than that of the BF4 anion
because the anion is smaller than the quaternary ammonium ions. The capacitance
was found to be proportional to the reciprocal radii of the neat cations. The
effective radius of the asymmetric trimethylpropylammonium ion was estimated to
be 0.314 nm based on the present results.
PMID- 24935220
TI - MicroRNA-26a regulates glucose metabolism by direct targeting PDHX in colorectal
cancer cells.
AB - BACKGROUND: Reprogramming energy metabolism has been an emerging hallmark of
cancer cells. MicroRNAs play important roles in glucose metabolism. METHODS: The
targets of microRNA-26a (miR-26a) were predicted by bioinformatics tools. The
efficacy of miR-26a binding the 3'-untranslated region (UTR) of pyruvate
dehydrogenase protein X component (PDHX) mRNA was evaluated using a dual
luciferase reporter assay. The PDHX expression at the mRNA and protein level in
several colon cancer cell lines was quantified with real-time PCR and Western
blot analysis respectively. The effects of miR-26a on glucose metabolism were
determined by detecting the content of glucose consumption, production of
lactate, pyruvate, and acetyl-coenzyme A. RESULTS: The expression of miR-26a is
inversely associated with the level of its targeting protein PDHX in several
colon cancer cell lines with different malignancy potentials. MiR-26a inhibits
PDHX expression by direct targeting the 3'-UTR of PDHX mRNA. The glucose
consumption and lactate concentration were both greatly increased in colon cancer
cells than the normal colon mucosal epithelia under physiological conditions. The
overexpression of miR-26a in HCT116 cells efficiently improved the accumulation
of pyruvate and decreased the production of acetyl coenzyme A. Meanwhile the
inhibition of miR-26a expression induced inverse biological effects. CONCLUSIONS:
MiR-26a regulates glucose metabolism of colorectal cancer cells by direct
targeting the PDHX, which inhibits the conversion of pyruvate to acetyl coenzyme
A in the citric acid cycle.
PMID- 24935221
TI - Study protocol for improving asthma outcomes through cross-cultural communication
training for physicians: a randomized trial of physician training.
AB - BACKGROUND: Massive resources are expended every year on cross-cultural
communication training for physicians. Such training is a focus of continuing
medical education nationwide and is part of the curriculum of virtually every
medical school in America. There is a pressing need for evidence regarding the
effects on patients of cross-cultural communication training for physicians.
There is a need to understand the added benefit of such training compared to more
general communication. We know of no rigorous study that has assessed whether
cross-cultural communication training for physicians results in better health
outcomes for their patients. The current study aims to answer this question by
enhancing the Physician Asthma Care Education (PACE) program to cross cultural
communication (PACE Plus), and comparing the effect of the enhanced program to
PACE on the health outcomes of African American and Latino/Hispanic children with
asthma. METHODS/DESIGN: A three-arm randomized control trial is used to compare
PACE Plus, PACE, and usual care. Both PACE and PACE Plus are delivered in two,
two-hour sessions over a period of two weeks to 5-10 primary care physicians who
treat African American and Latino/Hispanic children with asthma. One hundred
twelve physicians and 1060 of their pediatric patients were recruited who self
identify as African American or Latino/Hispanic and experience persistent asthma.
Physicians were randomized into receiving either the PACE Plus or PACE
intervention or into the control group. The comparative effectiveness of PACE and
PACE Plus on clinician's therapeutic and communication practices with the
family/patient, children's urgent care use for asthma, asthma control, and
quality of life, and parent/caretaker satisfaction with physician performance
will be assessed. Data are collected via telephone survey and medical record
review at baseline, 9 months following the intervention, and 21 months following
the intervention. DISCUSSION: This study aims to reduce disparities in asthma
outcomes among African American and Latino/Hispanic children through cross
cultural communication training of their physicians and assessing the added value
of this training compared to general communication. The results of this study
will provide important information about the value of cross-cultural training in
helping to address persistent racial disparities in outcomes. TRIAL REGISTRATION:
ClinicalTrials.gov: NCT01251523 December 1, 2010.
PMID- 24935224
TI - Adding social services to US clinical care makes "good business sense," report
argues.
PMID- 24935223
TI - Blood soluble interleukin 1 receptor accessory protein levels are consistently
low throughout the menstrual cycle of women with endometriosis.
AB - BACKGROUND: A deficiency in the counter-regulatory mechanisms of interleukin 1
(IL1) may play a significant role in endometriosis pathogenesis and associated
chronic inflammation. The aim of this study was to investigate peripheral blood
levels of soluble IL1 receptor accessory protein (sIL1RAP), a potent natural
inhibitor of IL1, in women with and without endometriosis. METHODS: Peripheral
blood samples were collected from women with endometriosis (n = 47) consulting
for infertility, pelvic pain or tubal ligation, in whom the disease was diagnosed
at laparoscopy. Control healthy women (n = 27) were requesting tubal ligation or
reanastomosis and had no visible evidence of endometriosis at laparoscopy.
sIL1RAP levels were determined by ELISA, whereas estradiol (E2) and progesterone
(P4) levels were determined by competitive immunoassays. RESULTS: sIL1RAP levels
were significantly decreased in women with early endometriosis stages compared to
controls (p < 0.05) and markedly during the proliferative phase of the menstrual
cycle (p < 0.001). Actually, while sIL1RAP were significantly increased in the
proliferative compared to the secretory phase in normal women (p < 0.0001) and
peaked at the end of this phase, sIL1RAP remained consistently low and showed non
significant variations throughout the menstrual cycle in women with
endometriosis. CONCLUSIONS: Lower circulating levels of sIL1RAP points to a
significant impairment in the counter-regulatory mechanisms of IL1, which in view
of the cytokine's potent inflammatory and growth-promoting properties may play a
significant role in the pathophysiology of endometriosis.
PMID- 24935225
TI - Glial calcium signalling in Alzheimer's disease.
AB - The most accredited (and fashionable) hypothesis of the pathogenesis of Alzheimer
Disease (AD) sees accumulation of beta-amyloid protein in the brain (in both
soluble and insoluble forms) as a leading mechanism of neurotoxicity. How beta
amyloid triggers the neurodegenerative disorder is at present unclear, but
growing evidence suggests that a deregulation of Ca(2+) homeostasis and deficient
Ca(2+) signalling may represent a fundamental pathogenic factor. Given that
symptoms of AD are most likely linked to synaptic dysfunction (at the early
stages) followed by neuronal loss (at later and terminal phases of the disease),
the effects of beta-amyloid have been mainly studied in neurones. Yet, it must be
acknowledged that neuroglial cells, including astrocytes, contribute to
pathological progression of most (if not all) neurological diseases. Here, we
review the literature pertaining to changes in Ca(2+) signalling in astrocytes
exposed to exogenous beta-amyloid or in astrocytes from transgenic Alzheimer
disease animals models, characterized by endogenous beta-amyloidosis. Accumulated
experimental data indicate deregulation of Ca(2+) homeostasis and signalling in
astrocytes in AD, which should be given full pathogenetic consideration. Further
studies are warranted to comprehend the role of deficient astroglial Ca(2+)
signalling in the disease progression.
PMID- 24935226
TI - Effectiveness of two strategies to follow-up ASC-US and LSIL screening results in
The Netherlands using repeat cytology with or without additional hrHPV testing: a
retrospective cohort study.
AB - PURPOSE: The purpose of the study was to assess the effectiveness of repeat
cytology with and without additional high-risk human papilloma virus (hrHPV)
testing after atypical squamous cells of undetermined significance/low-grade
squamous intraepithelial lesion (ASC-US/LSIL) screening results. METHODS: In the
Netherlands, ASC-US/LSIL is triaged by repeat cytology at 6 months or repeat
cytology at 6 months with additional hrHPV testing. ASC-US/LSIL results from
13,734 screenees in 2008 were extracted from "Dutch Pathology Registry" including
cytology, histology, and/or HPV follow-up results. Proportions of compliance,
repeat cytology, referral, and detected cervical intraepithelial neoplasia (CIN)
were assessed. RESULTS: With additional hrHPV testing, 46.8 % was send back to
regular screening at 6 months, 28.6 % needed second repeat cytology, and 24.6 %
was referred for colposcopy. Without additional hrHPV testing, this was 0.0,
76.1, and 23.9 %, respectively. With additional hrHPV testing, significantly
higher proportions of persisting ASC-US/LSIL; compliance with repeat/referral
advices; and histological detection of CIN0 (no CIN or cancer), CIN1, and CIN2
were found but equal proportions CIN3+. CONCLUSIONS: Additional hrHPV testing
shortens follow-up without altering CIN3+ detection. Detection of CIN0, CIN1, and
CIN2 was higher, presumably by hrHPV-driven biased cytology and detection bias.
Restricting additional hrHPV testing to older women, reading cytology without
knowledge of hrHPV status, and addition of more specific triage tests could
further improve the effectiveness of additional hrHPV testing.
PMID- 24935227
TI - Over-expression of prolyl hydroxylase-1 blocks NF-kappaB-mediated cyclin D1
expression and proliferation in lung carcinoma cells.
AB - Prolyl hydroxylase-1 (PHD1), a member of the hypoxia inducible factor (HIF)-PHD
family, plays an important role in regulating the stability of HIFs. The nuclear
factor-kappaB (NF-kappaB) pathway consists of a family of transcription factors
that play critical roles in inflammation, immunity, cell proliferation,
differentiation, and survival. In this study, we demonstrate that PHD1 can
inhibit NF-kappaB activity and its target genes in lung cancer cells based on
both over-expression and RNA interference-mediated knockdown of PHD1 in human
A549 lung cancer cells and HEK293 T cells. Of medical importance, PHD1 could
induce cell cycle arrest in lung cancer cells, resulting in the suppression of
cell proliferation. Xenograft tumor growth assays indicate that PHD1 plays a
critical role in suppressing lung cancer growth. These findings reveal a new role
of PHD1 in lung cancer and provide new treatment perspectives for cancer therapy
by characterizing PHD1 as a potential target.
PMID- 24935228
TI - Analysis of gas exchange, stomatal behaviour and micronutrients uncovers dynamic
response and adaptation of tomato plants to monochromatic light treatments.
AB - Light spectrum affects the yield and quality of greenhouse tomato, especially
over a prolonged period of monochromatic light treatments. Physiological and
chemical analysis was employed to investigate the influence of light spectral
(blue, green and red) changes on growth, photosynthesis, stomatal behaviour, leaf
pigment, and micronutrient levels. We found that plants are less affected under
blue light treatment, which was evident by the maintenance of higher A, gs, Tr,
and stomatal parameters and significantly lower VPD and Tleaf as compared to
those plants grown in green and red light treatments. Green and red light
treatments led to significantly larger increase in the accumulation of Fe, B, Zn,
and Cu than blue light. Moreover, guard cell length, width, and volume all showed
highly significant positive correlations to gs, Tr and negative links to VPD.
There was negative impact of monochromatic lights-induced accumulation of Mn, Cu,
and Zn on photosynthesis, leaf pigments and plant growth. Furthermore, most of
the light-induced significant changes of the physiological traits were partially
recovered at the end of experiment. A high degree of morphological and
physiological plasticity to blue, green and red light treatments suggested that
tomato plants may have developed mechanisms to adapt to the light treatments.
Thus, understanding the optimization of light spectrum for photosynthesis and
growth is one of the key components for greenhouse tomato production.
PMID- 24935229
TI - Heterologous expression and functional characterization of the NADPH-cytochrome
P450 reductase from Capsicum annuum.
AB - Two NADPH-cytochrome P450 reductase (CPR) genes (CaCPR1 and CaCPR2) were isolated
from hot pepper (Capsicum annuum L. cv. Bukang). At the red ripe stage, the
expression level of CaCPR1 was more than 6-fold greater than that in leaves or
flowers. It gradually increased during fruit ripening. The CaCPR2 gene seemed to
be expressed constitutively in all of the tested tissues. To investigate the
enzymatic properties of CaCPR1, the cDNA of CaCPR1 was heterologously expressed
in Escherichia coli without any modification of amino acid sequences, and CaCPR1
was purified. The enzymatic properties of CaCPR1 were confirmed using cytochrome
c and cytochrome b5 as protein substrates. The CaCPR1 could support human CYP1A2
catalyzed reaction. It also reduced tetrazolium salts and ferricyanide. These
results show that CaCPR1 is the major CPR in most hot pepper tissues. It is
suggested that the CaCPR1 can be used a prototype for studying biological
functions and biotechnological applications of plant CPRs.
PMID- 24935230
TI - ABO blood group influences transfusion and survival after cardiac surgery.
AB - ABO dependent variation in von Willebrand factor (vWf) and procoagulant factor
VIII (FVIII) is a plausible mechanism for modulating perioperative hemostasis and
bleeding. Group AB has the highest and group O the lowest vWf and FVIII levels.
Therefore, we tested the hypothesis that ABO blood group is associated with
perioperative transfusion and subsequent survival after coronary
revascularization. This retrospective study combined demographic, operative, and
transfusion data, including follow-up for a median of 2,096 days, for consecutive
aortocoronary bypass (CABG) and CABG/valve procedures from 1996-2009 at a
tertiary referral University Heart Center. Between group differences were
compared by a Kruskall Wallis test, and hazard ratios [95% confidence intervals]
are reported for mortality risk-adjusted Cox proportional hazards regression
analysis. From 15,454 patients, follow-up records were available for 13,627
patients: 6,413 group O, 5,248 group A, 1,454 group B, and 435 group AB. Packed
red blood cells were the most commonly transfused blood product (3 [0-5] units),
while group AB received 2 [0-5] units (Kruskall Wallis Chi squared value for
between group differences = 8.2; p = 0.04). Group AB favored improved long-term,
postoperative survival (Hazard ratio = 0.82 [95%CI 0.68-0.98]; p = 0.03), which
became evident approximately a year after surgery. In conclusion, the
procoagulant phenotype of blood group AB is associated with fewer transfusions
and improved late survival after cardiac surgery. Whether this finding is related
to fewer perioperative transfusions, a reduction in later bleeding or other
mechanisms remains speculative.
PMID- 24935231
TI - Correlation and disorder, in honour of Balazs Gyorffy.
PMID- 24935233
TI - Concept Analysis: Health-Promoting Behaviors Related to Human Papilloma Virus
(HPV) Infection.
AB - PROBLEM: The concept of health-promoting behaviors incorporates ideas presented
in the Ottawa Charter of Public Health and the nursing-based Health Promotion
Model. Despite the fact that the concept of health-promoting behaviors has a
nursing influence, literature suggests nursing has inadequately developed and
used this concept within nursing practice. A further review of literature
regarding health promotion behaviors and the human papilloma virus suggest a
distinct gap in nursing literature. METHOD: This article presents a concept
analysis of health-promoting behaviors related to the human papilloma virus in
order to encourage the application of the concept into nursing practice, promote
continued nursing research regarding this concept, and further expand the
application of health-promoting behaviors to other situations and populations
within the nursing discipline. CONCLUSION: Attributes of health-promoting
behaviors are presented and include empowerment, participation, community, and a
positive concept of health. Antecedents, consequences, and empirical referents
are also presented, as are model, borderline, and contrary cases to help clarify
the concept. Recommendations for human papilloma virus health-promoting behaviors
within the nursing practice are also provided.
PMID- 24935232
TI - Serum phosphorus and mortality in the Third National Health and Nutrition
Examination Survey (NHANES III): effect modification by fasting.
AB - BACKGROUND: Serum phosphorus levels have been associated with mortality in some
but not all studies. Because dietary intake prior to measurement can affect serum
phosphorus levels, we hypothesized that the association between serum phosphorus
level and mortality is strongest in those who have fasted longer. STUDY DESIGN:
Prospective cohort study. SETTING & PARTICIPANTS: Nationally representative
sample of 12,984 participants 20 years or older in the Third National Health and
Nutrition Examination Survey (1988-1994). FACTORS: Serum phosphorus level,
fasting duration (dichotomized as >= 12 or < 12 hours). OUTCOMES: All-cause and
cardiovascular mortality determined by death certificate data from the National
Death Index. MEASUREMENTS: Serum phosphorus measured in a central laboratory and
fasting duration recorded as time since food or drink other than water was
consumed. RESULTS: Individuals fasting 12 or more hours had lower serum
phosphorus levels than those fasting less than 12 hours (3.34 vs 3.55 mg/dL; P <
0.001) and higher correlation with repeat measurement (0.66 vs 0.53; P = 0.002).
In multivariable-adjusted Cox regression models, the highest quartile of serum
phosphorus was associated with increased mortality in participants fasting 12 or
more hours (adjusted HR, 1.74; 95% CI, 1.38-2.20; reference, lowest quartile) but
not in participants fasting less than 12 hours (adjusted HR, 1.08; 95% CI, 0.89
1.32; P for interaction = 0.002). Relationships were consistent using 8 hours as
the fasting cutoff point or cardiovascular mortality as the outcome. LIMITATIONS:
Observational study, lack of fibroblast growth factor 23 or intact parathyroid
hormone measurements. CONCLUSIONS: Fasting but not nonfasting serum phosphorus
levels were associated with increased mortality. Risk prognostication based on
serum phosphorus may be improved using fasting levels.
PMID- 24935235
TI - Functional correlates of vertical gaze palsy and other ocular motor deficits in
PSP: an FDG-PET study.
AB - OBJECTIVE: To determine the functional correlates of vertical gaze palsy and
other ocular motor deficits in patients with progressive supranuclear palsy (PSP)
using [(18)F]fluorodeoxyglucose (FDG-)PET. METHODS: Twenty-six patients with PSP
underwent clinical examination of vertical gaze combined with FDG-PET scans to
assess regional cerebral glucose metabolism as a marker of neuronal activity. Of
these, eighteen PSP patients were also investigated by electrical nystagmography
to determine horizontal ocular motor deficits. Statistical parametric mapping
analyses were performed to correlate regional neuronal activity with ocular motor
functions. RESULTS: In categorical comparisons, patients with downward gaze palsy
showed a significantly reduced glucose metabolism in bilateral anterior cingulate
gyrus and right lingual gyrus compared to those without downward gaze palsy.
Maximum velocity of horizontal saccades was positively correlated with glucose
metabolism of the rostral vermis and lingual gyrus; regional metabolism of
oculomotor vermis was associated with peak velocity of the optokinetic reflex.
Analysis of smooth pursuit eye movement amplitude and peak velocity of corrective
saccades showed positive correlation with metabolism in bilateral inferior
parietal lobe and inferior part of the frontal eye field. All paradigms of smooth
pursuit showed positive association with glucose metabolism in V5. CONCLUSIONS:
Ocular motor functions in PSP are correlated with neuronal activity in distinct
anatomical regions. These include the anterior cingulate gyrus (downward gaze
palsy), rostral cerebellum (saccades), oculomotor vermis (optokinetic reflex) and
inferior parietal as well as temporal regions and frontal eye field (smooth
pursuit). These findings provide a deeper insight into the pathophysiology of PSP
associated ocular motor abnormalities.
PMID- 24935236
TI - Impulse control and related disorders in Mexican Parkinson's disease patients.
AB - BACKGROUND: Impulse control disorders (ICDs) are a relatively recent addition to
the behavioral spectrum of PD-related non-motor symptoms. Social and economic
factors may play a role on the ICD phenotype of PD patients. OBJECTIVE: The aim
of this study is to determine the prevalence and characterize the clinical
profile of ICDs in a sample of low-income, low-education PD patients with no
social security benefits from a Latin American country. METHODS: We included 300
consecutive PD patients and 150 control subjects. The presence of ICD and related
disorders was assessed using a structured interview. After the interview and
neurological evaluation were concluded, all subjects completed the Questionnaire
for Impulsive-compulsive Disorders in Parkinson's Disease-Rating Scale (QUIP-RS).
RESULTS: Regarding ICDs and related disorders (hobbyism-punding), 25.6% (n = 77)
of patients in the PD group and 16.6% (n = 25) in the control group fulfilled
criteria for at least one ICD or related disorder (p = 0.032). There was a
statistically significant difference in the QUIP-RS mean score between PD and
control subjects (5.6 +/- 9.7 and 2.7 +/- 4.21, p = 0.001). The most common ICD
was compulsive eating for both PD (8.6%) and control (2.6%) groups. CONCLUSIONS:
The results of this study confirm that for this population, symptoms of an ICD
are significantly more frequent in PD subjects than in control subjects.
Nevertheless, socioeconomic differences may contribute to a lower overall
frequency and distinct pattern of ICDs in PD patients compared with what has been
reported in other countries.
PMID- 24935234
TI - Multilocus sequence analysis of putative Vibrio mediterranei strains and
description of Vibrio thalassae sp. nov.
AB - A multilocus sequence analysis based on partial gyrB, mreB, rpoD and pyrH genes
was undertaken with 61 putative Vibrio mediterranei/V. shilonii strains from
different hosts (mussels, oysters, clams, coral, fish and plankton) or habitat
(seawater and sediment) and geographical origins (Mediterranean, Atlantic and
Pacific). A consistent grouping was obtained with individual and concatenated
gene sequences, and the clade, comprising 54 strains, was split into three
subclades by all methods: subclade A (40 strains, including AK1, the former type
strain of Vibrio shilonii), subclade B (8 strains) corresponding to the species
V. mediterranei, and subclade C (six strains) representing a new species, V.
thalassae sp. nov., with strain MD16(T) (=CECT 8203(T)=KCTC 32373(T)) as the
proposed type strain. Average nucleotide identity (ANI) values, determined as a
measure of genomic similarity, confirmed these assignments, and supported that
strains in subclade C were a different species from V. mediterranei, with ANIb
and ANIm figures lower than 90.0%. The synonymy of V. shilonii and V.
mediterranei was also stressed by both MLSA and ANI determinations (97.0% between
both type strains). No connection was found between geographic origin or sample
type and MLSA grouping.
PMID- 24935238
TI - Metal nanoparticle-directed NiCo2O4 nanostructure growth on carbon nanofibers
with high capacitance.
AB - Metal nanoparticles (Ni, Co) decorated on an electrospun carbon nanofiber surface
directed the growth of NiCo2O4 into nanorod and nanosheet morphologies. These
metal nanoparticles served as a transition layer to strengthen the interface and
promote charge transfer between carbon and NiCo2O4 to achieve a high capacitance
of 781 F g(-1).
PMID- 24935237
TI - Differentiating drug-induced parkinsonism from Parkinson's disease: an update on
non-motor symptoms and investigations.
AB - Drug-induced parkinsonism is the second most common cause of parkinsonism after
Parkinson's disease and their distinction has crucial implications in terms of
management and prognosis. However, differentiating between these conditions can
be challenging on a clinical ground, especially in the early stages. We therefore
performed a review to ascertain whether assessment of non-motor symptoms, or use
of ancillary investigations, namely dopamine transporter imaging, transcranial
sonography of the substantia nigra, and scintigraphy for myocardial sympathetic
innervation, can be recommended to distinguish between these conditions. Among
non-motor symptoms, there is evidence that hyposmia can differentiate between
patients with "pure" drug-induced parkinsonism and those with degenerative
parkinsonism unmasked by an anti-dopaminergic drug. However, several issues,
including smoking history and cognitive functions, can influence smell function
assessment. Higher diagnostic accuracy has been demonstrated for dopamine
transporter imaging. Finally, preliminary evidence exists for sympathetic cardiac
scintigraphy to predict dopaminergic pathway abnormalities and to differentiate
between drug-induced parkinsonism and Parkinson's disease. Imaging of the
dopaminergic pathway seems to be the only, reasonably available, technique to aid
the differential diagnosis between drug-induced parkinsonism and Parkinson's
disease.
PMID- 24935239
TI - Microscopic background changes in brains of cynomolgus monkeys.
AB - Brain sections from control cynomolgus monkeys (Macaca fascicularis) used in
toxicology studies were evaluated retrospectively in order to better understand
spontaneous background changes in this species. Hematoxylin and eosin-stained
slides from 76 animals (38 males and 38 females) of 9 studies were examined.
Eleven animals (9 males and 2 females) were each observed to have 1 to 3 findings
within the brain sections examined, for a total of 19 findings. No findings were
noted in the spinal cord. The most common finding was focal to multifocal
perivascular infiltration of mononuclear cells, affecting the parenchyma, the
meninges, or the choroid plexus. Additionally, focal gliosis was observed in 6
animals and a single focus of hemosiderin deposition (coincident with focal
gliosis and mononuclear cell infiltrate) was noted in 1 animal. Most of the glial
foci were composed of cells consistent with microglial cells, with or without
admixed lymphocytes. All findings were of slight or minimal severity, lacked an
apparent cause, and were considered incidental and of negligible biologic
significance. An awareness of the spontaneous incidence of these background
findings may facilitate the discernment of toxicologically relevant effects when
these findings are observed.
PMID- 24935240
TI - Drug safety evaluation of alemtuzumab for multiple sclerosis.
AB - INTRODUCTION: Alemtuzumab is a humanised anti-CD52 mAb which has recently been
licensed for the treatment of relapsing multiple sclerosis in Europe. AREAS
COVERED: The efficacy and safety of alemtuzumab from open label, Phase II and
Phase III trials is reported. EXPERT OPINION: Alemtuzumab causes rapid and
profound complement mediated lysis of circulating lymphocytes and allows
beneficial modulation of the immune system during a subsequent reconstitution
phase. Clinical trials have demonstrated superior efficacy against an active
comparator, with reduction in annualised relapse rates and sustained accumulation
of disability at 3 years and sustained efficacy at 5 years. The main adverse
effects are mild to moderate infusion reactions, an increased incidence of mild
to moderate infections and autoimmune adverse events. Thyroid disorders are the
most common form of autoimmune adverse events, occurring in approximately one
third of patients. Overt Graves' hyperthyroidism represents approximately half of
these cases. Careful patient selection and structured monitoring programs allow
for effective patient management resulting in a favourable risk benefit profile.
PMID- 24935242
TI - Immunopathological characterization of selected mouse models of inflammatory
bowel disease: Comparison to human disease.
AB - Inflammatory bowel diseases (IBD) are chronic, relapsing conditions of
multifactorial etiology. The two primary diseases of IBD are Crohn's disease (CD)
and ulcerative colitis (UC). Both entities are hypothesized to occur in
genetically susceptible individuals due to microbial alterations and
environmental contributions. The exact etiopathogenesis, however, is not known
for either disease. A variety of mouse models of CD and UC have been developed to
investigate the pathogenesis of these diseases and evaluate treatment modalities.
Broadly speaking, the mouse models can be divided into 4 categories: genetically
engineered, immune manipulated, spontaneous and erosive/chemically induced. No
one mouse model completely recapitulates the immunopathology of CD or UC, however
each model possesses particular similarities to human IBD and offers advantageous
for specific details of IBD pathogenesis. Here we discuss the more commonly used
models in each category and critically evaluate how the immunopathology induced
compares to CD or UC, as well as the advantages and disadvantages associated with
each model.
PMID- 24935241
TI - Social impairment of individuals suffering from different types of chronic
orofacial pain.
AB - BACKGROUND: The daily life of patients suffering from orofacial pain is
considerably impaired as compared to healthy subjects. The aim of this study was
to investigate the influence of different categories of orofacial pain on the
habitual life of adult individuals. METHODS: Seven hundred eighty-one individuals
with orofacial pain were recruited from an initial sample of 1,058 patients. All
the individuals were allocated to groups according to their diagnosis: myofascial
pain (group A, 676 subjects, 525 females and 151 males; mean age +/- SD = 35.2 +/
12.6), migraine (group B, 39 subjects, 29 females and 10 males; mean age +/- SD
36.0 +/- 10.7), and both myofascial pain and migraine (group C, 66 subjects, 56
females and 10 males, mean age +/- SD = 35.6 +/- 10.8). Characteristic pain
intensity (CPI), disability days (DD), disability score (DS), and graded chronic
pain intensity (GCPS) were calculated according to Research Diagnostic Criteria
for Temporomandibular Disorders (RDC/TMD) axis II. Depression and somatization
(nonspecific physical symptoms) scores were also calculated. RESULTS: A
significant association between groups and GCPS categories was found (p <
0.0001). Post hoc tests showed a significant difference between groups A and B
and between A and C, but not between B and C. In group A, the most frequent GCPS
score was grade II. The most frequent GCPS score in groups B and C was grade III,
indicating a moderate limiting impairment. This score was more frequent in group
B (41%) than in the other groups (group A = 20.6%, group C = 34.8%). GCPS grade
IV was more frequent in group C (19.7%) than in the other groups. Group C had
significantly higher scores for nonspecific physical symptoms than group A (p <
0.05). CONCLUSIONS: Myofascial pain and migraine sensibly affect the common daily
life of adult individuals. The comorbidity of both conditions determines a major
impairment.
PMID- 24935244
TI - The Karoo fracking debate: a christian contribution to the world communities of
faith.
AB - The fracking debate is a product of the tension between the environmental
degradation it may cause, on the one hand, and on the other the greater energy
demands of a rapidly increasing South African population with expectations of an
ever-increasing standard of living. Shale gas fracking in the Karoo of South
Africa promises to make vast reserves of oil and gas available to help meet a
significant percentage of the country's energy needs for many years to come. This
will aid development and contribute to raising the standard of living of many.
This article seeks to apprise the South African faith communities of the
technology and risks involved. Christian theological guidelines are presented by
which its benefits and dangers may be interrogated so that the community may be
able come to an informed decision as to whether or not to support fracking.
PMID- 24935245
TI - Corruption: Engineers are Victims, Perpetrators or Both?
AB - This study was conducted in Serbian companies on licensed engineers and in its
first part included a total of 336 licensed engineers who voluntarily completed
the questionnaires about their ethical orientation and attitudes toward
corruption and in the second part 214 engineers who participated in the first
survey, who voluntarily evaluated their company's business operations
characteristics. This study has clearly shown that there is a direct significant
influence of the engineer's ethical orientations and attitudes toward corruption
on their evaluation of the characteristics of their respective companies
regarding business operations. This research also clearly shows that only
engineers with a strong deontological orientation, low ethical subjectivity, and
strong readiness to fight corruption, low corruption acceptance and high
awareness of corruption can successfully fight corruption, improve the business
operations of their companies and make beneficial changes to society. Otherwise,
they should be considered as corruption perpetrators, not just as its victims.
PMID- 24935243
TI - Denosumab: a review of its use in postmenopausal women with osteoporosis.
AB - Subcutaneous denosumab (Prolia((r)) [USA, Europe]; Pralia((r)) [Japan]) once
every 6 months is indicated in several countries for the treatment of
postmenopausal women with osteoporosis at increased or high risk for fractures
(featured indication). In some countries, it is also indicated for use in
postmenopausal women who have failed or are intolerant to other osteoporosis
treatments. In several international, phase III trials (<=3 years' duration)
involving more than 12,000 women with postmenopausal osteoporosis or low bone
mineral density (BMD), including Asian studies, denosumab was an effective and
generally well tolerated treatment. Relative to placebo, denosumab treatment
significantly reduced the risk of vertebral, nonvertebral and hip fractures and
increased BMD at all skeletal sites evaluated, including the lumbar spine and
total hip. Furthermore, the benefits of denosumab treatment were generally
evident after the first dose and were maintained during up to 8 years of
treatment in an ongoing extension study. The tolerability profile of denosumab
during this extension phase was consistent with that observed during the initial
3-year FREEDOM trial. At 12 months, denosumab treatment increased BMD at the
total hip, lumbar spine and/or femoral neck and reduced markers of bone turnover
to a significantly greater extent than oral bisphosphonates in women who were
essentially bisphosphonate-naive and in those who had switched from alendronate
to denosumab treatment. Further clinical experience, including an ongoing
postmarketing safety study, will more fully define the long-term safety of
denosumab. In the meantime, denosumab is an important option for the treatment of
women with postmenopausal osteoporosis at increased or high-risk of fractures,
including in women at increased risk of fracture who are unable to take other
osteoporosis treatments.
PMID- 24935246
TI - No One Likes a Snitch.
AB - Whistleblowers remain essential as complainants in allegations of research
misconduct. Frequently internal to the research team, they are poorly protected
from acts of retribution, which may deter the reporting of misconduct. In order
to perform their important role, whistleblowers must be treated fairly. Draft
regulations for whistleblower protection were published for public comment almost
a decade ago but never issued (Dahlberg 2013). In the face of the growing
challenge of research fraud, we suggest vigorous steps, to include:
organizational responsibility to certify the accuracy of research including
audit, required whistleblower action in the face of imminent or grave harm to
subjects, strengthened legal protections against retaliation including prompt
enactment of Federal whistleblower protections and consideration of criminalizing
the most egregious cases of research misconduct.
PMID- 24935248
TI - In vivo and in vitro metabolism of aspirin eugenol ester in dog by liquid
chromatography tandem mass spectrometry.
AB - Aspirin eugenol ester (AEE) is a promising drug candidate for treatment of
inflammation, pain and fever and prevention of cardiovascular diseases with fewer
side effects than its precursor, aspirin. Investigation into its metabolic
process in target animal species will help to illustrate its mechanism of action
and to establish its residual mark compound to formulate its dosage. Six beagle
dogs were orally given a dose of 20 mg kg(-1) of AEE and one dog was used to
prepare blank liver microsomes. Their liver microsomes were prepared for in vitro
study and their plasma and urine were collected for in vivo metabolic analysis
using liquid chromatography tandem mass spectrometry. In this study we identified
10 metabolites, M1, M2, M3, M4, M5 in phase I and M6, M7, M8, M9, M10 in phase
II. Based on the metabolites of AEE, the pathways of AEE metabolism in dog were
demonstrated.
PMID- 24935247
TI - Pinpointing retrovirus entry sites in cells expressing alternatively spliced
receptor isoforms by single virus imaging.
AB - BACKGROUND: The majority of viruses enter host cells via endocytosis. Current
knowledge of viral entry pathways is largely based upon infectivity measurements
following genetic and/or pharmacological interventions that disrupt vesicular
trafficking and maturation. Imaging of single virus entry in living cells
provides a powerful means to delineate viral trafficking pathways and entry sites
under physiological conditions. RESULTS: Here, we visualized single avian
retrovirus co-trafficking with markers for early (Rab5) and late (Rab7)
endosomes, acidification of endosomal lumen and the resulting viral fusion
measured by the viral content release into the cytoplasm. Virus-carrying vesicles
either merged with the existing Rab5-positive early endosomes or slowly
accumulated Rab5. The Rab5 recruitment to virus-carrying endosomes correlated
with acidification of their lumen. Viral fusion occurred either in early (Rab5
positive) or intermediate (Rab5- and Rab7-positive) compartments. Interestingly,
different isoforms of the cognate receptor directed virus entry from distinct
endosomes. In cells expressing the transmembrane receptor, viruses preferentially
entered and fused with slowly maturing early endosomes prior to accumulation of
Rab7. By comparison, in cells expressing the GPI-anchored receptor, viruses
entered both slowly and quickly maturing endosomes and fused with early (Rab5
positive) and intermediate (Rab5- and Rab7-positive) compartments. CONCLUSIONS:
Since the rate of low pH-triggered fusion was independent of the receptor
isoform, we concluded that the sites of virus entry are determined by the kinetic
competition between endosome maturation and viral fusion. Our findings
demonstrate the ability of this retrovirus to enter cells via alternative
endocytic pathways and establish infection by releasing its content from distinct
endosomal compartments.
PMID- 24935249
TI - Endothelial cell response to chemical, biological, and physical cues in bioactive
hydrogels.
AB - The highly tunable biological, chemical, and physical properties of bioactive
hydrogels enable their use in an array of tissue engineering and drug delivery
applications. Systematic modulation of these properties can be used to elucidate
key cell-material interactions to improve therapeutic effects. For example, the
rate and extent of endothelialization are critical to the long-term success of
many blood-contacting devices. To this end, we have developed a bioactive
hydrogel that could be used as coating on cardiovascular devices to enhance
endothelial cell (EC) adhesion and migration. The current work investigates the
relative impact of hydrogel variables on key endothelialization processes. The
bioactive hydrogel is based on poly(ethylene glycol) (PEG) and a streptococcal
collagen-like (Scl2-2) protein that has been modified with integrin alpha1beta1
and alpha2beta1 binding sites. The use of PEG hydrogels allows for incorporation
of specific bioactive cues and independent manipulation of scaffold properties.
The selective integrin binding of Scl2-2 was compared to more traditional
collagen-modified PEG hydrogels to determine the effect of integrin binding on
cell behavior. Protein functionalization density, protein concentration, and
substrate modulus were independently tuned with both Scl2-2 and collagen to
determine the effect of each variable on EC adhesion, spreading, and migration.
The findings here demonstrate that increasing substrate modulus, decreasing
functionalization density, and increasing protein concentration can be utilized
to increase EC adhesion and migration. Additionally, PEG-Scl2-2 hydrogels had
higher migration speeds and proliferation over 1 week compared with PEG-collagen
gels, demonstrating that selective integrin binding can be used to enhance cell
material interactions. Overall, these studies contribute to the understanding of
the effects of matrix cues on EC interactions and demonstrate the strong
potential of PEG-Scl2-2 hydrogels to promote endothelialization of blood
contacting devices.
PMID- 24935252
TI - Prediction of in vivo developmental toxicity of all-trans-retinoic acid based on
in vitro toxicity data and in silico physiologically based kinetic modeling.
AB - The use of laboratory animals for toxicity testing in chemical safety assessment
meets increasing ethical, economic and legislative constraints. The development,
validation and application of reliable alternatives for in vivo toxicity testing
are therefore urgently needed. In order to use toxicity data obtained from in
vitro assays for risk assessment, in vitro concentration-response data need to be
translated into in vivo dose-response data that are needed to obtain points of
departure for risk assessment, like a benchmark dose (BMD). In the present study,
we translated in vitro concentration-response data of the retinoid all-trans
retinoic acid (ATRA), obtained in the differentiation assay of the embryonic stem
cell test, into in vivo dose-response data using a physiologically based kinetic
model for rat and human that is mainly based on kinetic model parameter values
derived using in vitro techniques. The predicted in vivo dose-response data were
used for BMD modeling, and the obtained BMDL10 values [lower limit of the 95 %
confidence interval on the BMD at which a benchmark response equivalent to a 10 %
effect size (BMR10) is reached (BMD10)] for rat were compared with BMDL10 values
derived from in vivo developmental toxicity data in rats reported in the
literature. The results show that the BMDL10 values from predicted dose-response
data differ about sixfold from the BMDL10 values obtained from in vivo data,
pointing at the feasibility of using a combined in vitro-in silico approach for
defining a point of departure for toxicological risk assessment.
PMID- 24935250
TI - Effects of NB001 and gabapentin on irritable bowel syndrome-induced behavioral
anxiety and spontaneous pain.
AB - Irritable bowel syndrome (IBS) is characterized by recurrent abdominal
discomfort, spontaneous pain, colorectal hypersensitivity and bowel dysfunction.
Patients with IBS also suffer from emotional anxiety and depression. However, few
animal studies have investigated IBS-induced spontaneous pain and behavioral
anxiety. In this study, we assessed spontaneous pain and anxiety behaviors in an
adult mouse model of IBS induced by zymosan administration. By using Fos protein
as a marker, we found that sensory and emotion related brain regions were
activated at day 7 after the treatment with zymosan; these regions include the
prefrontal cortex, anterior cingulate cortex, insular cortex and amygdala.
Behaviorally, zymosan administration triggered spontaneous pain (decreased
spontaneous activities in the open field test) and increased anxiety-like
behaviors in three different tests (the open field, elevated plus maze and
light/dark box tests). Intraperitoneal injection of NB001, an adenylyl cyclase 1
(AC1) inhibitor, reduced spontaneous pain but had no significant effect on
behavioral anxiety. In contrast, gabapentin reduced both spontaneous pain and
behavioral anxiety. These results indicate that NB001 and gabapentin may inhibit
spontaneous pain and anxiety-like behaviors through different mechanisms.
PMID- 24935251
TI - From transient transcriptome responses to disturbed neurodevelopment: role of
histone acetylation and methylation as epigenetic switch between reversible and
irreversible drug effects.
AB - The superordinate principles governing the transcriptome response of
differentiating cells exposed to drugs are still unclear. Often, it is assumed
that toxicogenomics data reflect the immediate mode of action (MoA) of drugs.
Alternatively, transcriptome changes could describe altered differentiation
states as indirect consequence of drug exposure. We used here the developmental
toxicants valproate and trichostatin A to address this question. Neurally
differentiating human embryonic stem cells were treated for 6 days. Histone
acetylation (primary MoA) increased quickly and returned to baseline after 48 h.
Histone H3 lysine methylation at the promoter of the neurodevelopmental
regulators PAX6 or OTX2 was increasingly altered over time. Methylation changes
remained persistent and correlated with neurodevelopmental defects and with
effects on PAX6 gene expression, also when the drug was washed out after 3-4
days. We hypothesized that drug exposures altering only acetylation would lead to
reversible transcriptome changes (indicating MoA), and challenges that altered
methylation would lead to irreversible developmental disturbances. Data from
pulse-chase experiments corroborated this assumption. Short drug treatment
triggered reversible transcriptome changes; longer exposure disrupted
neurodevelopment. The disturbed differentiation was reflected by an altered
transcriptome pattern, and the observed changes were similar when the drug was
washed out during the last 48 h. We conclude that transcriptome data after
prolonged chemical stress of differentiating cells mainly reflect the altered
developmental stage of the model system and not the drug MoA. We suggest that
brief exposures, followed by immediate analysis, are more suitable for
information on immediate drug responses and the toxicity MoA.
PMID- 24935253
TI - Size-dependent clearance of gold nanoparticles from lungs of Sprague-Dawley rats
after short-term inhalation exposure.
AB - Gold nanoparticles are known to be distributed to many tissues following their
oral, inhalation, or intravenous exposure. Information on the biodistribution and
clearance of gold nanoparticles from these tissues is, therefore, important to
understand their behavior in vivo. To study the effect of size on the
biodistribution of gold nanoparticles, Sprague-Dawley rats were exposed by
inhalation to small gold nanoparticles (13 nm in diameter on average) at an
exposure concentration of 12.8 +/- 2.42 ug/m(3), and to large gold nanoparticles
(105 nm in diameter on average) at an exposure concentration of 13.7 +/- 1.32
ug/m(3). The experimental animals were exposed to the gold nanoparticles and the
control animals to fresh air for 5 days (6 h/day), followed by a recovery period
of 1, 3, and 28 days in fresh air. None of the exposed animals exhibited any
toxic response to the gold nanoparticles. Despite the difference in size, both
small and large gold nanoparticles deposited mainly in rat lungs. Their
biodistribution from the lungs to secondary target organs was significantly
higher with the small compared to the large gold nanoparticles. While the large
gold nanoparticles were only found in the blood, the small gold nanoparticles
were detected in the liver, spleen, brain, testes, and blood. In addition, the
elimination half-life of the small gold nanoparticles from the lungs was
significantly shorter than that of the large gold nanoparticles. The present data
may, therefore, suggest that the smaller gold nanoparticles are able to
translocate from the lungs, the primary exposure organ to extrapulmonary organs
at a faster rate than the larger gold nanoparticles and thus confirming previous
observations reported in the literature.
PMID- 24935255
TI - Where is the drug gone? Measuring intracellular delivery and localization.
PMID- 24935254
TI - Occupational exposures to polycyclic aromatic hydrocarbons and respiratory and
urinary tract cancers: an updated systematic review and a meta-analysis to 2014.
AB - Exposure to polycyclic aromatic hydrocarbons (PAHs) has been associated with an
excess risk of respiratory tract and bladder cancers in several industries, but
the issue requires further quantification. We updated a previous systematic
review by reviewing in details cohort studies on workers employed in selected
industries with potential PAH exposure published between 2006 and 2014, and we
summarized through a meta-analytic approach the main results of all available
cohort studies published between 1958 and 2014 investigating cancers of the
respiratory and urinary tracts. Thirteen papers on cohort studies investigating
cancer risk in workers exposed to PAHs were retrieved through the literature
search. These included workers from aluminum production industries (seven
studies), iron and steel foundries (two studies), asphalt workers (two studies),
and carbon black production (two studies). In the meta-analysis, an excess risk
of respiratory tract cancers (mainly lung cancer) was found in iron and steel
foundries [pooled relative risk (RR) 1.31, 95 % confidence interval (CI) 1.08
1.59 from 14 studies], while a weak excess risk (pooled RR 1.08, 95 % CI 0.95
1.23 from 11 studies) emerged for aluminum production. A borderline increase risk
was also observed for cancer of the bladder in the aluminum production (pooled RR
1.28, 95 % CI 0.98-1.68 from 10 studies) and in iron and steel foundries (pooled
RR 1.38, 95 % CI 1.00-1.91 from 9 studies). This updated review and meta-analysis
confirm the increased risk from respiratory tract and bladder cancers in selected
PAH-related occupations. It cannot be ruled out whether such excesses are due, at
least in part, to possible bias or residual confounding.
PMID- 24935256
TI - Palladium-catalyzed annulation of benzynes with N-substituted-N-(2
halophenyl)formamides: synthesis of phenanthridinones.
AB - A novel and efficient procedure for the synthesis of N-substituted
phenanthridinones via palladium-catalyzed annulation of benzynes with N
substituted-N-(2-halophenyl)formamides has been developed. This methodology
constructs two new C-C bonds via an arylation/annulation process, and provides
the desired products in good yields.
PMID- 24935261
TI - Environmental factors affecting the expression of type IV pilus genes as well as
piliation of Thermus thermophilus.
AB - The thermophilic bacterium Thermus thermophilus HB27 is known for its highly
efficient natural transformation system, which has become a model system to study
the structure and function of DNA transporter in thermophilic bacteria. The DNA
transporter is functionally linked to type IV pili (T4P), which are essential for
twitching motility and adhesion to solid surfaces. However, the pilus structures
themselves are dispensable for natural transformation. Here, we report that the
cellular mRNA levels of the major structural subunit of the T4P, PilA4, are
regulated by environmental factors. Growth of T. thermophilus in minimal medium
or low temperature (55 degrees C) leads to a significant increase in pilA4
transcripts. In contrast, the transcript levels of the minor pilin pilA1 as well
as other T4P genes are nearly unaffected. The elevated pilA4 mRNA levels are
accompanied by an increase in piliation of the cells but not by elevated natural
transformation frequencies. Hyperpiliation leads to increased adhesion to plastic
surfaces. The increased cell-surface interactions are suggested to represent an
adaptive response to temperature stress and may be advantageous for survival of
T. thermophilus.
PMID- 24935258
TI - Cardiac fibroblasts mediate IL-17A-driven inflammatory dilated cardiomyopathy.
AB - Inflammatory dilated cardiomyopathy (DCMi) is a major cause of heart failure in
individuals below the age of 40. We recently reported that IL-17A is required for
the development of DCMi. We show a novel pathway connecting IL-17A, cardiac
fibroblasts (CFs), GM-CSF, and heart-infiltrating myeloid cells with the
pathogenesis of DCMi. Il17ra(-/-) mice were protected from DCMi, and this was
associated with significantly diminished neutrophil and Ly6Chi
monocyte/macrophage (MO/MPhi) cardiac infiltrates. Depletion of Ly6Chi MO/MPhi
also protected mice from DCMi. Mechanistically, IL-17A stimulated CFs to produce
key chemokines and cytokines that are critical downstream effectors in the
recruitment and differentiation of myeloid cells. Moreover, IL-17A directs Ly6Chi
MO/MPhi in trans toward a more proinflammatory phenotype via CF-derived GM-CSF.
Collectively, this IL-17A-fibroblast-GM-CSF-MO/MPhi axis could provide a novel
target for the treatment of DCMi and related inflammatory cardiac diseases.
PMID- 24935257
TI - A novel self-lipid antigen targets human T cells against CD1c(+) leukemias.
AB - T cells that recognize self-lipids presented by CD1c are frequent in the
peripheral blood of healthy individuals and kill transformed hematopoietic cells,
but little is known about their antigen specificity and potential antileukemia
effects. We report that CD1c self-reactive T cells recognize a novel class of
self-lipids, identified as methyl-lysophosphatidic acids (mLPAs), which are
accumulated in leukemia cells. Primary acute myeloid and B cell acute leukemia
blasts express CD1 molecules. mLPA-specific T cells efficiently kill CD1c(+)
acute leukemia cells, poorly recognize nontransformed CD1c-expressing cells, and
protect immunodeficient mice against CD1c(+) human leukemia cells. The
identification of immunogenic self-lipid antigens accumulated in leukemia cells
and the observed leukemia control by lipid-specific T cells in vivo provide a new
conceptual framework for leukemia immune surveillance and possible immunotherapy.
PMID- 24935260
TI - JAK2 V617F and CALR mutations are not mutually exclusive; findings from
retrospective analysis of a small patient cohort.
PMID- 24935262
TI - Perfluoroalkyl substance contamination of the Llobregat River ecosystem
(Mediterranean area, NE Spain).
AB - The occurrence and sources of 21 perfluoroalkyl substances (PFASs: C4-C14, C16,
C18 carboxylate, C4, C6-C8 and C10 sulfonates and C8 sulfonamide) were determined
in water, sediment, and biota of the Llobregat River basin (NE Spain). Analytes
were extracted by solid phase extraction (SPE) and determined by liquid
chromatography triple quadrupole mass spectrometer (LC-QqQ-MS). All samples were
contaminated with at least one PFAS, being the most frequently found
perfluorobutanoate (PFBA), perfluorooctanoate (PFOA) and perfluorooctane
sulfonate (L-PFOS). In general, mean PFAS concentrations measured in sediments
(0.01-3.67 ng g(-1)) and biota (0.79-431 MUg kg(-1)) samples were higher than
those found in water (0.01-233 ng L(-1)). L-PFOS presented very high levels in
biota and water, particularly in the Anoia River where a maximum concentration of
2.71 MUg L(-1) was related to important industrial activities. However, this
pollution does not extend down the Llobregat River according to cumulated values.
None of the hazard quotients (HQ) calculated indicate potential risk for the
different tropic levels considered (algae, Daphnia sp. and fish). According to
Maximum Allowable Concentration (MAC) proposed by the European Commission (L
PFOS) and to Provisional Health Advisory (PHA) values (PFOA, L-PFOS) established
by the United States Environmental Protection Agency (US EPA), only two water
samples exceeded PHA concentration for L-PFOS.
PMID- 24935259
TI - CNS myelin induces regulatory functions of DC-SIGN-expressing, antigen-presenting
cells via cognate interaction with MOG.
AB - Myelin oligodendrocyte glycoprotein (MOG), a constituent of central nervous
system myelin, is an important autoantigen in the neuroinflammatory disease
multiple sclerosis (MS). However, its function remains unknown. Here, we show
that, in healthy human myelin, MOG is decorated with fucosylated N-glycans that
support recognition by the C-type lectin receptor (CLR) DC-specific intercellular
adhesion molecule-3-grabbing nonintegrin (DC-SIGN) on microglia and DCs. The
interaction of MOG with DC-SIGN in the context of simultaneous TLR4 activation
resulted in enhanced IL-10 secretion and decreased T cell proliferation in a DC
SIGN-, glycosylation-, and Raf1-dependent manner. Exposure of oligodendrocytes to
proinflammatory factors resulted in the down-regulation of fucosyltransferase
expression, reflected by altered glycosylation at the MS lesion site. Indeed,
removal of fucose on myelin reduced DC-SIGN-dependent homeostatic control, and
resulted in inflammasome activation, increased T cell proliferation, and
differentiation toward a Th17-prone phenotype. These data demonstrate a new role
for myelin glycosylation in the control of immune homeostasis in the healthy
human brain through the MOG-DC-SIGN homeostatic regulatory axis, which is
comprised by inflammatory insults that affect glycosylation. This phenomenon
should be considered as a basis to restore immune tolerance in MS.
PMID- 24935263
TI - Recent progress on our understanding of the biological effects of mercury in fish
and wildlife in the Canadian Arctic.
AB - This review summarizes our current state of knowledge regarding the potential
biological effects of mercury (Hg) exposure on fish and wildlife in the Canadian
Arctic. Although Hg in most freshwater fish from northern Canada was not
sufficiently elevated to be of concern, a few lakes in the Northwest Territories
and Nunavut contained fish of certain species (e.g. northern pike, Arctic char)
whose muscle Hg concentrations exceeded an estimated threshold range (0.5-1.0 MUg
g(-1) wet weight) within which adverse biological effects begin to occur. Marine
fish species generally had substantially lower Hg concentrations than freshwater
fish; but the Greenland shark, a long-lived predatory species, had mean muscle Hg
concentrations exceeding the threshold range for possible effects on health or
reproduction. An examination of recent egg Hg concentrations for marine birds
from the Canadian Arctic indicated that mean Hg concentration in ivory gulls from
Seymour Island fell within the threshold range associated with adverse effects on
reproduction in birds. Mercury concentrations in brain tissue of beluga whales
and polar bears were generally lower than levels associated with neurotoxicity in
mammals, but were sometimes high enough to cause subtle neurochemical changes
that can precede overt neurotoxicity. Harbour seals from western Hudson Bay had
elevated mean liver Hg concentrations along with comparatively high muscle Hg
concentrations indicating potential health effects from methylmercury (MeHg)
exposure on this subpopulation. Because current information is generally
insufficient to determine with confidence whether Hg exposure is impacting the
health of specific fish or wildlife populations in the Canadian Arctic,
biological effects studies should comprise a major focus of future Hg research in
the Canadian Arctic. Additionally, studies on cellular interactions between Hg
and selenium (Se) are required to better account for potential protective effects
of Se on Hg toxicity, especially in large predatory Arctic fish, birds, and
mammals.
PMID- 24935264
TI - Novel complex disease allele mutations in cleidocranial dysplasia patients.
AB - This study reports a novel identical complex disease allele harboring two non
synonymous mutations that were identified in two southern Chinese individuals of
the same family with cleidocranial dysplasia (CCD). Blood samples were obtained
from the proband, his parents, plus 100 matched control subjects. Exons 0 to 7 of
the RUNX2 gene were amplified using specific primers and sequenced. Multiple
sequence alignment and protein structure modeling was performed using ClustalW2
and MODBASE software while PolyPhen-2 and MutationTaster applications were
employed to predict the disease-causing potential of the identified mutations. A
complex disease allele in two affected individuals harboring two non-synonymous
mutations in a cis-position on exons 4 (D273N) and 5 (P299L) were identified. The
identified mutations were in the conserved region and changed the protein
structure.
PMID- 24935265
TI - Development of an in vitro liquid chromatography-mass spectrometry method to
evaluate stereo and chemical stability of new drug candidates employing
immobilized artificial membrane column.
AB - A stopped-flow HPLC method was developed to evaluate configurational and chemical
stability of pharmaceutical compounds employing immobilized artificial membranes
(IAM) column to simulate conditions that pharmaceutical compounds will meet in
vivo. The method was applied to recent developed chiral 5-arylbenzothiadiazine
derivatives possessing high positive allosteric modulatory (PAM) activity on AMPA
receptor. In particular the stopped-flow HPLC method developed used a chiral
column to separate single enantiomer of the compounds that are forced into an IAM
column where configurational and chemical stability was evaluated in simulated
gastrointestinal fluids (pH 1.2 and 6.8 at 37.5 degrees C) to simulate in vivo
conditions. The results were compared to those obtained by dynamic and off-column
methods to evaluate the effects of stationary phases on kinetic constant of
enantiomerization and hydrolysis. The results suggested that the phospholipids
environment of IAM stationary phases, which mimes biological membrane, greatly
influence the hydrolysis process increasing the chemical stability of tested
compounds while no influence on enantiomerization kinetic was observed. Therefore
it is possible to suppose that 5-arylbenzothiadiazine derivatives should not
hydrolysed in vivo while they should rapidly racemized in aqueous solvents. The
method could represents a rapid and value tool to predict chemical and
configurational stability of new chemical entities to decrease the number of
animal studies.
PMID- 24935266
TI - Stir-membrane solid-liquid-liquid microextraction for the determination of
parabens in human breast milk samples by ultra high performance liquid
chromatography-tandem mass spectrometry.
AB - In this article, stir-membrane solid-liquid-liquid microextraction (SM-SLLME) is
tailored for the analysis of solid matrices and it has been evaluated for the
determination of parabens in l breast milk samples. A three-phase microextraction
mode was used for the extraction of the target compounds taking advantage of
their acid-base properties. The unit allows the simultaneous extraction of the
target compounds from the solid sample to an organic media and the subsequent
transference of the analytes to an aqueous acceptor phase. The method includes
the identification and quantification of the analytes by ultra high performance
liquid chromatography coupled to tandem mass spectrometry (UHPLC-MS/MS). All the
variables involved in the extraction procedure have been accurately studied and
optimized. The analytes were detected and quantified using a triple quadrupole
mass spectrometer (QqQ). The selection of two specific fragmentation transitions
for each compound allowed simultaneous quantification and identification. The
method has been analytically characterized on the basis of its linearity,
sensitivity and precision. Limits of detection ranged from 0.1 to 0.2ngmL(-1)
with precision better than 8%, (expressed as relative standard deviation).
Relative recoveries were in the range from 91 to 106% which demonstrated the
applicability of the stir-membrane solid-liquid-liquid microextraction for the
proposed analytical problem. Moreover, the method has been satisfactorily applied
for the determination of parabens in lyophilized breast milk samples from 10
randomly selected individuals.
PMID- 24935267
TI - Molecular imprinted polymeric porous layers in open tubular capillaries for
chiral separations.
AB - A new method has been developed for the preparation of molecular imprinted
polymers as porous layers in open tubular (MIP-PLOT) capillary column formats for
use in chiral separations by capillary liquid chromatography. The synthesis was
based on 'in-capillary' ultraviolet (UV) initiated polymerization using light
emitting diodes (LEDs) in conjunction with the continuous delivery of the pre
polymerization reagents into the polymerization zone of the capillary using an
automated capillary delivery device. The relationships between exposure times, UV
light intensity and polymer layer thickness have been determined, as well as the
effects of reagent delivery rate and multiple LED exposures on the layer
thickness for various compositions of pre-polymerization mixtures. The polymer
surface morphology was investigated by scanning electron microscopy (SEM). The
non-steroidal anti-inflammatory drug S-ketoprofen was used as the template for
the preparation of the MIP imprinted PLOT coatings. The separation performance
with the ketoprofen racemate was investigated by capillary liquid chromatography.
In contrast to alternative methods, which require the use of expensive chiral
selectors, the described MIP PLOT stationary phases used non-chiral polymer
precursors to create enantioselective nano-cavities through molecular self
assembly processes. The described fabrication methods provide a new avenue to
tailor-make chiral MIP-PLOT capillary columns for the separation of chiral
compounds present in complex or racemic analyte mixtures of chemical and
biological origin.
PMID- 24935268
TI - Tuning the giant magnetoelastic transition in Ba3BiIr2O9 and Ba3BiRu2O9.
AB - We have experimentally investigated the effects of pressure on the magnetoelastic
transitions associated with the opening of spin-gaps in Ba3BiIr2O9 and
Ba3BiRu2O9. For both compounds, reducing the unit cell volume by either external
physical and internal chemical pressure was found to reduce the temperature T(*)
of the transition and, to a lesser extent, the magnitude of the associated
negative thermal volume expansion. The results yield the latent heat associated
with the transitions, -3.34(3) * 10(2) J mol(-1) for Ba3BiIr2O9 and -7.1(5) *
10(2) J mol(-1) for Ba3BiRu2O9. The transition in Ba3BiRu2O9 is significantly
more robust than in Ba3BiIr2O9, requiring an order of magnitude higher pressures
to achieve the same reduction in T(*). The differing responses of the two
compounds points to differences between the 4d and 5d metals and hence to the
importance of spin-orbit coupling, which is expected to be much stronger in the
Ir compound.
PMID- 24935270
TI - ACG Clinical Guideline: the diagnosis and management of idiosyncratic drug
induced liver injury.
AB - Idiosyncratic drug-induced liver injury (DILI) is a rare adverse drug reaction
and it can lead to jaundice, liver failure, or even death. Antimicrobials and
herbal and dietary supplements are among the most common therapeutic classes to
cause DILI in the Western world. DILI is a diagnosis of exclusion and thus
careful history taking and thorough work-up for competing etiologies are
essential for its timely diagnosis. In this ACG Clinical Guideline, the authors
present an evidence-based approach to diagnosis and management of DILI with
special emphasis on DILI due to herbal and dietary supplements and DILI occurring
in individuals with underlying liver disease.
PMID- 24935269
TI - Novel serum protein biomarker panel revealed by mass spectrometry and its
prognostic value in breast cancer.
AB - INTRODUCTION: Serum profiling using proteomic techniques has great potential to
detect biomarkers that might improve diagnosis and predict outcome for breast
cancer patients (BC). This study used surface-enhanced laser
desorption/ionization time-of-flight (SELDI-TOF) mass spectrometry (MS) to
identify differentially expressed proteins in sera from BC and healthy volunteers
(HV), with the goal of developing a new prognostic biomarker panel. METHODS:
Training set serum samples from 99 BC and 51 HV subjects were applied to four
adsorptive chip surfaces (anion-exchange, cation-exchange, hydrophobic, and metal
affinity) and analyzed by time-of-flight MS. For validation, 100 independent BC
serum samples and 70 HV samples were analyzed similarly. Cluster analysis of
protein spectra was performed to identify protein patterns related to BC and HV
groups. Univariate and multivariate statistical analyses were used to develop a
protein panel to distinguish breast cancer sera from healthy sera, and its
prognostic potential was evaluated. RESULTS: From 51 protein peaks that were
significantly up- or downregulated in BC patients by univariate analysis, binary
logistic regression yielded five protein peaks that together classified BC and HV
with a receiver operating characteristic (ROC) area-under-the-curve value of
0.961. Validation on an independent patient cohort confirmed the five-protein
parameter (ROC value 0.939). The five-protein parameter showed positive
association with large tumor size (P = 0.018) and lymph node involvement (P =
0.016). By matrix-assisted laser desorption/ionization time-of-flight (MALDI-TOF)
MS, immunoprecipitation and western blotting the proteins were identified as a
fragment of apolipoprotein H (ApoH), ApoCI, complement C3a, transthyretin, and
ApoAI. Kaplan-Meier analysis on 181 subjects after median follow-up of >5 years
demonstrated that the panel significantly predicted disease-free survival (P =
0.005), its efficacy apparently greater in women with estrogen receptor (ER)
negative tumors (n = 50, P = 0.003) compared to ER-positive (n = 131, P = 0.161),
although the influence of ER status needs to be confirmed after longer follow-up.
CONCLUSIONS: Protein mass profiling by MS has revealed five serum proteins which,
in combination, can distinguish between serum from women with breast cancer and
healthy control subjects with high sensitivity and specificity. The five-protein
panel significantly predicts recurrence-free survival in women with ER-negative
tumors and may have value in the management of these patients.
PMID- 24935271
TI - Anatomic and advanced adenoma detection rates as quality metrics determined via
natural language processing.
AB - OBJECTIVES: The objectives of this study were to use an open-source natural
language-processing tool (NLP) to accurately assess total, anatomic (left and
right colon), and advanced adenoma detection rates (ADRs) and to determine how
these metrics differ between high- and low-performing endoscopists. METHODS: An
NLP tool was developed using the Apache Unstructured Information Management
Architecture and queried all procedure records for screening colonoscopies
performed in patients aged 50-75 years at a single institution from April 1998 to
December 2013. Validation was performed on 200 procedures and associated
pathology reports. The total, left colon, right colon, and advanced ADRs were
calculated and physicians were stratified by total ADR (<20% and >=20%).
Comparisons of colonoscopy characteristics and ADR comparisons (advanced, left,
right, and right/left ratio) were determined by t-tests and Wilcoxon rank-sum
tests. RESULTS: The total ADR for 34,998 screening colonoscopies from 1998 to
2013 was 20.3%, as determined via NLP. The institutional left and right colon
ADRs were 10.1% and 12.5%, respectively. The overall advanced ADR was 4.4%.
Endoscopists with total ADRs >=20% had higher left (12.4%) and right colon
(16.4%) ADRs than endoscopists with ADRs <20% (left ADR=5.6%, right ADR=5.8%).
Endoscopists with ADRs >=20% had higher individual right/left ADR ratios than
those with low ADRs (1.4 (interquartile range (IQR) 0.4) vs. 1.0 (IQR 0.4),
P=0.02). There was a moderate positive correlation between advanced ADR detection
and both right (Spearman's rho=0.5, P=0.05) and left colon (Spearman's rho=0.4,
P=0.03) ADRs. CONCLUSIONS: Institutions should consider the use of anatomic and
advanced ADRs determined via natural language processing as a refined measure of
colonoscopy quality. The ability to continuously monitor and provide feedback on
colonoscopy quality metrics may encourage endoscopists to refine technique,
resulting in overall improvements in adenoma detection.
PMID- 24935272
TI - Granulocyte colony-stimulating factor in severe alcoholic hepatitis: a randomized
pilot study.
AB - OBJECTIVES: Severe alcoholic hepatitis has high short-term mortality. The aim of
this study was to test the hypothesis that treatment of patients with alcoholic
hepatitis with granulocyte colony-stimulating factor (G-CSF) might mobilize bone
marrow-derived stem cells and promote hepatic regeneration and thus improve
survival. METHODS: Forty-six patients with severe alcoholic hepatitis were
prospectively randomized in an open study to standard medical therapy (SMT) plus
G-CSF (group A; n=23) at a dose of 5 MUg/kg subcutaneously every 12 h for 5
consecutive days or to SMT alone (group B; n=23) at a tertiary care center. We
assessed the mobilization of CD34(+) cells on day 6, Child-Turcotte-Pugh (CTP),
model for end-stage liver disease (MELD), and modified Maddrey's discriminant
function (mDF) scores, and survival until day 90. RESULTS: There was a
statistically significant increase in the number of CD34(+) cells in peripheral
blood in group A as compared with group B (P=0.019) after 5 days of G-GSF
therapy. There was a significant reduction in median Delta change% in CTP, MELD,
and mDF at 1, 2, and 3 months in group A as compared with group B (P<0.05). There
was marked improvement in survival in group A as compared with group B (78.3% vs.
30.4%; P=0.001) at 90 days. CONCLUSIONS: G-CSF is safe and effective in the
mobilization of hematopoietic stem cells and improves liver function as well as
survival in patients with severe alcoholic hepatitis.
PMID- 24935273
TI - Informed choice vs. no choice in colorectal cancer screening tests: a prospective
cohort study in real-life screening practice.
AB - OBJECTIVES: The objective of this study was to compare the level of adherence to
colorectal cancer (CRC) screening programs among screening participants offered
vs. not offered informed choices on screening modality. METHODS: We recruited
10,606 screening participants aged 50-70 years, including 6,397 subjects who were
offered a choice of yearly fecal immunochemical test (FIT) for up to 3 years vs.
one colonoscopy, and 4,209 subjects who were offered either FIT or colonoscopy
without choice. They were prospectively followed up for 3 years. The proportion
of screening participants who returned their specimens in all subsequent years
(FIT group) and the attendance rate of scheduled endoscopy appointment
(colonoscopy group) were compared between those with vs. without choice. RESULTS:
The adherence rate with FIT was 97.6%, 84.1%, and 72.6% in the first 3 years of
follow-up, respectively, among those who were offered a choice. The adherence
rate with FIT was 97.5%, 78.4%, and 62.8%, respectively, among those without
choices. The proportion of subjects attending colonoscopy was 95.7% (choice
offered) and 90.6% (no choice). From binary logistic regression analysis,
participants who were offered informed choice were significantly more likely to
adhere to the program when compared with those without test choices (odds ratio
(OR)=2.54, 95% confidence interval (CI): 2.30-2.82, P<0.001). The respective
adjusted OR for the FIT and colonoscopy groups was 1.60 (95% CI: 1.42-1.80,
P<0.001) and 2.53 (95% CI: 1.94-3.31, P<0.001). CONCLUSIONS: This study found
that patients who were offered an informed choice for screening had higher
adherence rates than patients who were not offered a choice in real-life
practices, suggesting that providing screening test options for CRC screening is
preferred.
PMID- 24935275
TI - Effect of antidepressants and psychological therapies, including hypnotherapy, in
irritable bowel syndrome: systematic review and meta-analysis.
AB - OBJECTIVES: Irritable bowel syndrome (IBS) is a chronic functional
gastrointestinal disorder. Evidence relating to the treatment of this condition
with antidepressants and psychological therapies continues to accumulate.
METHODS: We performed an updated systematic review and meta-analysis of
randomized controlled trials (RCTs). MEDLINE, EMBASE, and the Cochrane Controlled
Trials Register were searched (up to December 2013). Trials recruiting adults
with IBS, which compared antidepressants with placebo, or psychological therapies
with control therapy or "usual management," were eligible. Dichotomous symptom
data were pooled to obtain a relative risk (RR) of remaining symptomatic after
therapy, with a 95% confidence interval (CI). RESULTS: The search strategy
identified 3,788 citations. Forty-eight RCTs were eligible for inclusion: thirty
one compared psychological therapies with control therapy or "usual management,"
sixteen compared antidepressants with placebo, and one compared both
psychological therapy and antidepressants with placebo. Ten of the trials of
psychological therapies, and four of the RCTs of antidepressants, had been
published since our previous meta-analysis. The RR of IBS symptom not improving
with antidepressants vs. placebo was 0.67 (95% CI=0.58-0.77), with similar
treatment effects for both tricyclic antidepressants and selective serotonin
reuptake inhibitors. The RR of symptoms not improving with psychological
therapies was 0.68 (95% CI=0.61-0.76). Cognitive behavioral therapy,
hypnotherapy, multicomponent psychological therapy, and dynamic psychotherapy
were all beneficial. CONCLUSIONS: Antidepressants and some psychological
therapies are effective treatments for IBS. Despite the considerable number of
studies published in the intervening 5 years since we last examined this issue,
the overall summary estimates of treatment effect have remained remarkably
stable.
PMID- 24935276
TI - Transarterial chemoembolization vs. radiofrequency ablation for the treatment of
single hepatocellular carcinoma 2 cm or smaller.
AB - OBJECTIVES: To compare the effectiveness of transarterial chemoembolization
(TACE) and radiofrequency ablation (RFA) for treating small (<=2 cm)
hepatocellular carcinomas (HCCs). METHODS: This retrospective study consisted of
287 patients (mean age, 57.1 years; age range, 29-84 years; 221 men, 66 women;
73.5% with HBV; 100% with liver cirrhosis) with Barcelona Clinic Liver Cancer
very early-stage HCC (<=2 cm single HCC) who were initially treated with TACE
(n=122) or RFA (n=165). The primary study end point was overall patient survival.
Secondary study end points were time to progression and tumor response. RESULTS:
The RFA and TACE groups were well balanced in terms of baseline variables. The
two groups did not differ significantly in overall survival (P=0.079) or major
complication (P>0.999) rates. The respective cumulative survival rates at 1, 3,
5, and 8 years were 97.6, 86.7, 74.5, and 60.0% for RFA and 93.4, 75.4, 63.1, and
51.1% for TACE. Their objective tumor regression (complete or partial response)
rates were 100% (165/165) and 95.9% (117/122), respectively (P=0.013). The median
times to progression for RFA and TACE were 27.0+/-3.8 (95% confidence intervals
(CIs): 19.6-34.4) and 18.0+/-2.9 (95% CIs: 12.2-23.8) months, respectively. RFA
yielded a significantly longer time to progression (P=0.034). CONCLUSIONS: TACE
may be a viable alternative treatment for <=2 cm HCCs when RFA is not feasible.
PMID- 24935277
TI - Complementary and alternative medicine therapies as symptom management strategies
for the late effects of breast cancer treatment.
AB - Advancements in breast cancer treatment continue to improve the likelihood of
survival. The increase in survival has come at a cost, however; the late effects
of breast cancer treatment have remained a constant reminder to women of what
they have endured and require holistic nursing's attention. One area of nursing
practice that might improve the condition of breast cancer survivors once their
treatment has ended is complementary and alternative medicine (CAM) therapies. To
provide guidance to nurses working with breast cancer survivors, a focused review
of the literature exploring the symptomatology and prevalence of breast cancer's
late effects as well as the use of CAM therapies to improve those effects is
presented. Evidence suggests that CAM therapies have sometimes been incorporated
into symptom management strategies currently employed; however, the evidential
claims as a whole have been generally inconclusive, especially for complete
resolution of the late effects. Regardless, a number of studies demonstrate a
reduction of negative symptoms experienced with few to no side effects of CAM
therapies.
PMID- 24935274
TI - Progress and opportunities in molecular pathological epidemiology of colorectal
premalignant lesions.
AB - Molecular pathological epidemiology (MPE) is an integrative molecular and
population health science that addresses the molecular pathogenesis and
heterogeneity of disease processes. The MPE of colonic and rectal premalignant
lesions (including hyperplastic polyps, tubular adenomas, tubulovillous adenomas,
villous adenomas, traditional serrated adenomas, sessile serrated
adenomas/sessile serrated polyps, and hamartomatous polyps) can provide unique
opportunities for examining the influence of diet, lifestyle, and environmental
exposures on specific pathways of carcinogenesis. Colorectal neoplasia can
provide a practical model by which both malignant epithelial tumor (carcinoma)
and its precursor are subjected to molecular pathological analyses. KRAS, BRAF,
and PIK3CA oncogene mutations, microsatellite instability, CpG island methylator
phenotype, and LINE-1 methylation are commonly examined tumor biomarkers. Future
opportunities include interrogation of comprehensive genomic, epigenomic, or
panomic datasets, and the adoption of in vivo pathology techniques. Considering
the colorectal continuum hypothesis and emerging roles of gut microbiota and host
immunity in tumorigenesis, detailed information on tumor location is important.
There are unique strengths and caveats, especially with regard to case
ascertainment by colonoscopy. The MPE of colorectal premalignant lesions can
identify etiologic exposures associated with neoplastic initiation and
progression, help us better understand colorectal carcinogenesis, and facilitate
personalized prevention, screening, and therapy.
PMID- 24935278
TI - Ethical issues relating to renal transplantation from prediabetic living donor.
AB - BACKGROUND: In Mexico, diabetes mellitus is the main cause of end - stage kidney
disease, and some patients may be transplant candidates. Organ supply is limited
because of cultural issues. And, there is a lack of standardized clinical
guidelines regarding organ donation. These issues highlight the tension
surrounding the fact that living donors are being selected despite being
prediabetic. This article presents, examines and discusses using the principles
of non-maleficience, autonomy, justice and the constitutionally guaranteed right
to health, the ethical considerations that arise from considering a prediabetic
person as a potential kidney donor. DISCUSSION: Diabetes is an absolute
contraindication for donating a kidney. However, the transplant protocols most
frequently used in Mexico do not consider prediabetes as exclusion criteria. In
prediabetic persons there are well known metabolic alterations that may
compromise the long - term outcomes of the transplant if such donors are
accepted. Even so, many of them are finally included because there are not enough
donor candidates. Both, families and hospitals face the need to rapidly accept
prediabetic donors before the clinical conditions of the recipient and the
evolution of the disease exclude him/her as a transplant candidate; however, when
using a kidney potentially damaged by prediabetes, neither the donor's nor the
recipient's long term health is usually considered.Considering the ethical
implication as well as the clinical and epidemiological evidence, we conclude
that prediabetic persons are not suitable candidates for kidney donation. This
recommendation should be taken into consideration by Mexican health institutions
who should rewrite their transplant protocols. SUMMARY: We argue that the
decision to use a kidney from a living donor known to be pre-diabetic or from
those persons with family history of T2DM, obesity, hypertension, or renal
failure, should be considered unethical in Mexico if the donor bases the decision
to donate on socially acceptable norms rather than informed consent as understood
in modern medicine.
PMID- 24935279
TI - Climatic adaptation and ecological divergence between two closely related pine
species in Southeast China.
AB - Climate is one of the most important drivers for adaptive evolution in forest
trees. Climatic selection contributes greatly to local adaptation and
intraspecific differentiation, but this kind of selection could also have
promoted interspecific divergence through ecological speciation. To test this
hypothesis, we examined intra- and interspecific genetic variation at 25 climate
related candidate genes and 12 reference loci in two closely related pine
species, Pinus massoniana Lamb. and Pinus hwangshanensis Hisa, using population
genetic and landscape genetic approaches. These two species occur in Southeast
China but have contrasting ecological preferences in terms of several
environmental variables, notably altitude, although hybrids form where their
distributions overlap. One or more robust tests detected signals of recent and/or
ancient selection at two-thirds (17) of the 25 candidate genes, at varying
evolutionary timescales, but only three of the 12 reference loci. The signals of
recent selection were species specific, but signals of ancient selection were
mostly shared by the two species likely because of the shared evolutionary
history. FST outlier analysis identified six SNPs in five climate-related
candidate genes under divergent selection between the two species. In addition, a
total of 24 candidate SNPs representing nine candidate genes showed significant
correlation with altitudinal divergence in the two species based on the
covariance matrix of population history derived from reference SNPs. Genetic
differentiation between these two species was higher at the candidate genes than
at the reference loci. Moreover, analysis using the isolation-with-migration
model indicated that gene flow between the species has been more restricted for
climate-related candidate genes than the reference loci, in both directions.
Taken together, our results suggest that species-specific and divergent climatic
selection at the candidate genes might have counteracted interspecific gene flow
and played a key role in the ecological divergence of these two closely related
pine species.
PMID- 24935280
TI - A meta-analysis of the effects of nutrient enrichment on litter decomposition in
streams.
AB - The trophic state of many streams is likely to deteriorate in the future due to
the continuing increase in human-induced nutrient availability. Therefore, it is
of fundamental importance to understand how nutrient enrichment affects plant
litter decomposition, a key ecosystem-level process in forest streams. Here, we
present a meta-analysis of 99 studies published between 1970 and 2012 that
reported the effects of nutrient enrichment on litter decomposition in running
waters. When considering the entire database, which consisted of 840 case
studies, nutrient enrichment stimulated litter decomposition rate by
approximately 50%. The stimulation was higher when the background nutrient
concentrations were low and the magnitude of the nutrient enrichment was high,
suggesting that oligotrophic streams are most vulnerable to nutrient enrichment.
The magnitude of the nutrient-enrichment effect on litter decomposition was
higher in the laboratory than in the field experiments, suggesting that
laboratory experiments overestimate the effect and their results should be
interpreted with caution. Among field experiments, effects of nutrient enrichment
were smaller in the correlative than in the manipulative experiments since in the
former the effects of nutrient enrichment on litter decomposition were likely
confounded by other environmental factors, e.g. pollutants other than nutrients
commonly found in streams impacted by human activity. However, primary studies
addressing the effect of multiple stressors on litter decomposition are still few
and thus it was not possible to consider the interaction between factors in this
review. In field manipulative experiments, the effect of nutrient enrichment on
litter decomposition depended on the scale at which the nutrients were added:
stream reach > streamside channel > litter bag. This may have resulted from a
more uniform and continuous exposure of microbes and detritivores to nutrient
enrichment at the stream-reach scale. By contrast, nutrient enrichment at the
litter-bag scale, often by using diffusing substrates, does not provide uniform
controllable nutrient release at either temporal or spatial scales, suggesting
that this approach should be abandoned. In field manipulative experiments, the
addition of both nitrogen (N) and phosphorus (P) resulted in stronger stimulation
of litter decomposition than the addition of N or P alone, suggesting that there
might be nutrient co-limitation of decomposition in streams. The magnitude of the
nutrient-enrichment effect on litter decomposition was higher for wood than for
leaves, and for low-quality than for high-quality leaves. The effect of nutrient
enrichment on litter decomposition may also depend on climate. The tendency for
larger effect size in colder regions suggests that patterns of biogeography of
invertebrate decomposers may be modulating the effect of nutrient enrichment on
litter decomposition. Although studies in temperate environments were
overrepresented in our database, our meta-analysis suggests that the effect of
nutrient enrichment might be strongest in cold oligotrophic streams that depend
on low-quality plant litter inputs.
PMID- 24935281
TI - Post-cataract prevention of inflammation and macular edema by steroid and
nonsteroidal anti-inflammatory eye drops: a systematic review.
AB - PURPOSE: Favorable outcome after cataract surgery depends on proper control of
the inflammatory response induced by cataract surgery. Pseudophakic cystoid
macular edema is an important cause of visual decline after uncomplicated
cataract surgery. DESIGN: We compared the efficacy of topical steroids with
topical nonsteroidal anti-inflammatory drugs (NSAIDs) in controlling inflammation
and preventing pseudophakic cystoid macular edema (PCME) after uncomplicated
cataract surgery. PARTICIPANTS: Patients undergoing uncomplicated surgery for age
related cataract. METHODS: We performed a systematic literature search in
Medline, CINAHL, Cochrane, and EMBASE databases to identify randomized trials
published from 1996 onward comparing topical steroids with topical NSAIDs in
controlling inflammation and preventing PCME in patients undergoing
phacoemulsification with posterior chamber intraocular lens implantation for age
related cataract. MAIN OUTCOME MEASURES: Postoperative inflammation and
pseudophakic cystoid macular edema. RESULTS: Fifteen randomized trials were
identified. Postoperative inflammation was less in patients randomized to NSAIDs.
The prevalence of PCME was significantly higher in the steroid group than in the
NSAID group: 3.8% versus 25.3% of patients, risk ratio 5.35 (95% confidence
interval, 2.94-9.76). There was no statistically significant difference in the
number of adverse events in the 2 treatment groups. CONCLUSIONS: We found low to
moderate quality of evidence that topical NSAIDs are more effective in
controlling postoperative inflammation after cataract surgery. We found high
quality evidence that topical NSAIDs are more effective than topical steroids in
preventing PCME. The use of topical NSAIDs was not associated with an increased
events. We recommend using topical NSAIDs to prevent inflammation and PCME after
routine cataract surgery.
PMID- 24935283
TI - In vivo imaging of radial keratoneuritis in patients with Acanthamoeba keratitis
by anterior-segment optical coherence tomography.
AB - PURPOSE: To investigate in vivo corneal changes of radial keratoneuritis in early
stage Acanthamoeba keratitis (AK) using anterior-segment optical coherence
tomography (AS-OCT). DESIGN: Single-center, prospective clinical study.
PARTICIPANTS: Four eyes (4 patients with a mean age of 28.5 years) with early
stage AK showing radial keratoneuritis were included in this study. Definitive
diagnosis was made by confirmation of AK cysts using in vivo confocal microscopy
and culture. METHODS: Anterior-segment OCT examination was performed on the
initial visit and at follow-up visits paying special attention to radial
keratoneuritis. MAIN OUTCOME MEASURES: Selected AS-OCT images of the cornea were
evaluated qualitatively for the shape and degree of light reflection of abnormal
neurons. RESULTS: With the use of AS-OCT, we successfully obtained high
resolution images of putative radial keratoneuritis in all patients as highly
reflective bands or lines in the corneal stroma. The depth and width of the
highly reflective bands/lines varied from case to case (anterior stroma to mid
stroma, from 20 to 200 MUm). Some lines ran obliquely from the deep peripheral
stroma toward the anterior stroma, and some were located at different depths
(subepithelial and mid-stroma) and ran relatively parallel to the corneal layers.
After appropriate treatment, radial keratoneuritis was resolved by both slit-lamp
biomicroscopy and AS-OCT in all patients. CONCLUSIONS: High-resolution Fourier
domain AS-OCT provides novel and detailed visual information of radial
keratoneuritis in patients with early-stage AK. Visualization of radial
keratoneuritis by AS-OCT may be a useful adjunct to the diagnosis and follow-up
of early-stage AK.
PMID- 24935284
TI - Glaucoma diagnostic accuracy of optical coherence tomography parameters in early
glaucoma with different types of optic disc damage.
AB - PURPOSE: To compare the initial visual field (VF) defect pattern and the spectral
domain optical coherence tomography (OCT) parameters and investigate the effects
of distinct types of optic disc damage on the diagnostic performance of these OCT
parameters in early glaucoma. DESIGN: Retrospective, observational study.
PARTICIPANTS: A total of 138 control eyes and 160 eyes with early glaucoma were
enrolled. The glaucomatous eyes were subdivided into 4 groups according to the
type of optic disc damage: focal ischemic (FI) group, myopic (MY) group, senile
sclerotic (SS) group, and generalized enlargement (GE) group. METHODS: The values
of total deviation (TD) maps were analyzed, and superior-inferior (S-I)
differences of TD were calculated. The optic nerve head (ONH) parameters,
peripapillary retinal nerve fiber layer (pRNFL), and ganglion cell-inner
plexiform layer (GCIPL) thicknesses were measured. MAIN OUTCOME MEASURES:
Comparison of diagnostic ability using area under the receiver operating
characteristic curves (AUCs). RESULTS: The S-I and central S-I difference of the
FI group were larger than those of the GE group. The rim area of the SS group was
larger than those of the 3 other groups, and the vertical cup-to-disc ratio (CDR)
of the GE group was larger than that of the MY group. In addition, the minimum
and inferotemporal GCIPL thicknesses of the FI group were smaller than those of
the GE group. The AUC of the rim area (0.89) was lower than that of the minimum
GCIPL (0.99) in the SS group, and the AUC of the vertical CDR (0.90) was lower
than that of the minimum GCIPL (0.99) in the MY group. Furthermore, the AUCs of
the minimum GCIPL thicknesses of the FI and MY group were greater than those of
the average pRNFL thickness for detecting glaucoma, as opposed to the SS and GE.
CONCLUSIONS: The OCT parameters differed among the 4 groups on the basis of the
distinct optic disc appearance and initial glaucomatous damage pattern.
Clinicians should be aware that the diagnostic capability of OCT parameters could
differ according to the type of optic disc damage in early glaucoma.
PMID- 24935285
TI - The medical reformation.
PMID- 24935282
TI - Sustained delivery fluocinolone acetonide vitreous implants: long-term benefit in
patients with chronic diabetic macular edema.
AB - PURPOSE: To present the safety and efficacy of intravitreal implants releasing
0.2 MUg/day fluocinolone acetonide (FAc) in patients with chronic versus
nonchronic diabetic macular edema (DME). To assess ocular characteristics,
anatomic changes, and re-treatment and ancillary therapies that may explain the
differential treatment effect seen with intravitreal implants releasing FAc 0.2
MUg/day in patients with chronic and nonchronic DME. An overall benefit-to-risk
assessment for the FAc 0.2-MUg/day and FAc 0.5-MUg/day doses has been reported
previously. DESIGN: Preplanned subgroup analysis of chronic (duration of
diagnosis, >=3 years) and nonchronic (duration of diagnosis, <3 years) DME in
patients from 2 randomized, sham injection-controlled, double-masked, multicenter
clinical trials. PARTICIPANTS: Patients with persistent DME despite 1 or more
macular laser treatment were randomized 1:2:2 to sham injection (n = 185), FAc
0.2 MUg/day (n = 375), or FAc 0.5 MUg/day (n = 393). METHODS: Patients received
study drug or sham injection and after 6 weeks were eligible for rescue laser.
Based on re-treatment criteria, additional masked study drug could be given after
1 year. MAIN OUTCOME MEASURES: Percentage of patients with improvement of 15
letters or more from baseline. Secondary outcomes included other parameters of
visual function and foveal thickness. RESULTS: At month 36, the difference
between FAc 0.2 MUg/day and sham control in the percentage of patients who gained
15 letters or more was significantly greater in chronic DME patients (FAc 0.2
MUg/day, 34.0% vs. sham, 13.4%; P<0.001), compared with patients with nonchronic
DME (FAc 0.2 MUg/day, 22.3% vs. sham, 27.8%; P = 0.275). The greater response in
patients with chronic DME was not associated with baseline ocular
characteristics, changes in anatomic features, or differences in re-treatment or
ancillary therapies. The ocular adverse event profile for FAc 0.2 MUg/day was
similar regardless of DME duration. CONCLUSIONS: This is the first published
analysis correlating duration of diagnosis of DME with treatment effect. In
patients with chronic DME, FAc 0.2 MUg/day provides substantial visual benefit
for up to 3 years and would provide an option for patients who do not respond to
other therapy.
PMID- 24935287
TI - Wojciech Rowinski, a humanist and transplant surgeon, played a seminal role to
establish the government-run national transplantation program in Poland.
PMID- 24935286
TI - Why and how to spare the hippocampus during brain radiotherapy: the developing
role of hippocampal avoidance in cranial radiotherapy.
AB - The goal of this review is to summarize the rationale for and feasibility of
hippocampal sparing techniques during brain irradiation. Radiotherapy is the most
effective non-surgical treatment of brain tumors and with the improvement in
overall survival for these patients over the last few decades, there is an effort
to minimize potential adverse effects leading to possible worsening in quality of
life, especially worsening of neurocognitive function. The hippocampus and
associated limbic system have long been known to be important in memory formation
and pre-clinical models show loss of hippocampal stem cells with radiation as
well as changes in architecture and function of mature neurons. Cognitive
outcomes in clinical studies are beginning to provide evidence of cognitive
effects associated with hippocampal dose and the cognitive benefits of
hippocampal sparing. Numerous feasibility planning studies support the
feasibility of using modern radiotherapy systems for hippocampal sparing during
brain irradiation. Although results of the ongoing phase II and phase III studies
are needed to confirm the benefit of hippocampal sparing brain radiotherapy on
neurocognitive function, it is now technically and dosimetrically feasible to
create hippocampal sparing treatment plans with appropriate irradiation of target
volumes. The purpose of this review is to provide a brief overview of studies
that provide a rationale for hippocampal avoidance and provide summary of
published feasibility studies in order to help clinicians prepare for clinical
usage of these complex and challenging techniques.
PMID- 24935288
TI - The certification of transplant coordinators in Europe.
AB - The appropriate clinical management of the integrated process of Donation and
Transplantation implies the participation of the Transplant Coordinator. The aim
of this article is to present the process of Certification of Transplant
Coordinators in Europe since 2001, in accordance with the Council of Europe
Recommendations and the evolving model implemented in 2008 under the auspices of
the UEMS, reporting the longest running European standardized assessment of
Transplant Coordination skills and knowledge. It includes the rationale for
development of a certification process, how the examinations were developed and
updated, eligibility to take the examination, and relationship with standards of
practice for Transplant Coordinators. A total of 455 healthcare professionals
were certified in two phases: 1(st) ETCO certification since 2001 to 2007 (390)
and 2(nd) ETCO/UEMS certification from 2008 to 2011 (65).
PMID- 24935289
TI - Effects of an in-house coordinator and practitioner referral rather than proxy
referral on tissue donation rates.
AB - INTRODUCTION: Timely referral of patients following asystolic death to an organ
procurement organization (OPO) may increase tissue donation rates. Lack of
education of health care providers and nonphysicians (admitting department) about
timely referral to the OPO following asystolic death may adversely affect tissue
donation rates. We hypothesized that using an in-house donation coordinator for
provider education and changing the responsibility for calling the OPO from the
admitting department to the licensed independent practitioner (LIP) declaring
death would increase timely referral and tissue donation rates. METHODS: An
education program was developed in 2005 by a newly hired in-house coordinator to
highlight the importance of tissue donation. In addition, to improve timely
referrals to the OPO after death, the instructions accompanying the working copy
of the death certificate were altered to require the patient's LIP to call the
OPO within 1 hour of death (early 2007). Rates for both timely referrals and
tissue donors were modeled by a Poisson regression model with a log link
function. RESULTS: Timely referral rates rose from 48% before the interventions
to 72% after the intervention (P < .0001). The number of tissue donors per number
of referrals also increased significantly (P = .025) over that period.
CONCLUSIONS: An in-house donation coordinator initiated education program and LIP
referral rather than referral by other parties following asystolic death results
in higher tissue donation rates.
PMID- 24935290
TI - Bench surgery with renal autotransplantation for angiomyolipoma of renal sinus.
AB - BACKGROUND: The treatment of angiomyolipomas (AML) of the renal sinus is
challenging and often requires nephrectomy. In this study, we report on 5
patients who underwent extracorporeal AML resection and subsequent renal
autotransplantation (RA) for renal sinus AML. METHODS: As of May 2013, 5 patients
had undergone extracorporeal AML resection and RA for renal sinus AML at our
institute. Separation of the AML in renal sinus is performed by combined sharp
and blunt dissection. Tissue between AML and renal sinus is ligated where blood
vessels cannot be excluded. When complicated with AML of the renal parenchyma,
the AMLs were resected using ultrasound guidance. RESULTS: Renal nuclear scan
showed that kidney grafts were perfused uniformly without evidence of segmental
infarction at day 7 posttransplantation in all patients. No significant
deterioration in renal function and tumor recurrence was noted over a median
follow-up of 3 months (range: 1 to 6 months). All patients presented with normal
blood pressure values. No intraoperative complications occurred. CONCLUSIONS:
Bench surgery and subsequent RA method, due to its advantage of kidney
preservation, is a valuable option for renal sinus AML treatment.
PMID- 24935291
TI - The minimal-access kidney transplantation technique in living-donor
transplantation: results from a retrospective analysis.
AB - OBJECTIVES: During the last 15 years, there was tremendous progress in minimally
invasive surgery and minimal-access surgery. Many conventional surgical
procedures were replaced by these techniques, resulting in a wide range of
benefits for the patients. In kidney transplantation, many centers choose an
approach to the iliac fossa through an oblique or J-shaped incision. This might
have possible disadvantages due to the extent of tissue trauma. Thus, we
introduced a minimal-access kidney transplantation technique (MAKT) as a
transplantation method in our center. We retrospectively analyzed this technique
used for 11 living-donor kidney transplants and report here our experience.
PATIENTS AND METHODS: From April 2008 to July 2011, 11 living-donor kidney
recipients were subjected to the MAKT and were matched (age, sex) with a
historical group from our center from 2000 to 2007. To analyze the assumption of
noninferiority of the MAKT in comparison to the standard approach, a matched case
control study design was chosen, with creatinine level at 1 year after
transplantation as the primary outcome variable. We used a Wilcoxon signed rank
test; 1-sided significance level was 2.5%. RESULTS: Eleven recipients were
included. Both groups were almost similar regarding age and body mass index.
Characteristics of the procedure were significantly different only for cold
ischemic time (114 minutes MAKT vs 77 minutes historical group). In the MAKT
group, there were no reinterventions necessary, no wound infections, no
incisional hernia, no acute rejection episodes, no graft losses, and 2
lymphoceles occurred. Further, no urinary leakage or ureteral stenosis and no
vascular complications were observed. The statistical analysis of the primary
endpoint revealed a noninferiority of the MAKT technique (P = .0005).
CONCLUSIONS: Considering the fact that this is an initial series and a
retrospective analysis, the applied MAKT technique seems to be safe in terms of
both graft function after 1 year and surgical complications.
PMID- 24935292
TI - Translation, cultural adaptation and validation of the kidney disease quality of
life-short form 1.3 in an African country.
AB - BACKGROUND: The impact of dialysis on patient quality of life has been recognized
as an important outcome measure. The Dialysis Outcomes and Practice Patterns
Study compared quality of life in 4 continents [1], but very scarce information
is available about dialysis patients' quality of life in Africa. The objective of
this study was to translate the Kidney Disease Quality of Life-Short Form (KDQOL
SF) into Moroccan and measure its psychometric properties. METHODS: The
questionnaire was first translated into Moroccan by 2 independent translators,
and then 2 backward translations into English were performed after pretesting in
10 dialysis patients. The final questionnaire was then administered to 80
dialysis patients. Reliability was estimated by internal consistency and test
retest reliability. Validity was assessed using known group comparisons and
correlations between overall health rating and scales scores. RESULTS: Some
activities were substituted since they were not common in Morocco. All subscales
had a Cronbach alpha above the recommended value except for 3 scales. All of the
items showed good test-retest reliability. Correlation of items within subscales
was higher than that of items outside subscales in 87% of cases. Regarding
construct validity, all KDQOL-SF scales had significant correlation with overall
health rating except for sexual function and dialysis staff encouragement.
Furthermore, the questionnaire could be used to discriminate between subgroups of
the patients. CONCLUSIONS: The psychometric properties of the KDQOL-SF resulting
from this first-time administration of the instrument support the validity and
reliability of the KDQOL-SF as a measure of quality of life of patients having
hemodialysis in Morocco.
PMID- 24935293
TI - Calcineurin inhibitor avoidance and withdrawal for kidney transplantation: a
systematic review and meta-analysis of randomized controlled trials.
AB - OBJECTIVE: Many studies have compared the safety and efficacy of the calcineurin
inhibitor (CNI) avoidance or CNI withdrawal regimens with typical CNI regimens,
but the results remain controversial. The aim of this systematic review and meta
analysis is to make a profound review and an objective appraisal of the safety
and efficacy of the CNI avoidance and CNI withdrawal protocols. METHODS: We
searched PUBMED, EMBASE, and the reference lists of retrieved studies to identify
randomized controlled trials (RCTs) that referred to CNI-free regimens, CNI
avoidance, or CNI withdrawal for kidney transplantation. Eight publications
involving 27 different RCTs and a total of 3953 patients were used in the
analysis. RESULTS: Use of mammalian target of rapamycin inhibitors, namely
sirolimus (SRL), in combination with mycophenolate, conserve graft function at 1
year (glomerular filtration rage [GFR]: mean difference MD 6.21, 95% CI 0.02
12.41, P = .05; serum creatinine: MD -0.11, 95% CI -0.19 to -0.03, P = .01,
respectively) and 2 years post-transplant (GFR: MD 13.96, 95% CI 7.32-20.60, P <
.0001). Similarly, early withdrawal (<= 6 months) of CNIs protect graft function
at 1 year after transplant (GFR: MD 7.03, 95% CI 4.84-9.23, P < .00001, serum
creatinine: MD -0.21, 95% CI -0.22 to -0.19, P < .00001, respectively). CNI
avoidance and withdrawal strategies are associated with higher incidence of acute
rejection at 1 year post-transplant (odds ratio OR 1.74, 95% CI 1.08-2.81, P =
.02; OR 1.78, 95% CI 1.35-2.34, P < .0001, respectively). At 2 years after
transplant, there was no significant difference (OR 0.92, 95% CI 0.33-2.51, P =
.86; OR 2.42, 95% CI 1.01-5.82, P = .05, respectively). Meanwhile, neither
adverse events nor patient/graft survival differed significantly between the CNI
free and CNI protocols at 1 and 2 years. Referring to long-term results in the
published RCTs, use of CNI-free and CNI withdrawal regimens achieve better renal
function vs CNI regimens, with no significant difference in patient and graft
survival, acute rejection, and most reported adverse events. CONCLUSIONS: In
conclusion, this systematic review and meta-analysis suggests that renal
recipients with early withdrawal of CNI drugs or avoiding CNI with SRL perform
better to conserve graft function at 1 and 2 years post-transplant. Though the
use of CNI regimens performs no better in 2-year acute rejection vs the contrast
group, they greatly decrease the incidence of acute rejection at the first year
after transplantation. CNI avoidance and withdrawal regimens improve the long
term renal function and perform similarly in the acute rejection, patient and
graft survival, and adverse events. Due to the limited amounts of long-term
studies, more high-quality RCTs are needed.
PMID- 24935295
TI - Effect of rapamycin on spleen size in longstanding renal transplant recipients.
AB - BACKGROUND: Based on evidence available in the literature, rapamycin, a mammalian
target of rapamycin (mTOR) inhibitor, but not calcineurin inhibitors (CNIs), has
been shown to decrease spleen size. Small spleen, in some instances, is
associated with hyposplenism, a condition recently reported in patients with
longstanding renal transplant. Accordingly, the effect of immunosuppressive drugs
on spleen size was evaluated. METHODS: Renal transplant recipients (35 taking
mTOR and 68 CNI) were included, in whom a standardized investigation of the
kidney allograft and spleen with the use of color Doppler ultrasound was
performed and a peripheral smear were reviewed for the presence of Howell-Jolly
bodies (HJBs). RESULTS: We enrolled 103 patients (64 men; 66 from a deceased
donor). The mean age was 47.7 years (range, 23.0-74.0 y). Mean transplant
duration was 1,899 days (range, 181-6,883 d). According to the presence of HJBs,
the prevalence of hyposplenism was 47.6% for the entire cohort. The differences
between the mTOR and CNI groups regarding sex and the presence of HJBs were not
statistically significant (P > .05). Age, creatinine, hemoglobin, leukocytes,
platelets, and Doppler parameters in spleen and kidney were similar in both
groups (P > .05). mTOR patients had a decreased spleen length size (90.09 +/-
13.02 mm vs 111.95 +/- 18.66 mm; P < .001), a longer transplant duration (3,576
+/- 1,594 d vs 1,036 +/- 1,369 d; P < .001) and higher serum cholesterol (227.50
+/- 38.75 mg/dL vs 182.67 +/- 37.74 mg/dL; P < .001) and triglycerides (194.23 +/
79.88 mg/dL vs 148.70 +/- 55.54 mg/dL; P = .003) levels compared with the CNI
group. A multivariate analysis showed mTOR inhibitor to be the most important
predictor of spleen size. In both the mTOR and CNI groups, the comparison between
the subgroups of present and absent HJBs did not show any difference.
CONCLUSIONS: The findings of this study suggest that small spleens in transplant
recipients may be linked to treatment with an mTOR inhibitor, although this
apparently does not compromise splenic function.
PMID- 24935294
TI - Pharmacokinetics of everolimus when combined with cyclosporine in Japanese de
novo renal transplant recipients.
AB - BACKGROUND: Currently, there are no published data on pharmacokinetics (PK) of
everolimus in combination with cyclosporine in Japanese renal transplant
patients. We evaluated the PK of everolimus in Japanese de novo renal transplant
patients who received everolimus in combination with cyclosporine. METHODS: In
this phase 3, multicenter, randomized, open-label study, patients were randomized
(1:1) to 1 of the 2 groups: everolimus 1.5 mg (targeted C0 of 3-8 ng/mL) plus
reduced-dose cyclosporine or mycophenolate mofetil 2 g/d plus standard-dose
cyclosporine. PK assessments for everolimus were performed on day 28 (month 1) in
the PK subpopulation. RESULTS: A total of 11 patients (7 men), mean age 47.5 +/-
11.21 years, were enrolled for PK analysis of everolimus. Starting at 1.5 mg
(0.75 mg twice a day), the mean dose over a period of 28 days was 0.705 +/-
0.1011 mg. Everolimus mean trough concentration was 4.307 +/- 1.2459 ng/mL and
mean peak concentration was 13.539 +/- 3.5330 ng/mL, which peaked at 1 to 2 hours
postdose. The average concentration was 7.558 +/- 1.4723 ng/mL, area under the
concentration-time curve was 90.70 +/- 17.667 ng.h/mL, and peak-trough
fluctuation was 122.6%. The PK parameters of everolimus were comparable to those
in the earlier phase 3 studies (A2306 and A2307). The mean everolimus trough
levels were within the target ranges at all time points ranging from 3.4 to 5.5
ng/mL (everolimus 0.75 mg twice a day, safety population). The majority of
patients (>85% from day 7 onward) were maintained within the targeted everolimus
trough blood levels (safety population). These data were similar to a non
Japanese study (A2309). CONCLUSIONS: The pharmacokinetic characteristics of
everolimus in Japanese de novo renal transplant patients did not differ from
those previously observed in non-Japanese patients, hence the same dosage of
everolimus may be acceptable in Japanese patients.
PMID- 24935296
TI - Determining renal resistive and pulsatility indexes long-term after kidney
transplantation in kidney transplant recipients on cyclosporine a-, tacrolimus-,
or sirolimus-based regimens.
AB - BACKGROUND: Renal Doppler ultrasound intrarenal resistive index (RI) and
pulsatility index (PI) are 2 noninvasive Doppler ultrasonographic markers to
determine kidney allograft function, and have been used mainly for diagnosing
allograft dysfunction during early posttransplantation periods. Little is known
about the stability of RI and PI in allograft recipients receiving cyclosporine A
(CyA)-, tacrolimus (TAC)-, or sirolimus (SRL)-based immunosuppressive regimens
long-term after kidney transplantation. METHODS: This study assessed RI and PI by
Doppler ultrasonography in 155 kidney allograft recipients between July 2012 and
March 2013. The period from kidney transplantation to performance of allograft
Doppler ultrasound was between 23 and 231 months in the TAC group (n = 75), 21
and 261 months in the CyA group (n = 25), and 21 and 210 months in the SRL group
(n = 55). RESULTS: Univariate logistic regression analysis revealed no
correlation between resistance indexes and estimated glomerular filtration rate,
proteinuria, cholesterol, triglyceride, graft and patient survival, human
leukocyte antigen mismatches, and creatinine. There was no significant difference
among the TAC, CyA, and SRL treatment groups in terms of resistance indexes (RI
and PI) (P = .193 and P = .216, respectively). Univariate logistic regression
analysis revealed that RI and PI values correlated significantly with the
recipients' ages (R = 0.375, P < .001), but not with donor age. The results of
multivariate logistic regression analysis also revealed statistically the
strongest correlation between recipients' ages and RI (95% confidence interval =
0.002, R(2) = 20.5%, P < .001) and PI (95% confidence interval = 0.008, R(2) =
16.2%, P < .001) values. CONCLUSIONS: Intrarenal RI and PI remained stable over
time in allograft recipients after transplantation, and there was no significant
difference between calcineurin inhibitor-based and calcineurin inhibitor-free
immunosuppressive treatment groups. Only recipients' ages showed a positive
correlation with RI and PI values. Long-term allograft and patient survival were
both excellent (100%) and associated with RI < 0.75.
PMID- 24935297
TI - Attitude of hemodialysis patients toward renal transplantation: a Moroccan
Interregional Survey.
AB - INTRODUCTION: Kidney transplantation (KT) is considered the treatment of choice
for many patients with severe chronic kidney disease because quality of life and
survival are often better than in patients who undergo dialysis. This study
assessed patients' knowledge and attitudes regarding KT. PATIENTS AND METHODS: A
total of 2066 hemodialysis patients were investigated as part of the ARTEMIS
(Attitude Toward Renal Transplantation and Eligibility Among Dialysis Patients in
a Moroccan Interregional Survey) study. RESULTS: Patients' mean age was 52.9
years, and the mean duration of hemodialysis was 55.3 months. Among these
patients, 73.3% would like to undergo transplantation. Among the subjects wishing
to be transplanted, 75.7% would accept the graft from a living or a cadaveric
donor; 17.8% would refuse transplantation from a related living donor; and 6.5%
would reject organs from donors after brain death. Approximately 17% of patients
have a potential related living donor. The main motivating factor for KT was
fluid restriction and diet constraints (43.3%). One third of patients believe
that Islam does not allow organ donation from a related living donor, and almost
one half think that it is prohibited from a brain-dead donor. Independent factors
affecting patients' attitude toward KT were young age, male gender, persistence
of residual diuresis, availability of a related living donor, and better
information on the subject of transplantation. CONCLUSIONS: To improve
hemodialysis patients' accessibility to KT, patients, their families, and other
support providers need better information. Awareness campaigns are needed to
promote organ donation.
PMID- 24935298
TI - Management of urologic complications in renal transplantation: a single-center
experience.
AB - INTRODUCTION: Ureterovesical complications subsequent to renal transplantation
are associated with a high morbidity leading to graft loss or even death. In the
present study, the management of these complications by using interventional and
surgical procedures (native pyeloureterostomy [NPUS]/ureteroureterostomy [NUU] vs
ureteroneocystostomy [UNC]) was evaluated retrospectively. PATIENTS AND METHODS:
Between 1994 and 2012, a total of 780 kidney transplantations (690 deceased and
90 living donors) were performed at our institution. Demographic, clinical, and
laboratory data from patients with urologic complications were analyzed and
compared. RESULTS: Fifty patients (6.4%) exhibited ureterovesical complications,
and 18 patients (36%) were operated on immediately. In 32 (64%) of 50 patients,
an interventional procedure was initially performed, with 21 patients (66%)
undergoing operation due to therapy failure. NPUS/NUU and UNC were performed in
26 (66.6%) and 13 (33.3%) patients, respectively. Indications for an operation
were ureteral stenosis in 12 patients (30.8%), ureteral necrosis and urine
leakage in 19 patients (48.7%), and symptomatic vesicoureteral reflux in 8
patients (20.5%). Long-term results were comparable between all groups.
CONCLUSIONS: Surgical revision of ureteral complications should be the standard
therapy. NPUS/NUU, UNC, and the successful interventional procedures did not
differ significantly in terms of long-term results.
PMID- 24935299
TI - Trends of pulmonary embolism before and after kidney transplantation in black
versus white patients.
AB - BACKGROUND: Pulmonary embolism (PE) is an important cause of in-hospital
mortality and is common in renal transplantation and maintenance dialysis
patients. PE incidence is higher among patients who are black; however,
differences in trends of incidence and outcomes of PE by race among patients on
dialysis and after renal transplantation is not well known. METHODS: In this
observational study, the incidences of PE hospitalizations and mortality were
studied in those with renal transplant, on maintenance dialysis, and in general
population. Incidences were compared across racial groups. Renal transplantation
status as a predictor of mortality was also examined. RESULTS: The incidences of
PE in general population, dialysis, and renal transplant groups were 70.5, 518.8,
and 158.8 per 100,000 population, respectively. Incidence was higher in blacks
across all groups. The age-adjusted incidence of PE admissions increased over
time in all groups with greater increase in blacks in non-transplant groups (the
slope in dialysis for blacks was 112.1 versus that for whites at 49.4; P = .001;
the slope in general population for blacks was 9.3 versus 3.4 for whites; P =
.003). The mortality rate in general population was not significantly different
than renal transplant group (3.4% versus 1.9%, P = .2); however, was lower than
6.8% seen in dialysis group (P < .001). The mortality rate was not different
between whites and blacks. Maintenance dialysis was an independent predictor of
mortality (odds ratio [OR] 1.94; 95% confidence interval [CI] 1.62-2.32).
CONCLUSIONS: PE in those with renal transplant is more common than in general
population but less common than those on maintenance dialysis. The mortality rate
for PE hospitalizations is equivocal between renal transplant and general
population but higher for patients on dialysis. The incidence of PE
hospitalizations is not only higher among blacks, but is increasing
disproportionately in this group among those who are on maintenance dialysis and
within general population.
PMID- 24935300
TI - A prospective analysis of the effects of enteric-coated mycophenolate sodium and
mycophenolate mofetil co-medicated with a proton pump inhibitor in kidney
transplant recipients at a single institute in China.
AB - BACKGROUND: Enteric-coated mycophenolate sodium (EC-MPS) and mycophenolate
mofetil (MMF), two prodrugs of mycophenolic acid (MPA), have been used in
immunosuppressive regimens. After being taken orally, both of them transform to
MPA to achieve immune suppression effects; however, the main site of absorption
and metabolism of EC-MPS is different from that of MMF in vivo. Therefore,
combined application with related drugs may result in different MPA levels and
have different clinical effects in kidney transplant recipients. OBJECTIVE: To
evaluate the efficacy of EC-MPS compared with MMF in Chinese renal transplant
patients comedicated with a proton pump inhibitor (PPI). METHODS: Our subjects
were 88 patients who received renal transplants at the 309th Hospital of the
Chinese PLA from May 2010 to April 2013. These were made up of two groups
including 27 patients with EC-MPS and 61 with MMF. The immunosuppression regimen
was EC-MPS/MMF + cyclosporine/tacrolimus + steroid hormone, comedicated with a
PPI (omeprazole). The patients' levels of exposure of MPA within 1 week after
operation were monitored. Clinical indicators such as incidence of delayed graft
function and acute rejection, the rate of change of serum creatinine hemoglobin,
leucocytes, and neutrophils, as well as clinical adverse drug reactions and drug
conversion were analyzed retrospectively. RESULTS AND CONCLUSION: The kidney
function of patients recovered to normal in both the EC-MPS and MMF groups. The
mean concentration to peak (Cmax), the mean half-life (t1/2), and the area under
the concentration-time curve (AUC0-12) of MPA in the EC-MPS group were higher
than those in the MMF group (P < .05). This indicated that the pharmacokinetic
parameters for MPA when EC-MPS is co-administered with a PPI in kidney transplant
patients in China is better than for comedication with MMF and a PPI. The MMF
group had a higher incidence of drug withdrawal because of higher infection
rates, leucocyte decrease, and more gastrointestinal side effects than the EC-MPS
group (P < .05). No significant differences in the clinical effect on kidney
transplant recipients were observed in the limited observation time.
PMID- 24935301
TI - Impact of transjugular intrahepatic portosystemic shunt creation on intermediate
term model for end-stage liver disease score progression.
AB - PURPOSE: To assess the impact of transjugular intrahepatic portosystemic shunt
(TIPS) creation on Model for End-stage Liver Disease (MELD) score temporal
progression in patients with liver cirrhosis. MATERIALS AND METHODS: In this
single-institution retrospective study, 256 consecutive patients who underwent
TIPS creation between 1999 and 2013 were identified for potential investigation.
Inclusion criteria for analysis consisted of at least 6 months of post-TIPS
clinical follow-up with available lab values at 1, 3, 6, and, if available, 12
months post-TIPS for MELD score calculation. Patients who were lost to follow-up
or expired within 6 months, lacked sufficient lab follow-up, or underwent liver
transplantation within 6 months of TIPS were excluded from the study cohort.
Within-patient variance in MELD score was assessed using repeated-measures
analysis of variance. RESULTS: Sixty-six patients met criteria for study
inclusion. TIPS were created for variceal hemorrhage (n = 26) or ascites,
hydrothorax, or portal vein thrombosis (n = 40). Hemodynamic success rate was 97%
(64/66) and median portosystemic pressure gradient reduction was 13 mm Hg. Median
baseline MELD score was 14 (range 7-26). Low MELD scores (<= 10, n = 16)
increased in sequential scores over 1-year follow-up (median increase +3.5),
intermediate MELD scores (11-18, n = 34) showed general stability in successive
scores over 1-year follow-up (median increase +1), and high MELD scores (>= 19, n
= 16) decreased in serial scores over 1-year follow-up (median decrease -4);
these trends are compatible with published MELD progression tendencies in
cirrhotic patients without TIPS. However, the MELD score changes were not
statistically significant (P = .172) on within-subject comparison. CONCLUSIONS:
Among patients with liver cirrhosis who recover from the procedure, TIPS creation
does not alter the natural MELD score evolution during intermediate term follow
up, and as such does not significantly alter liver transplant candidacy.
PMID- 24935302
TI - Tumor existence and tumor size as prognostic factors in hepatitis B virus-related
cirrhosis patients who underwent liver transplantation.
AB - BACKGROUND: Hepatitis B virus (HBV)-related chronic liver disease is one of the
most common indications for liver transplantation (LT). Patient data in our unit
were collected to evaluate the impact of liver tumor on outcomes in patients with
HBV-related cirrhosis who underwent LT. METHODS: HBV transplantation patients in
our hospital from August 2002 to March 2012 were analyzed and compared according
to LT indications: decompensated cirrhosis (DEC) or hepatocellular carcinoma
(HCC). For HCC patients, receiver operating characteristic (ROC) curve analysis
was used to determine the cutoff tumor size for prognosis, namely the maximum
tumor diameter. According to the cutoff size, patients were divided into 2
groups: large-size HCC and small-size HCC. The correlations among other
clinicopathologic factors were also investigated. Potential prognostic factors
were evaluated with the use of Cox proportional hazards model analysis. RESULTS:
The 1-, 3-, and 5-year overall survival rates of the 111 HBV-related patients
were 76.2%, 43.2%, and 32.9%, respectively. Patients with HCC had significantly
poorer overall survival than those with only DEC (P < .05). The mean tumor size
was 5.97 cm, and ROC analysis indicated that the cutoff tumor size for prognosis
was 4.25 cm, with sensitivity and specificity of 62.8% and 81.0%, respectively
(area under the ROC curve, 0.760; 95% CI, 0.644-0.877; P < .001). According to
this cutoff point, 31 patients had large tumor size (>= 4.25 cm) and 33 had small
tumor size (<4.25 cm). Patients with small tumor size had significantly better
overall survival than those with large tumor size (P < .05). Univariate analysis
showed that only the tumor size (risk ratio, 1.14; P < .001) was significantly
associated with the overall survival. CONCLUSIONS: Tumor existence and tumor size
are prognostic factors in HBV-related cirrhosis. With the use of a tumor size
cutoff value of 4.25 cm, patients with large-size tumors have significantly
poorer overall survival than those with small-size tumors.
PMID- 24935303
TI - Aminocaproic Acid (amicar) as an alternative to aprotinin (trasylol) in liver
transplantation.
AB - INTRODUCTION: This study compared clinical outcomes for a large number of liver
transplant patients receiving intraoperative epsilon-aminocaproic acid (EACA),
aprotinin, or no antifibrinolytic agent over an 8-year period. PATIENTS AND
METHODS: Records for deceased donor liver transplants were reviewed. Data
included antifibrinolytic agent, blood loss, early graft function, and
postoperative complications. Study groups included low-dose aprotinin, high-dose
aprotinin, EACA (25 mg/kg, 1-hour infusion), or no antifibrinolytic agent.
RESULTS: Data were included for 1170 consecutive transplants. Groups included low
dose aprotinin (n = 324 [28%]), high-dose aprotinin (n = 308 [26%]), EACA (n =
216 [18%]), or no antifibrinolytic (n = 322 [28%]). EACA had the lowest
intraoperative blood loss and required the fewest transfusions of plasma.
Patients receiving no agent required the most blood transfusions. Early graft
loss was lowest in the EACA group, and 90-day and 1-year patient survival rates
were significantly higher for the low-dose aprotinin and EACA groups according to
Cox regression. Complications were similar, but there were more episodes of deep
vein thrombosis in patients receiving EACA. CONCLUSIONS: These results suggest
that transitioning from aprotinin to EACA did not result in worse outcomes. In
addition to decreased intraoperative blood loss, a trend toward improved graft
and patient survival was seen in patients receiving EACA.
PMID- 24935304
TI - Left-side hepatectomy in living donors: through a reduced upper-midline incision
for liver transplantation.
AB - BACKGROUND: We present our attempts at reducing the length of incision in living
donor left-side hepatectomy without laparoscopic approach. METHODS: The chief
surgeon initially made a 10-cm upper midline incision and performed all
procedures through a minilaparotomy without abdominal wall lifting or
pneumoperitoneum. For the procedures in the lateral and deep areas, we
effectively applied traction to the wound in multiple directions using a wound
retraction system so that the chief surgeon could obtain a good direct view. We
also placed a fiberscope on the minilaparotomy so that the assistant surgeons
could obtain an additional video view via a monitor. Surgeons lengthened the
incision at their own discretion if the initial length was thought to be too
short for the donor's safety. Since February 2009, we have employed this
operation for 19 living donors (12 lateral segmentectomies and 7 left
hepatectomies) and compared parameters between the 19 donors and 34 previous
donors who underwent the procedure with standard incision (11 lateral
segmentectomies and 23 left hepatectomies). RESULTS: The resultant length of
incision was significantly reduced in operations with reduced incision length as
compared with standard incision. Clinical outcomes such as operation time and
length of hospital stay were comparable or significantly reduced with the reduced
incision. The resultant incision length remained within 10 and 12 cm in lateral
segmentectomy and left hepatectomy cases, respectively, whose body mass index was
less than 22. CONCLUSION: It appears to be feasible to reduce the incision length
for living donor left-side hepatectomy, especially in nonobese cases.
PMID- 24935305
TI - A new formula as a predictive score of post-liver transplantation outcome:
postoperative MELD-lactate.
AB - INTRODUCTION: Liver transplantation (OLT) involves a 5% to 10% 30-day mortality
rate. Multiple scores have been used as predictors of early postoperative
mortality, such as the original Model for End-stage Liver Disease (MELD) and MELD
sodium. Investigations have been conducted over the last 5 years to find new
predictors of early post-OLT mortality. OBJECTIVE: The aim of this study was to
develop a new mathematical model to predict the individual chance of 30-day
mortality after OLT. METHODS: The study was conducted on 58 patients submitted to
OLT at the University Hospital, between October 2008 and March 2012. The 29
latest survivor and 29 latest nonsurvivor cases were selected. Arterial blood
sodium, lactate, international normalized ratio, total bilirubin, and creatinine
values were determined 1 hour after the end of surgery. The MELD original
equation, MELD sodium, and new MELD lactate were also elaborated. The results
were analyzed by the Mann-Whitney and Wilcoxon tests. The level of significance
was set at .05. RESULTS: The new formula elaborated was as follows: MELD lactate
= 5.68 * loge (lactate) + 0.64 * (Original MELD) + 2.68. The MELD lactate values
were significantly higher than the MELD sodium and original MELD values (P <
.05). The area under the receiver operating characteristic curve of MELD lactate
in predicting the outcome of patients submitted to OLT was 0.80, as opposed to
0.71 for the original MELD and 0.72 for MELD sodium (P < .05). CONCLUSION: The
postoperative MELD lactate score proved to be more specific and sensitive than
the original MELD and MELD sodium as a predictive model of the outcome of
patients submitted to OLT.
PMID- 24935306
TI - Presurgical transarterial chemoembolization does not increase biliary stricture
incidence in orthotopic liver transplant patients.
AB - INTRODUCTION: The goal of this study was to compare the incidence of biliary
strictures in orthotopic liver transplant (OLT) patients treated with previous
transarterial chemoembolization (TACE) versus those with no TACE history.
PATIENTS AND METHODS: A single-center retrospective review was performed on 248
patients who underwent OLT from 2006 to 2012. Patient demographic
characteristics, history of TACE for treatment of hepatocellular carcinoma, OLT
data, and biliary stricture data were obtained. TACE was generally performed in a
segmental manner using chemotherapy to ethiodized oil mixture (1:1). Clinically
significant biliary strictures resulting in cholestasis or obstructive jaundice
were diagnosed by using endoscopic retrograde cholangiopancreatography. Group
characteristics were compared by using the Wilcoxon rank sum test, chi(2)
analysis, and Kaplan-Meier statistics with log-rank comparison. RESULTS: Forty
six patients (35 men, 11 women; median age, 58 years) with a history of pre-OLT
TACE were compared with 185 patients (111 men, 74 women; median age, 54 years)
with no history of TACE. TACE and non-TACE patients had 30% and 31% cumulative
incidence of biliary stricture, respectively. The median time to stricture was
not reached in either group. There was no statistically significant difference in
biliary stricture incidence (P = .928) or time to biliary stricture development
(P = .803). Biliary strictures were primarily anastomotic in location in both
groups: 79% in TACE patients and 84% in non-TACE patients (P = .233).
CONCLUSIONS: Selective TACE treatment of hepatocellular carcinoma in
pretransplant patients does not increase the rate of posttransplant biliary
strictures. These findings corroborate the safety of TACE in the treatment of
hepatocellular carcinoma in potential OLT patients as a bridge to
transplantation.
PMID- 24935307
TI - Serum fractalkine and interferon-gamma inducible protein-10 concentrations are
early detection markers for acute renal allograft rejection.
AB - OBJECTIVE: The aims of this study were to determine if characterization of serum
concentrations of interferon-gamma inducible protein-10 (IP-10), fractalkine, and
their receptors (CXCR3 and CX3CR1) were predictive of acute allograft rejection
in kidney transplant recipients. METHODS: Kidney transplant recipients (n = 52)
were enrolled in this study and divide into either the acute rejection (AR, n =
15) or non-acute rejection (NAR, n = 35) groups. Serum samples from recipients
were collected 1 day prior to transplantation and on days 1, 3, 5, 7, and 9 post
transplantation. The accuracy of chemokine concentrations for predicting acute
rejection episodes was evaluated using receiver operator characteristic (ROC)
curves. RESULTS: AR was diagnosed in 15 patients based on histologic changes to
renal biopsies. AR patients had significantly higher serum fractalkine, CXCR1, IP
10, and CXCR3 levels compared to levels observed in the NAR group and healthy
controls. Fractalkine and IP-10 had the largest area under the ROC curve at 0.86
(95% confidence interval: 0.77-0.96). Following steroid therapy, chemokine levels
decreased, which may serve to predict the therapeutic response to steroid
therapy. CONCLUSION: Measuring serum levels of fractalkine, IP-10, and their
receptors (especially the fractalkine/IP-10 combination) may serve as a
noninvasive approach for the early diagnosis of renal allograft rejection.
PMID- 24935308
TI - Incidental hepatocellular carcinoma: risk factors and long-term outcome after
liver transplantation.
AB - BACKGROUND: Orthotopic liver transplantation (OLT) currently represents the
treatment of choice for early hepatocellular carcinoma (HCC). Preoperatively
known HCC (pkHCC) is diagnosed via imaging methods before OLT or before HCC is
found postoperatively in the liver explant, denoted as incidental HCC (iHCC). The
aim of this study was a comprehensive analysis of the post-transplantation
survival of patients with iHCC and the identification of risk factors of iHCC
occurrence in cirrhotic liver. METHODS: We retrospectively reviewed 33 adult
cirrhotic patients with incidentally found HCC, comparing them with 606 tumor
free adult cirrhotic patients with end-stage liver disease (group Ci) who
underwent OLT in our center from January 1995 to August 2012. Within the same
period, a total of 84 patients underwent transplantation for pkHCC. We compared
post-transplantation survivals of iHCC, Ci, and pkHCC patients. In the group of
cirrhotic patients (Ci + iHCC), we searched for risk factors of iHCC occurrence.
RESULTS: There was no difference in sex, Model for End-Stage Liver Disease score,
and time spent on the waiting list in either group. In the multivariate analysis
we identified age >57 years (odds ratio [OR], 3.37; 95% confidence interval [CI],
1.75-8.14; P < .001), hepatitis C virus or alcoholic liver disease (OR, 3.89; 95%
CI, 1.42-10.7; P < .001), and alpha-fetoprotein level >6.4 MUg/L (OR, 6.65; 95%
CI, 2.82-15.7; P = .002) to be independent predictors of iHCC occurrence. Both
the 1-, 3-, and 5-year overall survival (OS) and the 1-, 3- and 5-year recurrence
free survival (RFS) differed in iHCC patients compared with the Ci group (iHCC:
OS 79%, 72%, and 68%, respectively; RFS 79%, 72%, and 63%, respectively; vs Ci:
OS = RFS: 93%, 94%, and 87%, respectively; P < .001). CONCLUSIONS: The survival
of iHCC patients is worse than in tumor-free cirrhotic patients, but similar to
pkHCC patients. The independent risk factors for iHCC occurrence in cirrhotic
liver are age, hepatitis C virus, or alcoholic liver disease etiology of liver
cirrhosis and alpha-fetoprotein level.
PMID- 24935309
TI - The comparisons of hepatitis C virus RNA level between intraoperative blood
salvage (cell saver) and systemic hepatitis C virus RNA kinetics during liver
transplantation.
AB - BACKGROUND: Cell Saver (CS; Haemonemic Corp, Braintree, Mass, United States) is
frequently used to decrease transfusion requirements of homologous blood during
liver transplantation (OLT). However, the use of CS in hepatitis C virus (HCV)
infected recipients is still debated owing to the potential elevation of HCV RNA
level. In this study, we compared HCV RNA levels of CS blood with a series of
blood samples obtained from HCV-infected OLT recipients. METHODS: Twelve HCV
infected patients with >50,000 copies/mL of HCV RNA were enrolled. HCV RNA was
measured immediately after induction (I), at the end of anhepatic period (II), at
the end of operation (III), and from the first returned blood in CS (CSb). HCV
RNA level at each time period was compared. RESULTS: HCV RNA levels ranged from
77,931 to 9,072,000 copies/mL at I. When compared to I, HCV RNA levels were
reduced to 11.1% +/- 13.0% and 0.7% +/- 1.0% at II and III, respectively. Also,
the RNA level reduced to 3.0% +/- 2.0% of I after CS processing. The HCV RNA
level at I was significantly higher than the levels at II, III, and CSb (P =
.012, each), and the level at II was significantly higher than the level at III
(P = .012). The HCV RNA level at CSb showed no statistical difference with the
levels at II, but it was significantly higher than the level at III (P = .042).
CONCLUSIONS: The use of CS in HCV-infected OLT recipients seems to carry no
additional risk with respect to intraoperative HCV RNA kinetics.
PMID- 24935310
TI - Atrial fibrillation in patients undergoing liver transplantation-a single-center
experience.
AB - BACKGROUND: As the prevalence of atrial fibrillation rises with age and older
patients increasingly receive transplants, the perioperative management of this
common arrhythmia and its impact on outcomes in liver transplantation is of
relevance. METHODS: Retrospective review of 757 recipients of liver
transplantation from January 2002 through December 2011. RESULTS: Nineteen
recipients (2.5%) had documented pre-transplantation atrial fibrillation. Sixteen
patients underwent liver and 3 a combined liver-kidney transplantation. Three
patients died within 30 days (84.2% 1-month survival) and another 3 within 1 year
of transplantation (68.4% 1-year survival). Compared with patients without atrial
fibrillation, the relative risk of death in the atrial fibrillation group was
5.29 at 1 month (P = .0034; 95% confidence interval [CI], 1.73-16.18) and 3.28 at
1 year (P = .0008; 95% CI, 1.63-6.59). Time to extubation and intensive care unit
(ICU) and hospital readmissions were not different from the control cohort. Rapid
ventricular response requiring treatment occurred in 4 patients during surgery
and 7 after surgery, resulting in 3 ICU and 3 hospital readmissions. CONCLUSIONS:
The results suggest that patients with atrial fibrillation may be at increased
risk of mortality after liver transplantation. Optimization of medical therapy
may decrease ICU and hospital readmission due to rapid ventricular response.
PMID- 24935311
TI - Infection complications and pattern of bacterial resistance in living-donor liver
transplantation: a multicenter epidemiologic study in Egypt.
AB - INTRODUCTION: Data on the prevalence and pattern of infection after living-donor
liver transplantation (LDLT) are scarce in Egypt. We therefore conducted this
study to quantify the incidence, risk factors, and pattern of bacterial
resistance post-LDLT in 3 hospitals in Egypt. PATIENTS AND METHODS: We conducted
a retrospective, multicenter study of the medical records of 246 patients who
underwent LDLT between January 2006 and April 2011 at 3 transplant centers in
Egypt. RESULTS: Of 246 patients enrolled in this study, 127 (52%) developed
infectious complications after LDLT, with 416 episodes of infection occurring
within 3 months of transplantation. Biliary tract infection was the most common,
occurring in 169 (40.6%) patients. The rate of infection with Gram-negative
bacteria was higher than that of infection with Gram-positive bacteria (310 [74%]
vs 87 [21%]; P < .001). Overall, 75% of Gram-negative isolates were multidrug
resistant. Significant independent risk factors for infection were portal vein
thrombosis (odds ratio, 2.4; P = .037) and biliary complications (odds ratio,
5.4; P < .001). CONCLUSIONS: Our data showed a high-resistance pattern of
bacterial infection after LDLT in Egypt. Early biliary complications were an
independent risk factor for bacterial infection.
PMID- 24935313
TI - Conversion from twice-daily to once-daily tacrolimus in simultaneous pancreas
kidney transplant patients.
AB - BACKGROUND: Data on the effectiveness of once-daily tacrolimus (Tac-QD) in
simultaneous pancreas-kidney (SPK) transplant patients are limited, which is of
particular concern because diabetic gastroparesis may affect absorption. The aim
of this study was to evaluate the clinical impact of converting SPK patients from
twice-daily (Tac-BD) to Tac-QD. METHODS: From November 2008 to August 2011, 27
SPK recipients (out of 130) were converted from Tac-BD to Tac-QD. Demographics,
prescribed doses, trough levels, and creatinine, glucose, and HbA1c values were
collected prospectively at the time of conversion and at 1, 2, 3, 6, and 12
months after conversion. RESULTS: The mean time from transplantation to
conversion was 35.81 +/- 27.31 months, with 20 patients (74.07%) converted to Tac
QD >12 months after transplantation. There were no significant differences in the
tacrolimus dose and trough levels before and after conversion and at all points
during the follow-up. Creatinine, glucos,e and HbA1c levels remained stable
throughout. Eight patients (29.63%) with gastroparesis had clinical outcomes,
drug doses, and trough levels similar to all other patients. CONCLUSIONS: Stable
SPK recipients can safely be converted from Tac-BD to Tac-QD, with no clinical
impact on the transplant function. Gastroparesis does not appear to influence
tacrolimus dose requirements or trough levels.
PMID- 24935312
TI - Analysis and forecast for multidrug-resistant Acinetobacter baumannii Infections
among liver transplant recipients.
AB - BACKGROUND: Acinetobacter baumannii (Ab) has become an important pathogenic
bacterium with specific epidemic features in the intensive care unit. We explored
the epidemiology of multidrug-resistant Ab infections among liver transplant
recipients at the Liver Transplantation Center, 1st Affiliated Hospital of
Shanghai Jiao Tong University. METHODS: Seventeen multidrug-resistant Ab strains
were isolated from the sputum and bronchoalveolar lavage fluid specimens of 249
liver transplant recipients from January 2007 to December 2009. The drug
resistance and minimum inhibitory concentration (MIC) for the 17 Ab strains were
determined. The Ab strains were genotyped with the use of repetitive element
based polymerase chain reaction. The risk factors were also characterized by
single-factor and multifactor analysis to the clinical data of the 249 liver
transplant recipients. RESULTS: The drug sensitivity results showed that the 17
Ab strains isolated displayed 100% drug resistance rate to aminoglycosides
(gentamicin), quinolones (ciprofloxacin), penicillins (piperacillin),
cephalosporins (ceftazidime, cefotaxime, and cefepime), and carbapenems (imipenem
and meropenem). The 17 Ab strains could be divided into 3 genotypes: 1, 1, and 15
strains for types A, C, and B, respectively. Fungal culture positivity after
operation (odds ratio [OR], 5.470) and tracheal intubation twice (OR, 11.538)
were the independent risk factors for multidrug-resistant Ab strain infection.
CONCLUSIONS: Type B multidrug-resistant Ab strains are prevalent in the liver
transplantation center, and they could be transmitted clonally. Liver transplant
recipients with postoperational fungal culture positivity and tracheal intubation
twice are prone to multidrug-resistant Ab infections. Therefore, a high degree of
vigilance should be paid to those recipients to avoid nosocomial Ab infections.
PMID- 24935314
TI - The impact of pretransplantation urgency status and the presence of a ventricular
assist device on outcome after heart transplantation.
AB - INTRODUCTION: There are conflicting reports on the posttransplantation morbidity
and mortality of patients listed urgently and/or supported by a ventricular
assist device (VAD). The aim of this study was to analyze the outcomes with
regard to pretransplantation condition (elective, urgent, VAD). METHODS: All
adult recipients between January 1, 2005, and October 31, 2012, were included.
Demographics; preoperative, operative, and postoperative data; outpatient follow
up; and donor characteristics were collected and analyzed. RESULTS: Of a total of
74 patients, 19 were listed urgently, 20 had a Berlin Heart EXCOR BVAD
(biventricular assist device) (Berlin Heart, Berlin, Germany) (8 urgent), 7 had a
Berlin Heart INCOR left VAD (Berlin Heart, Berlin, Germany) (2 urgent), and 2 had
a HeartWare left VAD (HeartWare International, Framingham, Mass, USA) (none
urgent). Mean age was 52 +/- 12years. The overall 30-day, 1-year, and 3-year
survival was 90% +/- 3%, 79% +/- 5%, and 66% +/- 7%. There was no difference in
survival when comparing urgently listed (95% +/- 5%, 84% +/- 8%, 74% +/- 12%) and
elective patients (89% +/- 4%, 77% +/- 6%, 63% +/- 8%; P = .4), and VAD patients
(86% +/- 6%, 76% +/- 8%, 63% +/- 11%) and those without mechanical support (93%
+/- 4%, 81% +/- 6%, 69% +/- 9%; P = .6). In-hospital outcomes and long-term
complications were also comparable. CONCLUSIONS: Our series suggests that urgent
patients and patients on a VAD have a posttransplantation outcome comparable to
elective patients and patients without a VAD. These data support the
effectiveness of the current practice of listing for heart transplantation.
PMID- 24935315
TI - Outcomes in patients receiving HeartMate II versus HVAD left ventricular assist
device as a bridge to transplantation.
AB - OBJECTIVE: Ventricular assist devices have become a standard treatment for
patients with advanced heart failure. We present data comparing results after
implantation of HeartMate II (HM II) versus HVAD (HW) left ventricular assist
devices (LVADs) for the past 7 years at our institution. METHODS: From July 2006
to August 2012, 121 consecutive patients underwent LVAD implantation: 70 (57.9%)
received HM II and 51 (42.1%) HW. Patient demographics, perioperative
characteristics, and laboratory parameters as well as postoperative outcome were
compared retrospectively. RESULTS: Patients in the HM II group were significantly
younger (P < .01), with more deranged liver function (higher bilirubin [P = .02]
and alanine aminotransferase [P = .01] levels), and had a significantly higher
rate of preoperative infections requiring antibiotic treatment (P = .02) and a
higher body core temperature (P < .01). Other demographic and preoperative
parameters did not show statistical differences. Most postoperative
characteristics were also similar between the two groups. HM II patients had a
significantly higher transfusion rate, but there were no differences in incidence
of resternotomy (P = .156). Recovery and VAD explantation were more likely in the
HM II group (P = .02). Although there was no significant difference in survival
(log rank test: P = .986; Breslow test: P = .827), HM II patients were more
likely to develop a percutaneous site infection (P = .01). CONCLUSIONS: Both HM
II and HW provide similar early postoperative outcome and good long-term
survival. The differences observed between the groups may be related to
demographic and preoperative factors rather than the type of the device used.
PMID- 24935316
TI - Mechanical circulatory support in advanced heart failure: single-center
experience.
AB - BACKGROUND: Currently, ventricular assist device (VAD) or total artificial heart
(TAH) mechanical support provides an effective treatment of unstable patients
with advanced heart failure. We report our single-center experience with
mechanical circulatory support therapy. METHODS: From March 2002 to December
2012, 107 adult patients (mean age, 56.8 +/- 9.9 y; range, 31-76 y) were primarly
supported on temporary or long-term VAD or TAH support as treatment for
refractory heart failure at our institution. Temporary extracorporeal radial VAD
support (group A) was established in 49 patients (45.7%), and long-term
paracorporeal and intracorporeal VAD or TAH (group B) in 58 patients (54.2%).
Left ventricular (LVAD) support was established in 55 patients (51.4%; n = 33,
Heartmate II; n = 6, Heartmate I XVE; n = 4, Heartware HVAD; and n = 12,
Centrimag) and biventricular (BVAD/TAH) support (group B) in 28 patients (26.1%;
n = 10, Thoratec paracorporeal; n = 2, Heartware HVAD, n = 1, Thoratec
implantable; n = 1, Syncardia TAH; and n = 14, Centrimag). The temporary
Centrimag was the only device adopted as isolated right ventricular (RVAD)
support, and it was inserted in 24 patients (22.4%). RESULTS: In group A, overall
mean support time was 10.2 +/- 6.6 days (range, 3-43 d). In group B, LVAD mean
support time was 357 +/- 352.3 days (range, 1-902 d) and BVAD/TAH support time
was 98 +/- 82.6 days (range, 8-832 d). In group A, the overall success rate was
55.1% (27 patients). In group B, LVAD overall success rate was 74.4% (32
patients) and BVAD/TAH success rate was 50% (7 patients). Overall heart
transplantation rate for both groups was 27.1% (n = 2, group A; n = 27, group B).
Overall 1-year and 5-year survivals after heart transplantation were 72.4% (n =
21) and 58.6% (n = 17), respectively. CONCLUSIONS: Mechanical circulatory support
is an effective strategy even in cases of end-stage heart failure according to
our experience. Further improvement of VAD and TAH technologies may support their
adoption as an encouraging alternative to heart transplantation in the near
future.
PMID- 24935317
TI - Cancer-free survival following alemtuzumab induction in heart transplantation.
AB - BACKGROUND: The malignancy rate after alemtuzumab (C-1H) induction in cardiac
transplantation is unknown. METHODS: A retrospective analysis from a single
center for all patients that underwent cardiac transplantation from January 2000
to January 2011 and that had no history of malignancy before transplantation was
performed. Patients induced with alemtuzumab were compared with a group of
patients receiving thymoglobulin or no induction and assessed for 4-year cancer
free post-heart transplantation survival. RESULTS: Of 402 patients included, 185
(46.0%) received alemtuzumab, 56 (13.9%) thymoglobulin, and 161 (40.0%) no
induction. Baseline characteristics did not differ between groups: mean age 54.0
years, male 77.1%, white 88.6%, ischemic cardiomyopathy 49.0%. The calcineurin
inhibitor was tacrolimus in 98.9% of alemtuzumab patients, 98.2% of thymoglobulin
patients, and 87.0% of the noninduced (P < .001). The secondary agent was
mycophenolate mofetil in all but 16 noninduced patients (9.9%), who received
azathioprine. The 4-year cancer-free survival did not differ between groups:
88.1% alemtuzumab, 87.5% thymoglobulin, 88.2% noninduction; P = .088. The 4-year
nonskin cancer-free survival was 96.8% for the alemtuzumab group, 96.4% for the
thymoglobulin group, and 95.7% for the noninduced; P = .899. CONCLUSIONS: Neither
the 4-year cancer-free survival nor the 4-year nonskin cancer-free survival
differed between the alemtuzumab, thymoglobulin, and noninduced groups.
PMID- 24935318
TI - Immunohistochemical quantification of inflammatory cells in endomyocardial biopsy
fragments after heart transplantation: a new potential method to improve the
diagnosis of rejection after heart transplantation.
AB - Inconsistencies in cardiac rejection grading systems corroborate the concept that
the evaluation of inflammatory intensity and myocyte damage seems to be
subjective. We studied in 36 patients the potential role of the
immunohistochemical (IHC) counting of inflammatory cells in endomyocardial biopsy
(EMB) as an objective tool, testing the hypothesis of correlation between the
International Society for Heart and Lung Transplantation 2004 rejection and IHC
counting of inflammatory cells. We observed a progressive increment in CD68+
cells/mm(2) (P = .000) and CD3+ cells/mm(2) (P = .000) with higher rejection
grade. A strong correlation between the grade of cellular rejection and both
CD68+ cells/mm(2) and CD3+ cells/mm(2) was obtained (P = .000). One patient with
CD3+ and CD68+ cells/mm(2) above the upper limit of the 95% confidence interval
for cells/mm(2) found in rejection grade 1R evolved to rejection grade 2R without
treatment. In patients with 2R that did not respond to treatment the values of
CD68+ or CD3+ cells were higher than the overall median values for rejection
grade 2R. For diagnosis of rejection needing treatment, the CD68+ and CD3+
cells/mm(2) areas under the receiver operating characteristic curves were 0.956
and 0.934, respectively. IHC counting of mononuclear inflammatory infiltrate in
EMB seems to have additive potential role in evaluation of EMB for the diagnosis
and prognosis of rejection episodes.
PMID- 24935319
TI - Selecting oversized donor cardiac allografts for patients with pulmonary
hypertension may be unnecessary.
AB - INTRODUCTION: There is a tendency to favor oversized donor hearts for heart
transplant candidates affected by mild to moderate pulmonary hypertension (PHTN).
We hypothesize that both undersized and oversized donor hearts fare equally well
in this setting. METHODS: A total of 107 cases from 2003 to 2008 were
retrospectively reviewed and subsequently divided into those receiving organs
from undersized donors (group 1: donor weight/recipient weight <= 0.90, n = 37)
and oversized donors (group 2: donor weight/recipient weight >= 1.2, n = 70).
PHTN was identified in the perioperative period in those patients with systolic
pulmonary artery pressure (SPAP) >= 40 mm Hg. Endpoints of mortality and
hemodynamic data were investigated. RESULTS: Of 107 patients, 37 received
undersized donor allografts, with a mean donor-to-recipient weight ratio of 0.8,
and 70 received oversized donors allografts, with a mean donor-to-recipient ratio
of 1.4. Perioperative PAH was diagnosed in 20 of the 37 (54%) patients from the
undersized group (mean SPAP = 45.9 mm Hg) and 41 of 70 (59%) patients from the
oversized group (mean SPAP = 46.5 mm Hg). There was no significant difference in
right ventricular function at 1 week, 1 month, or 6 months. Left ventricular
function was similar between both groups at 6 months (P = .22). The mean SPAP in
the undersized group was 45.9, 33.4, 31.8, and 23.1 mm Hg at the perioperative, 1
week, 1 month, and 6 month time points, respectively. Corresponding mean SPAP for
the oversized group was 46.5, 35.0, 29.4, and 26.1 mm Hg. The 1 month, 1 year,
and 3 year survivals were similar in both groups. CONCLUSIONS: Oversized and
undersized donor hearts fared equally well in the setting of mild to moderate
perioperative PAH. This in addition to the propensity for resolution of pulmonary
hypertension over time suggests that the current practice of favoring oversized
donor hearts for patients with pre-transplantation PAH may be unwarranted.
PMID- 24935320
TI - Long-term outcomes of orthotopic heart transplantation for hypertrophic
cardiomyopathy.
AB - BACKGROUND: Hypertrophic cardiomyopathy (HCM) is a genetic heart muscle disease
characterized by asymmetric or symmetric ventricular hypertrophy in the absence
of an obvious clinical cause. Orthotopic heart transplantation (OHT) has been
performed in patients who have refractory symptoms despite medical therapy and
surgical septal myectomy. However, there is a paucity of data on outcomes of HCM
patients who undergo OHT. METHODS: Data on 462 consecutive patients who underwent
OHT at UCLA Medical Center from 1996 to 2004 were retrospectively collected. The
clinical data on the 11 patients with HCM were identified. RESULTS: The majority
of the HCM patients were male (64%). The mean age of the patient was 45 +/- 8
years, and the mean donor age was 35 +/- 18 years. The mean ischemia time was 226
+/- 60 minutes. There was 1 in-hospital death secondary to septic shock. At a
median duration of follow-up of 4.5 years (mean, 4.4 +/- 3.2 years), there were 3
additional deaths. Compared with the 451 OHT patients who did not have HCM, there
was no difference in survival (P = .13), development of cardiac allograft
vasculopathy (P = .46), or rejection (P = .71). There was no evidence of HCM
recurrence in biopsies from the donor heart. CONCLUSIONS: OHT is a viable
treatment option for patients with end-stage HCM refractory to standard
therapies.
PMID- 24935321
TI - Body mass index in lung transplant candidates: a contra-indication to transplant
or not?
AB - BACKGROUND: According to International Society of Heart and Lung Transplantation
criteria, high body mass index (BMI; >= 30 kg/m(2)) is a relative
contraindication for lung transplantation (LT). On the other hand, low BMI may be
associated with worse outcome. We investigated the influence of pre-LT BMI on
survival after LT in a single-center study. METHODS: Patients were divided
according to the World Health Organization criteria into 4 groups: BMI <18.5
kg/m(2) (underweight), BMI 18.5-24.9 kg/m(2) (normal weight), BMI 25-29.9 kg/m(2)
(overweight), and BMI >= 30 kg/m(2) (obesity). An additional analysis was made
per underlying disease. RESULTS: BMI was determined in a cohort of 546 LT
recipients, of which 28% had BMI <18.5 kg/m(2). Underweight resulted in similar
survival (P = .28) compared with the normal weight group. Significantly higher
mortality was found in overweight (P = .016) and obese patients (P = .031)
compared with the normal-weight group. Subanalysis of either underweight (P =
.19) or obese COPD patients (P = .50) did not reveal worse survival. In patients
with interstitial lung disease, obesity was associated with increased mortality
(P = .031) compared with the normal-weight group. In cystic fibrosis patients,
underweight was not associated with a higher mortality rate (P = .12) compared
with the normal-weight group. CONCLUSIONS: Low pre-LT BMI did not influence
survival rate in our cohort, independently from underlying disease.
PMID- 24935322
TI - HRCT features of acute rejection in patients with bilateral lung transplantation:
the usefulness of lesion distribution.
AB - PURPOSE: This study sought to evaluate the high-resolution computed tomography
(HRCT) features of acute rejection and to assess the diagnostic accuracy of HRCT
for acute rejection considering distribution of lesions in patients with
bilateral lung transplantation (BLT). MATERIALS AND METHODS: Between March 2010
and June 2012, 48 transbronchial lung biopsies (TBLBs) and HRCT were performed
simultaneously in 26 patients who underwent BLT. We evaluated the presence of
ground glass opacity (GGO), consolidation, nodule, bronchial wall thickening,
interlobular septal thickening, pleural effusion, atelectasis, bronchiectasis,
and cardiomegaly on the HRCT images. The distribution of lesions was analyzed
according to bilaterality or upper/lower predominance. Acute rejection was
determined on the basis of the pathologic results of TBLB. We evaluated potential
correlations of HRCT features with acute rejection, then assessed overall
diagnostic accuracy of various HRCT features in combination to diagnose acute
rejection in the transplanted lung. RESULTS: Among the 48 TBLBs, 8 were diagnosed
as acute rejection (A1, 4 cases; A2, 2 cases; and A3, 2 cases) pathologically.
Two A1 rejections and one A2 rejection appeared normal on computed tomography
images. Without considering the distribution of lesions, interlobular septal
thickening was significantly associated with acute rejection (P = .010) only.
Regarding the distribution of lesions on HRCT images, not only interlobular
septal thickening but also GGO was significantly associated with acute rejection
(P < .05). The sensitivity, specificity, positive predictive value, negative
predictive value, and overall accuracy of the HRCT scan in the evaluation of
acute rejection were 50%, 97.5%, 80%, 90.1%, and 89.6%, when the bilateral GGO
and interlobular septal thickening with lower predominance were considered as the
positive finding. CONCLUSIONS: HRCT findings considering lesion distribution
could be a useful tool in diagnosing acute rejection in patients with BLT.
PMID- 24935323
TI - Impact of donor-to-recipient weight ratio on survival after bilateral lung
transplantation.
AB - BACKGROUND: The aim of this study was to investigate the relationship between
donor-to-recipient weight ratio and post-transplantation survival. METHODS: From
February 1988 to November 2006, 255 adult bilateral lung transplantation patients
from 2 different centers were retrospectively analyzed. The cohort was divided
into 4 groups depending on the quartile ranges of the donor-to-recipient weight
ratio. A time-to-event analysis was performed for risk of death after
transplantation conditional on 5-year survival using Kaplan-Meier and Cox
proportional hazards models. RESULTS: The mean weight ratio for the study cohort
was 1.23 +/- 0.39. For all lung transplant recipients during the study period,
survival rate at 5 years was 58%. Median survival was 6.3 years in the cohort
subgroup with weight ratio <1.23, whereas the median survival was 7.7 years for
the cohort subgroup with weight ratio >1.23. Weight ratio >1.23 recipients had a
significant survival advantage out to 5 years compared with weight ratio <1.23
recipients (66.1% vs 51.1%, P = .0126). With the aim to assess underweight and
overweight donors vs recipients, we have divided all patients into 4 groups, from
quartile 1 to 4, based on donor-to-recipient weight ratio. Weight ratio strata
affected overall survival, with quartile 1 (lower weight ratio recipients)
experiencing the lowest 5-year survival (39.1%), followed by quartile 2 (57.8%),
quartile 4 (68.2%), and quartile 3 (70.3%) recipients. The effect of weight ratio
strata on survival was statistically significant for the quartile 1 recipients
(lower quartile) as compared with the 3 other quartiles. CONCLUSIONS: Our
findings show a statistically significant effect of donor-to-recipient weight
ratios on bilateral lung transplantation survival. A higher donor-to-recipient
weight ratio was associated with improved survival after bilateral lung
transplantation and likely reflects a mismatch between a relatively overweight
donor vs recipient. In contrast, a lower donor-to-recipient ratio was associated
with increased mortality after bilateral lung transplantation.
PMID- 24935325
TI - Modified busulfan and cyclophosphamide conditioning regimen for allogeneic
hematopoietic stem cell transplantation in the treatment of patients with
hematologic malignancies.
AB - OBJECTIVE: We aim to evaluate the clinical efficacy of a modified busulfan and
cyclophosphamide (BU/CY) conditioning regimen for allogeneic hematopoietic stem
cell transplantation (allo-HSCT) in the treatment of hematologic malignancies.
METHODS: A total of 45 patients with hematologic malignancies were treated using
stem cell transplantation between March 2007 and June 2012. All the patients
received a modified BU/CY conditioning regimen before transplantation. The
outcomes of the patients were followed up including mortality, survival, relapse,
and complications. RESULTS: The median of follow-up duration was 527 days. All
the patients who received modified BU/CY conditioning regimen achieved
hematopoietic recovery successfully. Among the patients, 24 were survived without
complications, 5 had relapsed hematologic malignancies, and 16 died. The median
time to leucocyte engraftment was 14 days and to platelet engraftment was 12
days. Acute graft-versus-host disease (aGVHD; grades I-IV) occurred in 15
patients (30%). The cumulative incidence of grades I aGVHD was 22.2% (10
patients), grades II was 6.7% (3 patients), and grades III-IV was 4.4% (2
patients). Among 40 appreciable patients, 8 (20%) developed chronic GVHD. The
incidence rate of hemorrhagic cystitis and veno-occlusive disease were 15.5% and
2.2%, respectively. CONCLUSIONS: The modified BU/CY conditioning regimen for allo
HSCT is effective and safe for the treatment of hematologic malignancies.
PMID- 24935324
TI - The correlation between platelet activation and liver injury by conditioning and
bone marrow transplantation.
AB - Hepatic veno-occlusive disease (HVOD) is a common severe complication after
transplantation which is closely related to liver sinusoidal endothelial cell
(LSEC) injury. Although platelet activation might play a key role in the progress
of HVOD, the relationship between the P-selectin and HVOD is still unclear. In
this study, the P-selectin, liver functions, and observed damage of LSEC after
transplantation were detected. The P-selectin, alanine aminotransferase (ALT) and
bilirubin were first detected in the patients. The ALT of patients reached the
first peak of 144 +/- 45.04 U/L, on day 7 after busulfan + cyclophosphamide
(BU/CY) pretreatment, and reached the second peak of 220.5 +/- 40.58 U/L on day 7
after transplantation. Similarly, the concentration of bilirubin increased BU/CY
pretreatment and reached peak on day 15 after transplantation at 38.8 +/- 5.99
MUmol/L. However, the level of P-selectin was significantly higher than normal
levels for a long time and peaked on day 3 after BU/CY pretreatment and on day 12
after transplantation (P < .05). Then the P-selectin, liver function, liver
index, hepatocytes, and LSEC were observed using a transplantation model of
C57BL/6 (H-2(b)) donor to BALB/c (H-2(d)) recipient. The P-selectin, liver index,
and liver function of total body irradiation (TBI) mice and bone marrow
transplantation (BMT) mice were significantly increased, but the increase of TBI
mice were more significant. On day 5, the ratio of TBI mice peripheral blood
platelets which expressed P-selectin was higher and reached an earlier peak at
15.6 +/- 2.63%, whereas that of BMT mice was 6.59 +/- 1.17%, and peaked on day 15
at 8.36 +/- 1.55% (P < .05). Moreover, the ALT and bilirubin levels of TBI mice
were higher and reached earlier peaks on day 5 at 58.65 +/- 1.43 U/L and 27.14 +/
1.32 MUmol/L, respectively, whereas those of BMT mice peaked on day 5 at 50.22
+/- 2.02 U/L and day 30 at 39.57 +/- 1.55 MUmol/L, respectively (P < .05). The
LSEC damage and hepatocyte edema in BMT mice were most serious at day 15, and at
day 30 injuries did not allow recovery. The liver score of TBI mice peaked on day
5, whereas that of BMT mice peaked on day 15. Moreover, the degree of damage and
platelet activation positively correlated. This study implied that P-selectin
could be used as a predictor of HVOD.
PMID- 24935326
TI - Intestinal ischemia after allogeneic stem cell transplantation: a report of four
cases.
AB - Gastrointestinal ischemia after allogeneic bone marrow transplantation is a rare
complication not well-described in the literature. Herein we retrospectively
review charts of four patients who developed intestinal ischemia after allogeneic
bone marrow transplantation at our institution. The patients were found to be
predominately younger males who presented with nonspecific abdominal pain. Graft
versus-host disease was a common finding among all patients. Laboratory values
suggestive of microangiopathy were present in two patients. Obesity and
hypertriglyceridemia were cardiovascular risk factors found in these patients.
The development of thrombotic microangiopathy and cardiovascular risk factors
after allogeneic bone marrow transplantation may predispose patients to
gastrointestinal ischemia and may portend a poor prognosis.
PMID- 24935327
TI - Haplotype analysis on chromosome 6p of tumor necrosis factor alpha, vascular
endothelial growth factor A, and interleukin-17F alleles associated with corneal
transplant rejection.
AB - OBJECTIVE: The aim of this work was to investigate single-nucleotide
polymorphisms (SNPs) in multiple genes on chromosome 6p in corneal transplant
recipients known to be at increased risk of failure through immunologic rejection
(ie, "high-risk" corneal transplants). Tumor necrosis factor alpha (TNF-alpha) is
a key immunoregulatory cytokine in the ocular environment, interacting with a
variety of factors in a synergistic way and playing a crucial role in many stages
of the inflammatory response. Vascular endothelial growth factor (VEGF) is one of
the most important angiogenic factors, supporting both hemangiogenesis and
lymphangiogenesis, both key in transplant tolerance and rejection. Interleukin-17
(IL-17) is a multifunctional cytokine produced by T-helper 17 cells, exerting
specific effector functions during an immune response. Association of SNPs in all
3 genes with corneal transplant outcome was therefore investigated. METHODS:
Three hundred five corneal transplant recipients were followed for 3 years, and
episodes of allograft rejection were recorded. With the use of patient DNA, 6
SNPs of 3 different genes on chromosome 6p were investigated. The TNF-alpha
promoter SNP -308 G/A (rs1800629) was analyzed with the use of induced
heteroduplex generation; 2 VEGF-A functional variants were analyzed, -2578
(rs699947) C/A and -1154 (rs1570360) G/A, with the use of Taqman genotyping
assays; and 3 nonsynonymous IL-17F SNPs in exon 3 (negative strand), (rs2397084)
A/G, (rs11465553) G/A, and (rs763780) A/G, were investigated with the use of
direct sequencing. Haplotypes were inferred with the use of PHASE using positive
strand alleles, and exact measures of association were determined with the use of
Mid-P exact chi-square. RESULTS: Six common haplotypes were inferred, with the
haplotype TNF-alpha (rs1800629), VEGF-A (rs699947), (rs1570360), IL-17F
(rs763780), (rs11465553), and (rs2397084) ACGTCT having a significant association
with corneal transplant rejection (odds ratio, 1.78; 95% confidence interval,
1.01-3.11; P = .04). CONCLUSIONS: The results suggest that patients carrying a
combination of SNPs for TNF-alpha, VEGF-A, and IL-17F of ACGTCT haplotype may
have an increased risk of corneal allograft rejection compared with patients
carrying other haplotypes.
PMID- 24935328
TI - Functional tumor necrosis factor alpha polymorphisms and haplotype analysis in
high-risk corneal transplantation.
AB - BACKGROUND: Tumor necrosis factor alpha (TNF-alpha) plays a critical role in
diverse cellular processes including ocular immune tolerance, inflammation, and
allograft rejection. The ubiquitous transcription factor nuclear factor kappa B
(NF-kappaB) regulates expression of numerous genes. Induction of the TNF-alpha
pathway is involved in the inflammatory response and loss of transplant
tolerance. OBJECTIVES: We investigated functional single nucleotide polymorphisms
(SNPs) in the promoter region of TNF-alpha and an insertion/deletion (indel)
polymorphism of NF-kappaB1 in corneal transplant recipients considered to be at
increased risk of immunological rejection (ie, high-risk corneal
transplantations) and looked for any associations with corneal transplantation
outcome. PATIENTS AND METHODS: Three hundred eighty-four full thickness corneal
transplant recipients were followed for 3 years and episodes of reversible and
irreversible allograft rejection were recorded. Using DNA obtained from these
patients, 5 SNPs located in the promoter region -1031 T/C rs1799964, -863 C/A
(rs1800630), -857 C/T (rs1799724), -308 G/A (rs1800629), and -238 G/A (rs361525),
and one SNP upstream from the transcription start site (+489) rs1800610 of TNF
alpha were analyzed using induced heteroduplex generation. A functional NF
kappaB1 indel (-94) was also investigated. Haplotypes were inferred by PHASE and
associations with rejection were determined by chi-square analysis. RESULTS: The
TNF-alpha haplotype TCTGGA was significantly associated with reduced risk of
corneal graft rejection (Pc < .005) and TCTAGA was associated with increased risk
of rejection (Pc < .005) in high-risk corneal transplants. There was no
association with the NF-kappaB1 indel (Pc > .05). CONCLUSION: According to
haplotype frequencies, our results suggest that the TCTGGA haplotype may confer
additional protection against risk of immunological rejection whereas TCTAGA may
increase risk of corneal allograft rejection in the high-risk setting. However,
both haplotypes were relatively rare and thus would not warrant genotyping for
individual patient selection for anti-TNF therapy.
PMID- 24935329
TI - Preconditioning donor livers with cromolyn or compound 48/80 prolongs recipient
survival in a rat orthotopic liver transplantation model.
AB - BACKGROUND: Acute rejection (AR) remains a challenge in organ transplantation.
Preconditioning donor organs can reduce AR and prolong survival. Whether
preconditioning with cromolyn (CRM), a mast cell (MC) stabilizer, or compound
48/80 (CMP 48/80), a MC degranulator, can alleviate AR and prolong survival has
not been studied. METHODS: We used the male-DA-to-female-Lewis-rat orthotopic
liver transplantation (OLT) model. Donors were preconditioned with CRM in a MC
stabilizing way (CRM group) or CMP 48/80 in a MC depleting way (CMP 48/80 group).
Rats preconditioned with phosphate-buffered saline were used as controls (PBS
group). After preconditioning, OLT surgeries were carried out. OLT male-Lewis-to
female-Lewis-rats were used as the syngeneic group (syngeneic group). RESULTS:
Rats in the PBS group developed AR rapidly and died at 7.40 +/- 1.14 days. Rats
in the CRM and CMP 48/80 groups had significantly slower rejections and died at
day 17.40 +/- 1.67 or 14.20 +/- 2.28, respectively (P < .05). Rats in the
syngeneic group survived more than 60 days. Rejection activity indexes (RAIs) and
liver functions were all alleviated through CRM or CMP 48/80 preconditioning.
Interferon-gamma messenger RNA (mRNA) expressions were reduced and interleukin-10
mRNA levels were higher in allografts in the CRM and CMP 48/80 groups, compared
with the PBS group. These were confirmed by testing serum interferon-gamma and
interlerkin-10. CONCLUSION: Preconditioning donor livers with CRM or CMP 48/80
can reduce AR and prolong survival of recipients after OLT.
PMID- 24935331
TI - Impact of ex vivo administration of mesenchymal stem cells on the function of
kidney grafts from cardiac death donors in rat.
AB - BACKGROUND: Mesenchymal stem cells (MSCs) have been applied to the treatment of
various diseases, and MSC administration in marginal donor grafts may help avoid
the ischemia-reperfusion injury associated with solid organ transplants. Given
the reports of side effects after intravenous MSC administration, local MSC
administration to the target organ might be a better approach. We administered
adipose tissue-derived MSCs (AT-MSCs) ex vivo to donor rat kidneys obtained after
cardiac death (CD). METHODS: Using male Lewis rats (8-10 weeks), and a marginal
transplant model of 1hr CD plus 1hr sub-normothermic ET-Kyoto solution
preservation were conducted. AT-MSCs obtained from double-reporter (luciferase
LacZ) transgenic Lewis rats were injected either systemically (1.0 * 10(6)
cells/0.5 mL) to bilaterally nephrectomized recipient rats that had received a
marginal kidney graft (n = 6), or locally via the renal artery (500 MUL ET-Kyoto
solution containing the same number of AT-MSCs) to marginal kidney grafts, which
were then preserved (1 hour; 22 degrees C) before being transplanted into
bilaterally nephrectomized recipient rats (n = 8). Serum was collected to assess
the therapeutic effects of AT-MSC administration, and the recipients of rats
surviving to Day 14 were separately evaluated histopathologically. Follow-up was
by in vivo imaging and histological LacZ staining, and tumor formation was
evaluated in MSC-injected rats at 3 months. RESULTS: Systemic injection of MSC
did not improve recipient survival. In vivo imaging showed MSCs trapped in the
lung that later became undetectable. Ex vivo injection of MSCs did show a benefit
without adverse effects. At Day 14 after RTx, 75% of the rats in the AT-MSC
injected group (MSC[+]) had survived, whereas 50% of the rats in the AT-MSC-non
injected group (MSC[-]) had died. Renal function in the MSC(+) group was improved
compared with that in the MSC(-) group at Day 4. LacZ staining revealed AT-MSCs
attached to the renal tubules at 24 hours after RTx that later became
undetectable. Histopathologic examination showed little difference in fibrosis
between the groups at Day 14. No teratomas or other abnormalities were seen at 3
months.
PMID- 24935330
TI - The protective effect of huperzine A against hepatic ischemia reperfusion injury
in mice.
AB - BACKGROUND: Nowadays, hepatic ischemia reperfusion (HI/R) injury is regarded as a
serious concern in clinical practices. Huperzine A (HupA) is an alkaloid isolated
from the Chinese folk medicine huperzia serrate, which has possessed diverse
pharmacological actions. METHODS: A mouse model of HI/R was caused by clamping
the hepatic artery, the hepatoportal vein, and the bile duct with a vascular
clamp for 30 minutes followed by reperfusion for 6 hours under anesthesia. The
sham group experienced the identical procedure without hepatic ischemia. The HupA
group received an injection into the tail vein 5 minutes prior to HI/R at the
doses of 167 and 500 MUg/kg. The vehicle group was injected with physiological
saline instead of HupA. The liver function was assessed by determinations of
alanine aminotransferase (ALT) and aspartate aminotransferase (AST) activities.
Tissue levels of superoxide dismutase (SOD), catalase (CAT), malondiadehyde
(MDA), and glutathione (GSH) were also measured spectrophotometrically. In
addition, the activities of hepatic inflammatory mediators such as nuclear factor
kappa B (NF-kappaB) p65, tumor necrosis factors-alpha (TNF-alpha, interleukin
1beta (IL-1beta) and IL-6 were also measured. Furthermore, the apoptotic damage
was evaluated by measuring caspase-3 activity in hepatic tissues. RESULTS:
Treatment with HupA in mice at the doses of 167 and 500 MUg/kg remarkably reduced
serum ALT and AST activities in HupA-treated ischemic mice. Furthermore, HupA
treatment could enhance the activities of hepatic tissue SOD, CAT, and GSH but
decrease MDA tissue content. The activities of inflammatory cytokines including
NF-kappaB p65, TNF-alpha, IL-1beta and IL-6 were all decreased in ischemic mice
treated with HupA. Colorimetric test results illustrated that a marked reduction
of caspase-3 activity was found in the HupA-treated group compared with the
vehicle group. CONCLUSION: Our present data suggest that HupA has a protective
role against HI/R injury of mice and antioxidative, anti-inflammatory, and
antiapoptotic actions are involved in its protection.
PMID- 24935332
TI - Relationship between inflammation and sex hormone profile in female patients
receiving different types of renal replacement therapy.
AB - BACKGROUND: Patients with end-stage renal disease (ESRD) experience female sexual
dysfunction (FSD). The purpose of this study was to compare FSD in different
types of renal replacement therapy and control patients. METHODS: The study was
consisted of 47 renal transplantation (RT), 46 hemodialysis (HD), and 28
continuous ambulatory peritoneal dialysis (CAPD) patients and 36 healthy control
subjects. All groups were evaluated with the following scales: Female Sexual
Function Index (FSFI) questionnaire, Short Form (SF)-36 questionnaires, and Beck
Depression Inventory (BDI). Demographic data, laboratory values, and hormone
levels were obtained. The patients with FSFI score <26.55 were accepted as
experiencing sexual dysfunction. RESULTS: Overall, total FSFI scores in RT, HD,
CAPD, and control were 22 (range, 2-35), 22.4 (4-34), 18.35 (2-34), and 29.6 (2
35), respectively. The mean total FSFI score was not different in patients
receiving different kinds of renal replacement therapy (P > .05) although they
were significantly worse then the control group (P < .001). On regression
analysis, age was significantly associated with FSD (beta = -0.14; P = .001). In
addition, the physiologic health domain of SF-36 was significantly better in
control groups (P < .001). The difference in terms of mean of BDI score did not
reach statistical significance among patient groups (P > .05). Female sexual
dysfunction score was negatively correlated with BDI (r = -0.371; P < .001) and
positively correlated with the mental-physical components score of SF-36 (r =
0.423 [P < .001] and r = 0.494 [P < .001], respectively) in all patients groups.
Regarding the hormones of the patients, there was a significant difference
between RT and the HD and CAPD groups in dihydroepiandrosterone sulfate (DHEAS; P
< .001), RT and HD in prolactin (P < .001), and RT and CAPD in free testesterone
(P < .001). CONCLUSIONS: Renal transplantation, hemodialysis, and peritoneal
dialysis patients were at more risk of developing sexual dysfunction and lower
quality of life scores than healthy subjects. Notably, the mode of renal
replacement therapy had no impact on female sexual function.
PMID- 24935333
TI - Hepatic stellate cells induce immunotolerance of islet allografts.
AB - Activated hepatic stellate cells (HSCs) possess strong immune inhibitory
activity. The present study highlighted the protective role of HSCs in islet
transplantation. Recipients were randomly divided into 4 groups: a diabetic
group, an HSC-alone group, an islet-alone transplant group, and a cotransplant
group. Graft survival was compared among the 4 groups. Serum transforming growth
factor beta (TGFbeta), tumor necrosis factor alpha, interleukin-1beta, and
interferon gamma expression levels were measured. The infiltration of lymphocytes
was observed via hematoxylin and eosin staining, and immunohistochemical
examinations were performed. Results showed that allogeneic HSCs protect islet
allografts better than syngeneic HSCs. There was significant prolonged graft
survival and a higher level of TGFbeta in the cotransplant group (P < .01). The
infiltration of lymphocytes in the cotransplant group was notably less than in
the islet-alone group (P < .01). The formation of desmin-positive HSC packages
was detected in the cotransplant group. In conclusion, allogeneic HSCs can better
prolong the survival of islet allografts by stimulating TGFbeta expression and
forming a biological capsule around the graft.
PMID- 24935334
TI - Effects of antegrade and retrograde machine perfusion preservation on cardiac
function after transplantation in canines.
AB - INTRODUCTION: Most studies investigating machine perfusion preservation for heart
transplantation perfuse through the aortic root (antegrade), but the coronary
sinus (retrograde) is a potential option. We hypothesized that retrograde machine
perfusion provides better functional protection than static storage, while
avoiding the potential irregular perfusion seen when aortic insufficiency occurs
with antegrade perfusion. MATERIALS AND METHODS: Eighteen canine donor hearts
were arrested, procured, and stored in modified Celsior solution for 4 hours by
using either static storage at 0 degrees C to 4 degrees C (n = 6) or machine
perfusion preservation at 5 degrees C via the aortic root (antegrade, n = 6) or
coronary sinus (retrograde, n = 6). Lactate and myocardial oxygen consumption
were measured in perfused hearts. Hearts were reimplanted and reperfused for 6
hours with hourly function calculated by using the preload recruitable stroke
work (PRSW) relation. Myocardial water content was determined at the end of the
experiment. RESULTS: Storage lactate levels and myocardial oxygen consumption
were comparable in both perfused groups. The PRSW was increased immediately after
bypass in the antegrade group (120.6 +/- 19.1 mm Hg) compared with the retrograde
(75.0 +/- 11.3 mm Hg) and static (78.1 +/- 10.5 mm Hg) storage groups (P < .05).
At the end of reperfusion, PRSW was higher in the retrograde group (69.8 +/- 7.4
mm Hg) compared with the antegrade (40.1 +/- 6.8 mm Hg) and static (39.9 +/- 10.9
mm Hg) storage groups (P < .05). Myocardial water content was similar among
groups. CONCLUSIONS: Both antegrade and retrograde perfusion demonstrated
excellent functional preservation, at least equivalent to static storage. Initial
function was superior in the antegrade group, but the retrograde hearts displayed
better function late after reperfusion. Neither perfused group developed
significant edema. Machine perfusion preservation is a promising technique for
improving results of cardiac transplantation.
PMID- 24935335
TI - Immunosuppressive activity of adipose tissue-derived mesenchymal stem cells in a
rat model of hind limb allotransplantation.
AB - Many reports have shown that bone marrow-derived mesenchymal stem cells exhibit
immunosuppressive effects in allogeneic transplantation. However, few reports
have evaluated the immunosuppressive properties of adipose tissue-derived
mesenchymal stem cells (ASCs) in vitro and in vivo. In this study, we
investigated the immunosuppressive characteristics of ASCs, and investigated
whether ASCs originating from donor rats prolong allotransplant survival in a rat
hind limb allotransplantation model. T-cell proliferation stimulated by
allogeneic stimuli or mitogen with or without ASCs originating from the donor was
assessed in vitro. The effects of cellular contact or soluble factors on the
inhibition of T-cell proliferation were also evaluated. In the in vivo study,
cultured ASCs (1 * 10(5)) that originated from the donor were injected into
recipient animals intravenously immediately after operation, followed by 1 dose
per day for 3 consecutive days post-transplantation. When immune rejection
occurred, the survival time of allotransplants was determined and rejected tissue
was histologically and immunochemically assessed for determining regulatory T
cell infiltration. ASCs inhibited the T-cell proliferation stimulated by
alloantigen or mitogen in a dose-dependent manner, and recipient T cells
proliferated less in animals treated with ASCs than in controls. Although ASCs
were separated from T cells, ASCs persisted to elicit a suppressive effect. ASC
culture supernatants did not inhibit T-cell proliferation; however, supernatants
obtained from the mixed lymphocyte reaction in the presence of ASCs suppressed T
cell proliferation. ASCs prolonged allotransplant survival time, reduced
inflammatory cell infiltration, and induced regulatory T cells. In conclusion,
ASCs can exhibit in vitro immunosuppressive properties and prolong allotransplant
survival time in a rat hind limb composite tissue allotransplantation model,
possibly through the induction of regulatory T cells.
PMID- 24935336
TI - 1,25(OH)2D3 prolongs islet graft survival by inflammatory inhibition.
AB - OBJECTIVE: This study aimed to determine the protective effect of 1,25
dihydroxyvitamin D3 (1,25(OH)2D3) against islet graft loss. METHODS:
Proliferation of tumor necrosis factor (TNF)-alpha-induced macrophages was
determined in vitro after treatment with different concentrations of 1,25
(OH)2D3. Intraportal islet transplantation (IPIT) was performed with islets
harvested from the Sprague-Dawley rats and transplanted to the diabetic rats. The
transplanted rats were assigned to receive 1,25-(OH)2D3 or propylene glycol
(control). Islet graft survival; inflammatory cytokine (TNF-alpha and interleukin
[IL]-1); numbers and percentages of macrophages, CD4(+), and CD8(+) T cells in
bloods; and expression of nuclear factor (NF)-kappaB and TNF-alpha were analyzed.
Hematoxylin and eosin staining was performed. RESULTS: We found 100 mg/mL 1,25
(OH)2D3 per day to have the strongest inhibitory effect on macrophages. Survival
time of islet grafts significantly increased in the rats receiving 1,25-(OH)2D3.
There were fewer infiltrated inflammatory cells in both islet graft and adjacent
tissue in the drug-treated rats with lower serum IL-1 and TNF-alpha. Furthermore,
percentage of macrophages and expression of p-NF-kappaB p65 and TNF-alpha in
graft sites were significantly lower in the treated rats. CONCLUSION: Our results
demonstrated that 1,25(OH)2D3 prolongs islet graft survival by decreasing
nonspecific inflammation in syngeneic IPIT through inhibiting TNF-alpha/NF-kappaB
pathway and macrophage infiltration.
PMID- 24935337
TI - Supplementing mesenchymal stem cells improves the therapeutic effect of
hematopoietic stem cell transplantation in the treatment of murine systemic lupus
erythematosus.
AB - Transplantation of hematopoietic stem cells (HSCs) has been demonstrated to be a
promising strategy in the treatment of systemic lupus erythematosus (SLE).
Mesenchymal stem cells (MSCs) support hematopoiesis of HSCs and suppress immune
response in a dose-dependent manner. Previous study showed that MSCs could
alleviate the pathologic conditions of MRL/lpr mice (SLE animal model) when
cotransplanted with bone marrow cells. Here, we investigated whether MSCs could
improve the therapeutic effect of HSC transplantation in treating MRL/lpr mice in
a dose-dependent manner. We found that lethally irradiated MRL/lpr mice were
successfully reconstituted with HSCs alone or with various amounts of MSCs. Mice
transplanted with HSCs and MSCs in the ratios of 5:1 (HSCs:MSCs) showed less
transfusion-associated graft-versus-host reaction, steady body weight, and
improved renal functions when compared with mice transplanted with HSCs only and
those cotransplanted with MSCs in lower ratios. These results suggest that
supplementing MSCs can improve the therapeutic effect of HSC transplantation in
treatment of MRL/lpr mice in a dose-dependent manner.
PMID- 24935338
TI - Adult porcine islet isolation using a ductal preservation method and purification
with a density gradient composed of histidine-tryptophan-ketoglutarate solution
and iodixanol.
AB - BACKGROUND: Given the fragility of adult porcine islets, reduction of shearing
stress in islet purification using histidine-tryptophan-ketoglutarate (HTK)
solution and iodixanol could be an effective strategy. We examined the effect of
ductal preservation with HTK solution and an islet purification protocol that
utilizes HTK solution and iodixanol in adult porcine islet isolation. METHODS:
Islets were isolated with a modified Ricordi method using adult Prestige World
Genetics (PWG) and Yucatan pigs. The discontinuous density gradient was composed
of either HTK solution/iodixanol (n = 23, iodixanol group) or Hank's balanced
salt solution (HBSS)/Ficoll (n = 17, Ficoll group). In the iodixanol group,
ductal injection of HTK solution was performed before purification. RESULTS: In
PWG pigs, significantly higher islet yield after purification (3480 +/- 214.2
islet equivalent [IEQ]/g, P = .003) and higher recovery rate (85.45% +/- 3.49%, P
= .0043) were obtained from the HTK/iodixanol group as compared to the
HBSS/Ficoll group (1905 +/- 323.2 IEQ/g, and 67.22% +/- 4.77%, respectively).
Similar results were obtained in Yucatan pigs with greater body weight.
CONCLUSION: Ductal preservation and iodixanol-based islet purification using HTK
solution improved the yield of adult porcine islet isolation compared to the
conventional method using HBSS and Ficoll. The results of this study support the
feasibility of an adult porcine islet isolation protocol using HTK solution and
iodixanol, which have the favorable physical properties.
PMID- 24935339
TI - In vitro differentiation of human liver-derived stem cells with mesenchymal
characteristics into immature hepatocyte-like cells.
AB - Liver transplantation is severely limited by donor shortage although it is the
only effective treatment for end-stage liver disease. So the best alternative is
hepatocyte transplantation. For obtaining human hepatocytes, some stem cells
originating from extrahepatic or intraheptic tissues have been isolated and
characterized. Previously we have reported that human liver-derived stem cells
(HLSCs) could be isolated and expanded from donated livers unsuitable for
transplantation; they expressed some markers of mesenchymal stem cells but
neither hematopoietic nor oval cells. In this study, we isolated and expanded
HLSCs with mesenchymal characteristics from another adult human liver. They
showed mesenchymal morphology and grew well under serum condition similar to our
previous reports. Also, they expressed some markers of mesenchymal stem cells,
such as CD44, CD73, CD90, and CD105, through fluorescence-activated cell sorting
analysis. When HLSCs were sequentially exposed to fibroblast growth factor-1 (FGF
1), FGF-4, and hepatocyte growth factor (HGF) followed by FGF-4, HGF, oncostatin
M, and dexamethasone, they became round or polygonal, and expressed some hepatic
markers such as albumin and alpha1-antitrypsin in the gene or protein level.
Also, they showed urea synthesis activity 7 days after treatment of FGF-4, HGF,
oncostatin M, and dexamethasone. These results provided that HLSCs would be a
useful cell source in the field of regenerative medicine as well as liver cell
biology.
PMID- 24935340
TI - B7-H1 inhibits T cell proliferation through MHC class II in human mesenchymal
stem cells.
AB - B7-H1 on mesenchymal stem cells (MSCs) is known to modulate immune response.
However, its expression pattern and exact immunomodulatory mechanism are unclear.
In this study, we examined the immunomodulatory mechanism through the expression
pattern of B7-H1 and major histocompatibility complex class II in various MSCs.
Human bone marrow, adipose tissue, and cord blood MSCs were isolated and
cultured. B7-H1, HLA-ABC, and HLA-DR expression on MSCs by interferon-gamma (IFN
gamma) was detected time-dependently by flow cytometry. The inhibitory effect of
MSCs on T lymphocytes was observed in phytohemagglutinin antigen-induced T cell
proliferation assay. The expression of B7-H1 was rapidly induced, but the
expression of HLA-DR was induced at 48 hours after IFN-gamma treatment. The
inhibitory effect of MSCs on T cell proliferation could be restored when the anti
B7-H1 monoclonal antibody was used to block the B7-H1, or when the HLA-DRalpha
small interfering RNA was used to interfere with its expression. These results
show that MSCs could inhibit the T cell proliferation and activation by B7-H1
depending on the presence of HLA-DR. Therefore, MSCs would have a strong effect
on immune diseases such as graft-versus-host disease and autoimmune diseases when
MSCs are primed with IFN-gamma 48 hours before transplantation.
PMID- 24935341
TI - Effect of inhibiting the signal of mammalian target of rapamycin on memory T
cells.
AB - BACKGROUND: Mammalian target of rapamycin (mTOR) signaling is crucial to the
activation and proliferation of T cells. Memory T cells can significantly hinder
the induction of transplant tolerance. Resent research demonstrates that mTOR
signaling regulates the survival and function of memory T cells. MATERIALS AND
METHODS: Naive T cells were adoptively transferred to Rag(-/-) mice to generate
similar memory T cells that undergo homeostatic proliferation. These memory T
cells were then used to examine the effect of mTOR inhibition on the function of
memory T cells. The effect of inhibiting mTOR signaling on the apoptosis of
memory T cells was also examined. RESULTS: Quantitative reverse-transcription
polymerase chain reaction analysis showed that the expression of mTOR signaling
was substantially lower in memory T cells. The levels of interferon-gamma,
interleukin (IL)-2, IL-4, and IL-10 decreased after mTOR inhibition; the
expression of Bcl-2 increased in memory CD8(+) T cells and decreased in memory
CD4(+) T cells; and Bax increased in memory CD4(+) T cells and decreased in
memory CD8(+) T cells. Memory CD4(+) T cells were more sensitive to apoptotic
cell death in this model after mTOR inhibition. Memory CD8(+) T cells were not
affected by mTOR inhibition. CONCLUSIONS: mTOR was crucial to homeostatic
proliferation-induced memory T cells. The critical mechanisms of mTOR signaling
inhibition are suppressed the functions of memory T cells and promoted the
apoptosis of memory CD4(+) T cells.
PMID- 24935342
TI - Rapid large-scale culturing of microencapsulated hepatocytes: a promising
approach for cell-based hepatic support.
AB - INTRODUCTION: The efficacy of any bioartificial liver device requires both rapid
production and proper bioactivity of the cells for the bioreactor. The goal of
this study was to observe the effect of spinner speed and cell density on the
proliferation of microencapsulated immortalized human hepatocytes (HepLL) and
human hepatoma (HepG2) cells. MATERIALS AND METHODS: Alginate-chitosan
microcapsulated HepG2 and HepLL cells were randomly divided into 2 groups, and
each group was further divided into 8 subgroups according to embedded cell
density and spinner speed. The growth, metabolism, and functions of the
encapsulated cells in each group were evaluated. RESULTS: In each group, the cell
number, ammonium removal, albumin synthesis, and diazepam clearance increased
significantly with the spinner speed, whereas embedded cell density had no
impact. Albumin synthesis, removal of ammonium, and diazepam clearance were
significantly higher in the microencapsulated HepLL groups than in HepG2 cells at
any time point, without any significant difference in cell numbers. CONCLUSIONS:
Spinner culture significantly promoted microencapsulated HepLL and HepG2 cell
bioactivity. Wrapped cells had optimal function on day 10 in rolling culture
groups. These data show that HepLL cells would be a promising candidate for cell
based liver support therapy.
PMID- 24935343
TI - Patterns of nucleotide diversity and phenotypes of two domestication related
genes (OsC1 and Wx) in indigenous rice varieties in Northeast India.
AB - BACKGROUND: During the domestication of crops, individual plants with traits
desirable for human needs have been selected from their wild progenitors.
Consequently, genetic and nucleotide diversity of genes associated with these
selected traits in crop plants are expected to be lower than their wild
progenitors. In the present study, we surveyed the pattern of nucleotide
diversity of two selected trait specific genes, Wx and OsC1, which regulate
amylose content and apiculus coloration respectively in cultivated rice
varieties. The analyzed samples were collected from a wide geographic area in
Northeast (NE) India, and included contrasting phenotypes considered to be
associated with selected genes, namely glutinous and nonglutinous grains and
colored and colorless apiculus. RESULTS: No statistically significant selection
signatures were detected in both Wx and OsC1gene sequences. However, low level of
selection that varied across the length of each gene was evident. The glutinous
type varieties showed higher levels of nucleotide diversity at the Wx locus
(pitot = 0.0053) than nonglutinous type varieties (pitot = 0.0043). The OsC1 gene
revealed low levels of selection among the colorless apiculus varieties with
lower nucleotide diversity (pitot = 0.0010) than in the colored apiculus
varieties (pitot = 0.0023). CONCLUSIONS: The results revealed that functional
mutations at Wx and OsC1genes considered to be associated with specific
phenotypes do not necessarily correspond to the phenotypes in indigenous rice
varieties in NE India. This suggests that other than previously reported genomic
regions may also be involved in determination of these phenotypes.
PMID- 24935344
TI - Advancing the application of systems thinking in health: why cure crowds out
prevention.
AB - INTRODUCTION: This paper presents a system dynamics computer simulation model to
illustrate unintended consequences of apparently rational allocations to curative
and preventive services. METHODS: A modeled population is subject to only two
diseases. Disease A is a curable disease that can be shortened by curative care.
Disease B is an instantly fatal but preventable disease. Curative care workers
are financed by public spending and private fees to cure disease A. Non-personal,
preventive services are delivered by public health workers supported solely by
public spending to prevent disease B. Each type of worker tries to tilt the
balance of government spending towards their interests. Their influence on the
government is proportional to their accumulated revenue. RESULTS: The model
demonstrates effects on lost disability-adjusted life years and costs over the
course of several epidemics of each disease. Policy interventions are tested
including: i) an outside donor rationally donates extra money to each type of
disease precisely in proportion to the size of epidemics of each disease; ii)
lobbying is eliminated; iii) fees for personal health services are eliminated;
iv) the government continually rebalances the funding for prevention by ring
fencing it to protect it from lobbying.The model exhibits a "spend more get less"
equilibrium in which higher revenue by the curative sector is used to influence
government allocations away from prevention towards cure. Spending more on curing
disease A leads paradoxically to a higher overall disease burden of unprevented
cases of disease B. This paradoxical behavior of the model can be stopped by
eliminating lobbying, eliminating fees for curative services, and ring-fencing
public health funding. CONCLUSIONS: We have created an artificial system as a
laboratory to gain insights about the trade-offs between curative and preventive
health allocations, and the effect of indicative policy interventions. The
underlying dynamics of this artificial system resemble features of modern health
systems where a self-perpetuating industry has grown up around disease-specific
curative programs like HIV/AIDS or malaria. The model shows how the growth of
curative care services can crowd both fiscal and policy space for the practice of
population level prevention work, requiring dramatic interventions to overcome
these trends.
PMID- 24935346
TI - The impact of smoking on HPV infection and the development of anogenital warts.
AB - PURPOSE: The worldwide prevalence of human papillomavirus (HPV) infection is
estimated at 9-13 %. Persistent infection can lead to the development of
malignant and nonmalignant diseases. Low-risk HPV types are mostly associated
with benign lesions such as anogenital warts. In the present systematic review,
we examined the impact of smoking on HPV infection and the development of
anogenital warts, respectively. METHODS: A systematic literature search was
performed using MEDLINE database for peer-reviewed articles published from
January 01, 1985 to November 30, 2013. Pooled rates of HPV prevalence were
compared using the chi (2) test. RESULTS: In both genders, smoking is associated
with higher incidence and prevalence rates for HPV infection, whereas the latter
responds to a dose-effect relationship. The overall HPV prevalence for smoking
patients was 48.2 versus 37. 5 % for nonsmoking patients (p < 0.001) (odds ratio
(OR) = 1.5, 95 % confidence interval (CI) 1.4-1.7). Smoking does also increase
persistence rates for high-risk HPV infection, while this correlation is
debatable for low-risk HPV. The incidence and recurrence rates of anogenital
warts are significantly increased in smokers. CONCLUSIONS: Most current data
demonstrate an association between smoking, increased anogenital HPV infection,
and development of anogenital warts. These data add to the long list of reasons
for making smoking cessation a keystone of patient health.
PMID- 24935347
TI - Target volume geometric change and/or deviation from the cranium during
fractionated stereotactic radiotherapy for brain metastases: potential pitfalls
in image guidance based on bony anatomy alignment.
AB - INTRODUCTION: This study sought to evaluate the potential geometrical change
and/or displacement of the target relative to the cranium during fractionated
stereotactic radiotherapy (FSRT) for treating newly developed brain metastases.
METHODS: For 16 patients with 21 lesions treated with image-guided frameless FSRT
in 5 or 10 fractions using a 6-degree-of-freedom image guidance system-integrated
platform, the unenhanced computed tomography or T2-weighted magnetic resonance
images acquired until the completion of FSRT were fused to the planning image
datasets for comparison. Significant change was defined as >=3-mm change in the
tumour diameter or displacement of the tumour centroid. RESULTS: FSRT was started
1 day after planning image acquisition. Tumour shrinkage, deviation and both were
observed in 2, 1 and 1 of the 21 lesions, respectively, over a period of 7-13
days. Tumour shrinkage or deviation resulted in an increase or decrease in the
marginal dose to the tumour, respectively, and a substantial increase in the
irradiated volume for the surrounding tissue irrespective of the pattern of
alteration. No obvious differences in the clinical and treatment characteristics
were noted among the populations with or without significant changes in tumour
volume or position. CONCLUSION: Target deformity and/or deviation can
unexpectedly occur even during relatively short-course FSRT, inevitably leading
to a gradual discrepancy between the planned and actually delivered doses to the
tumour and surrounding tissue. To appropriately weigh the treatment outcome
against the planned dose distribution, target deformity and/or deviation should
also be considered in addition to the immobilisation accuracy, as image guidance
with bony anatomy alignment does not necessarily guarantee accurate target
localisation until completion of FSRT.
PMID- 24935349
TI - Re: Review article: elevated troponin: diagnostic gold or fool's gold?
PMID- 24935348
TI - Comparison of clinical and biochemical markers of dehydration with the clinical
dehydration scale in children: a case comparison trial.
AB - BACKGROUND: The clinical dehydration scale (CDS) is a quick, easy-to-use tool
with 4 clinical items and a score of 1-8 that serves to classify dehydration in
children with gastroenteritis as no, some or moderate/severe dehydration. Studies
validating the CDS (Friedman JN) with a comparison group remain elusive. We
hypothesized that the CDS correlates with a wide spectrum of established markers
of dehydration, making it an appropriate and easy-to-use clinical tool. METHODS:
This study was designed as a prospective double-cohort trial in a single tertiary
care center. Children with diarrhea and vomiting, who clinically required
intravenous fluids for rehydration, were compared with minor trauma patients who
required intravenous needling for conscious sedation. We compared the CDS with
clinical and urinary markers (urinary electrolytes, proteins, ratios and
fractional excretions) for dehydration in both groups using receiver operating
characteristic (ROC) curves to determine the area under the curve (AUC). RESULTS:
We enrolled 73 children (male = 36) in the dehydration group and 143 (male = 105)
in the comparison group. Median age was 32 months (range 3-214) in the
dehydration and 96 months (range 2.6-214 months, p < 0.0001) in the trauma group.
Median CDS was 3 (range 0-8) within the dehydration group and 0 in the comparison
group (p < 0.0001). The following parameters were statistically significant (p <
0.05) between the comparison group and the dehydrated group: difference in heart
rate, diastolic blood pressure, urine sodium/potassium ratio, urine sodium,
fractional sodium excretion, serum bicarbonate, and creatinine measurements. The
best markers for dehydration were urine Na and serum bicarbonate (ROC AUC = 0.798
and 0.821, respectively). CDS was most closely correlated with serum bicarbonate
(Pearson r = -0.3696, p = 0.002). CONCLUSION: Although serum bicarbonate is not
the gold standard for dehydration, this study provides further evidence for the
usefulness of the CDS as a dehydration marker in children. TRIAL REGISTRATION:
Registered at ClinicalTrials.gov (NCT00462527) on April 18, 2007.
PMID- 24935350
TI - Somatostatin therapy protects porcine livers in small-for-size liver
transplantation.
AB - Small-for-size (SFS) injury occurs in partial liver transplantation due to
several factors, including excessive portal inflow and insufficient intragraft
responses. We aim to determine the role somatostatin plays in reducing portal
hyperperfusion and preventing the cascade of deleterious events produced in small
grafts. A porcine model of 20% liver transplantation is performed.
Perioperatively treated recipients receive somatostatin and untreated controls
standard intravenous fluids. Recipients are followed for up to 5 days. In vitro
studies are also performed to determine direct protective effects of somatostatin
on hepatic stellate cells (HSC) and sinusoidal endothelial cells (SEC). At
reperfusion, portal vein flow (PVF) per gram of tissue increased fourfold in
untreated animals versus approximately threefold among treated recipients (p =
0.033). Postoperatively, markers of hepatocellular, SEC and HSC injury were
improved among treated animals. Hepatic regeneration occurred in a slower but
more orderly fashion among treated grafts; functional recovery was also
significantly better. In vitro studies revealed that somatostatin directly
reduces HSC activation, though no direct effect on SEC was found. In SFS
transplantation, somatostatin reduces PVF and protects SEC in the critical
postreperfusion period. Somatostatin also exerts a direct cytoprotective effect
on HSC, independent of changes in PVF.
PMID- 24935351
TI - Using real-time impedance-based assays to monitor the effects of fibroblast
derived media on the adhesion, proliferation, migration and invasion of colon
cancer cells.
AB - Increasing our knowledge of the mechanisms regulating cell proliferation,
migration and invasion are central to understanding tumour progression and
metastasis. The local tumour microenvironment contributes to the transformed
phenotype in cancer by providing specific environmental cues that alter the cells
behaviour and promotes metastasis. Fibroblasts have a strong association with
cancer and in recent times there has been some emphasis in designing novel
therapeutic strategies that alter fibroblast behaviour in the tumour
microenvironment. Fibroblasts produce growth factors, chemokines and many of the
proteins laid down in the ECM (extracellular matrix) that promote angiogenesis,
inflammation and tumour progression. In this study, we use a label-free RTCA
(real-time cell analysis) platform (xCELLigence) to investigate how media derived
from human fibroblasts alters cancer cell behaviour. We used a series of
complimentary and novel experimental approaches to show HCT116 cells adhere,
proliferate and migrate significantly faster in the presence of media from human
fibroblasts. As well as this, we used the xCELLigence CIM-plates system to show
that HCT116 cells invade matrigel layers aggressively when migrating towards
media derived from human fibroblasts. These data strongly suggest that
fibroblasts have the ability to increase the migratory and invasive properties of
HCT116 cells. This is the first study that provides real-time data on fibroblast
mediated migration and invasion kinetics of colon cancer cells.
PMID- 24935352
TI - Telaprevir raises the plasma/whole blood ribavirin ratio: trying to come full
circle on a dangerous relationship.
PMID- 24935354
TI - Should we target patients with autoimmune diseases for human papillomavirus
vaccine uptake?
AB - Human papillomavirus (HPV) is the cause of most cases of cervical cancer
worldwide. Studies suggest that patients with autoimmune diseases (AD) may be at
increased risk for persistent HPV infection, cervical dysplasia, and possibly,
cervical cancer. Despite this heightened risk, and studies demonstrating the
safety and efficacy of the HPV vaccine in this population, uptake among patients
with AD, and in the overall population, remains low. A number of studies suggest
that this may be attributed to lack of patient and provider awareness, no school
based requirement for vaccination, and a hesitancy to discuss sexually
transmitted diseases with adolescents. Among patients with AD, access to
preventive care in general may be reduced. Overall, heightened public health
efforts are needed to improve HPV vaccination uptake in the entire population and
among patients with AD who may be at increased risk for persistent infection and
for cervical dysplasia.
PMID- 24935355
TI - Serum microRNA-210 as a predictive biomarker for treatment response and prognosis
in patients with hepatocellular carcinoma undergoing transarterial
chemoembolization.
AB - PURPOSE: To investigate whether serum microRNA-210 (miR-210) level can serve as
an indicator of prognosis and a predictor of efficacy of transarterial
chemoembolization in patients with hepatocellular carcinoma (HCC). MATERIALS AND
METHODS: Serum miR-210 level was measured in 113 patients with HCC before
transarterial chemoembolization (t1), 3 days after transarterial
chemoembolization (t2), and 4 weeks after transarterial chemoembolization (t3)
and compared with 39 healthy control subjects. The correlations between miR-210
levels and clinicopathologic factors, tumor responsiveness, and prognosis were
analyzed. The modified Response Evaluation Criteria in Solid Tumors assessment
was conducted at t3. RESULTS: A higher mean baseline miR-210 level was observed
in patients with HCC compared with control subjects (3.69 +/- 2.04 vs 1.08 +/-
0.45, P < .001). A positive correlation between baseline miR-210 level and tumor
size (P < .001), vascular invasion (P = .005), tumor differentiation (P = .037),
and Barcelona Clinic Liver Cancer stage (P < .001) was observed. Elevated
baseline miR-210 level also served as an independent prognostic factor predicting
poor overall survival (risk ratio, 2.082; P = .003). Patients who did not respond
to transarterial chemoembolization had higher baseline miR-210 levels than
patients who did respond to treatment (4.34 +/- 1.67 vs 3.28 +/- 2.15, P < .001).
In addition, miR-210 levels increased significantly 4 weeks after transarterial
chemoembolization in nonresponders (5.79 +/- 2.06 at t3 vs 4.34 +/- 1.67 at t1, P
< .001), whereas no significant change was observed in responders (3.53 +/- 2.20
at t3 vs 3.28 +/- 2.15 at t1, P = .116). Lastly, an inverse correlation was
identified between miR-210 change t1-t3 with the time to radiologic progression
(P < .001). CONCLUSIONS: Serum miR-210 may represent a novel biomarker for
predicting efficacy of transarterial chemoembolization and overall survival for
patients with HCC.
PMID- 24935356
TI - ERCC1 as a biological marker guiding management in malignant pleural
mesothelioma.
AB - BACKGROUND: To determine prognostic value of excision repair cross
complementation 1 (ERCC1) in patients with malignant pleural mesothelioma (MPM).
MATERIALS AND METHODS: The study included 60 patients with MPM who were diagnosed
and treated in the Radiation Oncology Department of Kayseri Teaching Hospital and
Medical Oncology Department of Erciyes University, Medicine School between 2005
and 2013. By using immunohistochemical methods, ERCC1 expression in biopsy
specimens was evaluated. We retrospectively assessed whether there is a
correlation between ERCC1 and response to anti-neoplastic therapy or survival.
RESULTS: There were 50 men and 10 women with median age of 62 years (range: 39
83). Histological type was epithelial mesothelioma in the majority of the cases
(85%), most commonly presenting in stage four. Of the cases, 20 (33%) received
radiotherapy, 60 (%100) received first-line chemotherapy and 15 (%25) received
second-line chemotherapy. In the assessment after therapy, it was found that
there was partial response in 12 cases (20%), stable disease in 19 cases (31.4%)
and progression in 25 cases (41.7%). ERCC1 was positive in 43% of the cases. Mean
OS was 11.7 months and mean DFS was 9.5 months in ERCC1-positive cases regardless
of therapy, while they were 19.2 months and 17.1 months in ERCC1-negative cases,
respectively. The difference was found to be significant (p<0.05). In univariate
analysis, stage, comorbidity, response to treatment and ERCC1 expression were
found to be significantly associated with OS (p=0.083; p=0.043; p=0.041;
p=0.050). In multivariate analysis, response to treatment remained to be
significant for OS (p=0.005). In univariate and multivariate analyses, response
to treatment and ERCC1 were found to be significantly associated with DFS
(p=0.049; p=0.041). CONCLUSIONS: ERCC1 was identified as poor prognostic factor
in patients with MPM.
PMID- 24935357
TI - Changes in median ages at death from selected cancer types in relation to HLA
DRB1/DQB1.
AB - The median ages at death from cancers between 1985 and 2005 were calculated to
demonstrate that inherent anticancer mechanisms may be a common pathway for
different cancers. Seventy-eight patients with gastric, liver and lung cancers,
were recruited in the solid cancer group. The leukemia group consisted of 31
patients with three main types of leukemia. The controls were 100 healthy
individuals. The samples were typed using an HLA-DR/DQ PCR-SSP typing kit. The
results showed that the median ages at death from all causes were 64.7 years in
1985 and 70.1 years in 2005. The range of the median ages at death from all
cancers was similar to the corresponding value for deaths attributed to all
causes. The frequency of DRB1*03 was 9.6% in the solid cancer group and 3.0% in
the control group (p<0.05). The frequency of DRB1*04 in the leukemia group were
significantly lower than that of the control (p<0.05). DRB1*13 and DQB1*06
frequencies in the leukemia group were significantly higher than those of the
controls (p<0.05). It is suggested that inherent anti-cancer mechanisms may be a
common pathway for different cancers and are associated with the immune system
and HLA.
PMID- 24935358
TI - Immune and anti-oxidant functions of ethanol extracts of Scutellaria baicalensis
Georgi in mice bearing U14 cervical cancers.
AB - BACKGROUND: The objective was to study the effect of Scutellaria baicalensis
Georgi ethanol extracts (SBGE) on immune and anti-oxidant function in U14 tumor
bearing mice. MATERIALS AND METHODS: U14 tumor-bearing mice were randomly divided
into eight groups: a control group, a cyclophosphamide (CTX) group, three dose
groups of SBGEI (high, medium, low), and three dose groups of SBGEII (high,
medium, low). After two weeks, the thymus and spleen weight indices of mice
bearing U14 cervical cancer were calculated. Enzyme linked immunosorbent assays
(ELISA) was used to determine the levels of serum IL-2, TNF-alpha, IL-8, and
PCNA. MDA activity and SOD activity in plasma were measured with detection kits.
RESULTS: In the SBGE groups, thymus weight and spleen weight indices of U14 tumor
bearing mice were significantly higher than in the control group or CTX group
(p<0.05). Compared to control group, the levels of serum IL-2 and TNF-alpha in
U14 tumor-bearing mice increased significantly, whereas the contents of serum IL
8 and PCNA decreased (p<0.05). The activity of SOD increased with the growing
dose of SBGE, while the activity of MDA decreased significantly in the higher-
dose groups of SBGE. CONCLUSIONS: These findings suggested that SBGE, especially
at high dose, 1000 mg/kg, showed significant immune and anti-oxidant effects in
U14 tumor-bearing mice, which might be the mechanisms of SBGE inhibition of tumor
growth.
PMID- 24935360
TI - Optimization of predictors of Ewing sarcoma cause-specific survival: a population
study.
AB - BACKGROUND: This study used receiver operating characteristic curve to analyze
Surveillance, Epidemiology and End RESULTS (SEER) Ewing sarcoma (ES) outcome
data. The aim of this study was to identify and optimize ES-specific survival
prediction models and sources of survival disparities. MATERIALS AND METHODS:
This study analyzed socio-economic, staging and treatment factors available in
the SEER database for ES. 1844 patients diagnosed between 1973-2009 were used for
this study. For the risk modeling, each factor was fitted by a Generalized Linear
Model to predict the outcome (bone and joint specific death, yes/no). The area
under the receiver operating characteristic curve (ROC) was computed. Similar
strata were combined to construct the most parsimonious models. RESULTS: The mean
follow up time (S.D.) was 74.48 (89.66) months. 36% of the patients were female.
The mean (S.D.) age was 18.7 (12) years. The SEER staging has the highest ROC
(S.D.) area of 0.616 (0.032) among the factors tested. We simplified the 4
layered risk levels (local, regional, distant, un-staged) to a simpler non
metastatic (I and II) versus metastatic (III) versus un-staged model. The ROC
area (S.D.) of the 3-tiered model was 0.612 (0.008). Several other biologic
factors were also predictive of ES-specific survival, but not the socio-economic
factors tested here. CONCLUSIONS: ROC analysis measured and optimized the
performance of ES survival prediction models. Optimized models will provide a
more efficient way to stratify patients for clinical trials.
PMID- 24935359
TI - Lack of association between high-risk human papillomaviruses and oral squamous
cell carcinoma in young Japanese patients.
AB - BACKGROUND: Human papillomaviruses (HPV) may play an important role as one of the
possible etiologies of oral squamous cell carcinoma (OSCC). The present study
aimed to investigate the association between HPV and OSCC in young Japanese
patients by examining the presence of HPV DNA and surrogate markers in OSCC
tissues. MATERIALS AND METHODS: Forty young patients with OSCC whose surgical
specimens were available were analyzed and compared with 40 patients randomly
recruited from a pool of patients aged >40 years. HPV DNA was detected using the
polymerase chain reaction-based AMPLICOR((r)) HPV test, and surrogate markers of
HPV infection were analyzed using immunohistochemical techniques to detect
p16(INK4a) and p53. RESULTS: Only two (5%) young patients and one (2.5%) older
patient were positive for HPV DNA. p16(INK4a) overexpression was identified in
six (15%) young patients. p53 staining levels were not high in tissues of most
young patients (27 patients, 67.5%). HPV DNA status did not significantly
correlate with p16(INK4a) expression levels. Profiles of increased levels of
p16(INK4a) expression with diminished levels of p53 staining were not associated
with the presence of HPV DNA. The combined p53 with p16(INK4a) profiles were
significantly correlated with alcohol consumption in younger patients (p=0.006).
CONCLUSIONS: RESULTS of the present study indicate that HPV is less likely to
cause OSCC in young Japanese patients, and the p16(INK4a) expression level is not
an appropriate surrogate marker for HPV infection in OSCC.
PMID- 24935353
TI - Neural and psychological mechanisms underlying compulsive drug seeking habits and
drug memories--indications for novel treatments of addiction.
AB - This review discusses the evidence for the hypothesis that the development of
drug addiction can be understood in terms of interactions between Pavlovian and
instrumental learning and memory mechanisms in the brain that underlie the
seeking and taking of drugs. It is argued that these behaviours initially are
goal-directed, but increasingly become elicited as stimulus-response habits by
drug-associated conditioned stimuli that are established by Pavlovian
conditioning. It is further argued that compulsive drug use emerges as the result
of a loss of prefrontal cortical inhibitory control over drug seeking habits.
Data are reviewed that indicate these transitions from use to abuse to addiction
depend upon shifts from ventral to dorsal striatal control over behaviour,
mediated in part by serial connectivity between the striatum and midbrain
dopamine systems. Only some individuals lose control over their drug use, and the
importance of behavioural impulsivity as a vulnerability trait predicting
stimulant abuse and addiction in animals and humans, together with consideration
of an emerging neuroendophenotype for addiction are discussed. Finally, the
potential for developing treatments for addiction is considered in light of the
neuropsychological advances that are reviewed, including the possibility of
targeting drug memory reconsolidation and extinction to reduce Pavlovian
influences on drug seeking as a means of promoting abstinence and preventing
relapse.
PMID- 24935361
TI - Role of concomitant chemoradiation in locally advanced head and neck cancers.
AB - Standard therapy for advanced head and neck cancer consists of a combination of
surgery and radiation. However, survival of this patient population has not
improved during the past 20 years. Many different multimodality treatment
schedules have been proposed, and chemotherapy is often used with the intent of
organ preservation. The present study was intended to establish the efficacy of
concomitant chemoradiation with a single agent carboplatin in advanced head and
neck cancers.The objectives were to investigate the feasibility of concomitant
administration of carboplatin, monitor acute toxicity during radiotherapy, and
determine subacute side effects, such as wound healing following surgery after
chemoradiotherapy. A prospective study was conducted wherein a total of 40
patients with stage III and IV squamous cell carcinomas of oral cavity,
oropharynx, hypopharynx and larynx were enrolled. All patients were treated with
external beam radiotherapy and weekly carboplatin area under curve (AUC of 5).
Radiotherapy was given in single daily fractions of 1.8-2 grays (Gy) to a total
dose of 66-72 Gy. Salvage surgery was performed for any residual or recurrent
locoregional disease. Neck dissection was recommended for all patients with neck
disease showing less than a complete response after chemoradiation. A total of 40
patients were enrolled of whom 32 were males and 8 were females. Highest
incidence of cancer was seen in the 5th-6th decades of life with a median age of
47.7 years. Oropharyngeal tumours constituted a maximum of 21 patients followed
by hypopharynx in 10, larynx in 7 and oral cavity in 2. 80% of the patients had a
neck node on presentation of which 40% had N2-N3 nodal status. TNM staging
revealed that 58% of patients were in stage III and 43% in stage IV. Evaluation
of acute toxicity revealed that 50% had grade II mucositis, 25% grade III
mucositis, 2.5% grade IV mucositis. 50% of patients had grade I skin reactions,
65% of patients had grade I thrombocytopenia, and 24% of patients had grade I
anaemia. After completion of treatment 65% of patients had complete response at
the primary and regional sites, and 35% of patients had a partial response of
whom 23% underwent neck dissection and 5% of them underwent salvage surgery at
the primary site. At the end of one year there were six deaths and four
recurrences and 70% were free of disease. Concurrent chemoradiation with
carboplatin provided good locoregional control for locally advanced head and neck
cancers. This regimen, although toxic, is tolerable with appropriate supportive
intervention. Primary site conservation is possible in many patients.
Chemoradiotherapy appears to have an emerging role in the primary management of
head and neck cancers.
PMID- 24935362
TI - Multiplex real-time PCR for RRM1, XRCC1, TUBB3 and TS mRNA for prediction of
response of non-small cell lung cancer to chemoradiotherapy.
AB - BACKGROUND: This study was aimed to establish a novel method to simultaneously
detect expression of four genes, ribonucleotide reductase subunit M1(RRM1), X-ray
repair cross-complementing gene 1 (XRCC1), thymidylate synthase (TS) and class
III beta-tubulin (TUBB3), and to assess their application in the clinic for
prediction of response of non-small cell lung cancer (NSCLC) to
chemoradiotherapy. MATERIALS AND METHODS: We have designed four gene molecular
beacon (MB) probes for multiplex quantitative real-time polymerase chain
reactions to examine RRM1, XRCC1, TUBB3 and TS mRNA expression in paraffin
embedded specimens from 50 patients with advanced or metastatic carcinomas.
Twenty one NSCLC patients receiving cisplatin- based first-line treatment were
analyzed. RESULTS: These molecular beacon probes could specially bind to their
target genes in homogeneous solutions. Patients with low RRM1 and XRCC1 mRNA
levels were found to have apparently higher response rates to chemoradiotherapy
compared with those with high levels of RRM1 and XRCC1 expression (p<0.05). The
TS gene expression level was not significantly associated with chemotherapy
response (p>0.05). CONCLUSIONS: A method of simultaneously detecting four
molecular markers was successfully established and applied for evaluation of
chemoradiotherapy response. It may be a useful tool in personalized cancer
therapy.
PMID- 24935363
TI - Etoposide-cisplatin alternating with vinorelbine-cisplatin versus etoposide
cisplatin alone in patients with extensive disease combined with small cell lung
cancer.
AB - BACKGROUND: The aim of this study was to evaluate the efficacy of alternating
etoposide-cisplatin and vinorelbine-cisplatin (EP-NP) compared with an etoposide
cisplatin (EP) regimen for advanced combined small cell carcinomas. MATERIALS AND
METHODS: Histologically confirmed combined small cell carcinoma patients who met
the inclusion criteria were randomly assigned (1:1) into either the EP-NP setting
(group A) or the EP setting (group B). The primary endpoint was progression-free
survival in patients who received at least one dose of treatment. RESULTS: Eighty
two patients entered into this trial, 42 in group A and 40 in group B. The
objective response rates in group A and group B were 42.9% and 32.5%,
respectively (p=0.334). Survival analysis showed that median progression-free
survival was 6.1 months in group A, which was significantly longer than the 4.1
months in group B (p=0.041). However, as to overall survival, no significant
difference was found between the two groups (11.0 vs 10.1 months in groups A and
B, respectively, p=0.545). No unexpected side effects were observed in either
group. CONCLUSIONS: The EP-NP regimen for combined small cell carcinomas
prolonged progression- free survival compared with the EP regimen. Further
clinical investigations are warranted.
PMID- 24935364
TI - Lack of sunlight exposure influence on primary glioblastoma survival.
AB - BACKGROUND: The prognosis of primary glioblastoma (GBM) is poor. Approximately
2/3 of primary brain tumor diagnoses are GBM, of which 95% are primary lesions.
In this study, we aimed to evaluate whether more sunlight exposure has an effect
on survival of patients with primary GBM. MATERIALS AND METHODS: A total of 111
patients with primary GBM were enrolled from Kayseri in inner Anatolia which has
a cold climate (n: 40) and Mersin in Mediterranean region with a warm climate and
more sunlight exposure (n: 71). The patients with primary GBM were divided into
two groups as Kayseri and Mersin and compared for progression free survival (PFS)
and overall survival (OS). RESULTS: The PFS values were 7.0 and 4.7 months for
Kayseri and Mersin groups, respectively (p=0.10) and the respective OS values
were 13.3 and 9.4 months (p=0.13). We did not found any significant difference
regarding age, sex, comorbidity, smoking, surgery, resurgery, adjuvant
chemoradiotherapy and palliative chemotherapy between the groups. CONCLUSIONS: We
found that more sunlight exposure had no impact on prognosis of patients with
primary GBM, adding inconsistency to the literature about the relationship
between sunlight and GBM.
PMID- 24935365
TI - Endobronchial ultrasound-guided transbronchial needle aspiration in the diagnosis
of lymphoma.
AB - BACKGROUND: Endobronchial ultrasound-guided transbronchial needle aspiration
(EBUS-TBNA) is highly accurate in diagnosing mediastinal lymphadenopathies of
lung cancer and benign disorders. However, the utility of EBUS-TBNA in the
diagnosis of mediastinal lymphomas is unclear. The aim of this study was to
determine the diagnostic value of EBUS-TBNA in patients with suspected lymphoma.
MATERIALS AND METHODS: Sixty-eight patients with isolated mediastinal
lymphadenopathy and suspected of lymphoma were included in the study. EBUS-TBNA
was performed on outpatients under moderate sedation. The sensitivity,
specificity, negative predictive value and diagnostic accuracy of EBUS-TBNA were
calculated. RESULTS: Sixty-four patients were diagnosed by EBUS-TBNA, but four
patients with non-diagnostic EBUS-TBNA required surgical procedures. Thirty-five
(51.5%) patients had sarcoidosis, six (8.8%) had reactive lymphadenopathy, nine
(13.3%) had tuberculosis, one (1.5%) had squamous cell carcinoma, two (2.9%) had
sarcoma and fifteen (22%) had lymphoma (follicular center cell, large B-cell
primary, and Hodgkin lymphomas in three, two, and ten, respectively). Of the 15
lymphoma patients, thirteen were diagnosed by EBUS and two by thoracotomy and
mediastinoscopy. The sensitivity, specificity, negative predictive value, and
diagnostic accuracy of EBUS-TBNA for the diagnosis of lymphoma were calculated as
86.7%, 100%, 96.4%, and 97%, respectively. CONCLUSIONS: EBUS-TBNA can be employed
in the diagnosis of mediastinal lymphoma, instead of more invasive surgical
procedures.
PMID- 24935366
TI - Histopathologic characterization of prostate diseases in Madinah, Saudi Arabia.
AB - AIMS: To delineate the histopathological pattern of prostate diseases and to
highlight age variations in prostate specific antigen (PSA) values and
histopathological features. MATERIALS AND METHODS: A retrospective review was
made of all prostate biopsy reports seen between January 2006 and December 2013
at the King Fahad Hospital, Madinah, Saudi Arabia. Prostate lesions were
tabulated and classified into benign and malignant groups. Histological scoring
of adenocarcinomas was accomplished using the Gleason system. PSA values were
correlated with Gleason scores. RESULTS: Of 417 prostate lesions reviewed, 343
(82.3%) were benign and 74 (17.7%) were malignant, giving a benign to malignant
ratio of 4.6:1. Benign prostatic hyperplasia (both with and without inflammation)
was the commonest prostatic lesion and accounted for 80.3% of all cases and 97.6%
of all benign cases. The age range was 20 to 97 years with a mean of 69.2 years
and a peak age group at 70-79 years. Seventy one cases of adenocarcinoma
accounted for 95.9% of the total of 74 malignant tumors. It showed an age range
of 44 to 95 years, a mean age of 70.9 years and peak prevalence in the 80-89 year
age group. Gleason score seven was the most frequent (39.4%) in occurrence. Most
adenocarcinomas, 41 cases (57.7%), were moderately differentiated (Gleason score
of 5-7). PSA values ranged widely between 16-1,865 ng/ml with a mean of 363.4
ng/ml. Elevated PSA (>100 ng/ml) levels were found in 53 (81.6%) patients. There
was a statistically significant positive correlation between serum PSA level and
Gleason score (p=0.0304). CONCLUSIONS: Prostatic lesions constitute a significant
source of morbidity among adult males in Madinah. Benign prostatic hyperplasia
was the commonest benign prostatic lesion and adenocarcinoma was the commonest
histological subtype of prostatic cancer.
PMID- 24935367
TI - Quality of life of male spouse caregivers for breast cancer patients in China.
AB - BACKGROUND: The aim of this study was to describe the characteristics of male
spouse caregivers of breast cancer patients in China, assess their quality of
life (QOL), and investigate the influencing factors. MATERIALS AND METHODS: A
total of 243 breast cancer patient-spouse caregiver dyads were recruited from
four hospitals in Shanxi and Anhui province of China. A cross-sectional design
was applied to collect data and the Chinese version of the Medical Outcomes Study
36-item Short Form (SF-36) was used to measure caregivers' QOL, and the Chinese
version of M.D. Anderson Symptom Inventory (MDASI-C) was applied to measure
patient symptom severity and interference. Pearson's correlation was used to
examine the correlations between caregiver burden and QOL. The multiple
regression analysis was used to determine the most predictive factors influencing
QOL. RESULTS: The scores of all SF-36 scales were above 50.0, which were much
lower than that of general mainland Chinese males. Mental QOL was significantly
worse than physical QOL. Spouses demographic characteristics, caregiving-related
variables and patient symptoms were related to spouse QOL. Caregiver burden has a
negative relationship with QOL. CONCLUSIONS: A decrease in life events and
patient symptoms, as well as increase in spouse sleeping time and family income,
ought to improve QOL.
PMID- 24935368
TI - Association of matrix metalloproteinase (MMP)-2 and -9 expression with extra
gastrointestinal stromal tumor metastasis.
AB - Matrix metalloproteinase (MMP)-2 and MMP-9 are important proteases involved in
invasion and metastasis of various tumors. Extra-gastrointestinal stromal tumors
(EGISTs) are rare neoplasms. This study was performed to assess MMP-2 and MMP-9
expression in EGIST tissue samples for association with clinicopathological data
from the patients. Twenty-one surgical EGIST tissue specimens were collected for
analysis of MMP-2 and MMP- 9 expression using immunohistochemistry. MMP-2 and MMP
9 proteins were expressed in all of the epithelial cell types of EGISTs, whereas
they were only expressed in 75% of the spindle cell type, although there was no
statistically significant difference (p>0.05). Expression of MMP-2 and MMP-9
proteins was associated with tumor size, mitotic rate, tumor necrosis, and
distant metastasis (p<0.05). MMP-2 expression was linked with MMP-9 levels
(p<0.05). However, there was no correlation between MMP-9 expression and age,
sex, primary site, or cell morphology in any of these 21 EGIST patients (p>0.05).
Moreover, expression of MMP-2 and MMP-9 proteins increased with the degree of
EGIST risk. This study provided evidence of an association of MMP-2 and MMP-9
expression with advanced EGIST behavior.
PMID- 24935369
TI - Clinical characteristics of gynecologic cancer patients who respond to salvage
treatment with Lingzhi.
AB - Lingzhi or Ganoderma lucidum is a popular medicinal mushroom used as a health
promotion herb in China and other Asian countries for thousands of years. There
have many previous studies about the anti-cancer effects of lingzhi especially in
vitro. The present study reports the clinical data of 5 gynecologic cancer
patients who achieved stability in the disease after ingestion of lingzhi in the
form of fruit body water extract and spores in a salvage setting. This report has
been written to enhance the data describing the effect of lingzhi in cancer
patients.
PMID- 24935370
TI - Local cervical immunity in women with low-grade squamous intraepithelial lesions
and immune responses after abrasion.
AB - Minor trauma to the uterine cervix is supposed to induce local immunity to
prevent cervical lesions caused by human papillomavirus (HPV) infection. This
study aimed to investigate the local cervical immunity in women with low grade
squamous intraepithelial lesion (LSIL) and effects of abrasion after cryosurgery
or Pap smear. One hundred women with LSIL and known results of HPV detection were
recruited. HPV positive women were randomly divided according to abrasion into
cryotherapy and Pap smear observation groups. Cervical tissues and cervico
vaginal lavage (CVL) were collected at 6 and 12 months after allocation. The
levels of cytokines at first recruitment were compared with cytokine levels at 6
months after abrasions. The mRNA of IFN-gamma , TNF-alpha and IL-10 in cervical
tissues and these cytokines secreted in CVL were determined using real time PCR
and ELISA, respectively. Anti-HPV16 IgG and IgA antibodies in CVL were assessed
by western blotting. At first recruitment of women with LSIL (100 cases), IL-10
mRNA and cytokine in HPV positive group (60 cases) was significantly higher than
negative group (40 cases). IFN-gamma and TNF-alpha mRNA level in both groups were
comparable but their secretions in CVL were significantly increased in HPV
negative group. After abrasion for 6 months in HPV-positive women, all mRNA and
secreted cytokines were changed, but no significant difference was observed
between cryotherapy and observation groups. When individuals were compared
between first recruitment and after abrasion for 6 months, IFN-gamma mRNA and
anti-HPV16 L1 IgA antibodies were significantly increased in the cryotherapy
group. The results suggest that modulation of local cervical immunities by
abrasion might promote different effects in clearance of HPV-related cytological
abnormalities.
PMID- 24935371
TI - Predictive role of the neutrophil lymphocyte ratio for invasion with gestational
trophoblastic disease.
AB - PURPOSE: The objective of this study was to assess the predictive role of the
neutrophil/lymphocyte ratio (NLR) for invasion of gestational trophoblastic
disease (GTD). MATERIALS AND METHODS: A retrospective analysis was conducted on
127 women who were managed at our clinic for GTD. Of all patients, 8 showed
invasion according to histological examination. The clinical parameters of
patients with invasive GTD (Group 1; n=8) were compared with patients who showed
no invasion (Group 2; n=119). All underwent a prior uterine evacuation and
followed up by regular assessment of beta-hCG titers. RESULTS: Demographic and
obstetric history and pre-evacuation hCG levels of the patients showed no
statistically significantly difference between the groups (p>0.05). The mean
gestational weeks (GW), size of the GTD and NLR levels were statistically
significantly higher in the invasive GTD group (p<0.05). Correlations between
invasion and gestational weeks, size of GTD, post-evacuation chemotherapy and NLR
were evident. ROC curve analysis demonstrated that GW, size of GTD and NLR may be
discriminative parameters in predicting invasion of GTD. CONCLUSIONS: To the best
of our knowledge, this is the first study evaluating the predictive role of NLR
in invasion of GTD. In conclusion, we think that pretreatment NLR can be used as
a biomarker of invasion in GTD.
PMID- 24935372
TI - Association analysis of common genetic variations in MUC5AC gene with the risk of
non-cardia gastric cancer in a Chinese population.
AB - Several lines of evidence suggest that genetic variation in MUC5AC gene might
contribute to the risk of gastric cancer. We conducted a case-control study to
evaluate the relationship between common genetic variations in MUC5AC gene and
non-cardia gastric cancer using an LD-based tagSNP approach in Baotou, north
western China. We genotyped 12 tagSNPs by TaqMan method among 288 cases with non
cardia gastric cancer and 281 normal controls. Unconditional logistic regression
was used to calculate odds ratios (ORs) and 95% confidence intervals (CIs) for
non-cardia gastric cancer risk in association with alleles, genotypes and
haplotypes. We observed that the frequencies of rs3793964 C allele and rs11040869
A allele were significantly lower in cases than in controls. Meanwhile, minor
allele homozygotes of rs3793964 and rs11040869 were significantly associated with
a decreased risk of non-cardia gastric cancer when compared with their major
allele homozygotes. Furthermore, a statistically significantly protective effect
of rs885454 genotypes on non-cardia gastric cancer was also observed (for CT vs.
CC: OR=0.581, 95%CI=0.408-0.829; for CT/TT vs. CC: OR=0.623, 95%CI=0.451-0.884).
Our results indicated that some common genetic variations in the MUC5AC gene
might have effects on the risk of non-cardia gastric cancer in our studied
population.
PMID- 24935373
TI - Association of leptin receptor Lys109Arg and Gln223Arg polymorphisms with
increased risk of clear cell renal cell carcinoma.
AB - BACKGROUND: Although roles of genetic polymorphisms of leptin receptor (LEPR)
gene in several cancers have been documented, the association between
polymorphisms of LEPR and clear cell renal cell carcinoma (CC-RCC) remains
unknown. The aim of this study was to explore any relation. MATERIALS AND
METHODS: The study population consisted of 77 patients with CC-RCC and 161
healthy control subjects. Polymorphism analyses of Lys109Arg and Gln223Arg were
performed by direct DNA sequencing and PCR-restriction fragment length
polymorphism approaches respectively. RESULTS: Comparisons of allelic and
genotypic frequencies in Lys109Arg and Gln223Arg showed no significant difference
between the cases and controls. However, when evaluating the combined genotype of
Lys109Arg and Gln223Arg, risk with GG/GG was increased (OR=1.85, 95%CI=1.04-3.30)
and with GA/GG or GG/GA was decreased (OR=0.07, 95%CI=0.01-0.54; OR and 95%CI of
the latter could not be calculated for a value of zero) . Furthermore, the G-G
haplotype frequency of Lys109Arg and Gln223Arg in the cases was higher (OR=1.68;
95%CI=1.02-2.76). In contrast, the A-G and G-A haplotype frequencies in the cases
were lower than those in the controls (OR=0.06; 95%CI=0.01 to 0.47; OR and 95%CI
of the latter could not be calculated for a value of zero). In addition, the
Lys109Arg A allele was in LD with the Gln223Arg A allele (d'=0.9399) in the CC
RCC subjects, but not in the controls. CONCLUSIONS: Our data suggest that the
GG/GG combined genotype and G-G haplotype of Lys109Arg and Gln223Arg can act as
evaluating factors for CC-RCC risk.
PMID- 24935374
TI - Transcriptional profiles of peripheral blood leukocytes identify patients with
cholangiocarcinoma and predict outcome.
AB - Cholangiocarcinoma (CCA), a slow growing but highly metastatic tumor, is highly
prevalent in Northeast Thailand. Specific tests that predict prognosis of CCA
remain elusive. The present study was designed to investigate whether peripheral
blood leukocyte (PBL) transcriptional profiles might be of use as a prognostic
test in CCA patients. Gene expression profiles of PBLs from 9 CCA and 8 healthy
subjects were conducted using the Affymetrix HG_U133 Plus 2.0 GeneChip. We
indentified informative PBLs gene expression profiles that could reliably
distinguish CCA patients from healthy subjects. Of these CCA specific genes, 117
genes were up regulated and 60 were down regulated. The molecular and cellular
functions predicted for these CCA specific genes according to the Gene Ontology
database indicated differential PBL expression of host immune response and tumor
progression genes (EREG, TGF beta1, CXCL2, CXCL3, IL-8, and VEGFA). The
expression levels of 9 differentially expressed genes were verified in 36 CCA vs
20 healthy subjects. A set of three tumor invasion related genes (PLAU, CTSL and
SERPINB2) computed as "prognostic index" was found to be an independent and
statistically significant predictor for CCA patient survival. The present study
shows that CCA PBLs may serve as disease predictive clinically accessible
surrogates for indentifying expressed genes reflective of CCA disease severity.
PMID- 24935375
TI - Lack of prognostic value of blood parameters in patients receiving adjuvant
radiotherapy for breast cancer.
AB - AIM: To determine prognostic value of blood parameters on overall and progression
free survival in cases received adjuvant radiotherapy and chemotherapy with
diagnosis of stage I-III breast cancer. MATERIALS AND METHODS: We retrospectively
reviewed files of 350 patients with non-metastatic breast cancer who were treated
in the Radiation Oncology Department of Kayseri Teaching Hospital between 2005
and 2010. Pretreatment white blood cell (WBC), neutrophil, monocyte, basophil and
eosinophil counts, and the neutrophil/lymphocyte ratio (NLR) and platelet
lymphocyte ratio (PLR) were recorded. The relationship between
clinicopathological findings and blood parameters was assessed. RESULTS: Overall,
344 women and 6 men were recruited. Median age was 55.3 +/- 0.3 years (range: 22
86). Of the cases, 243 (61.4%) received radiotherapy while 329 (94.3%), received
chemotherapy and 215 (61.4%) received hormone therapy. Mean overall survival (OS)
and progression-free survival (PFS) was 84.4 and 78.8 months, respectively.
During follow-up, 48 patients died due to either disease- related or non-related
causes. Local recurrence was detected in 14 cases, while distant metastasis was
noted in 45 cases. In univariate analysis, age, pathology, perinodal invasion
were significantly associated with overall survival, whereas gender, stage and
hormone therapy were significantly associated with progression-free survival. In
multivariate analysis, histopathological diagnosis (OR: 0.3; 95%: 0.1-0.7;
p=0.006) and perinodal invasion (OR: 0.1; 95% CI: 0.1-1.3; p=0.026) were
significantly associated with overall survival, whereas tumor stage (OR: 2.1; 95%
CI: 0.0-0.7; p=0.014) and hormone therapy (OR: 2.1; 95%: 1.2-3.8; p=0.010) were
significantly associated with progression-free survival. CONCLUSIONS: It was
found that serum inflammatory markers including WBC, neutrophil, lymphocyte and
monocyte counts, and NLR and PLR had no effect on prognosis in patients with
breast cancer who underwent surgery and received adjuvant radiotherapy and
chemotherapy.
PMID- 24935376
TI - Relationship of body mass index with prognosis in breast cancer patients treated
with adjuvant radiotherapy and chemotherapy.
AB - BACKGROUND: The aim of this study was to investigate the relationship of body
mass index with overall and progression-free survival as well as other prognostic
factors of breast cancer in patients with non-metastatic breast cancer. MATERIALS
AND METHODS: We retrospectively reviewed 456 patients diagnosed with breast
cancer in the Radiation Oncology department of Kayseri Teaching Hospital between
2005 and 2013. We investigated relationship of body mass index with prognosis and
other prognostic factors. RESULTS: The study included 456 patients (447 women and
9 men). Mean age at presentation was 55.6 years. Of the cases, 96.9% underwent
modified radical mastectomy and 95.0% received chemotherapy, while 82.4% received
radiotherapy and 60.0% were given hormone therapy. Body mass index was >25
mg/kg(2) in 343 cases. Five- and 10-years overall survival rates were 77% and 58%
whereas progression-free survival rates were 65% and 49%, respectively. In
univariate analyses, factors including stage (p=0.046), tumor diameter (p=0.001),
lymph node metastasis (p=0.006) and body mass index (p=0.030) were found to be
significantly associated with overall survival, while perinodal involvement was
found to be significantly associated with progression-free survival (p=0.018). In
multivariate analysis, stage (p=0.032; OR: 3.8; 95% CI: 1.1-13), tumor diameter
(p<0.000; OR: 0.0; 95% CI: 0.0-0.3), lymph node metastasis (p=0.005; OR: 0.0; 95%
CI: 0.0-0.5) and BMI (p=0.027; OR: 0.02; 95% CI: 0.0-0.8) remained as
significantly associated with OS. CONCLUSIONS: In our study, it was seen that
overall survival time was shorter in underweight and obese patients when compared
to normal weight patients.
PMID- 24935377
TI - Influence of curcumin on HOTAIR-mediated migration of human renal cell carcinoma
cells.
AB - BACKGROUND: This study investigated the influence of curcumin on HOX transcript
antisense RNA (HOTAIR)- mediated migration of cultured renal cell carcinoma (RCC)
cells. MATERIALS AND METHODS: Five RCC cell lines (769-P, 769-P-vector, 769-P
HOTAIR, 786-0, and Kert-3 ) were maintained in vitro. The expression of HOTAIR
mRNA was determined by quantitative real-time PCR and cell migration was measured
by transwell migration assay. The effects of different concentrations of curcumin
(0 to 80 MUmol/L) on cell proliferation was determined by the CCK-8 assay and
influence of non-toxic levels (0 to 10 MUM) on the migration of RCC cells was
also determined. RESULTS: Comparison of the 5 cell lines indicated a correlation
between HOTAIR mRNA expression and cell migration. In particular, the migration
of 769-P-HOTAIR cells was significantly higher than that of 769-P-vector cells.
Curcumin at 2.5-10 MUM had no evident toxicity against RCC cells, but inhibited
cell migration in a concentration-dependent manner. CONCLUSIONS: HOTAIR
expression is correlated with the migration of RCC cells, and HOTAIR may be
involved in the curcumin-induced inhibition of RCC metastasis.
PMID- 24935378
TI - miR-186 regulates glycolysis through Glut1 during the formation of cancer
associated fibroblasts.
AB - Emerging evidence has suggested that glycolysis is enhanced in cancer-associated
fibroblasts (CAF), and miR-186 is downregulated during the CAF formation.
However, it is not clear whether miR-186 is involved in the regulation of
glycolysis and what the role of miR-186 plays during the CAF formation. In this
study, quantitative PCR analysises show miR-186 is downregulated during the CAF
formation. Moreover, miR-186 targets the 3' UTR of Glut1, and its overexpression
results in the degradation of Glut1 mRNA, which eventually reduces the level of
Glut1 protein. On the other hand, knockdown of miR-186 increased the expression
of Glut1. Both time course and dose response experiments also demonstrated that
the protein and mRNA levels of Glut1 increase during CAF formation, according to
Western blot and quantitative PCR analyses, respectively. Most importantly,
besides the regulation on cell cycle progression, miR-186 regulates glucose
uptake and lactate production which is mediated by Glut1. These observations
suggest that miR-186 plays important roles in glycolysis regulation as well as
cell cycle checkpoint activation.
PMID- 24935379
TI - Use of blood transfusion at the end of life: does it have any effects on survival
of cancer patients?
AB - BACKGROUND: Treatment of anemia is an important issue in the palliative care
setting. Blood transfusion is generally used for this purpose in supportive care.
However the place of blood transfusion in terminally ill cancer cases is less far
established. OBJECTIVE: We aimed to outline the use of transfusions and to find
the impact of blood transfusion on survival in patients with advanced cancer and
very near to death. DESIGN: Patients dying in 2010-2011 with advanced cancer were
included in the study. We retrospectively collected the data including age, type
of cancer, the duration of last hospitalisation, ECOG performance status, Hb
levels, transfusion history of erythrocytes and platelets, cause and the amount
of transfusion. The anaemic patients who had transfusion at admission were
compared with the group who were not transfused. Survival was defined as the time
between the admission of last hospitalisation period and death. RESULTS: Three
hundred and ninety eight people with solid tumours died in 2010-2011 in our
clinic. Ninety percent of the patients had anemia at the time of last
hospitalisation. One hundred fifty three patients had erythrocyte transfusion at
admission during the last hospitalisation period (38.4%). In the anaemic
population the duration of last hospitalisation was longer in patients who had
erythrocyte transfusion (15 days vs 8 days, p<0.001). CONCLUSIONS: Patients who
had blood transfusion at the end of life lived significantly longer than the
anaemic patients who were not transfused. This study remarks that blood
transfusions should not be withheld from terminal cancer patients in palliative
care.
PMID- 24935380
TI - Lactobacillus acidophilus and Lactobacillus crispatus culture supernatants
downregulate expression of cancer-testis genes in the MDA-MB-231 cell line.
AB - Lactobacilli are probiotics shown to have antitumor activities. In addition, they
can regulate gene expression through epigenetic mechanisms. In this study, we
aimed to assess anti tumor activities of Lactobacillus acidophilus and
Lactobacillus crispatus on the MDA-MB-231 breast cancer cell line. The effects of
culture supernatants were determined by MTT [3-(4,5-dimethylthiazol-2-y-2,5
diphenyltetrazolium bromide] assay. Changes in expression of 5 cancer-testis
antigens (CTAs), namely AKAP4, ODF4, PIWIL2, RHOXF2 and TSGA10 ,were analyzed by
quantitative real time RT-PCR. The culture supernatants of the 2 lactobacilli
inhibited MDA-MB-231 cell proliferation. In addition, transcriptional activity of
all mentioned CTAs except AKAP4 was significantly decreased after 24 hour
treatment with culture supernatants. This study shows that Lactobacillus
acidophilus and Lactobacillus crispatus have antiproliferative activity against
MDA-MB-231 cells. In addition, these lactobacilli could decrease transcriptional
activity of 4 CTAs. Previous studies have shown that expression of CTAs is
epigenetically regulated, so it is possible that lactobacilli cause this
expression downregulation through epigenetic mechanisms. As expression of CTAs in
cancers is usually associated with higher grades and poor prognosis,
downregulation of their expression by lactobacilli may have clinical
implications.
PMID- 24935381
TI - Comparison of psychotropic prescriptions between oncology and cardiology
inpatients: result from a pharmacy database in a teaching hospital in Malaysia.
AB - OBJECTIVE: To examine the prescription rates in cancer patients of three common
psychotropic drugs: anxiolytic/ hypnotic, antidepressant and antipsychotic.
MATERIALS AND METHODS: In this retrospective cohort study, data were extracted
from the pharmacy database of University Malaya Medical Center (UMMC) responsible
for dispensing records of patients stored in the pharmacy's Medication Management
and Use System (Ascribe). We analyzed the use of psychotropics in patients from
the oncology ward and cardiology from 2008 to 2012. Odds ratios (ORs) were
adjusted for age, gender and ethnicity. RESULTS: A total of 3,345 oncology
patients and 8,980 cardiology patients were included. Oncology patients were
significantly more often prescribed psychotropic drugs (adjusted OR:
anxiolytic/hypnotic=5.55 (CI: 4.64-6.63); antidepressants=6.08 (CI: 4.83-7.64)
and antipsychotics=5.41 (CI: 4.17-7.02). Non-Malay female cancer patients were at
significantly higher risk of anxiolytic/hypnotic use. CONCLUSIONS: Psychotropic
drugs prescription is common in cancer patients. Anxiolytic/hypnotic prescription
rates are significantly higher in non-Malay female patients in Malaysia.
PMID- 24935382
TI - Association between gestational diabetes mellitus and subsequent risk of cancer:
a systematic review of epidemiological studies.
AB - PURPOSE: This study aimed at summarizing epidemiological evidence of the
association between gestational diabetes mellitus (GDM) and subsequent risk of
cancer. MATERIALS AND METHODS: We searched Medline, Embase, Cancer Lit and CINAHL
for epidemiological studies published by February 1, 2014 examining the risk of
cancer in patients with history of GDM using highly inclusive algorithms.
Information about first author, year of publication, country of study, study
design, cancer sites, sample sizes, attained age of subjects and methods used for
determining GDM status were extracted by two researchers and Stata version 11.0
was used to perform the meta-analysis and estimate the pooled effects. RESULTS: A
total of 9 articles documented 5 cohort and 4 case- control studies containing
10,630 cancer cases and 14,608 women with a history of GDM were included in this
review. Taken together, the pooled odds ratio (OR) between GDM and breast cancer
risk was 1.01 (0.87-1.17); yet the same pooled ORs of case-control and cohort
studies were 0.87 (0.71-1.06) and 1.25 (1.00-1.56) respectively. There are
indications that GDM is strongly associated with higher risk of pancreatic cancer
(HR=8.68) and hematologic malignancies (HR=4.53), but no relationships were
detected between GDM and other types of cancer. CONCLUSIONS: Although GDM
increases the risk of certain types of cancer, these results should be
interpreted with caution becuase of some methodological flaws. The issue merits
added investigation and coordinated efforts between researchers, antenatal
clinics and cancer treatment and registration agencies to help attain better
understanding.
PMID- 24935383
TI - An epigenetic mechanism underlying doxorubicin induced EMT in the human BGC-823
gastric cancer cell.
AB - The epithelial to mesenchymal transition (EMT) is a key step during embryonic
morphogenesis and plays an important role in drug resistance and metastasis in
diverse solid tumors. We previously reported that 48 h treatment of anti-cancer
drug doxorubicin could induce EMT in human gastric cancer BGC-823 cells. However,
the long term effects of this transient drug treatment were unknown. In this
study we found that after 48 h treatment with 0.1 MUg/ml doxorubicin, most cells
died during next week, while a minor population of cells survived and formed
colonies. We propagated the surviving cells in drug free medium and found that
these long term cultured drug survival cells (abbreviated as ltDSCs) retained a
mesenchymal-like cell morphology, and expressed high levels of EMT-related
molecules such as vimentin, twist and beta-catenin. The expression of chromatin
reprogramming factors, Oct4 and c-myc, were also higher in ltDSCs than parental
cells. We further demonstrated that the protein level of p300 was upregulated in
ltDSCs, and inhibition of p300 by siRNA suppressed the expression of vimentin.
Moreover, the ltDSCs had higher colony forming ability and were more drug
resistant when compared to parental cells. Our results suggested that an
epigenetic mechanism is involved in the EMT of ltDSCs.
PMID- 24935384
TI - No association between BRCA1 immunohistochemical expression and tumor grade,
stage or overall survival in platinum-treated epithelial ovarian cancer patients.
AB - BACKGROUND: The aim of this work is to assess the frequency of BRCA1 protein
immunohistochemical (IHC) expression in epithelial ovarian cancer (EOC) and to
evaluate the association of BRCA1 expression with clinical and pathological
characteristics and the overall survival (OS) of patients treated with
postoperative platinum- based chemotherapeutic agents. MATERIALS AND METHODS:
This retrospective study was conducted on 35 cases of epithelial ovarian cancer
selected from the files of the Pathology Department, Faculty of Medicine,
Mansoura University, Egypt. Immunohistochemistry (IHC) was performed for BRCA1
gene protein. BRCA1 expression was compared to patient's age, tumor histology,
grade, stage and OS time. Statistical analysis was carried out with the SPSS
version 16.0 to assess significant associations. RESULTS: BRCA1 nuclear
expression was detected in 40% of EOC, in which a mild increase in the percentage
of positive cases was observed with serous histology, stage IV, and grade 3
carcinomas. There was a significant statistical difference in BRCA1 expression
with regard to histological subtypes of EOC (p=0.048), but not grade or stage.
Mean OS and survival rate were slightly better for BRCA1 expressing group, but
there was no statistically significant difference (p=0.528). CONCLUSIONS: No
association between BRCA1 immunohistochemical expression and tumor grade, stage
or overall survival was noted in platinum-treated epithelial ovarian cancer
patients.
PMID- 24935385
TI - Assessment of the prognostic value of methylation status and expression levels of
FHIT, GSTP1 and p16 in non-small cell lung cancer in Egyptian patients.
AB - BACKGROUND: Methylation of tumor suppressor genes has been investigated in all
kinds of cancer. Tumor specific epigenetic alterations can be used as a molecular
markers of malignancy, which can lead to better diagnosis, prognosis and therapy.
Therefore, the aim of this study was to evaluate the association between gene
hypermethylation and expression of fragile histidine triad (FHIT), glutathione S
transferase P1 (GSTP1) and p16 genes and various clinicopathologic
characteristics in primary non-small cell lung carcinomas (NSCLC). MATERIALS AND
METHODS: The study included 28 primary non-small cell lung carcinomas, where an
additional 28 tissue samples taken from apparently normal safety margin
surrounding the tumors served as controls. Methylation-specific polymerase chain
reaction (MSP) was performed to analyze the methylation status of FHIT, GSTP1 and
p16 while their mRNA expression levels were measured using a real-time PCR assay
with SYBR Green I. RESULTS: The methylation frequencies of the genes tested in
NSCLC specimens were 53.6% for FHIT, 25% for GSTP1, and 0% for p16, and the risk
of FHIT hypermethylation increased among patients with NSCLC by 2.88, while the
risk of GSTP1 hypermethylation increased by 2.33. Hypermethylation of FHIT gene
showed a highly significant correlation with pathologic stage (p<0.01) and a
significant correlation with smoking habit and FHIT mRNA expression level
(p<0.05). In contrast, no correlation was observed between the methylation of
GSTP1 or p16 and smoking habit or any other parameter investigated (p>0.05).
CONCLUSIONS: RESULTS of the present study suggest that methylation of FHIT is a
useful biomarker of biologically aggressive disease in patients with NSCLC. FHIT
methylation may play a role in lung cancer later metastatic stages while GSTP1
methylation may rather play a role in the early pathogenesis.
PMID- 24935386
TI - Cancer awareness among university students in Turkey.
AB - BACKGROUND: One of the most important reasons for the high mortality rates of
cancer is the low level of awareness, which can lead to a late diagnosis and
treatments starting too late. Therefore, it is necessary for individuals,
especially at younger age, to gain awareness and integrate taking the necessary
precautions into their lifestyle in order to prevent cancer and ensure early
diagnosis. The aim of this study was to assess the levels of awareness of factors
for major cancers among students studying in two different campuses of a
university in the Western Black Sea Region. MATERIALS AND METHODS: This
descriptive/cross-sectional study was performed between January-March 2014.
Students studying in the 2013-2014 academic year in a university in the Western
Black Sea Region in two separate campuses were the subjects. RESULTS: The mean
age was 21.01 +/- 3.63. While female students (51.2%) were the majority in the
health sciences campus (74.8%), male students (48.8% ) were the majority in the
social sciences campus (76.5%). Some 9.6% of the students from the health
sciences campus and 12.4% of the students from the social sciences campus thought
that cancer was communicable, while most of the students from both campuses knew
that smoking caused cancer. It can also be seen that the rate of answering
questions regarding cancer correctly was higher among students studying in the
health sciences campus. CONCLUSIONS: It was determined that students who do not
study at the health sciences campus have insufficient information on cancer,
cancer symptoms, and the possibility of breast cancer in males and approximately
half of them regarded obesity as a risk factor for cancer.
PMID- 24935387
TI - Advantages of laparoscopic abdominoperineal resection for anastomotic recurrence
of rectal cancer.
AB - BACKGROUND: Surgery offers the only potential for cure and long-term survival of
recurrence of rectal cancer. Few studies about laparoscopic recurrent lesion
resection have been reported. This study was designed to evaluate the safety and
feasibility of laparoscopic abdomino-perineal resection for anastomotic
recurrence of rectal cancer. MATERIALS AND METHODS: Data for 42 patients with
recurrence of rectal cancer were collected retrospectively. Of the 42 patients,
22 underwent laparoscopic surgery (LR group) and 20 received open surgery (OR
group). Outcomes between the two groups were compared. RESULTS: Operation time in
LR group was shorter compared with the OR group (164.6 +/- 27.7 min vs 203.0 +/-
45.3 min); intra-operative blood loss was 119.7 +/- 44.4 ml and 185.0 +/- 94.0 ml
in LR group and OR group, respectively (p<0.001); time to first flatus in LR
group was shorter than in OR group, and the difference was statistically
significant (2.6 +/- 0.8 days vs 3.1 +/- 0.8 days, p=0.013); hospital stay in the
LR and OR groups was 8.6 +/- 1.3 days and 9.8 +/- 2.2 days; 3-year survival rates
in the LR and OR groups were 44.4% and 42.8% (p=0.915) and the 3-year disease
free survival rates were 36.4% and 30.0%, respectively (p=0.737). CONCLUSIONS:
Laparoscopic abdomino-perineal resection is safe and feasible for anastomotic
recurrence of rectal cancer.
PMID- 24935388
TI - A potential target of Tanshinone IIA for acute promyelocytic leukemia revealed by
inverse docking and drug repurposing.
AB - Tanshinone IIA is a pharmacologically active ingredient extracted from Danshen, a
Chinese traditional medicine. Its molecular mechanisms are still unclear. The
present study utilized computational approaches to uncover the potential targets
of this compound. In this research, PharmMapper server was used as the inverse
docking tool and the results were verified by Autodock vina in PyRx 0.8, and by
DRAR-CPI, a server for drug repositioning via the chemical-protein interactome.
Results showed that the retinoic acid receptor alpha (RARalpha), a target protein
in acute promyelocytic leukemia (APL), was in the top rank, with a pharmacophore
model matching well the molecular features of Tanshinone IIA. Moreover, molecular
docking and drug repurposing results showed that the complex was also matched in
terms of structure and chemical-protein interactions. These results indicated
that RARalpha may be a potential target of Tanshinone IIA for APL. The study can
provide useful information for further biological and biochemical research on
natural compounds.
PMID- 24935389
TI - Calculation of life-time death probability due malignant tumors based on a
sampling survey area in China.
AB - PURPOSE: To calculate the probability of one person's life-time death caused by a
malignant tumor and provide theoretical basis for cancer prevention. MATERIALS
AND METHODS: The probability of one person's death caused by a tumor was
calculated by a probability additive formula and based on an abridged life table.
All data for age-specific mortality were from the third retrospective
investigation of death cause in China. RESULTS: The probability of one person's
death caused by malignant tumor was 18.7% calculated by the probability additive
formula. On the same way, the life-time death probability caused by lung cancer,
gastric cancer, liver cancer, esophageal cancer, colorectal and anal cancer were
4.47%, 3.62%, 3.25%, 2.25%, 1.11%, respectively. CONCLUSIONS: Malignant tumor is
still the main cause of death in one's life time and the most common causes of
cancer death were lung, gastric, liver, esophageal, colorectal and anal cancers.
Targeted forms of cancer prevention and treatment strategies should be worked out
to improve people's health and prolong life in China. The probability additive
formula is a more scientific and objective method to calculate the probability of
one person's life-time death than cumulative death probability .
PMID- 24935390
TI - Cytotoxic activity of biosynthesized gold nanoparticles with an extract of the
red seaweed Corallina officinalis on the MCF-7 human breast cancer cell line.
AB - BACKGROUND: Nano-biotechnology is recognized as offering revolutionary changes in
the field of cancer therapy and biologically synthesized gold nanoparticles are
known to have a wide range of medical applications. MATERIALS AND METHODS: Gold
nanoparticles (GNPs) were biosynthesized with an aqueous extract of the red alga
Corallina officinalis, used as a reducing and stabilizing agent. GNPs were
characterized using UV-Vis spectroscopy, transmission electron microscopy (TEM),
energy dispersive analysis (EDX) and Fourier transform infra-red (FT-IR)
spectroscopy and tested for cytotoxic activity against human breast cancer (MCF
7) cells cultured in Dulbecco's modified Eagle medium supplemented with 10% fetal
bovine serum, considering their cytotoxicty and effects on cellular DNA. RESULTS:
The biosynthesized GNPs were 14.6 +/- 1 nm in diameter. FT-IR analysis showed
that the hydroxyl functional group from polyphenols and carbonyl group from
proteins could assist in formation and stabilization. The GNPs showed potent
cytotoxic activity against MCF-7 cells, causing necrosis at high concentrations
while lower concentrations were without effect as indicated by DNA fragmentation
assay. CONCLUSIONS: The antitumor activity of the biosynthesized GNPs from the
red alga Corallina officinalis against human breast cancer cells may be due to
the cytotoxic effects of the gold nanoparticles and the polyphenolcontent of the
algal extract.
PMID- 24935391
TI - Phospholipase C epsilon 1 (PLCE1 rs2274223A>G, rs3765524C>T and rs7922612C>T)
polymorphisms and esophageal cancer risk in the Kashmir Valley.
AB - BACKGROUND: Phospholipase C epsilon 1 (PLCE1) encodes a member of the
phospholipase family of proteins that play crucial roles in carcinogenesis and
progression of several cancers including esophageal cancer (EC). In two large
scale genome-wide association studies (GWAS) single nucleotide polymorphisms
(SNP, rs2274223A>G, rs3765524C>T) in PLCE1 were identified as novel
susceptibility loci of esophageal cancer (EC) in China. The aim of the present
study was to investigate this finding in Kashmir Valley, a high risk area.
MATERIALS AND METHODS: We determined genotypes of three potentially functional
SNPs (rs2274223A>G, rs3765524C>T and rs7922612C>T) of PLCE1 in 135 EC patients,
and 195 age and gender matched controls in Kashmiri valley by PCR RFLP method.
Risk for developing EC was estimated by binary logistic regression using SPSS.
RESULTS: The selected PLCE1 polymorphisms did not show independent association
with EC. However, the G2274223T3765524T7922612 haplotype was significantly
associated with increased risk of EC (OR=2.92; 95% CI=1.30-6.54; p=0.009).
Smoking and salted tea proved to be independent risk factors for EC. CONCLUSIONS:
Genetic variations in PLCE1 modulate risk of EC in the high risk Kashmiri
population.
PMID- 24935392
TI - Prevalence of oral pre-malignant lesions and its risk factors in an Indian
subcontinent low income migrant group in Qatar.
AB - BACKGROUND: The expatriate population in Qatar largely comprises workers from the
Indian subcontinent which has a very high rate of oral malignancy. Social and
cultural habits and as well premalignant risk factors in this population remain
prevalent even after migration. MATERIALS AND METHODS: This cross sectional study
assessed the prevalence of risk factors and occurrence of oral precancerous
lesions in a low income group expatriate community from the Indian subcontinent
residing in Qatar. RESULTS: Among the 3,946 participants screened for oral
premalignant lesions 24.3% (958) were smokers and 4.3 % (169) were pan chewers
while 6.3% (248) were users of both smoked and smokeless forms of tobacco.
Significantly higher proportion of industrial laborers (49.9%) followed by
drivers (24.1%) were found to be smokers (p=0.001). The prevalence of white
lesions was higher in smokers versus non-smokers 3.5% versus 2.3% (p=0.111),
however this difference was statistically non-significant. Red and white lesions
were highly significant (i.e. 1.2 % and 10.9% respectively) in the subjects with
pan chewing and smoking habits (p=0.001). A significant proportion (8.9%) of the
subjects with pan chewing habit showed evidence of oral precancerous lesions
(p=0.001). CONCLUSIONS: Even though smoking and pan chewing were two significant
risk factors detected in this population, their prevalence and occurrence of
premalignant lesions are low as compared to the studies conducted in their home
countries.
PMID- 24935393
TI - A novel suberoylanilide hydroxamic acid histone deacetylase inhibitor derivative,
N25, exhibiting improved antitumor activity in both human U251 and H460 cells.
AB - N1- (2, 5-dimethoxyphenyl)-N(8)-hydroxyoctanediamide (N25) is a novel SAHA cap
derivative of HDACi, with a patent (No. CN 103159646). This invention is a
hydroxamic acid compound with a structural formula of RNHCO(CH2)6CONHOH (wherein
R=2, 5dimethoxyaniline), a pharmaceutically acceptable salt which is soluble. In
the present study, we investigated the effects of N25 with regard to drug
distribution and molecular docking, and anti-proliferation, apoptosis, cell
cycling, and LD50. First, we designed a molecular approach for modeling selected
SAHA derivatives based on available structural information regarding human HDAC8
in complex with SAHA (PDB code 1T69). N25 was found to be stabilized by direct
interaction with the HDAC8. Anti-proliferative activity was observed in human
glioma U251, U87, T98G cells and human lung cancer H460, A549, H1299 cells at
moderate concentrations (0.5-30 MUM). Compared with SAHA, N25 displayed an
increased antitumor activity in U251 and H460 cells. We further analyzed cell
death mechanisms activated by N25 in U251 and H460 cells. N25 significantly
increased acetylation of Histone 3 and inhibited HDAC4. On RT-PCR analysis, N25
increased the mRNA levels of p21, however, decreased the levels of p53. These
resulted in promotion of apoptosis, inducing G0/G1 arrest in U251 cells and G2/M
arrest in H460 cells in a time-dependent and dose- dependent manner. In addition,
N25 was able to distribute to brain tissue through the blood-brain barrier of
mice (LD50: 240.840 mg/kg). In conclusion, our findings demonstrate that N25 will
provide an invaluable tool to investigate the molecular mechanism with potential
chemotherapeutic value in several malignancies, especially human glioma.
PMID- 24935394
TI - Total delay for treatment among cancer patients: a theory-guided survey in China.
AB - PURPOSE: This study aimed at exploring treatment delay (TD) among cancer patients
in China with an attempt to develop a practical methodology facilitating
frontline Chinese clinicians in promoting earlier cancer diagnosis and treatment.
MATERIALS AND METHODS: The study comprised framework development, qualitative
interviews and paired factor rating. Framework development utilized systematic
literature review, soft systems thinking and consensus groups. Qualitative
interviews employed a checklist of open questions soliciting information about
all the domains included the framework from cancer patients drawn via stratified
randomized sampling of inpatients at 10 hospitals in Hefei, China. Paired factor
rating used a self-developed computer aid and the interviewed patients as
referring cases to weigh the relative importance of the factors listed in the
framework in terms of their contributions to specific components of total delay
(TD). RESULTS: a) A conceptual framework was proposed consisting of a 6-step path
to TD and 36 category determinants. b) A total of 227 patients were interviewed;
their TD was 267.3 mean or 108 median days ranging from 0 to 2475 days; average
appraisal, illness, behavioral, preparation and treatment delay accounted for
52.1%, 9.4%, 0.30%, 8.8% and 29.4% of the TD respectively. Individual side
factors were rated substantially more important than environmental side factors
(60% vs. 40%); most influential TD factors included cancer symptoms, overall
health, family relations and knowledge about cancer and health. CONCLUSIONS: The
framework proposed together with the interviewing and rating approaches used
provide a potential new methodology for understanding cancer patients' TD and
promoting earlier cancer treatment.
PMID- 24935395
TI - Clinical, laboratory biomarkers and imaging findings of pancreatic adenocarcinoma
in Iran.
AB - BACKGROUND: Pancreatic cancer has a high mortality rate because it is usually
diagnosed late. Since little is known about this cancer in Iran, with the aim of
improving this knowledge deficiency, we evaluated clinical, laboratory
biomarkers, imaging findings and treatment modalities in Iranian patients with
pancreatic adenocarcinoma. MATERIALS AND METHODS: 131 cases of pancreatic
adenocarcinoma in 2010-2013 were obtained from the Taleghani Hospital Record
Department. Cases confirmed by histopathology from CT-guided biopsy, EUS-FNA and
surgery examination were included. We excluded those with incomplete medical
records. RESULTS: The study included 131 subjects between 24 and 97 years of age
and a mean age of 63 +/- 13.4 years. Eighty (61.1%) were male and 51 (38.9%)
female. Previous history included diabetes mellitus in 36 (27.5%), alcohol
drinking in 5 (3.9%), smoker in 28 (21.4%) and opium addiction in 13 (10%) . The
common presenting history included weight loss in 79 (60.3%), abdominal pain in
77 (58.8%), fever in 11 (8.4%), nausea in 30 (22.9%), jaundice in 72 (55%),
pruritus in 52 (39.7) and anemia in 33 (25.2%) . CA19-9 levels with cut offs of
50, 100 and 200 U/ml were increased in 81%, 72% and 66% of patients,
respectively. Tumor staging was: stage I, 3 (2.3%); stage II, 10 (7.6%); stage
III, 58 (44.3%); and stage IV, 60 (45.8%). From 45 patients, 17 received ERCP
inserted metallic stents and 22 plastic stents, the remaining 6 failed that PTC
was done. Whipple surgery and chemotherapy were conducted for 10 and 29 patients,
respectively. CONCLUSIONS: This disease affected older people and there was a
male preponderance. The commonest risk factors were diabetes mellitus, smoking
and cholelithiasis. The majority of patients presented with loss of appetite,
loss of weight, jaundice, abdominal pain and discomfort. Almost all presented at
late stages of the disease so that curative surgery was impossible. Also
chemotherapy was only performed in a few patients as a neoadjuant treatment.
PMID- 24935396
TI - Molecular target therapy of AKT and NF-kB signaling pathways and multidrug
resistance by specific cell penetrating inhibitor peptides in HL-60 cells.
AB - BACKGROUND: PI3/AKT and NF-kB signaling pathways are constitutively active in
acute myeloid leukemia and cross-talk between the two has been shown in various
cancers. However, their role in acute myeloid leukemia has not been completely
explored. We therefore used cell penetrating inhibitor peptides to define the
contributions of AKT and NF-kB to survival and multi drug resistance (MDR) in HL
60 cells. MATERIALS AND METHODS: Inhibition of AKT and NF-kB activity by AKT
inhibitor peptide and NBD inhibitor peptide, respectively, resulted in decreased
expression of mRNA for the MDR1 gene as assessed by real time PCR. In addition,
treatment of HL-60 cells with AKT and NBD inhibitor peptides led to inhibition of
cell viability and induction of apoptosis in a dose dependent manner as detected
by flow cytometer. RESULTS: Finally, co-treatment of HL-60 cells with sub-optimal
doses of AKT and NBD inhibitor peptides led to synergistic apoptotic responses in
AML cells. CONCLUSIONS: These data support a strong biological link between NF-kB
and PI3-kinase/AKT pathways in the modulation of anti- apoptotic and multi drug
resistant effects in AML cells. Synergistic targeting of these pathways using NF
kB and PI3-kinase/AK inhibitor peptides may have a therapeutic potential for AML
and possibly other malignancies with constitutive activation of these pathways.
PMID- 24935397
TI - Prevalence and factors associated with smoking intentions among non-smoking and
smoking adolescents in Kota Tinggi, Johor, Malaysia.
AB - Intention to smoke is a valid and reliable factor for predicting future smoking
habits among adolescents. This factor, however, has received inadequate attention
in Malaysia. The present paper elaborates the prevalence and factors associated
with intent to initiate or to cease smoking, among adolescent nonsmokers and
smokers in Kota Tinggi, Johor, Malaysia. A total of 2,300 secondary school
students aged 13-16 years were selected through a two-stage stratified sampling
method. A set of standardized questionnaires was used to assess the smoking
behavior among adolescents and the inter-personal and intra-personal factors
associated with smoking intention (intention to initiate smoking or to cease
smoking). Multivariable logistic regression was used to identify factors related
to smoking intention. The prevalence of intention to smoke in the future or to
cease smoking among non- smoking adolescents and current smokers were 10.7% and
61.7% respectively. Having friends who smoke, social influence, and poor
knowledge about the ill effects on health due to smoking showed significant
relationships with intention to smoke in the future among non-smokers.
Conversely, perceived lower prevalence of smoking among peers, weak contributory
social influence, and greater awareness of the ill effects of smoking are factors
associated with the intention to cease smoking sometime in the future. The study
found that prevalence of intention to initiate smoking is low among non-smokers
while the majority of current smokers intended to cease smoking in the future.
Existing anti-smoking programmes that integrate the factors that have been
identified in the current study should be put in motion to reduce the prevalence
of intention to initiate smoking and increase the intention to cease smoking
among adolescents.
PMID- 24935398
TI - Expression and clinical significance of myeloid derived suppressor cells in
chronic hepatitis B patients.
AB - We here document discovery of expression profile of myeloid derived suppressor
cells (MDSCs) in chronic hepatitis B (CHB) patients and changes in the course of
disease. The study population was composed of 75 outpatient HBV cases and 15
healthy control cases. Peripheral blood samples were collected for separation of
mononuclear cells. Levels of MDSCs labeled with Lin-DR-CD11b+CD33+ obtained from
peripheral blood mononuclear cells (PBMC), were revealed to have significant
differences between the CHB and other groups. They were 0.414% for health control
cases and 0.226% for CHB cases (Z=-2.356, p=0.0189). It also observed that the
group of HBeAg positive cases had significant difference in MDSCs/ PBMC median
(X(2)=11.877, p=0.003), compared with group of HBeAg negative cases and the
healthy control group. It suggested considerable MDSCs might be involved in HBeAg
immune tolerance. In addition, negative correlations between MDSCs/PBMC and
parameters of ALT, AST and TBil, while positive correlation between MDSCs/ PBMC
and ALB parameter were found. Multiple comparisons between the four phases and
health control phase again, there was a statistically sifnificant difference
(X(2)=17.198, p=0.002). Taken together, these findings may provide a new
immunotherapy strategy for reduced the expression levels of MDSCs in CHB
patients, through induction of an autoimmune response to virus removal.
PMID- 24935399
TI - Is the combination of neutrophil to lymphocyte and platelet to lymphocyte ratios
a useful predictor of treatment response and prognosis in patients with non-small
cell lung cancer?
PMID- 24935400
TI - The potential role of human papillomavirus in colorectal carcinoma.
PMID- 24935401
TI - Retapamulin prescriptions and monitored off-label use.
AB - INTRODUCTION: Retapamulin, a topical pleuromutilin that selectively inhibits
bacterial protein synthesis, is approved for treatment of impetigo and
secondarily infected traumatic lesions in adults and in children older than 9
months of age. OBJECTIVE: A 5-year study was conducted to monitor prescription
use in children younger than 9 months of age. METHODS: Annual prescription events
were monitored in the UK Clinical Practice Research Datalink (CPRD) and the
ClinformaticsTM DataMart Multiplan (IMPACT), a product of OptumInsight Life
Sciences, Inc. (Eden Prairie, MN, USA), from the USA. RESULTS: In the CPRD, of
148 prescriptions, three (2 %) were identified in children aged less than 9
months between years 2008 and 2011. In IMPACT, of 59,210 claims for retapamulin
in children, 1,951 (3.3 %) were categorized as definitive, or uncertain for, less
than 9 months of age between 2007 and 2011. CONCLUSION: Retapamulin prescription
events in children aged less than 9 months were relatively low compared with
other recent estimations of off-label pediatric medicines. Our report provides a
framework for future investigations and discussions that may facilitate off-label
reporting schemes and promote pediatric drug safety.
PMID- 24935403
TI - Quantitative proteomic analysis of whey proteins in the colostrum and mature milk
of yak (Bos grunniens).
AB - BACKGROUND: Yak (Bos grunniens) is an important natural resource in mountainous
regions. To date, few studies have addressed the differences in the protein
profiles of yak colostrum and milk. We used quantitative proteomics to compare
the protein profiles of whey from yak colostrum and milk. Milk samples were
collected from 21 yaks after calving (1 and 28 d). Whey protein profiles were
generated through isobaric tag for relative and absolute quantification (iTRAQ)
labelled proteomics. RESULTS: We identified 183 proteins in milk whey; of these,
the expression levels of 86 proteins differed significantly between the whey from
colostrum and milk. Haemoglobin expression showed the greatest change; its levels
were significantly higher in the whey from colostrum than in mature milk whey.
Functional analysis revealed that many of the differentially expressed proteins
were associated with biological regulation and response to stimuli. Further,
eight differentially expressed proteins involved in the complement and
coagulation cascade pathway were enriched in milk whey. CONCLUSION: These
findings add to the general understanding of the protein composition of yak milk,
suggest potential functions of the differentially expressed proteins, and provide
novel information on the role of colostral components in calf survival.
PMID- 24935402
TI - Statin use and its effect on all-cause mortality of melanoma patients: a
population-based Dutch cohort study.
AB - Preclinical data showed anticancer effects of statins in melanoma, but meta
analyses could not demonstrate a reduced melanoma incidence in statin users.
Rather than preventing occurrence, statins might reduce growth and metastatic
spread of melanomas and ultimately improve survival. In this population-based
study, we investigated the relationship between statin use and survival of
melanoma patients. Patients >=18 years who were diagnosed with cutaneous melanoma
(Breslow thickness >1 mm) and registered in the Eindhoven Cancer Registry and in
PHARMO Database Network between 1 January 1998 and 31 December 2010 were
eligible. The hazard ratio (HR) of all-cause mortality was calculated by
employing adjusted time-dependent and time-fixed Cox proportional hazard models.
Disease-specific survival was estimated by means of 3-year relative survival
rates (RSR). A control cohort of randomly selected patients using statins from
PHARMO Database Network matched on age and gender was used to compare RSR of
statin users to the general population. After melanoma diagnosis, 171 of 709
patients used statins. Use of statins showed a nonsignificantly decreased hazard
of death (adjusted HR 0.76, 95% confidence interval [CI] 0.50-1.61). After
stratification for gender, male but not female statin users showed a favorable
outcome compared to nonusers (HR 0.57, 95% CI 0.32-0.99; HR 1.22, 95% CI 0.62
2.38, respectively). Three-year RSR for male statin users tended to be higher
than for nonusers (91% vs. 80.5%, P = 0.06), no differences were observed in
women (87.1% vs. 92.5%, P = 0.76). Statin use was not associated with an improved
survival of melanoma patients. The trend for better survival of male in contrast
to female statin users warrants further research.
PMID- 24935404
TI - Effect of dietary inclusion of Leucaena leucocephala or Moringa oleifera leaf
meal on performance of growing rabbits.
AB - This experiment was carried out to evaluate the effect of including either
Leucaena leucocephala (LLM) or Moringa oleifera leaf meal (MOLM) as tropical feed
resources at two levels (30 or 40 %) on growth performance, carcass traits, and
economical efficiency of growing rabbits. A total of 60 California growing
rabbits were randomly distributed into five experimental groups, each consisting
of 12 rabbits and were allocated individually; the groups were control, 30 % LLM,
40 % LLM, 30 % MOLM, and 40 % MOLM. The experimental period lasted from 6 to 12
weeks of age. The results showed that rabbits fed control and 30 % MOLM diets had
significantly the highest final body weight and daily weight gain being 2,040 and
2,000 g and 31.6 and 30.6 g/day, respectively. Similarly, the best feed
conversion ratio was associated with 30 % MOLM and control groups (3.2 and 3.4),
while the worst value was for 40 % LLM group (5.2). MOLM treatments recorded
significantly the highest dressing percentage along with control group. The
inclusion of MOLM by 30 and 40 % improved the economical efficiency (2 and 1.5)
in comparison with the control group (1.1). The results suggest that MOLM can be
included in growing rabbit diets up to 40 % without any adverse effect on growth
performance or carcass traits with higher economical efficiency of growing
rabbits.
PMID- 24935405
TI - Electrodynamics of soft multilayered particles dispersions: dielectric
permittivity and dynamic mobility.
AB - We report a theory for the evaluation of the electrodynamics of dispersions of
spherical soft multilayered (bio)particles, with microorganisms and
polyelectrolyte multilayers-coated particles as illustrative paradigms. These
particles generally consist of a hard (ion- and water-impermeable) core component
supporting a succession of step-function or diffuse-like concentric soft
(permeable) polymeric layers defined by distinct electrostatic, hydrodynamic and
structural properties. The formalism is based on a rigorous numerical resolution
of the coupled Navier-Stokes-Brinkman equation, continuity equations for the flow
and for the ionic species present in solution, and the non-linear Poisson
equation corrected for the multilayered nature of the soft interphase. The
frequency-dependent dynamic mobility and dielectric permittivity of such soft
particles suspensions are discussed as a function of the key electrohydrodynamic
features of the constituting particulate peripheral layers and solution salinity.
It is shown that the frequency dependent permittivity is mostly affected by the
total charge carried by the overall soft interphase. In contrast, the dynamic
mobility is mainly determined by the charge and friction characteristics of the
layers located within an electrokinetically-active outer particle region whose
extension is defined by the electric double layer thickness and the Brinkman
length. Results highlight that under particular electrolyte concentration and
layer-to-layer thickness ratio conditions, the dynamic mobility may reflect the
physico-chemical and structural properties of the only innermost layers of the
soft particle coating.
PMID- 24935407
TI - Inclusion of intersite spatial correlations in the alloy analogy approach to the
half-filled ionic Hubbard model.
AB - Using the nonlocal coherent-potential approximation we study the effect of
intersite spatial correlations on the transition from band insulator to metal as
well as from metal to Mott insulator in the 'alloy analogy' approach to the
paramagnetic solution of the half-filled ionic Hubbard model. We find that
intersite spatial correlations enhance the metallic phase.
PMID- 24935406
TI - Effects of schizophrenia risk variation in the NRG1 gene on NRG1-IV splicing
during fetal and early postnatal human neocortical development.
AB - OBJECTIVE: Neuregulin 1 (NRG1) is a multifunctional neurotrophin that mediates
neurodevelopment and schizophrenia risk. The NRG1 gene undergoes extensive
alternative splicing, and association of brain NRG1 type IV isoform expression
with the schizophrenia-risk polymorphism rs6994992 is a potential mechanism of
risk. Novel splice variants of NRG1-IV (NRG1-IVNV), with predicted unique
signaling capabilities, have been cloned in fetal brain tissue. The authors
investigated the temporal dynamics of transcription of NRG1-IVNV, compared with
the major NRG1 isoforms, across human prenatal and postnatal prefrontal cortical
development, and they examined the association of rs6994992 with NRG1-IVNV
expression. METHOD: NRG1 type I-IV and NRG1-IVNV isoforms were evaluated with
quantitative real-time polymerase chain reaction in human postmortem prefrontal
cortex tissue samples at 14 to 39 weeks gestation and postnatal ages 0-83 years.
The association of rs6994992 genotype with NRG1-IVNV expression and the
subcellular distribution and proteolytic processing of NRG1-IVNV isoforms were
also determined. RESULTS: Expression of NRG1 types I, II, and III was temporally
regulated during prenatal and postnatal neocortical development. NRG1-IVNV was
expressed from 16 weeks gestation until age 3. Homozygosity for the schizophrenia
risk allele (T) of rs6994992 conferred lower cortical NRG1-IVNV levels. Assays
showed that NRG1-IVNV is a novel nuclear-enriched, truncated NRG1 protein
resistant to proteolytic processing. CONCLUSIONS: To the authors' knowledge, this
study provides the first quantitative map of NRG1 isoform expression during human
neocortical development and aging. It identifies a potential mechanism of early
developmental risk for schizophrenia at the NRG1 locus, involving a novel class
of NRG1 proteins.
PMID- 24935408
TI - Immunoregulation effects of bone marrow-derived mesenchymal stem cells in
xenogeneic acellular nerve grafts transplant.
AB - This study evaluated whether bone marrow-derived mesenchymal stem cells (BM-MSCs)
combined with xenogeneic acellular nerve grafts (xANGs) would reduce the
inflammation reaction of xANGs transplantation. BM-MSCs were extracted,
separated, purified, and cultured from the bone marrow of rats. Then BM-MSCs were
seeded into 5 mm xANGs as experimental group, while xANGs group was chosen as
control. Subcutaneous implantation and nerve grafts transplantation were done in
this study. Walking-track tests, electrophysiological tests, H&E staining, and
immunostaining of CD4, CD8, and CD68 of subcutaneous implantations, cytokine
concentrations of IL-2, IL-10, IFN-gamma and TNF-alpha in lymphocytes
supernatants and serum of the two groups were evaluated. Walking-track tests and
electrophysiological tests suggested the group of BM-MSCs with xANGs obtained
better results than xANGs group (P < 0.05). H&E staining and immunostaining of
CD4, CD8, and CD68 of subcutaneous implantations showed there were less
inflammatory cells in the group of BM-MSCs when compared with the xANGs group.
The cytokine concentrations of IL-2, IFN-gamma, and TNF-alpha in BM-MSCs group
were lower than xANGs group in lymphocytes supernatants and serum (P < 0.05).
However, IL-10 concentrations in BM-MSCs group were higher than xANGs group (P <
0.05). xANG with BM-MSCs showed better nerve repair function when compared with
xANG group. Furthermore, xANG with BM-MSCs showed less inflammatory reaction
which might indicate the reason of its better nerve regeneration.
PMID- 24935410
TI - Waiting for words.
PMID- 24935409
TI - Inhibition of MMP-2 but not MMP-9 influences inner ear spiral ganglion neurons in
vitro.
AB - Matrix metalloproteinases (MMPs) play an important role in modeling of the
extracellular matrix. There is increasing evidence that these proteases are
important in neurite elongation and axonal guidance during development in the
central nervous system and retina. Moreover, they are also expressed after acute
injury and can be the key mediators of pathogenesis. However, the role of MMPs in
the inner ear is largely unknown. Our group recently demonstrated that general
inhibition of MMPs resulted in auditory hair cell loss in vitro. In the present
study, we investigated the role of MMPs in inner ear spiral ganglion neuron (SGN)
survival, neuritogenesis and neurite extension by blocking MMPs known to be
involved in axonal guidance, neurite elongation, and apoptosis in other neuronal
systems. Spiral ganglion (SG) explants from 5-day-old Wistar rats were treated
with different concentrations of the general MMP inhibitor GM6001, a specific MMP
2 inhibitor, and a specific MMP-9 inhibitor, in vitro. The general inhibitor of
MMPs and the specific inhibition of MMP-2 significantly reduced both the number
of neurites that extended from SG explants, as well as the length of individual
neurites. However, neither the general inhibitor of MMPs nor the specific
inhibition of MMP-2 influenced SGN survival. Inhibition of MMP-9 had no influence
on SGNs. The data suggest that MMPs, and more specifically MMP-2, influence the
growth of developing afferent neurites in the mammalian inner ear in vivo.
PMID- 24935412
TI - Methods of assessment of tophus and bone erosions in gout using dual-energy CT:
reproducibility analysis.
AB - This study aims to evaluate the intraobserver and interobserver reproducibility
of the tophus urate volume, erosion volume, and the erosion score measurements in
patients with gout by using dual-energy CT (DECT) scans comparing their bone
erosion volumes against bone erosion scores and also to determine a valid measure
of joint destruction in chronic gout. Sixty-six subjects underwent DECT scans of
the hands or feet. Two independent observers measured the tophus urate volumes
and bone erosion volumes using automated volume assessment software and the
erosion scores based on the rheumatoid arthritis magnetic resonance imaging score
(RAMRIS). The intraobserver and interobserver reproducibility were analyzed by
intraclass correlation coefficient (ICC) and limits of agreements analysis. The
relationship between erosion volumes and erosion scores was analyzed. The
intraobserver and interobserver ICC for tophus urate volume measurements (n =
636) were 1.000 (95 % confidence interval (95 % CI) 1.000 to 1.000) and 1.000 (95
% CI 1.000 to 1.000), 0.999 (0.999, 0.999) and 0.999 (0.999, 0.999) for bone
erosion volumes (n = 350), 0.937 (0.928, 0.946) and 0.899 (0.883, 0.912) for
erosion scores (n = 350). Strong positive correlations were demonstrated between
individual erosion volumes and scores (r s = 0.914, p < 0.001) as well as total
erosion volume and score per patient (r = 0.838-0.867, p < 0.001). This study
demonstrated a high reproducibility of tophus urate volumes, erosion volumes, and
erosion score measurements using DECT. Erosion volumes show to be a more direct
and accurate method to evaluate bone erosion compared with erosion score,
strongly supporting it as a superior and standard measure of structural joint
damage in gout.
PMID- 24935413
TI - Posterior cerebral artery stroke presenting as alexia without agraphia.
AB - Alexia without agraphia (pure alexia) was the first of the disconnection
syndromes to be described by Dejerine who reported a patient of alexia without
agraphia secondary to an embolic occipital lobe infarct. We herein report a 55
year-old man who presented with alexia without agraphia with magnetic resonance
imaging suggestive of left posterior cerebral artery infarct involving left
occipital lobe and splenium of corpus callosum. Alexia without agraphia is a
relatively uncommon clinical condition, which should always be thought in a
patient presenting with difficulty in reading with normal visual acuity.
Ophthalmologists should also be aware of this disconnection syndrome as many
patients initially take their advice due to predominant visual complaints. Early
diagnosis and treatment of this condition help in ensuring the patient and
attendants about nonprogressive nature of the disease and may prevent further
episodes of stroke.
PMID- 24935411
TI - Key facts and hot spots on tumor necrosis factor receptor-associated periodic
syndrome.
AB - Tumor necrosis factor receptor-associated periodic syndrome (TRAPS), formerly
known as familial Hibernian fever, is the most common autosomal dominant
autoinflammatory disease, resulting from mutations in the TNFRSF1A gene, encoding
the 55-kD tumor necrosis factor receptor. The pathophysiologic mechanism of TRAPS
remains ambiguous and only partially explained. The onset age of the syndrome is
variable and the clinical scenery is characterized by recurrent episodes of high
grade fever that typically lasts 1-3 weeks, associated with migrating myalgia,
pseudocellulitis, diffuse abdominal pain, appendicitis-like findings, ocular
inflammatory signs, and risk of long-term amyloidosis. Fever episodes are
responsive to high-dose corticosteroids, but different classes of drugs have been
reported to be ineffective. The use of etanercept is unable to control systemic
inflammation, while interleukin-1 blockade has been shown as effective in the
control of disease activity in many patients reported so far.
PMID- 24935414
TI - Evaluation of the cloudy sky solar UVA radiation exposures.
AB - The influence of cloud on the solar UVA (320-400 nm) exposures over five minute
periods on a horizontal plane has been investigated. The first approach used
cloud modification factors that were evaluated using the influence of clouds on
the global solar exposures (310-2800 nm) and a model developed to apply these to
the clear sky UVA exposures to allow calculation of the five minute UVA exposures
for any cloud conditions. The second approach established a relationship between
the UVA and the global solar exposures. The models were developed using the first
six months of data in 2012 for SZA less than or equal to 70 degrees and were
applied and evaluated for the exposures in the second half of 2012. This
comparison of the modelled exposures for all cloud conditions to the measured
data provided an R(2) of 0.8 for the cloud modification model, compared to an
R(2) of 0.7 for the UVA/global model. The cloud modification model provided 73%
of the five minute exposures within 20% of the measured UVA exposures. This was
improved to 89% of the exposures within 20% of the measured UVA exposures for the
cases of cloud with the sun not obscured.
PMID- 24935415
TI - Raman ratios on the repair of grafted surgical bone defects irradiated or not
with laser (lambda780 nm) or LED (lambda850 nm).
AB - This work aimed to assess biochemical changes associated to mineralization and
remodeling of bone defects filled with Hydroxyapatite+Beta-Beta-tricalcium
phosphate irradiated or not with 2 light sources. Ratios of intensities, band
position and bandwidth of selected Raman peaks of collagen and apatites were
used. Sixty male Wistar rats were divided into 6 groups subdivided into 2
subgroups (15th and 30th days). A standard surgical defect was created on one
femur of each animal. In 3 groups the defects were filled with blood clot (Clot,
Clot+Laser and Clot+LED groups) and in the remaining 3 groups the defects were
filled with biomaterial (Biomaterial, Biomaterial+Laser and Biomaterial+LED
groups). When indicated, the defects were irradiated with either Laser (lambda780
nm, 70 mW, Phi~0.4 cm(2)) or LED (lambda850+/-10 nm, 150 mW, Phi~0.5 cm(2)), 20
J/cm(2) each session, at 48 h intervals/2 weeks (140 J/cm(2) treatment).
Following sacrifice, bone fragments were analyzed by Raman spectroscopy.
Statistical analysis (ANOVA General Linear Model, p<0.05) showed that both
grafting and time were the variables that presented significance for the ratios
of ~1660/~1670 cm(-1) (collagen maturation), ~1077/~854 cm(-1) (mineralization),
~1077/~1070 cm(-1) (carbonate substitution) and the position of the ~960 cm(-1)
(bone maturation). At 30th day, the ratios indicated an increased deposition of
immature collagen for both Clot and Biomaterial groups. Biomaterial group showed
increased collagen maturation. Only collagen deposition was significantly
dependent upon irradiation independently of the light source, being the amount of
collagen I increased in the Clot group at the end of the experimental time. On
the other hand, collagen I deposition was reduced in biomaterial irradiated
groups. Raman ratios of selected protein matrix and phosphate and carbonate HA
indicated that the use of biphasic synthetic micro-granular HA+Beta-TCP graft
improved the repair of bone defects, associated or not with Laser or LED light,
because of the increasing deposition of HA.
PMID- 24935416
TI - Effect of humic acid on photocatalytic activity of ZnO nanoparticles.
AB - Zinc oxide nanoparticles (ZnO NPs) are widely used in consumer products including
sunscreens, textiles and paints. The indiscriminate use of such materials may
leads to its release into the environment. The present study evaluated the
photocatalytic effect of ZnO NPs in presence of humic acid (HA), which is an
important factor present largely in the environment. ZnO NPs were characterized
by using UV-visible spectrophotometer, scanning electron microscopy, particle
size analyzer and X-ray diffraction analysis. The mean diameter of the particles
was found to be 55+/-2.1 nm. The XRD patterns exhibited hexagonal structure for
ZnO NPs. The photocatalytic activity of ZnO NPs was evaluated based on the change
in UV-visible absorption spectra of the methylene blue solution as a function of
reaction time under visible light source. The rate of photocatalytic degradation
of methylene blue was decreased with increase in HA concentration.
PMID- 24935417
TI - Upper gastrointestinal bleeding: risk scores and clinical judgment in predicting
outcomes of UGIB.
AB - Predicting outcomes in upper gastrointestinal bleeding (UGIB) is important for
identifying patients at high risk of morbidity and mortality who would benefit
from early intervention. Various scoring systems have been developed to this end,
but could clinical judgment replace or complement these risk stratification
scores?
PMID- 24935418
TI - Gut microbiota: stunted gut microbiota development persists after therapeutic
food interventions in children with severe acute malnutrition.
PMID- 24935420
TI - Liver: the liver as a firewall--clearance of commensal bacteria that have escaped
from the gut.
PMID- 24935421
TI - Biliary tract. IL-33, innate lymphoid cells and IL-13 are required for
cholangiocyte proliferation.
PMID- 24935422
TI - Coeliac disease: noncoeliac gluten sensitivity--food for thought.
AB - Patients with noncoeliac gluten sensitivity (NCGS) can experience a range of
gastrointestinal and extraintestinal symptoms. A study has now demonstrated that
gluten is independently associated with depression in patients with NCGS. NCGS
associated depression might share similar pathophysiological mechanisms to other
neurological manifestations observed in gluten-related disorders, such as ataxia
and encephalopathy.
PMID- 24935426
TI - Intracellular remodelling of Ca2+ stores in pulmonary hypertension.
PMID- 24935428
TI - HDL in innate and adaptive immunity.
AB - During infections or acute conditions high-density lipoproteins cholesterol (HDL
C) levels decrease very rapidly and HDL particles undergo profound changes in
their composition and function. These changes are associated with poor prognosis
following endotoxemia or sepsis and data from genetically modified animal models
support a protective role for HDL. The same is true for some parasitic
infections, where the key player appears to be a specific and minor component of
HDL, namely apoL-1. The ability of HDL to influence cholesterol availability in
lipid rafts in immune cells results in the modulation of toll-like receptors, MHC
II complex, as well as B- and T-cell receptors, while specific molecules shuttled
by HDL such as sphingosine-1-phosphate (S1P) contribute to immune cells
trafficking. Animal models with defects associated with HDL metabolism and/or
influencing cell cholesterol efflux present features related to immune disorders.
All these functions point to HDL as a platform integrating innate and adaptive
immunity. The aim of this review is to provide an overview of the connection
between HDL and immunity in atherosclerosis and beyond.
PMID- 24935429
TI - The energetic cost of contraction is higher in the myocardium of patients with
hypertrophic cardiomyopathy.
PMID- 24935427
TI - Critical role of matrix metalloprotease-9 in chronic high fat diet-induced
cerebral vascular remodelling and increase of ischaemic brain injury in mice?.
AB - AIMS: About one-third of American adults and 20% of teenagers are obese. Obesity
and its associated metabolic disturbances including hyperlipidaemia are risk
factors for cardiovascular diseases including stroke. They can worsen
neurological outcome after stroke. We determined whether obesity and
hyperlipidaemia could induce cerebral vascular remodelling via matrix
metalloproteases (MMP) and whether this remodelling affected neurological outcome
after brain ischaemia. METHODS AND RESULTS: Six-week-old male CD1, C57BL/6J, and
MMP-9(-/-) mice were fed regular diet (RD) or high-fat diet (HFD) for 10 weeks.
They were subjected to vascular casting or a 90 min middle cerebral arterial
occlusion (MCAO). Mice on HFD were heavier and had higher blood glucose and lipid
levels than those on RD. HFD-fed CD1 and C57BL/6J mice had an increased cerebral
vascular tortuosity index and decreased inner diameters of the middle cerebral
arterial root. HFD increased microvessel density in CD1 mouse cerebral cortex.
After MCAO, CD1 and C57BL/6J mice on HFD had a bigger infarct volume, more severe
brain oedema and blood-brain barrier damage, higher haemorrhagic transformation
rate, greater haemorrhagic volume, and worse neurological function. HFD increased
MMP-9 activity in the ischaemic and non-ischaemic brain tissues. Although HFD
increased the body weights, blood glucose, and lipid levels in the MMP-9(-/-)
mice on a C57BL/6J genetic background, the HFD-induced cerebral vascular
remodelling and worsening of neurological outcome did not occur in these mice.
CONCLUSION: HFD induces cerebral vascular remodelling and worsens neurological
outcome after transient focal brain ischaemia. MMP-9 activation plays a critical
role in these HFD effects.
PMID- 24935430
TI - Mechanisms underlying capsaicin effects in canine coronary artery: implications
for coronary spasm.
AB - AIMS: The TRPV1, transient receptor potential vanilloid type 1, agonist capsaicin
is considered to be beneficial for cardiovascular health because it dilates
coronary arteries through an endothelial-dependent mechanism and may slow
atheroma progression. However, recent reports indicate that high doses of
capsaicin may constrict coronary arterioles and even provoke myocardial
infarction. Thus far, the mechanisms by which TRPV1 activation modulates coronary
vascular tone remain poorly understood. This investigation examined whether there
is a synergistic interplay between locally acting vasoconstrictive pro
inflammatory hormones (autacoids) and capsaicin effects in the coronary
circulation. METHODS AND RESULTS: Experiments were performed in canine conduit
coronary artery rings and isolated smooth muscle cells (CASMCs). Isometric
tension measurements revealed that 1-10 MUM capsaicin alone did not affect
resting tension of coronary artery rings. In contrast, in endothelium-intact
rings pre-contracted with a Gq/11-coupled FP/TP (prostaglandin F/thromboxane)
receptor agonist, prostaglandin F2alpha (PGF2alpha; 10 MUM), capsaicin first
induced transient dilation that was followed by sustained contraction. In
endothelium-denuded rings pre-contracted with PGF2alpha or thromboxane analogue
U46619 (1 MUM, a TP receptor agonist), capsaicin induced only sustained
contraction. Blockers of the TP receptor or TRPV1 significantly inhibited
capsaicin effects, but these were still observed in the presence of 50 MUM
nifedipine and 70 mM KCl. Capsaicin also potentiated 20 mM KCl-induced
contractions. Fluorescence imaging experiments in CASMCs revealed that the Gq/11
phospholipase C (PLC)-protein kinase C (PKC) and Ca(2+)-PLC-PKC pathways are
likely involved in sensitizing CASMC TRPV1 channels. CONCLUSION: Capsaicin alone
does not cause contractions in conduit canine coronary artery; however, pre
treatment with pro-inflammatory prostaglandin-thromboxane agonists may unmask
capsaicin's vasoconstrictive potential.
PMID- 24935432
TI - High-density lipoproteins as modulators of endothelial cell functions:
alterations in patients with coronary artery disease.
AB - Alteration of endothelial cell functions, including reduced endothelial nitric
oxide (NO) availability, increased endothelial cell apoptosis, adhesion
molecule/chemokine expression and pro-thrombotic activation are thought to
contribute to the pathophysiology of atherosclerosis and coronary-artery-disease
(CAD) with its clinical complications, such as acute coronary syndromes. High
density lipoproteins (HDL) from healthy subjects or reconstituted HDL have been
observed to exert potential direct anti-atherogenic effects by modulating these
endothelial cell functions. Importantly, endothelial effects of HDL have now been
reported to be highly heterogeneous, and are modulated as part of immune
responses. More recently, this has also been observed for HDL of patients with
CAD, where HDL becomes potentially pro-inflammatory and endothelial-protective
properties are markedly altered. Several mechanisms may lead to these altered
endothelial effects of HDL in patients with CAD, including oxidative modification
of HDL-associated lipids and proteins, such as apoA-I and paraoxonase-1, and
alterations of HDL-proteome. These findings have to be considered with respect to
interpretation of recent clinical studies failing to demonstrate reduced
cardiovascular events by HDL-cholesterol raising strategies in patients with CAD.
Both clinical and genetic studies suggest that HDL-cholesterol levels alone are
not a sufficient therapeutic target in patients with CAD. The focus of this
review is to summarize the role of HDL onto endothelial homeostasis and to
describe recently characterized molecular pathways involved. We highlight how
structural and functional modifications of HDL particles in patients with CAD may
perturb the physiological homeostasis and lead to a loss of endothelial
protective properties of HDL in patients with CAD.
PMID- 24935433
TI - Leucocyte expression of complement C5a receptors exacerbates infarct size after
myocardial reperfusion injury.
AB - AIMS: Early reperfusion is mandatory for the treatment of acute myocardial
infarction. This process, however, also induces additional loss of viable
myocardium, called ischaemia-reperfusion (IR) injury. Complement activation plays
an important role in IR injury, partly through binding of C5a to its major
receptor (C5aR). We investigated the role of C5aR on infarct size and cardiac
function in a model for myocardial IR injury. METHODS AND RESULTS: BALB/c (WT)
mice and C5aR(-/-) mice underwent coronary occlusion for 30 min, followed by
reperfusion. Infarct size, determined 24 h after IR, was reduced in C5aR(-/-)
mice compared with WT mice (28.5 +/- 2.1 vs. 35.7 +/- 2.5%, P = 0.017). Bone
marrow (BM) chimaera experiments showed that this effect was due to the absence
of C5aR on circulating leucocytes, since a similar reduction in infarct size was
observed in WT mice with C5aR-deficient BM cells (25.3 +/- 2.2 vs. 34.6 +/- 2.8%,
P < 0.05), but not in C5aR(-/-) mice with WT BM cells. Reduced infarct size was
associated with fewer neutrophils, T cells, and macrophages in the infarcted area
24 h after IR in C5aR(-/-) mice, and also with lower levels of Caspase-3/7
indicating less inflammation and apoptosis. Echocardiography 4 weeks after IR
showed an improved ejection fraction in C5aR(-/-) mice (25.8 +/- 5.5 vs. 19.2 +/-
5.4%, P < 0.001). CONCLUSION: The absence of C5aR on circulating leucocytes
reduces infarct size, is associated with reduced leucocyte infiltration and with
less apoptosis in the infarcted myocardium, and improves cardiac function in a
mouse model of myocardial IR injury. Selective blocking of C5aR might be a
promising strategy to prevent myocardial IR injury.
PMID- 24935434
TI - HDL-mediated mechanisms of protection in cardiovascular disease.
AB - Low plasma levels of HDL-cholesterol (HDL-C) represent a strong and independent
risk factor for cardiovascular disease. HDL particles display a wide spectrum of
atheroprotective activities, which include effluxing cellular cholesterol,
diminishing cellular death, decreasing vascular constriction, reducing
inflammatory response, protecting from pathological oxidation, combating
bacterial infection, lessening platelet activation, regulating gene expression by
virtue of microRNAs, and improving glucose metabolism. It remains presently
indeterminate as to whether some biological activities of HDL are more relevant
for the protection of the endothelium from atherogenesis when compared with
others. The multitude of such activities raises the question of a proper assay to
assess HDL functionality ex vivo. Together with clear understanding of molecular
mechanisms underlying atheroprotective properties of HDL, such assay will provide
a basis to resolve the ultimate question of the HDL field to allow the
development of efficient HDL-targeting therapies.
PMID- 24935431
TI - Emerging roles of junctophilin-2 in the heart and implications for cardiac
diseases.
AB - Cardiomyocytes rely on a highly specialized subcellular architecture to maintain
normal cardiac function. In a little over a decade, junctophilin-2 (JPH2) has
become recognized as a cardiac structural protein critical in forming junctional
membrane complexes (JMCs), which are subcellular domains essential for excitation
contraction coupling within the heart. While initial studies described the
structure of JPH2 and its role in anchoring junctional sarcoplasmic reticulum and
transverse-tubule (T-tubule) membrane invaginations, recent research has an
expanded role of JPH2 in JMC structure and function. For example, JPH2 is
necessary for the development of postnatal T-tubule in mammals. It is also
critical for the maintenance of the complex JMC architecture and stabilization of
local ion channels in mature cardiomyocytes. Loss of this function by mutations
or down-regulation of protein expression has been linked to hypertrophic
cardiomyopathy, arrhythmias, and progression of disease in failing hearts. In
this review, we summarize current views on the roles of JPH2 within the heart and
how JPH2 dysregulation may contribute to a variety of cardiac diseases.
PMID- 24935435
TI - Analysis of suspected wildlife crimes submitted for forensic examinations in
Scotland.
AB - This study describes the occurrence of suspected wildlife crimes submitted for
forensic examination in Scotland in 2010. The study aims were to determine which
types of crimes were committed, which species were targeted, and the outcome of
investigations, in order to assess the contribution of forensic examinations in
the prosecution of wildlife crimes. Information on suspected wildlife crimes
submitted between January 1 and December 31, 2010 to the SAC Consulting:
Veterinary Services Disease Surveillance Centers, Science and Advice for Scottish
Agriculture, and to the University of Glasgow, was used. The location of
suspected crimes, the species targeted, cause of death, and types of the 188
submitted wildlife crimes were summarized. More information regarding cases
involving birds were submitted than cases involving mammals, and included 114
raptors, 14 waterfowl, and 22 "other bird species." Mammal cases (n = 38)
included 12 badgers, 8 foxes, 7 deer, 4 hares, and 7 "other mammals." The cause
of death was determined in 124 suspected crimes; malicious or accidental trauma
was the most likely cause of death in 72, and 33 were poisoned. Forensic evidence
supporting criminal activity was found in 53 cases, and poisoning was the most
frequent crime recorded. At least five individuals were successfully prosecuted,
representing 2.7 % of submissions. It was challenging to track cases from
submission through to prosecution and laboratories conducting forensic
investigations were often not informed of the outcome of prosecutions or court
decisions.
PMID- 24935436
TI - Can early myocardial infarction-related deaths be diagnosed using postmortem
urotensin receptor expression levels?
AB - PURPOSE: Myocardial infarction (MI) is one of the most prevalent causes of sudden
adult death. It is difficult to diagnose early MI postmortem because there are no
typical or characteristic changes in morphology. In this study, changes in the
level of the mRNA for the urotensin receptor (UR) were investigated postmortem to
determine the suitability of UR as a biomarker for diagnosis of early MI after
death. METHODS: An MI rat model was developed by injecting rats with
isoproterenol (ISO) (lethal dose 850 mg/kg) or normal saline (control group). The
hearts of rats in the control and ISO-induced MI groups were harvested at 0, 1,
3, 6, 12, 24, 48, and 72 h (h) postmortem. The hearts were then immediately
submerged in 1 mL of RNA stabilization solution and stored at 4 degrees C for <1
week before RNA extraction. Relative UR expression analysis was performed using
the StepOne Plus Real Time PCR System with cDNA synthesized from rat heart.
RESULTS: Postmortem UR mRNA expression was higher in the ISO-induced MI group
than in the control group, at both 4 and 20 degrees C, at all of the time points
examined except 72 h postmortem (p < 0.0001). The largest increases were observed
at ambient temperature and 6 h postmortem. CONCLUSIONS: Based on our findings,
increased postmortem UR expression could serve as a biomarker to aid diagnosis of
early MI.
PMID- 24935437
TI - Simultaneous determination of seven ginsenosides in rat plasma by high
performance liquid chromatography coupled to time-of-flight mass spectrometry:
application to pharmacokinetics of Shenfu injection.
AB - A high-performance liquid chromatography coupled to time-of-flight mass
spectrometry (HPLC-TOF MS) method was successfully developed and validated for
the identification and determination of seven ginsenosides, Re , Rf , Rb1 , Rc ,
Rb2 , Ro and Rd , in a Chinese herbal preparation, Shenfu injection, and rat
plasma. Based on the method, the pharmacokinetic profiles of the seven
ginsenosides were investigated following intravenous administration of single
dose of Shenfu injection to six rats. The established method had high linearity,
selectivity, sensitivity, accuracy and precision. The pharmacokinetic results
showed that Rb1 , Rc and Rb2 had similar pharmacokinetic profiles and relatively
long half-life values (19.29 +/- 6.36, 29.54 +/- 22.91 and 35.60 +/- 30.66 h).
The half-lives of Rf and Rd were 4.21 +/- 3.68 and 8.49 +/- 5.20 h, respectively,
indicating that they could be metabolized more rapidly than Rb1 , Rc and Rb2 .
PMID- 24935439
TI - The interplay between intuitive psychology and intuitive sociology.
PMID- 24935438
TI - Impact of obesity on mammary gland inflammation and local estrogen production.
AB - Obesity rates have risen dramatically over the past century, having nearly
doubled since 1980. Changes in diet and lifestyle have contributed to this
occurrence in younger women, and changing hormone levels during the menopausal
transition has no doubt exacerbated the issue in older women. The relationship
between adiposity and breast cancer is clear in postmenopausal women, and is
intimately linked to the increased expression of aromatase and the production of
estrogens within the breast adipose. This, in turn, is highly dependent on the
localized chronic inflammation observed in obese adipose. This review will
therefore explore the relationship between obesity, inflammation and estrogens,
with a particular focus on the molecular regulation of aromatase in the
postmenopausal breast in the context of obesity and breast cancer.
PMID- 24935441
TI - Determinants and prevalence of e-cigarette use throughout the European Union: a
secondary analysis of 26 566 youth and adults from 27 Countries.
AB - OBJECTIVE: This study assessed the prevalence and determinants of e-cigarette use
among persons aged >=15 years in 27 European Union (EU) member countries during
2012. METHODS: The 2012 Eurobarometer 385 (77.1) survey was analysed for n=26 566
respondents. Knowledge, perception of harm, and determinants of e-cigarettes use
were assessed, while separate regression analyses among current (n=7352) and
former cigarette smokers (n=5782) were performed. National estimates of the
number of e-cigarette users were also extrapolated. RESULTS: 20.3% of current
smokers, 4.7% of ex-smokers, and 1.2% of never cigarette smokers in the EU
reported having ever used an e-cigarette (overall approximately 29.3 million
adults). Among smokers, ever e-cigarette use was more likely among 15-24-year
olds (aOR 3.13, 95% CI 2.22 to 4.54) and 25-39-year-olds (aOR 2.00, 95% CI 1.47
to 2.78) in comparison to older smokers, and among those who smoked 6-10
cigarettes/day (aOR 1.53, 95% CI 1.10 to 2.13) or 11-20 cigarettes/day (aOR 2.07,
95% CI 1.52 to 2.81) in comparison to very light smokers (<=5 cigarettes/day).
Moreover, e-cigarette use was more likely among smokers who had made a past year
quit attempt (aOR 2.08, 95% CI 1.67 to 2.58). E-cigarette use among ex-smokers
was associated only with the respondents' age, with younger ex-smokers being more
likely to have ever used an e-cigarette. CONCLUSIONS: A substantial number of EU
adults have ever used e-cigarettes. Ever users were more likely to be younger,
current smokers, or past-year quit attempters. These findings underscore the need
to evaluate the potential long term impact of e-cigarette use on consumer health,
cessation and nicotine addiction and formulate a European framework for e
cigarette regulation within the revised EU Tobacco Product Directive.
PMID- 24935440
TI - Cytotoxicity of the Vibrio vulnificus MARTX toxin effector DUF5 is linked to the
C2A subdomain.
AB - The multifunctional-autoprocessing repeats-in-toxin (MARTX) toxins are bacterial
protein toxins that serve as delivery platforms for cytotoxic effector domains.
The domain of unknown function in position 5 (DUF5) effector domain is present in
at least six different species' MARTX toxins and as a hypothetical protein in
Photorhabdus spp. Its presence increases the potency of the Vibrio vulnificus
MARTX toxin in mouse virulence studies, indicating DUF5 directly contributes to
pathogenesis. In this work, DUF5 is shown to be cytotoxic when transiently
expressed in HeLa cells. DUF5 localized to the plasma membrane dependent upon its
C1 domain and the cells become rounded dependent upon its C2 domain. Both full
length DUF5 and the C2 domain caused growth inhibition when expressed in
Saccharomyces cerevisiae. A structural model of DUF5 was generated based on the
structure of Pasteurella multocida toxin facilitating localization of the
cytotoxic activity to a 186 amino acid subdomain termed C2A. Within this
subdomain, an alanine scanning mutagenesis revealed aspartate-3721 and arginine
3841 as residues critical for cytotoxicity. These residues were also essential
for HeLa cell intoxication when purified DUF5 fused to anthrax toxin lethal
factor was delivered cytosolically. Thermal shift experiments indicated that
these conserved residues are important to maintain protein structure, rather than
for catalysis. The Aeromonas hydrophila MARTX toxin DUF5(Ah) domain was also
cytotoxic, while the weakly conserved C1-C2 domains from P. multocida toxin were
not. Overall, this study is the first demonstration that DUF5 as found in MARTX
toxins has cytotoxic activity that depends on conserved residues in the C2A
subdomain.
PMID- 24935442
TI - Economic evaluation of a hospital-initiated intervention for smokers with chronic
disease, in Ontario, Canada.
AB - INTRODUCTION: Cigarette smoking causes many chronic diseases that are costly and
result in frequent hospitalisation. Hospital-initiated smoking cessation
interventions increase the likelihood that patients will become smoke-free. We
modelled the cost-effectiveness of the Ottawa Model for Smoking Cessation (OMSC),
an intervention that includes in-hospital counselling, pharmacotherapy and
posthospital follow-up, compared to usual care among smokers hospitalised with
acute myocardial infarction (AMI), unstable angina (UA), heart failure (HF), and
chronic obstructive pulmonary disease (COPD). METHODS: We completed a cost
effectiveness analysis based on a decision-analytic model to assess smokers
hospitalised in Ontario, Canada for AMI, UA, HF, and COPD, their risk of
continuing to smoke and the effects of quitting on re-hospitalisation and
mortality over a 1-year period. We calculated short-term and long-term cost
effectiveness ratios. Our primary outcome was 1-year cost per quality-adjusted
life year (QALY) gained. RESULTS: From the hospital payer's perspective, delivery
of the OMSC can be considered cost effective with 1-year cost per QALY gained of
$C1386, and lifetime cost per QALY gained of $C68. In the first year, we
calculated that provision of the OMSC to 15 326 smokers would generate 4689
quitters, and would prevent 116 rehospitalisations, 923 hospital days, and 119
deaths. Results were robust within numerous sensitivity analyses. DISCUSSION: The
OMSC appears to be cost-effective from the hospital payer perspective. Important
consideration is the relatively low intervention cost compared to the reduction
in costs related to readmissions for illnesses associated with continued smoking.
PMID- 24935443
TI - What characterises smokers who quit without using help? A study of users and non
users of cessation support among successful ex-smokers.
AB - BACKGROUND: A variety of smoking cessation aids are available; however, the
majority of smokers quit unaided. We know little of the differences between users
and non-users of cessation support. METHODS: A cross-sectional study based on the
Danish Health Examination Survey (DANHES) conducted in 2007-2008. In all, 6445
persons reporting quitting successfully within the last 5 years were included in
analyses. Users and non-users of cessation aid (medical or behavioural support)
were compared with regards to age, education, years smoked, tobacco amount,
tobacco type and smoking-related disease using logistic regression analysis.
RESULTS: Quitting unaided was reported by 63%. Adjusted analyses showed that men
were more likely to quit unaided than women, and younger compared with older were
more likely to quit unaided (eg, OR among women age 45-59 versus age 14-29 were
0.18, 95% CI 0.12 to 0.20). Additionally, those who had smoked for 15 years or
more also had lower odds of quitting unaided. Smoking 15 or more grams of tobacco
daily was inversely associated with quitting unaided (eg, OR among men were 0.38,
95% CI 0.31 to 0.46). CONCLUSIONS: Quitting smoking without the use of formalised
aid was the most common cessation approach. Quitting unaided was more likely
among men, younger age groups, those with a shorter history of smoking and those
who were light smokers. These results indicate that awareness of unaided
cessation in general and to those for whom it is especially relevant should be
increased. This could lead to a more efficient use of resources for cessation
support.
PMID- 24935444
TI - An emerging role: the nurse content curator.
AB - A new phenomenon, the inverted or "flipped" classroom, assumes that students are
no longer acquiring knowledge exclusively through textbooks or lectures. Instead,
they are seeking out the vast amount of free information available to them online
(the very essence of open source) to supplement learning gleaned in textbooks and
lectures. With so much open-source content available to nursing faculty, it
benefits the faculty to use readily available, technologically advanced content.
The nurse content curator supports nursing faculty in its use of such content.
Even more importantly, the highly paid, time-strapped faculty is not spending an
inordinate amount of effort surfing for and evaluating content. The nurse content
curator does that work, while the faculty uses its time more effectively to help
students vet the truth, make meaning of the content, and learn to problem-solve.
Brooks.
PMID- 24935445
TI - Low risk papillary thyroid cancer.
AB - Thyroid cancer is one of the fastest growing diagnoses; more cases of thyroid
cancer are found every year than all leukemias and cancers of the liver,
pancreas, and stomach. Most of these incident cases are papillary in origin and
are both small and localized. Patients with these small localized papillary
thyroid cancers have a 99% survival rate at 20 years. In view of the excellent
prognosis of these tumors, they have been denoted as low risk. The incidence of
these low risk thyroid cancers is growing, probably because of the use of imaging
technologies capable of exposing a large reservoir of subclinical disease.
Despite their excellent prognosis, these subclinical low risk cancers are often
treated aggressively. Although surgery is traditionally viewed as the cornerstone
treatment for these tumors, there is less agreement about the extent of surgery
(lobectomy v near total thyroidectomy) and whether prophylactic central neck
dissection for removal of lymph nodes is needed. Many of these tumors are treated
with radioactive iodine ablation and thyrotropin suppressive therapy, which
although effective for more aggressive forms of thyroid cancer-have not been
shown to be of benefit in the management of these lesions. This review offers an
evidence based approach to managing low risk papillary thyroid cancer. It also
looks at the future of promising alternative surgical techniques, non-surgical
minimally localized invasive therapies (ethanol ablation and laser ablation), and
active surveillance, all of which form part of a more individualized treatment
approach for low risk papillary thyroid tumors.
PMID- 24935446
TI - Interleukin-6 and neopterin levels in the serum and saliva of patients with
Lichen planus and oral Lichen planus.
AB - BACKGROUND: Lichen planus together with its oral variant is a chronic,
inflammatory disease of the skin and the mucosa of unclear aetiology and with an
unpredictable course that still poses a major problem in terms of diagnosis and
treatment. The objective of this study was to assess the concentrations of
interleukin-6 (IL-6) and neopterin in saliva and serum of patients with lichen
planus (including reticular and erosive form of oral lichen planus) and to
compare them with the concentrations observed in healthy controls. METHODS: The
study material comprised serum and saliva samples from 56 patients diagnosed with
lichen planus and 56 healthy volunteers. The ELISA test was used to measure
concentrations of IL-6 and neopterin in the serum and saliva of the study
participants. RESULTS: The concentrations of IL-6 in saliva and serum of patients
with lichen planus were significantly higher than in controls (P = 0.0002; P <
0.0001). The difference remains significant after adjustment for gingivitis and
age. Patients with atrophic-erosive oral lichen planus had significantly higher
IL-6 concentrations in their saliva compared to patients with reticular form of
disease (P = 0.01). The concentrations of neopterin were significantly higher in
the serum but not in saliva of lichen planus patients vs. controls (P <0.0001).
CONCLUSIONS: Serum levels of proinflammatory cytokines IL-6 and neopterin are
increased in lichen planus as well as the salivary concentrations of IL-6. The
differences observed in IL-6 levels in patients with erosive-atrophic forms of
oral lichen planus may indicate a substantial role played by the cytokine in the
disease.
PMID- 24935447
TI - [Written personalized action plan for atopic dermatitis: a patient education
tool].
AB - Atopic dermatitis (AD) is the most frequent children's chronic skin disease.
Management of AD can be difficult because local treatments must be adapted to the
skin's condition. Between consultations, sudden changes in the state of the
disease can make it difficult to manage local treatment. Parents and children
need information that will help them adapt their treatment to the course of their
disease. Aiming to enable parents to better treat their atopic child by
themselves, we have developed a personalized action plan in order to simplify,
personalize, and adapt the medical prescription to the state of the disease. The
Personalized Written Action Plan for Atopics (PA2P) is based on the model used in
the treatment of asthma, with integrated specificities for AD in children. The
aim of this study was to assess the feasibility and pertinence of the PA2P for
pediatricians to use in private practice. A total of 479 pediatricians answered a
questionnaire sent by e-mail. The vast majority of the respondents gave positive
reviews of the tool: 99% of the pediatricians declared the tool to be pertinent,
qualifying it as clear and logical. The PA2P appeared to be appropriate for the
atopic patient because it improves the families' involvement in the application
of local treatment by offering personalized care and by simplifying the doctor's
prescription. Finally, 72% of doctors responding to the questionnaire were
willing to take part in future studies involving parents. More than a gadget, the
PA2P could become a useful tool for therapeutic patient education.
PMID- 24935448
TI - [A 2-month-old baby with Mediterranean spotted fever].
AB - INTRODUCTION: Mediterranean spotted fever is an endemic rickettsiosis in southern
France. We report here the case of a 2-month-old baby who developed rickettsiosis
after a tick bite. CLINICAL FACT: A 2-month-old baby was hospitalized in the
pediatric ward for fever with maculopapular rash extending to the palms and
plantar surfaces and an eschar after a tick bite. Rickettsiosis serology examined
after 48 h of fever was negative, but Rickettsia (spotted group) PCR taken from
the lesion at the bite site was positive. A 1-week treatment with clarithromycin
was started. Fever and rash disappeared 3 days after treatment initiation.
DISCUSSION: Mediterranean spotted fever is endemic in southern France. It is,
therefore, important to consider this diagnosis and search for tick bite signs or
an eschar when a patient presents with fever and maculopapular rash. The
treatment of choice consists in doxycycline or macrolides. CONCLUSION: Around the
Mediterranean sea in particular, Mediterranean spotted fever should be considered
as a possible cause of febrile disease with rash, to allow for a specific
antibiotic treatment as fast as possible and to avoid dangerous complications,
even though few cases have been reported below the age of 3 months.
PMID- 24935449
TI - [Pulmonary actinomycosis with chest wall fistula formation in a child].
AB - INTRODUCTION: Actinomycosis is a suppurative infection caused by bacteria of the
Actinomyces genus. It is a rare cause of pulmonary infection and can be difficult
to diagnose because its presentation may mimic tuberculosis or cancer. In the
absence of treatment of pulmonary lesions fistulae can develop. We report a case
of thoracic actinomycosis with chest wall involvement in a child, managed in the
pediatric department at Moulay Youssef University Hospital in Rabat, Morocco.
CASE REPORT: We report the case of a 13-year-old boy with a history of trauma to
the right chest 1 year earlier, admitted with right-sided chest wall swelling
with cutaneous fistulae. Physical examination identified a parietal mass with
fistulization to the skin. Laboratory tests showed an inflammatory syndrome. The
chest x-ray revealed moderate right pleural effusion. The thoracic computed
tomography scan showed a right parietal pleural mass and the percutaneous biopsy
confirmed the diagnosis of actinomycosis. The patient underwent antibiotic
therapy with favorable evolution. CONCLUSION: The diagnosis, the clinical,
radiological and histological pattern, and the therapeutic features are described
in this report.
PMID- 24935450
TI - [BCG multifocal osteomyelitis. A case report].
AB - Multifocal osteomyelitis is a rare complication of the Bacille Calmette-Guerin
(BCG) vaccine. It particularly affects immunocompromised children and poses a
difficult diagnostic problem. A 6-month-old boy had BCG vaccination postnatally
and developed extensive disseminated skeletal osteomyelitis. He was found to have
severe combined immunodeficiency. Despite antibiotic therapy and bone marrow
grafting, the disease was fatal.
PMID- 24935451
TI - [Serratia marcescens osteomyelitis as the first manifestation of chronic
granulomatous disease].
AB - Chronic granulomatous disease is a rare, primary immunodeficiency disorder
characterized by a defect in oxidative metabolism in phagocytes and recurrent
bacterial and fungal infections. We report a case in a 2-month-old infant
admitted with metacarpic osteomyelitis due to Serratia marcescens. Chronic
granulomatous disease is rare but must be considered in cases of uncommon or
atypical infection.
PMID- 24935452
TI - [Childhood psoriasis].
AB - Psoriasis is a chronic inflammatory skin disease that can affect nearly 1% of
children, even during the first months of life. Recently, a link with obesity has
been demonstrated by a few studies. The most common clinical form is the plaque
psoriasis type, which only affects 50% of children. Napkin psoriasis, guttate
psoriasis, and palmoplantar keratoderma appear to have specific aspects in
childhood. Although benign, the social impact induced by psoriasis can be major,
especially in children, if the disease is poorly understood or inadequately
treated. First-line treatment should always include local treatments. In severe
cases as assessed by clinical appearance or impact on quality of life, initiation
of systemic treatments should not be delayed.
PMID- 24935453
TI - [New recommendations for the management of children after minor head trauma].
AB - Minor head trauma is a common cause for pediatric emergency department visits. In
2009, the Pediatric Emergency Care Applied Research Network (PECARN) published a
clinical prediction rule for identifying children at very low risk of clinically
important traumatic brain injuries (ciTBI) and for reducing CT use because of
malignancy induced by ionizing radiation. The prediction rule for ciTBI was
derived and validated on 42,412 children in a prospective cohort study. The
Societe Francaise de Medecine d'Urgence (French Emergency Medicine Society) and
the Groupe Francophone de Reanimation et Urgences Pediatriques (French-Language
Pediatric Emergency Care Group) recommend this algorithm for the management of
children after minor head trauma. Based on clinical variables (history, symptoms,
and physical examination findings), the algorithm assists in medical decision
making: CT scan, hospitalization for observation or discharge, according to three
levels of ciTBI risk (high, intermediate, or low risk). The prediction rule
sensitivity for children younger than 2 years is 100 % [86.3-100] and for those
aged 2 years and older it is 96.8 % [89-99.6]. Our aim is to present these new
recommendations for the management of children after minor head trauma.
PMID- 24935454
TI - [Hereditary hemorrhagic telangiectasia. Report of a pediatric case].
AB - Hereditary hemorrhagic telangiectasia, or Rendu-Osler-Weber syndrome, is an
autosomal dominant multiorgan disorder. This multisystemic vascular dysplasia is
determined by a mutation of one of two main genes, endoglin (ENG) or HHT1, or
ACVRL1 or HHT2. These mutations induce vascular disorders that cause recurrent
epistaxis and eventually multiple telangiectasia and arteriovenous visceral
malformations. We report the case of a 7-year-old girl who developed severe
hypoxemia due to multiple pulmonary arteriovenous malformations.
PMID- 24935455
TI - [Hyperimmunoglobulinemia D and periodic fever syndrome].
AB - We report the cases of two sisters born of parents who were first-degree cousins,
who started recurrent fever with lymph node and digestive tract involvement at
the age of 2 years. There was no mutation of the familial Mediterranean fever
gene and a diagnosis of partial mevalonate kinase (MVK) deficiency was made.
However, immunoglobulin (Ig) D and A levels were normal. Elevated mevalonic acid
in the patients' urine during an episode and MVK gene analysis provided the
diagnosis. Clinical remission was obtained under anti-TNF-alpha treatment with
etanercept. These observations and those of several previously reported patients,
particularly in French and Dutch series, illustrate the importance of considering
the diagnosis in a child with early-onset auto-inflammatory syndrome even in the
absence of hyper-IgD or -IgA.
PMID- 24935456
TI - Upregulation of store-operated Ca(2+) entry in the naive CD4(+) T cells with
aberrant cytokine releasing in active rheumatoid arthritis.
AB - The regulated control of Ca(2+) influx is essential for the activation and
function of the adaptive immune response, as Ca(2+) is a key regulator of
important transcription factors. To determine whether Ca(2+) release-activated
Ca(2+) (CRAC) channels contribute to the abnormal behaviour of T cells in
patients with rheumatoid arthritis (RA), we performed a cross-sectional study to
characterize the expression and functional status of CRACM1 channels in RA
patients. Peripheral blood was obtained from 50 RA patients, 50 osteoarthritis
(OA) patients and healthy donors. We measured Ca(2+) influx and CRAC currents in
naive and memory CD4(+) T cells. CRACM1 expression was evaluated in T cells from
each of the three groups. These cells were further characterized by flow
cytometric analysis of interleukin-4 (IL-4), IL-17, interferon-gamma and tumour
necrosis factor-alpha. These cytokines were also measured in naive CD4(+) T cells
following the lentivirus-mediated silencing of CRACM1.There was a significant
positive correlation between Ca(2+) influx in naive T cells and RA activity.
Functionally aberrant naive CD4(+) T cells from patients with active RA showed
the different cytokine release pattern and exhibited increased Ca(2+) influx as
well as increased CRACM1 protein expression and function. Specific lentiviral
induced gene silencing of CRACM1 reversed the alterations in T-cell cytokine
production. The data presented here indicate that an upregulation of CRACM1
expression and function may be responsible for the abnormal cytokine release of
naive CD4(+) T cells in RA patients. CRACM1 might therefore represent a new
molecular target for RA therapies.
PMID- 24935457
TI - In vivo functional mapping of the conserved protein domains within murine
Themis1.
AB - Thymocyte development requires the coordinated input of signals that originate
from numerous cell surface molecules. Although the majority of thymocyte signal
initiating receptors are lineage-specific, most trigger 'ubiquitous' downstream
signaling pathways. T-lineage-specific receptors are coupled to these signaling
pathways by lymphocyte-restricted adapter molecules. We and others recently
identified a new putative adapter protein, Themis1, whose expression is largely
restricted to the T lineage. Mice lacking Themis1 exhibit a severe block in
thymocyte development and a striking paucity of mature T cells revealing a
critical role for Themis1 in T-cell maturation. Themis1 orthologs contain three
conserved domains: a proline-rich region (PRR) that binds to the ubiquitous
cytosolic adapter Grb2, a nuclear localization sequence (NLS), and two copies of
a novel cysteine-containing globular (CABIT) domain. In the present study, we
evaluated the functional importance of each of these motifs by retroviral
reconstitution of Themis1(-/-) progenitor cells. The results demonstrate an
essential requirement for the PRR and NLS motifs but not the conserved CABIT
cysteines for Themis1 function.
PMID- 24935459
TI - B cells take their time: sequential IgG class switching over the course of an
immune response?
PMID- 24935458
TI - CD44v10, osteopontin and lymphoma growth retardation by a CD44v10-specific
antibody.
AB - Blockade of CD44 is considered a therapeutic option for the elimination of
leukemia-initiating cells. However, the application of anti-panCD44 can be
burdened by severe side effects. We determined whether these side effects could
be avoided by replacing anti-panCD44 with CD44 variant isoform (CD44v)-specific
antibodies in CD44v-positive hematological malignancies using the EL4 thymoma and
CD44v10-transfected EL4 (EL4-v10) as models. Subcutaneous growth of EL4 and EL4
v10 was equally well inhibited by the anti-panCD44 and anti-CD44v10 antibodies,
respectively. Ex vivo analysis indicated that natural killer cytotoxicity and
antibody-dependent cellular cytotoxicity were the main effector mechanisms. Under
local inflammation, the efficacy of anti-CD44v10 prolonged the survival time
twofold compared with untreated, EL4-v10 tumor-bearing mice, and this was due to
inflammation-induced expression of osteopontin (OPN). A high level of OPN in EL4
v10 tumors supported leukocyte recruitment and tumor-infiltrating T-cell
activation. Taken together, in hematological malignancies expressing CD44v, anti
panCD44 can be replaced by CD44v-specific antibodies without a loss in efficacy.
Furthermore, CD44v10-specific antibodies appear particularly advantageous in
cutaneous leukemia therapy, as CD44v10 binding of OPN drives leukocyte
recruitment and activation.
PMID- 24935460
TI - Peer support for stroke survivors: a case study.
AB - BACKGROUND: Innovative and sustainable programs are required to support the well
being of stroke survivors. Peer support is a potentially low cost way to enhance
well-being of recent stroke survivors and the well-being and community
reintegration of their peer supporters. This article describes the perceptions of
stroke survivors, care partners, peer supporters, and professionals of an
individual peer support program. METHODS: An instrumental case study design was
used to examine a volunteer peer support program that provides acute care visits
and telephone follow-up post-discharge. In particular, a) type of support
provided, b) benefits for the stroke survivor and care partner, c) potential
harms to the stroke survivor, d) impact of providing support on the peer
supporter, and e) required processes were considered. Semi-structured interviews
were carried out with 16 new stroke survivors and 8 care partners immediately
following hospital discharge and then 6 months later, and with 7 peer supporters,
3 program co-ordinators and 4 health professionals to gather feedback from
multiple stakeholders. RESULTS: Emotional, affirmational and informational
support were perceived as being offered by the peer supporters. Peer visits were
perceived as providing encouragement, motivation, validation, and decreased
feelings of being alone. However, the visits were not perceived as beneficial to
all stroke survivors. The impact on the peer supporters included increased social
connections, personal growth, enjoyment, and feelings of making a difference in
the lives of others. Involvement of the healthcare team, peer supporter training
and a skilled coordinator were crucial to the success this program. CONCLUSIONS:
Peer support can potentially enhance service to stroke survivors and promote
community reintegration for peer volunteers. Further research is needed to
determine the preferred format and timing of peer support, and the
characteristics of stroke survivors most likely to benefit.
PMID- 24935461
TI - Emerging themes in the development of prospective memory during childhood.
PMID- 24935463
TI - Does pregnancy alter the long-term course of multiple sclerosis?
AB - PURPOSE: The purpose was to examine the impact of pregnancy on the rates of
relapses, progression to irreversible disability, and transition to secondary
progressive multiple sclerosis (SPMS) in patients with relapsing-remitting
multiple sclerosis (RRMS). METHODS: We retrospectively followed two subcohorts of
women with RRMS: pregnant (n = 254) and nonpregnant (n = 423). We obtained data
on demographic, lifestyle, and clinical characteristics from patient records.
Poisson and logistic regressions estimated the rate ratios associated with
pregnancy as a function of time. Confounding was controlled by propensity-score
adjustment, and postbaseline selection bias was controlled by inverse probability
weighting. RESULTS: In the pregnant and nonpregnant subcohorts, respectively, 300
and 787 relapses, 15 and 27 transitions to SPMS, and 11 and 34 progressions to
irreversible disability were documented. Adjusted rate ratios (95% confidence
intervals) shortly after baseline were 0.67 (0.49; 0.92) for relapses, 0.16
(0.03; 0.79) for irreversible disability, and 1.25 (0.39; 3.96) for SPMS. The
corresponding estimates at 5 and 10 years were, respectively, 1.04 (0.72; 1.52),
0.82 (0.36; 1.88), and 2.33 (1.03; 5.26) and 1.62 (0.84; 3.14), 4.14 (0.89;
19.22), and 4.33 (1.10; 16.99). CONCLUSIONS: Pregnancy likely ameliorates the
short-term course of RRMS in terms of the rates of relapses and progression to
irreversible disability. Over the long term, it appears to have no material
impact on these outcomes, and might in fact accelerate the rate of transition to
SPMS.
PMID- 24935462
TI - Changes in the prevalence of mood and anxiety disorders among male and female
current smokers in the United States: 1990-2001.
AB - PURPOSE: The present study investigated whether the prevalence of mood and
anxiety disorders has increased over time among current smokers and whether these
trends differ by gender and in comparison with nonsmokers. METHODS: Data were
drawn from the National Comorbidity Survey (1990) and the National Comorbidity
Survey-Replication (2001), representative samples of the US adult population.
Binomial regression analyses were used to determine differences between mood and
anxiety disorders among current smokers in 1990 and 2001 and whether these
differed by gender and in comparison with those who were former or never current
smokers. RESULTS: Any anxiety disorder, panic attacks, panic disorder, social
anxiety disorder and dysthymia were all significantly more common among current
smokers in 2001 compared with 1990 and except for social anxiety disorder these
increases were significantly greater than any trend found in non-smokers.
Increases in panic attacks, social anxiety disorder, and dysthymia were more
pronounced in female than in male smokers. Major depressive disorder and
generalized anxiety disorder were not found to increase over time among smokers.
CONCLUSIONS: The prevalence of several anxiety disorders and dysthymia among
current smokers appears to have increased from 1990 to 2001. Future studies are
needed to determine whether these trends have continued. If so, interventions
aimed at moving the prevalence lower may have limited success if treatment of
mental health problems such as anxiety disorders and certain mood disorders are
not considered in the development and dissemination of tobacco control programs.
PMID- 24935464
TI - Utilization of glucose, blood pressure, and lipid lowering medications among
people with type II diabetes in the United States, 1999-2010.
AB - PURPOSE: Changes in relation to drug treatment to various control targets for
diabetes were studied using the National Health and Nutrition Examination Survey,
1999-2010. METHODS: Data on 3094 participants aged 20 years or older with
diagnosed type II diabetes were analyzed. Use of medications for lowering
glucose, blood pressure, and lipids in the past month was assessed by
questionnaire. Data from two survey cycles were combined together to produce
estimates for each 4-year period. RESULTS: Usage of metformin increased from
34.8% to 53.8% and was the most prevalent medications during this period (P <
.001), and half of subjects taking metformin could achieve glycated hemoglobin
less than 7.0% in 2007-2010. Dipeptidyl peptidase-4 inhibitors were used by 7.4%
of participants in 2007-2010. Usage of angiotensin receptor blockers and beta
blockers increased significantly from 7.4% to 21.4% and from 15.3% to 31.8%,
respectively from 1999 to 2010 (P <= .001). A total of 64.7% of participants
could attain blood pressure control by 2007-2010. Usage of statins doubled in
1999-2010 and 52.2% of subjects took statins by 2007-2010 (P < .001).
CONCLUSIONS: Metformin is the first-line drug for diabetes while dipeptidyl
peptidase-4 inhibitors started to be used since 2007. Blood pressure control
improved in 1999-2010 partly due to increased drug prescriptions. Although
statins were widely used about half of the participants did not take them.
PMID- 24935466
TI - Explaining the association between educational level and frailty in older adults:
results from a 13-year longitudinal study in the Netherlands.
AB - PURPOSE: The aim of this study was to examine the longitudinal association
between educational level and frailty prevalence in older adults and to
investigate the role of material, biomedical, behavioral, social, and mental
factors in explaining this association. METHODS: Data over a period of 13 years
were used from the Longitudinal Aging Study Amsterdam. The study sample consisted
of older adults aged 65 years and above at baseline (n = 1205). Frailty was
assessed using Fried's frailty criteria. A relative index of inequality was
calculated for the level of education. Longitudinal logistic regression analyses
based on multilevel modeling were performed. RESULTS: Older adults with a low
educational level had higher odds of being frail compared with those with a high
educational level (relative index of inequality odds ratio, 2.94; 95% confidence
interval, 1.84-4.71). These differences persisted during 13 years of follow-up.
Adjustment for all explanatory factors reduced the effect of educational level on
frailty by 76%. Income, self-efficacy, cognitive impairment, obesity, and number
of chronic diseases had the largest individual contribution in reducing the
effect. Social factors had no substantial contribution. CONCLUSIONS: Our findings
highlight the need for a multidimensional approach in developing interventions
aimed at reducing frailty, especially in lower educated groups.
PMID- 24935465
TI - Assessing validity of a depression screening instrument in the absence of a gold
standard.
AB - PURPOSE: We evaluated the extent to which use of a hypothesized imperfect gold
standard, the Composite International Diagnostic Interview (CIDI), biases the
estimates of diagnostic accuracy of the Patient Health Questionnaire-9 (PHQ-9).
We also evaluate how statistical correction can be used to address this bias.
METHODS: The study was conducted among 926 adults where structured interviews
were conducted to collect information about participants' current major
depressive disorder using PHQ-9 and CIDI instruments. First, we evaluated the
relative psychometric properties of PHQ-9 using CIDI as a gold standard. Next, we
used a Bayesian latent class model to correct for the bias. RESULTS: In
comparison with CIDI, the relative sensitivity and specificity of the PHQ-9 for
detecting major depressive disorder at a cut point of 10 or more were 53.1% (95%
confidence interval: 45.4%-60.8%) and 77.5% (95% confidence interval, 74.5%
80.5%), respectively. Using a Bayesian latent class model to correct for the bias
arising from the use of an imperfect gold standard increased the sensitivity and
specificity of PHQ-9 to 79.8% (95% Bayesian credible interval, 64.9%-90.8%) and
79.1% (95% Bayesian credible interval, 74.7%-83.7%), respectively. CONCLUSIONS:
Our results provided evidence that assessing diagnostic validity of mental health
screening instrument, where application of a gold standard might not be
available, can be accomplished by using appropriate statistical methods.
PMID- 24935468
TI - Using sociometric measures to assess nonresponse bias.
AB - PURPOSE: Much attention has been given to the potential nonresponse bias that
occurs in epidemiologic studies that attempt to enroll a representative sample.
Most analyses surrounding nonrespondents focus on individual-level attributes and
how they vary across respondents and nonrespondents. Although these attributes
are of interest, analysis of the social network position of nonrespondents as
defined by traditional sociometric measures (i.e., centrality and bridging) has
not been conducted, and could provide further insights into the validity of the
sample. METHODS: We used data from the Secunderabadi Men's Study, a whole network
of Indian men who have sex with men (MSM) generated using cell phone contact
lists of men approached using Time Location Cluster Sampling. Multivariable
logistic regression was used to determine whether demographic and behavioral
attributes and indegree (the frequency of men who have sex with men was listed
across all cell phone contact lists) were associated with being a respondent.
RESULTS: A total of 239 respondents were interviewed and 81 were approached but
did not consent to the interview ("nonrespondents"). CONCLUSIONS: Respondents
were more likely to have higher indegree than nonrespondents, adjusting for
attribute differences (odds ratio, 1.19; 95% confidence interval, 1.07, 1.34).
This analysis suggests that the network position of nonrespondents may be
important when considering the potential impact of nonresponse bias.
PMID- 24935467
TI - Disability and the built environment: an investigation of community and
neighborhood land uses and participation for physically impaired adults.
AB - PURPOSE: There is a need for empirical support of the association between the
built environment and disability-related outcomes. This study explores the
associations between community and neighborhood land uses and community
participation among adults with acquired physical disability. METHODS: Cross
sectional data from 508 community-living chronically disabled adults in New
Jersey were obtained from among participants in national Spinal Cord Injury Model
Systems database. Participants' residential addresses were geocoded to link
individual survey data with Geographic Information Systems data on land use and
destinations. The influence of residential density, land use mix, destination
counts, and open space on four domains of participation were modeled at two
geographic scales-the neighborhood (i.e., half mile buffer) and community (i.e.,
five mile) using multivariate logistic regression. All analyses were adjusted for
demographic- and impairment-related differences. RESULTS: Living in communities
with greater land use mix and more destinations was associated with a decreased
likelihood of reporting optimum social and physical activity. Conversely, living
in neighborhoods with large portions of open space was positively associated with
the likelihood of reporting full physical, occupational, and social
participation. CONCLUSIONS: These findings suggest that the overall living
conditions of the built environment may be relevant to social inclusion for
persons with physical disabilities.
PMID- 24935469
TI - The association of phosphatase and tensin homolog deleted on chromosome 10
polymorphisms and lifestyle habits with colorectal cancer risk in a Chinese
population.
AB - The PI3K signaling pathway plays an important role in the development of
colorectal cancer (CRC) and other neoplasm. Somatic phosphatase and tensin
homolog deleted on chromosome 10 (PTEN) mutations and deletions or epigenetic
silencing have been observed in multiple tumor types including CRC. To assess the
association of PTEN polymorphisms and lifestyle habits with CRC risk in Chinese
population, we carried out a case-control study which included 545 cases and 522
controls. In the present study, we genotyped eight single-nucleotide
polymorphisms (SNPs) in PTEN and found that rs11202607 was associated with
increased CRC risk (odds ratio (OR) = 1.40, 95 % confidence interval (CI) = 1.04
1.90). Stratification analysis by lifestyle habits showed a stronger association
between rs11202607 and CRC risk among never tea drinkers than that among tea
drinkers (OR = 2.04, 95 % CI 1.29-3.22), and significant additive interaction
between rs10490920 and tea drinking status was observed. Our study provided the
evidence of an association between PTEN polymorphisms and the risk of CRC and
significant additive interaction between PTEN polymorphism and tea drinking.
Studies with larger sample size and further investigations into the mechanism are
warranted to clarify the role of PTEN in colorectal carcinogenesis and the
association between PTEN genetic variations, environment exposure, and CRC risk.
PMID- 24935470
TI - Expression of survivin mRNA in gallbladder cancer: a diagnostic and prognostic
marker?
AB - Survivin, an inhibitor of apoptosis, has been shown to be expressed in various
malignancies. However, its role in gallbladder cancer (GBC) has not been
evaluated yet. We investigated its expression in peripheral blood of patients
with gallbladder diseases (gallstone disease (GSD), n = 30; GBC, n = 39) and
compared with healthy controls (n = 25). Survivin expression was correlated with
clinicopathological parameters, diagnosis, and prognosis of patients with GBC.
Expression of survivin messenger RNA (mRNA) in blood was evaluated by real-time
PCR. Significantly higher (P < 0.0001) expression of survivin mRNA was observed
in GBC (2.2-fold) and GSD (1.52-fold) as compared to control. In GBC, increased
survivin expression was significantly associated with higher tumor stage (stage
III vs. stage II; P < 0.0001) and tumor differentiation (poor and moderate vs.
well differentiated; P < 0.0001). No significant correlation was observed with
any of the other clinicopathological parameters (age, gender, and presence or
absence of gallstones) studied. Cutoff value of survivin mRNA relative
quantification (RQ) was 1.08, with a sensitivity of 98.55 % and specificity of
100 % for the diseased group (GSD or GBC). RQ value of 1.71 differentiated GBC
from GSD with a sensitivity of 89.74 % and specificity of 100 %. Increased
expression of survivin was associated with a shorter median overall survival (12
vs. 18 months) in GBC patients. Differential expression of survivin in GBC
suggests its possible role and association with poor prognosis. Expression of
survivin in peripheral blood could be useful both in the diagnosis and prognosis
of GBC.
PMID- 24935471
TI - ADAM10 regulates proliferation, invasion, and chemoresistance of bladder cancer
cells.
AB - A disintegrin and metalloprotease 10 (ADAM10) is upregulated in several cancers
and associates with malignant cancer progression. However, its expression pattern
in bladder cancer remains unexplored. In the present study, we examined ADAM10
expression in 105 bladder cancer specimens using immunohistochemistry. We found
ADAM10 overexpression in 51 of 105 (48.5 %) bladder cancer specimens. ADAM10
overexpression associated with advanced tumor stage (p = 0.001) and tumor grade
(p = 0.018). To explore its biological functions in bladder cancer cells, small
interfering RNA (siRNA) knockdown was performed in 5,637 and T24 cell lines. Cell
Counting Kit-8 (CCK8) assay and Matrigel invasion assay showed that ADAM10
depletion decreased cell proliferation, migration, and invasion. In addition,
ADAM10 knockdown increased the level of cisplatin-induced apoptosis. In
conclusion, ADAM10 is overexpressed in bladder cancer and regulates malignant
cell growth and invasion, which makes it a candidate therapeutic target.
PMID- 24935473
TI - Correlations of common polymorphism of EVI-1 gene targeted by miRNA-206/133b with
the pathogenesis of breast cancer.
AB - The aim of this study was to identify the correlations of a common polymorphism
(rs6774494 A > G) in the EVI-1 gene targeted by micro-RNA (miRNA)-206/133b with
the pathogenesis of breast cancer (BC). A total of 196 unrelated ethnic Han
Chinese women diagnosed with primary BC were consecutively recruited and 200
healthy controls were randomly selected from the same population-based cohort.
Direct PCR sequencing assay was used to detection of rs6774494 A > G polymorphism
in the EVI-1 gene. Real-time quantitative PCR (RT-PCR) analysis was performed to
verify the alterations of the EVI1 messenger RNA (mRNA) levels. Kaplan-Meier
analysis was used to investigate and to estimate the survival outcomes for each
endpoint. All statistical analyses were performed with SPSS software (version
18.0, SPSS, Chicago, IL). Our results demonstrated that the carriers of EVI-1 AG
genotype were more likely to develop BC when compared with the EVI-1 GG genotype
(P = 0.034, OR = 1.26, 95% CI = 1.02 ~ 1.57). In addition, it was found that
patients with the G (AG + GG) allele of EVI-1 genetic variants were associated
with higher risk of BC compared with the EVI-1 AA genotype (OR = 1.26, 95% CI =
1.02 ~ 1.54, P = 0.028). The results of a subgroup analysis stratified by
menopause revealed that in female post-menopause subgroup patients with the EVI-1
G allele were correlated with a higher risk of BC than those with the EVI-1 AA
genotype (OR = 1.31, 95% CI = 1.00 ~ 1.72, P = 0.054). Kaplan-Meier analyses
suggested that carriers of the G allele (AG + GG) were associated with poorer
overall survival (OS) and progression-free survival (PFS) compared with those
with AA genotype (OS P = 0.042; PFS P = 0.036, respectively). The correlation
analysis showed that EVI-1 mRNA levels were negatively associated with miRNA
206/133b levels in the carriers of the G allele (AG + GG) (r = -1.274, P < 0.05).
Our findings provide evidence that the EVI-1 rs6774494 G > A polymorphism
targeted by miRNA-206/133b may contribute to the pathogenesis of BC.
PMID- 24935472
TI - SMAD7: a timer of tumor progression targeting TGF-beta signaling.
AB - In the context of cancer, transforming growth factor beta (TGF-beta) is a cell
growth suppressor; however, it is also a critical inducer of invasion and
metastasis. SMAD is the important mediator of TGF-beta signaling pathway, which
includes receptor-regulated SMADs (R-SMADs), common-mediator SMADs (co-SMADs),
and inhibitory SMADs (I-SMADs). I-SMADs block the activation of R-SMADs and co
SMADs and thus play important roles especially in the SMAD-dependent signaling.
SMAD7 belongs to the I-SMADs. As an inhibitor of TGF-beta signaling, SMAD7 is
overexpressed in numerous cancer types and its abundance is positively correlated
to the malignancy. Emerging evidence has revealed the switch-in-role of SMAD7 in
cancer, from a TGF-beta inhibiting protein at the early stages that facilitates
proliferation to an enhancer of invasion at the late stages. This role change may
be accompanied or elicited by the tumor microenvironment and/or somatic mutation.
Hence, current knowledge suggests a tumor-favorable timer nature of SMAD7 in
cancer progression. In this review, we summarized the advances and recent
findings of SMAD7 and TGF-beta signaling in cancer, followed by specific
discussion on the possible factors that account for the functional changes of
SMAD7.
PMID- 24935475
TI - Structure of Bergman-type W-TiZrNi approximants to quasicrystal, analyzed by
lattice inversion method.
AB - The combined interatomic pair potentials of TiZrNi, including Morse and Inversion
Gaussian, are successfully built by the lattice inversion method. Some
experimental controversies on atomic occupancies of sites 6-8 in W-TiZrNi are
analyzed and settled with these inverted potentials. According to the
characteristics of composition and site preference occupancy of W-TiZrNi, two
stable structural models of W-TiZrNi are proposed and the possibilities are
partly confirmed by experimental data. The stabilities of W-TiZrNi mostly result
from the contribution of Zr atoms to the phonon densities of states in lower
frequencies.
PMID- 24935474
TI - The diagnostic value of serum hybrid capture 2 (CH2) HPV DNA in cervical cancer:
a systematic review and meta-analysis.
AB - The diagnostic accuracy of cervical cancer remains a clinical challenge, and a
number of studies have used the serum hybrid capture 2 (HC2) human papillomavirus
(HPV) DNA in the diagnosis of cervical cancer. The aim of the present meta
analysis was to determine the overall accuracy of HC2 HPV DNA in the diagnosis of
cervical cancer. A systematic review of studies from PubMed, Embase, the Cochrane
Library, Web of Science, Ovid, Chinese Biomedical Literature Database-disc,
Chinese National Knowledge Infrastructure (CNKI), Technology of Chongqing (VIP),
and Wan Fang database was conducted, and the data concerning the accuracy of HC2
HPV DNA in the diagnosis of cervical cancer were pooled. The methodological
quality of each study was assessed by quality assessment for studies of
diagnostic accuracy (QUADAS). Statistical analysis was performed by employing
Meta-DiSc (version 1.4) and Stata (version 12.0) software. The overall test
performance was summarized using receiver operating characteristic curves.
Finally, 12 studies, including 12,492 subjects, met the inclusion criteria and
then included in this present meta-analysis. The summary estimates for serum HC2
HPV DNA in the diagnosis of cervical cancer were as follows: sensitivity 0.83 (95
% confidence interval (CI) 0.81-0.85), specificity 0.71 (95 % CI 0.69-0.72),
positive likelihood ratio 3.65 (95 % CI 1.77-7.54), negative likelihood ratio
0.32 (95 % CI 0.21-0.48), and diagnostic odds ratio 10.54 (95 % CI 4.95-22.46),
and the area under the curve was 0.8922. Our findings suggest that HC2 HPV DNA
may improve the accuracy of cervical cancer diagnosis, while the results of HC2
HPV DNA assays should be interpreted in parallel with conventional test results
and other clinical findings.
PMID- 24935476
TI - Exploring the reciprocal modulation of time and space in dancers and non-dancers.
AB - We explored whether time and space representations modulate each other in
subjects that are trained to integrate time and space dimensions, i.e.,
professional dancers. A group of dancers, and one of non-dancers, underwent two
different tasks employing identical stimuli. A first static central line could
last one of three possible durations and could have one of three possible
lengths. A second growing line appeared from the left or right of the screen and
grew up toward the opposite direction at constant velocity. In the Spatial task,
subjects encoded the length of the static line and stopped the growing line when
it had reached half the length of the static one, regardless of time travel. In
the Temporal task, subjects encoded the duration of the static line and stopped
the growing line when it had lasted half the duration of the static one,
regardless of space traveled. Dancers, differently from non-dancers, anticipated
time in the Temporal task. However, both dancers and non-dancers were biased by
the stimulus length when performing the Temporal task, while they were not biased
by the stimulus duration when performing the Spatial task. Concluding, this study
underlines the plasticity of time dimension that can be influenced by spatial
information and by sensorimotor training for the synchronization in space and
time.
PMID- 24935478
TI - Stratification and partial ascertainment of biomarker value in biomarker-driven
clinical trials.
AB - This article examines the role of stratification of treatment assignment with
regard to biomarker value in clinical trials that accept biomarker-positive and
negative patients but have a primary objective of evaluating treatment effect
separately for the marker-positive subset. It also examines the issue of
incomplete ascertainment of biomarker value and how this affects inference about
treatment effect for the biomarker-positive subset of patients. I find that
stratifying the randomization for the biomarker ensures that all patients will
have tissue collected but is not necessary for the validity of inference for the
biomarker-positive subset if there is complete ascertainment. If there is not
complete ascertainment of biomarker values, it is important to establish that
ascertainment is independent of treatment assignment. Having a large proportion
of cases with biomarker ascertainment is not necessary for establishing internal
validity of the treatment evaluation in biomarker-positive patients; independence
of ascertainment and treatment is the important factor. Having a large proportion
of cases with biomarker ascertainment makes it more likely that biomarker
positive patients with ascertainment are representative of the biomarker-positive
patients in the clinical trial (with and without ascertainment), but since the
patients in the clinical trial are a convenience sample of the population of
patients potentially eligible for the trial, requiring a large proportion of
cases with ascertainment does not facilitate generalizability of conclusions.
PMID- 24935477
TI - Perceptual averaging governs antisaccade endpoint bias.
AB - Antisaccades entail decoupling the spatial relations between stimulus and
response and executing a saccade to a target's mirror-symmetrical location. The
indirect spatial relations require that a relative target percept supports
antisaccade sensorimotor transformations. Here, we sought to identify whether the
percept supporting antisaccades results in a respective over- and undershooting
bias for the near and far targets within a stimulus-set (i.e., oculomotor range
effect hypothesis) or renders an eccentricity-specific bias based on a
statistical summary of the individual target percepts in a stimulus-set (i.e.,
perceptual averaging hypothesis). Antisaccades (and complementary prosaccades)
were completed in separate blocks (i.e., proximal and distal) that contained an
equal number of target eccentricities, but differed with respect to their
magnitudes. The proximal block included eccentricities of 3.0 degrees , 5.5
degrees , 8.0 degrees , 10.5 degrees , and 13.0 degrees , whereas the distal
block included eccentricities of 10.5 degrees , 13.0 degrees , 15.5 degrees ,
18.0 degrees , and 20.5 degrees . The proximal block showed that antisaccade
amplitudes to the central target (8.0 degrees ) did not elicit a reliable bias,
whereas the block's 'near' (3.0 degrees and 5.5 degrees ) and 'far' (10.5
degrees and 13.0 degrees ) targets produced an over- and undershooting bias,
respectively. Notably, however, the distal block showed a reliable-and large
magnitude-undershooting bias for the central target (i.e., 15.5 degrees ): a bias
that generalized to each target within the block. Taken together, results for the
proximal and distal blocks are incompatible with the range effect hypothesis.
Instead, results indicate that the visual percept supporting antisaccades is
based on the statistical summary of the range of target eccentricities within a
stimulus-set (i.e., perceptual averaging). Moreover, perceptual averaging
represents a parsimonious basis by which the oculomotor system can specify
sensorimotor transformations via non-veridical (i.e., relative) visual
information.
PMID- 24935479
TI - Anti-tuberculosis drugs adverse reactions: a review of the Iranian literature.
AB - INTRODUCTION: Tuberculosis (TB) treatment, in particular therapy for multidrug
resistant TB (MDR-TB), is associated with toxicities and adverse drug reactions
(ADRs). AREAS COVERED: This paper reviews Iranian literature reporting ADRs which
occurred during tuberculosis treatment. English language papers were sourced from
PubMed, ScienceDirect, Wiley, Ovid and Proquest, with Google Scholar searched for
Persian language articles. Reported ADRs, proportion of patients with ADRs, risk
factors and determinants, as well as the characteristics of the studies were
reviewed. 21 articles were included; about 60% of them were in English and three
included patients with MDR-TB. The ratio of ADR per capita was 1.9 (in 6 studies)
and 33.63% of patients developed an ADR (in 7 studies). Hepatitis (2.5 - 45.3%)
was reported in nearly all of the studies. The mean time from initiation of
medication to development of hepatitis ranged from 4.67 to 25.25 days (in 6
studies). Most cases of mortality were due to hepatotoxicity. Except for
comorbidities and female gender, other risk factors such as HIV and length of
hospitalization were only reported in one article. EXPERT OPINION: The pattern of
ADRs in Iranian articles was found to be similar to many other studies in the
present review. We suggest that future studies resolve the confounding factors in
this area that are mentioned in this review.
PMID- 24935480
TI - Overview and safety of fingolimod hydrochloride use in patients with multiple
sclerosis.
AB - INTRODUCTION: Fingolimod (Gilenya(r), FTY720) is an oral sphingosine-1-phosphate
analogue that was approved by the FDA in 2010 for the treatment of relapsing
forms of multiple sclerosis (MS). Fingolimod's mechanism of action is primarily
related to lymphocyte sequestration in primary and secondary lymphoid tissues.
Phase III trials demonstrated a reduction in annualized relapse rate and MRI
progression in fingolimod-treated subjects compared with both placebo and IFN
beta-treated subjects. Frequent adverse effects include fatigue, gastrointestinal
disturbance, headache and upper respiratory tract infection. More serious, but
rare, adverse events associated with fingolimod include atrioventricular block,
symptomatic bradycardia, herpetic viral infections and macular edema. AREAS
COVERED: We discuss the mechanism of action, pharmacokinetics, clinical efficacy
and safety profile of fingolimod in patients with relapsing MS. EXPERT OPINION:
Fingolimod is an effective treatment for relapsing MS and its oral route of
administration may be preferred by some. Fingolimod is generally well tolerated
but requires diligence in patient selection and monitoring. Additional
information is needed regarding risk of infection, malignancy and rebound disease
with long-term use of fingolimod.
PMID- 24935482
TI - Effect of remineralizing agents on bond strength of orthodontic brackets: an in
vitro study.
AB - BACKGROUND: The purpose of this study is to evaluate the effect of casein
phosphopeptide amorphous calcium phosphate (CPP-ACP) and CPP-ACP with fluoride
(CPP-ACP-F) on the shear bond strength (SBS) of orthodontic brackets bonded with
two different adhesive systems. METHODS: One hundred twenty-six human premolar
teeth were selected. One hundred twenty teeth were used for SBS testing, and six
teeth were used for scanning electron microscope (SEM) examination. One hundred
twenty premolars were divided into mainly three groups: CPP-ACP (group A), CPP
ACP-F (group B), and control group (group C). Each group was sub-divided into two
groups according to the bonding adhesive, light cure (groups A1, B1, and C1) and
chemical cure (groups A2, B2, and C2). The teeth were pre-treated with the group
specified preventive agent 1 h/day for five consecutive days. Standard edgewise
brackets were bonded with the respective adhesives. SBS evaluation was done with
the universal testing machine. After debonding, all the teeth were scored for
adhesive remaining on the buccal surface, in accordance to adhesive remnant
index, under a stereomicroscope. The acid-etched enamel surfaces were observed
under SEM after treatment with CPP-ACP, CPP-ACP-F, and artificial saliva. RESULT:
In light-cure adhesive group, CPP-ACP-F (B1) showed superior results compared to
the control group (C1), whereas the CPP-ACP group (A1) showed lower mean SBS than
the control group (C1). Both these differences were not statistically significant
(p > 0.05). In chemical-cure adhesive group, control group C2 showed
significantly superior results (p < 0.05) compared to group A2 and group B2. The
results of two-way ANOVA showed highly significant difference due to adhesive
types (p < 0.01), whereas enamel pre-treatment showed non-significant difference
(p > 0.01). CONCLUSION: The SBS of the orthodontic brackets was non-significantly
affected when the brackets were cured with light-cure bonding system and treated
with either CPP-ACP or CPP-ACP-F, whereas with chemical-cure adhesive, decreased
bond strength was seen, which was within the clinically acceptable limits.
PMID- 24935483
TI - LGBT people's knowledge of and preparedness to discuss end-of-life care planning
options.
AB - Despite the devastating impact of HIV/AIDS, end-of-life care planning among
lesbian, gay, bisexual and transgender (LGBT) communities is relatively under
researched, especially in Australia. This paper reports findings of a survey of
305 LGBT people living in New South Wales, which examined their knowledge of and
attitudes towards end-of-life care. The focus of this paper is their preparedness
to discuss with healthcare providers any end-of-life care plans. The results
highlight that while the majority of respondents were aware of three of the four
key end-of-life care planning options available in New South Wales--enduring
powers of attorney, enduring guardians and person responsible (only a minority
had heard of advance healthcare directives)--a much smaller number of people had
actually taken up these options. Only a minority of respondents were able to
identify correctly who had the legal right to make treatment decisions for a
person who is unconscious following a car accident. A small proportion of people
had discussed end-of-life care options with general practitioners or another main
healthcare provider, and only in very few cases were these issues raised by the
practitioners themselves. Those most likely to not feel comfortable discussing
these issues with practitioners included younger people, those not fully open
about their sexuality to family members, and transgender people and others who do
not define their gender as male or female. The paper highlights the importance of
education strategies to raise awareness of the end-of-life care planning options
among LGBT people, as well as strategies for increasing health providers'
preparedness to discuss these issues with LGBT patients.
PMID- 24935485
TI - [MedUni Vienna Researcher of the Month, June 2014].
PMID- 24935484
TI - Parkin-mediated ubiquitination of mutant glucocerebrosidase leads to competition
with its substrates PARIS and ARTS.
AB - BACKGROUND: Parkinson's disease (PD) is a movement neurodegenerative disorder
characterized by death of dopaminergic neurons in the substantia nigra pars
compacta of the brain that leads to movement impairments including bradykinesia,
resting tremor, postural instability and rigidity. Mutations in several genes
have been associated with familial PD, such as parkin, pink, DJ-1, LRKK2 and
alpha-synuclein. Lately, mutations in the GBA gene were recognized as a major
cause for the development of PD.Mutations in the GBA gene, which encodes for
lysosomal beta-glucocerebrosidase (GCase), lead to Gaucher disease (GD), an
autosomal recessive sphingolipidosis characterized by accumulation of
glucosylceramide, mainly in monocyte-derived cells. It is a heterogeneous
disease, with Type 1 patients that do not present any primary neurological signs,
and Type 2 or Type 3 patients who suffer from a neurological disease. The
propensity of type 1 GD patients and carriers of GD mutations to develop PD is
significantly higher than that of the non-GD population.We have shown in the past
that parkin and mutant GCase, expressed in heterologous systems, interact with
each other, and that normal but not mutant parkin mediates K48-dependent
proteasomal degradation of mutant GCase variants. METHODS: We tested possible
competition between mutant GCase and PARIS or ARTS on the E3 ubiquitin ligase
parkin, using coimmunoprecipitation assays and quantitative real-time PCR.
RESULTS: We show that endogenous mutant GCase variants associate with parkin and
undergo parkin-dependent degradation. Mutant GCase competes with the known parkin
substrates PARIS and ARTS, whose accumulation leads to apoptosis. Dopaminergic
cells expressing mutant GCase are more susceptible to apoptotic stimuli than
dopaminergic cells expressing normal GCase, present increased cleavage of caspase
3 and caspase 9 levels and undergo cell death. CONCLUSIONS: Our results imply
that presence of mutant GCase leads to accumulation of parkin substrates like
PARIS and ARTS, which may cause apoptotic death of cells.
PMID- 24935486
TI - Spousal violence in sub-Saharan Africa: does household poverty-wealth matter?
AB - INTRODUCTION: Despite the threat of violence to the health and rights of women
yet, for many years, there has been a dearth of nationally comparable data on
domestic violence in sub-Saharan Africa. This paper examines whether women from
poor households are more likely to experience violence from husband/partner than
other women who are from middle or rich households. METHOD: Data for the study
are derived from most recent DHS surveys of ever-married women age 15-49 in
Cameroun(3,691), Kenya(4,336), Mozambique(5610), Nigeria (16,763), Zambia(3,010)
and Zimbabwe(5,016) who participated in the questions on Domestic Violence
Module. Bivariate analysis and Binary Logistic Regression Analysis are used to
explore the linkage between household poverty-wealth and spousal violence while
simultaneously controlling for confounding variables. RESULTS: The overall
prevalence of any form of violence (physical, sexual or emotional) ranges from
30.5% in Nigeria to 43.4% in Zimbabwe; 45.3% in Kenya; 45.5% in Mozambique; 53.9%
in Zambia and 57.6% in Cameroun. Both bivariate and multivariate analyses show
that in two of the six countries -Zambia and Mozambique, experience of violence
is significantly higher among women from non-poor (rich) households than those
from other households (poor and middle). For Zimbabwe and Kenya, women from poor
households are more likely to have ever experienced spousal violence than those
from non-poor households. In the remaining two countries- Nigeria and Cameroun,
women from the middle class are more likely to have ever suffered abuse from
husband/partner than those from the poor and rich households. CONCLUSION: Our
results thus show that similar measurements of household poverty-wealth have
produced varying relationships with respect to experience of spousal violence in
six sub-Saharan African countries. In other words, experience of violence cuts
across all household poverty-wealth statuses and therefore may not provide enough
explanations on whether household-poverty necessarily serves to facilitate the
ending of violence. These results suggest that eliminating violence against women
in sub-Sahara Africa requires a comprehensive approach rather than addressing
household poverty-wealth alone.
PMID- 24935487
TI - Micro and macrorheology at fluid-fluid interfaces.
AB - Interfacial transport phenomena play an important role in the dynamics of liquid
interfaces found in emulsions, foams, and membranes. Both macroscopic and
microscopic measurements of interfacial transport and rheology can be made, the
former typically relying on the use of at least millimeter-scale probes, and the
latter exploiting the motion of micrometer-scale probes. Recent publications have
shown multiple orders of magnitude differences between experimentally observed
diffusivities in passive microrheology, and the diffusivities expected based on
macroscopic measurements of the surface rheology. In the present work,
interfacial rheological measurements were made with both microrheological and
macrorheological methods and the results are compared for different monolayers at
an air-water interface. We have identified multiple aspects of particle-tracking
microrheology that can contribute to orders-of-magnitude disagreement with
macrorheological methods. In particular, unintentional tracking of particles not
residing at the interface, the presence of large-scale interfacial
heterogeneities, and underestimating static noise can all decrease estimates of
surface viscosity from particle-tracking microrheology by orders of magnitude.
After taking care to address these artifacts, we show that viscosities obtained
from both methods agree well for poly(tert-butyl methacrylate) (PtBMA), and for
dipalmitoylphosphatidylcholine (DPPC), but disagree by orders of magnitude for
hexadecanol. In poly(tert-butyl acrylate) (PtBA), large-scale heterogeneities
prevented us from obtaining representative surface viscosities. By making surface
viscosity measurements in an interfacial stress rheometer (ISR) with needles of
different aspect ratio, we show that compressibility or Marangoni stress related
effects may be contributing to the orders of magnitude disagreement in micro and
macrorheological measurements observed in the hexadecanol system.
PMID- 24935489
TI - Stroke prevention in women: synopsis of the 2014 American Heart
Association/American Stroke Association guideline.
AB - DESCRIPTION: In February 2014, the American Heart Association/American Stroke
Association released their first guideline focused on stroke prevention in women.
This new guideline highlights unique risk factors for stroke in women, including
oral contraception and hormone therapy, and pregnancy-associated disorders, such
as preeclampsia, that may have long-lasting consequences on a woman's health. It
also addresses hypertension; atrial fibrillation; migraine headache with aura;
and the epidemiology of types of stroke, such as aneurysmal subarachnoid
hemorrhage and cerebral vein thrombosis, that are predominant in women. METHODS:
Members of a multidisciplinary expert panel searched, reviewed, and critiqued
relevant English-language literature published between 1990 and May 2013. The
panel devised evidence tables and developed recommendations using American Heart
Association guideline procedures and levels of evidence. RECOMMENDATIONS: This
synopsis of the guideline summarizes the evidence about risk factors for stroke
in women and suggests prevention strategies. It also describes the new
recommendations relevant to identifying and treating hypertensive disorders in
pregnancy that increase risk for stroke.
PMID- 24935492
TI - My audition.
PMID- 24935491
TI - Statins, primary prevention, and overall mortality.
PMID- 24935493
TI - Etymology.
PMID- 24935494
TI - Guns, suicide, and homicide.
PMID- 24935495
TI - Guns, suicide, and homicide.
PMID- 24935496
TI - Guns, suicide, and homicide--in response.
PMID- 24935497
TI - Futility: another way?
PMID- 24935498
TI - Futility: another way?
PMID- 24935499
TI - Futility: another way?
PMID- 24935500
TI - Futility: another way?
PMID- 24935501
TI - Futility: another way?--in response.
PMID- 24935503
TI - Web Exclusives. The consult guys - a trip to the hardware store: is an MRI safe?
PMID- 24935504
TI - ACP Journal Club. Radical prostatectomy reduced long-term mortality more than
watchful waiting in early prostate cancer.
PMID- 24935505
TI - ACP Journal Club. In pregnant smokers, the nicotine patch did not increase
abstinence or birthweight more than placebo.
PMID- 24935506
TI - ACP Journal Club. Red blood cell transfusion after PCI was associated with
increased mortality, MI, and stroke.
PMID- 24935507
TI - ACP Journal Club. In treatment-resistant depression, adding cognitive-behavioral
therapy to usual care was cost-effective at 1 y.
PMID- 24935508
TI - ACP Journal Club. In patients at high CV risk, a Mediterranean diet plus olive
oil reduced diabetes more than advising a low-fat diet.
PMID- 24935509
TI - ACP Journal Club. Review: vegetarian diets reduce systolic and diastolic blood
pressure more than omnivorous diets.
PMID- 24935510
TI - ACP Journal Club. In overweight or obese patients with diabetes, a lifestyle
intervention increased weight loss at 8 years.
PMID- 24935511
TI - ACP Journal Club. Review: primary care-based general health checks improve
surrogate but not clinical outcomes.
PMID- 24935512
TI - ACP Journal Club. Probiotics did not prevent antibiotic-associated or C.
difficile diarrhea in hospitalized older patients.
PMID- 24935513
TI - ACP Journal Club. In AF, apixaban reduced stroke or systemic embolism compared
with warfarin, regardless of patient age.
PMID- 24935514
TI - ACP Journal Club. Review: noninvasive vs invasive weaning from mechanical
ventilation reduces mortality in respiratory failure.
PMID- 24935515
TI - ACP Journal Club. In septic shock, early goal-directed or standard protocol-based
therapy did not reduce mortality.
PMID- 24935516
TI - Cognitive function in chronic obstructive pulmonary disease: relationship to
global initiative for chronic obstructive lung disease 2011 categories.
AB - BACKGROUND AND OBJECTIVE: Recently, comorbidities such as impaired cognitive
function have been attracting more focus when considering the management of
chronic obstructive pulmonary disease (COPD). Here we investigated the
relationship between cognitive function and the categories given in the Global
Initiative for Chronic Obstructive Lung Disease (GOLD) guidelines in 2011.
Specifically, after controlling for non-COPD covariates, we assessed the clinical
features that may be predictive of cognitive impairment in patients with COPD.
METHODS: We recruited 119 stable patients with mild to very severe COPD. We
administered a broad array of standardized neuropsychological tests that assessed
cognitive functions in the domains of attention, memory, psychomotor coordination
and language. RESULTS: Cognitive scores were significantly different between
patients falling within GOLD 2011 categories. Scores were lower in patients with
high future risk compared with low future risk. In parallel, there were
significant differences in cognitive function between COPD patient subgroups when
patients were grouped according to the forced expiratory volume in 1 s,
exacerbation history and C-reactive protein levels. After controlling for non
COPD predictors, only exacerbation history remained a significant predictor of
cognitive scores. CONCLUSIONS: The number of exacerbation events in a year may be
used as a predictor of cognitive impairment in patients with COPD.
PMID- 24935518
TI - Subcutaneous seeding of 'double hit' diffuse large B-cell lymphoma from staging
bone marrow biopsy.
PMID- 24935519
TI - Energy-transfer from ultra-small Au nanoclusters to Er3+ ions: a short-range
mechanism.
AB - Sub-nanometric Au nanoclusters are known to act as very efficient sensitizers for
the luminescent emission of Er(3+) ions in silica through a non-resonant broad
band energy-transfer mechanism. In the present work the energy-transfer process
is investigated in detail by room temperature photoluminescence characterization
of Er and Au co-implanted silica systems in which a different degree of coupling
between Er(3+) ions and Au nanoclusters is obtained. The results allow us to
definitely demonstrate the short-range nature of the interaction in agreement
with non-radiative energy-transfer mechanisms. Moreover, an upper limit to the
interaction length is also set by the Au-Au intercluster semi-distance which is
smaller than 2.4 nm in the present case.
PMID- 24935517
TI - Midazolam and propofol used alone or sequentially for long-term sedation in
critically ill, mechanically ventilated patients: a prospective, randomized
study.
AB - INTRODUCTION: Midazolam and propofol used alone for long-term sedation are
associated with adverse effects. Sequential use may reduce the adverse effects,
and lead to faster recovery, earlier extubation and lower costs. This study
evaluates the effects, safety, and cost of midazolam, propofol, and their
sequential use for long-term sedation in critically ill mechanically ventilated
patients. METHODS: A total of 135 patients who required mechanical ventilation
for >3 days were randomly assigned to receive midazolam (group M), propofol
(group P), or sequential use of both (group M-P). In group M-P, midazolam was
switched to propofol until the patients passed the spontaneous breathing trial
(SBT) safety screen. The primary endpoints included recovery time, extubation
time and mechanical ventilation time. The secondary endpoints were pharmaceutical
cost, total cost of ICU stay, and recollection to mechanical ventilation-related
events. RESULTS: The incidence of agitation following cessation of sedation in
group M-P was lower than group M (19.4% versus 48.7%, P = 0.01). The mean
percentage of adequate sedation and duration of sedation were similar in the
three groups. The recovery time, extubation time and mechanical ventilation time
of group M were 58.0 (interquartile range (IQR), 39.0) hours, 45.0 (IQR, 24.5)
hours, and 192.0 (IQR, 124.0) hours, respectively; these were significantly
longer than the other groups, while they were similar between the other two
groups. In the treatment-received analysis, ICU duration was longer in group M
than group M-P (P = 0.016). Using an intention-to-treat analysis and a treatment
received analysis, respectively, the pharmaceutical cost of group M-P was lower
than group P (P <0.01) and its ICU cost was lower than group M (P <0.01; P =
0.015). The proportion of group M-P with unbearable memory of the uncomfortable
events was lower than in group M (11.7% versus 25.0%, P <0.01), while the
proportion with no memory was similar (P >0.05). The incidence of hypotension in
group M-P was lower than group (P = 0.01). CONCLUSION: Sequential use of
midazolam and propofol was a safe and effective sedation protocol, with higher
clinical effectiveness and better cost-benefit ratio than midazolam or propofol
used alone, for long-term sedation of critically ill mechanically ventilated
patients. TRIAL REGISTRATION: Current Controlled Trials ISRCTN01173443.
Registered 25 February 2014.
PMID- 24935520
TI - Crucial factor for increasing the conjugation frequency in Streptomyces netropsis
SD-07 and other strains.
AB - Streptomyces netropsis SD-07, the producer of novel polyene macrolide antifungal
antibiotics, was isolated from soil. For the investigation of the functions of
its biosynthesis genes and regulation mechanisms, a genetic operating system is
necessary. In this study, we successfully transferred the plasmid DNA of pSET152
from the methylation deficient donor, Escherichia coli ET12567/pSET152/pUZ8002,
to S. netropsis SD-07 by conjugation and evaluated the crucial factors
influencing the conjugation frequency. Ca(2+) ions in presence the conjugation
media may increase the conjugation frequency by 1000-10 000 times than Ca(2+)
ions absence in the same conjugation media, and 10-100 time higher than Mg(2+)
ions. Similar results (increasing the conjugation frequency by 10-100 times when
media containing 60 mM CaCl2 ) were also obtained from the conjugation between E.
coli ET12567 and Streptomyces coelicolor, S. lavendulae, S. venezuelae, despite
their conjugation media were different (MS, CM, GS). So, CaCl2 concentration is a
crucial factor for increasing the conjugation frequency, and the suitable
concentration may probably be 60 mM. In addition, synthetic medium containing a
small amount of organic nitrogen source may benefit increasing the conjugation
frequency. These findings could be valuable for the development of a practical
method for achieving conjugation in other Streptomyces spp.
PMID- 24935521
TI - Advancing the application of systems thinking in health: realist evaluation of
the Leadership Development Programme for district manager decision-making in
Ghana.
AB - BACKGROUND: Although there is widespread agreement that strong district manager
decision-making improves health systems, understanding about how the design and
implementation of capacity-strengthening interventions work is limited. The Ghana
Health Service has adopted the Leadership Development Programme (LDP) as one
intervention to support the development of management and leadership within
district teams. This paper seeks to address how and why the LDP 'works' when it
is introduced into a district health system in Ghana, and whether or not it
supports systems thinking in district teams. METHODS: We undertook a realist
evaluation to investigate the outcomes, contexts, and mechanisms of the
intervention. Building on two working hypotheses developed from our earlier work,
we developed an explanatory case study of one rural district in the Greater Accra
Region of Ghana. Data collection included participant observation, document
review, and semi-structured interviews with district managers prior to, during,
and after the intervention. Working backwards from an in-depth analysis of the
context and observed short- and medium-term outcomes, we drew a causal loop
diagram to explain interactions between contexts, outcomes, and mechanisms.
RESULTS: The LDP was a valuable experience for district managers and teams were
able to attain short-term outcomes because the novel approach supported teamwork,
initiative-building, and improved prioritisation. However, the LDP was not
institutionalised in district teams and did not lead to increased systems
thinking. This was related to the context of high uncertainty within the
district, and hierarchical authority of the system, which triggered the LDP's
underlying goal of organisational control. CONCLUSIONS: Consideration of
organisational context is important when trying to sustain complex interventions,
as it seems to influence the gap between short- and medium-term outcomes. More
explicit focus on systems thinking principles that enable district managers to
better cope with their contexts may strengthen the institutionalisation of the
LDP in the future.
PMID- 24935522
TI - Modeling of photocurrent kinetics upon pulsed photoexcitation of photosynthetic
proteins: a case of bacteriorhodopsin.
AB - The proton pump of bacteriorhodopsin in an aqueous solution at varied pH upon
pulsed excitation was monitored using a solution-based electrochemical module.
The photocurrent action spectrum agreed with the absorption contour at 495-645
nm. Diminishing the photocurrent amplitude by adding a protonophore, carbonyl
cyanide m-chlorophenyl hydrazone, revealed that protons were the charge carriers
of the photocurrent. The evolution of the conventional proton pump is proposed to
occur in three elementary steps consecutively: first, the proton relay from the
protonated Schiff base to the purple membrane (PM) surface (k1), then the proton
exchange between PM surface and bulk (k2), and finally, the proton uptake (k3).
The fitted temporal profiles of the photocurrent agreed with observations in the
pH range 5.8-9.5. At pH 7.3, k1, k2, and k3 were 2098 s(-1), 412 s(-1), and 44 s(
1), respectively. The rate coefficients at pH 9.5 were smaller than those at pH
6.3 by a factor of approximately 2, consistent with the differences in the
intrinsic mobilities of the charge carriers proton and hydroxide ion. The
combination of the electrochemical detection module and the concomitant model
provides a promising tool for quantitative and qualitative characterization of
the light-driven ion pumps.
PMID- 24935524
TI - Identifying the ichthyoplankton of a coral reef using DNA barcodes.
AB - Marine fishes exhibit spectacular phenotypic changes during their ontogeny, and
the identification of their early stages is challenging due to the paucity of
diagnostic morphological characters at the species level. Meanwhile, the
importance of early life stages in dispersal and connectivity has recently
experienced an increasing interest in conservation programmes for coral reef
fishes. This study aims at assessing the effectiveness of DNA barcoding for the
automated identification of coral reef fish larvae through large-scale
ecosystemic sampling. Fish larvae were mainly collected using bongo nets and
light traps around Moorea between September 2008 and August 2010 in 10 sites
distributed in open waters. Fish larvae ranged from 2 to 100 mm of total length,
with the most abundant individuals being <5 mm. Among the 505 individuals DNA
barcoded, 373 larvae (i.e. 75%) were identified to the species level. A total of
106 species were detected, among which 11 corresponded to pelagic and
bathypelagic species, while 95 corresponded to species observed at the adult
stage on neighbouring reefs. This study highlights the benefits and pitfalls of
using standardized molecular systems for species identification and illustrates
the new possibilities enabled by DNA barcoding for future work on coral reef fish
larval ecology.
PMID- 24935526
TI - Exploratory analysis of the relationship between home health agency engagement in
a national campaign and reduction in acute care hospitalization in US home care
patients.
AB - RATIONALE, AIMS AND OBJECTIVES: To determine whether US home health agencies that
intensively engaged with the 2010 Home Health Quality Improvement National
Campaign were more likely to reduce acute care hospitalization (ACH) rates than
less engaged agencies. METHOD: We included all Medicare-certified agencies that
accessed Campaign resources in the first month of the Campaign and also responded
to an online survey of resource utilization at month two. We used the survey data
and item response theory to estimate a latent construct we called engagement with
the campaign. ACH rates were calculated from the Centers for Medicare & Medicaid
Services Outcome and Assessment Information Set for pre- and post-intervention
periods (March-November 2009 and 2010, respectively). RESULTS: Staff from 1077
agencies accessed resources in the first month of the Campaign. Of these, 382
provided information about resource use and had 10 or more monthly discharges
throughout the measurement periods. Dividing these agencies into quartiles based
on engagement score, we found an association between engagement and reduction in
ACH rates, P=0.049 (chi(2) for trend). Exploratory path analysis revealed the
effect of engagement score on reduction in ACH rate to be partially mediated
through reduction in average length of service rates. CONCLUSION: We found
evidence that early intensity of engagement with the Campaign, as measured
through use of activities and resources, was positively associated with
improvement. To continue the investigation of this relationship, future work in
this and other campaigns should focus on further development of engagement
measures.
PMID- 24935527
TI - First reported case of interferon-alpha-induced sarcoidosis in an Asian patient
with malignant melanoma.
AB - Anticancer agents can induce sarcoidosis. Interferon-alpha, which is used for the
treatment of malignant melanoma and renal cell cancer, is one causative agent of
sarcoidosis. However, there are few reports of interferon-alpha-induced
sarcoidosis in patients with malignant melanoma. Clinically, it is important to
consider the possibility of sarcoidosis in such patients because it could be
easily regarded as a metastatic lesion due to underlying malignancy and given
unnecessary treatment. Here, we report on the first case of interferon-alpha
induced sarcoidosis in an Asian melanoma patient.
PMID- 24935523
TI - Molecular biomarkers in interstitial lung diseases.
AB - Interstitial lung diseases (ILD) are protean conditions with substantial overlap
in terms of diagnosis, prognostic evaluation, and management. However, the
management of idiopathic pulmonary fibrosis is different from that of more
immunologically driven ILD patterns, such as ILD associated with connective
tissue diseases. It is important to provide accurate diagnosis and patient
selection for prognostication and timely treatment, preferably at baseline.
Validated non-invasive biomarkers fulfilling these unmet clinical needs are
warranted. Although no ILD biomarker has been adopted in clinical practice so
far, advancements in this field have been achieved, especially with the
implementation of high-throughput techniques and clinical-laboratory multi
parametric panels. This review focuses on selected validated and/or potentially
interesting biomarkers investigated in the peripheral blood and lung tissue of
patients with ILD. Current issues and future directions in various aspects of ILD
biomarkers research and its clinical application are explored.
PMID- 24935525
TI - In vitro and in vivo studies of BMP-2-loaded PCL-gelatin-BCP electrospun
scaffolds.
AB - To confirm the effect of recombinant human bone morphogenetic protein-2 (BMP-2)
for bone regeneration, BMP-2-loaded polycaprolactone (PCL)-gelatin (Gel)-biphasic
calcium phosphate (BCP) fibrous scaffolds were fabricated using the
electrospinning method. The electrospinning process to incorporate BCP
nanoparticles into the PCL-Gel scaffolds yielded an extracellular matrix-like
microstructure that was a hybrid system composed of nano- and micro-sized fibers.
BMP-2 was homogeneously loaded on the PCL-Gel-BCP scaffolds for enhanced
induction of bone growth. BMP-2 was initially released at high levels, and then
showed sustained release behavior for 31 days. Compared with the PCL-Gel-BCP
scaffold, the BMP-2-loaded PCL-Gel-BCP scaffold showed improved cell
proliferation and cell adhesion behavior. Both scaffold types were implanted in
rat skull defects for 4 and 8 weeks to evaluate the biological response under
physiological conditions. Remarkable bone regeneration was observed in the BMP
2/PCL-Gel-BCP group. These results suggest that BMP-2-loaded PCL-Gel-BCP
scaffolds should be considered for potential bone tissue engineering
applications.
PMID- 24935528
TI - Performance of compulsive behavior in rats is not a unitary phenomenon -
validation of separate functional components in compulsive checking behavior.
AB - A previous analysis of the quinpirole sensitisation rat model of obsessive
compulsive disorder revealed that the behavioral phenotype of compulsive checking
consists of three constitutive components - vigor of checking performance, focus
on the task of checking, and satiety following a bout of checking. As
confirmation of this analysis, the aim of the present study was to reconstitute,
without quinpirole treatment, each of the putative components, with the
expectation that these would self-assemble into compulsive checking. To
reconstitute vigor and satiety, the employed treatment was a bilateral lesion of
the nucleus accumbens core (NAc), as this treatment was shown previously to
exaggerate these components. To reconstitute focus, the employed treatment was a
low dose of the serotonin-1A receptor agonist 8-hydroxy-2-(di-n-propylamino)
tetralin hydrochloride (DPAT) (0.0625 mg/kg), as high doses of this drug induce
compulsive behavior and exacerbate focus. Results showed that injection of DPAT
to NAc lesion rats did yield compulsive checking. Neither the drug alone nor the
NAc lesion by itself produced compulsive checking. The demonstrated synthesis of
compulsive checking by the combined treatment of low-dose DPAT and NAc lesion
strengthened the previous fractionation of the model obsessive-compulsive
disorder phenotype into three constitutive components, and suggested a role for
serotonin-1A receptors outside the NAc in enhanced focus on the task of checking.
PMID- 24935529
TI - Is minor salivary gland biopsy more than a diagnostic tool in primary Sjogren's
syndrome? Association between clinical, histopathological, and molecular
features: a retrospective study.
AB - OBJECTIVES: Several histological scoring systems, including the focus score,
performed in minor salivary glands (MSGs) by hematoxylin-eosin (H&E) staining,
have been employed in clinical practice to assess the inflammatory infiltrate and
provide the diagnosis of primary Sjogren's syndrome (pSS). Aims of this study
were to integrate different scoring systems and identify potential differences in
the molecular profile of lymphoid cytokines related to germinal center (GC)
formation and clinical subsets in pSS. METHODS: Overall, 104 pSS patients and 40
subjects with sicca non-pSS were retrospectively evaluated. MSG biopsies were
evaluated by H&E and immunofluorescence to assess histological pattern, Chisholm
and Mason grading system, Tarpley score, a grading for the severity of
inflammatory infiltrate, T-/B-cell segregation, and the presence of GC. MSGs from
50 pSS patients and 30 sicca non-pSS patients were processed by real-time PCR to
assess LTalpha, LTbeta, BAFF, CXCR4, CXCL12, CXCR5, CXCL13, CCR7, CCL19, and
CCL21. RESULTS: GCs presence was associated with anti-Ro/SSA and anti-La/SSB
antibodies, hypergammaglobulinemia, salivary gland swelling, higher Tarpley score
and focus score, and extraglandular involvement but, at multivariate analysis,
only extraglandular involvement was independently associated to GC. pSS patients
displayed higher level of all cytokines compared to those with sicca symptoms.
GC(+) pSS patients displayed higher level of all cytokines compared to those GC(
). CONCLUSIONS: Our study demonstrates that different histopathological patterns,
including GC presence, reflect different cytokine expression and different
clinical subsets. We believe that the combined immunofluorescence/molecular
approach in MSGs would help to tailor diagnostic and therapeutic approach for
different subsets of pSS patients.
PMID- 24935530
TI - Abdominal aortitis in HLA-B27+ spondyloarthritis: case report with 5-year follow
up and literature review.
AB - OBJECTIVES: Aortic disease is a known complication of HLA-B27-associated
spondyloarthritis. We present the case of a 52-year-old HLA-B27-positive woman
with aortitis of the abdominal aorta and spondyloarthritis. METHODS: In addition
to the case reported, a literature search (MEDLINE) for articles published
between 1946 and September 2013 was performed using combinations of the MEDLINE
subject headings keywords "spondylarthritis," "ankylosing spondylitis," "reactive
arthritis," "psoriatic arthritis," "aortitis," and "abdominal aorta." Relevant
references were retrieved. RESULTS: CASE REPORT: Our patient presented to the ER
in June 2008 with a 3-week history of worsening of severe cramping lower
abdominal pain. Her history also included recurrent acute episodes of iritis,
which eventually led to enucleation of her left eye despite treatment with
corticosteroids. CT of the abdomen showed findings suggesting aortitis of the
abdominal aorta. She responded to therapy with prednisone, and follow-up imaging
showed eventual resolution of the aortitis. She later went on to be diagnosed
with psoriatic arthritis with spondylitis. REVIEW OF LITERATURE: Six previously
reported cases of abdominal aortitis in spondyloarthritis were found. Four of
these were reported in patients with ankylosing spondylitis, one in reactive
arthritis, and one in psoriatic arthritis. The first case reported was in 1958
and the most recent in 2012. CONCLUSIONS: Rheumatologists should be aware of the
possibility of abdominal aortitis occurring in their patients with SpA and should
consider it as part of the differential diagnosis in a SpA patient with
unexplained visceral pain or systemic features out of proportion to clinically
apparent disease.
PMID- 24935531
TI - The effect of photodynamic therapy in root canal disinfection: a systematic
review.
AB - INTRODUCTION: Effective root canal disinfection is a fundamental component of
successful root canal treatment. Photodynamic therapy (PDT) has been proposed as
a new adjunctive method for additional disinfection of the root canal system with
the possibility of improved treatment outcomes. The aim of this systematic review
was to investigate the effect of PDT on bacterial load reduction during root
canal disinfection. METHODS: Two reviewers independently conducted a
comprehensive literature search using a combination of medical subject heading
terms and key words to identify studies relevant to the Population Intervention
Control Outcome question. The selection of articles for inclusion was performed
in 2 phases based on predetermined eligibility criteria according to Preferred
Reporting Items for Systematic Reviews and Meta-Analyses (PRISMA) guidelines.
Inter-reviewer agreement for each phase was recorded. The effect of PDT on
bacterial load reduction during root canal disinfection was evaluated as the
primary outcome variable during data extraction. RESULTS: The literature search
provided 57 titles and abstracts. Three articles met the inclusion criteria and
were selected for this systematic review. The reasons for study exclusion in each
phase were recorded. Because of the heterogeneity in clinical indications and PDT
protocols among the included studies, a meta-analysis could not be performed. All
included studies showed a positive effect of PDT in the reduction of microbial
load in root canal treatment ranging from 91.3%-100%. CONCLUSIONS: Limited
clinical information is currently available on the use of PDT in root canal
disinfection. If supported by future clinical research, PDT may have efficacy for
additional root canal disinfection, especially in the presence of multi-drug
resistant bacteria.
PMID- 24935532
TI - Microbial diversity in persistent root canal infections investigated by
checkerboard DNA-DNA hybridization.
AB - INTRODUCTION: The aim of the present study was to investigate the composition of
the root canal microbiota in endodontic failures in order to identify and
quantify these microorganisms. METHODS: Microbiological samples were taken from
36 root canals with persistent endodontic infection. The presence, levels, and
proportions of 79 bacterial species were determined by checkerboard DNA-DNA
hybridization. The Pearson correlation coefficient was used to investigate the
relations between bacterial counts and clinical conditions (P <= .05). RESULTS:
Enterococcus faecium (36%), Streptococcus epidermidis (36%), Eubacterium
saburreum (28%), Parvimonas micra (28%), Streptococcus sanguis (28%),
Capnocytophaga sputigena (28%), Leptotrichia buccalis (28%), Enterococcus
faecalis (28%), and Staphylococcus warneri (28%) were the most prevalent species;
and there was a low prevalence of Treponema socranskii (3%), Fusobacterium
periodonticum (3%), Capnocytophaga gingivalis (3%), and Spiroplasma ixodetis
(3%). The highest mean levels were found for the following species: E. faecium,
Dialister pneumosintes, Staphylococcus epidermidis and Helicobacter pylori. There
was a statistically significant difference between the levels of gram-negative
species and gram-positive species (13.5 * 10(5) vs 6.5 * 10(5), respectively). A
positive correlation was found between the area of the periapical lesion and the
levels of gram-negative and rod species (P < .05). CONCLUSIONS: The microbiota
from teeth with persistent apical periodontitis presents a mixed and complex
profile, hosting E. faecium and S. epidermidis as the most highly prevalent
species. No correlation was found between any of the species tested and clinical
findings; however, periapical lesions with the largest areas presented higher
counts of gram-negative and rod species.
PMID- 24935533
TI - An ex vivo comparison of pressures within dental pulp space using conventional
anesthetic technique versus needle-mounted obturator.
AB - INTRODUCTION: The intrapulpal (IP) injection technique is 1 of several ways of
obtaining profound anesthesia. There is evidence to suggest that pressure is the
primary factor in obtaining anesthesia using IP injection. This is an ex vivo
comparison of pressures within dental pulp space using the conventional
anesthetic technique versus a needle-mounted obturator technique. METHODS: Twenty
extracted anterior and premolar teeth were accessed with a high-speed 169L bur. A
saline-filled tube connected to a digital pressure gauge was attached to the
apical 10 mm of each tooth in a fixed mount. One operator performed all the
injections under moderate pressure. Each tooth was injected twice; first, the
tooth was given an IP injection with a normal setup, and then the same tooth was
given an IP injection with the modified obturator syringe. The pressure at the
apex was recorded for each tooth. A paired sample t test was completed to
determine statistical significance. RESULTS: The pressure generated was
considerably higher for the obturator group in every tooth when compared with the
normal group (P < .001). CONCLUSIONS: IP injection with the obturator resulted in
increased pressure at the apex of each tooth. This pressure increase may allow
for increased anesthesia when IP injections are indicated.
PMID- 24935534
TI - Comparison of endodontic diagnosis and treatment planning decisions using cone
beam volumetric tomography versus periapical radiography.
AB - INTRODUCTION: Accurate and confident treatment planning is an essential part of
endodontic practice. Periapical radiographs have been used to aid in the
diagnosis of pathology and to help establish an appropriate treatment strategy.
Recently, a new imaging modality, cone-beam volumetric tomographic (CBVT)
imaging, has been shown to be a useful tool in a number of endodontic
applications. The aim of this study was to compare the relative value of
preoperative periapical radiographs and CBVT scanning in the decision-making
process in endodontic treatment planning. METHODS: Thirty endodontic cases
completed in a private endodontic practice were randomly selected to be included
in this study. Each case was required to have a preoperative digital periapical
radiograph and a CBVT scan. Three board-certified endodontists reviewed the 30
preoperative periapical radiographs. Two weeks later, the CBVT volumes were
reviewed in random order by the same evaluators. The evaluators were asked to
select a preliminary diagnosis and treatment plan based solely on their
interpretation of the periapical and CBVT images. Diagnosis and treatment
planning choices were then compared to determine if there was a change from the
periapical radiograph to the CBVT scan. RESULTS: A difference in treatment plan
between the 2 imaging modalities was recorded in 19 of 30 cases (63.3%, P =
.001), 17 of 30 cases (56.6%, P = .012), and 20 of 30 cases (66.7%, P = .008) for
examiners 1, 2, and 3, respectively. CONCLUSIONS: Under the conditions of this
study, preoperative CBVT imaging provides additional information when compared
with preoperative periapical radiographs, which may lead to treatment plan
modifications in approximately 62% of the cases.
PMID- 24935535
TI - Analysis of C-shaped canals by panoramic radiography and cone-beam computed
tomography: root-type specificity by longitudinal distribution.
AB - INTRODUCTION: The purpose of this study was to document the characteristics of C
shaped canal systems in permanent mandibular second molars using a combination of
orthopantomogram (OPT) and cross-sectional cone-beam computed tomographic (CBCT)
imaging. METHODS: Two hundred participants (94 men and 106 women, mean age = 35
years) who underwent both routine CBCT and OPT examinations were enrolled. One
endodontist and 1 oral radiologist examined the images of 339 mandibular second
molars and described the radiographic features of C-shaped canals from OPT images
as confirmed by CBCT imaging. Root morphology was classified as nonfused (NFRI
II) or fused (FRI-III) based on OPT images. Cross-sectional root canal
configurations (C1-C5) were identified from CBCT imaging at 3 different levels
(coronal, middle, and apical). Frequency distributions of root morphology and
root canal configurations were compared at each level, and interobserver
reliability was tested using the Cohen kappa test. RESULTS: Of the 339 teeth, 29
(8.6 %) had C-shaped root canal systems. Most of the root canals were NFR type
(86%); only 2% had C-shaped root canals (all NFRII). In the FR category, 23 teeth
with C-shaped canals were equally distributed between the FRI and FRII subtypes.
Interobserver agreement was almost perfect (kappa = 0.89 and kappa = 0.91; right
and left second molars, respectively). Per CBCT imaging, the most common
configuration was C3 at all levels; no C5 case was detected. CONCLUSIONS: OPT
usage can assist in recognizing and diagnosing C-shaped root canal systems.
Radicular fusion or proximity is a characteristic feature of C-shaped canal
systems. However, nonfused root appearances should also be considered suspicious.
PMID- 24935536
TI - Assessment of the separation incidence of reciprocating WaveOne files: a
prospective clinical study.
AB - INTRODUCTION: Despite metallurgical advancements in the nickel-titanium file
manufacturing process, file separation remains a concern. The purpose of this
prospective clinical study was to assess the separation incidence of the
reciprocating WaveOne file (Dentsply Tulsa Dental Specialties, Tulsa, OK) when
used to prepare root canals of posterior teeth. METHODS: File separation
incidence was assessed over an 18-month period in which 4 experienced and
calibrated endodontists performed conventional endodontic treatment on posterior
teeth using the WaveOne file system. The separation incidence was determined
based on both the number of teeth and the number of canals instrumented during
this time period. RESULTS: A total of 2,215 canals (711 teeth) were treated.
Three instruments (two 21.06 and one 25.08) separated during use and were deemed
to be irretrievable. The overall instrument separation incidence in relation to
the number of canals shaped was found to be 0.13% (0.42% teeth). CONCLUSIONS:
Based on the results of this study, the incidence of endodontic instrument
separation when using the WaveOne reciprocating file was considerably low.
PMID- 24935537
TI - Iloprost up-regulates vascular endothelial growth factor expression in human
dental pulp cells in vitro and enhances pulpal blood flow in vivo.
AB - INTRODUCTION: Prostacyclin (PGI2) is a biomolecule capable of enhancing
angiogenesis and cellular proliferation. METHODS: We investigated the influence
of a PGI2 analogue (iloprost) on dental pulp revascularization in vitro and in
vivo by using human dental pulp cells (HDPCs) and a rat tooth injury model,
respectively. Iloprost stimulated the human dental pulp cell mRNA expression of
vascular endothelial growth factor (VEGF), fibroblast growth factor-2 (FGF-2),
and platelet-derived growth factor (PDGF) in a significant dose-dependent manner.
This mRNA up-regulation was significantly inhibited by pretreatment with a PGI2
receptor antagonist and forskolin (a protein kinase A activator). In contrast, a
protein kinase A inhibitor significantly enhanced the iloprost-induced mRNA
expression of VEGF, FGF-2, and PDGF. Pretreatment with a fibroblast growth factor
receptor inhibitor attenuated the VEGF, FGF-2, and PDGF mRNA expression,
indicating opposing regulatory mechanisms. RESULTS: The effect of iloprost on the
dental pulp was investigated in vivo by using a rat molar pulp injury model. The
iloprost-treated group exhibited a significant increase in pulpal blood flow at
72 hours compared with control. CONCLUSIONS: The present study indicates that
iloprost may be a candidate agent to promote neovascularization in dental pulp
tissue, suggesting the potential clinical use of iloprost in vital pulp therapy.
PMID- 24935538
TI - White mineral trioxide aggregate induces migration and proliferation of stem
cells from the apical papilla.
AB - INTRODUCTION: Regenerative endodontic protocols recommend white mineral trioxide
aggregate (WMTA) as a capping material because of its osteoinductive properties.
Stem cells from the apical papilla (SCAP) are presumed to be involved in this
regenerative process, but the effects of WMTA on SCAP are largely unknown. Our
hypothesis was that WMTA induces proliferation and migration of SCAP. METHODS:
Here we used an unsorted population of SCAP (passages 3-5) characterized by high
CD24, CD146, and Stro-1 expression. The effect of WMTA on SCAP migration was
assessed by using transwells, and its effect on proliferation was determined by
the WST-1 assay. Fetal bovine serum (FBS) and calcium chloride-enriched medium
were used as positive controls. RESULTS: The SCAP analyzed here showed a low
percentage of STRO-1+ and CD24+ cells. Both set and unset WMTA significantly
increased the short-term migration of SCAP after 6 hours (P < .05), whereas
calcium chloride-enriched medium did after 24 hours of exposure. Set WMTA
significantly increased proliferation on days 1-5, whereas calcium-enriched
medium showed a significant increase on day 7, with a significant reduction on
proliferation afterwards. SCAP migration and proliferation were significantly and
steadily induced by the presence of 2% and 10% FBS. CONCLUSIONS: Collectively,
these data demonstrate that WMTA induced an early short-term migration and
proliferation of a mixed population of stem cells from apical papilla as compared
with a later and longer-term induction by calcium chloride or FBS.
PMID- 24935539
TI - Biodentine induces human dental pulp stem cell differentiation through mitogen
activated protein kinase and calcium-/calmodulin-dependent protein kinase II
pathways.
AB - INTRODUCTION: Biodentine (Septodont, Saint-Maur-des-Fosses, France), a new
tricalcium silicate cement formulation, has been introduced as a bioactive
dentine substitute to be used in direct contact with pulp tissue. The aim of this
study was to investigate the response of human dental pulp stem cells (hDPSCs) to
the material and whether mitogen-activated protein kinase (MAPK), nuclear factor
kappa B (NF-kappaB), and calcium-/calmodulin-dependent protein kinase II (CaMKII)
signal pathways played a regulatory role in Biodentine-induced odontoblast
differentiation. METHODS: hDPCs obtained from impacted third molars were
incubated with Biodentine. Odontoblastic differentiation was evaluated by
alkaline phosphatase activity, alizarin red staining, and quantitative real-time
reverse-transcriptase polymerase chain reaction for the analysis of messenger RNA
expression of the following differentiation gene markers: osteocalcin (OCN),
dentin sialophosprotein (DSPP), dentin matrix protein 1 (DMP1), and bone
sialoprotein (BSP). Cell cultures in the presence of Biodentine were exposed to
specific inhibitors of MAPK (U0126, SB203580, and SP600125), NF-kappaB
(pyrrolidine dithiocarbamate), and CaMKII (KN-93) pathways to evaluate the
regulatory effect on the expression of these markers and mineralization assay.
RESULTS: Biodentine significantly increased alkaline phosphatase activity and
mineralized nodule formation and the expression of OCN, DSPP, DMP1, and BSP. The
MAPK inhibitor for extracellular signal-regulated kinase 1/2 (U0126) and Jun N
terminal kinase (SP600125) significantly decreased the Biodentine-induced
mineralized differentiation of hDPSCs and OCN, DSPP, DMP1, and BSP messenger RNA
expression, whereas p38 MAPK inhibitors (SB203580) had no effect. The CaMKII
inhibitor KN-93 significantly attenuated and the NF-kappaB inhibitor pyrrolidine
dithiocarbamate further enhanced the up-regulation of Biodentine-induced gene
expression and mineralization. CONCLUSIONS: Biodentine is a bioactive and
biocompatible material capable of inducing odontoblast differentiation of hDPSCs.
Our results indicate that this induction is regulated via MAPK and CaMKII
pathways.
PMID- 24935540
TI - WNT6 promotes the migration and differentiation of human dental pulp cells partly
through c-Jun N-terminal kinase signaling pathway.
AB - INTRODUCTION: During the dental pulp repair process, human dental pulp cells
(HDPCs) migrate to injury sites where they may differentiate into odontoblastlike
cells. WNT6 plays a role in dental development and can activate a noncanonical
pathway including the c-Jun N-terminal kinase (JNK) pathway. The mechanism of
WNT6 in dental pulp repair is still unknown. The purpose of this study was to
explore the potential role of the WNT6/JNK signaling pathway in the promotion of
cell migration and the differentiation of HDPCs. METHODS: The third passage of
HDPCs were cultured in vitro and treated with WNT6 conditioned medium with or
without the pretreatment of JNK inhibitor SP600125. The activation of JNK was
detected by Western blot, the expression of c-Jun was quantified by reverse
transcription polymerase chain reaction, the migration of HDPCs was determined by
wound healing and transwell migration assays, and the differentiation of HDPCs
was investigated using alkaline phosphatase staining and alizarin red staining.
The expression of odontogenesis-related genes such as Runt-related transcription
factor 2, dentin sialophosphoprotein, and dentin matrix protein 1 was quantified.
RESULTS: WNT6 activates the JNK pathway in HDPCs and enhances cell migration,
mineralization nodule formation, and alkaline phosphatase activation. WNT6 also
increases the expression of Runt-related transcription factor 2, dentin
sialophosphoprotein, and dentin matrix protein messenger RNA in HDPCs. Blockage
of the JNK pathway in HDPCs decreases but does not completely abolish the cell
migration and differentiation capacity induced by WNT6. CONCLUSIONS: WNT6
activates the JNK signaling pathway in HDPCs, leading to migration and
differentiation.
PMID- 24935541
TI - Comparison of pulp stump wounds created by profile rotary root canal instruments
and small-diameter fine diamond burs.
AB - INTRODUCTION: The aim of this investigation was to evaluate and compare the pulp
stump wounds created by the following root canal instruments: ProFile rotary
files and small-diameter fine diamond burs. METHODS: Extracted mandibular
premolars from adult patients with vital pulps and fully formed root canals were
collected and stored in formalin. Within 48 hours of extraction, the periapical
tissue was fixated to the root with a double coating of ethyl cyanoacrylate, and
the pulp chambers were accessed with a #4 round carbide bur and high-speed
handpiece in a traditional fashion. The pulp tissue of 10 specimens per group was
extirpated at a level approximately 5 mm from the apex by using standard
endodontic techniques. The specimens were then examined at *100 magnification
under a digital microscope. Grading criteria were developed, and the wounds were
scored. RESULTS: Wounds created by diamond burs were significantly smoother that
those created by ProFile rotary files; the mean wound score for diamond burs was
1.4 versus a mean wound score of 3 for ProFiles. After the author's scoring of
the wounds, 2 endodontist graders were shown photos of each specimen in a double
blind fashion and instructed to score each specimen as being smooth or rough. To
validate the developed scoring system and remove potential observer bias of the
author, the data from the double-blind observations were used to complete a cross
tabulation for a Cohen kappa test. CONCLUSIONS: Kappa values demonstrated
substantial inter-rater agreement for both groups.
PMID- 24935542
TI - Influence of acidic environment on properties of biodentine and white mineral
trioxide aggregate: a comparative study.
AB - INTRODUCTION: The purpose of this study was to evaluate the surface
microhardness, compressive strength, bond strength, and morphologic
microstructures of Biodentine (BD; Septodont, Saint Maur des Fosses, France) and
white mineral trioxide aggregate (WMTA) after exposure to a range of acidic pH
levels. METHODS: For each test, 4 groups of each material were exposed to pH
values of 7.4, 6.4, 5.4, and 4.4, respectively, for 7 days. The surface hardness
was determined using Vickers microhardness. The compressive strength and micro
push-out bond strength were determined using the universal testing machine at a
crosshead speed of 0.5 mm/min. The morphologic microstructures of specimens were
evaluated using scanning electron microscopy. RESULTS: BD showed higher surface
hardness, compressive strength, and bond strength to root dentin compared with
WMTA after exposure to different pH values. A substantial change in the
microstructure of BD and WMTA occurred after exposure to different pH values.
WMTA appeared to be more sensitive to acidic pH environments than BD.
CONCLUSIONS: BD material seems more appropriate for use when exposed to an acidic
environment compared with WMTA.
PMID- 24935543
TI - Mercury intrusion porosimetry and assessment of cement-dentin interface of anti
washout-type mineral trioxide aggregate.
AB - INTRODUCTION: One of the disadvantages of mineral trioxide aggregate (MTA) is
washout (ie, the tendency of freshly prepared cement paste to disintegrate upon
early contact with physiological fluids). A novel MTA (MTA Plus; Prevest Denpro,
Jammu City, India) exhibits low washout and superior physical properties when
mixed with a gel instead of water. When used as a root-end filler, MTA is in
contact with both bone and root dentin. This study aimed to investigate the
porosity and interfacial characteristics of the novel MTA mixed with water or
antiwashout gel. METHODS: Porosity was evaluated after 1 or 28 days of immersion
in Hank's balanced salt solution using mercury intrusion porosimetry. The root
dentin to material interface was investigated using a scanning electron
microscope and energy-dispersive X-ray spectroscopy complete with line scans and
elemental maps. RESULTS: Anti-washout-type MTA Plus was found to have lower
initial porosity than MTA Plus mixed with water although this trend was reversed
after 28 days of immersion in physiological fluid. Both materials exhibited good
marginal adaptation. The diffusion of silicon, calcium, and phosphorus across the
cement/dentin interface was observed. CONCLUSIONS: MTA Plus mixed with
antiwashout gel was found to have lower initial porosity than MTA Plus mixed with
water. Both materials exhibited good marginal adaptation and the diffusion of
silicon, calcium, and phosphorous across the cement/dentin interface. Thus, the
anti-washout-type MTA can be considered to be a suitable substitute for ordinary
MTA in all its indications.
PMID- 24935544
TI - Influence of ultrasonic activation of 4 root canal sealers on the filling
quality.
AB - INTRODUCTION: The purpose of this study was to evaluate the effects of ultrasonic
activation on the filling quality (intratubular sealer penetration, interfacial
adaptation, and presence of voids) of 4 epoxy resin-based sealers. METHODS:
Eighty-four extracted human canines were divided into 4 groups (n = 20) according
to the sealer used to obturate the root canals instrumented with F5 ProTaper
instruments (50/05) (Dentsply Maillefer, Ballaigues, Switzerland). The canals
were filled by the lateral compaction technique. Previously, the sealers were
labeled with rhodamine B dye to allow analysis under a confocal microscope. At
the time of obturation, the specimens were divided again into 2 groups (n = 10)
according to the ultrasonic activation of the sealers: ultrasonically activated
and nonultrasonically activated groups. All samples were sectioned at 2, 4, and 6
mm from the apex. The percentages of voids, gaps, and dentinal sealer penetration
segments of the canal were analyzed. RESULTS: Regarding the sealer penetration
segments, there was a significant increase for the AH Plus (Dentsply Maillefer),
Acroseal (Specialites Septodont, Saint Maur-des-Fosses, France), and Sealer 26
(Dentsply Maillefer) at the 4-mm level and the AH Plus and Sealer 26 at the 6-mm
level with ultrasonic activation (P < .05). Concerning the gaps, the ultrasonic
activation promoted a smaller presence for all sealers at the 4- and 6-mm levels
(P < .05). No statistical significant differences were found for the percentages
of voids (P < .05). CONCLUSIONS: The use of ultrasonic activation of an epoxy
resin-based sealer promoted greater dentinal sealer penetration and less presence
of gaps.
PMID- 24935545
TI - Evaluation of compressive strength of hydraulic silicate-based root-end filling
materials.
AB - INTRODUCTION: Hydraulic silicate cements such as mineral trioxide aggregate (MTA)
have many clinical advantages. Newer hydraulic silicate materials have been
developed that improve on the limitations of mineral trioxide aggregate such as
the long setting time and difficult handling characteristics. The purpose of this
study was to examine the effect of saline and fetal bovine serum (FBS) on the
setting and compressive strength of the following hydraulic silicate cements:
ProRoot MTA (white WMTA; Dentsply International, Tulsa Dental Specialties,
Johnson City, TN), EndoSequence Root Repair Material (Brasseler USA, Savannah,
GA), MTA Plus (MTAP; Avalon Biomed Inc, Bradenton, FL), and QuickSet (QS; Avalon
Biomed Inc, Bradenton, FL). METHODS: Samples of root-end filling materials were
compacted into polyethylene molds. Samples were exposed to FBS or saline for 7
days. A universal testing machine was used to determine the compressive
strengths. RESULTS: QS had significantly lower compressive strength than all
other materials (P < .001). White MTA and MTAP mixed with liquid had lower
compressive strengths after exposure to FBS compared with saline (P = .003).
ERRM, MTAP mixed with gel, and QS were not affected by the exposure to FBS.
CONCLUSIONS: New silicate-based root-end filling materials, other than QS, have
compressive strength similar to MTA. Within the limits of this study, premixed
materials and those mixed with antiwashout gel maintain their compressive
strength when exposed to biological fluids.
PMID- 24935546
TI - Torque and force induced by ProTaper universal and ProTaper next during shaping
of large and small root canals in extracted teeth.
AB - INTRODUCTION: The purpose of this study was to compare peak torque and force
between ProTaper Universal (PTU) and ProTaper Next (PTN) instruments during the
preparation of large and small root canals in extracted teeth. METHODS: Twelve
maxillary incisors and each independent canal of 6 mesial roots of mandibular
molars were randomly assigned to be prepared with a new set of either PTU or PTN
instruments after a glide path was achieved. A total of 12 new sets of each
instrument system were used. The tests were run in a standardized fashion in a
torque-testing platform. Peak torques (Ncm) and force (N) were registered;
analysis of variance and Tukey post hoc tests were then applied. RESULTS: Among
instruments in the same sequence, no significant differences in peak torque and
force were found among PTN instruments when shaping small or large root canals,
but some PTU instruments showed statistically lower peak torque and force (P <
.01) than others for both types of canals. Whereas PTU instruments showed
significant differences in peak torque and force (P < .05) between large and
small root canals, PTN instruments showed significantly lower force (P < .04) in
large canals, but peak torque was not significantly different for upper central
incisors or mesial mandibular root canals. CONCLUSIONS: Under the conditions of
this study, instruments in ProTaper Next set showed greater regularity in peak
torque for small and large canals than ProTaper Universal instruments.
PMID- 24935547
TI - Antibacterial and anti-biofilm activity of AH plus with chlorhexidine and
cetrimide.
AB - INTRODUCTION: The use of root canal filling materials with antibacterial activity
can be considered beneficial to reduce the remaining microorganisms in the root
canal system, where Enterococcus faecalis is often found, and prevent recurrent
infection. The aim of this study was to evaluate the antimicrobial activity and
capacity for inhibiting E. faecalis biofilm formation of AH Plus, alone and mixed
with chlorhexidine (CHX), cetrimide (CTR), and combinations of the two. METHODS:
AH Plus alone and mixed with 1% and 2% CHX, 0.1%-0.5% CTR, and combinations of
both were tested to assess antimicrobial activity by a modified direct contact
test and determine inhibition of E. faecalis biofilm formation at 24 hours. The
results were expressed as log10 viable counts. Eradication and inhibition of
biofilm formation were understood as no bacterial growth or log10 reduction = 5
with respect to the control (AH Plus alone). RESULTS: AH Plus + CHX showed a low
antimicrobial activity with respect to the control (at 2%, log10 reduction =
1.30). None of the tested concentrations achieved eradication or inhibition of
biofilm. AH Plus + CTR showed a direct relationship of concentration
antimicrobial effect, reaching a log10 reduction of 2.92 at 0.5% and inhibition
of biofilm formation at 0.2%. With the combination CHX + CTR, lower
concentrations were needed for the same effect, and eradication and inhibition of
biofilm were achieved. CONCLUSIONS: The addition of CHX, CTR, or some combination
of both to AH Plus confers it with bactericidal and anti-biofilm activity against
E. faecalis.
PMID- 24935548
TI - Comparison of 2 canal preparation techniques in the induction of microcracks: a
pilot study with cadaver mandibles.
AB - INTRODUCTION: The purpose of this pilot study in a cadaver model was to compare 2
different shaping techniques regarding the induction of dentinal microcracks.
METHODS: Three lower incisors from each of 6 adult human cadaver skulls were
randomly distributed into 3 groups: the control group (CG, no instrumentation),
the GT group (GT Profile hand files; Dentsply Tulsa Dental, Tulsa, OK), and the
WO group (WaveOne; Dentsply Tulsa Dental). In the GT group, manual shaping in a
crown-down sequence with GT Profile hand files was performed. In the WO group,
Primary WaveOne files were used to the working length. Teeth were separated from
the mandibles by careful removal of soft tissue and bone under magnification.
Roots were sectioned horizontally at 3, 6, and 9 mm from the apex using a low
speed saw. Color photographs at 2 magnifications (25* and 40*) were obtained.
Three blinded examiners registered the presence of microcracks (yes/no),
extension (incomplete/complete), direction (buccolingual/mesiodistal), and
location. Data were analyzed with chi-square tests at P < .05. RESULTS:
Microcracks were found in 50% (CG and GT) and 66% (WO) of teeth at 3 mm, 16.6%
(CG) and 33.3% (GT and WO) at 6 mm, and 16.6% in all 3 groups at 9 mm from the
apex. There were no significant differences in the incidence of microcracks
between all groups at 3 (P = .8), 6 (P = .8), or 9 mm (P = 1). All microcracks
were incomplete, started at the pulpal wall, and had a buccolingual direction.
CONCLUSIONS: Within the limitations of this pilot study, a relationship between
the shaping techniques (GT hand and WaveOne) and the incidence of microcracks
could not be shown compared with uninstrumented controls.
PMID- 24935549
TI - Comparison of the surface hardness among 3 materials used in an experimental
apexification model under moist and dry environments.
AB - INTRODUCTION: Procedures used in single-visit or multiple-visit approaches to
apical barrier creation were used with an experimental apexification model to
test the surface hardness of 3 materials. The purpose of this study was to
examine the microhardness of the materials after setting in moist or dry
conditions. METHODS: A simulated open apex and periapical environment model was
created using polyethylene tubes placed into a porous block filled with phosphate
buffered saline. White ProRoot Mineral Trioxide Aggregate (MTA; Dentsply Tulsa
Dental, Tulsa, OK), EndoSequence Root Repair Material (ESRRM; Brasseler USA,
Savannah, GA), and Biodentine (BD; Septodont, Louisville, CO) were mixed and
placed into the apical 4 mm of the tubes (N = 15). The moist group had a damp
cotton pellet above the test materials (mineral trioxide aggregate or ESSRM) with
Fuji II LC (GC America, Alsip, IL) sealing the coronal segment. The dry group had
gutta-percha placed directly against the test materials with amalgam sealing the
coronal segment. After 10 days of storage in 100% humidity at 37 degrees C,
samples were sectioned, and microhardness was independently measured at 2 mm and
4 mm from the apical end. Differences were assessed using analysis of variance
and a Tukey post hoc test (alpha = .05). RESULTS: Analysis of variance analyses
showed no significant effect of wet or dry conditions on resultant material
hardness. A Tukey post hoc test showed that using ESRRM and BD would not result
in a significant difference in hardness, but using MTA would result in
statistically significant different hardness values when compared with ESRRM or
BD. CONCLUSIONS: Either a moist or dry environment could allow hardening of
materials; thus, both methods could be acceptable for clinical treatment
procedures.
PMID- 24935550
TI - Kinematics of 2 reciprocating endodontic motors: the difference between actual
and set values.
AB - INTRODUCTION: The aim of this study was to evaluate the kinematics of 2
reciprocating motors and compare it with manufacturers' declared values. METHODS:
VDW Silver (VDW, Munich, Germany) and ATR Tecnika (Tecnika, Pistoia, Italy) were
used in the study in 5 working modes: continuous rotation at 400 rpm on VDW
Silver and ATR Tecnika and reciprocating motion on ATR Tecnika at 400 rpm and on
VDW Silver in Reciproc and WaveOne mode. The polishing disk with an optical
target was inserted in the contra-angle and recorded with a 1000-frames per
second video camera. The direction and the amount of rotation were analyzed by
computer, and the following kinematics parameters were calculated: engaging and
disengaging angles, cycle rotational speeds, engaging and disengaging rotational
speeds, net cycle angle, total cycle angle, and number of cycles to complete full
rotation. One-way analysis of variance followed by planned pair-wise comparisons
was used to compare kinematics parameters. The alpha error was set to 0.05.
RESULTS: Analysis of variance revealed a difference between actual and set values
of all 3 reciprocating modes in all kinematics parameters (P < .001). No
significant difference between the actual engaging angle of Reciproc and that of
the WaveOne mode was found. For reciprocating motion on the ATR Tecnika at 400
rpm, the actual engaging and disengaging angles were 8- and 9-fold greater,
respectively, compared with set angles (P < .001). CONCLUSIONS: The kinematics of
reciprocating instrumentation is more complex than it seems as described only
with angles and rotational speed. Actual kinematics values differ from
manufacturers' declared values.
PMID- 24935551
TI - Cyclic fatigue resistance of RaCe and Mtwo rotary files in continuous rotation
and reciprocating motion.
AB - INTRODUCTION: The purpose of this study was to evaluate and compare the cyclic
fatigue resistance of RaCe (FKG Dentaire, La Chaux-de-Fonds, Switzerland) and
Mtwo (VDW, Munich, Germany) rotary files in continuous rotation and reciprocating
motion. METHODS: A total of 60 new rotary Mtwo and RaCe files (ISO size = 25,
taper = 0.06, length = 25 mm) were selected and randomly divided into 4 groups (n
= 15 each): Mtc (Mtwo NiTi files in continuous rotation), Rc (RaCe NiTi files in
continuous rotation), Mtr (Mtwo NiTi files in reciprocating motion), and Rr (RaCe
NiTi files in reciprocating motion). A cyclic fatigue testing device was
fabricated with a 60 degrees angle of curvature and a 5-mm radius. All
instruments were rotated or reciprocated until fracture occurred. The time taken
for each instrument to fracture and the length of the broken fragments were
recorded. All the fractured files were analyzed under a scanning electron
microscope to detect the mode of fracture. The Kolmogorov-Smirnov test was used
to assess the normality of samples distribution, and statistical analysis was
performed using the independent sample t test. RESULTS: The time taken for the
instruments of the Mtr and Rr groups to fail under cyclic loading was
significantly longer compared with the Mtc and Rc groups (P < .001). Scanning
electron microscopic observations showed that the instruments of all groups had
undergone a ductile mode of fracture. The length of the fractured segments was
between 5 and 6 mm, which was not statistically significant among the
experimental groups. CONCLUSIONS: Mtwo and RaCe rotary instruments showed a
significantly higher cyclic fatigue resistance in reciprocating motion compared
with continuous rotation motion.
PMID- 24935552
TI - Efficacy of reciprocating instruments for removing filling material in curved
canals obturated with a single-cone technique: a micro-computed tomographic
analysis.
AB - INTRODUCTION: The aim of this study was to evaluate the efficacy of nickel
titanium reciprocating instrument techniques and passive ultrasonic irrigation
(PUI) for retreatment in curved canals and obturated with correspondent single
cones. METHODS: Twenty molars were selected with mesial-buccal canals with
curvatures between 20 degrees and 40 degrees , and they were instrumented with a
Reciproc R25 instrument (VDW, Munich, Germany) in a reciprocating motion and
obturated with single cones and AH Plus sealer (Dentsply Maillefer, Ballaigues,
Switzerland). The teeth were divided into 2 groups (n = 10) according to the
instrument used for the removal of filling material: group 1: Reciproc R25
instrument and group 2: WaveOne Primary instrument (Dentsply Maillefer). The
analysis of the remnant filling material was made through micro-computed
tomographic imaging after the use of reciprocating instruments and again after
the use of PUI with xylene. The effective time of retreatment was registered.
RESULTS: The filling material of the root canal was efficiently removed (P < .05)
for both groups. The percentage of removal of filling materials was 93% for the
Reciproc group and 92% for the WaveOne group. There were no statistical
significant differences (P > .05) between the groups in relation to the removal
of filling material ability and the time needed for the procedure. The effective
time for the removal of filling material was 78.7 seconds and 89.5 seconds for
the Reciproc and WaveOne groups, respectively. CONCLUSIONS: It was concluded that
both instruments efficiently but not completely removed the filling material from
inside the root canals. The use of xylene with PUI increased the removal of
filling material a little, but it was not statically significant.
PMID- 24935553
TI - Influence of the dentinal wall on the pH of sodium hypochlorite during root canal
irrigation.
AB - INTRODUCTION: The purpose of this study was to evaluate the influence of dentin
on the pH levels of different concentrations of sodium hypochlorite (NaOCl)
solutions over time and to evaluate if preconditioning of dentin with 17% EDTA or
agitation of the NaOCl solution influences these pH levels. METHODS: A novel
clinically representative model that scales with the ratio of the irrigant volume
to the dentin surface area of a human root canal was used. Three standardized
bovine dentin bars (2 * 2 * 10 mm) were placed in a plastic test tube. A total of
150 tubes were distributed in 29 groups. In the first experiment, the pH of
various NaOCl solutions, with different concentrations (3%, 6%, and 9%) and
starting pH levels (5 and 12), was monitored during exposure to dentin between 10
and 300 seconds. In a second experiment, the effect of agitation (45 Hz) and
pretreatment of dentin with 17% EDTA on the pH levels of various NaOCl solutions
was studied after 30 seconds of exposure to dentin. The short-term chemical
stability of the tested solutions was assessed for both the concentration and the
pH. RESULTS: The exposure time (P < .001) and concentration of the NaOCl solution
(P < .011) significantly influence the pH level after exposure to dentin.
However, the change in pH is too small to induce a change in the irrigant
antimicrobial/tissue dissolution capacity. CONCLUSIONS: Agitation of the irrigant
and preconditioning of the dentin did not alter the pH (P > .05). Both the pH 5
and pH 12 solutions were chemically stable for 1 hour.
PMID- 24935554
TI - Incidence of apical crack initiation and propagation during the removal of root
canal filling material with ProTaper and Mtwo rotary nickel-titanium retreatment
instruments and hand files.
AB - INTRODUCTION: The aim of this study was to determine the incidence of crack
initiation and propagation in apical root dentin after retreatment procedures
performed by using 2 rotary retreatment systems and hand files with additional
instrumentation. METHODS: Eighty extracted mandibular premolars with single
canals were selected. One millimeter from the apex of each tooth was ground
perpendicular to the long axis of the tooth, and the apical surface was polished.
Twenty teeth served as the control group, and no preparation was performed. The
remaining 60 teeth were prepared to size 35 with rotary files and filled with
gutta-percha and AH Plus sealer. Specimens were then divided into 3 groups (n =
20), and retreatment procedures were performed with the following devices and
techniques: ProTaper Universal retreatment files, Mtwo retreatment files, and
hand files. After retreatment, the additional instrumentation was performed by
using size 40 ProTaper, Mtwo, and hand files. Digital images of the apical root
surface were recorded before preparation, after instrumentation, after filling,
after retreatment, and after additional instrumentation. The images were then
inspected for the presence of any new apical cracks and propagation. Data were
analyzed with the logistic regression and Fisher exact tests. RESULTS: All
experimental groups caused crack initiation and propagation after use of
retreatment instruments. The ProTaper and Mtwo retreatment groups caused greater
crack initiation and propagation than the hand instrument group (P < .05) after
retreatment. Additional instrumentation with ProTaper and Mtwo instruments after
the use of retreatment instruments caused crack initiation and propagation,
whereas hand files caused neither crack initiation nor propagation (P < .05).
CONCLUSIONS: This study showed that retreatment procedures and additional
instrumentation after the use of retreatment files may cause crack initiation and
propagation in apical dentin.
PMID- 24935555
TI - Periradicular regenerative surgery in a maxillary central incisor: 7-year results
including cone-beam computed tomography.
AB - INTRODUCTION: A case of a symptomatic maxillary central incisor that underwent
periradicular regenerative surgery with a successful long-term clinical and
radiographic outcome is presented. METHODS: A 52-year old woman was referred to
the Endodontology Clinic, UCL Eastman Dental Institute and Hospital, London, UK,
in 2004 for swelling and discoloration of the maxillary right central incisor.
There was a history of trauma 21 years previously. The tooth was endodontically
treated 5 years before the referral. At presentation, there was diffuse facial
swelling/erythema and a periodontal probing depth of 11 mm on the midfacial
surface with bleeding on probing and purulent exudate. Endodontic retreatment was
completed along with subgingival debridement. Reassessment at 6 weeks showed
persistent purulent exudate and a probing depth up to 13 mm facially.
Periradicular surgery was performed for the purposes of surgical exploration,
apical resection and root-end filling with mineral trioxide aggregate, and guided
tissue regeneration using a bone xenograft and collagen membrane. Histopathology
confirmed the presence of a radicular cyst. RESULTS: Clinical and radiographic
evaluation, including cone-beam computed tomographic imaging, at 7 years
postoperatively showed a probing depth up to 3 mm and hard tissue formation
apically, interproximally, and partly facially on the root surface. CONCLUSIONS:
In this case of a combined endodontic-periodontic lesion in a maxillary central
incisor, regenerative periradicular surgery led to the resolution of the defect,
significant attachment gain, and a stable clinical and radiographic outcome after
7 years of follow-up.
PMID- 24935556
TI - Fusion of central incisors with supernumerary teeth: a 10-year follow-up of
multidisciplinary treatment.
AB - INTRODUCTION: Macrodontia of anterior teeth may occur as an isolated condition or
as a result of fusion or gemination and may cause clinical problems such as tooth
crowding and esthetic problems. Preliminary planning and careful management are
often required by a dental team comprising an orthodontist, an endodontist, a
prosthodontist, and an oral surgeon. A multidisciplinary treatment approach in a
case with fused teeth is presented. METHODS: A 9-year-old girl presented with
macrodontia of a left maxillary central incisor. The patient was referred to the
orthodontic department because of a large central incisor as a result of fusion
with an unspecific supernumerary tooth. The surgical procedure included
sectioning off the mesial segment as far as possible, both apically and
subgingivally, and extracting 1 of the fused supernumerary teeth. During the
sectioning procedure, the pulp of the remaining tooth was exposed at the middle
third of the root. Direct pulp capping was performed by an endodontist using
mineral trioxide aggregate. Twelve weeks later, orthodontic treatment was
commenced, and finally after a 26-month orthodontic treatment period, the central
incisors' crown was restored using composite material. RESULTS: A 10-year
clinical and radiographic follow-up revealed that the remaining resected central
incisor kept its vitality, and the patient was pleased with the esthetic result.
CONCLUSIONS: Proper interdisciplinary treatment planning of complicated cases
such as anomalous teeth, which involve fusion to a supernumerary tooth, may lead
to minimal invasive conservative procedures that maintain tooth vitality and
result in a pleasing esthetic result.
PMID- 24935558
TI - Hedgehog signaling pathway as key player in liver fibrosis: new insights and
perspectives.
AB - INTRODUCTION: Activation of hepatic stellate cells (HSCs) is a pivotal cellular
event in liver fibrosis. Therefore, improving our understanding of the molecular
pathways that are involved in these processes is essential to generate new
therapies for liver fibrosis. Greater knowledge of the role of the hedgehog
signaling pathway in liver fibrosis could improve understanding of the liver
fibrosis pathogenesis. AREAS COVERED: The aim of this review is to describe the
present knowledge about the hedgehog signaling pathway, which significantly
participates in liver fibrosis and HSC activation, and look ahead on new
perspectives of hedgehog signaling pathway research. Moreover, we will discuss
the different interactions with hedgehog signaling pathway-regulated liver
fibrosis. EXPERT OPINION: The hedgehog pathway modulates several important
aspects of function, including cell proliferation, activation and
differentiation. Targeting the hedgehog pathway can be a promising direction in
liver fibrosis treatment. We discuss new perspectives of hedgehog signaling
pathway activation in liver fibrosis and HSC fate, including DNA methylation,
methyl CpG binding protein 2, microRNA, irradiation and metabolism that influence
hedgehog signaling pathway transduction. These findings identify the hedgehog
pathway as a potentially important for biomarker development and therapeutic
targets in liver fibrosis. Future studies are needed in order to find safer and
more effective hedgehog-based drugs.
PMID- 24935557
TI - Performance of HLA allele prediction methods in African Americans for class II
genes HLA-DRB1, -DQB1, and -DPB1.
AB - BACKGROUND: The expense of human leukocyte antigen (HLA) allele genotyping has
motivated the development of imputation methods that use dense single nucleotide
polymorphism (SNP) genotype data and the region's haplotype structure, but the
performance of these methods in admixed populations (such as African Americans)
has not been adequately evaluated. We compared genotype-based-derived from both
genome-wide genotyping and targeted sequencing-imputation results to existing
allele data for HLA-DRB1, -DQB1, and -DPB1. RESULTS: In European Americans, the
newly-developed HLA Genotype Imputation with Attribute Bagging (HIBAG) method
outperformed HLA*IMP:02. In African Americans, HLA*IMP:02 performed marginally
better than HIBAG pre-built models, but HIBAG models constructed using a portion
of our African American sample with both SNP genotyping and four-digit HLA class
II allele typing had consistently higher accuracy than HLA*IMP:02. However, HIBAG
was significantly less accurate in individuals heterozygous for local ancestry (p
<=0.04). Accuracy improved in models with equal numbers of African and European
chromosomes. Variants added by targeted sequencing and SNP imputation further
improved both imputation accuracy and the proportion of high quality calls.
CONCLUSION: Combining the HIBAG approach with local ancestry and dense variant
data can produce highly-accurate HLA class II allele imputation in African
Americans.
PMID- 24935560
TI - The effectiveness of exercise for the prevention and treatment of antenatal
depression: systematic review with meta-analysis.
AB - BACKGROUND: Antenatal depression can have harmful consequences for the mother and
fetus. Exercise may be a useful intervention to prevent and treat antenatal
depression. OBJECTIVES: This systematic review aims to establish whether there is
sufficient evidence to conclude that exercise is an effective intervention for
preventing and treating antenatal depression. SEARCH STRATEGY: Searches using
electronic databases from MEDLINE, Cochrane Library, CINAHL, EMBASE, AMED and
PsycINFO were performed. SELECTION CRITERIA: Randomised controlled trials (RCT)
that compared any type of exercise intervention with any comparator in pregnant
women were eligible for inclusion. DATA COLLECTION AND ANALYSIS: Meta-analysis
was performed calculating standardised mean differences (SMD). MAIN RESULTS: Six
trials (seven comparisons) were eligible for inclusion. Meta-analysis showed a
significant reduction in depression scores (SMD -0.46, 95% CI -0.87 to -0.05, P =
0.03, I(2) = 68%) for exercise interventions relative to comparator groups. The
test for subgroup differences in women who were non-depressed (one trial) (SMD
0.74, 95%CI -1.22 to -0.27, P = 0.002) and depressed (five trials) (SMD -0.41,
95% CI -0.88 to 0.07, P = 0.09) at baseline was not significant (P = 0.32). The
test for subgroup differences between aerobic (one trial) and non-aerobic
exercise (five trials) was also nonsignificant (P = 0.32). AUTHORS' CONCLUSIONS:
We found some evidence that exercise may be effective in treating depression
during pregnancy but this conclusion is based on a small number of low-moderate
quality trials with significant heterogeneity and wide confidence intervals.
PMID- 24935559
TI - The relationship between mood and sleep in different female reproductive states.
AB - BACKGROUND: Sleep is disrupted in depressed subjects, but it also deteriorates
with age and possibly with the transition to menopause. The nature of interaction
between mood, sleep, age and reproductive state is not well-defined. The aim of
this study was to evaluate the relationship between mood and sleep among healthy
women in different reproductive states. METHODS: We analyzed data from 11 younger
(20-26 years), 21 perimenopausal (43-51 years) and 29 postmenopausal (58-71
years) healthy women who participated in a study on menopause, sleep and
cognition. The 21-item Beck Depression Inventory (BDI) was administered to assess
mood. Subjective sleep quality was assessed with the Basic Nordic Sleep
Questionnaire (BNSQ). Objective sleep was measured with all-night polysomnography
(PSG) recordings. Perimenopausal and younger women were examined during the first
days of their menstrual cycle at the follicular phase. RESULTS: Among younger
women, less arousals associated with higher BDI total scores (p = 0.026), and
higher SWS percentages with more dissatisfaction (p = 0.001) and depressive
somatic symptoms (p = 0.025), but with less depressive-emotional symptoms (p =
0.001). In specific, less awakenings either from REM sleep or SWS, respectively,
associated with more punishment (p = 0.005; p = 0.036), more dissatisfaction (p <
0.001; p = 0.001) and more depressive-somatic symptoms (p = 0.001; p = 0.009),
but with less depressive-emotional symptoms (p = 0.002; p = 0.003). In
perimenopausal women, higher BNSQ insomnia scores (p = 0.005), lower sleep
efficiencies (p = 0.022) and shorter total sleep times (p = 0.024) associated
with higher BDI scores, longer sleep latencies with more depressive-somatic
symptoms (p = 0.032) and longer REM latencies with more dissatisfaction (p =
0.017). In postmenopausal women, higher REM percentages associated with higher
BDI total scores (p = 0.019) and more depressive-somatic symptoms (p = 0.005),
and longer SWS latencies with more depressive-somatic symptoms (p = 0.030).
CONCLUSIONS: Depressive symptoms measured with the total BDI scores associated
with sleep impairment in both perimenopausal and postmenopausal women. In younger
women, specific BDI factors revealed minor associations, suggesting that the type
of sleep impairment can vary in relation to different depressive features. Our
data indicate that associations between sleep and depressed mood may change in
conjunction with hormonal milestones.
PMID- 24935561
TI - Concentration-effect modeling based on change from baseline to assess the
prolonging effect of drugs on QTc together with an estimate of the circadian time
course.
AB - As ICH E14 was adopted by the US FDA and the EU CPMC in 2005, thorough QT studies
have routinely been analyzed by looking at the time-matched difference between
(baseline corrected) QTcF or QTcI under the supra-therapeutic dose and placebo. A
study is considered negative, if the two-sided 90% confidence interval for this
difference is below 10 ms for all investigated time points. ICH E14 suggests
including a positive control, such as moxifloxacin, for assay sensitivity.
Concentration-response analysis has been considered a more powerful alternative,
but its application to parallel group studies was hampered as a double difference
of QTcF per subject cannot be calculated. Recently, a new model based on change
from baseline with fixed time and concentration effects has been proposed. It
allows for a placebo-corrected prediction of the drug effect with an unbiased
standard error, and the estimate of a time effect can be used for assay
sensitivity. We demonstrate this approach, utilizing 2 studies reported elsewhere
with a crossover design. We compare the results from a conventional concentration
response analysis based on the difference to placebo with results from the novel
analysis based on the change from average baseline that includes a fixed time
effect.
PMID- 24935562
TI - Serum carcinoembryonic antigen levels before initial treatment are associated
with EGFR mutations and EML4- ALK fusion gene in lung adenocarcinoma patients.
AB - BACKGROUND: Epidermal growth factor receptor (EGFR) mutations and echinoderm
microtubule associated protein like 4-anaplastic lymphoma kinase (EML4-ALK)
define specific molecular subsets of lung adenocarcinomas with distinct clinical
features. Our purpose was to analyze clinical features and prognostic value of
EGFR gene mutations and the EML4-ALK fusion gene in lung adenocarcinoma. PATIENTS
AND METHODS: EGFR gene mutations and the EML4-ALK fusion gene were detected in 92
lung adenocarcinoma patients in China. Tumor marker levels before first treatment
were measured by electrochemiluminescence immunoassay. RESULTS: EGFR mutations
were found in 40.2% (37/92) of lung adenocarcinoma patients, being identified at
high frequencies in never-smokers (48.3% vs. 26.5% in smokers; P=0.040) and in
patients with abnormal serum carcinoembryonic antigen (CEA) levels before the
initial treatment (58.3% vs. 28.6%, P=0.004). Multivariate analysis revealed that
a higher serum CEA level before the initial treatment was independently
associated with EGFR gene mutations (95%CI: 1.476~11.343, P=0.007). We also
identified 8 patients who harbored the EML4-ALK fusion gene (8.7%, 8/92). In
concordance with previous reports, younger age was a clinical feature for these
(P=0.008). Seven of the positive cases were never smokers, and no coexistence
with EGFR mutation was discovered. In addition, the frequency of the EML4-ALK
fusion gene among patients with a serum CEA concentration below 5 ng/ml seemed to
be higher than patients with a concentration over 5 ng/ml (P=0.021). No
significant difference was observed for time to progression and overall survival
between EML4-ALK-positive group and EML4-ALK-negative group or between patients
with and without an EGFR mutation. CONCLUSIONS: The serum CEA level before the
initial treatment may be helpful in screening population for EGFR mutations or
EML4-ALK fusion gene presence in lung adenocarcinoma patients.
PMID- 24935563
TI - How our practice of histopathology, especially tumour pathology has changed in
the last two decades: reflections from a major referral center in Pakistan.
AB - Continued advances in the field of histo-pathology (and cyto-pathology) over the
past two decades have resulted in dramatic changes in the manner in which these
disciplines are now practiced. This is especially true in the setting of a large
university hospital where the role of pathologists as clinicians
(diagnosticians), undergraduate and postgraduate educators, and researchers has
evolved considerably. The world around us has changed significantly during this
period bringing about a considerable change in our lifestyles and the way we
live. This is the world of the internet and the world-wide web, the world of
Google and Wikipedia, of Youtube and Facebook where anyone can obtain any
information one desires at the push of a button. The practice of histo (and cyto)
pathology has also evolved in line with these changes. For those practicing this
discipline in a poor, developing country these changes have been breathtaking.
This is an attempt to document these changes as experienced by histo (and cyto)
pathologists practicing in the biggest center for Histopathology in Pakistan, a
developing country in South Asia with a large (180 million) and ever growing
population. The Section of Histopathology, Department of Pathology and
Microbiology at the Aga Khan University Hospital (AKUH) in Karachi, Pakistan's
largest city has since its inception in the mid-1980s transformed the way
histopathology is practiced in Pakistan by incorporating modern methods and
rescuing histopathology in Pakistan from the primitive and outdated groove in
which it was stuck for decades. It set histopathology in Pakistan firmly on the
path of modernity and change which are essential for better patient management
and care through accurate and complete diagnosis and more recently prognostic and
predictive information as well.
PMID- 24935564
TI - Role of cytokines in genesis, progression and prognosis of cervical cancer.
AB - Cytokine research is currently at the forefront in cancer research. Deciphering
the functions of these multiple small molecules, discovered within the cell and
in intercellular spaces, with their abundance and pleotrophism, was initially a
great challenge. Advances in analytical chemistry and molecular biology have made
it possible to unravel the pathophysiological functions of these
polypeptides/proteins which are called interleukins, chemokines, monokines,
lymphokines and growth factors. With more than 5 million women contracting
cervical cancer every year this cancer is a major cause of mortality and
morbidity the world over, particularly in the developing countries. In more than
95% of cases it is associated with human papilloma virus (HPV) infection which is
persistent, particularly in those with a defective immune system. Although
preventable, the mere magnitude of prevalence of HPV in the world population
makes it a dominating current health hazard. The discovery of cytokine
dysregulation in cervical cancer has spurted investigation into the possibility
of using them as biomarkers in the early diagnosis of cases at high risk of
developing cancer. Their critical role in carcinogenesis and progression of
cervical cancer is now being revealed to a great extent. From diagnostics to
prognosis, and now with a possible role in therapeutics and prevention of
cervical cancer, the cytokines are being evaluated in all anticancer approaches.
This review endeavours to capture the essence of the astonishing journey of
cytokine research in cervical neoplasia.
PMID- 24935565
TI - Targeting cancer with nano-bullets: curcumin, EGCG, resveratrol and quercetin on
flying carpets.
AB - It is becoming progressively more understandable that different phytochemicals
isolated from edible plants interfere with specific stages of carcinogenesis.
Cancer cells have evolved hallmark mechanisms to escape from death. Concordant
with this approach, there is a disruption of spatiotemproal behaviour of
signaling cascades in cancer cells, which can escape from apoptosis because of
downregulation of tumor suppressor genes and over- expression of oncogenes.
Genomic instability, intra-tumor heterogeneity, cellular plasticity and
metastasizing potential of cancer cells all are related to molecular alterations.
Data obtained through in vitro studies has convincingly revealed that curcumin,
EGCG, resveratrol and quercetin are promising anticancer agents. Their efficacy
has been tested in tumor xenografted mice and considerable experimental findings
have stimulated researchers to further improve the bioavailability of these
nutraceuticals. We partition this review into different sections with emphasis on
how bioavailability of curcumin, EGCG, resveratrol and quercetin has improved
using different nanotechnology approaches.
PMID- 24935566
TI - Do not let to be late: overview of reasons for melanoma delayed diagnosis.
AB - Melanoma of the skin is a malignant tumor, which incidence is still increasing.
It was estimated that in the United States one person died from this cause every
hour. The major risk factor of this disease is exposure to ultraviolet radiation,
especially associated with the occurrence of sunburns. Patients diagnosed with
distant metastases have median survival of 6-9 months. The aim of this paper was
to identify the causes of delayed diagnosis of melanoma as diagnosis at an early
stage seems to be the key to improve the survival rates. For this purpose, a
search of medical databases such as PubMed, Google Scholar and Cancer Registers
was conducted and an analysis of the literature from the years 1979-2013 was
conducted.
PMID- 24935567
TI - Hepatic steatosis: prevalence and host/viral risk factors in Iranian patients
with chronic hepatitis B infection.
AB - BACKGROUND: In chronic hepatitis B (CHB), the presence of hepatic steatosis (HS)
seems to be associated with known host and viral factors which may influence the
long-term prognosis of chronic hepatitis B (CHB), probably leading to cirrhosis
and hepatocellular carcinoma (HCC). Different from chronic hepatitis C (CHC),
factors associated with HS in CHB are not clearly explored. MATERIALS AND
METHODS: 160 CHB patients were divided into two groups depending on the results
of liver biopsy. Group I consisted of 71 patients with confirmed steatosis. Group
II comprised 89 patients without steatosis. The groups were compared in terms of
basal characteristics, body mass index (BMI), liver enzymes (ALT, AST, ALP),
serum fasting blood sugar (FBS) and lipids, hepatitis B e antigen (HBeAg), viral
load, and histological findings. RESULTS: In terms of host factors, male gender,
older age, BMI, high serum FBS and lipid levels were associated with HS. On the
other hand, ALT levels, the HAI scores of necroinflammation and stage of fibrosis
did not associate with HS. On multivariate analysis, parameters of sex, BMI,
cholesterol and FBS levels were independently associated with HS. Regarding viral
factors, HBeAg negativity was significantly associated with HS (81.7%, p value
0.006), but not HBV DNA level (p value 0.520). CONCLUSIONS: HS in CHB appears to
be unrelated to the status of HBV replication. However, fibrosis progression in
CHB is related to variable host factors. HS may be enhanced through these factors
in HBV chronic patients.
PMID- 24935568
TI - Determining nursing student knowledge, behavior and beliefs for breast cancer and
breast self-examination receiving courses with two different approaches.
AB - BACKGROUND: This study aimed to determine nursing student knowledge, behavior and
beliefs for breast cancer and breast self-examination receiving courses with a
traditional lecturing method (TLM) and the Six Thinking Hats method (STHM).
MATERIALS AND METHODS: The population of the study included a total of 69 second
year nursing students, 34 of whom received courses with traditional lecturing and
35 of whom received training with the STHM, an active learning approach. The data
of the study were collected pre-training and 15 days and 3 months post-training.
The data collection tools were a questionnaire form questioning socio-demographic
features, and breast cancer and breast self-examination (BSE) knowledge and the
Champion's Health Belief Model Scale. The tests used in data analysis were chi
square, independent samples t-test and paired t-test. RESULTS: The mean knowledge
score following traditional lecturing method increased from 9.32 +/- 1.82 to
14.41 +/- 1.94 (P<0.001) and it increased from 9.20 +/- 2.33 to 14.73 +/- 2.91
after training with the Six Thinking Hats Method (P<0.001). It was determined
that there was a significant increase in pre and post-training perceptions of
perceived confidence in both groups. There was a statistically significant
difference between pre-training, and 15 days and 3 months post-training frequency
of BSE in the students trained according to STHM (p<0.05). On the other hand,
there was a statistically significant difference between pre-training and 3
months post-training frequency of BSE in the students trained according to TLM.
CONCLUSIONS: In both training groups, the knowledge of breast cancer and BSE, and
the perception of confidence increased similarly. In order to raise nursing
student awareness in breast cancer, either of the traditional lecturing method or
the Six Thinking Hats Method can be chosen according to the suitability of the
teaching material and resources.
PMID- 24935569
TI - Good outcomes of patients with stage IB endometrial cancer with surgery alone.
AB - BACKGROUND: Most patients with endometrial cancer have stage I disease. Adjuvant
therapy in stage IB (formerly IC) endometrial cancer is controversial, treatment
options including observation or brachytherapy/ radiotherapy in grade 1-3
patients with or without chemotherapy. The purpose of this study was to assess
the outcomes of our patients with stage IB endometrioid endometrial cancer.
MATERIALS AND METHODS: Sixty two patients with stage IB endometrial cancer and
endometrioid histology were retrospectively evaluated. All patients were
initially treated surgically by the same surgeon with comprehensive staging, i.e.
total abdominal hysterectomy, bilateral salphingooopherectomy, bilateral pelvic
and paraaortic lymph node dissection and omentectomy. Adjuvant radiotherapy was
discussed with patients and utilized by those who accepted. Adjuvant chemotherapy
was not given to any of the patients. RESULTS: Median age was 62 (range, 42-95).
Ninety percent of the patients had grade 1-2 disease. Thirteen patients (21%)
received intra vaginal brachytherapy (IVBT) and one received whole pelvic
radiotherapy (WPRT). Median follow-up time was 46 months (range, 9-77 months).
Three patients experienced recurrence (4.8%), two of them died on follow-up and
one was still alive at last visit. Two patients with recurrence had FIGO grade 2
tumors and one had a grade 3 tumor. Two patients (3.2%) died without evidence of
recurrent disease. Relapse free survival at 5 years was 94.4% and overall
survival was 93.1%. CONCLUSIONS: Patients with stage IB disease in our study
demonstrated relatively low recurrence rates although the majority of them
received no adjuvant treatment. Surgery alone may be sufficient for most patients
with this stage of endometrial cancer.
PMID- 24935570
TI - Expression of C4.4A is a potential independent prognostic factor for patients
with gastric cancer.
AB - C4.4A, a metastasis-associated gene, encodes a glycolipid-anchored membrane
protein which is overexpressed in several human malignancies. However, there are
few data available on C4.4A expression and its relationship with progression in
gastric cancer. Our study was designed to explore the expression of C4.4A in
gastric cancer and to correlate it with clinical outcome. C4.4A expression was
studied by quantitative real-time RT-PCR and immunohistochemistry for assessment
of correlations with clinicopathological factors. C4.4A mRNA expression was
significantly up-regulated in gastric cancer as compared with noncancerous tissue
(p<0.05)., being observed in 107 (88.4%) of the 121 gastric cancer cases by
immunohistochemistry. We found that the expression of C4.4A mRNA was correlated
with size of the tumor, depth of invasion, lymph node metastasis, distant
metastasis and TNM stage. Moreover, patients with overexpression of C4.4A has a
significantly worse survival (p<0.05). Further multivariable analysis indicated
that the expression of C4.4A was an independent prognostic indicator for gastric
cancer (p<0.05). In conclusion, overexpression of C4.4A correlates with
metastatic potential of gastric cancer and C4.4A could be a novel independent
prognostic marker for predicting outcome.
PMID- 24935571
TI - DH332, a synthetic beta-carboline alkaloid, inhibits B cell lymphoma growth by
activation of the caspase family.
AB - AIM: The purpose of this study was to investigate anti-tumor effects and safety
of DH332, a new beta-carboline alkaloids derivatives in vitro and in vivo.
MATERIALS AND METHODS: The effects of DH332 on human (RAMOS RA.1) and mouse
(J558) B lymphoma cell lines were detected using a CCK-8 kit (Cell Counting Kit
8), and apoptosis was detected by flow cytometry with PI/annexinV staining.
Western blotting was used to detected caspase-3 and caspase-8. Neurotoxic and
anti-tumor effects were evaluated in animal experiments. RESULTS: DH332 exerts a
lower neurotoxicity compared with harmine. It also possesses strong antitumor
effects against two B cell lymphoma cell lines with low IC50s. Moreover, DH332
could inhibit the proliferation and induce the apoptosis of RAMOS RA.1 and J558
cell lines in a dose-dependent manner. Our results suggest that DH332 triggers
apoptosis by mainly activating the caspase signaling pathway. In vivo studies of
tumor-bearing BALB/c mice showed that DH332 significantly inhibited growth of
J558 xenograft tumors. CONCLUSIONS: DH332 exerts effective antitumor activity in
vitro and in vivo, and has the potential to be a promising drug candidate for
lymphoma therapy.
PMID- 24935572
TI - Chromosomal abnormalities in Pakistani children with acute lymphoblastic
leukemia.
AB - BACKGROUND: Cytogenetic abnormalities have important implications in diagnosis
and prognosis of acute leukemia and are now considered an important part of the
diagnostic workup at presentation. Karyotype, if known at the time of diagnosis,
guides physicians to plan appropriate management strategies for their patients.
AIM AND OBJECTIVES: To determine the cytogenetic profile of acute lymphoblastic
leukemia (ALL) in Pakistani children in order to have insights regarding behavior
of the disease. MATERIALS AND METHODS: A retrospective analysis of all the cases
of ALL (<15years old) diagnosed at Aga Khan University from January 2006 to June
2011 was performed. Cytogenetic analysis was made for all cases using the trypsin
Giemsa banding technique. Karyotypes were interpreted using the International
System for Human Cytogenetic Nomenclature (ISCN) criteria. RESULTS: A total of
153 patients were diagnosed as ALL during the study period, of which 127 samples
successfully yielded metaphase chromosomes. The male to female ratio was 1.8:1. A
normal karyotype was present in 51.2% (n=65) of the cases whereas 48.8% (n=62)
had an abnormal karyotype. Most of the abnormal cases showed hyperdiploidy(13.4%)
followed by t(9;22)(q34;q11.2) (7.08%). CONCLUSIONS: This study revealed a
relative lack of good prognostic cytogenetic aberrations in Pakistani children
with ALL.
PMID- 24935573
TI - Application of tumor markers SCC-Ag, CEA, and TPA in patients with cervical
precancerous lesions.
AB - BACKGROUND: To determine the potential clinical utility of tumor markers CEA,
TPA, and SCC-Ag for early detection of cervical precancerous lesions. MATERIALS
AND METHODS: A case-control study was carried out on 120 women (46 patients with
histologically confirmed cervical precancerous lesions and 74 healthy controls).
The significance of serum selected tumor markers in early detection of cervical
intraepithelial neoplasia (CIN) were assessed. RESULTS: Of the case group, the
rates of CIN I, II, III, was 69.6%, 23.9%, and 6.5%, respectively. According to
the manufacturer's cut-off values of 2 ng/ml, 5 ng/ml, and 70 U/ml for SCC-Ag,
CEA and TPA tests, in that order, SCC-Ag test had a sensitivity of 13%, but CEA
and TPA tests could not distinguish between case and control groups. The
diagnostic sensitivities were highest at cut-off values of 0.55 ng/ml for SCC-Ag,
2.6 ng/ ml for CEA, and 25.5 U/ml for TPA which were 93%, 61%, and 50%,
respectively. However, the area under the receiver operating characteristic curve
was the largest for SCC-Ag (0.95 vs. 0.61 and 0.60 for CEA and TPA,
respectively). Moreover, there was a highly significant direct correlation
between SCC-Ag concentration and the degree of cervical precancerous lesions
(r=0.847, p<0.001). CONCLUSIONS: The new cutoff of 0.5 for SCC-Ag test might be
useful as a tumor marker in Iranian patients with CIN and it needs to be more
evaluated by studies with larger populationa.
PMID- 24935574
TI - Survival and prognostic factors for hepatocellular carcinoma: an Egyptian
multidisciplinary clinic experience.
AB - BACKGROUND: Hepatocellular carcinoma (HCC) is a dismal tumor with a high
incidence, prevalence and poor prognosis and survival. Management of HCC
necessitates multidisciplinary clinics due to the wide heterogeneity in its
presentation, different therapeutic options, variable biologic behavior and
background presence of chronic liver disease. We studied the different prognostic
factors that affected survival of our patients to improve future HCC management
and patient survival. MATERIALS AND METHODS: This study is performed in a
specialized multidisciplinary clinic for HCC in Kasr El Eini Hospital, Cairo
University, Egypt. We retrospectively analyzed the different patient and tumor
characteristics and the primary mode of management applied to our patients.
Further analysis was performed using univariate and multivariate statistics.
RESULTS: During the period February 2009 till February 2013, 290 HCC patients
presented to our multidisciplinary clinic. They were predominantly males and the
mean age was 56.5 +/- 7.7 years. All cases developed HCC on top of cirrhosis that
was mainly due to HCV (71%). Most of our patients were Child-Pugh A (50%) or B
(36.9%) and commonly presented with small single lesions. Transarterial
chemoembolization was the most common line of treatment used (32.4%). The overall
survival was 79.9% at 6 months, 54.5% at 1 year and 22.4% at 2 years. Serum
bilirubin, site of the tumor and type of treatment were the significant
independent prognostic factors for survival. CONCLUSIONS: Our main prognostic
variables are the bilirubin level, the bilobar hepatic affection and the
application of specific treatment (either curative or palliative).
Multidisciplinary clinics enhance better HCC management.
PMID- 24935575
TI - Association between Ras association domain family 1A promoter methylation and
esophageal squamous cell carcinoma: a meta-analysis.
AB - RASSF1A has been reported to be a candidate tumor suppressor in esophageal
squamous cell carcinoma (ESCC). However, the association between RASSF1A promoter
methylation and ESCC remains unclear. Eligible studies were identified through
searching PubMed, Medline, Web of Science, and the China National Knowledge
Infrastucture database. Studies were pooled and odds ratios (ORs) with
corresponding confidence intervals (CIs) were calculated. Funnel plots were also
performed to evaluate publication bias. Twelve studies involving 859 cases and
675 controls were included in this meta-analysis. A significant association was
observed between RASSF1A methylation and ESCC overall (OR = 11.7, 95% CI: 6.59
20.9, z=8.36, P<0.00001). Subgroup analysis showed that the OR for heterogeneous
tissues was 5.35 (95% CI = 2.95-9.71) while for autologous tissues it was 16.0
(8.31-30.96). For patient sample size, the OR for the <50 subgroup was 9.92 (95%
CI = 2.88-34.2) and for the 50 case group was 13.1 (95% CI = 6.59-25.91). The OR
for a relationship between RASSF1A methylation and TNM stages was 0.27 (95%
CI=0.10-0.77), whereas there were no significant differences in RASSF1A
methylation in relation to gender and differentiation among ESCC cases. This meta
analysis suggests a significant association between RASSF1A methylation and ESCC.
PMID- 24935576
TI - Un-met supportive care needs of Iranian breast cancer patients.
AB - BACKGROUND: Assessment of supportive needs is the requirement to plan any
supportive care program for cancer patients. There is no evidence about
supportive care needs of Iranian breast cancer patients. So, the aims of present
study were to investigate this question and s predictive factors. MATERIALS AND
METHODS: A descriptive- correlational study was conducted, followed by logistic
regression analyses. The Supportive Care Needs Survey was completed by 136 breast
cancer patients residing in Iran following their initial treatment. This assessed
needs in five domains: psychological, health system and information, physical and
daily living, patient care and support, and sexuality. RESULTS: Patient perceived
needs were highest in the health systems and information (71%), and physical and
daily living (68%) domains. Logistic regression modeling revealed that younger
participants have more un-met needs in all domains and those with more children
reported fewer un-met needs in patient care and support domains. In addition,
married women had more un-met supportive care needs related to sexuality.
CONCLUSIONS: The high rate of un-met supportive care needs in all domains
suggests that supportive care services are desperately required for breast cancer
patients in Iran. Moreover, services that address informational needs and
physical and daily living needs ought to be the priority, with particular
attention paid to younger women. Further research is clearly needed to fully
understand supportive care needs in this cultural context.
PMID- 24935577
TI - Rapamycin and PF4 induce apoptosis by upregulating Bax and down-regulating
survivin in MNU-induced breast cancer.
AB - BACKGROUND: To elucidate the role of rapamycin and PF4 on apoptosis regulation
via Bax (pro-apoptosis), Bcl-2 (anti-apoptosis) and survivin activation on the
growth in the 1-methyl-1-nitrosourea -induced invasive breast carcinoma model.
MATERIALS AND METHODS: Thirty five female Sprague Dawley rats at age 21-day old
were divided into 4 groups; Group 1 (control, n=10), Group 2 (PF4, n=5), Group 3
(rapamycin, n=10) and Group 4 (rapamycin+PF4, n=10). MNU was administered
intraperitionally, dosed at 70 mg/kg body weight. The rats were treated when the
tumors reached the size of 14.5 +/- 0.5 mm and subsequently sacrificed after 5
days. Rapamycin and PF4 were administered as focal intralesional injections at
the dose of 20 MUg/lesion. The tumor tissue was then subjected to
histopathological examinations for morphological appraisal and
immunohistochemical assessment of the pro-apoptotic marker, Bax and anti
apoptotic markers, Bcl-2 and survivin. RESULTS: The histopathological pattern of
the untreated control cohort showed that the severity of the malignancy augments
with mammary tumor growth. Tumors developing in untreated groups were more
aggressive whilst those in treated groups demonstrated a transformation to a less
aggressive subtype. Combined treatment resulted in a significant reduction of
tumor size without phenotypic changes. Bax, the pro-apoptotic marker, was
significantly expressed at higher levels in the rapamycin-treated and
rapamycin+PF4-treated groups compared to controls (p<0.05). Consequently,
survivin was also significantly downregulated in the rapamycin-treated and
rapamycin+PF4-treated group and this was significantly different when compared to
controls (p). CONCLUSIONS: In our rat model, it could be clearly shown that
rapamycin specifically affects Bax and survivin signaling pathways in activation
of apoptosis. We conclude that rapamycin plays a critical role in the induction
of apoptosis in MNU-induced mammary carcinoma.
PMID- 24935578
TI - Gastrointestinal cancer incidence in East Azerbaijan, Iran: update on 5 year
incidence and trends.
AB - BACKGROUND: A cancer registry program has been established in East Azerbaijan and
this has emphasized the importance of cancers of gastrointestinal tract in this
region. The aim of the present pathology-based cancer registry report is to renew
epidemiologic aspects of gastrointestinal tract cancers and estimate recent
trends. MATERIALS AND METHODS: A survey team reviewed and collected all records
of cancer cases from all referral and valid pathology laboratories of East
Azerbaijan province during September 2007-2011. Crude rates, age-specific rates
of cancer incidence and annual percent change were calculated. RESULTS: The total
newly diagnosed cancer cases (n=6,889) comprised 4,341 males (63.0%) and 2,540
females (36.9%). Gastric cancer was the most common GI tract cancer with an ASR
(per 105) of 23.1 for males and 7.69 for females. The ASRs for esophageal and
colorectal cancers were 9.69 and 11.2 in males and 7.35 and 8.93 in females.
Trend analysis showed a significant decline for esophageal cancer and increasing
incidence for colorectal cancer in females. CONCLUSIONS: The prevalence of
gastric cancer is high in East Azerbaijan province of Iran. This pathology based
cancer registry showed an ascending trend for colorectal cancer and decreasing
trend for esophageal cancer in females during 2007-2011.
PMID- 24935580
TI - Overexpression of TRPM7 is associated with poor prognosis in human ovarian
carcinoma.
AB - BACKGROUND: The melastatin-related transient receptor potential 7 channel (TRPM7)
is a nonselective cation channel that has been shown to promote tumor metastasis
and progression. In this study, we determined the expression of TRPM7 in ovarian
carcinomas and investigated its possible prognostic value. MATERIALS AND METHODS:
Samples were collected from 138 patients with ovarian cancer. Expression of TRPM7
was assessed by real-time PCR and immunohistochemistry, expressed with reference
to an established scoring system and related to clinical pathological factors.
Kaplan-Meier survival analysis was applied to estimate disease-free survival
(DFS) and overall survival (OS). Univariate and multivariate cox regression
analyses were performed to correlate TRPM7 expression levels with DFS and OS.
RESULTS: TRPM7 was highly expressed in ovarian carcinoma and significantly
associated with decreased disease-free survival (DFS: median 20 months vs. 42
months, P=0.0002) and overall survival (OS: median 27 months vs. 46 months,
P<0.001). CONCLUSION: Overexpression of TRPM7 expression is significantly
associated with poor prognosis in patients with ovarian cancer.
PMID- 24935579
TI - Phase II study on EANI combined with hydrochloride palonosetron for prevention of
chemotherapy-induced nausea and vomiting following highly emetogenic
chemotherapy.
AB - OBJECTIVE: To investigate the electronic anti-nausea instrument (EANI) combined
with hydrochloride palonosetron for prevention of chemotherapy-induced nausea and
vomiting following highly emetogenic chemotherapy. METHODS: Patients who received
highly emetogenic chemotherapy were randomly assigned to a treatment group (60
patients) treated with EANI combined with hydrochloride palonosetron, and control
group (also 60 patients) given only hydrochloride palonosetron. Chemotherapy
related nausea and vomiting were observed and recorded in both groups of patients
from the start till the end of chemotherapy. RESULTS: Complete control rates of
vomiting in treatment and control group were 40%, and 35%, respectively, without
any statistical ly significant difference (p> 0.05); however the response rates
are 95.0%, 78.3%, respectively, with statistical difference (p< 0.05). Complete
control rates of nausea in treatment and control group were 36.7%, 30%,
respectively, without statistical difference (p> 0.05); but the response rates
are 90.0%, 76.7%, respectively, with statistical difference (p<0.05). CONCLUSION:
EANI combined with hydrochloride palonosetron for prevention of nausea and
vomiting induced by chemotherapy could be more effective than hydrochloride
palonosetron alone, and can be recommended for use in prevention and treatment of
chemotherapy-induced nausea and vomiting following highly emetogenic
chemotherapy.
PMID- 24935581
TI - Tissue microarray immunohistochemical profiles of p53 and pRB in hepatocellular
carcinoma and hepatoblastoma.
AB - The tumour suppressor genes, p53 and pRb, are known to play important roles in
neoplastic transformation. While molecular routes to the uncontrolled growth of
hepatocytes, leading to primary liver cancer have generated considerable
interest, the roles of p53 and pRb mutations in hepatocellular carcinoma (HCC)
and hepatoblastoma (HB) remain to be clarified. We examined the
immunohistochemical expression of p53 and pRb gene products in 26 HCC and 9 HB,
sampled into tissue microarray blocks. 10 (38%) of 26 HCC showed > 10% tumour
nuclear staining for p53 protein, 3 of these also being HbsAg positive.
Conversely, none of 9 HB expressed nuclear p53 immunopositivity. Some 24 (92%)
HCC and 8 (89%) HB showed loss of pRb nuclear expression. Two of the 26 HCC and
one of the 9 HB showed >10% tumour nuclear staining for pRb protein. Our results
suggest that p53 does not have an important role in the development of HB but may
contribute in HCC. There is also loss of pRb expression in the majority of HCC
and HB, supporting loss of pRb gene function in the hepatocarcinogenesis pathway.
However, a comparison of the staining profiles of p53 and pRb proteins in HCC and
HB did not reveal a consistent pattern to differentiate between the two types of
tumours immunohistochemically. Hence the use of p53 and pRB protein expression
has no contribution in the situation where there is a diagnostic difficulty in
deciding between HCC and HB.
PMID- 24935582
TI - Perception of breast cancer screening among Iranian women without experience of
mammography: a qualitative study.
AB - BACKGROUND: In Iran, there are high rates of breast cancer. It is among the five
most common cancers, the first among cancers diagnosed, and is the leading cause
of cancer deaths among Iranian women. OBJECTIVES: The purpose of this qualitative
study was to explore perception of breast cancer screening among Iranian women
who have never had a mammograph. MATERIALS AND METHODS: The current study was
part of a qualitative research conducted by means of content analysis method and
purposive sampling of 16 women over the age of 30 years who had not undergone
mammography using individual semi-structured interviews. Interviews were recorded
and transcribed verbatim. The data were under continuous consideration and
comparative analysis in order to achieve data saturation. RESULTS: After
codification of data, three concept categories were achieved including: i) low
awareness, ii) worries, and iii) lack of motivation. CONCLUSIONS: Although there
is a tendency among Iranian women to participate in breast cancer screening,
there is a powerful cultural belief that breasts are sexual organs that should
not be discussed publicly. Due to the incidence of breast cancer in Iranian
women, it is critical that breast awareness education be performed by health care
experts to explore the concepts of breast cancer and breast cancer screening.
PMID- 24935583
TI - Association of the rs1042522 polymorphism with increased risk of prostate
adenocarcinoma in the Pakistani population and its HuGE review.
AB - Prostate adenocarcinoma is one of the leading causes of cancer related mortality
in men but still limited knowledge is available about its associated functional
SNPs including rs1042522 (Pro72Arg). The present study was undertaken to explore
the association of this SNP with susceptibility to prostate adenocarcinoma along
with its structural and functional impacts in the Pakistani population in a case
control study. Three-dimensional structure of human TP53 with Pro72Arg
polymorphism was predicted through homology modeling, refined and validated for
detailed structure-based assessment. We also carried out a HuGE review of the
previous available data for this polymorphism. Different genetic models were used
to evaluate the genotypes association with the increased risk of PCa (Allelic
contrast: OR=0.0.34, 95%CI 0.24-0.50, p=0.000; GG vs CC: OR=0.17, 95%CI 0.08
0.38, p=0.000; Homozygous: OR=0.08, 95%CI 0.04-0.15, p=0.000; GC vs CC: OR=2.14,
95%CI 1.01-4.51, p=0.046; Recessive model: OR=0.10, 95%CI 0.05-0.18, p=0.000; Log
Additive: OR=3.54, 95%CI 2.13-5.89, p=0.000) except the Dominant model (OR=0.77,
95%CI 0.39-1.52, p=0.46). Structure and functional analysis revealed that the SNP
in the proline rich domain is responsible for interaction with HRMT1L2 and WWOX.
In conclusion, it was observed that the Arg coding G allele is highly associated
with increased risk of prostate adenocarcinoma in the Pakistani population
(p=0.000).
PMID- 24935584
TI - Ganoderma lucidum polysaccharides target a Fas/caspase dependent pathway to
induce apoptosis in human colon cancer cells.
AB - Ganoderma lucidum polysaccharides (GLP) extracted from Ganoderma lucidum have
been shown to induce cell death in some kinds of cancer cells. This study
investigated the cytotoxic and apoptotic effect of GLP on HCT-116 human colon
cancer cells and the molecular mechanisms involved. Cell proliferation, cell
migration, lactate dehydrogenase (LDH) levels and intracellular free calcium
levels ([Ca(2+)]i) were determined by MTT, wound-healing, LDH release and
fluorescence assays, respectively. Cell apoptosis was observed by scanning and
transmission electron microscopy. For the mechanism studies, caspase-8
activation, and Fas and caspase-3 expression were evaluated. Treatment of HCT-116
cells with various concentrations of GLP (0.625-5 mg/mL) resulted in a
significant decrease in cell viability (P< 0.01). This study showed that the
antitumor activity of GLP was related to cell migration inhibition, cell
morphology changes, intracellular Ca(2+) elevation and LDH release. Also,
increase in the levels of caspase-8 activity was involved in GLP-induced
apoptosis. Western blotting indicated that Fas and caspase-3 protein expression
was up-regulated after exposure to GLP. This investigation demonstrated for the
first time that GLP shows prominent anticancer activities against the HCT-116
human colon cancer cell line through triggering intracellular calcium release and
the death receptor pathway.
PMID- 24935585
TI - Curcumin induces apoptosis in SGC-7901 gastric adenocarcinoma cells via
regulation of mitochondrial signaling pathways.
AB - Curcumin, a polyphenol compound derived from the rhizome of the plant Curcuma
longa L. has been verified as an anticancer compound against several types of
cancer. However, understanding of the molecular mechanisms by which it induces
apoptosis is limited. In this study, the anticancer efficacy of curcumin was
investigated in human gastric adenocarcinoma SGC-7901 cells. The results
demonstrated that curcumin induced morphological changes and decreased cell
viability. Apoptosis triggered by curcumin was visualized using Annexin V-FITC/7-
AAD staining. Curcumin-induced apoptosis of SGC-7901 cells was associated with
the dissipation of mitochondrial membrane potential (MMP) and the release of
cytochrome c into the cytosol. Furthermore, the down-regulation of Bcl-2 and up
regulation of Bax that led to the cleavage of caspase-3 and increased cleaved
PARP was observed in SGC-7901 cells treated with curcumin. Therefore, curcumin
induced apoptosis of SGC-7901 cells might be mediated through the mitochondria
pathway, which gives the rationale for in vivo studies on the utilization of
curcumin as a potential cancer therapeutic compound.
PMID- 24935586
TI - Human papillomavirus genotype distribution and E6/E7 oncogene expression in
Turkish women with cervical cytological findings.
AB - BACKGROUND: Infection with certain human papillomavirus (HPV) genotypes is the
most important risk factor related with cervical cancer. The objective of the
present study was to investigate the prevalence of HPV infection, the
distribution of HPV genotypes and HPV E6/E7 oncogene mRNA expression in Turkish
women with different cervical cytological findings in Mersin province, Southern
Turkey. MATERIALS AND METHODS: A total of 476 cytological samples belonging to
women with normal and abnormal cervical Pap smears were enrolled in the study.
For the detection and genotyping assay, a PCR/direct cycle sequencing approach
was used. E6/E7 mRNA expression of HPV-16, 18, 31, 33, and 45 was determined by
type-specific real-time NASBA assay (NucliSENS EasyQ((r))HPV v1.1). RESULTS: Of
the 476 samples, 106 (22.3%) were found to be positive for HPV DNA by PCR. The
presence of HPV was significantly more common (p<0.001) in HSIL (6/8, 75%) when
compared with LSIL (6/14, 42.9%), ASC-US (22/74, 29.7%) and normal cytology
(72/380, 18.9%). The most prevalent genotypes were, in descending order of
frequency, HPV genotype 66 (22.6%), 16 (20.8%), 6 (14.2%), 31 (11.3%), 53 (5.7%),
and 83 (4.7%). HPV E6/E7 oncogene mRNA positivity (12/476, 2.5%) was lower than
DNA positivity (38/476, 7.9%). CONCLUSIONS: Our data present a wide distribution
of HPV genotypes in the analyzed population. HPV genotypes 66, 16, 6, 31, 53 and
83 were the predominant types and most of them were potential carcinogenic types.
Because of the differences between HPV E6/E7 mRNA and DNA positivity, further
studies are required to test the role of mRNA testing in the triage of women with
abnormal cervical cytology or follow up of HPV DNA positive and cytology
negative. These epidemiological data will be important to determine the future
impact of vaccination on HPV infected women in our region.
PMID- 24935587
TI - Coping and quality of life in Turkish women living with ovarian cancer.
AB - BACKGROUND: This study investigated the utilization of both problem and emotion
focused coping strategies and their association with aspects of quality of life
among Turkish women with ovarian cancer undergoing chemotherapy. MATERIALS AND
METHODS: The convenience sample consisted of 228 patients in all disease stages.
The data were collected using the brief COPE, QOL-Cancer patient tool,
sociodemographic sheet, and medical variables were gathered from patients'
medical charts. RESULTS: Findings reveal that quality of life is moderately high
for this group of cancer patients, despite some specific negative facets of the
illness and treatment experience. Acceptance, emotional support and religion were
the most frequently used problem-focused coping strategies and self-distraction,
venting and behavioral disengagement were the most frequently used emotion
focused coping strategies reported by patients. Overall quality of life and,
particularly, psychological and spiritual well- being scores of younger patients
were lower. Patients reported using significantly more problem-focused coping
than emotion-focused coping, and more problem-focused and less emotion-focused
coping predicted greater quality of life. Problem-focused coping was related to
patients' physical and spiritual well-being and emotion- focused coping was
related inversely with psychological and social well-being. CONCLUSIONS: Coping
strategies are influential in patient quality of life and their psychosocial
adaptation to ovarian cancer. Psycho-oncology support programs are needed to help
patients to frequent use of problem-focused coping and reduce emotion- focused
coping strategies to improve overall quality of life.
PMID- 24935588
TI - Low expression of the FoxO4 gene may contribute to the phenomenon of EMT in non
small cell lung cancer.
AB - Because of its importance in tumor invasion and metastasis, the epithelial
mesenchymal transition (EMT) has become a research focus in the field of cancer.
Recently, evidence has been presented that FoxO4 might be involved in EMT. Our
study aimed to detect the expression of FoxO4, E-cadherin and vimentin in non
small cell lung cancers (NSCLCs). We also investigated clinical features and
their correlations with the markers. In our study, FoxO4, E-cadherin and vimentin
were assessed by immunohistochemistry in a tissue microarray (TMA) containing 150
cases of NSCLC. In addition, the expression level of FoxO4 protein was determined
by Western blotting. The percentages of FoxO4, E-cadherin and vimentin positive
expression in NSCLCs were 42.7%, 38.7% and 55.3%, respectively. Immunoreactivity
of FoxO4 was low in NSCLC when compared with paired normal lung tissues. There
were significant correlations between FoxO4 and TNM stage (P<0.001), histological
differentiation (P=0.004) and lymph node metastasis (P<0.001), but no significant
links with age (P=0.323), gender (P=0.410), tumor size (P=0.084), smoking status
(P=0.721) and histological type (P=0.281). Our study showed that low expression
of FoxO4 correlated with decreased expression of E-cadherin and elevated
expression of vimentin. Cox regression analysis indicated FoxO4 to be an
independent prognostic factor in NSCLC (P=0.046). These data suggested that FoxO4
might inhibit the process of EMT in NSCLC, and might therefore be a target for
therapy.
PMID- 24935589
TI - Cisplatin combined with metformin inhibits migration and invasion of human
nasopharyngeal carcinoma cells by regulating E-cadherin and MMP-9.
AB - Metformin has been shown to be useful in reducing insulin resistance by restoring
sensitivity. Recent evidence suggests that metformin might also possess anti
tumour activity. This study aimed to investigate the effects of cisplatin
combined with metformin on the proliferation, invasion and migration of HNE1/DDP
human nasopharyngeal carcinoma (NPC) cells, and to provide a new target for
treating metastasis. The MTT assay was used to assess viability of HNE1/DDP cells
after exposure to different concentrations of 2, 5-diaminopyrimidine-4, 6-diol
(DDP; 2, 4, 8, 16, and 32 MUmol.L(-1)), metformin (5, 10, 15, 20, and 25 MUmol.L(
1)), and 4 MUmol.L(-1) of DDP combined with metformin. Wound healing and
transwell migration assays were performed to assess cell migration and invasion,
and expression of E-cadherin and MMP-9 was detected using Western blotting. MTT
assay results showed that DDP could inhibit the proliferation of HNE1/DDP cells
in a time- and concentration-dependent manner, with an IC50 of 32.0 MUmol.L(-1)
at 24 h (P < 0.05), whereas low concentrations of DDP had almost no inhibitory
effects on cell invasion and migration. DDP combined with metformin significantly
inhibited cell invasion and migration. In addition, genes related to migration
and invasion, such as those of E-cadherin and MMP-9, showed differential
expression in the NPC cell line HNE1/DDP. In the present study, with an
increasing concentration of metformin, the expression of MMP-9 was downregulated
whereas that of E-cadherin was significantly upregulated. Taken together, our
results show that cisplatin combined with metformin has effects on proliferation,
invasion, and migration of human NPC cells.
PMID- 24935591
TI - The metabolic syndrome is associated with more aggressive prostate cancer.
AB - PURPOSE: The aim of this study was to analyze any association between the
metabolic syndrome (MetS) and risk of prostate cancer (PCa) and cancer grade
among men undergoing radical prostatectomy for PCa. MATERIALS AND METHODS: 50
patients with MetS and 50 patients without MetS who undervent radical
prostatectomy (RP) were included in the study. Age at biopsy, height, weight,
digital rectal examination (DRE), pre-biopsy PSA levels, prostate volume,
histopathologic diagnosis after surgery and Gleason scores were collected data
from all patients. Histologic material obtained at biopsy was given a Gleason
score; tumours with a Gleason score >=7 were considered high grade and <7 were
considered low grade. RESULTS: The mean age at the time of biopsy was 63.7 +/-
5.94 in patients with MetS and 61.6 +/- 6.14 in patients without MetS. Men with
MetS had significantly lower PSA levels (p=0.01) (7.21 +/- 2.74 and 8.81 +/-
2.72, respectively). Also, the men with MetS had higher RP tumor grade (p=0.04).
CONCLUSIONS: Men with MetS undergoing RP have lower PSA levels and have
significantly higher grade PCa. We must be careful for screening PCa in patients
with MetS. Although the patients had lower PSA levels, they may have high grade
disease.
PMID- 24935590
TI - Are bladder neoplasms more aggresive in patients with a smoking-related second
malignancy?
AB - BACKGROUND: Relationships between smoking and bladder neoplasms, one of the
common malignancies, are well-known. Different smoking-related malignancies may
occur together. In this study, we evaluated the stage and grade of bladder
neoplasms in patients also featuring lung or larynx cancer. MATERIALS AND
METHODS: From January 2006 to February 2012, patients who underwent surgery for
bladder neoplasms in our clinic were screened retrospectively. In the evaluation,
5 patients had larynx cancer and 20 patients have lung cancer in addition, all
having been smoking for a long time. The bladder tumor stage and grade were
investigated in these 25 cases. RESULTS: Mean age of patients was 66.8 (49-78).
In the evaulation, all of 5 patients who had larnyx cancer also had high grade
urothelial cancer. One had T2 urothelial, and 3 T1 urothelial cancer. In the same
way, all of the 20 patients with lung cancer also have high grade urothelial
cancer, three T2, and 13 T1. Bladder cancer stage and grade were determined to be
significantly increased in patients with concomitant bladder and lung or larynx
cancer. CONCLUSIONS: In the patients who have smoking releated second malignancy,
bladder cancer prognosis appears more aggressive. We now need a larger series and
multi-center studies for understanding relevant pathophysiology.
PMID- 24935592
TI - Diagnostic and prognostic value of miR-205 in colorectal cancer.
AB - Emerging evidence has shown associations of microRNA-205 (miR-205) with crucial
cell processes such as the epithelial-mesenchymal transition (EMT) and aberrant
expression with tumorigenesis in many types of human malignancy. This prospective
study characterized the contribution of miR-205 to the colorectal cancer (CRC)
tumorigenesis. The real-time reverse transcription-polymerase chain reaction was
used to examine miR-205 levels prospectively in 36 pairs of samples of CRC tissue
and adjacent noncancerous tissue (>2 cm from cancer tissue). In addition, the
relationship between miR-205 levels and clinicopathological features was
explored. The capability of miR-205 to function as a tumor marker was also
examined. miR-205 expression levels did not show significant changes overall.
However, miR-205 was significantly downregulated in a group of CRC samples
compared with matched noncancerous tissue samples. Moreover, decreased miR-205
correlated significantly with lymphatic metastasis. A receiver operating
characteristic (ROC) curve also showed an optimum cut off point of 1.4?10-3 to
distinguish lymphatic metastatic CRCs from non-metastatic CRCs. Interestingly we
found lymphatic metastasis in almost 80% of the depressed samples. This study
suggested that miR-205 could be reduced in the majority of metastatic CRCs and
the risk of CRC metastasis may be predicted by monitoring miR-205 in patient
samples collected at the time of the initial diagnosis. Therefore, targeting miR
205 and its potential environmental activators might be a promising therapeutic
option to prevent malignant progression toward metastasis.
PMID- 24935593
TI - 5-Fluorouracil and interleukin-2 immunochemotherapy enhances immunogenicity of
non-small cell lung cancer A549 cells through upregulation of NKG2D ligands.
AB - BACKGROUND: The aim of this study was to investigate the anti-cancer effects and
mechanisms of immunochemotherapy of 5-fluorouracil (5-FU) and interleukin-2 (IL
2) on non-small cell lung cancer (NSCLC) A549 cells. MATERIALS AND METHODS: In
order to detect whether 5-FU+IL-2 could effectively inhibit tumor growth in vivo,
we established an A549-bearing nude mouse model. The cytotoxicity of natural
killer (NK) cells was evaluated using a standard chromium release assay. To
evaluate the relevance of NK cells in 5-FU+IL-2- mediated tumor inhibitory
effects, we depleted NK cells in A549-bearing mice by injecting anti-asialo-GM-1
antibodies. Effects of 5-FU+IL-2 on the expression and promoter activity of NKG2D
ligands (MICA/MICB) in A549 cells in vitro were also assessed. RESULTS: In A549
bearing nude mice, combination therapy significantly inhibited tumor growth in
comparison with monotherapy with 5-FU or IL-2 and enhanced the recognition and
lysis of tumor cells by NK cells. Further study of mechanisms showed that NK
cells played a vital role in the anticancer immune response of 5-FU+IL-2
immunochemotherapy. In addition, the combination therapy synergistically
stimulated the expression and promoter activity of MICA/MICB. CONCLUSIONS: 5-FU
and IL-2 immunochemotherapy significantly inhibited tumor growth and activated NK
cytotoxicity in vivo, and these effects were partly impaired after depleting NK
cells in tumor-bearing mice. Combination treatment of 5-FU and IL-2 upregulated
the expression and the promoter activity of MICA/MICB in A549 cells, which
enhanced the recognition of A549 cells by NK cells. All of the data indicated
that immunochemotherapy of 5-FU and IL-2 may provide a new treatment option for
patients with lung cancer.
PMID- 24935594
TI - Role of TGF-beta1 in human colorectal cancer and effects after cantharidinate
intervention.
AB - Effects of transforming growth factor-beta (TGF-beta) were investigated in human
colorectal cancer, and the influence of cantharidinate in inhibiting TGF-beta1
expression was explored. Relationships among TGF-beta1 and sex, age, tumor size,
tumor location, tumor stage were also analyzed. H and E and immunohistochemistry
staining were employed to assess colorectal cancer and TGF-beta1 expression,
respectively. Then, HCT-116 CRC cells were randomly divided into four groups,
controls, no serum-treated, chemotherapy and cantharidinate-treated.
Immunohistochemistry and real-time PCR were employed to assess the expression of
TGF-beta1 in CRC cells. Our data showed that the expression of TGF-beta1 might be
associated with tumor size and tumor location (P<0.05). The expression of TGF
beta1 in CRC groups was higher than in adjacent groups (P<0.05). In addition, the
expression of TGF-beta1 in cantharidinate-treated group was much lower than in
CRC group (P<0.05). Taken together, these results suggest that TGF-beta1 plays an
important role in CRC development. Cantharidinate might inhibit the expression of
TGF-beta1 and control the development of colorectal cancer.
PMID- 24935595
TI - Survival analysis for white non-Hispanic female breast cancer patients.
AB - BACKGROUND: Race and ethnicity are significant factors in predicting survival
time of breast cancer patients. In this study, we applied advanced statistical
methods to predict the survival of White non-Hispanic female breast cancer
patients, who were diagnosed between the years 1973 and 2009 in the United States
(U.S.). MATERIALS AND METHODS: Demographic data from the Surveillance
Epidemiology and End RESULTS (SEER) database were used for the purpose of this
study. Nine states were randomly selected from 12 U.S. cancer registries. A
stratified random sampling method was used to select 2,000 female breast cancer
patients from these nine states. We compared four types of advanced statistical
probability models to identify the best-fit model for the White non- Hispanic
female breast cancer survival data. Three model building criterion were used to
measure and compare goodness of fit of the models. These include Akaike
Information Criteria (AIC), Bayesian Information Criteria (BIC), and Deviance
Information Criteria (DIC). In addition, we used a novel Bayesian method and the
Markov Chain Monte Carlo technique to determine the posterior density function of
the parameters. After evaluating the model parameters, we selected the model
having the lowest DIC value. Using this Bayesian method, we derived the
predictive survival density for future survival time and its related inferences.
RESULTS: The analytical sample of White non-Hispanic women included 2,000 breast
cancer cases from the SEER database (1973-2009). The majority of cases were
married (55.2%), the mean age of diagnosis was 63.61 years (SD = 14.24) and the
mean survival time was 84 months (SD = 35.01). After comparing the four
statistical models, results suggested that the exponentiated Weibull model (DIC=
19818.220) was a better fit for White non-Hispanic females' breast cancer
survival data. This model predicted the survival times (in months) for White non
Hispanic women after implementation of precise estimates of the model parameters.
CONCLUSIONS: By using modern model building criteria, we determined that the data
best fit the exponentiated Weibull model. We incorporated precise estimates of
the parameter into the predictive model and evaluated the survival inference for
the White non-Hispanic female population. This method of analysis will assist
researchers in making scientific and clinical conclusions when assessing survival
time of breast cancer patients.
PMID- 24935596
TI - Significance of ABO-Rh blood groups in response and prognosis in breast cancer
patients treated with radiotherapy and chemotherapy.
AB - BACKGROUND: To evaluate whether ABO-Rh blood groups have significance in the
treatment response and prognosis in patients with non-metastatic breast cancer.
MATERIALS AND METHODS: We retrospectively evaluated files of 335 patients with
breast cancer who were treated between 2005 and 2010. Demographic data, clinic-
pathological findings, treatments employed, treatment response, and overall and
disease-free survivals were reviewed. Relationships between clinic-pathological
findings and blood groups were evaluated. RESULTS: 329 women and 6 men were
included to the study. Mean age at diagnosis was 55.2 years (range: 26-86). Of
the cases, 95% received chemotherapy while 70% were given radiotherapy and 60.9%
adjuvant hormone therapy after surgery. Some 63.0% were A blood group, 17.6% O,
14.3% B and 5.1% AB. In addition, 82.0% of the cases were Rh-positive. Mean
follow-up was 24.5 months. Median overall and progression-free survival times
were 83.9 and 79.5 months, respectively. Overall and disease-free survival times
were found to be higher in patients with A and O blood groups (p<0.05). However
rates did not differ with the Rh-positive group (p=0.226). In univariate and
multivariate analyses, ABO blood groups were identified as factors that had
significant effects on overall and disease-survival times (p=0.011 and p=0.002).
CONCLUSIONS: It was seen that overall and disease-free survival times were higher
in breast cancer patients with A and O blood groups when compared to those with
other blood groups. It was seen that A and O blood groups had good prognostic
value in patients with breast cancer.
PMID- 24935597
TI - No detection of 'high-risk' human papillomaviruses in a group of Iranian women
with breast cancer.
AB - The presence of viral DNA in breast cancer cells is controversial. However, some
studies have revealed a possible role for the human papillomavirus in the
pathogenesis of breast cancer. The aim of the present study was to investigate
the presence of HPV-DNA in breast tissue in a group of Iranian women with and
without breast cancer and identification of the detected HPV types. Paraffin
embedded specimens from 65 malignant breast cancer cases and 65 cases with benign
breast lesions were investigated for presence of HPV-DNA by nested polymerase
chain reaction. We found HPV-DNA in 22 (33.8%) of the breast cancer specimens.
All non-cancerous specimens were negative. Low and high-risk HPV types, including
HPV-6 (26.2%), HPV-16 (1.5%), HPV-35 (1.5%), HPV-52 (1.5%), and HPV-11 (1.5%)
were detected in our study. HPV-6 was the most prevalent type in the breast
cancer specimens. Although high-risk HPV types have been shown to have a major
role in cervix cancer, there have been no data that support the same relevance
for other types of malignancies. Furthermore, presence of low-risk HPV types in
malignancies still is a matter of debate. The data presented in this study
indicates a strong need for epidemiological studies correlating different HPV
types in human breast cancer.
PMID- 24935598
TI - The COX-2 -765 G>C polymorphism is associated with increased risk of gastric
carcinogenesis in the Chinese Hui ethnic population.
AB - BACKGROUND: The Chinese Hui ethnic group has diverse origins, including Arab,
Persian, Central Asian, and Mongol. The standardized mortality rate of gastric
cancer in the Hui population is higher than the overall Chinese population. In
this study, we investigated whether COX-2-765G>C polymorphism, an extensively
studied polymorphism, contributes to gastric cancer and its precursor lesions
(GPL) in the Chinese Hui ethnic group. MATERIALS AND METHODS: COX-2-765G>C
polymorphism was determined by pyrosequencing in 100 gastric cancer cases, 102
gastric cancerand its precursor lesions cases and 105 controls. Data were
statistically analyzed using Chi-square tests and logistic regression models.
RESULTS: Among the Chinese Hui ethnic group COX-2 -765 C allele carriers were at
increased risk for gastric cancer (OR=1.977, 95%CI=1.104-3.541). We also found an
interaction between COX-2 -765 C carriers and Helicobacter pylori infection and
eating pickled vegetables. CONCLUSIONS: Our findings suggest a multi-step process
of gene-environment interaction contributes to gastric carcinogenesis.
PMID- 24935599
TI - Lack of association between CYP1A1 polymorphisms and risk of bladder cancer: a
meta-analysis.
AB - BACKGROUND: The effects of CYP1A1 gene polymorphisms on the risk of bladder
cancer (BC) remain controversial. We carried out a meta-analysis to clarify the
role of CYP1A1 gene polymorphisms in BC. MATERIAL AND METHODS: A comprehensive
literature search was conducted up to November 20, 2013. Odds ratios (ORs) with
95% confidence intervals (CIs) were used to estimate the strength of the
association. Meta-regression, subgroup analysis, sensitivity analysis and
publication bias were also performed. RESULTS: Eight studies involving 1,059 BC
cases and 1,061 controls were included. The meta-analysis showed that there was
no significant association between the two common mutations of CYP1A1 and BC
risk. For the I1e462Val A/G polymorphism with GG vs. AA the OR was 1.47 (95 % CI=
0.70-3.07, P =0.308). For the MspI T/C polymorphism, though a slight trend was
found this was not statistically nonsignificant (CC vs.TT, OR = 1.24, 95 % CI=
0.98-1.58, P =0.078). Subgroup analyses by ethnicity also found no obvious
association between CYP1A1 and BC risk. CONCLUSION: The present meta-analysis
suggests that CYP1A1 polymorphism is not associated with bladder cancer risk.
PMID- 24935600
TI - Increased argonaute 2 expression in gliomas and its association with tumor
progression and poor prognosis.
AB - BACKGROUND: Previous studies have showed that argonaute 2 is a potential factor
related to genesis of several cancers, however, there have been no reports
concerning gliomas. METHODS: Paraffin specimens of 129 brain glioma cases were
collected from a hospital affiliated to Binzhou Medical University from January
2008 to July 2013. We examined both argonaute 2 mRNA and protein expression by
real-time quantitative PCR (qRT-PCR), Western blot analysis, and
immunohistochemistry (IHC). The survival curves of the patients were determined
using the Kaplan-Meier method and Cox regression, and the log-rank test was used
for statistical evaluations. RESULTS: Both argonaute 2 mRNA and protein were
upregulated in high-grade when compared to low-grade tumor tissues. Multivariate
analysis revealed that argonaute 2 protein expression was independently
associated with the overall survival (HR=4.587, 95% CI: 3.001-6.993; P=0.002),
and that argonaute 2 protein expression and WHO grading were independent
prognostic factors for progression-free survival (HR=4.792, 95% CI: 3.993-5.672;
P<0.001, and HR=2.109, 95% CI: 1.278-8.229; P=0.039, respectively). Kaplan-Meier
analysis with the log-rank test indicated that high argonaute 2 protein
expression had a significant impact on overall survival (P=0.0169) and
progression-free survival (P=0.0324). CONCLUSIONS: The present study showed that
argonaute 2 expression is up-regulated in gliomas. Argonaute 2 might also serve
as a novel prognostic marker.
PMID- 24935601
TI - Potential impact of atelectasis and primary tumor glycolysis on F-18 FDG PET/CT
on survival in lung cancer patients.
AB - BACKGROUND: Atelectasis is an important prognostic factor that can cause
pleuritic chest pain, coughing or dyspnea, and even may be a cause of death. In
this study, we aimed to investigate the potential impact of atelectasis and PET
parameters on survival and the relation between atelectasis and PET parameters.
MATERIALS AND METHODS: The study consisted of patients with lung cancer with or
without atelectasis who underwent (18)F-FDG PET/CT examination before receiving
any treatment. (18)F-FDG PET/CT derived parameters including tumor size, SUVmax,
SUVmean, MTV, total lesion glycosis (TLG), SUV mean of atelectasis area,
atelectasis volume, and histological and TNM stage were considered as potential
prognostic factors for overall survival. RESULTS: Fifty consecutive lung cancer
patients (22 patients with atelectasis and 28 patients without atelectasis,
median age of 65 years) were evaluated in the present study. There was no
relationship between tumor size and presence or absence of atelectasis, nor
between presence/absence of atelectasis and TLG of primary tumors. The overall
one-year survival rate was 83% and median survival was 20 months (n=22) in the
presence of atelectasis; the overall one-year survival rate was 65.7% (n=28) and
median survival was 16 months (p=0.138) in the absence of atelectasis. With
respect to PFS; the one-year survival rate of AT+ patients was 81.8% and median
survival was 19 months; the one-year survival rate of AT- patients was 64.3% and
median survival was 16 months (p=0.159). According to univariate analysis, MTV,
TLG and tumor size were significant risk factors for PFS and OS (p<0.05).
However, SUVmax was not a significant factor for PFS and OS (p>0.05).
CONCLUSIONS: The present study suggested that total lesion glycolysis and
metabolic tumor volume were important predictors of survival in lung cancer
patients, in contrast to SUVmax. In addition, having a segmental lung atelectasis
seems not to be a significant factor on survival.
PMID- 24935602
TI - Under-use of radiotherapy in stage III bronchioaveolar lung cancer and socio
economic disparities in cause specific survival: a population study.
AB - BACKGROUND: This study used the receiver operating characteristic curve (ROC) to
analyze Surveillance, Epidemiology and End RESULTS (SEER) bronchioaveolar
carcinoma data to identify predictive models and potential disparity in outcomes.
MATERIALS AND METHODS: Socio-economic, staging and treatment factors were
assessed. For the risk modeling, each factor was fitted by a Generalized Linear
Model to predict cause specific survival. The area under the ROC was computed.
Similar strata were combined to construct the most parsimonious models. A random
sampling algorithm was used to estimate modeling errors. Risk of cause specific
death was computed for the predictors for comparison. RESULTS: There were 7,309
patients included in this study. The mean follow up time (S.D.) was 24.2 (20)
months. Female patients outnumbered male ones 3:2. The mean (S.D.) age was 70.1
(10.6) years. Stage was the most predictive factor of outcome (ROC area of 0.76).
After optimization, several strata were fused, with a comparable ROC area of
0.75. There was a 4% additional risk of death associated with lower county family
income, African American race, rural residency and lower than 25% county college
graduate. Radiotherapy had not been used in 2/3 of patients with stage III
disease. CONCLUSIONS: There are socio-economic disparities in cause specific
survival. Under-use of radiotherapy may have contributed to poor outcome.
Improving education, access and rates of radiotherapy use may improve outcome.
PMID- 24935603
TI - Association of the XRCC1 c.1178G>A genetic polymorphism with lung cancer risk in
Chinese.
AB - The X-ray repair cross-complementing group 1 protein (XRCC1) plays important
roles in the DNA base excision repair pathway which may influence the development
of lung cancer. This study aimed to evaluate the potential association of the
XRCC1 c.1178G>A genetic polymorphism with lung cancer risk. The created
restriction site-polymerase chain reaction (CRS-PCR) and DNA sequencing methods
were utilized to evaluate the XRCC1 c.1178G>A genetic polymorphism among 376 lung
cancer patients and 379 controls. Associations between the genetic polymorphism
and lung cancer risk were determined with an unconditional logistic regression
model. Our data suggested that the distribution of allele and genotype in lung
cancer patients was significantly different from that of controls. The XRCC1
c.1178G>A genetic polymorphism was associated with an increased risk of lung
cancer (AA vs GG: OR=2.91, 95%CI 1.70-4.98, p<0.001; A vs G: OR=1.52, 95%CI 1.22
1.90, p<0.001). The allele A and genotype AA may contribute to risk of lung
cancer. These preliminary results suggested that the XRCC1 c.1178G>A genetic
polymorphism is statistically associated with lung cancer risk in the Chinese
population.
PMID- 24935604
TI - 8-60hIPP5(m)-induced G2/M cell cycle arrest involves activation of
ATM/p53/p21(cip1/waf1) pathways and delayed cyclin B1 nuclear translocation.
AB - Protein phosphatase 1 (PP1) is a major serine/threonine phosphatase that controls
gene expression and cell cycle progression. The active mutant IPP5 (8
60hIPP5(m)), the latest member of the inhibitory molecules for PP1, has been
shown to inhibit the growth of human cervix carcinoma cells (HeLa). In order to
elucidate the underlying mechanisms, the present study assessed overexpression of
8-60hIPP5(m) in HeLa cells. Flow cytometric and biochemical analyses showed that
overexpression of 8-60hIPP5(m) induced G2/M-phase arrest, which was accompanied
by the upregulation of cyclin B1 and phosphorylation of G2/M-phase proteins ATM,
p53, p21(cip1/waf1) and Cdc2, suggesting that 8-60hIPP5(m) induces G2/M arrest
through activation of the ATM/p53/p21(cip1/waf1)/Cdc2/ cyclin B1 pathways. We
further showed that overexpression of 8-60hIPP5(m) led to delayed nuclear
translocation of cyclin B1. 8-60hIPP5(m) also could translocate to the nucleus in
G2/M phase and interact with pp1alpha and Cdc2 as demonstrated by co
precipitation assay. Taken together, our data demonstrate a novel role for 8
60hIPP5(m) in regulation of cell cycle in HeLa cells, possibly contributing to
the development of new therapeutic strategies for cervix carcinoma.
PMID- 24935605
TI - Assessing misdiagnosis of relapse in patients with gastric cancer in Iran cancer
institute based on a hidden Markov multi-state model.
AB - BACKGROUND: Accurate assessment of disease progression requires proper
understanding of natural disease process which is often hidden and unobservable.
For this purpose, disease status should be clearly detected. But in most diseases
it is not possible to detect such status. This study, therefore, aims to present
a model which both investigates the unobservable disease process and considers
the error probability in diagnosis of disease states. MATERIALS AND METHODS: Data
from 330 patients with gastric cancer undergoing surgery at the Iran Cancer
Institute from 1995 to 1999 were analyzed. Moreover, to estimate and assess the
effect of demographic, diagnostic and clinical factors as well as medical and
post-surgical variables on transition rates and the probability of misdiagnosis
of relapse, a hidden Markov multi-state model was employed. RESULTS:
Classification errors of patients in alive state without a relapse (e21) and with
a relapse (e12) were 0.22 (95% CI: 0.04-0.63) and 0.02 (95% CI: 0.00-0.09),
respectively. Only variables of age and number of renewed treatments affected
misdiagnosis of relapse. In addition, patient age and distant metastasis were
among factors affecting the occurrence of relapse (state1->state2) while the
number of renewed treatments and the type and extent of surgery had a significant
effect on death hazard without relapse (state2->state3) and death hazard with
relapse (state2->state3). CONCLUSIONS: A hidden Markov multi-state model provides
the possibility of estimating classification error between different states of
disease. Moreover, based on this model, factors affecting the probability of this
error can be identified and researchers can be helped with understanding the
mechanisms of classification error.
PMID- 24935606
TI - Diabetes mellitus as a risk factor for high grade renal cell carcinoma.
AB - BACKGROUND: Diabetes is a chronic disease characterized by impaired fasting blood
glucose that leads to disturbances in various organs. In this study, we evaluated
relationships between tumor size and grade in a population of diabetic and non
diabetic patients with renal cell carcinoma. MATERIALS AND METHODS: Between 2007
2013, in our clinic radical nephrectomy performed to 310 patients for renal
tumors and pathology reported renal cell carcinoma cases were enrolled in the
study. Patients with and without a history of diabetes regarding fasting glucose
and HgA1c levels were evaluated during surgery for tumor size and Fuhrman grade.
RESULTS: Diabetes was found in 95 patients. The mean age of the patients with and
without diabetes mellitus was 64.3 (40-79) and 58.4 (31-87) years, respectively.
In the diabetes group 51% of patients had a tumor size over 7 cm and 54% a tumor
grade over Fuhrman 3. The respective figures in the non-diabetes group were 35%
and 30% (p<0.05 in both cases). CONCLUSIONS: Renal cancer appears more aggressive
in patients with diabetes. In this study lifestyle and risk factors with diabetes
regulation were observed to be important for renal cancer patients. Multicenter
studies are needed in larger series for more accurate results.
PMID- 24935608
TI - Comparison of risk factors for pediatric convulsive status epilepticus when
defined as seizures >= 5 min versus seizures >= 30 min.
AB - PURPOSE: To identify risk factors (RF) of pediatric convulsive status epilepticus
(SE) and to determine whether defining SE as seizures >= 5 min (SE5) or seizures
>= 30 min (SE30) would modify the risk factors identified. METHODS: Retrospective
case-control study. We included patients 1 month to 21 years of age at the time
of convulsive SE. We compared the characteristics of patients with SE (cases)
versus those without SE (controls) using two different seizure duration
thresholds: 5 min and 30 min. RESULTS: 1062 patients (54% males) were enrolled.
The median (p25-p75) age at the episode was 6.4 (2.8-11.8) years. 444 (41.8%)
patients had SE5 and 149 (14%) patients had SE30. On univariate analysis, risk
factors for SE were not markedly different when considering a 5 or 30 min
threshold. Compared to their respective controls patients with both SE5 and SE30
were younger at the age of seizure onset and at the age of SE, were on more
antiepileptic drugs (AEDs) at baseline, had a higher rate of changes in AEDs in
the three months prior to the episode, were more likely to have developmental
delay at baseline, and a higher mortality rate. A higher baseline seizure
frequency, and a higher increase in seizure frequency prior to the index episode
were seen only in SE5. CONCLUSION: This series identifies RF which predict
convulsive SE in pediatric patients. These RF are similar when considering a 5
min or a 30 min threshold for the definition of SE.
PMID- 24935607
TI - An unequal burden: poor patient-provider communication and sickle cell disease.
AB - OBJECTIVE: To assess disparities in the quality of healthcare provider
communication experienced by African-American adults with and without sickle cell
disease (SCD) in the U.S. METHODS: Poor provider communication was assessed by
the Provider Communication subscale of the Consumer Assessment of Healthcare
Plans and Systems survey. The SCD sample was obtained from participants in a
multicenter observational study of healthcare experiences. The national African
American sample data was obtained from published national estimates. RESULTS: The
SCD sample was more likely than the national sample to report poor communication
in 3 out of 4 communication domains: listening (22.3% vs. 11.5%, p<0.0001);
showing respect (26.1% vs. 9.5%, p<0.0001); and spending enough time (38.3% vs.
16.2%, p<0.0001). Differences were consistent in young, but not old, patients and
showed some variation by self-reported health status and education. CONCLUSIONS:
The communication difficulties experienced by persons with SCD do not appear
reducible to their predominantly African-American race, but may result from more
disease-specific factors. PRACTICE IMPLICATIONS: Healthcare providers should take
particular care in recognizing and demonstrating recommended communication skills
with SCD patients as these patients may be particularly vulnerable to, and
cognizant of, poor quality interactions.
PMID- 24935609
TI - Frailty predicts waitlist mortality in liver transplant candidates.
AB - We aimed to determine whether frailty, a validated geriatric construct of
increased vulnerability to physiologic stressors, predicts mortality in liver
transplant candidates. Consecutive adult outpatients listed for liver transplant
with laboratory Model for End-Stage Liver Disease (MELD) >= 12 at a single center
(97% recruitment rate) underwent four frailty assessments: Fried Frailty, Short
Physical Performance Battery (SPPB), Activities of Daily Living (ADL) and
Instrumental ADL (IADL) scales. Competing risks models associated frailty with
waitlist mortality (death/delisting for being too sick for liver transplant). Two
hundred ninety-four listed liver transplant patients with MELD >= 12, median age
60 years and MELD 15 were followed for 12 months. By Fried Frailty score >=3, 17%
were frail; 11/51 (22%) of the frail versus 25/243 (10%) of the not frail
died/were delisted (p = 0.03). Each 1-unit increase in the Fried Frailty score
was associated with a 45% (95% confidence interval, 4-202) increased risk of
waitlist mortality adjusted for MELD. Similarly, the adjusted risk of waitlist
mortality associated with each 1-unit decrease (i.e. increasing frailty) in the
Short Physical Performance Battery (hazard ratio 1.19, 95% confidence interval
1.07-1.32). Frailty is prevalent in liver transplant candidates. It strongly
predicts waitlist mortality, even after adjustment for liver disease severity
demonstrating the applicability and importance of the frailty construct in this
population.
PMID- 24935610
TI - Children select unhealthy choices when given a choice among snack offerings.
AB - Out-of-school-time programs serve snacks to millions of children annually. State
and national snack policies endorse serving more-healthful options, such as
fruits, yet often allow less-healthful options, such as cookies and chips, to be
served simultaneously. To date, no studies have examined the choices children
make when provided with disparate snack options in out-of-school-time programs.
An experimental study with randomized exposures was conducted that exposed
children (5 to 10 years old) to the following conditions: whole or sliced fruit;
whole/sliced fruit, sugar-sweetened snacks (eg, cookies) and flavored salty (eg,
nacho cheese-flavored tortilla chips) snacks; and whole/sliced fruit and less
processed/unflavored grain snacks (eg, pretzels), during a 2-week period
representing 18 snack occasions (morning and afternoon) during summer 2013. The
percentage of children who selected snacks, snack consumption, and percent of
serving wasted were calculated and analyzed using repeated-measures analyses of
variance with Bonferroni adjustments. A total of 1,053 observations were made.
Sliced fruit was selected more than whole fruit across all conditions. Fruit
(sliced or whole) was seldom selected when served simultaneously with sugar
sweetened (6% vs 58%) and flavored salty (6% vs 38%) snacks or unflavored grain
snacks (23% vs 64%). More children consumed 100% of the sugar-sweetened (89%) and
flavored salty (82%) snacks compared with fruit (71%); 100% consumption was
comparable between fruit (59%) and unflavored grain snacks (49%). Approximately
15% to 47% of fruit was wasted, compared with 8% to 38% of sugar-sweetened,
flavored salty, and unflavored grain snacks. Snack policies that encourage out-of
school-time programs to serve fruit require clear language that limits offering
less-healthful snack options simultaneously.
PMID- 24935611
TI - Race/ethnicity and income in relation to the home food environment in US youth
aged 6 to 19 years.
AB - BACKGROUND: The home food environment is complex and has the potential to
influence dietary habit development in young people. Several factors may
influence the home food environment, including income and race/ethnicity.
OBJECTIVE: To examine the relationship of income and race/ethnicity with three
home food environment factors (ie, food availability frequency, family meal
patterns [frequency of family and home cooked meals], and family food
expenditures). DESIGN: A cross-sectional analysis of the National Health and
Nutrition Examination Survey (NHANES). PARTICIPANTS: A total of 5,096 youth aged
6 to 19 years from a nationally representative sample of US individuals
participating in NHANES 2007-10. STATISTICAL ANALYSES PERFORMED: Prevalence of
food availability frequency was assessed for the entire sample, race/ethnicity,
poverty income ratio (PIR), and race/ethnicity stratified by PIR. Mean values of
family meal patterns and food expenditures were calculated based on
race/ethnicity, PIR, and race/ethnicity stratified by PIR using analysis of
variance and least squares means. Tests of main effects were used to assess
differences in food availability prevalence and mean values of family meal
patterns and food expenditures. RESULTS: Non-Hispanic whites had the highest
prevalence of salty snacks (51.1%+/-1.5%) and fat-free/low-fat milk (39.2%+/
1.7%) always available. High-income homes had the highest prevalence of fruits
(75.4%+/-2.4%) and fat-free/low-fat milk (38.4%+/-2.1%) always available.
Differences were found for prevalence of food availability when race/ethnicity
was stratified by PIR. Non-Hispanic blacks had the lowest prevalence of fat
free/low-fat milk always available across PIR groups. Differences in mean levels
of family meal patterns and food expenditures were found for race/ethnicity, PIR,
and race/ethnicity stratified by PIR. CONCLUSIONS: Race/ethnicity and PIR appear
to influence food availability, family meal patterns, and family food
expenditures in homes of youth. Knowledge of factors that influence the home food
environment could assist in developing effective strategies to improve food
environments for young people.
PMID- 24935612
TI - Whole-cell imaging of the budding yeast Saccharomyces cerevisiae by high-voltage
scanning transmission electron tomography.
AB - Electron tomography using a high-voltage electron microscope (HVEM) provides
three-dimensional information about cellular components in sections thicker than
1 MUm, although in bright-field mode image degradation caused by multiple
inelastic scattering of transmitted electrons limit the attainable resolution.
Scanning transmission electron microscopy (STEM) is believed to give enhanced
contrast and resolution compared to conventional transmission electron microscopy
(CTEM). Samples up to 1 MUm in thickness have been analyzed with an intermediate
voltage electron microscope because inelastic scattering is not a critical
limitation, and probe broadening can be minimized. Here, we employed STEM at 1
MeV high-voltage to extend the useful specimen thickness for electron tomography,
which we demonstrate by a seamless tomographic reconstruction of a whole, budding
Saccharomyces cerevisiae yeast cell, which is ~3 MUm in thickness. High-voltage
STEM tomography, especially in the bright-field mode, demonstrated sufficiently
enhanced contrast and intensity, compared to CTEM tomography, to permit
segmentation of major organelles in the whole cell. STEM imaging also reduced
specimen shrinkage during tilt-series acquisition. The fidelity of structural
preservation was limited by cytoplasmic extraction, and the spatial resolution
was limited by the relatively large convergence angle of the scanning probe.
However, the new technique has potential to solve longstanding problems of image
blurring in biological specimens beyond 1 MUm in thickness, and may facilitate
new research in cellular structural biology.
PMID- 24935613
TI - Physiological response during activity programs using Wii-based video games in
patients with cystic fibrosis (CF).
AB - Patients with cystic fibrosis (CF) are characterized by an abnormal ventilation
response that limits the exercise capacity. Exercise training increases exercise
capacity, decreases dyspnea and improves health-related quality of life in CF.
Adherence to pulmonary rehabilitation programs is a key factor to guarantee
optimal benefits and a difficult goal in this population. The aim of this study
was to determine the physiological response during three Nintendo WiiTM video
game activities (VGA) candidates to be used as training modalities in patients
with CF. METHOD: 24 CF patients (age 12.6+/-3.7 years; BMI 18.8+/-2.9kgm(-2);
FEV1 93.8+/-18.8%pred) were included. All participants performed, on two separate
days, 3 different VGA: 1) Wii Fit Plus (Wii-Fit); 2) Wii Active (Wii-Acti), and
3) Wii Family Trainer (Wii-Train), in random order during 5min. The obtained
results were compared with the 6-min walk test (6MWT). The physiological
variables [oxygen uptake (VO2), minute ventilation (VE), and heart rate (HR)]
were recorded using a portable metabolic analyzer. RESULTS: During all VGA and
6MWT, VO2 reached a plateau from the 3rd min. Compared with the 6MWT (1024.2+/
282.2mLm(-1)), Wii-Acti (1232.2+/-427.2mLm(-1)) and Wii-Train (1252.6+/-360.2mLm(
1)) reached higher VO2 levels during the last 3min (p<0.0001 in both cases),
while Wii-Fit (553.8+/-113.2mLm(-1)) reached significantly lower levels of VO2
(p<0.001). Similar effects were seen for the ventilatory volume (VE). No
differences in dyspnea and oxygen saturation were seen between the different
modalities. All patients were compliant with all three WiiTM modalities.
CONCLUSION: Active video game are well tolerated by patients with CF. All the
modalities evaluated imposed a constant load but were associated with different
physiological responses reflecting the different intensities imposed. Wii-Acti
and Wii-Train impose a significantly high metabolic demand comparable to the
6MWT. Further research is needed to evaluate the effects of VGA as a training
program to increase exercise capacity for CF patients.
PMID- 24935614
TI - Hubbard U calculations for gap states in dilute magnetic semiconductors.
AB - On the basis of constrained density functional theory, we present ab initio
calculations for the Hubbard U parameter of transition metal impurities in dilute
magnetic semiconductors, choosing Mn in GaN as an example. The calculations are
performed by two methods: (i) the Korringa-Kohn-Rostoker (KKR) Green function
method for a single Mn impurity in GaN and (ii) the full-potential linearized
augmented plane-wave (FLAPW) method for a large supercell of GaN with a single Mn
impurity in each cell. By changing the occupancy of the majority t2 gap state of
Mn, we determine the U parameter either from the total energy differences E(N +
1) and E(N - 1) of the (N +/- 1)-electron excited states with respect to the
ground state energy E(N), or by using the single-particle energies for n(0) +/-
1/2 occupancies around the charge-neutral occupancy n0 (Janak's transition state
model). The two methods give nearly identical results. Moreover the values
calculated by the supercell method agree quite well with the Green function
values. We point out an important difference between the 'global' U parameter
calculated using Janak's theorem and the 'local' U of the Hubbard model.
PMID- 24935616
TI - A parallel diagnostic accuracy study of three molecular panels for the detection
of bacterial gastroenteritis.
AB - Culture-dependent detection of gastroenteric bacteria is labour-intensive and
does not provide results in a clinically relevant time frame. Several
commercially available multiplex molecular panels are now available which may be
more sensitive and could potentially provide rapid results. We compared the
diagnostic accuracy, turnaround time and ease of use of three such molecular
panels: the RIDA(r)GENE Bacterial Stool and EHEC/EPEC Panels (R-Biopharm AG,
Darmstadt, Germany), the FTD(r) Bacterial Gastroenteritis Panel (Fast Track
Diagnostics, Junglinster, Luxembourg) and the BD MAXTM Enteric Bacterial Panel
(Becton Dickinson GmbH, Heidelberg, Germany). The results from 116 retrospective
selected and 318 prospective unselected stool samples were compared with
conventional culture-based techniques using a gold standard for a positive test
of either culture or agreement in two of the three molecular panels. For most
targets, the molecular panels were more sensitive than culture, detecting an
additional 13 cases that culture missed. The laboratory turnaround time was under
3 h for all molecular panels, compared with 66.5 h for culture. The BD MAXTM
panel was the fastest, easiest to use and most flexible.
PMID- 24935615
TI - Molecular revolution in the diagnosis of microbial brain abscesses.
AB - A brain abscess is a life-threatening infection, frequently with serious
sequelae. Culture-based methods present many limitations and do not enable an
exhaustive documentation of the bacterial flora. 16S rRNA-based amplification,
cloning, and high-throughput sequencing have dramatically increased the number of
identified agents of brain abscesses, showing that the causative flora is
polymicrobial in up to 40 % of cases, with the presence of at least one anaerobic
bacterium. In contrast, real-time polymerase chain reaction (PCR) technology is
an appealing alternative to culture-based methods for diagnosing brain abscesses
due to its speed, sensitivity, and specificity. Molecular typing is available for
several bacterial and fungal genera, and this user-friendly tool is accessible
for the clinical microbiology laboratory to diagnose microbes involved in a brain
abscess. This article reviews the applications of the currently available tools
for the etiological diagnosis of a brain abscess.
PMID- 24935617
TI - Antibiotic overuse and Clostridium difficile: a teachable moment.
PMID- 24935618
TI - The frequency of precocious segregation of sister chromatids in mouse female
meiosis I is affected by genetic background.
AB - Mammalian female gametes frequently suffer from numerical chromosomal
aberrations, the main cause of miscarriages and severe developmental defects. The
underlying mechanisms responsible for the development of aneuploidy in oocytes
are still not completely understood and remain a subject of extensive research.
From studies focused on prevalence of aneuploidy in mouse oocytes, it has become
obvious that reported rates of aneuploidy are strongly dependent on the method
used for chromosome counting. In addition, it seems likely that differences
between mouse strains could influence the frequency of aneuploidy as well;
however, up till now, such a comparison has not been available. Therefore, in our
study, we measured the levels of aneuploidy which has resulted from
missegregation in meiosis I, in oocytes of three commonly used mouse strains-CD
1, C3H/HeJ, and C57BL/6. Our results revealed that, although the overall
chromosomal numerical aberration rates were similar in all three strains, a
different number of oocytes in each strain contained prematurely segregated
sister chromatids (PSSC). This indicates that a predisposition for this type of
chromosome segregation error in oocyte meiosis I is dependent on genetic
background.
PMID- 24935619
TI - Bayesian hierarchical model for multiple repeated measures and survival data: an
application to Parkinson's disease.
AB - Multilevel item response theory models have been increasingly used to analyze the
multivariate longitudinal data of mixed types (e.g., continuous and categorical)
in clinical studies. To address the possible correlation between multivariate
longitudinal measures and time to terminal events (e.g., death and dropout),
joint models that consist of a multilevel item response theory submodel and a
survival submodel have been previously developed. However, in multisite studies,
multiple patients are recruited and treated by the same clinical site. There can
be a significant site correlation because of common environmental and
socioeconomic status, and similar quality of care within site. In this article,
we develop and study several hierarchical joint models with the hazard of
terminal events dependent on shared random effects from various levels. We
conduct extensive simulation study to evaluate the performance of various models
under different scenarios. The proposed hierarchical joint models are applied to
the motivating deprenyl and tocopherol antioxidative therapy of Parkinsonism
study to investigate the effect of tocopherol in slowing Parkinson's disease
progression.
PMID- 24935620
TI - Translational researches on leaf senescence for enhancing plant productivity and
quality.
AB - Leaf senescence is a very important trait that limits yield and biomass
accumulation of agronomic crops and reduces post-harvest performance and the
nutritional value of horticultural crops. Significant advance in physiological
and molecular understanding of leaf senescence has made it possible to devise
ways of manipulating leaf senescence for agricultural improvement. There are
three major strategies in this regard: (i) plant hormone biology-based leaf
senescence manipulation technology, the senescence-specific gene promoter
directed IPT system in particular; (ii) leaf senescence-specific transcription
factor biology-based technology; and (iii) translation initiation factor biology
based technology. Among the first strategy, the P SAG12 -IPT autoregulatory
senescence inhibition system has been widely explored and successfully used in a
variety of plant species for manipulating senescence. The vast majority of the
related research articles (more than 2000) showed that crops harbouring the
autoregulatory system displayed a significant delay in leaf senescence without
any abnormalities in growth and development, a marked increase in grain yield and
biomass, dramatic improvement in horticultural performance, and/or enhanced
tolerance to drought stress. This technology is approaching commercialization.
The transcription factor biology-based and translation initiation factor biology
based technologies have also been shown to be very promising and have great
potentials for manipulating leaf senescence in crops. Finally, it is speculated
that technologies based on the molecular understanding of nutrient recycling
during leaf senescence are highly desirable and are expected to be developed in
future translational leaf senescence research.
PMID- 24935621
TI - PEG-mediated osmotic stress induces premature differentiation of the root apical
meristem and outgrowth of lateral roots in wheat.
AB - Water stress is one of the major environmental stresses causing growth
retardation and yield loss of plants. In the past decades, osmotic adjustment,
antioxidant protection, and stomatal movement have been extensively studied, but
much less attention has been paid to the study of root system reprogramming to
maximize water absorption and survival under water stress. Here, it is shown that
polyethylene glycol (PEG)-simulated mild and moderate osmotic stress induced
premature differentiation of the root apical meristem (RAM). It is demonstrated
that RAM premature differentiation is a conserved adaptive mechanism that is
widely adopted by various plants to cope with osmotic stress simulated by PEG
8000, and the occurrence of RAM premature differentiation is directly related to
stress tolerance of plants. It is shown that the osmotic stress-induced premature
differentiation caused growth cessation of primary roots allowing outgrowth of
lateral roots. This work has uncovered a key mechanism for controlling the
plastic development of the root system by which plants are capable of survival,
growth, or reproduction under water stress.
PMID- 24935623
TI - Association between OGG1 gene single nucleotide polymorphisms and risk of
pancreatic cancer in Chinese.
AB - Previous studies have suggested that the 8-oxoguanine DNA glycosylase gene (OGG1)
has potentially influenced the risk of pancreatic cancer. The objective of this
study was to assess the association between single nucleotide polymorphisms
(SNPs) of OGG1 gene and risk of pancreatic cancer. A case-control study has been
conducted in 370 pancreatic cancer patients and 395 healthy controls. Genotypes
were determined using the polymerase chain reaction-restriction fragment length
polymorphism and DNA sequencing methods. The association analysis was evaluated
by the unconditional logistic regression test. Our data suggested that the
distributions of alleles and genotypes were statistically different between
pancreatic cancer patients and healthy controls. The c.307G>C SNP was associated
with the decreased risk of pancreatic cancer (C vs. G: OR 0.73, 95 % CI 0.59
0.91, P = 0.006). As for c.828A>G SNP, the significantly decreased risk of
pancreatic cancer was detected (G vs. A: OR 0.74, 95 % CI 0.59-0.92, P = 0.006).
The allele C of c.307G>C and allele G of c.828A>G SNPs might be associated with a
protection from pancreatic cancer. Findings from this study indicate that OGG1
SNPs are associated with pancreatic cancer risk in Chinese Han population and
could be useful molecular biomarkers for assessing the risk of pancreatic cancer.
PMID- 24935622
TI - Involvement of multiple phytoene synthase genes in tissue- and cultivar-specific
accumulation of carotenoids in loquat.
AB - Differences in carotenoid accumulation between tissues and cultivars is common in
plants. White-fleshed loquat cultivars had low levels of carotenoids in the
flesh, but accumulated carotenoids in peel when ripe, and the leaves accumulated
similar carotenoids to those in the red-fleshed loquat cultivars. The catalytic
activity and expression patterns of four phytoene synthase (PSY) genes, EjPSY1,
EjPSY2A, EjPSY2B, and EjPSY3, were analysed to understand their roles in
different loquat (Eriobotrya japonica Lindl.) types. EjPSY1 was responsible for
carotenoid synthesis in the fruit peel but not the flesh, whereas EjPSY2A was
responsible for carotenoid accumulation in flesh of ripening fruit. A mutant
EjPSY2A (d) , with the same tissue specificity and expression level as EjPSY2A,
but lacking the C-terminal region and corresponding catalytic activity, was
discovered in white-fleshed varieties, explaining the lack of carotenoids in the
white flesh. The catalytic role of EjPSY2B was most significant in leaves. The
tissue-specific expression of EjPSY1 and EjPSY2B explained well how peel and leaf
tissues can still accumulate carotenoids in white-fleshed cultivars, which have
lost the functional EjPSY2A. EjPSY3 mRNA abundance was ~1000-fold less than that
of other PSY mRNAs in all tissues examined. In addition, neither the normal sized
transcript nor two alternatively spliced forms, EjPSY3alpha in LYQ and EjPSY3beta
in BS cultivars, encoded functional enzymes, and it is concluded that EjPSY3
plays no role in carotenoid accumulation. In addition, it was noted that
recruitment of PSY genes for expression in specific tissues of different plants
has occurred independently of gene structure and evolutionary origin.
PMID- 24935624
TI - Luminal breast cancer classification according to proliferative indices:
clinicopathological characteristics and short-term survival analysis.
AB - The classification of luminal breast cancer has been a popular topic regarding
its heterogeneity with distinct biological features and clinical outcomes. This
study aimed to assess the power of proliferative indices (Ki67 and histological
grade) to determine various clinicopathological characteristics and survival in
luminal disease. A total of 541 patients with stage I-III luminal breast cancer
were enrolled. Subtypes were determined using proliferative indices and were
compared with clinicopathological variables and short-term survival. The
significance of various treatments was evaluated in a subgroup of pN0 (lymph node
negative) patients. Histological grade, independent of other variables, was a
better predictor in the ER/PR+, human epidermal growth factor receptor 2 (Her-2)
subgroup (p = 0.011) and the pN0 subgroup (p = 0.044) compared with Ki67, which
only showed significance in the ER/PR+, Her-2 subgroup (p = 0.008). Neither grade
nor Ki67 was associated with outcomes in the luminal Her-2 class. In pN0
patients, various treatments did not show significance in short-term survival.
Histological grade outperformed Ki67 as a determinant for the stratification of
luminal class regarding short-term survival. Chemotherapy most likely did not
provide additional benefit to pN0 patients with luminal breast cancer compared
with endocrine therapy.
PMID- 24935625
TI - Prognostic and predictive role of ERCC1 protein expression in locally advanced
stage III non-small cell lung cancer.
AB - Systemic therapy improves the survival and quality of life of patients with
advanced stage non-small cell lung cancer (NSCLC). Several new therapeutic
options have emerged for advanced NSCLC, incorporating novel cytotoxicity agents
(taxanes, gemcitabine, pemetrexed) and molecular-targeted agents (erlotinib,
bevacizumab) and the optimal prognostic marker for survival remains unclear. The
aim of the present study was to assess the prognostic value of the
clinicopathologic features and excision repair cross-complementation group-1
(ERCC1) in locally advanced NSCLC patients that received cisplatin-based
chemotherapy. Clinical data concerning 80 patients with histopathologically
confirmed non-small cell lung cancer who are planned to receive cisplatin-based
adjuvant chemotherapy were collected. The protein expression levels for ERCC1 are
immunohistochemical examined in 80 patients. The relationship between the ERCC1
protein expression level and the clinical outcomes of the patients is then
observed. The 3-year survival rate and median survival time of stage III NSCLC
received chemotherapy with/without concurrent chemoradiotherapy were 20 % and 10
months, respectively. Survival of patients with ERCC1-negative tumors was
significantly longer than those with ERCC1-positive tumors (p = 0.0001).
Prognostic factors with overall survival were performance status, cigarette
smoking, stage, weight loss and ERCC1. While as regard progression-free survival
prognostic factors were stage, weight loss, ERCC1 and degree of positivity of
ERCC1 progression. It was found that ERCC1 protein expression might play an
important role in the prognosis of locally advanced NSCLC patients treated with
cisplatin-based adjuvant chemotherapy.
PMID- 24935626
TI - Insertion of a metallic guide-wire in the pleural cavity as a complication in
tunneled indwelling pleural catheter placement.
PMID- 24935627
TI - Group typicality, group loyalty and cognitive development.
AB - Over the course of childhood, children's thinking about social groups changes in
a variety of ways. Developmental Subjective Group Dynamics (DSGD) theory
emphasizes children's understanding of the importance of conforming to group
norms. Abrams et al.'s study, which uses DSGD theory as a framework, demonstrates
the social cognitive skills underlying young elementary school children's
thinking about group norms. Future research on children's thinking about groups
and group norms should explore additional elements of this topic, including
aspects of typicality beyond loyalty.
PMID- 24935628
TI - The "Princess Mirror": Exemplars of the Use of the Mirror in Nursing Practice.
AB - PROBLEM: Incorporating mirrors into nursing is a relatively new field of research
and practice. The focus of two studies was to explore (a) the meaning of women's
mirror experiences following a mastectomy and (b) the experience of viewing self
in the mirror for women who were terminally ill. The goal of the research was to
establish a conceptual foundation for the development of nursing mirror
interventions. METHODS: Open-ended questions and dialogical engagement in one-to
one audio-taped interviews were used in both studies. Ricoeur's hermeneutic
phenomenology was used to analyze the findings. FINDINGS: Four concepts were
uncovered in the experience of viewing self in the mirror: decision, seeing,
understanding, and consent. CONCLUSION: Exemplar composite case studies across
the care continuum are provided as examples of the way in which mirrors may be
utilized in nursing practice.
PMID- 24935629
TI - Analysis on long-range residue-residue communication using molecular dynamics.
AB - We investigated the possibility of inter-residue communication of side chains in
barstar, an 89 residue protein, using mutual information theory. The normalized
mutual information (NMI) of the dihedral angles of the side chains was obtained
from all-atom molecular dynamics simulations. The accumulated NMI from an
explicit solvent equilibrated trajectory (600 ns) with free backbone exhibits a
parabola-shaped distribution over the inter-residue distances (0-36 A): smaller
at the end regimes but larger in the middle regime. This analysis, plus several
other measures, does not find unusual long-range communication for free backbone
in explicit solvent simulations.
PMID- 24935630
TI - Founding of the International Journal of Obesity: a journey in medical
journalism.
PMID- 24935631
TI - Three-dimensional carbon nanotube networks with a supported nickel oxide nanonet
for high-performance supercapacitors.
AB - A three-dimensional porous carbon nanotube film with a supported NiO nanonet was
prepared by simple electrophoretic deposition and hydrothermal synthesis, which
could deliver a high specific capacitance of 1511 F g(-1) at a high discharge
current of 50 A g(-1) due to the significantly improved transport of the
electrolyte and electrons.
PMID- 24935632
TI - IL-18 gene polymorphisms in aphthous stomatitis vs. Behcet's disease in a cohort
of Egyptian patients.
AB - OBJECTIVE: A clinical investigation of the potential correlation of two single
nucleotide polymorphisms at -137 (G/C) and -607 (C/A) in the promoter region of
the IL-18 gene, with the susceptibility to aphthous stomatitis and Behcet's
disease. PATIENT AND METHODS: This study included 80 aphthous stomatitis patients
and 80 patients with Behcet's disease. Eighty healthy subjects were enrolled as a
control group. IL-18 single-nucleotide polymorphisms at -607 and -137 regions
were analyzed using polymerase chain reaction-restriction fragment length
polymorphism analysis. RESULTS: The genotype and allele distributions of the two
regions did not differ significantly between patients with aphthous stomatitis
and controls. The genotype and allele distributions at -607 were significantly
different between patients with Behcet's disease [CC (P = 0.044), C allele (P =
0.043), A allele (P = 0.043)], and controls. The frequency of the GG genotype at
position -137 in patients with Behcet's disease was associated only with a higher
rate of ocular manifestations (OR= 1.4, CI= 0.76-2.7, P = 0.031). CONCLUSION: IL
18 gene polymorphisms were not associated with any susceptibility to aphthous
stomatitis, while a positive association was found with patients with Behcet's
disease regarding -607 promoter site. Moreover, patients with Behcet's disease
carrying the GG genotype at position -137 had a higher risk of developing ocular
manifestations.
PMID- 24935634
TI - How we involved rural clinicians in teaching ethics to medical students on rural
clinical placements.
AB - BACKGROUND: The task of engaging senior medical students in ethical inquiry while
on rural clinical placements has received minimal attention in the medical
education literature. As there is an international trend for medical students to
undertake part or all of their clinical training in rural areas, the need to
deliver clinically relevant ethics teaching in a sustainable manner has emerged
as a challenge for medical schools. Clinicians tend to be hesitant about
delivering this kind of teaching. What we did: We introduced a novel teaching
program which involved recruiting, training and supporting experienced rural
clinicians to facilitate a series of Rural Ethics Ward Rounds with the senior
medical students on extended rural placements. EVALUATION: The clinical
facilitators expressed some initial uncertainty with the teaching model, but
generally reported a positive experience, including significant professional
benefits such as increased ethical awareness and opportunity for self-reflection.
CONCLUSION: This model enables experienced rural clinicians to facilitate student
development in ethical awareness and skill, and requires relatively low demands
on academic time and resources.
PMID- 24935633
TI - Downregulation of Notch modulators, tetraspanin 5 and 10, inhibits
osteoclastogenesis in vitro.
AB - Genetic studies in human and mice have pinpointed an essential role of Notch
signaling in osteoblast and osteoclast differentiation during skeletal
development and bone remodeling. However, the factors and pathways regulating
Notch activation in bone cells remain largely unknown. In this in vitro study, we
have provided evidence that two of the TspanC8 subfamily members of tetraspanins,
Tspan-5 and Tspan-10, are up-regulated during osteoclast differentiation and
knockdown of their expression by shRNAs dramatically inhibits osteoclastogenesis.
Loss of Tspan-5 and Tspan-10 in osteoclast lineage cells results in attenuation
of ADAM10 maturation and Notch activation. Therefore, these two tetraspanins play
a critical role in osteoclast formation, at least in part, by modulating Notch
signaling pathway.
PMID- 24935636
TI - Risking 'Safety': Breast Cancer, Prognosis, and the Strategic Enterprise of Life.
AB - Living in modern biopolitical risk culture might be seen as synonymous with
living in prognosis time, in the sense that risk of illness is endlessly forecast
(prognosticated) in the broad social arena. 'Safety,' in this context, is framed
as the anticipatory guarding against risk or disease in order to 'make live.'
Thinking of risk and safety in these ways is limited, however, in that the
prognosis cannot account for the individual's life or death drama. This paper
asks: how are we to understand the constellation of risk, prognosis, and safety
in relation to 'the subject in breast cancer prognosis'?
PMID- 24935635
TI - PARP inhibition delays progression of mitochondrial encephalopathy in mice.
AB - Mitochondrial disorders are deadly childhood diseases for which therapeutic
remedies are an unmet need. Given that genetic suppression of the nuclear enzyme
poly (adenine diphosphate-ribose) polymerase(PARP)-1 improves mitochondrial
functioning, we investigated whether pharmacological inhibition of the enzyme
affords protection in a mouse model of a mitochondrial disorder. We used mice
lacking the Ndufs4 subunit of the respiratory complex I (Ndufs4 knockout [ KO]
mice); these mice undergo progressive encephalopathy and die around postnatal day
50. Mice were treated daily with the potent PARP inhibitor N-(6-oxo-5,6
dihydrophenanthridin-2-yl)-(N,N-dimethylamino)acetamide hydrochloride (PJ34);
neurological parameters, PARP activity, and mitochondrial homeostasis were
evaluated. We found that mice receiving N-(6-oxo-5,6-dihydrophenanthridin-2-yl)
(N,N-dimethylamino)acetamide hydrochloride from postnatal day 30 to postnatal day
50 show reduced neurological impairment, and increased exploratory activity and
motor skills compared with vehicle-treated animals. However, drug treatment did
not delay or reduce death. We found no evidence of increased PARP activity within
the brain of KO mice compared with heterozygous, healthy controls. Conversely, a
10-day treatment with the PARP inhibitor significantly reduced basal poly(ADP
ribosyl)ation in different organs of the KO mice, including brain, skeletal
muscle, liver, pancreas, and spleen. In keeping with the epigenetic role of PARP
1, its inhibition correlated with increased expression of mitochondrial
respiratory complex subunits and organelle number. Remarkably, pharmacological
targeting of PARP reduced astrogliosis in olfactory bulb and motor cortex, but
did not affect neuronal loss of KO mice. In light of the advanced clinical
development of PARP inhibitors, these data emphasize their relevance to treatment
of mitochondrial respiratory defects.
PMID- 24935637
TI - Congenital unilateral facial nerve agenesis.
PMID- 24935638
TI - Supply and demand analysis of the current and future US neurology workforce.
PMID- 24935639
TI - Fatigue in the acute phase after first stroke predicts poorer physical health 18
months later.
PMID- 24935641
TI - Optimizing methyl-eugenol aromatherapy to maximize posttreatment effects to
enhance mating competitiveness of male Bactrocera carambolae (Diptera:
Tephritidae).
AB - Methyl-eugenol (ME) (1,2-dimethoxy-4-(2-propenyl)benzene), a natural
phytochemical, did enhance male Bactrocera carambolae Drew & Hancock (Diptera:
Tephritidae) mating competitiveness 3 d after ingestion. Enhanced male mating
competitiveness can significantly increase the effectiveness of the sterile
insect technique (SIT). ME application to mass reared sterile flies by feeding is
infeasible. ME application by aromatherapy however, would be a very practical way
of ME application in fly emergence and release facilities. This approach was
shown to enhance mating competitiveness of B. carambolae 3 d posttreatment (DPT).
Despite this added benefit, every additional day of delaying release will reduce
sterile fly quality and will add cost to SIT application. The present study was
planned to assess the effects of ME-aromatherapy on male B. carambolae mating
competitiveness 1DPT and 2DPT. ME aromatherapy 1DPT or 2DPT did enhance mating
competitiveness of B. carambolae males whereas ME feeding 1DPT and 2DPT did not.
Male mating competitiveness was enhanced by the ME aromatherapy irrespective if
they received 1DPT, 2DPT or 3DPT. ME aromatherapy, being a viable approach for
its application, did enhance mating competitiveness of male B. carambolae 1 d
posttreatment as ME feeding did 3 d after ingestion.
PMID- 24935642
TI - Retroperitoneoscopic-guided cool-tip radiofrequency ablation of adrenocortical
aldosteronoma.
AB - PURPOSE: To analyze the feasibility, safety, and therapeutic effects of
retroperitoneoscopic-guided cool-tip radiofrequency ablation (RCRFA) used for the
treatment of adrenocortical aldosteronoma. PATIENTS AND METHODS: We performed a
retrospective comparison of RCRFA (n=12) and laparoscopic partial adrenalectomy
(LPA) (n=26) in the patients with solitary aldosterone-producing adenoma in our
center from 2006 to 2009. Intraoperative and follow-up data were reviewed for
clinical parameters and hormone levels. Univariate analysis was performed to
measure the consistency of these clinical parameters preoperatively and
postoperatively. RESULTS: All patients presented hypertension, hypokalemia, and
high aldosterone/renin ratio (ARR>30) preoperatively and were finally
histologically confirmed as aldosteronoma. Technical success rate of these two
procedures was 100%. Every patient was followed up for more than 3 years (mean
49.2+/-15.6 months). There was no evidence of residual or recurrent lesion
postoperatively. ARR declined significantly postoperatively compared with
preoperatively (54.33+/-24.90 vs 5.50+/-3.30 in the RCRFA group and 51.45+/-29.12
vs 6.67+/-3.75 in the LPA group, p<0.05). Hypokalemia was resolved in all
patients after the surgery. A majority of patients (91.7% in the RCRFA group and
96.2% in the LPA group) were cured without any further need of antihypertensive
medication or experienced an improvement in hypertension. Antihypertensive
medications reduced significantly after surgical procedures. RCRFA and LPA
demonstrated similar therapeutic effects. Compared with LPA, RCRFA provided a
shorter operative time (65.6+/-13.5 minutes vs 86.0+/-16.5 minutes in LPA,
p<0.05), less blood loss (20.0+/-11.3 mL vs 60.8+/-52.0 mL in LPA, p<0.05), and
lower complication rate (16.7% vs 26.9% in LPA). CONCLUSIONS: RCRFA might be an
alternative for LPA in selected patients with adrenocortical aldosteronoma. Due
to limited sample size, more experience is necessary to validate this procedure.
PMID- 24935643
TI - Nb-doped CaO: an efficient electron donor system.
AB - Transition metal atoms incorporated into insulating materials (oxides in
particular) can deeply modify their adsorption properties. In particular, charge
transfer to adsorbed species can be induced by the presence of substitutional
dopants, which introduce new electronic states in the band gap of the host
crystal. Here we show, by means of density functional theory calculations, that
Nb represents an excellent dopant to turn the rather inactive CaO(100) surface
into an electron-rich support. The charge transfer ability of the doped material
is shown by comparing the adsorption properties of the electronegative Au atoms
on pure and Nb-doped CaO. While in the first case the CaO-Au bonding is
relatively weak and the Au atom is essentially neutral, in the Nb-doped system a
much stronger adhesion is found due to a net charge transfer from the Nb dopant
and to the formation of a gold anion. This mechanism occurs also for Nb in high
oxidation states. Nb is thus an excellent modifier of the calcium oxide
properties.
PMID- 24935644
TI - Temporary anchorage device usage: a survey among Swiss orthodontists.
AB - BACKGROUND: The aim of the survey was to obtain information on the treatment plan
preferences, mechanics and characteristics of temporary anchorage device (TAD)
application using a single case presented to orthodontists in Switzerland.
METHODS: A structured questionnaire to be completed by all study participants
with case-specific (treatment plan including mechanics and TAD usage) and general
questions (general fixed appliance and TAD usage as well as professional,
educational and demographic questions) together with an orthodontic borderline
case was utilised. The case was a female adult with dental Class II/2, deep bite
and maxillary anterior crowing, who had been treated in childhood with extraction
of four premolars and fixed appliance followed by wisdom tooth extraction.
RESULTS: The response rate was 24.4% (108 out of 443). The majority (96.3%, 104)
proposed comprehensive treatment, while 3.7% (4) planned only alignment of
maxillary teeth. 8.3% (9) included a surgical approach in their treatment plan.
An additional 0.9% (1) combined the surgical approach with Class II mechanics.
75.1% (81) decided on distalization on the maxilla using TADs, 7.4% (8) planned
various types of Class II appliances and 3.7% (4) combined distalization using
TADs or headgear with Class II appliances and surgery. Palatal implants were the
most popular choice (70.6%, 60), followed by mini-screws (22.4%, 19) and mini
plates on the infrazygomatic crests (7.0%, 6). The preferred site of TAD
insertion showed more variation in sagittal than in transversal dimension, and
the median size of mini-screws used was 10.0-mm long (interquartile range (IQR)
2.3 mm) and 2.0-mm wide (IQR 0.3 mm). CONCLUSIONS: Distalization against palatal
implants and then distalization against mini-screws were the most popular
treatment plans. Preferred site for TAD insertion varied depending on type and
size but varied more widely in the sagittal than in the transversal dimension.
PMID- 24935645
TI - Frequency of V1016I and F1534C mutations in the voltage-gated sodium channel gene
in Aedes aegypti in Venezuela.
AB - BACKGROUND: The V1016I and F1534C mutations in the voltage-gated sodium channel
gene have been associated with resistance to pyrethroids and DDT in Aedes aegypti
mosquitoes. A study was carried out to determine the frequency of I1016 and C1534
by real-time PCR in five natural populations of Ae. aegypti in Venezuela during
2008, 2010 and 2012, as well as in a strain selected with 0.14 ug of deltamethrin
for 15 generations. RESULTS: In natural populations, frequencies of I1016 varied
between 0.01 and 0.37, and frequencies of C1534 between 0.35 and 1.0. In the
Pampanito strain, the frequency of I1016 increased from 0.02 in F1 up to 0.5 in
F15 and from 0.35 up to fixation for C1534 after selection with deltamethrin.
CONCLUSION: The results showed that C1534 frequencies are higher than I1016
frequencies in natural populations of Ae. aegypti in Venezuela, and that
deltamethrin selected the C1534 more rapidly than I1016.
PMID- 24935646
TI - Prevalence of incidental thyroid nodules in ultrasound studies of dogs with
hypercalcemia (2008-2013).
AB - Ultrasound is commonly used to evaluate the cervical region in dogs with
hypercalcemia due to suspected hyperparathyroidism. Incidental thyroid nodules
may be detected during these studies, however little information has been
published to guide clinical decision-making when this occurs. The purpose of this
cross-sectional study was to determine the prevalence of incidental thyroid
nodules in hypercalcemic dogs undergoing cervical ultrasound at our hospital
during the period of 2008-2013. Dogs with a palpable neck mass were excluded.
Cervical ultrasound images for each dog were retrieved and reviewed by a board
certified veterinary radiologist who was unaware of patient outcome. Presence,
number, and dimensions of thyroid nodules were recorded. Results of thyroid
nodule aspirate, biopsy or necropsy were recorded from medical records when
available. Ninety-one dogs met inclusion criteria. Of these, 14/91 (15%) dogs had
at least one thyroid nodule. Mean (+/- standard deviation) thyroid gland nodule
length, width, and height were 1.51 +/- 0.74, 0.96 +/- 0.73, and 0.75 +/- 0.36
cm, respectively. A histologic diagnosis was available for the incidental thyroid
lesions in eight dogs, including one dog with two nodules. Confirmed diagnoses
for these nodules were thyroid cyst (3/9, 33%), thyroid adenoma (3/9, 33%),
thyroid adenocarcinoma (2/9, 22%) and nodular hyperplasia (1/9, 11%). Findings
indicated that incidental thyroid nodules may be present in hypercalcemic dogs
with no palpable neck mass and no clinical signs of thyroid disease. Some of
these nodules may be malignant and therefore a recommendation for cytology or
biopsy may be justified.
PMID- 24935647
TI - A descriptive exploratory study of how admissions caused by medication-related
harm are documented within inpatients' medical records.
AB - BACKGROUND: Adverse drug reactions, poor patient adherence and errors, here
collectively referred to as medication-related harm (MRH), cause around 2.7-8.0%
of UK hospital admissions. Communication gaps between successive healthcare
providers exist, but little is known about how MRH is recorded in inpatients'
medical records. We describe the presence and quality of MRH documentation for
patients admitted to a London teaching hospital due to MRH. Additionally, the
international classification of disease 10th revision (ICD-10) codes attributed
to confirmed MRH-related admissions were studied to explore appropriateness of
their use to identify these patients. METHODS: Clinical pharmacists working on an
admissions ward in a UK hospital identified patients admitted due to suspected
MRH. Six different data sources in each patient's medical record, including the
discharge summary, were subsequently examined for MRH-related information. Each
data source was examined for statements describing the MRH: symptom and
diagnosis, identification of the causative agent, and a statement of the action
taken or considered. Statements were categorised as 'explicit' if unambiguous or
'implicit' if open to interpretation. ICD-10 codes attributed to confirmed MRH
cases were recorded. RESULTS: Eighty-four patients were identified over 141 data
collection days; 75 met our inclusion criteria. MRH documentation was generally
present (855 of 1307 statements were identified; 65%), and usually explicit (705
of 855; 82%). The causative agent had the lowest proportion of explicit
statements (139 of 201 statements were explicit; 69%). For two (3%) discharged
patients, the causal agent was documented in their paper medical record but not
on the discharge summary. Of 64 patients with a confirmed MRH diagnosis at
discharge, only six (9%) had a MRH-related ICD-10 code. CONCLUSIONS: Availability
of information in the paper medical record needs improving and communication of
MRH-related information could be enhanced by using explicit statements and
documenting reasons for changing medications. ICD-10 codes underestimate the true
occurrence of MRH.
PMID- 24935648
TI - A correlational study of suicidal ideation with psychological distress,
depression, and demoralization in patients with cancer.
AB - PURPOSE: This study aims to study the effects of depression and demoralization on
suicidal ideation and to determine the feasibility of the Distress Thermometer as
a screening tool for patients with cancer who experience depression and
demoralization, and thus to establish a model screening process for suicide
prevention. METHODS: Purposive sampling was used to invite inpatients and
outpatients with lung cancer, leukemia, and lymphoma. Two hundred participants
completed the questionnaire, which included the Distress Thermometer (DT),
Patient Health Questionnaire-9 (PHQ-9), Demoralization Scale-Mandarin Version (DS
MV), and Beck Scale for Suicide Ideation. All data obtained were analyzed using
SPSS 18.0 and SAS 9.3. RESULTS: Tobit regression analysis showed that
demoralization influenced suicidal ideation more than depression did (t = 2.84, p
< 0.01). When PHQ-9 >= 10 and DS-MV >=42 were used as criteria for the DT,
receiver operating characteristic analysis revealed that the AUC values were 0.77
0.79, with optimal cutoff points for both of DT >=5; sensitivity 76.9 and 80.6 %,
respectively; and specificity of 73.9 and 72.2 %, respectively. CONCLUSIONS:
Demoralization had more influence on suicidal ideation than depression did.
Therefore, attention should be paid to highly demoralized patients with cancer or
high demoralization comorbid with depression for the purposes of suicide
evaluation and prevention. The DT scale (with a cutoff of >=5 points) has
discriminative ability as a screening tool for demoralization or depression and
can also be used in clinical settings for the preliminary screening of patients
with cancer and high suicide risk.
PMID- 24935649
TI - Insomnia in adult survivors of childhood cancer: a report from project REACH.
AB - PURPOSE: Insomnia is a common problem affecting cancer survivors even years after
completion of therapy. Childhood cancer survivors may be at particular risk due
to vulnerability to the effects of treatment and medical late effects which
impact normal sleep development. Using an indicator of clinically significant
insomnia (sleep efficiency), we examined a group of adult survivors of childhood
cancer to (1) describe clinical insomnia rates, (2) identify physical and
psychological correlates of insomnia, and (3) investigate the frequency with
which sleep issues were evaluated during a cancer survivorship medical visit.
METHODS: A total of 122 adult survivors of childhood cancer completed standard
measures of sleep, psychological distress, and health-related quality of life.
Medical records of the 75 survivors with a survivorship medical visit on the day
of self-report measure completion were reviewed for documentation of sleep
related issues. RESULTS: Twenty-eight percent of participants endorsed sleep
efficiency below 85 %, indicating clinically significant insomnia. Insomnia was
associated with poor physical health and anxiety but not with demographic or
cancer treatment variables. Medical providers failed to document sleep in visit
notes for 67 % of patients with self-reported insomnia. CONCLUSIONS: A
significant proportion of adult survivors of childhood cancer report insomnia,
which is associated with physical and psychological health. Few survivors with
insomnia discuss this issue with oncology providers during survivorship care.
There is a clear need to screen for insomnia in this population. Patients and
providers should take greater responsibility for discussing sleep issues and
seeking out proper treatment referrals when it is identified.
PMID- 24935651
TI - Structure of a tractable stochastic mimic of soft particles.
AB - The structure and assembly of soft particles is difficult to characterize because
their interpenetrability allows them to be packed at ever higher density albeit
with an increasing penalty in energy and/or pressure. Alternatively, the use of
impenetrable particles (such as hard spheres) as a reference model for soft
particles can fail because the packing densities are limited by the impossibility
of complete space filling. We recently introduced the stochastic penetration
algorithm (SPA) so as to allow for the computationally efficient integration of
hard sphere models while including overlaps seen in soft interactions [Craven et
al., J. Chem. Phys., 2013, 138, 244901]. Moving beyond the initial one
dimensional case studied earlier, we now consider the spatial properties of
systems of stochastically penetrable spheres in dimensions d<= 3 through the use
of molecular dynamics simulations and analytic methods. The stochastic potential
allows spheres to either interpenetrate with a probability delta or collide
elastically otherwise. For delta > 0 the particles interpenetrate (overlap),
reducing the effective volume occupied by the particles in the system. We find
that the occupied volume can be accurately predicted using analytic expressions
derived from mean field arguments for the particle overlap probabilities with the
exception of an observed clustering regime. This anomalous clustering behavior
occurs at high densities and small delta. We find that this regime is coincident
with that observed in deterministic penetrable models. The behavior of the
stochastic penetrable particles also indicates that soft particles would be
characterizable through a single reduced parameter that captures their overlap
probability.
PMID- 24935650
TI - A recombinant adenovirus-based vector elicits a specific humoral immune response
against the V3 loop of HIV-1 gp120 in mice through the "Antigen Capsid
Incorporation" strategy.
AB - BACKGROUND: Due to potential advantages, human adenoviral vectors have been
evaluated pre-clinically as recombinant vaccine vectors against several cancers
and infectious diseases, including human immunodeficiency virus (HIV) infection.
The V3 loop of HIV-1 glycoprotein 120 (gp120) contains important neutralizing
epitopes and plays key roles in HIV entry and infectivity. METHODS: In order to
investigate the humoral immune response development against portions of the V3
loop, we sought to generate four versions of adenovirus (Ad)-based V3 vectors by
incorporating four different antigen inserts into the hypervariable region 1
(HVR1) of human adenovirus type 5 (hAd5) hexon. The strategy whereby antigens are
incorporated within the adenovirus capsid is known as the "Antigen Capsid
Incorporation" strategy. RESULTS: Of the four recombinant vectors, Ad-HVR1-lgs
His6-V3 and Ad-HVR1-long-V3 had the capability to present heterologous antigens
on capsid surface, while maintaining low viral particle to infectious particle
(VP/IP) ratios. The VP/IP ratios indicated both high viability and stability of
these two vectors, as well as the possibility that V3 epitopes on these two
vectors could be presented to immune system. Furthermore, both Ad-HVR1-lgs-His6
V3 and Ad-HVR1-long-V3 could, to some extent escape the neutralization by anti
adenovirus polyclonal antibody (PAb), but rather not the immunity by anti-gp120
(902) monoclonal antibody (MAb). The neutralization assay together with the whole
virus enzyme-linked immunosorbent assay (ELISA) suggested that these two vectors
could present V3 epitopes similar to the natural V3 presence in native HIV
virions. However, subsequent mice immunizations clearly showed that only Ad-HVR1
lgs-His6-V3 elicited strong humoral immune response against V3. Isotype ELISAs
identified IgG2a and IgG2b as the dominant IgG isotypes, while IgG1 comprised the
minority. CONCLUSIONS: Our findings demonstrated that human adenovirus (hAd)
vectors which present HIV antigen via the "Antigen Capsid-Incorporation" strategy
could successfully elicit antigen-specific humoral immune responses, which could
potentially open an avenue for the development of Ad-based HIV V3 vaccines.
PMID- 24935652
TI - Endobronchial ultrasound-guided transbronchial needle biopsy for the diagnosis of
intrathoracic lymph node metastases from extrathoracic malignancies: a meta
analysis and systematic review.
AB - Intrathoracic lymph node metastases in patients with extrathoracic malignancies
are a common clinical manifestation. Several studies evaluating intrathoracic
lymph node metastases in patients with extrathoracic malignancy by using the
endobronchial ultrasound-guided transbronchial needle aspiration (EBUS-TBNA) have
been reported. The objective of this meta-analysis is to investigate the
diagnostic value of EBUS-TBNA for diagnosing intrathoracic lymph node metastases
in patients with extrathoracic malignancies. We systematically searched Cochrane
Library, Medline and Embase for relevant studies published prior to May 2013.
Studies specifically designed to evaluate the diagnostic accuracy of EBUS-TBNA
for intrathoracic lymph node metastases in patients with an extrathoracic
malignancy were selected. Diagnostic accuracy meta-analysis was conducted by
pooling estimates of sensitivity, specificity, negative likelihood ratio (NLR),
positive likelihood ratio (PLR) and diagnostic odds ratios (DOR) derived from a
summary receiver operating characteristic (SROC) analysis of the original
studies. Six studies were included, which provided a dataset of 533 patients.
EBUS-TBNA pooled estimates had 0.85 sensitivity (95% confidence interval (CI):
0.80-0.89), 0.99 specificity (95% CI: 0.95-1.00), PLR 28.63 (95% CI: 11.51-71.22)
and NLR 0.16 (95% CI: 0.12-0.21). The overall DOR was 179.77 (95% CI: 66.29
487.50). The area under the SROC curve and the diagnostic accuracy were 0.9247
and 0.8588, respectively. Evidence gathered from studies of moderate quality
reveals a high degree of diagnostic accuracy of EBUS-TBNA for diagnosing
intrathoracic lymph node metastases in patients with extrathoracic malignancies.
PMID- 24935653
TI - Rescue bedside laparotomy in the intensive care unit in patients too unstable for
transport to the operating room.
AB - INTRODUCTION: The prognoses of critically ill patients with a requirement for
emergency laparotomy and severe respiratory and/or hemodynamic instability
precluding transport to the operating room (OR) are often fatal without surgery.
Attempting emergency surgery at the bedside might equally result in an adverse
outcome. However, risk factors and predictors that could support clinical
decision making have not been identified so far. This study describes the
clinical characteristics, indicative pathophysiology and outcomes in patients
undergoing resuscitative laparotomy in the intensive care unit (ICU). METHODS:
This was a retrospective observational study of all critically ill adult patients
undergoing resuscitative laparotomy in the ICUs of a German university hospital
from January 2005 to July 2013. Clinical characteristics, risk factors, and
treatments were compared between survivors and non-survivors. The primary
endpoint was 28-day survival. RESULTS: A total of 41 patients with a median age
of 64 (21 to 83) were included. The most frequent reasons for ICU admission were
sepsis, pneumonia, and pancreatic surgery. All patients were mechanically
ventilated, receiving vasopressors, and were in multiple organ failure. Twenty
nine patients (70.7%) were on renal replacement therapy and two patients (4.9%)
on extracorporeal membrane oxygenation. The main reasons for surgery were
suspected intra-abdominal bleeding (39.0%), suspected intestinal ischemia (24.4%)
or abdominal compartment syndrome (24.4%). Twenty-eight-day, ICU and hospital
mortalities were 75.6%, 80.5%, and 82.9%, respectively. In six out of ten
patients (60%) who survived surgery for more than 28 days, bedside laparotomy was
rated as a life-saving procedure by an interdisciplinary group of the
investigators. CONCLUSIONS: These findings suggest that in selected critically
ill patients with a vital indication for emergency laparotomy and severe
cardiopulmonary instability precluding transport to the OR, a bedside
resuscitative laparotomy in the ICU can be considered as a rescue procedure, even
though very high mortality is to be expected.
PMID- 24935656
TI - Synthesis and luminescence resonance energy transfer based on noble metal
nanoparticles and the NaYF4:Tb3+ shell.
AB - A core-shell hybrid nanostructure was prepared by combining NaYF4 doped with the
lanthanide dopant Tb(3+) as shell layer materials and noble metal nanoparticles
(Au and Ag) as cores. For the core-shell system, the luminescence resonance
energy transfer (LRET) was demonstrated, in which noble metal nanoparticles as
fluorescence quenchers can absorb the emission energy of the alpha-NaYF4:Tb(3+)
donor. The morphology, structure, composition and properties of the as-prepared
samples were characterized by X-ray powder diffraction (XRD), transmission
electron microscopy (TEM), energy dispersive spectroscopy (EDS), X-ray
photoelectron spectroscopy (XPS), UV-Vis absorption and photoluminescence (PL)
spectroscopy, respectively. In the Au/Ag@NaYF4:Tb(3+) system, it is observed that
the plasmonic absorption bands of gold or silver nanoparticles overlap with the
emission bands of (5)D4->(7)F(j) (j = 6, 5) transition of the Tb(3+) ions, and
the emission intensity of the (5)D4->(7)F5 transition is clearly attenuated. The
photoluminescence decay curve measurements show that the lifetimes of the (5)D4
>(7)F6 and (5)D4->(7)F5 transitions of Tb(3+) are slightly decreased in the
presence of gold or silver cores. The quenching efficiency of the gold and silver
nanoparticles implies that the efficiency of energy transfer is highly dependent
on the extent of spectral overlap in the LRET system.
PMID- 24935657
TI - Polyadenylation of Friend murine leukemia virus env-mRNA is affected by its
splicing.
AB - As splicing was previously found to be important for increasing Friend murine
leukemia virus env-mRNA stability and translation, we investigated whether
splicing of env-mRNA affected the poly(A) tail length using env expression
vectors that yielded unspliced or spliced env-mRNA. Incomplete polyadenylation
was detected in a fraction of the unspliced env-mRNA products in an env gene
dependent manner, showing that splicing of Friend murine leukemia virus plays an
important role in the efficiency of complete polyadenylation of env-mRNA. These
results suggested that the promotion of complete polyadenylation of env-mRNA by
splicing might partially explain up-regulation of Env protein expression as a
result of splicing.
PMID- 24935654
TI - Progress and prospects for engineered T cell therapies.
AB - Proof-of-concept studies have demonstrated the therapeutic potential of
engineered T cells. Transfer of recombinant antigen-specific T cell receptors
(TCR) and chimaeric antigen receptors (CARs) against tumour and viral antigens
are under investigation by multiple approaches, including viral- and nonviral
mediated gene transfer into both autologous and allogeneic T cell populations.
There have been notable successes recently using viral vector-mediated transfer
of CARs specific for B cell antigens, but also reports of anticipated and
unanticipated complications in these and other studies. We review progress in
this promising area of cellular therapy, and consider developments in antigen
receptor therapies including the application of emerging gene-editing
technologies.
PMID- 24935658
TI - Advancing the application of systems thinking in health: South African examples
of a leadership of sensemaking for primary health care.
AB - BACKGROUND: New forms of leadership are required to bring about the fundamental
health system changes demanded by primary health care (PHC). Using theory about
complex adaptive systems and policy implementation, this paper considers how
actors' sensemaking and the exercise of discretionary power currently combine to
challenge PHC re-orientation in the South African health system; and provides
examples of leadership practices that promote sensemaking and power use in
support of PHC. METHODS: The paper draws on observational, interview, and
reflective data collected as part of the District Innovation and Action Learning
for Health Systems Development (DIALHS) project being implemented in Cape Town,
South Africa. Undertaken collaboratively between health managers and researchers,
the project is implemented through cycles of action-learning, including
systematic reflection and synthesis. It includes a particular focus on how local
health managers can better support front line facility managers in strengthening
PHC. RESULTS: The results illuminate how the collective understandings of staff
working at the primary level - of their working environment and changes within it
- act as a barrier to centrally-led initiatives to strengthen PHC. Staff often
fail to take ownership of such initiatives and experience them as disempowering.
Local area managers, located between the centre and the service frontline, have a
vital role to play in providing a leadership of sensemaking to mediate these
challenges. Founded on personal values, such leadership entails, for example,
efforts to nurture PHC-aligned values and mind-sets among staff; build
relationships and support the development of shared meanings about change; instil
a culture of collective inquiry and mutual accountability; and role-model
management practices, including using language to signal meaning. CONCLUSIONS:
PHC will only become a lived reality within the South African health system when
frontline staff are able to make sense of policy intentions and incorporate them
into their everyday routines and practices. This requires a leadership of
sensemaking that enables front line staff to exercise their collective
discretionary power in strengthening PHC. We hope this theoretically-framed
analysis of one set of experiences stimulates wider thinking about the leadership
needed to sustain primary health care in other settings.
PMID- 24935659
TI - Endogenous inhibition of hippocampal LTD and depotentiation by vasoactive
intestinal peptide VPAC1 receptors.
AB - Vasoactive intestinal peptide (VIP), an important modulator of hippocampal
synaptic transmission, influences exploration and hippocampal-dependent learning
in rodents. Homosynaptic long-term depression (LTD) and depotentiation are two
plasticity phenomena implicated in learning of behavior flexibility and spatial
novelty detection. In this study, we investigated the influence of endogenous VIP
on LTD and depotentiation induced by low-frequency stimulation (1 Hz, 900 pulses)
of the hippocampal CA1 area in vitro in juvenile and young adult rats,
respectively. LTD and depotentiation were enhanced by the VIP receptor antagonist
Ac-Tyr(1) , D-Phe(2) GRF (1-29), and the selective VPAC1 receptor antagonist, PG
97-269, but not the selective VPAC2 receptor antagonist, PG 99-465. This action
was mimicked by an anti-VIP antibody, suggesting that VIP, and not pituitary
adenylate cyclase-activating polypeptide (PACAP), is the endogenous mediator of
these effects. Selective inhibition of PAC1 receptors with PACAP (6-38) enhanced
depotentiation, but not LTD. VPAC1 receptor blockade also revealed LTD in young
adult rats, an effect abolished by the GABAA antagonist bicuculline, evidencing
an involvement of GABAergic transmission. We conclude that inhibition of LTD and
depotentiation by endogenous VIP occurs through VPAC1 receptor-mediated
mechanisms and suggest that disinhibition of pyramidal cell dendrites is the most
likely physiological mechanism underlying this effect. As such, VPAC1 receptor
ligands may be considered promising pharmacological targets for treatment of
cognitive dysfunction in diseases involving altered GABAergic circuits and
pathological saturation of LTP/LTD like Down's syndrome and temporal lobe
epilepsy.
PMID- 24935660
TI - Simple and comminuted displaced olecranon fractures: a clinical comparison
between tension band wiring and plate fixation techniques.
AB - INTRODUCTION: The purpose of this retrospective study is to compare the clinical,
functional outcome and complications occurrence between tension band wiring (TBW)
and plate fixation both for simple and comminuted displaced olecranon fractures.
MATERIALS AND METHODS: Between January 2005 and June 2012 (minimum 1-year follow
up), 78 consecutive patients with Mayo type IIA and IIB fractures were treated
with the following methods: tension band wire or plate and screws fixation. The
primary outcome of this study was the functional outcome, assessed by the
following self-administered evaluation scales: the disabilities of the arm,
shoulder and hand, and the Mayo Elbow Performance Score. Secondary outcome
measures included the assessment of pain level, analysis of passive range of
motion, and the occurrence of any early or late complications. RESULTS: Comparing
the clinical results between the two groups, at mean 33 months follow-up, no
significant differences in the functional and clinical outcome were observed.
Complications were reported in 48 and 17 % of cases, following TBW and plate
fixation in patients treated for type IIA fractures, and similarly in 40 and 23 %
of cases in type IIB fractures, respectively. Hardware removal was more
frequently performed in TBW group: 38 versus 17 % for type IIA fractures and 20
versus 6 % for type IIB fractures. CONCLUSIONS: The findings of this study
indicate that both with the use of TBW and plate fixation excellent/good clinical
outcomes with minimal loss of physical capacity, little pain and disability can
be obtained in the majority of patients with simple and comminuted displaced
olecranon fractures. Hardware removal was most frequently observed after TBW.
PMID- 24935661
TI - Grip force monitoring on the hand: Manugraphy system versus Jamar dynamometer.
AB - INTRODUCTION: For clinical grip force assessment, the Jamar dynamometer is a wide
accepted tool. Users have to be aware that this method does not represent all
grip efforts applied. The Manugraphy system is a tool that measure total grip
force as well as identify load distribution patterns of the hand while gripping
cylinders wrapped with calibrated capacitive matrix sensor mats. The aim of this
study was to validate an assessment setting of the Manugraphy system for clinical
use. Further, the relationship and difference between the Manugraphy system and
the Jamar dynamometer were investigated. MATERIALS AND METHODS: At two study
centers, 152 healthy volunteers performed grip force tests with a digital Jamar
dynamometer using handle positions 3 and 4 and the novel((r)) Manugraphy system
using two cylinders with circumferences of 150 and 200 mm. The subjects performed
grip force testing with both devices on three different days. The intra- and
inter-day variability for both methods was evaluated. To compare the values of
both systems, the Spearman correlation coefficient was calculated. RESULTS: The
force values, as measured by the sensor matrix, were higher than those of the
Jamar dynamometer. Analyses showed significant positive correlations between
values obtained by the two measurement methods (p < 0.001). There was no
significant inter-day variation for the 200-mm cylinder of the Manugraphy system.
For the 150-mm cylinder, a significant variation was observed at center B, but
not at A. Nevertheless, the fluctuation of the grip force values obtained with
the Manugraphy system was equal or better than those obtained with the Jamar
dynamometer. CONCLUSIONS: The force values, obtained using the two systems, have
a high correlation but are not directly comparable. Both systems allow valid and
constant grip force measurement. As the sensor mat detects all forces applied
perpendicularly to the cylinder surface, it characterizes grip force better than
the Jamar dynamometer. In addition, information about load distribution of the
hand is gained.
PMID- 24935662
TI - Comparison of outside-in and inside-out technique for tibial fixation of a soft
tissue graft in ACL reconstruction using the Shim technique.
AB - INTRODUCTION: The aim of this study was to compare the biomechanical properties
of tibial fixation of a free tendon graft in ACL reconstruction using the Shim, a
new wedge-shaped implant, in an outside-in technique to fixation by the Shim used
in an inside-out technique and fixation by interference screw in a porcine model.
MATERIALS AND METHODS: Porcine tibia and flexor tendons were used. In Group 1,
the Shim was applied outside-in. In Group 2, the Shim was inserted inside-out. In
the Group 3, an 8-mm interference screw was used. Ten specimens were tested in
each group. Load-to-failure, elongation, stiffness and failure mode were
recorded. Cyclic loading was performed between 5 and 250 N for 1,000 cycles,
followed by a load to failure testing. RESULTS: Mean maximum load-to-failure was
629.53 N in Group 1,648.54 N in Group 2 and 749.53 N in Group 3. There was no
significant difference between the groups. Stiffness varied between 127.34 N/mm
in Group 1, 151.27 N/mm in Group 2 and 182.25 N/mm in Group 3. No significant
differences were found between outside-in Shim and interference screw fixation.
No significant difference was found for elongation among the three groups. The
main failure mode was a rupture of the tendon in the IFS group and a slippage of
either the implant or the tendon in both groups using the Shim. CONCLUSIONS: As
no statistically significant difference could be seen concerning load to failure,
stiffness and elongation between the inside-out and the outside-in techniques,
the Shim can be used for tibial fixation in an outside-in or inside-out technique
depending on the preference of the surgeon. To prevent slippage of the graft a
hybrid fixation should be considered.
PMID- 24935663
TI - Results of operative treatment for recalcitrant retrocalcaneal bursitis and
midportion Achilles tendinopathy in athletes.
AB - BACKGROUND: The results of operative treatment for recalcitrant midportion
Achilles tendinopathy and recalcitrant retrocalcaneal bursitis were evaluated
using the patient administered, disease specific, and validated VISA-A-G
questionnaire. METHODS: A cohort of 89 patients was prospectively followed. These
patients underwent operations for sport induced midportion Achilles tendinopathy
(39 procedures) or retrocalcaneal bursitis (55 procedures). Depending on the
individual intraoperative findings the patients of either disease were treated
with two respective operative modifications (tendon repair or no tendon repair).
Preoperative and follow-up status (3, 6, and 12 months) were investigated using
the VISA-A-G questionnaire. RESULTS: Preoperatively, the four groups scored from
37.0 +/- 17.6 to 45.9 +/- 15.2 (p = 0.376-0.993) on the VISA-A-G questionnaire.
Six and 12 months postoperatively, the VISA-A-G scores improved significantly (p
< 0.001). Twelve months postoperatively, the groups' scores were not different (p
= 0.100-0.952) and ranged from 80.8 +/- 17.9 to 90.3 +/- 10.6. CONCLUSION:
Retrocalcaneal bursitis and midportion Achilles tendinopathy responded equally
well to operative treatment. When repaired, additional tendon lesions did not
influence this result. We demand to differentiate not only between midportion
Achilles tendinopathy and retrocalcaneal bursitis but also to identify additional
Achilles tendon lesions to specifically address these lesions during operative
procedures.
PMID- 24935665
TI - Ecological dynamics of continuous and categorical decision-making: the regatta
start in sailing.
AB - Ecological dynamics of decision-making in the sport of sailing exemplifies
emergent, conditionally coupled, co-adaptive behaviours. In this study,
observation of the coupling dynamics of paired boats during competitive sailing
showed that decision-making can be modelled as a self-sustained, co-adapting
system of informationally coupled oscillators (boats). Bytracing the spatial
temporal displacements of the boats, time series analyses (autocorrelations,
periodograms and running correlations) revealed that trajectories of match racing
boats are coupled more than 88% of the time during a pre-start race, via
continuous, competing co-adaptions between boats. Results showed that both the
continuously selected trajectories of the sailors (12 years of age) and their
categorical starting point locations were examples of emergent decisions. In this
dynamical conception of decision-making behaviours, strategic positioning
(categorical) and continuous displacement of a boat over the course in match-race
sailing emerged as a function of interacting task, personal and environmental
constraints. Results suggest how key interacting constraints could be manipulated
in practice to enhance sailors' perceptual attunement to them in competition.
PMID- 24935667
TI - The dog as a genetic model for immunoglobulin A (IgA) deficiency: identification
of several breeds with low serum IgA concentrations.
AB - Immunoglobulin A (IgA) serves as the basis of the secretory immune system by
protecting the lining of mucosal sites from pathogens. In both humans and dogs,
IgA deficiency (IgAD) is associated with recurrent infections of mucosal sites
and immune-mediated diseases. Low concentrations of serum IgA have previously
been reported to occur in a number of dog breeds but no generally accepted cut
off value has been established for canine IgAD. The current study represents the
largest screening to date of IgA in dogs in terms of both number of dogs (n=1267)
and number of breeds studied (n=22). Serum IgA concentrations were quantified by
using capture ELISA and were found to vary widely between breeds. We also found
IgA to be positively correlated with age (p<0.0001). Apart from the two breeds
previously reported as predisposed to low IgA (Shar-Pei and German shepherd), we
identified six additional breeds in which >= 10% of all tested dogs had very low
(<0.07 g/l) IgA concentrations (Hovawart, Norwegian elkhound, Nova Scotia duck
tolling retriever, Bullterrier, Golden retriever and Labrador retriever). In
addition, we discovered low IgA concentrations to be significantly associated
with canine atopic dermatitis (CAD, p<0.0001) and pancreatic acinar atrophy (PAA,
p=0.04) in German shepherds.
PMID- 24935666
TI - Occupational exposure to extremely low-frequency magnetic fields and brain tumor
risks in the INTEROCC study.
AB - BACKGROUND: Occupational exposure to extremely low-frequency magnetic fields
(ELF) is a suspected risk factor for brain tumors, however the literature is
inconsistent. Few studies have assessed whether ELF in different time windows of
exposure may be associated with specific histologic types of brain tumors. This
study examines the association between ELF and brain tumors in the large-scale
INTEROCC study. METHODS: Cases of adult primary glioma and meningioma were
recruited in seven countries (Australia, Canada, France, Germany, Israel, New
Zealand, and the United Kingdom) between 2000 and 2004. Estimates of mean workday
ELF exposure based on a job exposure matrix were assigned. Estimates of
cumulative exposure, average exposure, maximum exposure, and exposure duration
were calculated for the lifetime, and 1 to 4, 5 to 9, and 10+ years before the
diagnosis/reference date. RESULTS: There were 3,761 included brain tumor cases
(1,939 glioma and 1,822 meningioma) and 5,404 population controls. There was no
association between lifetime cumulative ELF exposure and glioma or meningioma
risk. However, there were positive associations between cumulative ELF 1 to 4
years before the diagnosis/reference date and glioma [odds ratio (OR) >= 90th
percentile vs. < 25th percentile, 1.67; 95% confidence interval (CI), 1.36-2.07;
PLinear trend < 0.0001], and, somewhat weaker associations with meningioma (OR >=
90th percentile vs. < 25th percentile, 1.23; 95% CI, 0.97-1.57; PLinear trend =
0.02). CONCLUSIONS: Results showed positive associations between ELF in the
recent past and glioma. IMPACT: Occupational ELF exposure may play a role in the
later stages (promotion and progression) of brain tumorigenesis.
PMID- 24935668
TI - Cell growth and resistance of Lactococcus lactis subsp. lactis TOMSC161 following
freezing, drying and freeze-dried storage are differentially affected by
fermentation conditions.
AB - AIMS: To investigate the effects of fermentation parameters on the cell growth
and on the resistance to each step of the freeze-drying process of Lactococcus
lactis subsp. lactis TOMSC161, a natural cheese isolate, using a response surface
methodology. METHODS AND RESULTS: Cells were cultivated at different temperatures
(22, 30 and 38 degrees C) and pH (5.6, 6.2 and 6.8) and were harvested at
different growth phases (0, 3 and 6 h of stationary phase). Cultivability and
acidification activity losses of Lc. lactis were quantified after freezing,
drying, 1 and 3 months of storage at 4 and 25 degrees C. Lactococcus lactis was
not damaged by freezing but was sensitive to drying and to ambient temperature
storage. Moreover, the fermentation temperature and the harvesting time
influenced the drying resistance of Lc. lactis. CONCLUSIONS: Lactococcus lactis
cells grown in a whey-based medium at 32 degrees C, pH 6.2 and harvested at late
stationary phase exhibited both an optimal growth and the highest resistance to
freeze-drying and storage. SIGNIFICANCE AND IMPACT OF THE STUDY: A better insight
on the individual and interaction effects of fermentation parameters made it
possible the freeze-drying and storage preservation of a sensitive strain of
technological interest. Evidence on the particularly damaging effect of the
drying step and the high-temperature storage is presented.
PMID- 24935669
TI - Incidence of oral cancer in Western Australia (1982-2009): Trends and regional
variations.
AB - AIM: Oral cancer remains a significant issue for many communities of the world.
In Australia, there are approximately 2000 new diagnoses each year, and the rates
are growing. The divide between city and rural, rich and poor, is, in many
countries, found to be linked to the incidence of oral cancer. The aim of this
study was to determine the trends in the incidence of oropharyngeal cancer
(specifically cancer of the parotid and major salivary glands, pharynx, and
tongue) in Western Australia over a 27-year period, from 1982 to 2009, and
determine the geographic distribution of incidence within the state. METHODS: The
de-identified data were provided by the Western Australian Cancer Registry, as
oral cancer is a notifiable condition in Australia. RESULTS: There were a total
of 2801 cases reported with pharynx, tongue, major salivary glands and parotid
cancers over a 27-year period: 73.2 percent were male and 26.8 percent were
female. The age-standardized incidence rate was 67.4 per 100 000 persons per
annum for pharyngeal cancer, 54.1 for tongue cancer, 22.2 for parotid gland
cancer and 5.5 for major salivary gland cancer. The age-standardized rates for
pharyngeal and tongue cancer (but not parotid) were higher in country areas of
Western Australia than in the metropolitan areas. The burden of some site
specific oral cancers is continuing to rise. An increasing trend with older age
is also consistent throughout the study period. CONCLUSION: This study finds that
the incidence of oral cancers in Western Australia is not inconsistent with other
parts of Australia and fundamentally shows there is a rural-urban difference for
oral cancer.
PMID- 24935670
TI - A new approach for efficient genotype imputation using information from
relatives.
AB - BACKGROUND: Genotype imputation can help reduce genotyping costs particularly for
implementation of genomic selection. In applications entailing large populations,
recovering the genotypes of untyped loci using information from reference
individuals that were genotyped with a higher density panel is computationally
challenging. Popular imputation methods are based upon the Hidden Markov model
and have computational constraints due to an intensive sampling process. A fast,
deterministic approach, which makes use of both family and population
information, is presented here. All individuals are related and, therefore, share
haplotypes which may differ in length and frequency based on their relationships.
The method starts with family imputation if pedigree information is available,
and then exploits close relationships by searching for long haplotype matches in
the reference group using overlapping sliding windows. The search continues as
the window size is shrunk in each chromosome sweep in order to capture more
distant relationships. RESULTS: The proposed method gave higher or similar
imputation accuracy than Beagle and Impute2 in cattle data sets when all
available information was used. When close relatives of target individuals were
present in the reference group, the method resulted in higher accuracy compared
to the other two methods even when the pedigree was not used. Rare variants were
also imputed with higher accuracy. Finally, computing requirements were
considerably lower than those of Beagle and Impute2. The presented method took 28
minutes to impute from 6 k to 50 k genotypes for 2,000 individuals with a
reference size of 64,429 individuals. CONCLUSIONS: The proposed method
efficiently makes use of information from close and distant relatives for
accurate genotype imputation. In addition to its high imputation accuracy, the
method is fast, owing to its deterministic nature and, therefore, it can easily
be used in large data sets where the use of other methods is impractical.
PMID- 24935671
TI - Photoperiodic co-regulation of kisseptin, neurokinin B and dynorphin in the
hypothalamus of a seasonal rodent.
AB - In many species, sexual activity varies on a seasonal basis. Kisspeptin (Kp), a
hypothalamic neuropeptide acting as a strong activator of gonadotrophin-releasing
hormone neurones, plays a critical role in this adaptive process. Recent studies
report that two other neuropeptides, namely neurokinin B (NKB) and dynorphin
(DYN), are co-expressed with Kp (and therefore termed KNDy neurones) in the
arcuate nucleus and that these peptides are also considered to influence GnRH
secretion. The present study aimed to establish whether hypothalamic NKB and DYN
expression is photoperiod-dependent in a seasonal rodent, the Syrian hamster,
which exhibits robust seasonal rhythms in reproductive activity. The majority of
Kp neurones in the arcuate nucleus co-express NKB and DYN and the expression of
all three peptides is decreased under a short (compared to long) photoperiod,
leading to a 60% decrease in the number of KNDy neurones under photo-inhibitory
conditions. In seasonal rodents, RFamide-related peptide (RFRP) neurones of the
dorsomedial hypothalamus are also critical for seasonal reproduction.
Interestingly, NKB and DYN are also expressed in the dorsomedial hypothalamus but
do not co-localise with RFRP-immunoreactive neurones, and the expression of both
NKB and DYN is higher under a short photoperiod, which is opposite to the short
day inhibition of RFRP expression. In conclusion, the present study shows that
NKB and DYN display different photoperiodic variations in the Syrian hamster
hypothalamus. In the arcuate nucleus, NKB and DYN, together with Kp, are down
regulated under a short photoperiod, whereas, in the dorsomedial hypothalamus,
NKB and DYN are up-regulated under a short photoperiod.
PMID- 24935672
TI - Meta-analysis to assess the quality of international normalized ratio control and
associated outcomes in venous thromboembolism patients.
AB - INTRODUCTION: Patients with venous thromboembolism (VTE) frequently require
vitamin K antagonists (VKAs) to prevent recurrent events, but their use increases
hemorrhage risk. We performed a meta-analysis to assess the quality of
international normalized ratio (INR) control, identify study-level predictors of
poor control and to examine the relationship between INR control and adverse
outcomes in VTE patients. MATERIALS AND METHODS: We searched bibliographic
databases (1990-June 2013) for studies of VTE patients receiving adjusted-dose
VKAs that reported time in range (2.0-3.0) or proportion of INRs in range and/or
reported INR measurements coinciding with thromboembolic or hemorrhagic events.
Meta-analysis and meta-regression analysis was performed. RESULTS: Upon meta
analysis, studies found 59% (95%CI: 54-64%) of INRs measured and 61% (95%CI: 59
63%) of the time patients were treated were spent outside the target range of 2.0
3.0; with a tendency for under- versus over-anticoagulation. Moreover, this poor
INR control resulted in a greater chance of recurrent VTE (beta-coefficient=
0.46, p=0.01) and major bleeding (beta-coefficient=-0.30, p=0.02). Patients with
an INR<2.0 made up 58% (95%CI: 39-77%) of VTE cases, while those with an INR>3.0
made up 48% (95%CI: 34-61%) of major hemorrhage cases. Upon meta-regression,
being VKA-naive (-14%, p=0.04) and treated in the community (-7%, p<0.001) were
associated with less time in range, while being treated in Europe/United Kingdom
(compared to North America) was associated with (11%, p=0.003) greater time.
CONCLUSIONS: Strategies to improve INR control or alternative anticoagulants,
including the newer oral agents, should be widely implemented in VTE patients to
reduce the rate of recurrent events and bleeding.
PMID- 24935673
TI - The knee in severe haemophilia with special emphasis on surgical/invasive
procedures.
AB - Close collaboration between haematologists, orthopaedic surgeons, rehabilitation
physicians, pediatricians, pharmacist, radiologist, pain specialist,
psychologists, physiotherapists and nurses is essential for the proper handling
of knee problems in haemophiliac patients. The ideal scenario would be for the
primary prophylaxis (prevention of the disease) to prevent major degenerative
changes that we still see so frequently in this type of patients. Until we
achieve this we will continue having to perform multiple invasive orthopaedic
procedures, such as articular punctures (joint aspiration) to drain
haemarthrosis, radiosynovectomies, arthroscopic synovectomies, tendon
lenghtenings, realignment osteotomies and total knee replacements (primary and
revision) on the knees of people with haemophilia. As a result of this, we will
improve the quality of life of haemophiliac patients with orthopaedic knee
problems. The rate of potential complications following knee orthopaedic
procedures, especially in patients with inhibitors, must not be underestimated.
PMID- 24935674
TI - Verification of the guidelines for lupus anticoagulant detection: usefulness of
index for circulating anticoagulant in APTT mixing test.
AB - INTRODUCTION: Lupus anticoagulant (LA) is an antibody that interferes with one or
more in vitro coagulation reactions, which are dependent on interactions with
protein-phospholipid complexes. For LA diagnosis, a mixing test is considered
useful for differentiating the inhibitor from a factor deficiency. However, the
usefulness and the index of circulating anticoagulant (ICA) in a mixing test with
activated partial thromboplastin time (APTT) has not been adequately
investigated, and there is scant information regarding the effects of warfarin,
heparin, and hemophilia plasma on ICA. We evaluated the usefulness of ICA by
investigating the correlation of that index with international normalized ratio
(INR), heparin concentration, and factor VIII activity in hemophilia patients.
MATERIALS AND METHODS: We examined samples from 28 patients positive for LA, 23
receiving warfarin, 19 receiving unfractionated heparin, and 29 with hemophilia
A, as well as 61 normal samples. APTT-SLA, Actin FSL, APTT-SP, and PTT-LA were
used as reagents in this study. RESULTS: The correlation coefficient values
between ICA and INR, heparin concentration, and factor VIII activity ranged from
0.031-0.342, 0.764-0.843, and 0.564-0.754, respectively, with the 4 reagents. The
ICA values for the LA-positive samples were significantly higher than for the
normal, warfarin, heparin, and hemophilia samples with all APTT reagents. Samples
with a high heparin concentration above approximately 0.5U/ml showed ICA values
greater than 15. CONCLUSION: ICA was able to distinguish LA-positive samples from
the normal, warfarin, and hemophilia samples, but not heparin samples. ICA
calculated from APTT clotting time is useful for LA diagnosis.
PMID- 24935675
TI - Cost-effectiveness of apixaban versus warfarin and aspirin in Sweden for stroke
prevention in patients with atrial fibrillation.
AB - INTRODUCTION: Atrial fibrillation (AF), one of the major risk factors for stroke,
imposing a substantial burden to the Swedish health care system. Apixaban has
demonstrated superiority to warfarin and aspirin in stroke prevention amongst
patients with AF in two large randomised clinical trials. The aim of this study
was to assess the economic implications of apixaban against warfarin and aspirin
in these patients from a Swedish societal perspective. MATERIALS AND METHODS: A
Markov cohort model was constructed to characterise the consequences of
anticoagulant treatment with regards to thromboembolic and bleeding events, as
well as the associated health care costs, life-years and quality-adjusted life
years (QALYs) for patients with AF treated with apixaban, warfarin or aspirin.
Incremental cost-effectiveness ratios (ICERs) per QALY gained of apixaban
relative to warfarin (among patients suitable for warfarin treatment) and aspirin
(among patients unsuitable for warfarin treatment) were calculated. Costs (in
2011 SEKs) and QALYs were discounted at 3% per annum. RESULTS: The model
estimated the ICER of apixaban versus warfarin amongst patients who are suitable
for warfarin therapy to be SEK 33,458/QALY gained and that of apixaban versus
aspirin amongst those unsuitable for warfarin therapy to be SEK 41,453/QALY
gained. Probabilistic sensitivity analyses indicate that apixaban is an optimal
treatment option compared with warfarin and aspirin, when the willingness-to-pay
is above SEK 35,000 and SEK 45,000 per QALY, respectively. CONCLUSIONS: Apixaban
was found to be a cost-effective alternative to warfarin and aspirin for stroke
prevention in patients with AF in Sweden.
PMID- 24935677
TI - Methionine restriction restores a younger metabolic phenotype in adult mice with
alterations in fibroblast growth factor 21.
AB - Methionine restriction (MR) decreases body weight and adiposity and improves
glucose homeostasis in rodents. Similar to caloric restriction, MR extends
lifespan, but is accompanied by increased food intake and energy expenditure.
Most studies have examined MR in young animals; therefore, the aim of this study
was to investigate the ability of MR to reverse age-induced obesity and insulin
resistance in adult animals. Male C57BL/6J mice aged 2 and 12 months old were fed
MR (0.172% methionine) or control diet (0.86% methionine) for 8 weeks or 48 h.
Food intake and whole-body physiology were assessed and serum/tissues analyzed
biochemically. Methionine restriction in 12-month-old mice completely reversed
age-induced alterations in body weight, adiposity, physical activity, and glucose
tolerance to the levels measured in healthy 2-month-old control-fed mice. This
was despite a significant increase in food intake in 12-month-old MR-fed mice.
Methionine restriction decreased hepatic lipogenic gene expression and caused a
remodeling of lipid metabolism in white adipose tissue, alongside increased
insulin-induced phosphorylation of the insulin receptor (IR) and Akt in
peripheral tissues. Mice restricted of methionine exhibited increased circulating
and hepatic gene expression levels of FGF21, phosphorylation of eIF2a, and
expression of ATF4, with a concomitant decrease in IRE1alpha phosphorylation.
Short-term 48-h MR treatment increased hepatic FGF21 expression/secretion and
insulin signaling and improved whole-body glucose homeostasis without affecting
body weight. Our findings suggest that MR feeding can reverse the negative
effects of aging on body mass, adiposity, and insulin resistance through an FGF21
mechanism. These findings implicate MR dietary intervention as a viable therapy
for age-induced metabolic syndrome in adult humans.
PMID- 24935676
TI - Immune responses in a mouse model of vitiligo with spontaneous epidermal de- and
repigmentation.
AB - To generate a mouse model of spontaneous epidermal depigmentation, parental h3TA2
mice, expressing both a human-derived, tyrosinase-reactive T-cell receptor on T
cells and the matching HLA-A2 transgene, were crossed to keratin 14-promoter
driven, stem cell factor transgenic (K14-SCF) mice with intra-epidermal
melanocytes. In resulting Vitesse mice, spontaneous skin depigmentation precedes
symmetrical and sharply demarcated patches of graying hair. Whereas the SCF
transgene alone dictates a greater retinoic acid receptor-related orphan receptor
gamma (RORgammat)(+) T-cell compartment, these cells displayed markedly increased
IL-17 expression within Vitesse mice. Similar to patient skin, regulatory T cells
were less abundant compared with K14-SCF mice, with the exception of gradually
appearing patches of repigmenting skin. The subtle repigmentation observed likely
reflects resilient melanocytes that coexist with skin-infiltrating, melanocyte
reactive T cells. Similar repigmenting lesions were found in a different TCR
transgenic model of vitiligo developed on an SCF transgenic background,
supporting a role for SCF in repigmentation.
PMID- 24935678
TI - How do psychiatrists address delusions in first meetings in acute care? A
qualitative study.
AB - BACKGROUND: Communicating about delusions can be challenging, particularly when a
therapeutic relationship needs to be established in acute care. So far, no
systematic research has explored how psychiatrists address patients' delusional
beliefs in first meetings in acute care. The aim of this study was to describe
how psychiatrists address patients' delusional experiences in acute in-patient
care. METHODS: First meetings between five psychiatrists and 14 patients in acute
care were audio-recorded and analysed using thematic content analysis. RESULTS:
296 psychiatrist statements about delusions were identified and coded. Three
commonly used approaches (with a total of 6 subthemes) were identified. The most
common approaches were eliciting the content (1 subtheme: eliciting content and
evidence) and understanding the impact (3 subthemes: identifying emotions,
exploring links with dysfunctional behaviour and discussing reasons for hospital
admission) while questioning the validity of the beliefs (2 subthemes:
challenging content and exploring alternative explanations) was less common. The
last approach sometimes put patients in a defensive position. CONCLUSIONS:
Psychiatrists commonly use three approaches to address patients' delusions in the
first meeting in acute in-patient care. Questioning the patients' beliefs can
lead to disagreement which might hinder establishing a positive therapeutic
relationship. Future research should explore the impact of such an approach on
outcomes and specify to what extent questioning the validity of delusional
beliefs is appropriate in the first meeting.
PMID- 24935679
TI - Dual cross-linking ribonucleoprotein immunoprecipitation assay.
AB - Ribonucleoprotein immunoprecipitation (RIP) is an antibody-based method to detect
RNA-protein interactions in situ. In the assay, UV cross-linking is commonly used
to preserve RNA-protein interactions for subsequent target identification. UV
light is a zero-length cross linker and thus identifies proteins directly bound
to RNAs. Here, we describe a dual cross-linking RIP method that involves
sequential protein-protein cross-linking step with a protein-protein cross
linker, followed by protein-RNA fixation by UV irradiation. In this way, proteins
that indirectly bound to RNA can be analyzed.
PMID- 24935680
TI - [Ischemia-reperfusion injury after lung transplantation].
AB - Lung ischemia-reperfusion is characterized by diffuse alveolar damage arising
from the first hours after transplantation. The first etiology of the primary
graft dysfunction in lung is ischemia-reperfusion. It is burdened by an important
morbi-mortality. Lung ischemia-reperfusion increases the oxidative stress,
inactivates the sodium pump, increases the intracellular calcium, leads to
cellular death and the liberation of pro-inflammatory mediators. Researches
relative to the reduction of the lung ischemia-reperfusion injuries are numerous
but few of them found a place in common clinical practice, because of an
insufficient level of proofs. Ex vivolung evaluation is a suitable technique in
order to evaluate therapeutics supposed to limit lung ischemia-reperfusion
injuries.
PMID- 24935681
TI - [What future for carotid stenosis management?].
AB - Surgery remains the first choice intervention in patients with severe symptomatic
carotid stenosis. Stenting is associated with a higher periprocedural risk of
stroke compared with surgery. This excess risk of stroke with stenting mainly
concerns patients older than 70years, whereas the risk seems to be similar in
patients younger than 70years. After the procedural period, both surgery and
stenting appear to be as effective to prevent stroke. Studies are ongoing to
identify patients who benefit most from carotid surgery or stenting. In patients
with asymptomatic carotid stenosis, the risk of first ipsilateral stroke on
medical treatment alone is currently lower (less than 1% per year) than it was at
the time of randomized clinical trials, which showed a modest benefit of carotid
surgery over medical treatment alone. Randomized trials are ongoing to re-assess
the benefit of carotid revascularization in patients with asymptomatic carotid
stenosis. Pending results of these trials, carotid revascularization should
remain a case by case decision, taking into account the individual risk of
ispilateral stroke, the risk of revascularization, the predicted life expectancy,
and patient's preferences.
PMID- 24935682
TI - [Cystic lymphangioma of the ascending mesocolon].
PMID- 24935683
TI - [Bipolar disorder in children and adolescents: a difficult diagnosis].
AB - Bipolar disorder (BD) is a severe mental condition with neurodevelopmental
features that clinically results in pathological fluctuations of mood. Whereas it
was classically or traditionally considered as an adult-onset disorder, recent
findings suggest that BD may occur very early in the life course, thus,
determining what is now called Juvenile bipolar disorder (JBD). One of the
reasons for which JBD has been so difficult to identify is that JBD primary
symptoms vary much from the typical adulthood BD clinical expression. Euphoric
mood is rare in JBD, while irritability mood, aggressive temper, mixed manic
state onset, rapid cycling, anger outbursts and chronic course of symptoms are
much more frequent. This specific clinical presentation makes JBD difficult to
differentiate from other diagnoses related to pathological externalizing
behaviours, including conduct disorder, oppositional provocative disorder, and
attention deficit-hyperactivity disorder.
PMID- 24935684
TI - Splenomegaly in hematological malignancies and portal hypertension.
PMID- 24935685
TI - [Patient education of depression in primary care].
PMID- 24935687
TI - Occurrence of Anisakis simplex sensu stricto in imported Atlantic mackerel
(Scomber scombrus) represents a risk for Turkish consumers.
AB - Anisakid larvae are a prevalent food-borne pathogen that has been found in
numerous fish species destined for human consumption. The accidental consumption
of infected raw or poorly cooked fish may cause gastroenteric diseases and
allergies in humans. In spite of the fact that thorough cooking or freezing kills
Anisakis worms, this method does not destroy their allergenic capacity. The
presence of A. simplex (s.s.) in seafood products may present a health risk for
consumers. In Turkey, Atlantic mackerels are marketed as frozen and mainly
imported from Norway. The aim of this study was to identify the Anisakis species
found in deep-frozen whole Atlantic mackerel (Scomber scombrus) destined for
human consumption in fish markets that imported fish from Norway to Turkey. All
Anisakis larvae isolated from imported Atlantic mackerel were identified via
morphology as third larvae of Anisakis Type I. The ITS region (ITS-1, 5.8S
subunit, ITS-2) was amplified and digested with the restriction enzymes Hinf I
and Hha I. Larvae of the genus Anisakis were identified via PCR-RFLP as belonging
to Anisakis simplex (s.s.), and this was confirmed by sequencing the cox2 gene.
The overall prevalence of Anisakis larvae was 25% (95% confidence limits: 13
41%), and the mean intensity was 19.1 (bootstrap 95% confidence limits: 15.3
25.5). Recognized zoonotic A. simplex (s.s.) larvae found in imported Atlantic
mackerel could represent a risk. Those who consume them could acquire parasitic
allergies. The results will have an important impact on public health risk
assessment in that they suggest reviewing critical control points at the Hazard
Analysis Critical Control Point (HACCP) programmer to reduce the risk of anisakid
induced allergies among consumers. Consequently, the present study provides the
first data regarding the occurrence of A. simplex (s.s.) larvae in imported
Atlantic mackerel in Turkish markets.
PMID- 24935686
TI - Alcohol at bedtime induces minor changes in sleep stages and blood gases in
stable chronic obstructive pulmonary disease.
AB - PURPOSE/BACKGROUND: The purpose of this study is to explore the effect of a
moderate dose of alcohol on sleep architecture and respiration in chronic
obstructive pulmonary disease (COPD). Alcohol depresses both hypercapnic and
hypoxic ventilatory drives in awake, normal individuals and reduces the amount of
rapid eye movement (REM) sleep and oxygen saturation (SpO2) in sleeping COPD
subjects. METHODS: Prospectively designed, open-label interventional study in a
pulmonary rehabilitation hospital. Twenty-six (nine males) stable inpatients,
median forced expiratory volume first second (FEV1) 40.5 % of predicted, median
age 65 years, investigated by polysomnography including transcutaneous
measurement of carbon dioxide pressure increase (DeltaPtcCO2) in randomized order
of either control sleep or intervention with 0.5 g of ethanol/kilogram
bodyweight, taken orally immediately before lights off. RESULTS: Alcohol induced
a mean increase (95 % confidence interval, [CI]) in the mean DeltaPtcCO2 of 0.10
kPa (0.002-0.206, P = 0.047) and a mean decrease (CI) in the REM-sleep percentage
of total sleep time (REM % of TST) of 3.1 % (0.2-6.0), (P = 0.020). Six subjects
with apnea/hypopnea index (AHI) >=15 had fewer apneas/hypopneas during alcohol
versus control sleep (mean reduction of AHI 11 (1-20), P = 0.046). Alcohol-sleep
changes in SpO2, but not in DeltaPtcCO2, correlated with daytime arterial
pressures of carbon dioxide (PaCO2) and oxygen (PaO2). CONCLUSION: Occasional use
of a moderate, bedtime dose of alcohol has only minor respiratory depressant
effects on the majority of COPD subjects, and in a minority even slightly
improves respiration during sleep. However, caution is appropriate as this study
is small and higher doses of alcohol may result in major respiratory depressive
and additional negative health effects.
PMID- 24935688
TI - Preventing adhesion of Escherichia coli O157:H7 and Salmonella Typhimurium LT2 on
tomato surfaces via ultrathin polyethylene glycol film.
AB - This work deals with adhesion of Escherichia coli O157:H7 and Salmonella enterica
subsp. enterica serovar Typhimurium LT2 (S. Typhimurium LT2) on polyethylene
glycol (PEG) coated tomato surfaces. PEG coating was characterized by water
contact angle technique, scanning electron microscopy, and secondary ion mass
spectrometry. It was shown that PEG films could physisorb on the tomato surfaces
after the oxygen plasma treatment, which made some outermost layers of the
surfaces hydrophilic. Bacterial adhesion on PEG coated tomato surface was studied
by standard plate count, fluorescence microscopy, and scanning electron
microscopy techniques. Fully covered PEG film reduced the bacterial attachment
90% or more in comparison to the bare tomato surface. The degree of bacterial
attachment decreased exponentially with increasing PEG coverage. When desired,
PEG film could be removed by rinsing with water. Overall, this work demonstrates
the proof-of-concept that an ultrathin film of polyethylene glycol may be used to
effectively inhibit the attachment of pathogenic bacteria on tomato surfaces.
PMID- 24935689
TI - Toxin production and growth of pathogens subjected to temperature fluctuations
simulating consumer handling of cold cuts.
AB - It is crucial for the quality and safety of ready-to-eat (RTE) foods to maintain
the cold chain from production to consumption. The effect of temperature abuse
related to daily meals and elevated refrigerator temperatures on the growth and
toxin production of Bacillus cereus, Bacillus weihenstephanensis and
Staphylococcus aureus and the growth of Listeria monocytogenes and Yersinia
enterocolitica was studied. A case study with temperature loggings in the
domestic environment during Easter and Christmas holidays was performed to select
relevant time and temperature courses. A model for bacterial surface growth on
food using nutrient agar plates exposed to variations in temperatures was used to
simulate food stored at different temperatures and exposed to room temperature
for short periods of time. The results were compared with predicted growth using
the modeling tool ComBase Predictor. The consumers exposed their cold cuts to
room temperatures as high as 26.5 degrees C with an average duration of meals was
47 min daily for breakfast/brunch during the vacations. Short (<= 2 h) daily
intervals at 25 degrees C nearly halved the time the different pathogens needed
to reach levels corresponding to the levels associated with human infection or
intoxication, compared with the controls continuously stored at refrigerator
temperature. Although the temperature fluctuations affected growth of both B.
weihenstephanensis and S. aureus, toxin production was only detected at much
higher cell concentrations than what has been associated with human
intoxications. Therefore, growth of L. monocytogenes and Y. enterocolitica was
found to be the limiting factor for safety. In combination with data on
temperature abuse in the domestic environment, modeling programs such as ComBase
Predictor can be efficient tools to predict growth of some pathogens but will not
predict toxin production.
PMID- 24935690
TI - The influence of serial repitching of Saccharomyces pastorianus on its karyotype
and protein profile during the fermentation of gluten-free buckwheat and quinoa
wort.
AB - Gluten-free beer-like beverages from malted buckwheat and quinoa are somehow
close to their commercial production, but rather high expenses are expected due
to the relatively high price of grain, some technological adaptations of process
and the need for external enzyme supplementation during mashing. One of the
common and efficient cost reduction measures in the industrial scale is serial
repitching of the yeast biomass, which has not been studied for the buckwheat and
quinoa wort fermentation before. In that manner we have monitored possible
changes in yeast's proteins and chromosomal DNA during eleven serial repitchings
of the yeast Saccharomyces pastorianus strain TUM 34/70 for fermentation of the
barley, buckwheat and quinoa wort. Karyotypes showed changes in regard to the raw
materials used and many responsible candidate proteins are suggested which could
cause these differences. Different relative expressions of some protein bands
were also linked to the proteins involved in yeast stress response and proteins
involved in fermentation performance. Results suggest that serial repitching of
the strain TUM 34/70 seems suitable for the production of gluten-free beer-like
beverages from buckwheat and quinoa.
PMID- 24935691
TI - Adverse Drug Reactions to Antiretroviral Therapy in HIV-Infected Patients at the
Largest Public Hospital in Nicaragua.
AB - OBJECTIVE: Adverse drug reactions (ADRs) to antiretroviral therapy (ART) are an
important cause of hospitalization, treatment discontinuation, and regimen
changes in both developed and developing countries. This study is the first to
examine and understand ADRs in HIV-infected patients in Nicaragua. METHODS: A
retrospective descriptive study was conducted from May 2010 to March 2011, in a
cohort of HIV-infected patients receiving ART at the largest public hospital in
Managua, Nicaragua. Patients were identified based on ADRs reporting on a
standardized antiretroviral pharmacotherapy form. Subsequently, chart reviews of
these patients were performed in order to document the specific ADRs. RESULTS:
Six hundred ninety-two patients on ART were included. The incidence of ADRs was
6.4% (95% confidence interval [CI] 4.5-8.2). Females demonstrated a higher
incidence, that is, 10.2% (95% CI 5.3-15.1, P = .020). Patients treated with
combinations of zidovudine (ZDV)/lamivudine (3TC) and emtricitabine
(FTC)/tenofovir (TDF) had fewer ADRs (P < .01) than those using other
combinations. Five patients were hospitalized or had a prolonged hospitalization
secondary to ADRs, with no mortality attributed to ADR. The most common
manifestations of ADRs were central nervous system (20 of 44), gastrointestinal
(12 of 44), and dermatologic (8 of 44) reactions. Adverse drug reactions were
classified as "likely ADRs" (25 of 44) and "possible ADRs" (19 of 44). No ADRs
were preventable. CONCLUSION: Adverse drug reactions most frequently affected the
central nervous system. No ADR was life threatening. The frequency of ADRs in
this Nicaraguan patient population was less than that reported from other studies
in resource-limited settings.
PMID- 24935692
TI - Elements of Condom-Use Decision Making among South African Men Who Have Sex with
Men.
AB - South African men who have sex with men (MSM) are at increased risk for HIV
infection, and male condoms are fundamental to HIV prevention programs. We
explored condom use experiences through in-depth interviews with 34 South African
MSM from Cape Town and Port Elizabeth. For data analysis, we generated a codebook
and used the constant comparison method. Condom use reinforcing elements included
use of alternative sexual strategies, having a high level of self-worth that was
linked to protective behaviors, and use of ready-made condom negotiation scripts.
Elements inhibiting condom use included perceiving substantial declines in sexual
pleasure/performance, experiences of condom failure (possibly related to
petroleum-based lubricant), and being in trusted relationships. Our findings
suggest nuanced HIV prevention approaches such as bolstering condom negotiation
skills based on successful tactics already in use. Further research is needed to
address how to mitigate perceptions and experiences that condoms negatively
impact sexual pleasure and performance.
PMID- 24935693
TI - Glioblastoma Multiforme in an HIV-Infected Patient: An Unexpected Diagnosis.
AB - We reported a case of glioblastoma multiforme in a 42-year-old female patient
with HIV infection, who had a rapid progression to AIDS. She was diagnosed with
an intracerebral mass and treated as neurotoxoplasmosis with improvement in the
first week of therapy. On the fourth week she had a clinical worsening evolving
to death, receiving the diagnosis at necropsy.
PMID- 24935694
TI - In-Country Migration and Risk Factors for HIV Acquisition among Pregnant Women in
Tijuana, Mexico.
AB - OBJECTIVE: To compare HIV prevalence and HIV acquisition risk behaviors between
pregnant women residents and migrants. DESIGN: A cross-sectional study of
pregnant women of unknown HIV status seeking care at Tijuana General Hospital,
Mexico. METHODS: Pregnant women attending the labor and delivery unit or the
prenatal clinic had a rapid HIV test drawn, with positive results confirmed by
Western blot. Migrants were defined as women who had resided in Tijuana for less
than 5 years. RESULTS: Between 2007 and 2008, a total of 3331 pregnant women
consented to participate. The HIV seroprevalence did not differ between Tijuana
residents (18 of 2502, 0.72%) and migrants (3 of 829, 0.36%, P = .32). In
multivariate regression analyses, HIV acquisition risk behaviors included
methamphetamine use (adjusted odds ratio [OR]: 6.03, 95% confidence interval
[CI]: 2.3-15.8, P < .001) and first presentation at labor (adjusted OR: 5.0, 95%
CI: 1.6-15.3, P = .005), adjusted for migrant status, age, and history of
sexually transmitted infections. CONCLUSION: The overall HIV seroprevalence was
0.63% and did not differ between Tijuana residents and migrants.
PMID- 24935696
TI - A hidden cause of virilization in postmenopausal women.
PMID- 24935695
TI - Pretransplant IgG reactivity to apoptotic cells correlates with late kidney
allograft loss.
AB - Preexisting serum antibodies have long been associated with graft loss in
transplant recipients. While most studies have focused on HLA-specific
antibodies, the contribution of non-HLA-reactive antibodies has been largely
overlooked. We have recently characterized mAbs secreted by B cell clones derived
from kidney allograft recipients with rejection that bind to apoptotic cells.
Here, we assessed the presence of such antibodies in pretransplant serum from 300
kidney transplant recipients and examined their contribution to the graft
outcomes. Kaplan-Meier survival analysis revealed that patients with high
pretransplant IgG reactivity to apoptotic cells had a significantly increased
rate of late graft loss. The effect was only apparent after approximately 1 year
posttransplant. Moreover, the association between pretransplant IgG reactivity to
apoptotic cells and graft loss was still significant after excluding patients
with high reactivity to HLA. This reactivity was almost exclusively mediated by
IgG1 and IgG3 with complement fixing and activating properties. Overall, our
findings support the view that IgG reactive to apoptotic cells contribute to
presensitization. Taking these antibodies into consideration alongside anti-HLA
antibodies during candidate evaluation would likely improve the transplant risk
assessment.
PMID- 24935697
TI - Primary thyroid lymphoma.
PMID- 24935698
TI - High diagnostic accuracy and reproducibility of fine-needle aspiration cytology
for diagnosing salivary gland tumors: cytohistologic correlation in 182 cases.
AB - OBJECTIVE: The purpose of this study was to assess the efficacy and
reproducibility of the cytologic diagnosis of salivary gland tumors (SGTs) using
fine-needle aspiration cytology (FNAC). The study aimed to determine diagnostic
accuracy, sensitivity, and specificity and to evaluate the extent of
interobserver agreement. STUDY DESIGN: We retrospectively evaluated SGTs from the
files of the Division of Pathology at the Clinics Hospital of Sao Paulo and
Piracicaba Dental School between 2000 and 2006. RESULTS: We performed
cytohistologic correlation in 182 SGTs. The sensitivity, specificity, positive
predictive value, negative predictive value, and diagnostic accuracy were 94%,
100%, 100%, 100%, and 99%, respectively. The interobserver cytologic
reproducibility showed significant statistical concordance (P < .0001).
CONCLUSIONS: FNAC is an effective tool for performing a reliable preoperative
diagnosis in SGTs and shows high diagnostic accuracy and consistent interobserver
reproducibility. Further FNAC studies analyzing large samples of malignant SGTs
and reactive salivary lesions are needed to confirm their accuracy.
PMID- 24935699
TI - pDsRed-EGFPmtag-, an effective dual fluorescent reporter system for cell-based
screens of premature termination codon.
AB - A large number of inherited diseases are caused by premature termination codon
(PTC) mutations that lead to the degradation of mRNA template. In this report, we
developed a dual fluorescent reporter that relied the feature of fluorescent
protein coding region to express a fusion protein from pDsRed-EGFPmtag-.
Expression of the fusion protein from a single reporter provides a sensitive
approach for high-throughput screening of cell-specific PTC events in mixed cell
cultures. Results from the read-through analysis of COS7 cells carrying the
nonsense mutation pDsRed-EGFPmtag-Y445X treated by PTC 124 showed EGFP transcript
level was increased in the COS7 cells treated by PTC124 in a dose-dependent
manner. This novel reporter system was applicable to the majority of different
PTC patterns and could be used to quantify efficiency of read-through within a
single cell or select cells carrying PTC.
PMID- 24935700
TI - Effective management of oral potentially malignant disorders/precancers - a cost
effective strategy for oral cancer prevention in India.
PMID- 24935701
TI - Charge states of ions, and mechanisms of charge ordering transitions.
AB - To gain insight into the mechanism of charge ordering transitions, which
conventionally are pictured as a disproportionation of an ion M as 2M(n+)
>M((n+1)+) + M((n-1)+), we (1) review and reconsider the charge state (or
oxidation number) picture itself, (2) introduce new results for the putative
charge ordering compound AgNiO2 and the dual charge state insulator AgO, and (3)
analyze the cationic occupations of the actual (not formal) charge, and work to
reconcile the conundrums that arise. We establish that several of the clearest
cases of charge ordering transitions involve no disproportion (no charge transfer
between the cations, and hence no charge ordering), and that the experimental
data used to support charge ordering can be accounted for within density
functional-based calculations that contain no charge transfer between cations. We
propose that the charge state picture retains meaning and importance, at least in
many cases, if one focuses on Wannier functions rather than atomic orbitals. The
challenge of modeling charge ordering transitions with model Hamiltonians
isdiscussed.
PMID- 24935702
TI - In vitro effectiveness of antimicrobial photodynamic therapy (APDT) using a 660
nm laser and malachite green dye in Staphylococcus aureus biofilms arranged on
compact and cancellous bone specimens.
AB - The aim of this study was to evaluate the in vitro effectiveness of antimicrobial
photodynamic therapy (APDT) using a 660 nm visible laser combined with malachite
green (MG) dye in the inactivation of Staphylococcus aureus (ATCC 25923) biofilms
formed within compact and cancellous bone specimens. Specimens of 80 compact
bones and 80 cancellous bones were contaminated with a standard suspension of S.
aureus and incubated for 14 days at 37 degrees C to allow for the formation of
biofilms. The specimens were divided into the following groups (n = 10) according
to the treatment conditions: PS-L - (control - no treatment), PS+L - (only MG for
5 min), PS-L + 90 (only laser irradiation for 90 s), PS-L + 180 (only laser
irradiation for 180 s), PS-L + 300 (only laser irradiation for 300 s), APDT90
(APDT for 90 s), APDT180 (APDT for 180 s), and APDT300 (APDT for 300 s). The
findings were statistically analyzed using an ANOVA 5%. All of the experimental
groups were significantly different from the control group for both the compact
and cancellous bone specimens. The compact bone specimens that received APDT
treatment (for either 90, 180, or 300 s) showed reductions in the log10 CFU/ml of
S. aureus by a magnitude of 4 log10. Cancellous bone specimens treated with 300 s
of APDT showed the highest efficacy, and these specimens had a reduction in S.
aureus CFU/ml by a factor of 3 log10. APDT treatment using these proposed
parameters in combination with MG was effective at inactivating S. aureus
biofilms in compact and cancellous bone specimens.
PMID- 24935706
TI - Chronic kidney disease: Prenatal risk factors for kidney and urinary tract
anomalies.
PMID- 24935708
TI - Transplantation: Molecular diagnosis of kidney transplant rejection.
PMID- 24935710
TI - Clinical trials: Off-pump coronary artery bypass graft surgery reduces
postoperative AKI risk.
PMID- 24935705
TI - Renal transplantation in autosomal dominant polycystic kidney disease.
AB - In patients with autosomal dominant polycystic kidney disease (ADPKD) evaluated
for kidney transplantation, issues related to native nephrectomy, cystic liver
involvement, screening for intracranial aneurysms and living-related kidney
donation deserve special consideration. Prophylactic native nephrectomy is
restricted to patients with a history of cyst infection or recurrent haemorrhage
or to those in whom space must be made to implant the graft. Patients with liver
involvement require pretransplant imaging. Selection of patients for
pretransplant screening of intracranial aneurysms should follow the general
recommendations for patients with ADPKD. In living related-donor candidates aged
<30 years and at-risk of ADPKD, molecular genetic testing should be carried out
when ultrasonography and MRI findings are normal or equivocal. After kidney
transplantation, patient and graft survival rates are excellent and the volume of
native kidneys decreases. However, liver cysts continue to grow and treatment
with a somatostatin analogue should be considered in patients with massive cyst
involvement. Cerebrovascular events have a marginal effect on post-transplant
morbidity and mortality. An increased risk of new-onset diabetes mellitus and
nonmelanoma skin cancers has been reported, but several studies have challenged
these findings. Finally, no data currently support the preferential use of
mammalian target of rapamycin inhibitors as immunosuppressive agents in
transplant recipients with ADPKD.
PMID- 24935711
TI - Choosing wisely in anesthesiology: the gap between evidence and practice.
AB - To develop a "top-five" list of unnecessary medical services in anesthesiology,
we undertook a multistep survey of anesthesiologists, most of whom were in
academic practice, and a consequent iterative process with the committees of the
American Society of Anesthesiologists. We generated a list of 18 low-value
perioperative activities from American Society of Anesthesiologists practice
parameters and the literature. Starting with this list and proceeding with a 2
step survey using a 5-point Likert scale questionnaire, we eventually identified
5 common activities that are of low quality or benefit and high cost and have
poor evidence supporting their use. The 2 preoperative practices in the top-five
list addressed the avoidance of unindicated baseline laboratory studies or
diagnostic cardiac stress testing. The 3 intraoperative practices involved the
avoidance of the routine use of the pulmonary artery for cardiac surgery and the
use of packed red blood cells or colloid when not indicated.
PMID- 24935712
TI - Evaluating latent class models with conditional dependence in record linkage.
AB - Record linkage methods commonly use a traditional latent class model to classify
record pairs from different sources as true matches or non-matches. This approach
was first formally described by Fellegi and Sunter and assumes that the agreement
in fields is independent conditional on the latent class. Consequences of
violating the conditional independence assumption include bias in parameter
estimates from the model. We sought to further characterize the impact of
conditional dependence on the overall misclassification rate, sensitivity, and
positive predictive value in the record linkage problem when the conditional
independence assumption is violated. Additionally, we evaluate various methods to
account for the conditional dependence. These methods include loglinear models
with appropriate interaction terms identified through the correlation residual
plot as well as Gaussian random effects models. The proposed models are used to
link newborn screening data obtained from a health information exchange. On the
basis of simulations, loglinear models with interaction terms demonstrated the
best misclassification rate, although this type of model cannot accommodate other
data features such as continuous measures for agreement. Results indicate that
Gaussian random effects models, which can handle additional data features,
perform better than assuming conditional independence and in some situations
perform as well as the loglinear model with interaction terms.
PMID- 24935713
TI - Concept analysis of nurses' happiness.
AB - PURPOSE: The purpose of this analysis is to examine and clarify the concept of
nurses' happiness (NH), understand the different uses of the concept, explore the
conditions that foster it, and consider the consequences of NH, including the
phenomena that emerge as a result of NH occurrence. ORGANIZING FRAMEWORK: The
author utilizes Walker and Avant's eight-stage concept analysis. METHODS:
Computer and manual searches were conducted of articles in the English language
addressing NH from 1990 to present. EBSCO and PubMed are the electronic databases
used to access literature for this paper. For both databases, the researcher has
examined this new term by splitting the term nurses' happiness into its two root
words, namely nurses and happiness. An inductive analysis of articles produced
descriptive themes. RESULTS: Definitions of happiness and NH are analyzed.
Antecedents, attributes, and consequences of NH are described. Model, borderline,
contrary, and related cases for NH are also identified. CONCLUSIONS: This concept
analysis helps in the understanding of the definition of NH, the attributes that
contribute to the occurrence of NH in clinical practice, as well as the
consequences of NH, and how it should be measured from a nursing perspective.
Ozkara San.
PMID- 24935714
TI - Specific capture and detection of Staphylococcus aureus with high-affinity
modified aptamers to cell surface components.
AB - Slow off-rate modified aptamer (SOMAmer) reagents were generated to several
Staphylococcus aureus cell surface-associated proteins via SELEX with multiple
modified DNA libraries using purified recombinant or native proteins. High
affinity binding agents with sub-nanomolar Kd 's were obtained for staphylococcal
protein A (SpA), clumping factors (ClfA, ClfB), fibronectin-binding proteins
(FnbA, FnbB) and iron-regulated surface determinants (Isd). Further screening
revealed several SOMAmers that specifically bound to Staph. aureus cells from all
strains that were tested, but not to other staphylococci or other bacteria. SpA
and ClfA SOMAmers proved useful for the selective capture and enrichment of
Staph. aureus cells, as shown by culture and PCR, leading to improved limits of
detection and efficient removal of PCR inhibitors. Detection of Staph. aureus
cells was enhanced by several orders of magnitude when the bacterial cell surface
was coated with SOMAmers followed by qPCR of the SOMAmers. Furthermore,
fluorescence-labelled SpA SOMAmers demonstrated their utility as direct detection
agents in flow cytometry. Significance and impact of the study: Monitoring for
microbial contamination of food, water, nonsterile products or the environment is
typically based on culture, PCR or antibodies. Aptamers that bind with high
specificity and affinity to well-conserved cell surface epitopes represent a
promising novel type of reagents to detect bacterial cells without the need for
culture or cell lysis, including for the capture and enrichment of bacteria
present at low cell densities and for the direct detection via qPCR or
fluorescent staining.
PMID- 24935716
TI - Blending conjugated polymers without phase separation for fluorescent colour
tuning of polymeric materials through FRET.
AB - The fluorescence properties of conjugated polymer blends were investigated using
a combination of excitation energy donor and acceptor conjugated polymers
encapsulated by identical cyclic sidechains. Wearing this 'uniform', the polymers
did not phase-separate in the blends. As such, these polymers provide an
effective ensemble for designing fluorescent polymeric materials.
PMID- 24935715
TI - Fli-1 regulates the DN2 to DN3 thymocyte transition and promotes gammadelta T
cell commitment by enhancing TCR signal strength.
AB - Friend leukemia integration 1 (Fli-1) is a member of the Ets transcription factor
family and is expressed during T-cell development; however, the role Fli-1 plays
in early T-cell differentiation has not been elucidated. In this report, we
demonstrate that in mouse, Fli-1 overexpression retards the CD4(-) CD8(-) double
negative (DN) to CD4(+) CD8(+) double-positive (DP) transition by deregulating
normal DN thymocyte development. Specifically, Fli-1 expression moderates the DN2
and DN3 developmental transitions. We further show that Fli-1 overexpression
partially mimics strong TCR signals in developing DN thymocytes and thereby
enhances gammadelta T-cell development. Conversely, Fli-1 knockdown by small
hairpin RNA reverses the lineage bias from gammadelta T cells and directs DN
cells to the alphabeta lineage by attenuating TCR signaling. Therefore, Fli-1
plays a critical role in both the DN2 to DN3 transition and alphabeta/gammadelta
lineage commitment.
PMID- 24935717
TI - Revisiting NMR through-space J(FF) spin-spin coupling constants for getting
insight into proximate F---F interactions.
AB - At present times it is usual practice to mark biological compounds replacing an H
for an F atom to study, by means of (19)F NMR spectroscopy, aspects such as
binding sites and molecular folding features. This interesting methodology could
nicely be improved if it is known how proximity interactions on the F atom affect
its electronic structure as gauged through high-resolution (19)F NMR
spectroscopy. This is the main aim of the present work and, to this end,
differently substituted peri-difluoronaphthalenes are chosen as model systems. In
such compounds are rationalized some interesting aspects of the diamagnetic and
paramagnetic parts of the (19)F nuclear magnetic shielding tensor as well as the
transmission mechanisms for the PSO and FC contributions to (4)JF1F8 indirect
nuclear spin-spin coupling constants.
PMID- 24935720
TI - PLD3 in Alzheimer's disease.
AB - Rare coding variants in the phospholipase D3 (PLD3) gene, also known as HU-K4,
have recently been identified to increase the risk for late-onset Alzheimer's
disease (LOAD) by the whole exome sequencing (WES) in 14 large LOAD families and
follow-up analyses of the candidate variants in several large independent LOAD
case-control data series. PLD3 is highly expressed in the brain, especially
mainly in neurons, but at a lower level in almost all tissues. The level of PLD3
was found to be downregulated in Alzheimer's disease (AD) brains, which was
negatively correlated with amyloid precursor protein (APP) and amyloid-beta
(Abeta) levels. These findings suggested that PLD3 might be involved in AD
pathogenesis through APP processing. Here, we briefly summarize the biochemical
properties of PLD3, review recent genetic and expression findings of PLD3 that
related to AD, and also speculate on the possible roles of PLD3 in the
progression of this disease. Based on the contributing effects of PLD3 in AD
pathogenesis, targeting PLD3 may provide new opportunities for AD therapeutic
strategies.
PMID- 24935718
TI - The role of phosphoinositides in synapse function.
AB - Since the discovery of phosphatidylinositol-3-kinase, scientific interest in the
biological functions of phosphoinositides has greatly increased. Currently, seven
phosphoinositides have been identified. These phosphoinositides are specifically
localized to organelle membranes, their site of action. Phosphoinositides can
regulate neuronal function by specifically recruiting downstream proteins that
have phosphoinositide-binding domains. To date, it is well accepted that
phosphoinositides play important roles in a broad spectrum of neuronal functions
from regulating neural development to modulating synapse function. This review
will provide an overview of the function and distribution of phosphoinositides at
synapses.
PMID- 24935719
TI - The neuronal activity-driven transcriptome.
AB - Activity-driven transcription is a key event associated with long-lasting forms
of neuronal plasticity. Despite the efforts to investigate the regulatory
mechanisms that control this complex process and the important advances in the
knowledge of the function of many activity-induced genes in neurons, as well as
the specific contribution of activity-regulated transcription factors, our
understanding of how activity-driven transcription operates at the systems
biology level is still very limited. This review focuses on the research of
neuronal activity-driven transcription from an "omics" perspective. We will
discuss the different high-throughput approaches undertaken to characterize the
gene programs downstream of specific activity-regulated transcription factors,
including CREB, SRF, MeCP2, Fos, Npas4, and others, and the interplay between
epigenetic and transcriptional mechanisms underlying neuronal plasticity changes.
Although basic questions remain unanswered and important challenges still lie
ahead, the refinement of genome-wide techniques for investigating the neuronal
transcriptome and epigenome promises great advances.
PMID- 24935721
TI - Upregulation of SET expression by BACE1 and its implications in Down syndrome.
AB - Down syndrome (DS) is one of the most common genetic diseases. Patients with DS
display growth delay and intellectual disabilities and develop Alzheimer's
disease (AD) neuropathology after middle age, including neuritic plaques and
neurofibrillary tangles. Beta-site amyloid beta precursor protein (APP) cleaving
enzyme 1 (BACE1), essential for Abeta production and neuritic plaque formation,
is elevated in DS patients. However, its homolog, beta-site APP cleaving enzyme 2
(BACE2), functions as theta-secretase and plays a differential role in plaque
formation. In this study, by using Two-dimensional sodium dodecyl sulfate
polyacrylamide gel electrophoresis (2D SDS-PAGE) and LC-MS/MS proteomic profiling
analysis, we found that the SET oncogene protein (SET) expression was associated
with BACE1 but not BACE2. SET protein was increased in BACE1 overexpressing cells
and was markedly reduced in the BACE1 knockout mice. We found that the
overexpression of BACE1 or SET significantly inhibited cell proliferation.
Moreover, knockdown of SET in BACE1 overexpression cells significantly rescued
BACE1-induced cell growth suppression. Furthermore, both BACE1 and SET protein
levels were increased in Down syndrome patients. It suggests that BACE1
overexpression-induced SET upregulation may contribute to growth delay and
cognitive impairment in DS patients. Our work provides a new insight that BACE1
overexpression not only promotes neuritic plaque formation but may also
potentiate neurodegeneration mediated by SET elevation in Alzheimer-associated
dementia in DS.
PMID- 24935722
TI - A multiepitope of XBP1, CD138 and CS1 peptides induces myeloma-specific cytotoxic
T lymphocytes in T cells of smoldering myeloma patients.
AB - We evaluated a cocktail of HLA-A2-specific peptides including heteroclitic XBP1
US184-192 (YISPWILAV), heteroclitic XBP1 SP367-375 (YLFPQLISV), native CD138260
268 (GLVGLIFAV) and native CS1239-247 (SLFVLGLFL), for their ability to elicit
multipeptide-specific cytotoxic T lymphocytes (MP-CTLs) using T cells from
smoldering multiple myeloma (SMM) patients. Our results demonstrate that MP-CTLs
generated from SMM patients' T cells show effective anti-MM responses including
CD137 (4-1BB) upregulation, CTL proliferation, interferon-gamma production and
degranulation (CD107a) in an HLA-A2-restricted and peptide-specific manner.
Phenotypically, we observed increased total CD3(+)CD8(+) T cells (>80%) and
cellular activation (CD69(+)) within the memory SMM MP-CTL
(CD45RO(+)/CD3(+)CD8(+)) subset after repeated multipeptide stimulation.
Importantly, SMM patients could be categorized into distinct groups by their
level of MP-CTL expansion and antitumor activity. In high responders, the
effector memory (CCR7(-)CD45RO(+)/CD3(+)CD8(+)) T-cell subset was enriched,
whereas the remaining responders' CTL contained a higher frequency of the
terminal effector (CCR7(-)CD45RO(-)/CD3(+)CD8(+)) subset. These results suggest
that this multipeptide cocktail has the potential to induce effective and durable
memory MP-CTL in SMM patients. Therefore, our findings provide the rationale for
clinical evaluation of a therapeutic vaccine to prevent or delay progression of
SMM to active disease.
PMID- 24935724
TI - Histomorphometry in antigen-induced arthritis of the rabbit temporomandibular
joint.
AB - BACKGROUND: Juvenile idiopathic arthritis (JIA) of the temporomandibular joint
(TMJ) can cause severe growth disturbances of the craniomandibular system.
Antigen-induced arthritis (AIA) of the rabbit TMJ is simulating the inflammatory
process of the TMJ in JIA. The aim of this study was to investigate the effect of
a systemic administration of the tumor necrosis factor-alpha (TNF-alpha)
antagonist etanercept on AIA in rabbits by means of three different histological
staining methods. METHODS: After sensitization, a bilateral arthritis of the TMJ
was induced and maintained by repeated intra-articular administrations of
ovalbumin in 12 New Zealand white rabbits aged 10 weeks. From the 13th week of
age, 6 of the 12 rabbits received weekly subcutaneous injections of etanercept,
and the other 6 animals remained without therapy. Another 6 animals served as
controls, receiving no treatment or intra-articular injections at all. After
euthanasia at the age of 22 weeks, all TMJs were retrieved en bloc. Sagittal
sections were cut and stained with hematoxylin-eosin (H-E), Safranin-O for the
evaluation of the Mankin score, and tartrate-resistant acid phosphatase (TRAP).
RESULTS: In the arthritis group, a chronic inflammation with degeneration of the
articular cartilage was visible. In the etanercept group, the signs of cartilage
degeneration were significantly reduced but present. In contrast, the joints in
the control group were inconspicuous. A strong correlation between the Mankin
score and TRAP-positive cells could be found. CONCLUSIONS: Antigen-induced
arthritis causes severe damage in the TMJ of young rabbits. An improvement seems
to be achievable by a systemic administration of etanercept.
PMID- 24935725
TI - Human intestinal microbial metabolism of naringin.
AB - Naringin, a major flavonoid in citrus fruits, has been proved to be a promising
antitussive candidate. It undertakes complicated metabolism. In this study, human
intestinal microbial metabolism of naringin was studied in vitro. Six persons'
fecal water, which have intestinal microbial enzyme, were used in the first
experiment. Naringin was metabolized by fecal water into naringenin.
Subsequently, 3-(4-hydroxyphenyl)propionic acid (4-HPPA) was produced with
naringenin degradation by a person's fecal water. However, 4-HPPA was not
detected after naringenin degradation by the other 5 subjects' fecal water and
the reason might be that the degrading velocity of 4-HPPA exceeded the producing
velocity. To confirm the difference in degrading 4-HPPA among human feces, 22
healthy persons' feces were used for incubation. In this second experiment, 15
persons' feces could degrade 4-HPPA, but the other 7 subjects' could not. Human
feces showed different ability of degrading 4-HPPA, and there are no gender
differences. These results may be helpful for explaining findings in
pharmacological and toxicological studies and are groundwork for clinical
studies.
PMID- 24935726
TI - The direct synthesis of N-alkylated amides via a tandem hydration/N-alkylation
reaction from nitriles, aldoximes and alcohols.
AB - A novel strategy for the direct synthesis of N-alkylated amides from nitriles,
aldoximes and alcohols was proposed and accomplished in the presence of a Cp*Ir
complex.
PMID- 24935723
TI - Minimal morphological criteria for defining bone marrow dysplasia: a basis for
clinical implementation of WHO classification of myelodysplastic syndromes.
AB - The World Health Organization classification of myelodysplastic syndromes (MDS)
is based on morphological evaluation of marrow dysplasia. We performed a
systematic review of cytological and histological data from 1150 patients with
peripheral blood cytopenia. We analyzed the frequency and discriminant power of
single morphological abnormalities. A score to define minimal morphological
criteria associated to the presence of marrow dysplasia was developed. This score
showed high sensitivity/specificity (>90%), acceptable reproducibility and was
independently validated. The severity of granulocytic and megakaryocytic
dysplasia significantly affected survival. A close association was found between
ring sideroblasts and SF3B1 mutations, and between severe granulocytic dysplasia
and mutation of ASXL1, RUNX1, TP53 and SRSF2 genes. In myeloid neoplasms with
fibrosis, multilineage dysplasia, hypolobulated/multinucleated megakaryocytes and
increased CD34+ progenitors in the absence of JAK2, MPL and CALR gene mutations
were significantly associated with a myelodysplastic phenotype. In myeloid
disorders with marrow hypoplasia, granulocytic and/or megakaryocytic dysplasia,
increased CD34+ progenitors and chromosomal abnormalities are consistent with a
diagnosis of MDS. The proposed morphological score may be useful to evaluate the
presence of dysplasia in cases without a clearly objective myelodysplastic
phenotype. The integration of cytological and histological parameters improves
the identification of MDS cases among myeloid disorders with fibrosis and
hypocellularity.
PMID- 24935727
TI - Women's experiences of working as a Clinical Teaching Associate teaching
sensitive examinations: a qualitative study.
AB - BACKGROUND: Increasingly, professional patient programs are used for training
medical students to perform pelvic examinations, yet we know little of the
experiences of women who teach medical students these sensitive examination
skills. AIM: To describe the experiences of women working as Clinical Teaching
Associates (CTAs) teaching medical students the technical and communication
skills required to perform pelvic examinations. METHODS: Twelve women employed as
CTAs participated in semi-structured interviews. Individual interviews were used
to identify major themes in women's experiences of teaching sensitive
examinations to medical students. RESULTS: CTAs identified unique difficulties in
their work including negative attitudes from others towards their role and the
unpleasantness of the examination, although other challenges (such as teamwork
issues or providing negative feedback to colleagues) were characteristic of many
work situations. Effective communication was identified as central to the CTA
role and the women described growth in their communication skills and
assertiveness that they applied to other aspects of their work and personal
lives. CTAs were motivated to join the program and remained in the program
because of an interest in women's health and a desire for meaningful work, which
they believed improved the examination and communication skills of future
doctors. Positive interactions with work colleagues were a valued part of the
role and a primary motivator to remain in the program. CONCLUSIONS: Highlighting
the experiences of women working as CTAs validates the significant teaching role
these women perform and, from a pragmatic perspective, may increase understanding
of the best way to attract and retain women to these important teaching roles.
PMID- 24935728
TI - Expectations from different perspectives on future work outcome of young adults
with intellectual and developmental disabilities.
AB - PURPOSE: Expectations strongly influence future employment outcomes and social
networks seem to mediate employment success of young adults with intellectual and
developmental disabilities. The aim of this study is to examine the expectations
of young adults with intellectual and developmental disabilities from special
needs education, their parents and their school teachers regarding future work
and the extent to which these expectations predict work outcome. METHODS: Data on
341 young adults with intellectual or developmental disabilities, coming from
special needs education, aged 17-20 years, and with an ability to work according
to the Social Security Institute were examined. RESULTS: The school teacher's
expectation was the only perspective that significantly predicted entering
competitive employment, with a complementary effect of the expectation of parents
and a small additional effect of the expectation of the young adult. CONCLUSIONS:
Expectations of school teachers and parents are valuable in predicting work
outcome. Therefore, it is important for professionals working with the young
adult in the transition from school to work to incorporate the knowledge of
school teachers and parents regarding the abilities of the young adult to enter
competitive employment as a valuable source of information.
PMID- 24935729
TI - Application of monoclonal antibodies generated against Panton-Valentine
Leukocidin (PVL-S) toxin for specific identification of community acquired
methicillin resistance Staphylococcus aureus.
AB - Panton-Valentine Leukocidin (PVL) produced by community acquired methicillin
Staphylococcus aureus (CA-MRSA) involved in skin and soft-tissue infections and
necrotizing pneumonia comprised of two fractions, namely PVL S and PVL F. In the
present study, three monoclonal antibodies designated as MAb1, MAb9 and MAb10
were generated against recombinant PVL-S (35kDa) protein of S. aureus. All the
three MAbs specifically reacted to confirm PVL-S positive strains of S. aureus
recovered from clinical samples in Western blot analysis. Similarly all the three
MAbs did not show any binding to other tested 14 different pathogenic bacteria
belonging to other genera and species in Western blot analysis. Furthermore, a
simple dot-ELISA method was standardized for the identification of PVL-S toxin
containing S. aureus strains. Initially in dot-ELISA, Protein A (Spa) of S.
aureus posed background noise problems due to the non-specific binding of
antibodies resulting in false positive reactions. With the addition of 10mM
diethylpyrocarbonate (DEPC) along with 5% milk in PBS in the blocking step
prevented this non-specific binding of Spa to mouse anti-PVL monoclonal
antibodies in dot-ELISA. Once standardized, this simple dot-ELISA was evaluated
with nine PVL positive strains recovered from food, environmental and clinical
samples and the results were compared with PCR assay for the presence of PVL
toxin genes and also with Western blot analysis. A 100% correlation was found
between dot-ELISA, PCR assay and Western blot analysis. Collectively our results
suggest the newly developed simple dot-ELISA system can be of immense help in
providing, rapid detection of the PVL toxin containing S. aureus strains at a
relatively low cost and will be a valuable tool for the reliable identification
of CA-MRSA.
PMID- 24935730
TI - Biofunctionalized self-propelled micromotors as an alternative on-chip
concentrating system.
AB - Sample pre-concentration is crucial to achieve high sensitivity and low detection
limits in lab-on-a-chip devices. Here, we present a system in which self
propelled catalytic micromotors are biofunctionalized and trapped acting as an
alternative concentrating mechanism. This system requires no external energy
source, which facilitates integration and miniaturization.
PMID- 24935731
TI - Measurement of dipole potential in bilayer lipid membranes by dielectric
spectroscopy.
AB - Planar bilayer lipid membranes formed from egg phosphatidylcholine in aqueous
media containing the lipophilic anion, dipicrylamine (DPA), were studied by
dielectric spectroscopy over a frequency range of 10 Hz-10 MHz. The membranes
showed dielectric relaxation due to the translocation of DPA between the membrane
interfaces. Incorporating either cholesterol or 6-ketocholestanol into the
membranes increased the characteristic frequency of the relaxation, which is
proportional to the translocation rate constant of DPA. The results suggested
that the sterol dipoles induced positive potential changes within the membrane
interior. The changes of the dipole potential were 70 mV for cholesterol and 150
mV for 6-ketocholestanol when the sterol mole fraction was 0.67. The opposite
effect was caused by phloretin added to the aqueous media, and the maximum dipole
potential change was -90 mV at 100 MUM.
PMID- 24935737
TI - Topological self-organization and prediction learning support both action and
lexical chains in the brain.
AB - A growing body of evidence in cognitive psychology and neuroscience suggests a
deep interconnection between sensory-motor and language systems in the brain.
Based on recent neurophysiological findings on the anatomo-functional
organization of the fronto-parietal network, we present a computational model
showing that language processing may have reused or co-developed organizing
principles, functionality, and learning mechanisms typical of premotor circuit.
The proposed model combines principles of Hebbian topological self-organization
and prediction learning. Trained on sequences of either motor or linguistic
units, the network develops independent neuronal chains, formed by dedicated
nodes encoding only context-specific stimuli. Moreover, neurons responding to the
same stimulus or class of stimuli tend to cluster together to form topologically
connected areas similar to those observed in the brain cortex. Simulations
support a unitary explanatory framework reconciling neurophysiological motor data
with established behavioral evidence on lexical acquisition, access, and recall.
PMID- 24935732
TI - The role of microRNA in castration-resistant prostate cancer.
AB - INTRODUCTION: Castration-resistant prostate cancer (CRPC) has a historically low
median survival rate, but recent advances and discoveries in microRNAs (miRNAs)
have opened the potential for new prognostication modalities to enhance
therapeutic success. As new chemotherapies and immunotherapies are developed,
there is an increasing need for precision and stratification of CRPC to allow for
optimization and personalization of therapy. METHODS: A systematic literature
review was conducted via electronic database resulting in the selection of 42
articles based on title, abstract, study format, and content by a consensus of
all participating authors. Most selected articles were published between 2002 and
2013. In this review, we discuss the robustness of miRNAs as a biomarker
platform, miRNAs associated with prostate cancer, and recent discoveries of miRNA
associations with CRPC. RESULTS: The associations discovered have been of
interest owing to the ability to differentiate between CRPC and localized
prostate cancer. With the evaluation of multiple miRNAs, it is possible to
provide a profile regarding tumor characteristics. Furthermore, actions of miRNAs
on CRPC tumor cells have the ability to suppress metastatic phenotypes.
CONCLUSION: miRNAs may have a growing role in CRPC prognostication and may
potentially transform into a therapeutic potential.
PMID- 24935738
TI - Trocar-sharpened needles for image-guided prostate biopsy improve sample quality
and performance: first clinical results.
AB - OBJECTIVE: To show the benefit of trocar-sharpened needles for image-guided
prostate biopsy compared with standard bevelled needles in patients. MATERIALS
AND METHODS: Twenty-four men underwent magnetic resonance imaging-targeted fusion
guided transperineal saturation prostate biopsy, each with half standard bevelled
and half trocar-sharpened needles. All taken biopsies were scored (1=worse to
5=best) by one urologist for the following criteria. (1) Accuracy of matching
between planned and performed biopsy. (2) Histologic quality of the sample. (3)
Elegance, which is the easiness to take the biopsy in proper time, planned
position, and best histologic quality. Afterward, the histologic sample quality
was evaluated by a blinded pathologist. To show a possible training effect,
blinded untrained junior residents performed biopsies in four men (103 cores).
RESULTS: Overall, 600 single biopsies were analyzed. The trocar-sharpened needles
demonstrated a significantly (p<0.05) better scoring for accuracy and elegance
rated by the urologist. The histologic quality scored by the pathologist was
superior. Moreover, significantly lower target errors with trocar-sharpened
needles were achieved by untrained residents, but not by the experienced user.
CONCLUSION: Using trocar-sharpened needles helps urologists to perform targeted
prostate biopsy more elegantly and accurately. In addition, the histopathologic
sample quality was superior, which may directly improve diagnostic certainty.
There is an undeniable training effect in image-guided biopsy and unexperienced
users can significantly reduce target errors with trocar-sharpened needles.
PMID- 24935739
TI - Knowledge and awareness of dental implants among elderly people in Croatia.
AB - PURPOSE: The use of inserted dental implants is growing every day in order to
improve retention and stability of complete removable dental prostheses (RDPs),
especially in the mandible. Therefore, the aim of this study was to examine the
knowledge and awareness of dental implants among elderly people wearing complete
RDPs. MATERIALS AND METHODS: This study, based on answers from a questionnaire
designed for the purpose of this study, included 301 participants wearing
complete RDPs from elderly care homes with average age of 74 years. RESULTS: The
awareness of dental implants was statistically significantly (p < 0.05) affected
by the participants' age, residence size, and their level of education. Younger
participants (x- = 70 years) had heard about dental implants (56.5%; p < 0.05)
and believed in the possibility of retaining complete RPDs using dental implants
(56.1%; p < 0.05). Among participants living in places with populations of 10,000
or fewer residents, more had not heard about dental implants (59.4%; p < 0.05).
Among participants who had completed college/university or high school, there
were a higher number of participants who had heard about dental implants (82.4%;
p < 0.05). CONCLUSION: Although more than half of the participants had heard of
dental implants, this did not mean they were well informed about the implant
insertion procedure and the costs for such a treatment. In conclusion, awareness
of dental implants in studied participants was insufficient. The results
reinforce the need for better education and the provision of proper information
to elderly people about dental implants and implant treatment options.
PMID- 24935740
TI - Friction through reversible jumps of surface atoms.
AB - We propose a microscopic model that incorporates the effect of thermally
activated motion of surface atoms on nanoscopic friction. Our calculations
demonstrate that the stick-slip motion of the tip is governed by two competing
processes: (i) jumps of the surface atoms to the tip which tend to inhibit
sliding, and (ii) jumps back to the sample which give rise to sliding. The energy
dissipated during the reversible jumps of the surface atoms between the sample
and tip contributes significantly to the friction force, and leads to a
nonmonotonic dependence of friction on temperature, which has been observed in
recent friction force microscopy experiments for different material classes. The
proposed model elucidates the physical origin of microscopic instabilities
introduced in phenomenological models for the interpretation of the experimental
results.
PMID- 24935741
TI - A study of interleukin 1beta levels in peri-miniscrew crevicular fluid (PMCF).
AB - BACKGROUND: This study provides a vital insight in assessing the clinical and
biochemical changes in interleukin (IL)-1beta levels in peri-miniscrew crevicular
fluid (PMCF) during the course of orthodontic tooth movement. METHODS: The study
comprised the analysis of IL-1beta in peri-miniscrew crevicular fluid obtained
from crevices around the miniscrews inserted in 11 patients (eight females and
three males, mean age 17.3 +/- 4.64 years) with all first premolar extraction and
maximum anchorage requirement using miniscrew-supported anchorage. Miniscrews
were loaded at 3 weeks after placement by 200-g nitinol closed coil springs of 9
mm length for en masse retraction. Peri-miniscrew crevicular fluid was collected
at miniscrew placement (T1), at 3 weeks (T2/baseline) and on loading at 0 (T3)
and 1 day (T4), 21 (T5), 72 (T6), 120 (T7), 180 (T8) and 300 (T9) days. IL-1beta
levels were estimated by enzyme-linked immunosorbent assay (ELISA). Peri
miniscrew tissue was examined for signs of inflammation, and also, miniscrew
mobility was assessed with Periotest and handles of two mouth mirrors. RESULTS:
IL-1beta levels in all miniscrews were significantly higher at T1 and peaked
again at T4 showing a bimodal peak. However, there was a gradual and
statistically significant decrease in IL-1beta till T5, while further changes
till the end of the study were statistically not significant. CONCLUSIONS: The
changing levels of IL-1beta levels in PMCF over a duration of 300 days are
suggestive of the underlying inflammatory process. IL-1beta levels in PMCF show a
significant rise during miniscrew insertion and on immediate loading. The trend
of gradually reducing IL-1beta levels around the miniscrew over the period after
loading towards baseline is suggestive of adaptive bone response to stimulus.
PMID- 24935742
TI - Modeling ion channels: past, present, and future.
AB - Ion channels are membrane-bound enzymes whose catalytic sites are ion-conducting
pores that open and close (gate) in response to specific environmental stimuli.
Ion channels are important contributors to cell signaling and homeostasis. Our
current understanding of gating is the product of 60 plus years of voltage-clamp
recording augmented by intervention in the form of environmental, chemical, and
mutational perturbations. The need for good phenomenological models of gating has
evolved in parallel with the sophistication of experimental technique. The goal
of modeling is to develop realistic schemes that not only describe data, but also
accurately reflect mechanisms of action. This review covers three areas that have
contributed to the understanding of ion channels: traditional Eyring kinetic
theory, molecular dynamics analysis, and statistical thermodynamics. Although the
primary emphasis is on voltage-dependent channels, the methods discussed here are
easily generalized to other stimuli and could be applied to any ion channel and
indeed any macromolecule.
PMID- 24935745
TI - Thermo-reversible gelation of rod-coil and coil-rod-coil molecules based on
poly(dimethyl siloxane) and perylene imides and self-sorting of the homologous
pair.
AB - Organogels with perylene derivatives and phthalocyanines reported in the
literature so far involve self-assembly promoted by hydrogen bonds, in addition
to aromatic and van der Waals interactions. Although the self assembly of these
types of molecules without a hydrogen bonding group in the structure occurs in
solution or during crystallization, the gelation studies reported so far
incorporated a hydrogen bonding pair of the type N-H...O=C in the structure of
the molecule. We present a case of thermo-reversible gelation without a hydrogen
bonding group in the structure of (1) a coil-rod-coil molecule based on
perylenetetracarboxylic diimide (PTCDI) and poly(dimethyl siloxane) (PDMS) and
(2) a rod-coil molecule with perylene dicarboxylic imide (PDI) and PDMS. However
IR spectroscopy shows the presence of multiple types of hydrogen bonding between
the solvents and the gelator molecules. In addition, publications so far on
gelation of perylene diimide based molecules involve groups attached to both
imide nitrogens and with or without substitution in the bay position. We discuss
here the gelation with a Mono-substituted perylene imide. The PDMS segment was
attached to one side of PDI (Mono-PDMS) or to both imide nitrogens of PTCDI (Di
PDMS). The Mono-PDMS is an inverse macromolecular surfactant applicable to non
aqueous systems, and the Di-PDMS is a Gemini surfactant. The PDMS segment that we
attached to PTCDI here is longer than most substituents used by other authors.
These molecules gel propylamine, as well as mixed solvents of hexane-water and
diisopropylamine-water. Both hexane and diisopropylamine dissolve Mono-PDMS and
Di-PDMS at room temperature and addition of water results in precipitation.
However, heating the solution to about 70 degrees C, adding water (5-15 wt%) and
slowly cooling the solution, lead to gelation. The Di-PDMS forms fibers which are
not flat but curved as an eaves trough. The Mono-PDMS forms hollow spheres.
Although the Mono-PDMS and Di-PDMS are a homologous pair, blends of these do not
show molecular intercalation during gelation, but self-sort. The fibers of Di
PDMS based gels encapsulate the spheres of the Mono-PDMS based gels.
PMID- 24935743
TI - Imaging P2X4 receptor subcellular distribution, trafficking, and regulation using
P2X4-pHluorin.
AB - P2X4 receptors are adenosine triphosphate (ATP)-gated cation channels present on
the plasma membrane (PM) and also within intracellular compartments such as
vesicles, vacuoles, lamellar bodies (LBs), and lysosomes. P2X4 receptors in
microglia are up-regulated in epilepsy and in neuropathic pain; that is to say,
their total and/or PM expression levels increase. However, the mechanisms
underlying up-regulation of microglial P2X4 receptors remain unclear, in part
because it has not been possible to image P2X4 receptor distribution within, or
trafficking between, cellular compartments. Here, we report the generation of pH
sensitive fluorescently tagged P2X4 receptors that permit evaluations of cell
surface and total receptor pools. Capitalizing on information gained from
zebrafish P2X4.1 crystal structures, we designed a series of mouse P2X4
constructs in which a pH-sensitive green fluorescent protein, superecliptic
pHluorin (pHluorin), was inserted into nonconserved regions located within
flexible loops of the P2X4 receptor extracellular domain. One of these
constructs, in which pHluorin was inserted after lysine 122 (P2X4-pHluorin123),
functioned like wild-type P2X4 in terms of its peak ATP-evoked responses,
macroscopic kinetics, calcium flux, current-voltage relationship, and sensitivity
to ATP. P2X4-pHluorin123 also showed pH-dependent fluorescence changes, and was
robustly expressed on the membrane and within intracellular compartments. P2X4
pHluorin123 identified cell surface and intracellular fractions of receptors in
HEK-293 cells, hippocampal neurons, C8-B4 microglia, and alveolar type II (ATII)
cells. Furthermore, it showed that the subcellular fractions of P2X4-pHluorin123
receptors were cell and compartment specific, for example, being larger in
hippocampal neuron somata than in C8-B4 cell somata, and larger in C8-B4
microglial processes than in their somata. In ATII cells, P2X4-pHluorin123 showed
that P2X4 receptors were secreted onto the PM when LBs undergo exocytosis.
Finally, the use of P2X4-pHluorin123 showed that the modulator ivermectin did not
increase the PM fraction of P2X4 receptors and acted allosterically to potentiate
P2X4 receptor responses. Collectively, our data suggest that P2X4-pHluorin123
represents a useful optical probe to quantitatively explore P2X4 receptor
distribution, trafficking, and up-regulation.
PMID- 24935744
TI - Calmodulation meta-analysis: predicting calmodulin binding via canonical motif
clustering.
AB - The calcium-binding protein calmodulin (CaM) directly binds to membrane transport
proteins to modulate their function in response to changes in intracellular
calcium concentrations. Because CaM recognizes and binds to a wide variety of
target sequences, identifying CaM-binding sites is difficult, requiring intensive
sequence gazing and extensive biochemical analysis. Here, we describe a
straightforward computational script that rapidly identifies canonical CaM
binding motifs within an amino acid sequence. Analysis of the target sequences
from high resolution CaM-peptide structures using this script revealed that CaM
often binds to sequences that have multiple overlapping canonical CaM-binding
motifs. The addition of a positive charge discriminator to this meta-analysis
resulted in a tool that identifies potential CaM-binding domains within a given
sequence. To allow users to search for CaM-binding motifs within a protein of
interest, perform the meta-analysis, and then compare the results to target
peptide-CaM structures deposited in the Protein Data Bank, we created a website
and online database. The availability of these tools and analyses will facilitate
the design of CaM-related studies of ion channels and membrane transport
proteins.
PMID- 24935746
TI - Unexplained anaemia in the elderly is characterised by features of low grade
inflammation.
PMID- 24935747
TI - Flaw in a disposable carbon dioxide absorber system.
PMID- 24935748
TI - Plasma ropivacaine concentration following ultrasound-guided subcostal
transversus abdominis plane block in adults.
AB - Ultrasound-guided subcostal transversus abdominis plane block (TAPB) is widely
used for abdominal surgery; however, arterial plasma concentration of the
anesthetic ropivacaine after the blockade is still unclear. We evaluated
ropivacaine concentration after subcostal TAPB in adult patients undergoing upper
abdominal surgery. Twelve patients with American Society of Anesthesiologists
physical status 1-2 were enrolled. They received ultrasound-guided subcostal TAPB
with 0.45 % ropivacaine at 3 mg/kg. Arterial plasma samples were collected at 15,
30, 45, 60, 90, and 120 min after the blockade and analyzed for total ropivacaine
concentration using liquid chromatography and mass spectrometry. At every time
point, the maximum concentrations (C(max)), and time to the C max (T(max)) were
recorded. The mean C(max) and T(max) were 1.87 (0.78) ug/ml and 31.3 (16.7) min,
respectively. No adverse events or clinical symptoms indicating systemic toxicity
were observed during this study. The study demonstrated that administration of
ropivacaine at 3 mg/kg during subcostal TAPB led to rapid increases in plasma
concentration of the anesthetic during the first 2 h after the blockade. C(max)
nearly reached the threshold for systemic toxicity.
PMID- 24935749
TI - Pilot implementation of allied health assistant roles within publicly funded
health services in Queensland, Australia: results of a workplace audit.
AB - BACKGROUND: Allied health assistants provide delegated support for physical
therapists, occupational therapists and other allied health professionals.
Unfortunately the role statements, scope of practice and career pathways of these
assistant positions are often unclear. To inform the future development of the
allied health assistant workforce, a state-wide pilot project was implemented and
audited. METHODS: New allied health assistant positions were implemented in
numerous settings at three levels (trainee level, full (standard) scope and
advanced scope level). Six months after implementation, 41 positions were
audited, using a detailed on-site audit process, conducted by multiple audit
teams. RESULTS: Thematically analysed audit findings indicated that both the full
(standard) scope and the advanced scope positions were warranted, however the
skills of the allied health assistants were not optimally utilised. Contributing
factors to this underutilization included the reluctance of professionals to
delegate clinical tasks, inconsistencies in role descriptions, limitations in
training, and the time frame taken to reach an effective skill level.
CONCLUSIONS: Optimal utilisation of assistants is unlikely to occur while
professionals withhold delegation of tasks related to direct patient care. Formal
clinical supervision arrangements and training plans should be established in
order to address the concerns of professionals and accelerate full utilisation of
assistants. Further work is necessary to identify the key components and
distinguish key features of an advanced allied health assistant role.
PMID- 24935751
TI - Recycling of carbon dioxide to methanol and derived products - closing the loop.
AB - Starting with coal, followed by petroleum oil and natural gas, the utilization of
fossil fuels has allowed the fast and unprecedented development of human society.
However, the burning of these resources in ever increasing pace is accompanied by
large amounts of anthropogenic CO2 emissions, which are outpacing the natural
carbon cycle, causing adverse global environmental changes, the full extent of
which is still unclear. Even through fossil fuels are still abundant, they are
nevertheless limited and will, in time, be depleted. Chemical recycling of CO2 to
renewable fuels and materials, primarily methanol, offers a powerful alternative
to tackle both issues, that is, global climate change and fossil fuel depletion.
The energy needed for the reduction of CO2 can come from any renewable energy
source such as solar and wind. Methanol, the simplest C1 liquid product that can
be easily obtained from any carbon source, including biomass and CO2, has been
proposed as a key component of such an anthropogenic carbon cycle in the
framework of a "Methanol Economy". Methanol itself is an excellent fuel for
internal combustion engines, fuel cells, stoves, etc. It's dehydration product,
dimethyl ether, is a diesel fuel and liquefied petroleum gas (LPG) substitute.
Furthermore, methanol can be transformed to ethylene, propylene and most of the
petrochemical products currently obtained from fossil fuels. The conversion of
CO2 to methanol is discussed in detail in this review.
PMID- 24935750
TI - Assistance of ethyl glucuronide and ethyl sulfate in the interpretation of
postmortem ethanol findings.
AB - Postmortem ethanol formation is a well-known problem in forensic toxicology. The
aim of this study was to interpret findings of ethanol in blood, in a large
collection of forensic autopsy cases, by use of the nonoxidative ethanol
metabolites, ethyl glucuronide (EtG), and ethyl sulfate (EtS). In this study,
according to previously published literature, antemortem ethanol ingestion was
excluded in EtS-negative cases. Among 493 ethanol-positive forensic autopsy
cases, collected during the study period, EtS was not detected in 60 (12 %) of
the cases. Among cases with a blood alcohol concentration (BAC) of <= 0.54 g/kg,
antemortem ethanol ingestion was excluded in 38 % of the cases, while among cases
with a BAC of >= 0.55 g/kg, antemortem ethanol ingestion was excluded in 2.2 % of
the cases. For all cases where ethanol was measured at a concentration >1.0 g/kg,
EtS was detected. The highest blood ethanol concentration in which EtS was not
detected was 1.0 g/kg. The median concentrations of EtG and EtS in blood were 9.5
MUmol/L (range: not detected (n.d.) 618.1) and 9.2 MUmol/L (range: n.d. 182.5),
respectively. There was a statistically significant positive correlation between
concentration levels of ethanol and of EtG (Spearman's rho=0.671, p<0.001) and
EtS (Spearman's rho=0.670, p<0.001), respectively. In conclusion, this study
showed that in a large number of ethanol-positive forensic autopsy cases, ethanol
was not ingested before the time of death, particularly among cases where ethanol
was present in lower blood concentrations. Routine measurement of EtG and EtS
should therefore be recommended, especially in cases with BAC below 1 g/kg.
PMID- 24935752
TI - Impact of drinking water conditions and copper materials on downstream biofilm
microbial communities and Legionella pneumophila colonization.
AB - AIMS: This study examined the impact of pipe materials and introduced Legionella
pneumophila on downstream Leg. pneumophila colonization and microbial community
structures under conditions of low flow and low chlorine residual. METHODS AND
RESULTS: CDC biofilm(TM) reactors containing either unplasticized
polyvinylchloride (uPVC) or copper (Cu) coupons were used to develop mature
biofilms on Norprene(TM) tubing effluent lines to simulate possible in-premise
biofilm conditions. The microbial communities were characterized through 16S and
18S rRNA gene clone libraries and Leg. pneumophila colonization was determined
via specific qPCR assays. The Cu significantly decreased downstream microbial
diversity, approximately halved bacterial and eukaryotic abundance, with some
groups only detected in uPVC-reactor tubing biofilms. However, some probable
amoeba-resisting bacteria (ARB) like Mycobacterium spp. and Rhodobacteraceae were
significantly more abundant in the Cu than uPVC-reactor tubing biofilms. In
particular, Leg. pneumophila only persisted (postinoculation) within the Cu
reactor tubing biofilms, and the controlled low chlorine residue and water flow
conditions led to a general high abundance of possible free-living protozoa in
all tubing biofilms. The higher relative abundance of ARB-like sequences from Cu
coupons vs uPVC may have been promoted by amoebal selection and subsequent ARB
protection from Cu inhibitory effects. CONCLUSIONS: Copper pipe and low flow
conditions had significant impact on downstream biofilm microbial structures (on
plastic pipe) and the ability for Leg. pneumophila colonization post an
introduction event. SIGNIFICANCE AND IMPACT OF THE STUDY: This is the first
report that compares the effects of copper and uPVC materials on downstream
biofilm communities grown on a third (Norprene(TM)) surface material. The
downstream biofilms contained a high abundance of free-living amoebae and ARB,
which may have been driven by a lack of residual disinfectant and periodic
stagnant conditions. Given the prevalence of Cu-piping in buildings, there may be
increased risk from drinking water exposures to ARB following growth on
pipe/fixture biofilms within premise drinking water systems.
PMID- 24935753
TI - Associations between maternal depressive symptoms and child feeding practices in
a cross-sectional study of low-income mothers and their young children.
AB - BACKGROUND: Maternal depression may influence feeding practices important in
determining child eating behaviors and weight. However, the association between
maternal depressive symptoms and feeding practices has been inconsistent, and
most prior studies used self-report questionnaires alone to characterize feeding.
The purpose of this study was to identify feeding practices associated with
maternal depressive symptoms using multiple methodologies, and to test the
hypothesis that maternal depressive symptoms are associated with less responsive
feeding practices. METHODS: In this cross-sectional, observational study,
participants (n = 295) included low-income mothers and their 4- to 8-year-old
children. Maternal feeding practices were assessed via interviewer-administered
questionnaires, semi-structured narrative interviews, and videotaped observations
in home and laboratory settings. Maternal depressive symptoms were measured using
the Center for Epidemiologic Studies-Depression scale (CES-D). Regression
analyses examined associations between elevated depressive symptoms (CES-D score
>=16) and measures of maternal feeding practices, adjusting for: child sex, food
fussiness, number of older siblings; and maternal age, body mass index (BMI),
education, race/ethnicity, single parent status, perceived child weight, and
concern about child weight. RESULTS: Thirty-one percent of mothers reported
depressive symptoms above the screening cutoff. Mothers with elevated depressive
symptoms reported more pressuring of children to eat (beta = 0.29; 95% Confidence
Interval (CI): 0.03, 0.54) and more overall demandingness (beta = 0.16; 95% CI:
0.03, 0.29), and expressed lower authority in child feeding during semi
structured narrative interview (Odds Ratio (OR) for low authority: 2.82; 95% CI:
1.55, 5.12). In homes of mothers with elevated depressive symptoms, the
television was more likely audible during meals (OR: 1.91; 95% CI: 1.05, 3.48)
and mothers were less likely to eat with children (OR: 0.48; 95% CI: 0.27, 0.85).
There were no associations between maternal depressive symptoms and encouragement
or discouragement of food in laboratory eating interactions. CONCLUSIONS: Mothers
with elevated depressive symptoms demonstrated less responsive feeding practices
than mothers with lower levels of depressive symptoms. These results suggest that
screening for maternal depressive symptoms may be useful when counseling on
healthy child feeding practices. Given inconsistencies across methodologies,
future research should include multiple methods of characterizing feeding
practices and direct comparisons of different methodologies.
PMID- 24935754
TI - Six-year single-center survey on AKI requiring renal replacement therapy:
epidemiology and health care organization aspects.
AB - Evidence regarding hospital-based acute kidney injury (AKI) reveals a continuous
increase in incidence over the years, at least in intensive care units (ICU).
Fewer reports are available for non critically-ill patients admitted to general
or specialist wards other than ICU (non-ICU). The consequence of greater
incidence is an increase in therapies such as dialysis; but how the health care
organization deals with this problem is not clearly known. Here we quantified the
incidence of dialysis-requiring AKI (AKI-D) among patients admitted to a
University Hospital which serves a population of 354,000 inhabitants. Between
2007 and 2012, the incidence of AKI-D increased from 209 to 410 per million
population (pmp)/year; age of patients and cardiovascular comorbid pathologies
also increased. AKI-D was more frequent in non-ICU and 32% of patients were
admitted to ICU. Considering the site of treatment of non-ICU patients, in 2007
the ratio of patients admitted to non-ICU wards apart from Nephrology to those
admitted to Nephrology was 1:1, but in 2012 the ratio increased to 2.4:1 (p <
0.05). The complexity of acute disease, measured with the New Simplified Acute
Physiology Score (SAPS II), did not reveal differences over the years. The number
of dialysis treatments/year increased by 82%, and the total hours/year increased
by 86%. Low-efficiency daily dialysis was performed in 52.4% of patients admitted
to ICU, but dialysis sessions longer than 8 h were performed in only 40% of
cases. Overall, 6-year mortality was 48.8%, without significant differences over
the years. Mortality in ICU was 65.6%, and in non-ICU 41.2% (p < 0.001). Dialysis
treatments needed to be continued after hospital discharge in 21% of patients. We
conclude that dialysis-requiring AKI is becoming more common, and that two-thirds
of patients are admitted as non-ICU: in these patients, during the last year of
the study, the treatment site was more frequently in non-ICUs other than
Nephrology. Over the 6-year period, the local healthcare organization had to
dispense 80% more dialysis treatments/year in terms of total number and hours of
treatment. One-fifth of surviving patients needed to continue dialysis after
hospital discharge. Our data highlight the public health importance of AKI and
the need for adequate resources for Nephrology.
PMID- 24935756
TI - Socioeconomic status and the reward value of smoking following tobacco
abstinence: a laboratory study.
AB - INTRODUCTION: Socioeconomic status (SES) indicators are robustly associated with
smoking behaviors. Yet, the psychological mechanisms underlying relations are
unclear. This study merged the socioecological construct of SES with laboratory
psychological science to investigate how income, education, and employment status
predicted the reward value of smoking following tobacco abstinence among a
diverse sample of adult daily smokers. We hypothesized that participants with
lower SES (i.e., less education, lower income, and unemployed) would experience
greater abstinence-induced enhancement of the reward value of smoking. METHODS:
Adult smokers (N = 240; 68.7% male; 51.7% Black, 33.8% White, 7.1% Latino, and
7.5% other) attended 2 laboratory sessions (1 nonabstinent and 1 following 16-hr
tobacco abstinence) involving behavioral assessment of (a) latency to smoking
when delaying smoking was monetarily rewarded and (b) purchasing individual
cigarettes. Generalized estimating equations were used to test the interaction
between each SES variable (education, income, and employment) and abstinence
state to illustrate whether participants with certain SES characteristics were
more sensitive to the abstinence-induced enhancement of the relative reward value
of smoking. RESULTS: Participants who never attended college (vs. college
attendees) exhibited greater abstinence-induced enhancement of the reward value
of smoking, which was indicated by reduced willingness to delay smoking for money
(ps = .03). Income and employment status did not moderate abstinence effects.
CONCLUSIONS: Less-educated smokers were particularly motivated to smoke during
acute abstinence. Observed educational disparities in smoking behaviors and
smoking cessation might reflect a biased valuation of immediate drug-related
(over less immediate alternative) rewards. Future research should explore
potential mediators of this association.
PMID- 24935755
TI - Smoking reductions and increased self-efficacy in a randomized controlled trial
of smoking abstinence-contingent incentives in residential substance abuse
treatment patients.
AB - INTRODUCTION: Individuals with substance use disorders (SUDs) experience
increased smoking-related morbidity and mortality but severely compromised
smoking treatment benefits. Residential SUD treatment settings may be
particularly positioned to target smoking, with ever-increasing smoking bans and
culture shifts, but most smokers continue smoking. This study examined the
effects of contingency management (CM) for increasing smoking abstinence in
residential patients. METHODS: Smokers interested in quitting were recruited from
a residential SUD program for men and were randomized to frequent smoking
monitoring with behavioral support (monitoring; n = 21) or that plus smoking
abstinence-contingent (expired carbon monoxide [CO] <= 6 ppm; urinary cotinine <=
30ng/ml) incentives (CM, n = 24) for 4 weeks. After setting a quit date,
procedures included daily behavioral support and smoking self-reports, 2 CO
samples (a.m./p.m.) Monday through Friday, and cotinine tests on Mondays. CM
participants received escalating draws for prizes ($1, $20, and $100 values) for
negative tests; positive and missed samples reset draws. Follow-ups involved
samples, self-reported smoking, and self-efficacy (weeks 4, 8, 12, and 24).
RESULTS: Percent days CO-negative was higher with CM (median [interquartile
range] 51.7% [62.8%]) compared to monitoring (0% [32.1%]) (p = .002). Cigarettes
per day declined and point-prevalence abstinence increased through follow-up (p <
.01), without significant group by time effects (p > .05). Abstinence self
efficacy increased overall during the intervention and more with CM compared to
monitoring and was associated with abstinence across conditions through follow
up. CONCLUSIONS: CM improved some measures of response to smoking treatment in
residential SUD patients.
PMID- 24935757
TI - Randomized trial of telephone-delivered acceptance and commitment therapy versus
cognitive behavioral therapy for smoking cessation: a pilot study.
AB - OBJECTIVE: We conducted a pilot randomized trial of telephone-delivered
acceptance and commitment therapy (ACT) versus cognitive behavioral therapy (CBT)
for smoking cessation. METHOD: Participants were 121 uninsured South Carolina
State Quitline callers who were adult smokers (at least 10 cigarettes/day) and
who wanted to quit within the next 30 days. Participants were randomized to 5
sessions of either ACT or CBT telephone counseling and were offered 2 weeks of
nicotine replacement therapy (NRT). RESULTS: ACT participants completed more
calls than CBT participants (M = 3.25 in ACT vs. 2.23 in CBT; p = .001).
Regarding satisfaction, 100% of ACT participants reported their treatment was
useful for quitting smoking (vs. 87% for CBT; p = .03), and 97% of ACT
participants would recommend their treatment to a friend (vs. 83% for CBT; p =
.06). On the primary outcome of intent-to-treat 30-day point prevalence
abstinence at 6 months postrandomization, the quit rates were 31% in ACT versus
22% in CBT (odds ratio [OR] = 1.5, 95% confidence interval [CI] = 0.7-3.4). Among
participants depressed at baseline (n = 47), the quit rates were 33% in ACT
versus 13% in CBT (OR = 1.2, 95% CI = 1.0-1.6). Consistent with ACT's theory,
among participants scoring low on acceptance of cravings at baseline (n = 57),
the quit rates were 37% in ACT versus 10% in CBT (OR = 5.3, 95% CI = 1.3-22.0).
CONCLUSIONS: ACT is feasible to deliver by phone, is highly acceptable to
quitline callers, and shows highly promising quit rates compared with standard
CBT quitline counseling. As results were limited by the pilot design (e.g., small
sample), a full-scale efficacy trial is now needed.
PMID- 24935759
TI - Fibrotic airway stenosis following radiotherapy in patients with adenoid cystic
carcinoma.
AB - BACKGROUND AND OBJECTIVE: Radiotherapy is usually administered to the central
airway in patients with unresectable adenoid cystic carcinoma (ACC). The purpose
of this study was to describe the outcomes of endobronchial intervention in
patients with airway stenosis following radiotherapy for ACC. Moreover, we
investigated the incidence and contributing factors for airway stenosis following
radiotherapy for ACC. METHODS: Forty-seven patients with ACC, who underwent
radiotherapy of the tracheobronchial tree from January 1995 to December 2011,
were reviewed retrospectively. Fibrotic airway stenoses were diagnosed using
three-dimensional computed tomography, flexible bronchoscopy or both. RESULTS:
Eleven (23%) of the 47 patients with ACC suffered fibrotic airway stenosis
following radiotherapy and received bronchoscopic intervention. The median
interval from radiotherapy to diagnosis of fibrotic airway stenosis was 7 months.
Low forced expiratory volume in 1 s (FEV1), FEV1 /forced vital capacity and
brachytherapy were verified as factors contributing to radiotherapy-induced
airway stenosis. Bronchoscopic intervention provided both symptomatic relief and
improvement of lung function, and no procedure-related death or major
complication was observed. Insertion of a straight silicone stent was required in
10 patients (91%), and 4 (36%) eventually received Y-shaped silicone stents. The
stents, once implanted, could not be removed in any of the patients; stents were
well-tolerated for a prolonged period in all patients. CONCLUSIONS: Fibrotic
airway stenosis following radiotherapy in patients with ACC is often found.
Bronchoscopic intervention, including silicone airway stenting, was a safe and
useful method for treating radiotherapy-induced fibrotic airway stenosis in
patients with ACC.
PMID- 24935758
TI - Short-echo three-dimensional H-1 MR spectroscopic imaging of patients with glioma
at 7 Tesla for characterization of differences in metabolite levels.
AB - BACKGROUND: The purpose of this study was to evaluate the feasibility of using a
short echo time, three-dimensional H-1 magnetic resonance spectroscopic imaging
(MRSI) sequence at 7 Tesla (T) to assess the metabolic signature of lesions for
patients with glioma. METHODS: Twenty-nine patients with glioma were studied.
MRSI data were obtained using CHESS water suppression, spectrally selective
adiabatic inversion-recovery pulses and automatically prescribed outer-volume
suppression for lipid suppression, and spin echo slice selection (echo time = 30
ms). An interleaved flyback echo-planar trajectory was applied to shorten the
total acquisition time (~10 min). Relative metabolite ratios were estimated in
tumor and in normal-appearing white and gray matter (NAWM, GM). RESULTS: Levels
of glutamine, myo-inositol, glycine, and glutathione relative to total creatine
(tCr) were significantly increased in the T2 lesions for all tumor grades
compared with those in the NAWM (P < 0.05), while N-acetyl aspartate to tCr were
significantly decreased (P < 0.05). In grade 2 gliomas, level of total choline
containing-compounds to tCr was significantly increased (P = 0.0137), while
glutamate to tCr was significantly reduced (P = 0.0012). CONCLUSION: The improved
sensitivity of MRSI and the increased number of metabolites that can be evaluated
using 7T MR scanners is of interest for evaluating patients with glioma. This
study has successfully demonstrated the application of a short-echo spin-echo
MRSI sequence to detect characteristic differences in regions of tumor versus
normal appearing brain.
PMID- 24935760
TI - Isolated shortness of breath in a woman with a history of Hodgkin lymphoma.
AB - BACKGROUND: Isolated shortness of breath in the patient with a history of a
malignancy creates a diagnostic challenge and serves as a source of anxiety.
Although cancer recurrence is typically the first concern of the patient and the
clinician, toxicities of anticancer therapies must also be considered. METHODS: A
case of a 49-year-old woman with a distant history of Hodgkin lymphoma with 2
months of progressive dyspnea is presented and discussed. RESULTS: Although the
patient was found to have bilateral pleural and pericardial effusions that were
concerning for a recurrence of malignancy, analysis and cytology of fluids were
negative for cancer. Instead a diagnosis of effusive-constrictive pericarditis
secondary to radiation therapy was made. CONCLUSION: When treating a patient with
a history of malignancy who presents with dyspnea, it is important to consider
the downstream effects related to cancer treatments, even decades later, to guide
specific therapies and to assuage the patient's fears.
PMID- 24935761
TI - High-mobility group box-1 in sterile inflammation.
AB - High-mobility group box 1 (HMGB1) was originally defined as a ubiquitous nuclear
protein, but it was later determined that the protein has different roles both
inside and outside of cells. Nuclear HMGB1 regulates chromatin structure and gene
transcription, whereas cytosolic HMGB1 is involved in inflammasome activation and
autophagy. Extracellular HMGB1 has drawn attention because it can bind to related
cell signalling transduction receptors, such as the receptor for advanced
glycation end products, Toll-like receptor (TLR)2, TLR4 and TLR9. It also
participates in the development and progression of a variety of diseases. HMGB1
is actively secreted by stimulation of the innate immune system, and it is
passively released by ischaemia or cell injury. This review focuses on the
important role of HMGB1 in the pathogenesis of acute and chronic sterile
inflammatory conditions. Strategies that target HMGB1 have been shown to
significantly decrease inflammation in several disease models of sterile
inflammation, and this may represent a promising clinical approach for treatment
of certain conditions associated with sterile inflammation.
PMID- 24935763
TI - Simultaneous determination of major type B trichothecenes and deoxynivalenol-3
glucoside in animal feed and raw materials using improved DSPE combined with LC
MS/MS.
AB - A simple and reliable method for simultaneous determination of deoxynivalenol-3
glucoside and major type B trichothecenes (deoxynivalenol, nivalenol, fusarenon
X, 3-acetyldeoxynivalenol, 15-acetyldeoxynivalenol and deepoxy-deoxynivalenol) in
animal feed and raw materials has been developed and validated in this study. The
method was based on an improved dispersive solid-phase extraction (DSPE) followed
by analysis using high performance liquid chromatography coupled to tandem mass
spectrometry (HPLC-MS/MS). Also, matrix-matched calibration curve (R(2)>0.99) was
employed to minimize matrix effects and ensure accurate quantification. The
recoveries during sample preparation process (including extraction and clean-up)
ranged from 79.03% to 118.39%, with intra-day and inter-day relative standard
deviation lower than 20% for all the analytes. The limit of quantification ranged
from 5.0 MUg/kg for deoxynivalenol to 13.6 MUg/kg for fusarenon X. The validated
method was successfully applied to the analysis of animal feed and corn. The
pilot study showed that 37 out of 41 samples were contaminated with
deoxynivalenol-3-glucoside at the levels of 6.0-121.0 MUg/kg. Most of the type B
trichothecenes were also found with the exception of fusarenon X, at the
contaminated levels of 10.0-1,382 MUg/kg. To the best of our knowledge, this was
the first scientific report on the co-occurrence of masked deoxynivalenol and
type B trichothecenes in animal feed and raw materials.
PMID- 24935764
TI - In memory of Joan Cordoba.
PMID- 24935765
TI - 'Hepatology' on the move.
PMID- 24935762
TI - Comparative genomics of Riemerella anatipestifer reveals genetic diversity.
AB - BACKGROUND: Riemerella anatipestifer is one of the most important pathogens of
ducks. However, the molecular mechanisms of R. anatipestifer infection are poorly
understood. In particular, the lack of genomic information from a variety of R.
anatipestifer strains has proved severely limiting. RESULTS: In this study, we
present the complete genomes of two R. anatipestifer strains, RA-CH-1 (2,309,519
bp, Genbank accession CP003787) and RA-CH-2 (2,166,321 bp, Genbank accession
CP004020). Both strains are from isolates taken from two different sick ducks in
the SiChuang province of China. A comparative genomics approach was used to
identify similarities and key differences between RA-CH-1 and RA-CH-2 and the
previously sequenced strain RA-GD, a clinical isolate from GuangDong, China, and
ATCC11845. CONCLUSION: The genomes of RA-CH-2 and RA-GD were extremely similar,
while RA-CH-1 was significantly different than ATCC11845. RA-CH-1 is 140,000 bp
larger than the three other strains and has 16 unique gene families. Evolutionary
analysis shows that RA-CH-1 and RA-CH-2 are closed and in a branch with
ATCC11845, while RA-GD is located in another branch. Additionally, the detection
of several iron/heme-transport related proteins and motility mechanisms will be
useful in elucidating factors important in pathogenicity. This information will
allow a better understanding of the phenotype of different R. anatipestifer
strains and molecular mechanisms of infection.
PMID- 24935766
TI - Liver international - between tradition and innovation.
PMID- 24935767
TI - Diabetes in athletes.
AB - Diabetes is not necessarily as debilitating to a sportsperson's career as some
people might think.With proper management, any sport is possible. Talha Khan
Burki reports.
PMID- 24935768
TI - Maternal morbidity of women receiving birth center care in New South Wales: a
matched-pair analysis using linked health data.
AB - BACKGROUND: Around 2 percent of women who give birth in Australia each year do so
in a birth center. New South Wales, Australia's largest state, accounts for
almost half of these births. Previous studies have highlighted the need for
better quality data on maternal morbidity and mortality, to fully evaluate the
safety of birth center care. AIMS: This study aimed to examine maternal morbidity
related to birth center care for women in New South Wales. METHODS: A
retrospective cohort study with matched-pairs was conducted using linked health
data for New South Wales. Maternal outcomes were compared for women who intended
to give birth in a birth center, matched with women who intended to give birth in
the co-located hospital labor ward. RESULTS: Rates of maternal outcomes,
including postpartum hemorrhage, retained placenta, and postpartum infection,
were significantly lower in the birth center group, after controlling for
demographic and institutional factors. Interventions such as cesarean section and
episiotomy were also significantly lower in these women, and the rate of
breastfeeding at discharge was higher. There existed no difference in length of
stay, admission to ICU, or maternal mortality. CONCLUSIONS: Birth centers are a
safe option for low-risk women; however, further research is required for some
rare maternal outcomes.
PMID- 24935769
TI - Tracheo-innominate artery fistula with severe motor and intellectual disability:
incidence and therapeutic management.
AB - OBJECTIVE: Tracheo-innominate artery fistula (TIF) is a rare but life-threatening
complication following tracheostomy or tracheoesophageal diversion (TED).
Although successful surgical intervention for TIF has been reported, few studies
have been performed in patients with severe motor and intellectual disability
(SMID). Therefore, we aimed to analyze TIF in patients with SMID to clarify the
clinical variables predicting the occurrence and adequate management for
lifesaving of TIF. METHODS: We retrospectively reviewed the records of patients
with SMID undergoing surgical tracheostomy and TED between 2006 and 2012 and
identified those with TIF. When TIF occurred, we obtained the clinical status and
emergency management. RESULTS: Of 70 patients who underwent tracheostomy or TED
during the study period, three patients had TIFs; in one case, TIF was avoided by
ligation of the innominate artery before TED. The incidence of TIF in those
undergoing tracheostomy and TED was 2.3% and 7.4%, respectively. The interval
between tracheostomy and TIF was 14-50 months. CONCLUSIONS: Patients with SMID
may have an increased risk of TIF. Prompt diagnosis and surgical intervention to
control the bleeding is the only effective management at present.
PMID- 24935770
TI - The PHLDB1 rs498872 (11q23.3) polymorphism and glioma risk: A meta-analysis.
AB - The association between the rs498872 single nucleotide polymorphism (SNP) and
glioma risk has been studied, but these studies have yielded conflicting results.
In order to explore this association, we performed a meta-analysis. A
comprehensive literature search was performed using PubMed and EMBASE database,
with the last search up to August 23, 2013. Six articles including 10 case
control studies in English with 18 002 controls and 8434 cases were eligible for
the meta-analysis. Subgroup analyses were conducted by source of controls and
ethnicity. The combined results showed that rs498872 polymorphism was
significantly associated with glioma risks (TT vs CC: OR = 1.337, 95% CI = 1.222
1.462; TC vs CC: OR = 1.173, 95% CI = 1.081-1.272; dominant model: OR = 1.199,
95% CI = 1.101-1.306; recessive model: OR = 1.237, 95% CI = 1.135-1.347; additive
model: OR = 1.156, 95% CI = 1.085-1.232). Moreover, there was increased cancer
risk in all genetic models after stratification of the SNP data by the source of
controls and ethnicity, and no evidence of publication bias was produced. Our
meta-analysis suggested that rs498872 polymorphism was associated with increased
risk of glioma. However, additional studies exploring the combined effects of
rs498872 polymorphisms in Asian population should be investigated.
PMID- 24935771
TI - A multi-level analysis of the effects of age and gender stereotypes on trust in
anthropomorphic technology by younger and older adults.
AB - Previous research has shown that gender stereotypes, elicited by the appearance
of the anthropomorphic technology, can alter perceptions of system reliability.
The current study examined whether stereotypes about the perceived age and gender
of anthropomorphic technology interacted with reliability to affect trust in such
technology. Participants included a cross-section of younger and older adults.
Through a factorial survey, participants responded to health-related vignettes
containing anthropomorphic technology with a specific age, gender, and level of
past reliability by rating their trust in the system. Trust in the technology was
affected by the age and gender of the user as well as its appearance and
reliability. Perceptions of anthropomorphic technology can be affected by pre
existing stereotypes about the capability of a specific age or gender.
PRACTITIONER SUMMARY: The perceived age and gender of automation can alter
perceptions of the anthropomorphic technology such as trust. Thus, designers of
automation should design anthropomorphic interfaces with an awareness that the
perceived age and gender will interact with the user's age and gender
PMID- 24935772
TI - Vomitting, pregnancy and gastric bypass: a bariatric emergency?
PMID- 24935773
TI - ALS: Control ventilation, manage respiratory secretions and, when required,
oversee the process of dying.
PMID- 24935774
TI - Temperature and composition dependence of short-range order and entropy, and
statistics of bond length: the semiconductor alloy (GaN)(1-x)(ZnO)(x).
AB - We present total energy and force calculations for the (GaN)1-x(ZnO)x alloy. Site
occupancy configurations are generated from Monte Carlo (MC) simulations, on the
basis of a cluster expansion model proposed in a previous study. Local atomic
coordinate relaxations of surprisingly large magnitude are found via density
functional calculations using a 432-atom periodic supercell, for three
representative configurations at x = 0.5. These are used to generate bond-length
distributions. The configurationally averaged composition- and temperature
dependent short-range order (SRO) parameters of the alloys are discussed. The
entropy is approximated in terms of pair distribution statistics and thus related
to SRO parameters. This approximate entropy is compared with accurate numerical
values from MC simulations. An empirical model for the dependence of the bond
length on the local chemical environments is proposed.
PMID- 24935776
TI - Correspondence Between Aberrant Intrinsic Network Connectivity and Gray-Matter
Volume in the Ventral Brain of Preterm Born Adults.
AB - Widespread brain changes are present in preterm born infants, adolescents, and
even adults. While neurobiological models of prematurity facilitate powerful
explanations for the adverse effects of preterm birth on the developing brain at
microscale, convincing linking principles at large-scale level to explain the
widespread nature of brain changes are still missing. We investigated effects of
preterm birth on the brain's large-scale intrinsic networks and their relation to
brain structure in preterm born adults. In 95 preterm and 83 full-term born
adults, structural and functional magnetic resonance imaging at-rest was used to
analyze both voxel-based morphometry and spatial patterns of functional
connectivity in ongoing blood oxygenation level-dependent activity. Differences
in intrinsic functional connectivity (iFC) were found in cortical and subcortical
networks. Structural differences were located in subcortical, temporal, and
cingulate areas. Critically, for preterm born adults, iFC-network differences
were overlapping and correlating with aberrant regional gray-matter (GM) volume
specifically in subcortical and temporal areas. Overlapping changes were
predicted by prematurity and in particular by neonatal medical complications.
These results provide evidence that preterm birth has long-lasting effects on
functional connectivity of intrinsic networks, and these changes are specifically
related to structural alterations in ventral brain GM.
PMID- 24935775
TI - Type 1 diabetes through the life span: a position statement of the American
Diabetes Association.
PMID- 24935777
TI - The Resting Brain of Alcoholics.
AB - Chronic alcohol consumption affects multiple cognitive processes supported by far
reaching cerebral networks. To identify neurofunctional mechanisms underlying
selective deficits, 27 sober alcoholics and 26 age-matched controls underwent
resting-state functional magnetic resonance imaging and neuropsychological
testing. Functional connectivity analysis assessed the default mode network
(DMN); integrative executive control (EC), salience (SA), and attention (AT)
networks; primary somatosensory, auditory, and visual (VI) input networks; and
subcortical reward (RW) and emotion (EM) networks. The groups showed an extensive
overlap of intrinsic connectivity in all brain networks examined, suggesting
overall integrity of large-scale functional networks. Despite these similar
patterns, connectivity analyses identified network-specific differences of weaker
within-network connectivity and expanded connectivity to regions outside the main
networks in alcoholics compared with controls. For AT and VI networks, better
task performance was related to expanded connectivity in alcoholism, supporting
the concept of network expansion as a neural mechanism for functional
compensation. For default mode, SA, RW, and EC networks, both weaker within
network and expanded outside-network connectivity correlated with poorer
performance and mood. Current smoking contributed to some of these abnormalities
in connectivity. The observed pattern of resting-state connectivity might reflect
neural vulnerability of intrinsic networking in alcoholics and suggests a
mechanism to explain signature impairments in EM, RW evaluation, and EC ability.
PMID- 24935778
TI - Neural Evidence for the Flexible Control of Mental Representations.
AB - This study was designed to explore neural evidence for the simultaneous
engagement of multiple mental codes while retaining a visual object in short-term
memory (STM) and, if successful, to explore the neural bases of strategic
prioritization among these codes. We used multivariate pattern analysis of fMRI
data to track patterns of brain activity associated with three common mental
codes: visual, verbal, and semantic. When participants did not know which
dimension of a sample stimulus would be tested, patterns of brain activity during
the memory delay indicated that a visual representation was quickly augmented
with both verbal and semantic re-representations of the stimulus. The verbal code
emerged as most highly activated, consistent with a canonical visual-to
phonological recoding operation in STM. If participants knew which dimension of a
sample stimulus would be tested, brain activity patterns were biased toward the
probe-relevant stimulus dimension. Interestingly, probe-irrelevant neural states
persisted at an intermediate level of activation when they were potentially
relevant later in the trial, but dropped to baseline when cued to be irrelevant.
These results reveal the neural dynamics underlying the creation and retention of
mental codes, and they illustrate the flexible control that humans can exert over
these representations.
PMID- 24935780
TI - Body size, nuptial pad size and hormone levels: potential non-destructive
biomarkers of reproductive health in wild toads (Bufo bufo).
AB - Amphibians are declining and fertility/fecundity are major drivers of population
stability. The development of non-destructive methods to assess reproductive
health are needed as destructive measures are fundamentally at odds with
conservation goals for declining species. We investigated the utility of body
size, nuptial pad size and forelimb width as non-destructive biomarkers of
internal reproductive physiology, by analysing correlations with commonly used
destructive methods in adult male toads (Bufo bufo) from a low human impact and a
high human impact site. Principal component analyses revealed that size was the
most important variable for explaining inter-individual differences in other
measured endpoints, both non-destructive and destructive, except for hormone
levels and nuptial pad, which were independent of size. Toads from the LI and the
HI site differed in almost all of the measured endpoints; this was largely driven
by the significantly smaller size of toads from the HI site. Correlational
analyses within sites revealed that size was correlated with several reproductive
endpoints in toads from the HI site but not the LI site, indicating a possible
limiting effect of size on reproductive physiology. Intersex was observed in 33%
of toads from the HI site and incidence was not related to any other measured
endpoint. In conclusion, we provide evidence that size is associated with
reproductive physiology and that nuptial pad/hormone levels have potential as
additional markers due to their independence from size. We also show that human
activities can have a negative effect on reproductive physiology of the common
toad.
PMID- 24935779
TI - Delayed remote ischemic postconditioning protects against transient cerebral
ischemia/reperfusion as well as kainate-induced injury in rats.
AB - To test the appropriateness of using delayed remote ischemic postconditioning
against damage caused to the hippocampus by ischemia or apoptosis inducing
intoxication, we chose 10-min normothermic ischemia induced by four-vessel
occlusion or kainate injection (8 mg/kg i.p.) in rats. Ischemia alone caused the
number of degenerated CA1 neurons after 7 days lasting reperfusion to be
significantly (p<0.001) increased by 72.77%. Delayed remote ischemic
postconditioning lasting 20 min was able to prevent massive increase in the
neurodegeneration. The group with 10 min of ischemia and postconditioning after 2
days of reperfusion had only 15.87% increase in the number of apoptotic neurons.
Seven days after kainic acid injection the number of surviving neurons was 42.8%
(p<0.001), but the portion of surviving pyramidal cells in the postconditioning
group is more than 98%. Our data show that remote postconditioning, performed
with 20 min of tourniquet ischemia applied to the hind limb, is a simple method
able to effectively stop the onset of neurodegeneration and prevent occurrence of
massive muscle cell necrosis, even when used 2 days after the end of the adverse
event. Surviving neurons retained a substantial part of their learning and memory
ability.
PMID- 24935783
TI - Novel strategy for treating neural disease.
PMID- 24935781
TI - The in vitro and in vivo anti-tumor effects of MTX-Fe3O 4-PLLA-PEG-PLLA
microspheres prepared by suspension-enhanced dispersion by supercritical CO2.
AB - The in vitro and in vivo anti-tumor efficacy of methotrexate-loaded Fe3O4-poly-L
lactide-poly(ethylene glycol)-poly-L-lactide magnetic composite microspheres (MTX
Fe3O4-PLLA-PEG-PLLA MCMs, MMCMs), which were produced by co-precipitation (C) and
microencapsulation (M) in a supercritical process, was evaluated at various
levels: cellular, molecular, and integrated. The results at the cellular level
indicate that MMCMs (M) show a better anti-proliferation activity than raw MTX
and could induce morphological changes of cells undergoing apoptosis. At the
molecular level, MMCMs (M) lead to a significantly higher relative mRNA
expression of bax/bcl-2 and caspase-3 than MMCMs (C) at 10 MUg mL(-1) (P<0.01);
and the pro-caspase-3 protein expression measured by Western blot analysis also
demonstrates that MMCMs (M) can effectively activate pro-caspase-3. At the
integrated level, mice bearing a sarcoma-180 tumor are used; in vivo anti-tumor
activity tests reveal that MMCMs (M) with magnetic induction display a much
higher tumor suppression rate and lower toxicity than raw MTX. Pharmacokinetic
studies show that MMCMs (M) with magnetic induction significantly increase the
accumulation of MTX in the tumor tissue compared with the other treatments. These
results suggest that the MMCMs (M) prepared by the SpEDS process have great
potential to play a positive role in the magnetic targeted therapy field.
PMID- 24935782
TI - A novel manganese complex LMnAc selectively kills cancer cells by induction of
ROS-triggered and mitochondrial-mediated cell death.
AB - We previously identified a novel synthesized metal compound, LMnAc
([L2Mn2(Ac)(H2O)2](Ac) (L=bis(2-pyridylmethyl) amino-2-propionic acid)). This
compound exhibited significant inhibition on cancer cell proliferation and was
more selective against cancer cells than was the popular chemotherapeutic reagent
cisplatin. In this study, we further investigated the underlying molecular
mechanisms of LMnAc-induced cancer cell death. We found that LMnAc achieved its
selectivity against cancer cells through the transferrin-transferrin receptor
system, which is highly expressed in tumor cells. LMnAc triggered cancer cells to
commit autophagy and apoptosis, which was mediated by the mitochondrial pathway.
Moreover, LMnAc disrupted mitochondrial function, resulting in mitochondrial
membrane potential collapse and ATP reduction. In addition, LMnAc induced
intracellular Ca(2+) overload and reactive oxygen species generation.
Interestingly, its anticancer effect was significantly reduced following
pretreatment with the antioxidant N-acetyl cysteine, indicating that reactive
oxygen species triggered cell death. Altogether, our data suggest that LMnAc
appears to be a selectively promising anticancer drug candidate.
PMID- 24935784
TI - The estimation of calibration equations for variables with heteroscedastic
measurement errors.
AB - In clinical chemistry and medical research, there is often a need to calibrate
the values obtained from an old or discontinued laboratory procedure to the
values obtained from a new or currently used laboratory method. The objective of
the calibration study is to identify a transformation that can be used to convert
the test values of one laboratory measurement procedure into the values that
would be obtained using another measurement procedure. However, in the presence
of heteroscedastic measurement error, there is no good statistical method
available for estimating the transformation. In this paper, we propose a set of
statistical methods for a calibration study when the magnitude of the measurement
error is proportional to the underlying true level. The corresponding sample size
estimation method for conducting a calibration study is discussed as well. The
proposed new method is theoretically justified and evaluated for its finite
sample properties via an extensive numerical study. Two examples based on real
data are used to illustrate the procedure.
PMID- 24935785
TI - The two-year incidence of depression and anxiety disorders in spousal caregivers
of persons with dementia: who is at the greatest risk?
AB - OBJECTIVE: Caregivers of persons with dementia play an important and economically
valuable role within society, but many may do so at a considerable cost to
themselves. Knowing which caregivers have the highest risk of developing a mental
disorder may contribute to better support of ultra-high-risk groups with
preventive interventions. This study aims to describe the incidence of depression
and anxiety disorders in caregivers and to identify its significant predictors.
DESIGN: Prospective cohort study with a follow-up of 24 months. PARTICIPANTS: 181
spousal caregivers of persons with dementia without a clinical depression or
anxiety disorder at baseline. SETTING: Memory clinics, case management services,
and primary care settings in the Netherlands. MEASUREMENTS: The onset of
depression and anxiety was measured every 3 months with the MINI International
Neuropsychiatric Interview, a structured diagnostic instrument for DSM-IV mental
disorders. Potential predictors were assessed at baseline. RESULTS: 60% of the
caregivers developed a depressive and/or anxiety disorder within 24 months: 37% a
depression, 55% an anxiety disorder, and 32% both disorders. Sub-threshold
depressive symptoms (Wald chi2=6.20, df=1, OR: 3.2, 95% CI: 1.28-8.03, p=0.013)
and poor self-reported health of the caregiver (Wald chi2=5.56, df=1, OR: 1.17,
95% CI: 1.03-1.34, p=0.018) at baseline were significant predictors of disorder
onset. CONCLUSION: Spousal caregivers of persons with dementia have a high risk
to develop a mental disorder. Indicators related to the caregiver's (mental)
health rather than environmental stressors such as patient characteristics or
interruption of caregivers' daily activities predict disorder onset and can be
used to identify caregivers for whom supporting preventive interventions are
indicated.
PMID- 24935786
TI - Interdisciplinary chemical approaches for neuropathology.
PMID- 24935787
TI - Interplay between serotonin 5-HT1A and 5-HT7 receptors in depressive disorders.
AB - Serotonin (5-hydroxytryptamine or 5-HT) is an important neurotransmitter
regulating a wide range of physiological and pathological functions via
activation of heterogeneously expressed 5-HT receptors. Besides the important
role of 5-HT receptors in the pathogenesis of depressive disorders and in their
clinical medications, underlying mechanisms are far from being completely
understood. This review focuses on possible cross talk between two serotonin
receptors, 5-HT1A and the 5-HT7 . Although these receptors are highly co
expressed in brain regions implicated in depression, and most agonists developed
for the 5-HT1A or 5-HT7 receptors have cross-reactivity, their functional
interaction has not been yet established. It has been recently shown that 5-HT1A
and 5-HT7 receptors form homo- and heterodimers both in vitro and in vivo. From
the functional point of view, heterodimerization has been shown to play an
important role in regulation of receptor-mediated signaling and internalization,
suggesting the implication of heterodimerization in the development and
maintenance of depression. Interaction between these receptors is also of
clinical interest, because both receptors represent an important pharmacological
target for the treatment of depression and anxiety.
PMID- 24935788
TI - Disease-modifying anti-Alzheimer's drugs: inhibitors of human cholinesterases
interfering with beta-amyloid aggregation.
AB - AIMS: We recently described multifunctional tools (2a-c) as potent inhibitors of
human Cholinesterases (ChEs) also able to modulate events correlated with Abeta
aggregation. We herein propose a thorough biological and computational analysis
aiming at understanding their mechanism of action at the molecular level.
METHODS: We determined the inhibitory potency of 2a-c on Abeta1-42 self
aggregation, the interference of 2a with the toxic Abeta oligomeric species and
with the postaggregation states by capillary electrophoresis analysis and
transmission electron microscopy. The modulation of Abeta toxicity was assessed
for 2a and 2b on human neuroblastoma cells. The key interactions of 2a with Abeta
and with the Abeta-preformed fibrils were computationally analyzed. 2a-c toxicity
profile was also assessed (human hepatocytes and mouse fibroblasts). RESULTS: Our
prototypical pluripotent analogue 2a interferes with Abeta oligomerization
process thus reducing Abeta oligomers-mediated toxicity in human neuroblastoma
cells. 2a also disrupts preformed fibrils. Computational studies highlighted the
bases governing the diversified activities of 2a. CONCLUSION: Converging
analytical, biological, and in silico data explained the mechanism of action of
2a on Abeta1-42 oligomers formation and against Abeta-preformed fibrils. This
evidence, combined with toxicity data, will orient the future design of safer
analogues.
PMID- 24935790
TI - New kid on the pretransplant block: IgG recognizing apoptotic cells.
PMID- 24935789
TI - Role(s) of the 5-HT2C receptor in the development of maximal dentate activation
in the hippocampus of anesthetized rats.
AB - AIMS: Substantial evidence indicates that 5-HT2C receptors are involved in the
control of neuronal network excitability and in seizure pathophysiology. Here, we
have addressed the relatively unexplored relationship between temporal lobe
epilepsy (TLE), the most frequent type of intractable epilepsy, and 5-HT2CRs.
METHODS: In this study, we investigated this issue using a model of partial
complex (limbic) seizures in urethane-anesthetized rat, based on the phenomenon
of maximal dentate activation (MDA) using 5-HT2C compounds, electrophysiology,
immunohistochemistry, and western blotting techniques. RESULTS: The 5-HT2C
agonists mCPP (1 mg/kg, i.p) and lorcaserin (3 mg/kg, i.p), but not RO60-0175 (1
3 mg/kg i.p.), were antiepileptogenic reducing the MDA response duration. The
selective 5-HT2C antagonist SB242084 (2 mg/kg, i.p) unveiled antiepileptogenic
effects of RO60-0175 (3 mg/kg, i.p) but did not alter those induced by mCPP and
lorcaserin. Compared with control rats, electrically stimulated rats showed an
increase in glutamic acid decarboxylase levels and a heterogeneous decrease in 5
HT2CR immunoreactivity in different hippocampal areas. CONCLUSIONS: In our animal
model of TLE, mCPP and lorcaserin were anticonvulsant; likely acting on receptor
subtypes other than 5-HT2C. Epileptogenesis induced early adaptive changes and
reorganization in the 5-HT2CR and GABA systems.
PMID- 24935791
TI - Development of a rapid cyprinid herpesvirus 2 detection method by loop-mediated
isothermal amplification.
AB - Cyprinid herpesvirus 2 (CyHV2) is a pathogen that causes severe disease and high
mortality in goldfish and Prussian carp. We developed a six primer loop-mediated
isothermal amplification (LAMP) assay targeting the intercapsomeric triplex
protein gene. CyHV-2 DNA was 10-fold serially diluted (10(8)-10(0) copies MUl(
1)) and was used as the template to determine primer sensitivity. LAMP assays
were performed with DNA templates from other pathogens to determine specificity.
The LAMP assay had an unequivocal detection limit of 10 copies MUl(-1), which was
100 times lower than that of the polymerase chain reaction. Other pathogen
strains were not amplified by the LAMP primers, indicating good specificity. SYBR
Green I was added to visually detect the amplification products. Assay
applicability was evaluated in 120 samples of Carassius auratus gibelio, and a
positive rate of 92.5% was obtained. In conclusion, a conventional LAMP assay has
high convenience, rapidity, sensitivity and specificity for detecting CyHV-2 in
infected aquatic organisms. Significance and impact of the study: Herpesviral
haematopoietic necrosis, caused by cyprinid herpesvirus 2 (CyHV-2), is a severe
disease of goldfish and Prussian carp associated with high mortality. We
developed a loop-mediated isothermal amplification (LAMP) assay to detect CyHV-2
at relatively low plasmid DNA copy levels. The results show that the LAMP assay
has a number of advantages (simple, sensitive, rapid and specific) over the
conventional polymerase chain reaction and can be applied in the laboratory and
field. Particularly, the method is highly applicable to facilitate surveillance
and early diagnosis of CyHV-2.
PMID- 24935792
TI - Implicit Learning of Written Regularities and Its Relation to Literacy
Acquisition in a Shallow Orthography.
AB - Some research on literacy acquisition suggests that implicit learning processes
may be related to reading and writing proficiency in English, which is a deep
orthography. However, little research has been done to determine if the same is
true in shallow orthographies. Here, we investigated whether the implicit
learning ability of third grade Spanish speaking children was related to their
reading and writing abilities. Twenty eight children viewed pseudowords which all
adhered to untaught graphotactic rules and were later assessed to determine their
implicit learning of these rules. The children's reading and writing abilities
were also assessed using standardized tests. No correlations were found between
the participants' level of implicit learning and their performance on reading
tasks or on a pseudoword writing task, suggesting that implicit learning is not
strongly related to the acquisition of phonological regularities in a shallow
orthography. A correlation was found between recognition of previously seen
exemplars and the ability to spell inconsistent words which require word specific
knowledge to resolve the spelling inconsistencies. This result suggests that
implicit learning mechanisms may play a role in the acquisition of lexical
knowledge and thus, in writing proficiency.
PMID- 24935794
TI - Experimental control: what does it mean for a participant to 'feel free'?
PMID- 24935793
TI - Antibody-conjugated gold nanoparticle-based immunosensor for ultra-sensitive
detection of troponin-T.
AB - The technology presented in this study demonstrates the feasibility of
integrating nanostructures onto the surface of an electrical platform to achieve
enhanced detection of the cardiac biomarker, troponin-T. A polymer microcontact
printing technique was modified using printed circuit boards as molds for the
application of gold nanoparticles onto microelectrode-patterned glass substrates.
The microelectrodes were designed to support electrical impedance spectroscopy
measurements and fabricated using standard photolithography methods. Capture
antibodies specific to troponin-T were functionalized onto the surface of gold
nanoparticles by using a thiol-based cross-linking molecule. The antibody
conjugated gold nanoparticles were stamped onto the electrodes using a matching
pattern imprinted onto an elastomeric mold. As a control to validate the efficacy
of the nanotextured surface on the glass substrate, an electroplated printed
circuit board was also used. The incorporation of gold nanoparticles showed
significant amplification of the electro-ionic signals generated through binding
of the antigen to its capture antibody. Enhanced sensitivity was demonstrated
through detection of the target biomarker in the femtogram per milliliter range
in buffer solution and biological media. In the absence of gold nanoparticles,
the sensor demonstrated detection of troponin-T at higher concentration points.
This study illustrates a robust method for developing a more sensitive, label
free biosensor.
PMID- 24935795
TI - How robust is the association between smoking and depression in adults? A meta
analysis using linear mixed-effects models.
AB - INTRODUCTION: Our objective was to use meta-analytic techniques to assess the
strength of the overall relationship and role of potential moderators in the
association between smoking and depression in adults. METHODS: Two popular health
and social science databases (PubMed and PsycINFO) were systematically searched
to identify studies which examined the association between adult smoking behavior
and major depressive disorder (MDD) or depressive symptoms. A total of 85
relevant studies were selected for inclusion. Studies were analyzed using a
linear mixed effects modeling package ("lme4" for R) and the Comprehensive Meta
Analysis program version 2. RESULTS: Multiple nested linear mixed-effects models
were compared. The best fitting models were those that included only random study
effects and smoking status. In cross-sectional studies, current smokers were more
likely to be depressed than never smokers (OR=1.50, CI=1.39-1.60), and current
smokers were more likely to be depressed than former smokers (OR=1.76, CI=1.48
2.09). The few available prospective studies, that used the requisite statistical
adjustments, also showed smokers at baseline had greater odds of incident
depression at follow-up than never smokers (OR=1.62, CI=1.10-2.40). CONCLUSIONS:
In cross-sectional studies, smoking was associated with a nearly two-fold
increased risk of depression relative to both never smokers and former smokers.
In the smaller set of prospective studies, the odds of subsequent depression were
also higher for current than never smokers. Attesting to its robustness, the
relationship between smoking and depression was exhibited across several
moderators. Findings could help health care providers to more effectively
anticipate co-occurring health issues of their patients. Several methodological
recommendations for future research are offered.
PMID- 24935796
TI - Emotion differentiation and alcohol-related problems: the mediating role of
urgency.
AB - Deficits in emotional and behavioral regulation figure prominently in etiological
models of alcohol-related problems. This study tests a model linking poor
differentiation of emotion to alcohol-related problems via urgency. The sample
consisted of 102 undergraduates between the ages 18 and 24 who reported moderate
to heavy alcohol consumption. As hypothesized, negative urgency mediated the
relationship between negative emotion differentiation and alcohol-related
problems. However, contrary to hypothesis, positive urgency was not associated
with either positive emotion differentiation or alcohol-related problems and the
indirect effect of positive emotion differentiation via positive urgency was not
significant. Instead, positive emotion differentiation exhibited a significant
direct effect on alcohol-related problems. This study provides an initial
examination of connections between specificity in labeling emotions, behavioral
disinhibition, and problematic alcohol use. These findings suggest that poor
differentiation of negative emotion may foster impulsive behavior when negatively
aroused. Whereas, impulsive behavior when positively aroused may reflect
heightened sensitivity to positive reinforcement, which may not be related to
reflective processes underlying emotion differentiation.
PMID- 24935798
TI - The mediating role of depressive symptoms in the relationship between adverse
childhood experiences and smoking.
AB - PURPOSE OF THE STUDY: Adverse childhood experiences (ACEs), including various
types of abuse and other forms of household dysfunction (i.e. witnessing domestic
violence, parental substance abuse, etc.), have been consistently linked to
increased rates of health risk behaviors and negative health outcomes in
adulthood. Using data from the 2010 Centers for Disease Control and Prevention's
(CDC) annual, nationwide Behavioral Risk Factor Surveillance System (BRFSS)
telephone survey, this study tested whether self-reported symptoms of depression
mediate the significant relationship between the number of ACEs an individual
reports (expressed as an "ACE score") and whether they are a current or past
smoker. METHODS: A path model was produced using multiple regression, and
indirect effects were tested using bootstrapping of 2000 samples. RESULTS:
Results of analyses indicated that, among White, Asian, and Hispanic
participants, self-reported depressive symptoms are indeed a significant, but
only partial, mediator between participants' ACE score and their smoking status.
CONCLUSIONS: These results suggest that for smokers of White, Hispanic, and Asian
ethnicity, screening for a history of ACEs and treatment for depressive symptoms
may be indicated. However, while depressive symptoms may explain some of the
associations between ACEs and smoking, these results suggest that other,
unexamined factors also contribute to this pathway.
PMID- 24935797
TI - Marijuana use and intoxication among daily users: an intensive longitudinal
study.
AB - BACKGROUND: Most of the harm from marijuana use is experienced by daily users.
Despite this, there has not been a detailed prospective description of daily
marijuana use. METHODS: We recruited daily marijuana users (n=142) by internet
ads, Craigslist, flyers, etc. Participants were mostly women (58%) with a mean
age of 33 and 47% were minorities. Participants called an Interactive Voice
Response phone system to report marijuana and other drug use daily for 3months.
RESULTS: Participants averaged using marijuana 3.2 times per day. Almost all
participants used multiple modes of delivery during the study.
Bongs/vaporizers/pipes were the most common mode of use (45% of uses). Day-to-day
variability in amount of use was relatively small. The median rating of
intoxication was 3.8 on a 0-6 scale with no intoxication reported on 1% of days
and severe intoxication on 24% of days. The large majority binge drank (71%) or
used tobacco (73%). Fifteen during-study variables were associated with the
frequency of marijuana use; running out of marijuana and social setting were the
strongest correlates. Retrospective reports of "usual" use at study entry were
often significantly different than daily reports of use during the study.
CONCLUSIONS: This is the first detailed prospective description of daily
marijuana use. Most users used multiple times/day, used multiple modes to
administer marijuana, were often intoxicated, and under-reported high rates of
using alcohol and tobacco. The frequency of marijuana use was especially
influenced by social factors. These results will help future studies better
describe daily marijuana use.
PMID- 24935799
TI - The effect of dietary omega-3 polyunsaturated fatty acids on plasma lipids and
lipoproteins of C57BL/6 mice is age and sex specific.
AB - There is clear evidence of the effects of sex and age on the prevalence of
cardiovascular disease. We investigated the interactions of dietary omega (n)-3
polyunsaturated fatty acids (PUFA), sex, and age on plasma lipids and
lipoproteins in the offspring of C57BL/6 mice exposed to high, medium, or low n-3
PUFA at weaning and 16 weeks postweaning. There was an increase in plasma
triglycerides from weaning to 16 weeks in male and female offspring; however, the
high n-3 PUFA group showed a reduction in triglycerides in both sexes at 16
weeks. High n-3 PUFA caused an increase in plasma LDL-cholesterol from weaning to
16 weeks in male offspring; however, the LDL particle size was significantly
larger in the high n-3 PUFA group. Plasma from male mice showed higher
cholesterol efflux compared to females; high n-3 PUFA increased cholesterol
efflux. Thus the effects of n-3 PUFA are age and sex dependent.
PMID- 24935801
TI - A cutaneous lymphoma mimicking superficial skin abscess in a child.
PMID- 24935800
TI - Omega-3 fatty acids in cardiovascular disease--an uphill battle.
AB - In cardiology, results of recent large intervention trials with eicosapentaenoic
acid (EPA) plus docosahexaenoic acid (DHA) supplements were neutral. In contrast,
in epidemiologic studies, an inverse relation between clinical events and intake
of EPA+DHA was found which was steeper for higher levels of EPA+DHA. A
standardized way of determining levels is the Omega-3 Index, which is the
percentage of EPA+DHA of a total of 26 fatty acids measured in erythrocytes.
According to current criteria, a low Omega-3 Index is a cardiovascular risk
factor. What can explain this contradiction? Trial participants were recruited
irrespective of their baseline status in EPA+DHA - an important predictor of
events. Levels of EPA+DHA have a statistically normal distribution; together with
the large inter-individual variability of levels' responding to increased intake,
this created a large overlap of EPA+DHA levels between intervention and control
groups. Moreover, trial participants were advised to take EPA+DHA supplements
with breakfast, frequently a low fat meal, resulting in poor bioavailability. As
a result, there is an urgent need for new intervention trials in cardiology, for
which participants with a low baseline omega-3 index are recruited, and then
treated with individually tailored doses of EPA+DHA to a prespecified target
range.
PMID- 24935802
TI - An independent elderly woman with rapid onset of coma.
AB - A 75-year-old woman was transferred from a local hospital because of rapid
progression to coma preceded by lower back pain and recurrent falls.
Cerebrospinal fluid analysis at the local hospital revealed increased protein
with a slightly elevated white blood cell count. Our imaging studies revealed
multiple punctate foci with nodular enhancement in the brain and multifocal
cystic lesions on the chest and abdomen. The patient was empirically treated with
antibiotics and corticosteroids without improvement. She died 3 days after
transfer, and autopsy was performed. The differential diagnosis, pathologic
findings, and final diagnosis are discussed.
PMID- 24935803
TI - Staff nurse clinical leadership: a concept analysis.
AB - PURPOSE: The purpose of this article is to provide a concept analysis of staff
nurse clinical leadership (SNCL). A clear delineation of SNCL will promote
understanding and encourage communication of the phenomenon. Clarification of the
concept will establish a common understanding of the concept, and advance the
practice, education, and research of this phenomenon. METHODS: A review of the
literature was conducted using several databases. The databases were searched
using the following keywords: clinical leadership, nursing, bedside, staff nurse,
front-line, front line, and leadership. The search yielded several sources;
however, only those that focused on clinical leadership demonstrated by staff
nurses in acute care hospital settings were selected for review. FINDINGS: SNCL
is defined as staff nurses who exert significant influence over other individuals
in the healthcare team, and although no formal authority has been vested in them
facilitates individual and collective efforts to accomplish shared clinical
objectives. CONCLUSION: The theoretical definition for SNCL within the team
context will provide a common understanding of this concept and differentiate it
from other types of leadership in the nursing profession. This clarification and
conceptualization of the concept will assist further research of the concept and
advance its practical application in acute care hospital settings.
PMID- 24935804
TI - Fractionation of pitch intervals: an axiomatic study testing monotonicity,
commutativity, and multiplicativity in musicians and non-musicians.
AB - Direct ratio scaling (e.g., magnitude estimation; Stevens, 1956, American Journal
of Psychology) is a widely used approach in psychophysics resting on the
assumption that participants are able to refer to sensations with numbers that
are valid on a ratio scale. Only recently, the necessary conditions of
commutativity and multiplicativity have been formulated (Narens, 1996, Journal of
Mathematical Psychology) and tested empirically, e.g., for the sensation of
loudness. The present investigation evaluated these properties for the ratio
production of pitch intervals. Musically trained (n = 10) and untrained (n = 11)
participants adjusted intervals defined by two ascending pure-tone frequencies to
given fractions (1/3, 1/2, 2/3), starting either from a 12 or 17-semitone
standard pitch interval. The results show that the axioms of commutativity and
multiplicativity held for most of the participants, irrespective of musical
training. Furthermore, all participants produced larger frequency intervals in
response to larger ratio numbers used in the instructions (monotonicity), but
only musically trained participants were sensitive to the size of the standard
interval (thus producing strictly increasing magnitudes). Overall, the results
indicate that pitch intervals are ratio-scalable. However, restrictions must be
made, especially for non-musical listeners, and when an octave is exceeded.
PMID- 24935805
TI - Haptic guidance of overt visual attention.
AB - Research has shown that information accessed from one sensory modality can
influence perceptual and attentional processes in another modality. Here, we
demonstrated a novel crossmodal influence of haptic-shape information on visual
attention. Participants visually searched for a target object (e.g., an orange)
presented among distractor objects, fixating the target as quickly as possible.
While searching for the target, participants held (never viewed and out of sight)
an item of a specific shape in their hands. In two experiments, we demonstrated
that the time for the eyes to reach a target-a measure of overt visual attention
was reduced when the shape of the held item (e.g., a sphere) was consistent with
the shape of the visual target (e.g., an orange), relative to when the held shape
was unrelated to the target (e.g., a hockey puck) or when no shape was held. This
haptic-to-visual facilitation occurred despite the fact that the held shapes were
not predictive of the visual targets' shapes, suggesting that the crossmodal
influence occurred automatically, reflecting shape-specific haptic guidance of
overt visual attention.
PMID- 24935806
TI - Visual statistical learning can drive object-based attentional selection.
AB - Recent work on statistical learning has demonstrated that environmental
regularities can influence aspects of perception, such as familiarity judgments.
Here, we ask if statistical co-occurrences accumulated from visual statistical
learning could form objects that serve as the units of attention (i.e., object
based attention). Experiment 1 demonstrated that, after observers first viewed
pairs of shapes that co-occurred in particular spatial relationships, they were
able to recognize the co-occurring pairs, and were faster to discriminate two
targets when they appeared within a learned pair ("object") than when the targets
appeared between learned pairs, demonstrating an equivalent of an object-based
attention effect. Experiment 2 replicated the results of Experiment 1 using a
different set of shape pairs, and revealed a negative association between the
attention effect and familiarity judgments of the co-occurred pairs. Experiment 3
reports three control experiments that validated the task procedure and ruled out
alternative accounts.
PMID- 24935807
TI - Temporal cues and the attentional blink: a further examination of the role of
expectancy in sequential object perception.
AB - Although perception is typically constrained by limits in available processing
resources, these constraints can be overcome if information about environmental
properties, such as the spatial location or expected onset time of an object, can
be used to direct resources to particular sensory inputs. In this work, we
examined these temporal expectancy effects in greater detail in the context of
the attentional blink (AB), in which identification of the second of two targets
is impaired when the targets are separated by less than about half a second. We
replicated previous results showing that presenting information about the
expected onset time of the second target can overcome the AB. Uniquely, we also
showed that information about expected onset (a) reduces susceptibility to
distraction, (b) can be derived from salient temporal consistencies in
intertarget intervals across exposures, and (c) is more effective when presented
consistently rather than intermittently, along with trials that do not contain
expectancy information. These results imply that temporal expectancy can benefit
object processing at perceptual and postperceptual stages, and that participants
are capable of flexibly encoding consistent timing information about
environmental events in order to aid perception.
PMID- 24935808
TI - Feature-based inattentional blindness: loss of awareness to featural information
in fully attended objects.
AB - In two experiments, we investigated the impact of feature-based attention on
observers' awareness of object appearance. Participants were shown a sequence of
two displays, each containing eight objects (rectangles), and were asked to
detect changes in the orientation of a cued rectangle. A set of baseline trials
preceded probe trials in which half of the rectangles in each display were
unexpectedly distorted by 70 %. Participants in both Experiment 1 (100-ms display
duration) and Experiment 2 (100- and 400-ms display durations) were unaware of
these modifications in the task-irrelevant feature (texture), even when they were
asked to select the viewed object in a forced choice procedure. A control
experiment showed that participants could identify the physical distortion when
they were made aware of its presence. The results demonstrate that feature-based
attention moderates the appearance of objects, even when those objects are fully
expected and fully attended, implying a distinct form of unawareness that we term
feature-based inattentional blindness.
PMID- 24935809
TI - Working memory resources are shared across sensory modalities.
AB - A common assumption in the working memory literature is that the visual and
auditory modalities have separate and independent memory stores. Recent evidence
on visual working memory has suggested that resources are shared between
representations, and that the precision of representations sets the limit for
memory performance. We tested whether memory resources are also shared across
sensory modalities. Memory precision for two visual (spatial frequency and
orientation) and two auditory (pitch and tone duration) features was measured
separately for each feature and for all possible feature combinations. Thus, only
the memory load was varied, from one to four features, while keeping the stimuli
similar. In Experiment 1, two gratings and two tones-both containing two varying
features-were presented simultaneously. In Experiment 2, two gratings and two
tones-each containing only one varying feature-were presented sequentially. The
memory precision (delayed discrimination threshold) for a single feature was
close to the perceptual threshold. However, as the number of features to be
remembered was increased, the discrimination thresholds increased more than
twofold. Importantly, the decrease in memory precision did not depend on the
modality of the other feature(s), or on whether the features were in the same or
in separate objects. Hence, simultaneously storing one visual and one auditory
feature had an effect on memory precision equal to those of simultaneously
storing two visual or two auditory features. The results show that working memory
is limited by the precision of the stored representations, and that working
memory can be described as a resource pool that is shared across modalities.
PMID- 24935810
TI - Object-centered orienting and IOR.
AB - Three recent studies reported retinotopic (eye-centered) and object-centered
attentional facilitation following exogenous cuing in dynamic displays. The
present study replicates this finding and shows, under the very same experimental
conditions, inhibition of return (IOR) in both retinotopic and object-centered
reference frames. Unlike in previous findings, we show that when a single object
is present in the display, IOR is bound to both retinotopic and object-centered
locations, defined as a specific location within the boundaries of a single
object.
PMID- 24935811
TI - Characteristics and outcomes of older persons attending the emergency department:
a retrospective cohort study.
AB - BACKGROUND: The analysis of routinely collected hospital data informs the design
of specialist services for at-risk older people. AIM: Describe the outcomes of a
cohort of older emergency department (ED) attendees and identify predictors of
these outcomes. DESIGN: retrospective cohort study. METHODS: All patients aged 65
years or older attending an urban university hospital ED in January 2012 were
included (N = 550). Outcomes were retrospectively followed for 12 months.
Statistical analyses were based on multivariate binary logistic regression models
and classification trees. RESULTS: Of N = 550, 40.5% spent <=6 h in the ED, but
the proportion was 22.4% among those older than 81 years and not presenting with
musculoskeletal problems/fractures. N = 349 (63.5%) were admitted from the ED. A
significant multivariate predictor of in-hospital mortality was Charlson
comorbidity index [CCI; odds ratio = 1.19, 95% confidence interval: 1.07, 1.34, P
= 0.002]. Among patients who were discharged from ED without admission or after
their first in-patient admission (N = 499), 232 (46.5%) re-attended ED within 1
year, with CCI being the best predictor of re-attendance (CCI <= 4: 25.8%, CCI >
5: 60.4%). Among N = 499, 34 (6.8%) had died after 1 year of initial ED
presentation. The subgroup (N = 114) with the highest mortality (17.5%) was
composed by those aged >77 years and brought in by ambulance on initial
presentation. CONCLUSIONS: Advanced age and comorbidity are important drivers of
outcomes among older ED attendees. There is a need to embed specialist geriatric
services within frontline services to make them more gerontologically attuned.
Our results predate the opening of an acute medical unit with specialist
geriatric input.
PMID- 24935812
TI - The Association of Physicians launches new summer research studentships for
medical undergraduates.
PMID- 24935813
TI - Immunohistological expression of human beta-defensin-1 and human beta-defensin-2
in exacerbation of acute and secondary chronic osteomyelitis of the mandible.
AB - BACKGROUND: The majority of patients diagnosed with osteomyelitis of the jaw have
severe complaints. Unfortunately, the pathogenesis still remains unclear. Human
beta-defensins expressed in epithelial and bone tissues as a part of the innate
immunity may be involved in disease development. In this study, we hypothesize
that expression levels of human beta-defensin-1 and -2 in the acute and secondary
chronic osteomyelitis may be altered in comparison with healthy bone and with
bisphosphonate-associated necrosis as well as irradiation from a previous study.
METHODS: Bone samples were collected during surgical debridement in a total of
eight patients suffering from acute or secondary chronic osteomyelitis of the
jaw. Expression levels of hBD-1 and -2 were quantified and related to non-stained
cells. Ratios were compared by one-way ANOVA and multiple tests by Holm
Bonferroni. RESULTS: Multiple testing revealed no significant differences for
expression levels of human beta-defensin-1 between all groups, whereas labeling
index of human beta-defensin-2 was significantly different between specimens of
bisphosphonate-associated osteonecrosis of the jaws and all other groups. No
significant difference occurred between samples of floride osteomyelitis and
healthy bone for expression of hBD-1 and -2. CONCLUSIONS: Although the affected
patients showed all clinical signs of acute inflammation, expression levels in
acute and secondary chronic osteomyelitis in the jaws did not reveal
statistically significant differences compared with healthy bone samples. The
weak immunological host response in terms of a putative genetically
predisposition should be further discussed as pathogenesis factor for
osteomyelitis in the future.
PMID- 24935814
TI - Possible intermediates of Cu(phen)-catalyzed C-O cross-coupling of phenol with an
aryl bromide by in situ ESI-MS and EPR studies.
AB - The C-O coupling reaction between 2,4-dimethylphenol and 4-bromotoluene catalyzed
by the CuI/K2CO3/phen system can be inhibited by the radical scavenger cumene.
Complexes [Cu(i)(phen)(1-(2,4-dimethylphenoxy)-4-methylbenzene)](+) (denoted as
A), {H[Cu(i)(phen)(2,4-dimethylphenoxy)]}(+) and [Cu(i)(2,4-dimethylphenoxy)2](-)
(denoted as B) were observed by in situ electrospray ionization mass spectrometry
(ESI-MS) analysis of the copper(i)-catalyzed C-O coupling reaction under the
catalytic reaction conditions indicating that they could be intermediates in the
reaction. The in situ EPR study of the reaction solution detected the Cu(ii)
species with a fitted g value of 2.188. A catalytic cycle with a single electron
transfer (SET) step was proposed based on these observations.
PMID- 24935815
TI - Use of in situ hybridization for HPV in head and neck tumors: experience from a
national reference laboratory.
AB - The human papillomavirus (HPV) status of head and neck squamous cell carcinomas
(SCCs) is a frequent request for Anatomic Pathology labs. However, prognostic
value of HPV status is limited to identification of high risk HPV in
oropharyngeal SCCs. The purpose of this study is to investigate the ordering
practices of in situ hybridization (ISH) for HPV in head and neck tissues at our
national reference laboratory. All testing orders for low risk, high risk, and
combined low and high risk HPV-ISH tests requested at ARUP Laboratories between
January 2010 and November 2013 had their results reviewed and were grouped by
anatomic location of the tested tissue. The H&E and HPV-ISH slides from a sample
of the most recent 123 tests were reviewed by two pathologists. A total of 1,128
HPV-ISH tests were ordered during the study period. Testing for combined low and
high risk HPV was the most commonly ordered test. The positivity rate for high
risk HPV was highest in oropharyngeal tissues. 49 of 123 reviewed cases had
testing requested on non-malignant tissue, 11 of which were non-neoplastic.
Unnecessary HPV-ISH ordering is prevalent in head and neck tissues. Dual testing
for low and high risk HPV, frequent testing outside of the oropharynx, and
testing non-neoplastic tissues appear to be common practices.
PMID- 24935816
TI - Investigation of 10 herbicides in surface waters of a horticultural production
catchment in southeastern Australia.
AB - Herbicides are regularly applied in horticultural production systems and may
migrate off-site, potentially posing an ecological risk to surface waterways.
However, few studies have investigated the levels and potential ecotoxicological
impact of herbicides in horticultural catchments in southern Australia. This
study investigated the presence of 10 herbicides at 18 sites during a 5-month
period in horticulturally important areas of the Yarra Valley in southeastern
Australia. Seven of the 10 herbicides were detected in the streams, in 39 % of
spot water samples, in 25 % of surface sediment samples, and in >70 % of the
passive sampler systems deployed. Few samples contained residues of >=2
herbicides. Simazine was the herbicide most frequently detected in water,
sediment, and passive sampler samples and had the highest concentrations in water
(0.67 MUg/L) and sediment (260 MUg/kg dry weight). Generally the concentrations
of the herbicides detected were several orders of magnitude lower than reported
ecotoxicological effect values, including those for aquatic plants and algae,
suggesting that concentrations of individual chemicals in the catchment were
unlikely to pose an ecological risk. However, little is known about the combined
effects of simultaneous, low-level exposure of multiple herbicides of the same
mode of action on Australian aquatic organisms nor their contribution when found
in mixtures with other pesticides. Further research is required to adequately
assess the risk of pesticides in Victorian aquatic environments.
PMID- 24935817
TI - Regional disturbances in blood flow and metabolism in equine limb wound healing
with formation of exuberant granulation tissue.
AB - As in other fibroproliferative disorders, hypoxia has been suggested to play a
key role in the pathogenesis of exuberant granulation tissue (EGT). The purpose
of this study was to investigate metabolism and blood flow locally in full
thickness wounds healing with (limb wounds) and without (body wounds) formation
of EGT. Microdialysis was used to recover endogenous metabolites from the wounds,
and laser Doppler flowmetry was used to measure blood flow. Measurements were
performed before wounding and 1-28 days after wounding. Blood flow was
consistently lower in limb wounds than in body wounds throughout the study period
with no change over time. After wounding and throughout the study period, the
glucose concentration was significantly lower in limb wounds than in body wounds,
whereas the lactate level showed a significantly higher concentration in limb
wounds. The lactate/glucose ratio displayed a significant difference between body
and limb wounds. In conclusion, the metabolic disturbances may suggest an
inadequate oxygen supply during the wound healing process in equine limb wounds
healing with EGT. This may be related to the inherently decreased perfusion in
the wound bed of limb wounds.
PMID- 24935819
TI - Exploring genetic variants predisposing to diabetes mellitus and their
association with indicators of socioeconomic status.
AB - BACKGROUND: The relevance of disease-related genetic variants for the explanation
of social inequalities in complex diseases is unclear and empirical analyses are
largely missing. The aim of our study was to examine whether genetic variants
predisposing to diabetes mellitus are associated with socioeconomic status in a
population-based cohort. METHODS: We genotyped 11 selected diabetes-related
single nucleotide polymorphisms in 4655 participants (age 45-75 years) of the
Heinz Nixdorf Recall study. Diabetes status was self-reported or defined by blood
glucose levels. Education, income and paternal occupation were assessed as
indicators of socioeconomic status. Multiple regression analyses were used to
examine the association of socioeconomic status and diabetes by estimating sex
specific and age-adjusted prevalence ratios and their corresponding 95%
confidence intervals. To explore the relationship between individual single
nucleotide polymorphisms and socioeconomic status sex- and age-adjusted odds
ratios were computed. We adjusted the alpha-level for multiple testing of 11
single nucleotide polymorphisms using Bonferroni's method (alpha(BF) ~ 0.005). In
addition, we explored the association of a genetic risk score with socioeconomic
status. RESULTS: Social inequalities in diabetes were observed for all indicators
of socioeconomic status. However, there were no significant associations between
individual diabetes-related risk alleles and socioeconomic status with odds
ratios ranging from 0.87 to 1.23. Similarly, the genetic risk score analysis
revealed no evidence for an association. CONCLUSIONS: Our data provide no
evidence for an association between 11 diabetes-related risk alleles and
different indicators of socioeconomic status in a population-based cohort,
suggesting that the explored genetic variants do not contribute to health
inequalities in diabetes.
PMID- 24935820
TI - Computational validation of the motor contribution to speech perception.
AB - Action perception and recognition are core abilities fundamental for human social
interaction. A parieto-frontal network (the mirror neuron system) matches
visually presented biological motion information onto observers' motor
representations. This process of matching the actions of others onto our own
sensorimotor repertoire is thought to be important for action recognition,
providing a non-mediated "motor perception" based on a bidirectional flow of
information along the mirror parieto-frontal circuits. State-of-the-art machine
learning strategies for hand action identification have shown better performances
when sensorimotor data, as opposed to visual information only, are available
during learning. As speech is a particular type of action (with acoustic
targets), it is expected to activate a mirror neuron mechanism. Indeed, in speech
perception, motor centers have been shown to be causally involved in the
discrimination of speech sounds. In this paper, we review recent
neurophysiological and machine learning-based studies showing (a) the specific
contribution of the motor system to speech perception and (b) that automatic
phone recognition is significantly improved when motor data are used during
training of classifiers (as opposed to learning from purely auditory data).
PMID- 24935821
TI - Program directors in their role as leaders of teaching teams in residency
training.
AB - BACKGROUND: Program directors have a formal leading position within a teaching
team. It is not clear how program directors fulfill their leadership role in
practice. In this interview study we aim to explore the role of the program
director as strategic leader, based on the research-question: What are the
experiences of program directors with strategic leadership? METHOD: We conducted
an interview study using the principles of phenomenography to explore program
directors' experiences. In the period June 2012-May 2013, 16 program directors
from different hospital organisations were invited to participate in an interview
study. Iterative data collection and analysis were based on strategic leadership:
(1) developing collective mindset, (2) focusing on collaborative learning and (3)
designing teaching organisation. RESULTS: Fourteen program directors participated
in this study. We identified four leadership profiles: (1) captains, (2) carers,
(3) professionals and (4) team-players. The 'team-players' come closest to
integrally applying strategic leadership. For all four profiles there seems to be
a preference for developing collectivity by means of providing information.
Program directors have less experience with promoting collaborative learning and
the designing of teaching organisation is task-oriented. CONCLUSION: Promoting
collaborative learning is the most important challenge for developing leadership
within the teaching team.
PMID- 24935818
TI - T1rho MRI of human musculoskeletal system.
AB - Magnetic resonance imaging (MRI) offers the direct visualization of the human
musculoskeletal (MSK) system, especially all diarthrodial tissues including
cartilage, bone, menisci, ligaments, tendon, hip, synovium, etc. Conventional MRI
techniques based on T1 - and T2 -weighted, proton density (PD) contrast are
inconclusive in quantifying early biochemically degenerative changes in MSK
system in general and articular cartilage in particular. In recent years,
quantitative MR parameter mapping techniques have been used to quantify the
biochemical changes in articular cartilage, with a special emphasis on evaluating
joint injury, cartilage degeneration, and soft tissue repair. In this article we
focus on cartilage biochemical composition, basic principles of T1rho MRI,
implementation of T1rho pulse sequences, biochemical validation, and summarize
the potential applications of the T1rho MRI technique in MSK diseases including
osteoarthritis (OA), anterior cruciate ligament (ACL) injury, and knee joint
repair. Finally, we also review the potential advantages, challenges, and future
prospects of T1rho MRI for widespread clinical translation.
PMID- 24935822
TI - Applicability and agreement of different diagnostic criteria for sarcopenia
estimation in the elderly.
AB - The purpose of this study cross-sectional study comprising 132 community dwelling
elderly (>= 60 years) was to identify sarcopenia prevalence in the Brazilian
elderly, utilizing different diagnostic criteria and analyze agreement between
criteria. Sarcopenia was assessed by nine muscle mass diagnostic criteria, by two
muscle strength criteria and also by the combination of criteria. Prevalence was
analyzed for each method, along with differences by gender and age group through
calculation of the prevalence ratio (PR) and confidence interval (CI) 95%. The
Kappa coefficient was used to analyze the level of agreement between all
criteria. Sarcopenia prevalence varied between 60.6% and 8.3% with the
application of muscle mass criteria, and between 54.2% and 48.8% with the
application of strength criteria. The combination muscle mass+strength resulted
in a decrease of prevalence in all criteria, varying between 36.6% and 6.1%.
There was an increase in prevalence according to age groups for all methods.
Prevalence was higher for men according to three muscle mass criteria, and higher
in women for strength criteria and by two combined mass+strength criteria. The
best level of agreement was obtained for two methods that utilized dual energy X
ray absorptiometry (DXA). The prevalence of sarcopenia differs by gender and age
and definition criteria. The low agreement levels obtained between methods and
the different prevalence values encountered indicate the necessities of an
operational definition for the estimation of sarcopenia in different population.
PMID- 24935824
TI - Statistical analysis with missing exposure data measured by proxy respondents: a
misclassification problem within a missing-data problem.
AB - In studies of older adults, researchers often recruit proxy respondents, such as
relatives or caregivers, when study participants cannot provide self-reports
(e.g., because of illness). Proxies are usually only sought to report on behalf
of participants with missing self-reports; thus, either a participant self-report
or proxy report, but not both, is available for each participant. Furthermore,
the missing-data mechanism for participant self-reports is not identifiable and
may be nonignorable. When exposures are binary and participant self-reports are
conceptualized as the gold standard, substituting error-prone proxy reports for
missing participant self-reports may produce biased estimates of outcome means.
Researchers can handle this data structure by treating the problem as one of
misclassification within the stratum of participants with missing self-reports.
Most methods for addressing exposure misclassification require validation data,
replicate data, or an assumption of nondifferential misclassification; other
methods may result in an exposure misclassification model that is incompatible
with the analysis model. We propose a model that makes none of the aforementioned
requirements and still preserves model compatibility. Two user-specified tuning
parameters encode the exposure misclassification model. Two proposed approaches
estimate outcome means standardized for (potentially) high-dimensional covariates
using multiple imputation followed by propensity score methods. The first method
is parametric and uses maximum likelihood to estimate the exposure
misclassification model (i.e., the imputation model) and the propensity score
model (i.e., the analysis model); the second method is nonparametric and uses
boosted classification and regression trees to estimate both models. We apply
both methods to a study of elderly hip fracture patients.
PMID- 24935825
TI - Investigation of the coal fly ashes using IR spectroscopy.
AB - The results of FT-IR spectroscopic studies of coal fly ashes, originated from
various polish power plants are reported. The results of MIR investigations were
compared to the X-ray diffraction (XRD) measurements and chemical analyses. They
are mainly composed of silica, alumina and lime. The infrared spectrum in the
middle range can be used to describe both the structure of phases present in the
fly ash and to identify the characteristic elements of the individual components
of ash. The results indicate that the amount of aluminosilicate and its Si/Al
ratio induce a shift in the T-O stretching band appearing at 950-1100 cm(-1).
Moreover, FWHM of these bands indicates the participation of the crystalline
phase relative to amorphous. The presence of carbonate phases generates
substantial changes in the 1450-1400 cm(-1) area of the spectra. The presence of
such phases as anhydrite, mullite or illite has also been established on the
basis of IR spectra.
PMID- 24935823
TI - The impact of body mass index on renal functional outcomes following minimally
invasive partial nephrectomy.
AB - OBJECTIVE: To assess the impact of body mass index (BMI) on perioperative and
renal functional outcomes in patients undergoing minimally invasive partial
nephrectomy (MIPN). MATERIALS AND METHODS: In our IRB-approved, prospectively
maintained clinical database, we identified 1206 patients who underwent kidney
surgery from 2002 to 2013. Estimated glomerular filtration rate (eGFR) was
obtained at baseline and each follow-up visit. From this group, patients who
underwent MIPN with more than 12 months of follow-up were selected. Patients were
separated into 4 cohorts based on BMI: normal weight (<25 kg/m(2)), preobese (25
30 kg/m(2)), obese class 1 (30-35 kg/m(2)), and obese class >=2 (>35 kg/m(2)).
Change in eGFR was compared across demographic and clinical variables through
linear and logistic regression models. RESULTS: A total of 235 patients met
inclusion criteria with median follow-up of 29 months (interquartile range [IQR]
19, 45). There were no differences in demographic, perioperative, or pathologic
features between BMI groups. While controlling for gender, race, Charlson
comorbidity score, tumor size, and ischemia time, obese class 1 (odds ratio [OR]
4.68, p=0.019), obese class >=2 (OR 4.27, p=0.033), and age (OR 1.06, p=0.014)
were associated with increased risk of CKD stage >=3; however, higher baseline
eGFR (OR 0.91, p<0.001) was associated with a reduced risk of CKD stage >=3.
While controlling for the same variables, increasing BMI was associated with a
significant absolute reduction in eGFR at 1 year (0.38 mL/minute/1.73 m(2)
reduction in GFR per 1 kg/m(2) increase in BMI, p=0.009). CONCLUSIONS: MIPN is
technically feasible in obese patients with similar perioperative outcomes to
nonobese patients. BMI is an independent risk factor for worsening kidney
function following MIPN.
PMID- 24935826
TI - Infrared, Raman and NMR spectra, conformational stability and vibrational
assignment of 7,8-Dihydroxy-4-Methylcoumarin.
AB - We report a combined some (infrared, Raman and NMR) spectroscopic and quantum
chemistry study on 7,8-Dihydroxy-4-Methylcoumarin molecule (78D4MC). The Raman
and IR spectra of 78D4MC molecule were recorded and analyzed in the region 3500
50 cm(-1) and 4000-400 cm(-1), respectively. Potential energy scans were
performed at the MMFF level of theory. All possible conformers, which are results
at the MMFF level theory, were re-computed at the B3LYP functional with cc-pVDZ
basis set. The optimized geometrical parameters, harmonic vibrational wavenumbers
and NMR chemical shifts of the most stable conformer were calculated at the
B3LYP/6-311G(d,p), cc-pVTZ and cc-pVQZ level in the proximity of the isolated
molecule. DFT calculations were combined with Pulay's scaled quantum mechanics
force field (SQMFF) methodology in order to fit the theoretical wavenumbers to
the experimental ones.
PMID- 24935827
TI - Twist technique for removal of spinal extradural arachnoid cyst: technical note.
AB - STUDY DESIGN: We document a spinal extradual arachnoid cyst treated by twist
technique. The cyst is tightly adherent to the neural tissue or the dura, and the
communication stalk is little or short. OBJECTIVE: To demonstrate the
effectiveness of twist technique of closure of the communication stalk for the
removal of spinal extradural arachnoid cyst. The standard treatment for a spinal
extradural arachnoid cyst is complete excision of the cyst, followed by
obliteration of the communication stalk and repair of the dural defect. To our
knowledge, twist technique of the communication stalk for removal of spinal
extradural arachnoid cyst has not been reported. METHODS: A 44-year-old woman
presented with a 10-year history of pain and dysesthesia, initially in the
posterior neck region and extending gradually to the distal portion of the right
upper extremity. Pain and dysesthesia were exaggerated when she was lying down
and relieved when standing or walking. She was diagnosed with an extradural
arachnid cyst ranging from spinal regions T1 to T3 using MRI. Computerized
tomography myelography revealed a mass located posterior to the spinal cord.
Pooling of contrast medium was observed in the lesion indicating communication
with the subarachnoid space. Laminectomy of the T1-T3 region was performed,
preserving the spinous processes and the facet joints. A short communication
stalk was found at the proximal root sleeve of right T3. This stalk was closed
using twist technique. RESULTS: The patient experienced marked reduction of pain
and dysesthesia after surgery, and the headache and blurred vision completely
disappeared. Five days after the operation, she was discharged home in good
condition. Postoperative 1 year later, the patient had completely recovered and
resumed her normal life. CONCLUSIONS: Twist technique can be seen safe and
effective as another surgical option for spinal extradural arachnoid cysts
containing a short stalk and dense fibrous adhesion with the dura mater.
PMID- 24935830
TI - [The effect of fluoride on the metabolism of teeth and bone in rats].
AB - PURPOSE: To investigate the effect of fluoride on the metabolism of teeth and
bone in rats, and to probe the mechanism of pathogenesis of dental fluorosis.
METHODS: A total of 48 Wistar rats were randomly and equally divided into 4
groups including control group (distilled water), low-dose group(NaF,50 mg/L),
medium-dose group (NaF,100 mg/L) and high-dose group (NaF, 150 mg/L). After 8
weeks, the rats were sacrificed under anesthesia, and serums were collected. The
biochemical technique was used to test serum calcium. Changes in the fluorine
content in serums and teeth of each group were analyzed with fluoride ion
selective electrode method. Radioimmunoassay was employed to detect the levels of
osteocalcin (OC), parathormone (PTH) and calcitonin (CT), respectively. SPSS13.0
software package was used for statistical analysis. RESULTS: The fluorine content
in serum and teeth in the fluoride group were significantly higher than that of
the control group (P<0.05), and increased with the increasing concentrations (F
value was 11.234 and 275.148 respectively, P<0.01). The level of calcium in serum
(F=3.906, P<0.05) in the fluoride group was significantly lower than in the
control group. The level of PTH and OC in serum in medium and high-dose group
were significantly higher than in the control group (P<0.01), with the level of
CT in high-dose group decreased significantly (P<0.01). The differences of the
level of OC, PTH, CT between groups were significant (F value was 8.548, 3.801
and 5.121 respectively, P<0.05). CONCLUSIONS: Fluoride affects the metabolism of
teeth and bone in rats and OC, PTH, CT plays a key role in the pathogenesis of
dental fluorosis.
PMID- 24935829
TI - Has overweight any influence on the effectiveness of conservative treatment in
patients with low back pain?
AB - PURPOSE: Low back pain and overweight are two relevant disabling health
conditions. To date, there is a lack of information about the effectiveness of
nonoperative therapy in persons with overweight and low back pain. The aim of our
study was to evaluate if overweight has any influence on the effectivity of
conservative treatment in patients with low back pain during a mid- to long-term
time period. METHODS: 128 patients with low back pain participated in this study.
All of them got an inpatient conservative treatment program. The weight status
was classified according to the body mass index (BMI) in three weight groups
(normal, overweight, obesity). The patients were asked to fill out a standardized
questionnaire regarding self-reported pain with the visual analog scale and their
disability with the Oswestry Disability Index and the Roland-Morris Disability
Questionnaire at four time points (beginning and end of hospital stay and after 5
and 17 months). RESULTS: Concerning the short-term results of the three scores,
we always observed an improvement of the patients' condition with statistically
significant reduction of pain and disability at discharge. This was true for the
total collective as well as for each of the three BMI-groups when considered
separately. The pain intensity and disability were still and mostly statistically
significantly decreased in the mid- to long-term follow-up. Regarding the three
BMI-groups among themselves, the differences of the data in the pain and
disability scores showed generally no statistical significance. CONCLUSIONS: We
conclude for the first time that a conservative treatment program in patients
even with chronic low back pain is effective both in a short-term and a mid- to
long-term time period respectively without any significant differences between
patients with a normal BMI or with overweight or obesity.
PMID- 24935831
TI - [Study on gene vaccine pcDNA3-PAc against dental caries by intranasal
immunization in rabbits].
AB - PURPOSE: To investigate the efficiency of pcDNA3-PAc on Japanese long-eared white
rabbits by intranasal immunization, and observe the appreciate gene vaccine dose
in rabbit immunization. METHODS: Thirty Japanese long-eared white rabbits were
randomly divided into 5 groups (6 in each group) as follows: 200, 400, 600 MUg
pcDNA3-PAc plasmid group; 400 MUg pcDNA3 group and inactivated whole-cell vaccine
positive control group. The rabbits were immunized twice, and plasmid groups and
whole-cell group were coupled Freund's adjuvant with 1:1 ratio. The specific IgG
and S-IgA antibodies in serum and saliva were detected with indirect ELISA
method. The data was analyzed with SPSS 17.0 software package. RESULTS: (1) The
peak time of the antibodies appeared between 8-10 weeks after the first
immunization; (2)The specific antibodies IgG and S-IgA could be detected 2 weeks
after immunization;(3)The level of salivary specific S-IgA and serum specific IgG
of pcDNA3-PAc were significantly higher than negative groups (P<0.05). The titer
of the 200 MUg was significantly lower than those of 400 MUg and 600 MUg group
(P<0.05). CONCLUSIONS: (1)The recombinant plasmid pcDNA3-PAc has immunogenicity,
which can induce specific immune responses for 14 weeks in rabbits. (2)The
results of the present study show that 200 MUg, 400 MUg and 600 MUg are effective
immunizational dosage to 1.5 kg Japanese long-eared white rabbits. (3)400 MUg and
600 MUg pcDNA3-PAc can be considered as the optimal dosage than 200 MUg at
present experimental condition. Supported by Guizhou Science and Technology
Projet of Distinguished Young Talents [2005(0509)], Guizhou College and
University Leading Academic Discipline Project [2012(15)] and Zunyi Medical
University Project of Distinguished Research Team [2012(12)].
PMID- 24935832
TI - [Expression of HIF-1alpha in the genioglossus associated with induced bilateral
intermittent nasal obstruction in young rats].
AB - PURPOSE: To investigate the expression of HIF-1alpha in the genioglossus
associated with induced bilateral intermittent nasal obstruction in young rats.
METHODS: Thirty 4-week-old SD rats were employed and equally divided into 3
groups. In group A, both nostrils were occluded by nose plugs. In group B, the
right nostril was occluded. In group C, no obstruction of the nose was performed
as control group. The obstruction time was from 8 am to 12 am everyday, and the
period was 21 d and 55 d. The genioglossus was taken for HE, and
immunohistochemical staining was used to detect the expression of HIF-1alpha. The
data was analyzed with SPSS 13.0 software package. RESULTS: The rats were
sacrificed at the 21th day and 55th day, respectively. The expression of HIF
1alpha in group A was significantly higher than that in group B and group C, and
became stronger with the increasing of obstruction time. CONCLUSIONS: Oral
breathing caused by bilateral intermittent nasal obstruction in young rats
results in overexpression of HIF-1alpha in the genioglossus. Supported by
Research Fund and Experimental Animal Fund of Science and Technology Committee of
Shanghai Municipality (11140902001).
PMID- 24935833
TI - [Comparative study of sensitivity of different dental metal materials].
AB - PURPOSE: To compare the sensitivity of different dental metal materials, in order
to provide references for choosing of dental metal materials. METHODS: Patch test
was performed on 92 patients wearing dental metal prosthesis. Pearson Chi-square
test, corrected Chi-square test and Fisher exact test were used for statistical
analysis with SPSS17.0 software package. RESULTS: (1)The sensitivity rates of
different metal materials were different. The allergy rate of nickel (Ni) was the
highest (22.8%), while the allergy rate of aluminum (Al) was 0. (2)More women
were allergic to both palladium (Pd) and nickel (Ni) than men with significant
difference (P>0.05). (3)Women with ear piercing were more allergic to nickel
(Ni), but there was no significant correlation between ear piercing and nickel
allergy (P>0.05).(4)There was cross reaction between nickel(Ni)and palladium
(Pd), 83.3% of palladium (Pd) allergy patients were allergic to nickel (Ni),
while 47.6% of nickel(Ni) allergy patients were allergic to palladium (Pd).
(5)Patch test had a delayed reaction. CONCLUSIONS: Dental metal materials have
certain allergies, women are more allergic to both palladium (Pd) and nickel (Ni)
than men, with significant difference. Patch test may have a delayed reaction. If
necessary, observation for 96 h, 7 days or even longer time, are needed to
exclude false positivity. Supported by Research Fund of Science and Technology
Committee of Shanghai Municipality (10411950900).
PMID- 24935834
TI - [The influence of drilling pulling ways and cooling systems on dental implant
surgery].
AB - PURPOSE: To study the effect of different ways of pulling the drilling burs and
cooling systems on alveolar bone temperature of the surgical area, and explore
the changes of temperature field in dental implants. METHODS: Forty-eight unified
standard artificial (nylon) bone blocks were fabricated and divided into 6 groups
according to different drilling pulling ways and cooling systems. The changes of
bone temperature field were detected in 6 groups, and the highest temperature in
temperature field was measured and recorded. The date was analyzed with SPSS 12.0
software package for ANOVA. RESULTS: The temperature in the surgical area using
internal cooling systems under the same drilling pulling was lower than using
external cooling systems. The temperature in the surgical area using secondary
drilling pulling way was lower than using direct drilling methods under the same
cooling systems. The temperature in the surgical area using secondary drilling
pulling way was the lowest when internal cooling systems was adopted(P<0.05).
CONCLUSIONS: Drilling pulling way and internal cooling systems can effectively
reduce the temperature in the surgical area, lessen heat production and improve
the success rate of dental implants.
PMID- 24935835
TI - [Comparison of expression of transforming growth factor-beta1 in rat dental pulp
during direct pulp capping with 2 capping agents].
AB - PURPOSE: To examine and compare the expression of transforming growth factor
beta1(TGF-beta1) in rat dental pulp after direct pulp capping with calcium
hydroxide (CH) and mineral trioxide aggregate (MTA). METHODS: The model of direct
dental pulp capping after first molars was established in 28 female Wistar rats
with CH and MTA. The rats were sacrificed 1, 3, 5, 7, 14,21 and 28 days after
direct pulp capping. TGF-beta1 expression in pulp tissues were measured with
immunohistochemical staining. The data was analyzed by Dunnett t test and paired
t test with SPSS 13.0 software package. RESULTS: The results showed that no TGF
beta1 expression was detected in the control group. After direct pulp capping
with MTA, TGF-beta1 expression gradually increased and reached peak expression on
5 day. TGF-beta1 expression gradually decreased afterwards and reached normal on
21 day after direct pulp. TGF-beta1 was mainly expressed in neutrophils,
odontoblasts cells, vascular endothelial cells and fibroblasts. The expression of
TGF-beta1 was significantly different between 2 capping agents 1, 3, 5, 7, 14
days after direct pulp capping (P<0.05). CONCLUSIONS: The results suggest that
TGF-beta1 expression increases at first and then decreases after direct pulp
capping. The type of capping agents has an impact on the expression of TGF-beta1
after direct pulp capping. MTA enhances more TGFbeta-1 expression than CH 1, 3,
5, 7 and 14 days after direct pulp capping. Supported by Science and Technology
Plan Project of Liaoning Province (2009225001-2).
PMID- 24935836
TI - [Finite element stress analysis of all-ceramic continuous crowns of the lower
anterior teeth in differential shoulder thickness].
AB - PURPOSE: To investigate the stress distributions under load in 3 types of all
ceramic continuous crowns of the lower anterior teeth with differential shoulder
thickness. METHODS: Cone-beam CT (CBCT) was used to scan the in vitro mandibular
central incisors, and achieve three-dimensional finite element model of all
ceramic continuous crowns with different shoulder width by using Mimics, Abaqus
software. Different load conditions were simulated based on this model to study
the effect of shoulder width variation on finite element analysis of 3 kinds of
different all-ceramic materials of incisors fixed continuous crowns of the
mandibular. RESULTS: Using CBCT, Mimics10.01 software and Abaqus 6.11 software,
three-dimensional finite element model of all-ceramic continuous crowns of the
mandibular incisor, abutment, periodontal ligament and alveolar bone was
established. Different ceramic materials and various shoulder width had minor no
impact on the equivalent stress peak of periodontal membrane, as well as alveolar
bone. With the same shoulder width and large area of vertical loading of 120 N,
the tensile stress was the largest in In-Ceram Alumina, followed by In-Ceram
Zirconia and the minimum was IPS.Empress II. Under large area loading of 120 N 45
degrees labially, when the material was IPS.Empress II, with the shoulder width
increased, the porcelain plate edge of the maximum tensile stress value
increased, while the other 2 materials had no obvious change. CONCLUSIONS: Finite
element model has good geometric similarity. In the setting range of this study,
when the elastic modulus of ceramic materials is bigger, the tensile stress of
the continuous crown is larger. Supported by Research Project of Department of
Education, Jiangxi Province (GJJ09130).
PMID- 24935837
TI - [Quantitative analysis of nFA/PEEK implant interfaces in Beagle dogs].
AB - PURPOSE: A new synthetic nano-fluorapatite poly-ether-ether-ketone (nFA/PEEK)
dental implant in screw type was developed and its biocompatibility and
osseointegration ability were evaluated in Beagle dogs. METHODS: Ten sandblasted
and 10 non-sandblasted nFA/PEEK implants were placed in 6 adult Beagle dogs and
the bilateral mandibular second and third premolar was extracted and the wounds
were healed over 3 months. Three dogs were randomly chosen and executed at the
end of 8th and 12th week. To evaluated bone to implant contact(BIC) and mineral
apposition rate(MAR), tetracycline was intramuscularly given twice at 10th and
3rd day, before sacrifice respectively. The implants and the surrounding bone as
a whole were collected and examined by synchrotron radiation tomography.
Undecalcified implant and bone specimens were cut and stained by Van Gieson for a
static histomorphometric analysis. The data was analyzed using SPSS18.0 software
package. RESULTS: The implants were demonstrated by synchrotron radiation and
connected to most of their bone beds at 8th week. Some new bones were developed
into threads of screw implants, while complete osseointegration were noted at
12th week. Sandblasted implants were found to be faster matured. BIC and MAR of
sandblasted group (63.34%, 1.51 MUm/d) were significantly higher than that of non
sandblasted group (22.97%, 1.34 MUm/d) (P<0.05) at 8th week. BIC of sandblasted
group (75.49%) was significantly higher than that of non-sandblasted (55.35%)
(P<0.05) at 12th week, while MAR was not significantly different between the 2
groups (1.28 MUm/d versus 1.27 MUm/d). The static parameters showed that bone
volume fraction (BV/TV) and trabecular number (Tb.N) of sandblasted group were
significantly higher than that of non-sandblasted group, while trabecular spacing
(Tb.Sp) was significantly lower (P<0.05); No significant difference was found in
trabecular thickness (Tb.Th) between 2 groups (P>0.05). The sandblasting group
was more conductive to increase their bone mass. CONCLUSIONS: Sandblasted
synthetic polymer's biomaterial nFA/PEEK has excellent biocompatibility and
osteogenic properties, which is better osseointegrated to its bone bed. It will
be a promising material as dental implants in the future.
PMID- 24935838
TI - [The effect of vascular endothelial cells on the migration of periodontal
ligament cells and gingival fibroblasts].
AB - PURPOSE: To investigate the effect of human vascular endothelial cells (HUVEC) on
the migration of human periodontal ligament cells (HPDLC) and human gingival
fibroblasts (HGF) through a model mimicking periodontal wound healing or
regeneration. METHODS: HUVEC, HPDLC and HGF were co-cultured using a Transwell
system, while HPDLC or HGF was cultured independently as control. Chemotaxis
assay was performed using cell culture inserts (0.8MUm pore size) to assess the
effect of HUVEC on HPDLC and HGF vertical migration at 24h. A scratch wound assay
was performed to assess the effect of HUVEC on HPDLC and HGF horizontal migration
at 0 h, 8 h, 16 h and 24 h. A round glass sheet assay was performed to assess the
effect of HUVEC on HPDLC and HGF wound healing ability at 1d, 4d and 7d. The data
was processed with SPSS 13.0 software package. RESULTS: At the round glass sheet
assay, while HUVEC was present, the integrated option density (IOD) of HPDLCs and
HGFs were significantly higher than each of the single culture (P<0.01). Results
of chemotaxis assay and scratch wound assay showed that the cell number of HPDLCs
and HGFs were significantly higher than each of the single culture while HUVEC
was present (P<0.01), and the number of PHDLCs was significantly higher than HGFs
on chemotaxis assay (P<0.01) but the result of scratch wound assay was on the
contrary (P<0.01). CONCLUSIONS: The presence of HUVEC can promote migration of
periodontal cells, and the effect is more prominent on HPDLC than on HGF in
vertical migration and wound healing, while horizontal migration is more
prominent on HGFs as contrast.
PMID- 24935839
TI - [Preliminary study on root canal morphology of maxillary second molars].
AB - PURPOSE: To investigate the root canal morphology and anatomy of maxillary second
molars using transparent tooth technique and cone-beam computed tomography
(CBCT), and explore the consistency of 2 methods in identifying root canal
configurations and morphology. METHODS: Fifty extracted maxillary second molars
were scanned by CBCT and analyzed using assistant software. Root canal
configurations of each root were identified based on Vertucci's classification
and recorded. All transparent teeth samples were made with modified root canal
staining technique, and the root canal configurations of each root were
classified based on Vertucci's classification. The results were analyzed
statistically with SPSS17.0 software package. RESULTS: According to transparent
tooth technique, type I was the most common configuration in distobuccal root and
palatal root. An additional configuration type 3-2-2 was found in the present
study, which was not included in Vertucci's classification. The wide variety of
canal systems was seen in the mesiobuccal root. Type I configuration was most
prevalent(54.4%), followed by Type II, IV,V,VI. The prevalence of second canal in
mesiobuccal root (MB2) was 41.3%. The results of canal morphology and
configuration were consistent between transparent tooth technique and CBCT.
CONCLUSIONS: Maxillary second molars have the most complex root and canal
morphology, especially for the mesiobuccal root. For identifying root canal and
root anatomy, CBCT can be used as an alternative to transparent tooth technique
in clinical practice. The application of 3D reconstruction based on CBCT helps us
to visualize the root canal anatomy.
PMID- 24935840
TI - [The effect of the structure of epithelial root sheath on the development of
tooth root].
AB - PURPOSE: To investigate the effect of the structure of epithelial root sheath
(ERS) on the development of tooth root. METHODS: The first molars of postnatal
(PN) 8 d SD rats were chosen to harvest the apical tissue. The tissues were
digested by the mixture of type I collagenase and dispase. One part of the cell
suspension was pelleted by centrifugalization. The other part of the cell
suspension was digested by trypsin and then pelleted. The cell pellets were
cultured in vitro for 4 hours and then implanted into renal capsule of the mother
rats. RESULTS: It was found that ERS was dissociated into fragments by the
mixture of type I collagenase and dispase or single cells by trypsin. The pellets
containing fragments of ERS generated tooth root-like structure and periodontal
tissues. The pellets containing single cells of ERS formed tubular and bone-like
dentin. CONCLUSIONS: The bilayered epithelial structure of ERS has important role
in the development of tooth root.
PMID- 24935841
TI - [Construction and application of the tissue bank and database of oral mucosa
precancerous lesions in the Yangtze delta].
AB - PURPOSE: To construct a database and a tissue bank of oral mucosa precancerous
lesions and to estimate the application values. METHODS: Patients in the Yangtze
delta suffering oral mucosa precancerous lesions were enrolled into this study.
The patients' clinical data and samples of oral precancerous mucosa, salivary and
blood were collected to create a tissue bank, based on which a database was
constructed using Microsoft Access software, Brower/Server structure and ASP
language. RESULTS: The tissue bank and database of oral mucosa precancerous
lesions were successfully built. The procedure to harvest, store and transport
the samples had been standardized. The database showed good interactive
interface, convenient for data collection, query and share in the internet.
CONCLUSIONS: We constructed the tissue bank and database of oral mucosa
precancerous lesions for the first time, which not only help preserve the
biological resource of oral mucosa precancerous lesions, but also provide
enormous convenience in clinical work, researching and teaching. Supported by
Research Fund of Science and Technology Committee of Shanghai Municipality
(08ZR1416700).
PMID- 24935842
TI - [Fixed orthodontic treatment combined with surgical fenestration in the treatment
of impacted mandibular first molars].
AB - PURPOSE: To investigate the treatment of impacted mandibular first molars by
straight wire appliance technique, and evaluate the effectiveness of treatment.
METHODS: Eight patients with first mandibular impacted molars were treated with
MBT straight wire appliance. Surgical fenestration was done after sufficient
space created. Statistical comparisons were carried out using t test by SPSS 12.0
software package. RESULTS: Eight mandibular impacted molars were brought into
occlusal plane (3.94+/-0.49 mm), and the overbite and overjet were normal. Good
occlusion was achieved. X-ray film showed that alveolar bone growth around the
molars was good. CONCLUSIONS: Orthodontic treatment combined with surgical
fenestration can effectively correct the impacted mandibular first molars.
Supported by Natural Science Foundation of Zhejiang Province (Y2080253).
PMID- 24935843
TI - [A clinical retrospective analysis of dental implants replaced in previously
failed sites].
AB - PURPOSE: To evaluate the clinical outcomes as well as related factors of dental
implants replaced in previously failed sites. METHODS: A total of 12 patients (15
implants) who received replacement in previously failed sites during January 2005
to December 2011 were included. Outcomes of redo-implants were collected and
clinical data was recorded and analyzed regarding risk factors related to redo
implants' survival using SPSS13.0 software package. RESULTS: The survival rate of
redo-implants was 100% [(33.5+/-15.4) months]. The mean redo-implant diameter
(4.5+/-0.6) mm was significantly larger than the previous one (4.1+/-0.7) mm
(P<0.05). The mean survival time of implants placed for the first time was
(12.9+/-15.9) months. Implant replacement occurred (6.8+/-4.4) months after
original implant removal. No significant influence was observed on patient and
implant-related factors as well as surgery and prosthesis-dependent factors.
CONCLUSIONS: Reimplantation in previously failed site is considered as one of
feasible prosthesis plans, and surgeons ought to get enough confidence to achieve
satisfactory implant survival rates. Supported by Liaoning Provincial Natural
Science Foundation (20092093), Liaoning Provincial Science and Technology
Foundation (2012225090), and Shenyang Science and Technology Foundation (F11-264
1-25, F12-277-1-18).
PMID- 24935844
TI - [Pit and fissure sealant for caries prevention in 457 children in Uygur city:
results of 2-year follow-up].
AB - PURPOSE: To investigate the 2-year results of pit and fissure sealant in 457
children in Uygur city for caries prevention in the first permanent molars.
METHODS: One thousand four hundred and ninety-nine newly erupted first permanent
molars in 457 children between the ages of 7 and 9 in Uygur city underwent pit
and fissure sealing using 3M Concisse sealant. The retention of sealants 6, 12
and 24 months after sealing was observed and the caries occurrence were recorded.
The data was analyzed with SPSS 16.0 software package. RESULTS: The retention
rate of pit and fissure sealant 6, 12 and 24 months after sealing was 98.33%,
92.71% and 88.93%, respectively. The frequency of dental caries was 0%, 0.63% and
1.15% respectively, which were significantly reduced compared with the average
prevalence in China (P<0.05). CONCLUSIONS: Pit and fissure sealant is safe and
effective in preventing dental decay in first permanent molar in Uygur children,
which is worthy of wide clinical application. Supported by Comprehensive
Intervention Pilot Project for Pediatric Oral Diseases in Middle and Western Area
of China in 2010.
PMID- 24935845
TI - [Evaluation of fiber reinforcement composites in restoring lower dentition defect
and fixing loose teeth for chronic periodontitis].
AB - PURPOSE: To evaluate the effect of fiber reinforcement composites (FRC) in
treating chronic periodontitis with dentition defect. METHODS: Thirty patients
who had chronic periodontitis and missed less than 2 lower anterior teeth were
chosen. After appropriate periodontal treatment, EverStick fibers were used to
fabricate splint with the missing teeth repaired. One month, 6 months, 1 year and
2 years after treatment, periodontal indexes such as plaque index (PLI), gingival
index (GI) and probe depth (PD) were recorded and the patients' satisfaction was
evaluated. SPSS 17.0 software package was used for statistical analysis. RESULTS:
The results showed that no significant difference was found in PLI and GI during
observation period (P>0.05). PD decreased significantly after 6 months of
observation (P<0.05). Patients' satisfaction degree for aesthetics,
pronunciation, comfort and function was beyond 80%. CONCLUSIONS: Using FRC
technique in chronic periodontitis with dentition defect can effectively fix the
loosen teeth and maintain physical function. Appropriate periodontal treatment
every 6 months is the key factor for satisfactory results.
PMID- 24935846
TI - [Patients' experiences with the Forsus appliance].
AB - PURPOSE: To investigate patients' experiences with the Forsus appliance. METHODS:
This questionnaire survey was focused on patients' comprehensive experiences with
Forsus, both initially and after several months of wearing, including the
patients' overall impressions of the appliance. The survey was conducted in 64
patients wearing Forsus. RESULTS: A high percentage of patients(83.7%) reported
neutral to favorable experience with Forsus. 85.4% of patients reported gradual
adaption to the appliance within 4 weeks. Cheek irritation was the most serious
side effect (about 52%). Cheek irritation and other side effect disappeared over
time. CONCLUSIONS: The Forsus appliance is relatively well accepted by patients.
Most patients experience some discomfort and functional limitations at first.
However, the side effect gradually diminishes with time, and the patients adapt
to the appliance finally. Practitioners should be especially vigilant about
problems of cheek irritation.
PMID- 24935847
TI - [Expression and clinical significance of p53 and autophagy-related gene Beclin1
in salivary pleomorphic adenoma and carcinoma in pleomorphic adenoma].
AB - PURPOSE: To investigate the expression of p53 and Beclin1 in salivary pleomorphic
adenoma (PA) and its clinical significance. METHODS: The expression of p53 and
Beclin1 were assessed by immunohistochemistry in 108 cases of PA and 20 cases of
carcinoma in pleomorphic adenoma(CIPA). The results were used to analyze the
relationship between gene expressions and the development of PA as well as the
clinical pathological features. Statistic analysis was conducted with SPSS 20.0
software package. RESULTS: The positive expressions of p53 in PA samples (9%)
were significantly lower than that in CIPA(14%) (P<0.001). The positive
expressions of autophagy-related gene Beclin1 in PA samples(91%) were
significantly higher than that CIPA (11%) (P<0.001). The expression levels of
these genes were not associated with gender, age, clinical course, tumor size,
and location of PA(P>0.05). There was a negative correlation between p53 and
Beclin1 expression in PA (r=-0.330,P<0.05). CONCLUSIONS: The expression levels of
Beclin1 and p53 protein are closely related to the development of PA. Reduced
autophagy and enhanced anti apoptosis coexist in the process of tumor formation.
Thus, raising the autophagy ability may become another alternative choice for
cancer therapy.
PMID- 24935848
TI - [Grade IV myelosuppression after induction chemotherapy of TPF on oral cancer:
clinical analysis of 29 cases].
AB - PURPOSE: To observe and evaluate the clinical features and treatment strategies
of IV myelosuppression after induction chemotherapy of oral mucosa squamous cell
carcinoma under conventional dosage. METHODS: Twenty-nine patients of oral mucosa
squamous cell carcinoma pathologically diagnosed between 2006 and 2012 were
enrolled into this study. The patients received induction chemotherapy with
docetaxel-cisplatin-5-fluorouracil (TPF) and suffered from grade IV
myelosuppression. Regulations and treatment strategies of the bone marrow
myelosuppression were analyzed, retrospectively. RESULTS: Twenty-nine cases had
bone marrow suppression 9-14 days after induction chemotherapy, and the median
time was 10 days, with a "U"-shaped fluctuation pattern. There were 26 cases with
colony-stimulating factor(CSF) therapy completing treatment according to the
schedule and 2 cases were required to radiotherapy because of unsatisfied effect
of CSF therapy. One case died and the death rate after chemotherapy was 0.68% in
this group. CONCLUSIONS: The incidence of grade IV myelosuppression is normal and
fatal. This study shows the starting time of the "U"-shaped fluctuation and the
necessaries of adding CSF therapy at the same time. The emergency treatment of
myelosuppression should be used including single disinfected ward mouthwash and
therapy of CSF drug.
PMID- 24935849
TI - [Detection of root variations of permanent mandibular first and second molars by
cone-beam computed tomography].
AB - PURPOSE: To explore the prevalence and distribution pattern of the root
variations of permanent mandibular first and second molars in an eastern Chinese
population. METHODS: Cone-beam computed tomography images were collected
retrospectively from 261 Chinese patients in Suzhou Stomatological Hospital. The
incidences of the root variations were determined. The trait frequency
differences between genders and sides were tested using Chi-square test with SPSS
13.0 software program. Correlations in the occurrence of the root variations
between antimeric teeth, as well as between the two types of molars were analyzed
with Spearman rank correlation test. RESULTS: The incidence of three-rooted
mandibular molars was 24.7% (108/437) counted by teeth, and 27.9% (56/201)
counted by individuals. The incidence of the antimeric teeth in both sides was
66.1% (37/56) and the correlation coefficient was 0.738 (P<0.01). The incidence
of C-shaped rooted in mandibular second molars was 43.3% (180/416) counted by
teeth, and 49.7%(96/193) counted by individuals. The bilateral incidence was
79.2% (76/96) and the correlation coefficient was 0.790 (P<0.01). The incidence
of the female group was significantly (P<0.05) higher than males. No significant
correlation was found between the occurrence of three-rooted mandibular first
molars and group C-shaped toot in mandibular second molars (r=0.033, P>0.05).
CONCLUSIONS: The prevalence of three-rooted mandibular first molars and C-shaped
root in mandibular second molars are high in the eastern Chinese population.
Understanding their distribution patterns may be useful for clinical practice.
PMID- 24935850
TI - [The influences of non-surgical periodontal treatment on gingival crevicular
fluid levels of MMP-8 and tissue inhibitor of TIMP-1].
AB - PURPOSE: To compare the levels of MMP-8 and TIMP-1 in the gingival crevicular
fluid (GCF)of chronic periodontitis(CP) patients before and after non-surgical
periodontal therapy. METHODS: Sulcus bleeding index (SBI), plaque index (PLI) and
probing depth (PD) were detected before and after therapy. GCF was collected from
CP patients before and after therapy. MMP-8 and TIMP-1 were determined by enzyme
linked immunoabsorbent assay. The data was analyzed using paired-sample t test
and multi-correlation analysis with SSPS 19.0 software package. RESULTS: The
levels of MMP-8 and TIMP-1 decreased after therapy, and there was no significant
difference in the MMP-8/TIMP-1 ratio. Correction analysis showed that a
significant correction was found between MMP-8 and GCF weight. CONCLUSIONS: MMP-8
and TIMP-1 decrease after non-surgical periodontal therapy.
PMID- 24935851
TI - [Clinical analysis of caries status of the mandibular second molar].
AB - PURPOSE: To collect the cases which have caries on the mandibular second molar
and analyze the caries status and correlative factors. METHODS: Patients treated
in the Department of Endodontics in West China Hospital of Stomatology were
randomly collected. The baseline information, primary sites and severity of
dental caries on the mandibular second molar, and eruption pattern of the
mandibular third molar were recorded. The data was analyzed with SPSS13.0
software package. RESULTS: Four hundred eighty-one patients including 227 males
and 254 females were collected. Caries on the mandibular second molar starting
from the occlusal, distal proximal and buccal surfaces accounted for 33.8%, 33.2%
and 24.4%, respectively. Caries involving dental pulp (49.7%) were significantly
more than deep and shallow to moderate caries (31.7% and 18.6%). Gender was not
correlated with the site and severity of caries. However, impacted mandibular
third molars and age were significantly related to both caries site and severity.
CONCLUSIONS: Occlusal and distal proximal surfaces are the most predisposed sites
to have caries on mandibular second molar. Impacted mandibular third molar and
age are significantly related to caries of mandibular second molar.
PMID- 24935853
TI - [Literature analysis of current bilingual teaching on stomatology in China].
AB - PURPOSE: To investigate the current status and problems of bilingual teaching on
stomatology in China. METHODS: Three database, including CNKI, Wanfang database
and VIP were used to retrieve the articles of bilingual teaching on stomatology
from January 2001 to December 2012. RESULTS: Fifty articles were included in this
study and the following items, publishing time, foundation support, journals,
authors and author affiliation, the course, teaching effects, research
classification and main components of the articles were analyzed. The problems
remained were also discussed. CONCLUSIONS: The level of current bilingual
teaching on stomatology in China is low and great efforts are needed to make it
better. Supported by Research Fund of Hebei United University(Z201333).
PMID- 24935852
TI - [Fiber post versus metal screw post for repair of residual crowns and roots: a
systematic review].
AB - PURPOSE: To evaluate the restorative effectiveness of fiber post versus metal
screw post through systematical review. METHODS: Randomized controlled trials
(RCTs) data from the establishment of the database up to June 2013 were searched
from MEDLINE (Ovid), PubMed, The Cochrane Library, Embase, Wanfang Data, VIP,
CNKI and CBM using the designed strategy. After data extraction and quality
review of the retrieved articles by two independent investigators, the softwares
of RevMan 5.1.0 and GRAED profiler 3.2.2 were employed to process data analysis.
RESULTS: Ten RCTs were finally included, of which 1 was English literature and 9
were Chinese literatures. Meta analysis suggested that the survival rate of
repair of adult and young permanent teeth was significantly higher using fiber
post than metal screw post [RR=1.21,95%CI(1.13,1.30),
P<0.01,RR=1.21,95%CI(1.10,1.34), P<0.01], and the results root fracture rate was
significantly lower [RR=0.16,95%CI(0.05,0.48),P=0.001]. No significant difference
was found in the rate of post-core fall off [RR=0.76,95%CI(0.33,1.73), P>0.05]
and gingivitis [RR=0.71, 95%CI(0.27,1.88), P>0.05]. All outcomes were of low
quality in the GRADE system. CONCLUSIONS: Compared with metal screw post, the
survival rate is significantly higher and the root fracture rate is significantly
lower by using fiber post. However, the conclusion is limited by lack of relevant
studies, small sample sizes, inadequate quality and diversified methodology.
Further study is needed by employing more well-designed, large-sample and multi
center RCTs to verify the conclusion.
PMID- 24935854
TI - [Research progress of nano-hydroxyapatite complexes in bone tissue regeneration].
AB - As the nano-hydroxyapatite is the main inorganic component of bone tissue of the
human body, artificial synthesis of nano-hydroxyapatite has attracted the most
attention in the field of hard tissue repair. To make up multiple aspects of
limitations for nano-hydroxyapatite material itself, nano-hydroxyapatite
complexes have been widely evaluated and applied in bone repair. This paper
reviewed the common nano-hydroxyapatite complexes and their research progress in
bone regeneration. Supported by Research Fund of Science and Technology Committee
of Shanghai Municipality (12NM0501600, 13NM1402102) and Medicine and Engineering
Cross Project of Shanghai Jiao Tong University (YG2012MS29).
PMID- 24935855
TI - [Solitary median maxillary central incisor syndrome:a case of report].
AB - Solitary median maxillary central incisor (SMMCI) is a rare dental anomaly
characterized by a symmetric central incisor of normal size, developed and
erupted precisely in the midline of the maxilla in both primary and permanent
dentitions. SMMCI may occur alone or be associated with other midline structures
defects of the body or other systemic disorders. The best known association is
holoprosencephaly (HPE). This paper reported a case of SMMCI that companied with
other midline structures defects of the body.
PMID- 24935856
TI - Spinocerebellar ataxia type 10 in Peru: the missing link in the Amerindian origin
of the disease.
AB - Spinocerebellar ataxia type 10 (SCA10) is an autosomal dominant neurodegenerative
disorder manifested by ataxia with a variable presentation of epileptic seizures,
which is caused by a large expansion of an intronic ATTCT pentanucleotide repeat
in ATXN10 on 22q13.3. Herein, we report the first description of SCA10 in a
Peruvian family, supporting the Amerindian origin of SCA10 and the Panamerican
geographical distribution of the disease in North, Central and South America.
Moreover, the presence of an interruption motif in the SCA10 expansion along with
epileptic seizures in this family supports the correlation between the two, as
seen in other families. Finally, this is the first SCA10 patient ever observed
outside of America, specifically in Italy. Since this patient is a Peruvian
immigrant of Amerindian ancestry, our case report highlights the growing need for
awareness amongst clinicians of seemingly geographically restricted rare
diseases.
PMID- 24935857
TI - Karl Stern (1906-1975).
AB - The forced migration process of German-speaking neurologists and psychiatrists
under the Nazis during the 1930s and 40s is often preoccupied solely with
"successful" concepts and therapeutic approaches. The case of German-Canadian
neurologist Karl Stern (1906-1975) is very instructive, however, since the
process of forced migration, for him, proved to be a transitionary process from
his former cutting edge work in neuropathology and holist neurology in Germany to
clinical psychiatry and the development of the new discipline of geriatric
medicine in Canada.
PMID- 24935858
TI - Outcome of limbic encephalitis with VGKC-complex antibodies: relation to
antigenic specificity.
AB - In limbic encephalitis (LE) with antibodies (Abs) to the voltage-gated potassium
channel complex (VGKC), the Abs are mainly directed to the VGKC-complex proteins,
leucine-rich, glioma inactivated 1 protein (LGI1) or contactin-associated protein
like 2 (CASPR-2) or neither. Here, we relate the outcomes of VGKC-LE patients to
the presence of Abs to LGI1, CASPR-2 or neither antigen (LGI1/CASPR-2-Ab(-)).
Clinical, neuropsychology and MRI data were obtained from patient records for all
LE patients from the Bonn Epilepsy Centre positive for VGKC-Abs by
radioimmunoprecipitation assay between 2002 and 2011. Eighteen VGKC-LE patients
were identified: nine patients (50 %) had LGI1-Abs, three (16 %) had CASPR-2-Abs;
and six (33 %) were negative for both LGI1- and CASPR-2-Abs. At first assessment,
the groups did not differ clinically or radiologically, but faciobrachial
dystonic seizures were only observed in two LGI1-Ab(+) patients. All patients
received monthly intravenous methylprednisolone (MP) pulses. At the most recent
follow up (median 26 months), thirteen (72 %) were seizure-free, and seizure
freedom rates did not differ between the Ab groups. Hippocampal atrophy had
developed in 7/9 LGI1-Ab(+) patients, but in none of the CASPR-2-Ab(+) or
LGI/CASPR-2-Ab(-) patients (p = 0.003). While all subgroups improved, memory
scores only normalized in six patients (33 %) and LGI1-Ab(+) patients were left
with significantly poorer memory than the other two subgroups. Most VGKC-LE
patients become seizure-free with pulsed monthly MP, but memory outcome is less
favourable. Hippocampal atrophy and poor memory recovery is common in patients
with LGI1-Abs and suggests permanent functional damage. More intense
immunotherapies could improve outcomes in LGI1-Ab(+)-LE.
PMID- 24935860
TI - Modification of British Committee for Standards in Haematology diagnostic
criteria for essential thrombocythaemia.
PMID- 24935859
TI - Detection of copy number variations and their effects in Chinese bulls.
AB - BACKGROUND: Copy number variations (CNVs) are a main source of genomic structural
variations underlying animal evolution and production traits. Here, with one pure
blooded Angus bull as reference, we describe a genome-wide analysis of CNVs based
on comparative genomic hybridization arrays in 29 Chinese domesticated bulls and
examined their effects on gene expression and cattle growth traits. RESULTS: We
identified 486 copy number variable regions (CNVRs), covering 2.45% of the bovine
genome, in 24 taurine (Bos taurus), together with 161 ones in 2 yaks (Bos
grunniens) and 163 ones in 3 buffaloes (Bubalus bubalis). Totally, we discovered
605 integrated CNVRs, with more "loss" events than both "gain" and "both" ones,
and clearly clustered them into three cattle groups. Interestingly, we confirmed
their uneven distributions across chromosomes, and the differences of
mitochondrion DNA copy number (gain: taurine, loss: yak & buffalo). Furthermore,
we confirmed approximately 41.8% (253/605) and 70.6% (427/605) CNVRs span cattle
genes and quantitative trait loci (QTLs), respectively. Finally, we confirmed 6
CNVRs in 9 chosen ones by using quantitative PCR, and further demonstrated that
CNVR22 had significantly negative effects on expression of PLA2G2D gene, and both
CNVR22 and CNVR310 were associated with body measurements in Chinese cattle,
suggesting their key effects on gene expression and cattle traits. CONCLUSIONS:
The results advanced our understanding of CNV as an important genomic structural
variation in taurine, yak and buffalo. This study provides a highly valuable
resource for Chinese cattle's evolution and breeding researches.
PMID- 24935862
TI - "Bottle-opener" effect in bipolar hip prostheses dislocations.
PMID- 24935861
TI - Simultaneous prevention of unintended pregnancy and STIs: a challenging
compromise.
AB - BACKGROUND: Unintended pregnancy and sexually transmitted infections (STIs) are
the major negative consequences of unsafe sex. Both are common and have long-term
social and health consequences. Barrier methods of contraception can prevent
both, but unfortunately they are much less effective than the more modern methods
at pregnancy prevention. Modern effective contraceptives, however, do not protect
against STIs and some may increase the risk of acquisition of infection. This
comprehensive review discusses the magnitude of burden of reproductive ill
health, focussing on data from the European region, and explores the relationship
between contraceptive use and STIs. METHODS: Searches were performed by using
Medline, Popline, EMBASE, Cochrane Library and the Social Sciences Citation Index
databases for relevant English language publications from 1995 to 2012. Summaries
were discussed by the European Society of Human Reproduction and Embryology
(ESHRE) Workshop Group. RESULTS: An understanding of patterns of sexual behaviour
helps to understand the epidemiology of unintended pregnancy and STIs and gives
pointers towards their prevention, but survey methodologies differ and results
are hard to compare. Contraceptive prevalence and method mix vary widely between
countries, and the use of the dual method of protection is very infrequent.
Abortion rates have fallen in many European countries, particularly Eastern
Europe, and contraceptive prevalence increased but unsafe abortion still accounts
for 11% of maternal mortality in Eastern Europe. STIs are common but reporting
systems are often rudimentary or non-existent and robust data are scarce.
Providers still worry about the effect of intrauterine contraception on
reproductive tract infections despite reassuring evidence to the contrary. New
data on HIV acquisition and hormonal contraception are causing concern in
settings where HIV infection is common. New developments in multipurpose
technologies aimed at producing a single device/drug, which prevents infection
and pregnancy simultaneously, are in early stages. While the benefits of national
screening programmes for STIs remain uncertain, human papilloma virus (HPV)
vaccination is clearly reducing HPV infection rates and gives hope for the public
health benefits of other STI vaccines. CONCLUSIONS: The consequences of unsafe
sex-unintended pregnancy and STI-continue to present major public health problems
worldwide even in countries where the prevalence of use of modern contraception
is high. Robust systems for routine data collection are sorely needed in most
countries and systematic attempts to compare patterns of sexual behaviour across
men and women of all ages would be welcome.
PMID- 24935863
TI - The effect of temperature and menthol on carbonation bite.
AB - Temperature and chemesthesis interact, but this interaction has not been fully
examined for most irritants. The current experiments focus on oral pungency from
carbonation. Previous work showed that cooling carbon dioxide (CO2) solutions to
below tongue temperature enhanced rated bite. However, to the best of our
knowledge, the effects of warming to above tongue temperature have not been
examined. In Experiment 1, subjects sampled CO2 solutions at 4 nominal
concentrations (0.0, 2.0, 2.8, and 4.0 v/v) * 5 temperatures (18.3, 24.5, 29.9,
34.5, and 39.6 (o)C). Subjects dipped their tongue tips into samples and rated
bite. As in previous work, subjects rated cool solutions (25.0 (o)C and lower) as
more intense. Warming solutions above tongue temperature (39.6 (o)C) did not
affect ratings. Experiment 2 examined warmer temperatures (18.3, 33.9, 39.0,
44.9, and 48.2 oC). Bite was enhanced only at 48.2 oC, and a follow-up experiment
suggested that enhancement was probably due to confusion between carbonation bite
and mild heat pain. Experiment 3 examined the effect of menthol cooling by
pretreating the tongue with menthol. Unlike physical cooling, menthol cooling had
little or no effect on rated bite. The results are discussed in the context of
candidate transduction mechanisms for carbonation sensation.
PMID- 24935864
TI - A combination of plant-derived odors reduces corticosterone and oxidative
indicators of stress.
AB - In this study, we measured typical stress markers in addition to oxidative status
and reduced glutathione in erythrocytes, and plasma lipid peroxidation of
restraint-stressed animals exposed to a combination of plant-derived odors (0.03%
Z-3-hexen-1-ol, 0.03% E-2-hexenal, and 0.015% alpha-pinene in triethyl citrate).
Male Wistar rats aged 6-7 weeks postnatal were exposed to vehicle (triethyl
citrate, n = 12), plant-derived odors (n = 12), or 1% propionic acid odor (n =
12) under control or stress conditions, and blood samples were collected.
Restraint stress increased plasma glucose and plasma corticosterone
concentrations by approximately 10% (P < 0.01) and 125% (P < 0.001),
respectively, in vehicle-exposed animals. Similar increases were observed in
animals exposed to a 1% propionic acid odor, indicating the novelty of odor
exposure does not alter stress responsiveness. There was also an increase of
approximately 15% in both erythrocytic oxidative status (P < 0.001) and plasma
lipid peroxidation (P < 0.05), and a decrease of approximately the same magnitude
in reduced glutathione (P < 0.05) in restrained animals with vehicle exposure.
There were no differences observed between control and stress treatment with
plant-derived odor exposure in any of the measured parameters. It was concluded
that exposure to plant-derived odors reduce corticosterone, glucose, and redox
responses elicited by psychological stress.
PMID- 24935865
TI - Efficient DNA fingerprinting based on the targeted sequencing of active
retrotransposon insertion sites using a bench-top high-throughput sequencing
platform.
AB - In many crop species, DNA fingerprinting is required for the precise
identification of cultivars to protect the rights of breeders. Many families of
retrotransposons have multiple copies throughout the eukaryotic genome and their
integrated copies are inherited genetically. Thus, their insertion polymorphisms
among cultivars are useful for DNA fingerprinting. In this study, we conducted a
DNA fingerprinting based on the insertion polymorphisms of active retrotransposon
families (Rtsp-1 and LIb) in sweet potato. Using 38 cultivars, we identified
2,024 insertion sites in the two families with an Illumina MiSeq sequencing
platform. Of these insertion sites, 91.4% appeared to be polymorphic among the
cultivars and 376 cultivar-specific insertion sites were identified, which were
converted directly into cultivar-specific sequence-characterized amplified region
(SCAR) markers. A phylogenetic tree was constructed using these insertion sites,
which corresponded well with known pedigree information, thereby indicating their
suitability for genetic diversity studies. Thus, the genome-wide comparative
analysis of active retrotransposon insertion sites using the bench-top MiSeq
sequencing platform is highly effective for DNA fingerprinting without any
requirement for whole genome sequence information. This approach may facilitate
the development of practical polymerase chain reaction-based cultivar diagnostic
system and could also be applied to the determination of genetic relationships.
PMID- 24935869
TI - The Berry curvature of the Bogoliubov quasiparticle Bloch states in the
unconventional superconductor Sr2RuO4.
AB - We will extend the concept of electron band Berry curvatures to superconducting
materials. We show that this can be defined for the Bogoliubov-de Gennes equation
describing the superconducting state in a periodic crystal. In addition, the
concept is exploited to understand the driving mechanism for the optical Kerr
effect in time reversal symmetry breaking superconductors. Finally, we establish
a sum rule analogue to the normal state Hall sum rule making quantitative contact
between the imaginary part of the optical conductivity and the Berry curvature.
The general theory will be applied and tested against the drosophila of the p
wave paired materials Sr2RuO4.
PMID- 24935870
TI - The Importance of Terminal Values and Religious Experience of God's Presence and
God's Absence in the Lives of University Students with Various Levels of Empathy.
AB - The aims of the research I embarked on were: (a) to show the preference of
terminal values in personal and in social character, as well to determine the
level of religious experience--God's presence and God's absence, in groups of
young people characterized by a high and low level of empathy and (b) to show the
relation between terminal values in personal and in social character and
religious experience: God's presence and God's absence, in groups of young people
with a high and low level of empathy. In the research, the following methods were
applied: The Scale of Religious Experience by Glaz-in order to define the level
of religious experience: God's presence and God's absence, and Mehrabian and
Epstein's Questionnaire Measure of Emotional Empathy-in order to define the level
of empathy. In order to show the terminal values preference amongst young people,
the Rokeach Value Survey was applied. The research was carried out in Krakow
amongst 200 university students. The research has shown that students with a high
level of empathy reveal a higher level of experience of God's presence than the
people with a low level of it. University students with a high level of empathy
amongst terminal values prefer most two values in personal character, that is
wisdom and pleasure, and one in social character-family security. Similarly,
students with a low level of empathy prefer most also two values in personal
character, that is pleasure and freedom, and one in social character-family
security. In the group of people with a high level of empathy, it is value in
personal character-a sense of accomplishment-that contribute more to explaining
the variance of religious experience of God's presence, and in group of people
with a low level of empathy, it is social value-social recognition. Whereas in
the group of people with a high level of empathy it is value in social character
equality-that contribute more to explaining the variance of religious experience
of God's absence, and in group of people with a low level of empathy, it is
personal value-salvation.
PMID- 24935866
TI - Comparative analysis of the primary transcriptome of Synechocystis sp. PCC 6803.
AB - RNA-seq and especially differential RNA-seq-type transcriptomic analyses (dRNA
seq) are powerful analytical tools, as they not only provide insights into gene
expression changes but also provide detailed information about all promoters
active at a given moment, effectively giving a deep insight into the
transcriptional landscape. Synechocystis sp. PCC 6803 (Synechocystis 6803) is a
unicellular model cyanobacterium that is widely used in research fields from
ecology, photophysiology to systems biology, modelling and biotechnology. Here,
we analysed the response of the Synechocystis 6803 primary transcriptome to
different, environmentally relevant stimuli. We established genome-wide maps of
the transcriptional start sites active under 10 different conditions relevant for
photosynthetic growth and identified 4,091 transcriptional units, which provide
information about operons, 5' and 3' untranslated regions (UTRs). Based on a
unique expression factor, we describe regulons and relevant promoter sequences at
single-nucleotide resolution. Finally, we report several sRNAs with an intriguing
expression pattern and therefore likely function, specific for carbon depletion
(CsiR1), nitrogen depletion (NsiR4), phosphate depletion (PsiR1), iron stress
(IsaR1) or photosynthesis (PsrR1). This dataset is accompanied by comprehensive
information providing extensive visualization and data access to allow an easy-to
use approach for the design of experiments, the incorporation into modelling
studies of the regulatory system and for comparative analyses.
PMID- 24935871
TI - Empathy and silence in pastoral care for traumatic grief and loss.
AB - This paper evaluates silence as a therapeutic practice in pastoral care for
traumatic grief and loss. Informed by the history of attachment and mourning
theory, its research considers the basic effect that empathy has upon the
therapeutic relationship around psychic difference. The study appraises the
potential resources and detriments that empathic language may have for the grief
process. Offering clinical examples in hospice chaplaincy, it refutes the idea
that silence is formulaic tool to be used. It instead offers silence as the
acceptance of the limits of empathic language and the affirmation of
psychological difference and theological wholeness.
PMID- 24935873
TI - Do nurses have worse pregnancy outcomes than non-nurses?
AB - BACKGROUND: Nurses encounter multiple occupational exposures at work which may
harm their reproductive health. The purpose of the study was to compare pregnancy
complications and outcomes including cesarean deliveries, tocolysis, miscarriage,
and preterm labor between female nurses and comparable women who were not nurses
in Taiwan. METHODS: This nationwide population-based study was performed using
the National Health Insurance Research Database from 1997 to 2008. We identified
3,656 pregnancies among 2,326 nurses and 111,889 pregnancies among 74,919 non
nurses. A generalized estimating equation was used to compare risks between the
two groups. RESULTS: The rates of tocolysis (28.6 vs 22.3%), miscarriage (6.0 vs
5.3%), and preterm labor (8.1 vs 4.4%) were significantly higher among nurses
than non-nurses. After adjustment for background differences, nurses had
significantly higher risks for cesarean section (adjusted OR 1.12 [95% confidence
interval (CI) 1.03-1.22]), tocolysis (OR 1.18 [95% CI 1.09-1.29]), and preterm
labor (OR 1.46 [95% CI 1.28-1.67]) than non-nurses. CONCLUSIONS: Nurses are at
higher risk for cesarean section, tocolysis, and preterm labor than non-nurses.
Occupational exposure related to these adverse pregnancy outcomes should be
examined. Strategies to decrease the risks should be developed to improve
reproductive health among nurses.
PMID- 24935872
TI - Adverse outcomes of frailty in the elderly: the Rotterdam Study.
AB - To investigate the prevalence of frailty in a Dutch elderly population and to
identify adverse health outcomes associated with the frailty phenotype
independent of the comorbidities. Cross-sectional and longitudinal analyses
within the Rotterdam Study (the Netherlands), a prospective population-based
cohort study in persons aged >=55 years. Frailty was defined as meeting three or
more of five established criteria for frailty, evaluating nutritional status,
physical activity, mobility, grip strength and exhaustion. Intermediate frailty
was defined as meeting one or two frailty criteria. Comorbidities were
objectively measured. Health outcomes were assessed by means of questionnaires,
physical examinations and continuous follow-up through general practitioners and
municipal health authorities for mortality. Of 2,833 participants (median age
74.0 years, inter quartile range 9) with sufficiently evaluated frailty criteria,
163 (5.8 %) participants were frail and 1,454 (51.3 %) intermediate frail. Frail
elderly were more likely to be older and female, to have an impaired quality of
life and to have fallen or to have been hospitalized. 108 (72.0 %) frail
participants had >=2 comorbidities, compared to 777 (54.4 %) intermediate frail
and 522 (44.8 %) non-frail participants. Adjusted for age, sex and comorbidities,
frail elderly had a significantly increased risk of dying within 3 years (HR 3.4;
95 % CI 1.9-6.4), compared to the non-frail elderly. This study in a general
Dutch population of community-dwelling elderly able to perform the frailty tests,
demonstrates that frailty is common and that frail elderly are at increased risk
of death independent of comorbidities.
PMID- 24935874
TI - Antibodies to Delta/notch-like epidermal growth factor-related receptor in
patients with anti-Tr, paraneoplastic cerebellar degeneration, and Hodgkin
lymphoma.
AB - IMPORTANCE: The anti-Tr immune response is associated with paraneoplastic
cerebellar degeneration and Hodgkin lymphoma (HL). One case series has reported
that the Delta/notch-like epidermal growth factor-related receptor (DNER) is the
actual target for anti-Tr antibodies, but this result has not been replicated.
OBJECTIVE: To describe a patient with anti-Tr and confirm that DNER is the
autoantigen for a series of patients with anti-Tr. DESIGN, SETTING, AND
PARTICIPANTS: Observational study and analysis of biological samples for
antibodies to DNER at the hospital of the University of Pennsylvania. We examined
a cerebrospinal fluid sample from 1 patient with anti-Tr and serum and/or
cerebrospinal fluid samples from 5 other patients with anti-Tr. EXPOSURE:
Transfection of HEK293T and Hela cells to express DNER coupled to an enhanced
green fluorescent protein tag using a plasmid previously used to detect human
DNER antibodies. RESULTS: A man in his 30s with paraneoplastic cerebellar
degeneration and anti-Tr underwent treatment with corticosteroids and intravenous
immunoglobulin, resulting in clinical improvement before chemotherapy. Despite
close oncologic follow-up, a biopsy, positron emission tomography, and computed
tomography, he was not diagnosed as having HL until 6 months after symptom onset.
The cerebrospinal fluid sample from this patient reacted with cells transfected
to express DNER, as did cerebrospinal fluid and/or serum samples from 5 other
patients with paraneoplastic cerebellar degeneration, HL, and anti-Tr. Only 4 of
the 5 serum samples reacted to permeabilized cells enough to be distinguished
from background, but all 5 serum samples convincingly labeled live cells, which
had considerably less background. All 6 control serum samples and 1 serum sample
from a patient previously diagnosed as having anti-Tr (but without HL or
cerebellitis) did not recognize DNER. CONCLUSIONS AND RELEVANCE: This case
demonstrates the importance of testing for the anti-Tr immune response in
patients with cerebellar degeneration. The strong association of anti-Tr with HL
requires careful surveillance for this tumor. We also confirm that DNER is the
target antigen of the anti-Tr immune response. Screening for DNER antibodies
against living transfected cells may offer an improved signal-to-noise
characteristic compared with immunostaining of fixed, permeabilized cells.
PMID- 24935876
TI - Adherence to surveillance guidelines after radical cystectomy: a population-based
analysis.
AB - OBJECTIVES: Surveillance after radical cystectomy is recommended to detect tumor
recurrence and treatment complications. We evaluated adherence to National
Comprehensive Cancer Network (NCCN) guidelines using a large population-based
database. METHODS AND MATERIALS: The Surveillance, Epidemiology, and End Results
Medicare database was used to identify patients aged >=66 years diagnosed with
nonmetastatic bladder cancer who had undergone radical cystectomy between 2000
and 2007. Medicare claims information identified recommended surveillance tests
for 2 years after cystectomy as outlined in the NCCN guidelines. Adherence was
defined as receipt of urine cytology and imaging of the chest, abdomen, and
pelvis in each year. We evaluated the effect of patient and provider
characteristics on adherence, controlling for demographic and disease
characteristics. RESULTS: Of 3,757 patients who had undergone radical cystectomy,
2,990 (80%) were alive after 2 years. Adherence to all recommended investigations
was 17% for the first and the second years following surgery. Among patients
surviving 2 years, only 9% had complete surveillance in both years. In either
year, adherence was less likely in patients with advanced pathologic stage
(III/IV) (adjusted odds ratio [AOR] = 0.74, 95% CI: 0.60-0.91) and unmarried
patients (AOR = 0.82, 95% CI: 0.68-0.99). Adherence was more likely in patients
treated by high-volume surgeons (AOR = 2.00, 95% CI: 1.70-2.36) and those who saw
a medical oncologist (AOR = 1.52, 95% CI: 1.27-1.82). We also observed
significant geographic variability in adherence. CONCLUSION: Patterns of
surveillance after radical cystectomy deviate considerably from NCCN
recommendations. Despite increased utilization of radiographic imaging
investigations, the omission of urine cytology significantly contributed to the
low rate of overall adherence to surveillance guidelines. Uniform adherence to
surveillance guidelines was observed in patients treated by high-volume surgeons.
This suggests an important opportunity for quality improvement in bladder cancer
care.
PMID- 24935877
TI - Integrating research and practice: a new initiative from the Alliance for
Continuing Education in the Health Professions.
PMID- 24935878
TI - Trends in physician preferences for and use of sources of medical information in
response to questions arising at the point of care: 2009-2013.
AB - INTRODUCTION: Recent information on the preferences and trends of medical
information sources for US practicing physicians in the past several years is
lacking. The purpose of this study was to identify current format preferences and
attitudes of physicians as well as trends over time to provide timely information
for use in educational planning. METHODS: A survey instrument was developed and
distributed in 2013 to US practicing physicians in several specialties. Data were
aggregated and analyzed to understand trends across these physicians. Differences
between and among demographic subsets of physicians, such as practice type and
location, were observed by the use of inferential statistics. Additionally, using
a similar survey fielded in 2009, these findings were analyzed to observe
potential changes in the past 4 years. RESULTS: Peer-reviewed journal articles
and continuing medical education (CME) are reported to be the most useful sources
of medical information by physicians. Non-CME promotional meetings,
pharmaceutical sales representatives, and managed care organizations are least
useful or influential. Physicians are receiving more clinical questions from
patient encounters in 2013 compared to 2009, and spend more time searching for
information online. The use of many formats to receive medical information is
increasing, including both technology-derived and traditional formats.
DISCUSSION: Increases in clinical questions and time spent online indicate a
heightened need for efficiencies in searching for medical information. New uses
of technology in medical information delivery may allow educators an avenue to
meet the rising needs of physicians.
PMID- 24935875
TI - The determination of tRNALeu recognition nucleotides for Escherichia coli L/F
transferase.
AB - Escherichia coli leucyl/phenylalanyl-tRNA protein transferase catalyzes the tRNA
dependent post-translational addition of amino acids onto the N-terminus of a
protein polypeptide substrate. Based on biochemical and structural studies, the
current tRNA recognition model by L/F transferase involves the identity of the 3'
aminoacyl adenosine and the sequence-independent docking of the D-stem of an
aminoacyl-tRNA to the positively charged cluster on L/F transferase. However,
this model does not explain the isoacceptor preference observed 40 yr ago. Using
in vitro-transcribed tRNA and quantitative MALDI-ToF MS enzyme activity assays,
we have confirmed that, indeed, there is a strong preference for the most
abundant leucyl-tRNA, tRNA(Leu) (anticodon 5'-CAG-3') isoacceptor for L/F
transferase activity. We further investigate the molecular mechanism for this
preference using hybrid tRNA constructs. We identified two independent sequence
elements in the acceptor stem of tRNA(Leu) (CAG)-a G3:C70 base pair and a set of
4 nt (C72, A4:U69, C68)-that are important for the optimal binding and catalysis
by L/F transferase. This maps a more specific, sequence-dependent tRNA
recognition model of L/F transferase than previously proposed.
PMID- 24935879
TI - Autonomic arousal and learning in Web-based simulation: a feasibility study.
AB - INTRODUCTION: Autonomic arousal is an important component of understanding
learning as it is related to cognitive effort, attention, and emotional arousal.
Currently, however, little is known about its relationship to online education.
We conducted a study to determine the feasibility of measuring autonomic arousal
and engagement in online continuing medical education (CME). METHOD: Using the
Computer Simulation Assessment Tool (CSAT) platform, health care providers were
randomly assigned to either high- or low-valence versions of a Web-based
simulation on risk assessment for a returning veteran. Data were collected on
participants' actions within the simulation, self-reported cognitive engagement,
knowledge retention, and autonomic arousal measured using galvanic skin response
(GSR). RESULTS: Participants in the high-valence condition (n = 7) chose a lower
percentage of critical actions (M = 79.2, SD = 4.2) than participants in the low
valence (n = 8) condition (M = 83.9, SD = 3.6, t(1,14) = 2.44, p = .03). While
not statistically significant, high-valence participants reported higher
cognitive engagement. Participants in the high-valence condition showed a larger
increase in physiologic arousal when comparing mean tonic GSR during the
orientation simulation to the study simulation (high-valence mean difference =
4.21 MUS, SD = 1.23 vs low-valence mean difference = 1.64 MUS, SD = 2.32, t(1,13)
= -2.62, p = .01). DISCUSSION: In addition to being consistent with previous
engagement research, this experiment functioned as a feasibility study for
measuring autonomic arousal in online CME. The current study provides a framework
for future studies, which may use neurophysiology to identify the critical
autonomic and engagement components associated with effective online learning.
PMID- 24935880
TI - A comparison of matched and aggregated group outcomes data for evaluating
continuing education of hematology and oncology health care professionals.
AB - INTRODUCTION: Capturing educational outcomes from health care professionals is
often challenging. Therefore, many providers utilize aggregated group data
(comparing all preassessments to all postassessments) rather than matched group
data (comparing pre- and postassessments only for those learners who completed
both). To address the agreement between aggregate and matched outcomes, a
preliminary analysis was conducted. METHODS: Matched and aggregated group data
were compared from 4 hematology/oncology education series and 3 satellite
symposia. Moore's Level 3 and 4 and outcomes were assessed using an electronic
audience response system before and after each activity. Knowledge and competence
shifts as well as the response spectrum for both groups were compared. RESULTS: A
total of 2953 health care professionals were educated in 7 programs comprising
128 live activities. The programs employed a combined total of 39 practice
pattern, knowledge, competence, and self-assessed confidence/competence
questions. All knowledge and competence shifts were within 10 absolute percentage
points between the matched and aggregated groups with an average difference of
3.4 percentage points. The 39 questions had 185 possible choices and 370 total
possible responses. When all responses for the matched and aggregated groups were
compared, 95% were within 5 absolute percentage points and 99% were within 10
absolute percentage points. The agreement between the groups was found regardless
of program or question type. DISCUSSION: Overall, the aggregated and matched
group results were comparable. Aggregated data may be sufficiently accurate for
many program evaluation purposes, depending on the degree of certainty required
by the evaluation stakeholders.
PMID- 24935882
TI - Promoting and supporting research in continuing education in the health
professions: ACEhp's commitment to advancing professional practice.
PMID- 24935883
TI - Estimating health care cost savings from an educational intervention to prevent
bleeding-related complications: the outcomes impact analysis model.
AB - INTRODUCTION: Investments in continuing medical education (CME) exceed $2 billion
annually, but few studies report the economic impact of CME activities. Analysis
of patient-level economic outcomes data is often not feasible. Accordingly, we
developed a model to illustrate estimation of the potential economic impact
associated with CME activity outcomes. METHODS: Outcomes impact analysis
demonstrated how costs averted from a CME symposium that promoted prevention of
bleeding-related complications (BRC) and reoperation for bleeding (RFB) in
cardiac and thoracic operations could be estimated. Model parameter estimates
were from published studies of costs associated with BRC and RFB. Operative
volume estimates came from the Society of Thoracic Surgeons workforce data. The
base case predicted 3 in 10 participants preventing one BRC or RFB in 2% or 1.5%
of annual operations, respectively. Probabilistic sensitivity analysis (PSA)
evaluated the effect of parameter uncertainty. RESULTS: 92% of participants (n =
133) self-reported commitment to change, a validated measure of behavior change.
For BRC, estimates for costs averted were $1,502,769 (95% confidence interval
[CI], $869,860-$2,359,068) for cardiac operations and $2,715,246 (95% CI,
$1,590,308-$4,217,092) for thoracic operations. For RFB, the savings estimates
were $2,233,988 (95% CI, $1,223,901-$3,648,719). DISCUSSION: Our economic model
demonstrates that application of CME-related learning to prevent bleeding
complications may yield substantial cost savings. Model prediction of averted
costs associated with CME allows estimation of the economic impact on outcomes in
the absence of patient-level outcomes data related to CME activities.
PMID- 24935884
TI - Relationship among practice change, motivation, and self-efficacy.
AB - INTRODUCTION: The relationship between an individual's sense of self-efficacy,
motivation to change, and the implementation of improvement programs has been
reported. This research reports the relationship among self-efficacy, motivation
to change, and intent to implement continuing medical education (CME) activity
learnings. METHODS: The measure of individual sense of self-efficacy was a 4-item
scale. The measure of motivation was a 4-item scale following on the work of
Johnson, et al. The self-efficacy scale has been confirmed for structure, and
together the 2 scales provide indicators of 3 underlying variables-2 self
efficacy constructs and a motivation variable. In addition, a global intent to
implement measure was collected. RESULTS: Preliminary analysis demonstrates a
significant relationship between a self-efficacy construct, the motivation to
change construct, and global intent to change. Specifically, the sense of
efficacy in effecting change in the practice environment is predictive of a high
level of motivation to change, which, in turn, is predictive of formation of an
intent to change practice patterns. DISCUSSION: Further inspection of the
motivation to change construct suggests that it mediates the self-efficacy
constructs' effect on intent. This is consistent with an earlier report on the
relationship among self-efficacy, barriers to change, and stated intent. This new
finding suggests that the proximal construct motivation completely masks an
important underlying causal relationship that appears to contribute to practice
change following CME: self-efficacy. A focus on the participants' sense of self
agency may provide a path to practice change.
PMID- 24935885
TI - High Reading Skills Mask Dyslexia in Gifted Children.
AB - This study investigated how gifted children with dyslexia might be able to mask
literacy problems and the role of possible compensatory mechanisms. The sample
consisted of 121 Dutch primary school children that were divided over four groups
(typically developing [TD] children, children with dyslexia, gifted children,
gifted children with dyslexia). The test battery included measures of literacy
(reading/spelling) and cognitive abilities related to literacy and language
(phonological awareness [PA], rapid automatized naming [RAN], verbal short-term
memory [VSTM], working memory [WM], grammar, and vocabulary). It was hypothesized
that gifted children with dyslexia would outperform children with dyslexia on
literacy tests. In addition, a core-deficit model including dyslexia-related
weaknesses and a compensational model involving giftedness-related strengths were
tested using Bayesian statistics to explain their reading/spelling performance.
Gifted children with dyslexia performed on all literacy tests in between children
with dyslexia and TD children. Their cognitive profile showed signs of weaknesses
in PA and RAN and strengths in VSTM, WM, and language skills. Findings indicate
that phonology is a risk factor for gifted children with dyslexia, but this is
moderated by other skills such as WM, grammar, and vocabulary, providing
opportunities for compensation of a cognitive deficit and masking of literacy
difficulties.
PMID- 24935886
TI - The Contributions of Phonological and Morphological Awareness to Literacy Skills
in the Adult Basic Education Population.
AB - The Adult Basic Education (ABE) population consists of a wide range of abilities
with needs that may be unique to this set of learners. The purpose of this study
was to better understand the relative contributions of phonological decoding and
morphological awareness to spelling, vocabulary, and comprehension across a
sample of ABE students. In this study, phonological decoding was a unique
predictor of spelling ability, listening comprehension, and reading
comprehension. We also found that morphological awareness was a unique predictor
of spelling ability, vocabulary, and listening comprehension. Morphological
awareness indirectly contributed to reading comprehension through vocabulary.
These findings suggest the need for morphological interventions for this group of
learners.
PMID- 24935887
TI - Using Spelling to Screen Bilingual Kindergarteners At Risk for Reading
Difficulties.
AB - For bilingual children, the results of language and literacy screening tools are
often hard to interpret. This leads to late referral for specialized assessment
or inappropriate interventions. To facilitate the early identification of reading
difficulties in English, we developed a method of screening that is theory-driven
yet suitable for first-language (L1) and second-language learners of English. We
administered five conventional tests (phonological awareness, vocabulary,Wide
Range Achievement Test-4[WRAT-4] spelling, letter identification, rapid naming of
digits) to 127 five-year-olds (60 English-L1, 67 Mandarin-L1) about 6 months
after they started kindergarten, and used the WRAT-4 word reading score 6 months
later as the outcome measure. Consistent with previous research, and with
children with reading disabilities defined as below the 25 percentile on the
reading outcome, logistic regression revealed that the full set of screening
measures predicted reading disability status. However, when each predictor was
taken as a single measure, spelling scores provided the best fit in terms of the
compromise between sensitivity (.75) and specificity (.73) for an optimal cutoff
point. Based on this exploratory study, group-administered spelling tasks could
provide an efficient solution to screening difficulties in large classes of
bilingual children.
PMID- 24935888
TI - Mortality of registered drug users in Central Asia.
AB - BACKGROUND: Within the fifth phase of the Central Asia Drug Action Programme
(CADAP) covering five post-Soviet Central Asian countries, an analysis of the
mortality of drug users was performed. The results for Kazakhstan and Uzbekistan
are presented in detail in this paper since results from Kyrgyzstan and
Tajikistan are not considered valid and Turkmenistan did not provide data at all.
METHODS: A system of registration of all users of illegal drugs known to the
health and/or law enforcement authorities ("narcological registers") exists in
Central Asian countries inherited from the system of Soviet "narcology".
According to the legal norms, the death of a registered person should be
recorded. We conducted indirect standardisation of crude mortality rates and
computed the standardised mortality ratio (SMR) comparing observed number of
deaths with expected number of deaths according to age and gender specific
mortality rates in the general population of the same country. RESULTS: The
results show excess mortality in registered drug users, particularly in
registered females, in Uzbekistan (the latest available SMR for all those
registered is 7.4; the SMR in females is 16.3) and Kazakhstan (4.0 and 12.9). The
excess mortality is highest among young adults (18-34) in all the studies.
CONCLUSION: Taking into account the limited quality and reliability of the data -
first of all, the likely under-reporting of deaths in the narcological registers
the crude mortality rate among registered drug users is quite high when compared
to EU countries. The SMR in total is comparably lower as a result of the high
background mortality in the general population. This excess mortality is
preventable and should be targeted by the national drug policies. Specifically,
the programmes should target registered and unregistered female drug users.
PMID- 24935889
TI - Comparison of chronic type A aortic dissection with acute type A dissection of
short-term and long-term survival rate.
PMID- 24935890
TI - Morphometric analysis of variation in the sternum with sex and age.
AB - Age and sex-related variations in sternum morphology may affect the thoracic
injury tolerance. Male and female sternum size and shape variation was
characterized for ages 0-100 from landmarks collected from 330 computed
tomography scans. Homologous landmarks were analyzed using Procrustes
superimposition to produce age and sex-specific functions of 3D-sternum
morphology representing the combined size and shape variation and the isolated
shape variation. Significant changes in the combined size and shape variation and
isolated shape variation of the sternum were found to occur with age in both
sexes. Sternal size increased from birth through age 30 and retained a similar
size for ages 30-100. The manubrium expanded laterally from birth through age 30,
becoming wider in relation to the sternal body. In infancy, the manubrium was 1.1
1.2 times the width of the sternal body and this width ratio increased to 1.6-1.8
for adults. The manubrium transformed from a circular shape in infancy to an oval
shape in early childhood. The distal sternal body became wider in relation to the
proximal sternal body from birth through age 30 and retained this characteristic
throughout adulthood. The most dramatic changes in sternum morphology occur in
childhood and young adulthood when the sternum is undergoing ossification. The
lesser degree of ossification in the pediatric sternum may be partly responsible
for the prevalence of thoracic organ injuries as opposed to thoracic skeletal
injuries in pediatrics. Sternum fractures make up a larger portion of thoracic
injury patterns in adults with fully ossified sternums. The lack of substantial
size or shape changes in the sternum from age 30-100 suggests that the increased
incidence of sternal fracture seen in the elderly may be due to cortical
thickness or bone mineral density changes in the sternum as opposed to
morphological changes.
PMID- 24935891
TI - Electronic nicotine delivery systems (ENDS): new evidence from the State and
Community Tobacco Control Research Initiative.
PMID- 24935892
TI - The availability of electronic cigarettes in U.S. retail outlets, 2012: results
of two national studies.
AB - BACKGROUND: Since their introduction in 2007, electronic cigarette ('e
cigarette') awareness and use has grown rapidly. Little is known about variation
in e-cigarette availability across areas with different levels of tobacco taxes
and smoke-free air policies. This paper looks at US retail availability of e
cigarettes and factors at the store, neighbourhood and policy levels associated
with it. METHODS: In-person store audit data collected in 2012 came from two
national samples of tobacco retailers in the contiguous US. Study 1 collected
data from a nationally representative sample of tobacco retailers (n=2165). Study
2 collected data from tobacco retailers located in school enrolment zones for
nationally representative samples of 8th, 10th and 12th grade public school
students (n=2526). RESULTS: In 2012, e-cigarette retail availability was 34% in
study 1 and 31% in study 2. Tobacco, pharmacy and gas/convenience stores were
more likely to sell e-cigarettes than beer/wine/liquor stores. Retail
availability of e-cigarettes was more likely in neighbourhoods with higher median
household income (study 1), and lower percent of African-American (studies 1 and
2) and Hispanic residents (study 2). Price of traditional cigarettes was
inversely related to e-cigarette availability. Stores in states with an American
Lung Association Smoke-Free Air grade of F (study 1) or D (study 2) compared with
A had increased likelihood of having e-cigarettes. CONCLUSIONS: Currently, e
cigarette availability appears more likely in areas with weak tax and smoke-free
air policies. Given the substantial availability of e-cigarettes at tobacco
retailers nationwide, states and localities should monitor the sales and
marketing of e-cigarettes at point of sale (POS).
PMID- 24935893
TI - Wanna know about vaping? Patterns of message exposure, seeking and sharing
information about e-cigarettes across media platforms.
AB - BACKGROUND: Awareness and use of electronic cigarettes has rapidly grown in the
USA recently, in step with increased product marketing. Using responses to a
population survey of US adults, we analysed demographic patterns of exposure to,
searching for and sharing of e-cigarette-related information across media
platforms. METHODS: An online survey of 17,522 US adults was conducted in 2013.
The nationally representative sample was drawn from GfK Group's KnowledgePanel
plus off-panel recruitment. Fixed effects logit models were applied to analyse
relationships between exposure to, searching for and sharing of e-cigarette
related information and demographic characteristics, e-cigarette and tobacco use,
and media behaviours. RESULTS: High levels of awareness about e-cigarettes were
indicated (86% aware; 47% heard through media channels). Exposure to e-cigarette
related information was associated with tobacco use, age, gender, more education,
social media use and time spent online. Although relatively small proportions of
the sample had searched for (~5%) or shared (~2%) e-cigarette information, our
analyses indicated demographic patterns to those behaviours. Gender, high income
and using social media were associated with searching for e-cigarette
information; lesbian, gay and bisexual and less education were associated with
sharing. Current tobacco use, age, being Hispanic and time spent online were
associated with both searching and sharing. CONCLUSIONS: US adults are widely
exposed to e-cigarette marketing through the media; such marketing may
differentially target specific demographic groups. Further research should
longitudinally examine how exposure to, searching for and sharing of e-cigarette
information relate to subsequent use of e-cigarettes and/or combustible tobacco.
PMID- 24935894
TI - A cross-sectional examination of marketing of electronic cigarettes on Twitter.
AB - BACKGROUND: Rapid increases in marketing of e-cigarettes coincide with growth in
e-cigarette use in recent years; however, little is known about how e-cigarettes
are marketed on social media platforms. METHODS: Keywords were used to collect
tweets related to e-cigarettes from the Twitter Firehose between 1 May 2012 and
30 June 2012. Tweets were coded for smoking cessation mentions, as well as health
and safety mentions, and were classified as commercial or non-commercial
('organic') tweets using a combination of Naive Bayes machine learning methods,
keyword algorithms and human coding. Metadata associated with each tweet were
used to examine the characteristics of accounts tweeting about e-cigarettes.
RESULTS: 73,672 tweets related to e-cigarettes were captured in the study period,
90% of which were classified as commercial tweets. Accounts tweeting commercial e
cigarette content were associated with lower Klout scores, a measure of
influence. Commercial tweeting was largely driven by a small group of highly
active accounts, and 94% of commercial tweets included links to websites, many of
which sell or promote e-cigarettes. Approximately 10% of commercial and organic
tweets mentioned smoking cessation, and 34% of commercial tweets included
mentions of prices or discounts for e-cigarettes. CONCLUSIONS: Twitter appears to
be an important marketing platform for e-cigarettes. Tweets related to e
cigarettes were overwhelmingly commercial, and a substantial proportion mentioned
smoking cessation. E-cigarette marketing on Twitter may have public health
implications. Continued surveillance of e-cigarette marketing on social media
platforms is needed.
PMID- 24935895
TI - Four hundred and sixty brands of e-cigarettes and counting: implications for
product regulation.
AB - INTRODUCTION: E-cigarettes are largely unregulated and internet sales are
substantial. This study examines how the online market for e-cigarettes has
changed over time: in product design and in marketing messages appearing on
websites. METHODS: Comprehensive internet searches of English-language websites
from May-August 2012 and December 2013-January 2014 identified brands, models,
flavours, nicotine strengths, ingredients and product claims. Brands were divided
into older and newer groups (by the two searches) for comparison. RESULTS: By
January 2014 there were 466 brands (each with its own website) and 7764 unique
flavours. In the 17 months between the searches, there was a net increase of 10.5
brands and 242 new flavours per month. Older brands were more likely than newer
brands to offer cigalikes (86.9% vs. 52.1%, p<0.01), and newer brands more likely
to offer the more versatile eGos and mods (75.3% vs. 57.8%, p<0.01). Older brands
were significantly more likely to claim that they were healthier and cheaper than
cigarettes, were good substitutes where smoking was banned and were effective
smoking cessation aids. Newer brands offered more flavours per brand (49 vs. 32,
p<0.01) and were less likely to compare themselves with conventional cigarettes.
CONCLUSIONS: The number of e-cigarette brands is large and has been increasing.
Older brands tend to highlight their advantages over conventional cigarettes
while newer brands emphasise consumer choice in multiple flavours and product
versatility. These results can serve as a benchmark for future research on the
impact of upcoming regulations on product design and advertising messages of e
cigarettes.
PMID- 24935896
TI - Effects of advertisements on smokers' interest in trying e-cigarettes: the roles
of product comparison and visual cues.
AB - INTRODUCTION: Electronic cigarettes (e-cigarettes) are battery-powered nicotine
delivery devices that have become popular among smokers. We conducted an
experiment to understand adult smokers' responses to e-cigarette advertisements
and investigate the impact of ads' arguments and imagery. METHODS: A U.S.
national sample of smokers who had never tried e-cigarettes (n=3253) participated
in a between-subjects experiment. Smokers viewed an online advertisement
promoting e-cigarettes using one of three comparison types (emphasising
similarity to regular cigarettes, differences or neither) with one of three
images, for nine conditions total. Smokers then indicated their interest in
trying e-cigarettes. RESULTS: Ads that emphasised differences between e
cigarettes and regular cigarettes elicited more interest than ads without
comparisons (p<0.01), primarily due to claims about e-cigarettes' lower cost,
greater healthfulness and utility for smoking cessation. However, ads that
emphasised the similarities of the products did not differ from ads without
comparisons. Ads showing a person using an e-cigarette created more interest than
ads showing a person without an e-cigarette (p<0.01). CONCLUSIONS: Interest in
trying e-cigarettes was highest after viewing ads with messages about differences
between regular and electronic cigarettes and ads showing product use. If e
cigarettes prove to be harmful or ineffective cessation devices, regulators might
restrict images of e-cigarette use in advertising, and public health messages
should not emphasise differences between regular and electronic cigarettes. To
inform additional regulations, future research should seek to identify what
advertising messages and features appeal to youth.
PMID- 24935897
TI - A baseline understanding of state laws governing e-cigarettes.
AB - BACKGROUND: Electronic cigarettes (e-cigarettes) have been available for purchase
in the USA since 2007, and have grown rapidly in popularity. Currently, there are
no federal restrictions on e-cigarettes; therefore, any regulations are under the
purview of state and/or local governments. This study examines state laws
governing e-cigarettes through youth access restrictions, smoke-free air
requirements and/or excise taxation. METHODS: Codified statutory and
administrative laws, attorney general opinions, executive orders, and revenue
notices and rulings effective as of 15 November 2013 for all 50 states and the
District of Columbia, were compiled using Boolean searches in Lexis-Nexis and
Westlaw. All laws were analysed by two study authors to determine the presence
and components of relevant provisions. Two categories of laws were identified;
(1) explicit e-cigarette laws and (2) laws focused on tobacco-derived and/or
nicotine-containing products. RESULTS: Thirty-four states' laws address e
cigarettes either explicitly or as part of language applying to tobacco-derived
or nicotine-containing products. Laws explicitly addressing e-cigarettes
primarily focus on youth access (22 states) or smoke-free air (12 states); only
Minnesota imposes an excise tax on e-cigarettes. Similarly, tobacco-derived or
nicotine-containing products are primarily regulated through youth access
restrictions (6 states), smoke-free air laws (5 states), or excise taxation (2
states). CONCLUSIONS: In the current absence of federal law governing e
cigarettes, more than one-half of the states have taken the initiative to
regulate these products. The opportunity exists for the remaining states to
incorporate e-cigarette-related restrictions into their pre-existing tobacco
control laws.
PMID- 24935899
TI - Research support for effective state and community tobacco control programme
response to electronic nicotine delivery systems.
AB - OBJECTIVE: To identify unmet research needs of state and community tobacco
control practitioners pertaining to electronic nicotine delivery systems (ENDS or
e-cigarettes) that would inform policy and practice efforts at the state and
community levels, and to describe ENDS-related research and dissemination
activities of the National Cancer Institute-funded State and Community Tobacco
Control Research Initiative. METHODS: To determine specific research gaps
relevant to state and community tobacco control practice, we analysed survey data
collected from tobacco control programmes (TCPs) in all 50 U.S. states and the
District of Columbia (N=51). Survey items covered a range of ENDS issues: direct
harm to users, harm of secondhand vapour, cessation, flavours, constituents and
youth access. RESULTS: There is no ENDS topic on which a majority of state TCP
managers feel very informed. They feel least informed about harms of secondhand
vapour while also reporting that this information is among the most important for
their programme. A majority (N=31) of respondents indicated needs for research on
the implications of ENDS products for existing policies. CONCLUSIONS: TCP
managers report that ENDS research is highly important for practice and need
research-based information to inform decision making around the inclusion of ENDS
in existing tobacco control policies. For optimal relevance to state and
community TCPs, research on ENDS should prioritise study of the health effects of
ENDS use and secondhand exposure to ENDS vapour in the context of existing
tobacco control policies.
PMID- 24935898
TI - The impact of price and tobacco control policies on the demand for electronic
nicotine delivery systems.
AB - BACKGROUND: While much is known about the demand for conventional cigarettes,
little is known about the determinants of demand for electronic nicotine delivery
systems (ENDS or e-cigarettes). The goal of this study is to estimate the own and
cross-price elasticity of demand for e-cigarettes and to examine the impact of
cigarette prices and smoke-free policies on e-cigarette sales. METHODS: Quarterly
e-cigarette prices and sales and conventional cigarette prices from 2009 to 2012
were constructed from commercial retail store scanner data from 52 U.S. markets,
for food, drug and mass stores, and from 25 markets, for convenience stores.
Fixed-effects models were used to estimate the own and cross-price elasticity of
demand for e-cigarettes and associations between e-cigarette sales and cigarette
prices and smoke-free policies. RESULTS: Estimated own price elasticities for
disposable e-cigarettes centred around -1.2, while those for reusable e
cigarettes were approximately -1.9. Disposable e-cigarette sales were higher in
markets where reusable e-cigarette prices were higher and where less of the
population was covered by a comprehensive smoke-free policy. There were no
consistent and statistically significant relationships between cigarette prices
and e-cigarette sales. CONCLUSIONS: E-cigarette sales are very responsive to own
price changes. Disposable e-cigarettes appear to be substitutes for reusable e
cigarettes. Policies increasing e-cigarette retail prices, such as limiting
rebates, discounts and coupons and imposing a tax on e-cigarettes, could
potentially lead to significant reductions in e-cigarette sales. Differential tax
policies based on product type could lead to substitution between different types
of e-cigarettes.
PMID- 24935900
TI - History of childhood maltreatment in intermittent explosive disorder and suicidal
behavior.
AB - Intermittent Explosive Disorder (IED) is a relatively common disorder of
impulsive aggression that typically emerges by adulthood. Maltreatment in
childhood (CM) may contribute to the development of IED, but little is known
about the association between CM and IED, including about how subtypes of CM may
specifically relate to IED. This study aimed to test the association between CM
and IED diagnosis. A second aim was to examine history of CM in suicide
attempters, and to explore whether impulsivity and aggression account for the
relationship between CM and suicide attempt (SA). Adults with Intermittent
Explosive Disorder (IED; n = 264), with non-IED psychiatric (Axis I or II)
disorders (psychiatric controls; PC; n = 199), and with no psychiatric disorder
(healthy control subjects; HC; n = 185) were assessed for history of childhood
maltreatment, aggression, impulsivity, and history of SA. IED subjects reported
significantly greater CM compared to PC and HC subjects, and suicide attempters
(n = 62) reported greater CM compared to non-attempters (n = 586). Physical abuse
in childhood was independently associated with IED, while sexual abuse and
emotional abuse were independently associated with SA. Impulsivity and aggression
were potential mediators of the relationship between physical abuse and IED and
emotional abuse and SA, but sexual abuse was associated with SA independently of
aggression and impulsivity. The results suggest pathways by which environmental
factors may influence impulsivity and aggression and, in turn, clinically
significant self- and other-directed aggression.
PMID- 24935901
TI - Evaluation of neurotransmitter receptor gene expression identifies GABA receptor
changes: a follow-up study in antipsychotic-naive patients with first-episode
psychosis.
AB - A study of the gene expression levels in the blood of individuals with
schizophrenia in the beginning of the disease, such as first-episode psychosis
(FEP), is useful to detect gene expression changes in this disorder in response
to treatment. Although a large number of genetic studies on schizophrenia have
been conducted, little is known about the effects of antipsychotic treatment on
gene expression. The aim of the present study was to examine differences in the
gene expression in the blood of antipsychotic-naive FEP patients before and after
risperidone treatment (N = 44) and also to verify the correlation with treatment
response. In addition, we determined the correlations between differentially
expressed genes and clinical variables. The expression of 40 neurotransmitter and
neurodevelopment-associated genes was assessed using the RT2 Profiler PCR Array.
The results indicated that the GABRR2 gene was downregulated after risperidone
treatment, but no genes were associated with response to treatment and clinical
variables after Bonferroni correction. GABRR2 downregulation after treatment can
both suggest an effect of risperidone treatment or processes related to disease
progression, either not necessarily associated with the improvement of symptoms.
Despite this change was observed in blood, this decrease in GABRR2 mRNA levels
might be an effect of changes in GABA concentrations or other systems interplay
consequently to D2 blockage induced by risperidone, for example. Thus, it is
important to consider that antipsychotics or the progression of psychotic
disorders might interfere with gene expression.
PMID- 24935903
TI - Reconciling embodied and distributional accounts of meaning in language.
AB - Over the past 15 years, there have been two increasingly popular approaches to
the study of meaning in cognitive science. One, based on theories of embodied
cognition, treats meaning as a simulation of perceptual and motor states. An
alternative approach treats meaning as a consequence of the statistical
distribution of words across spoken and written language. On the surface, these
appear to be opposing scientific paradigms. In this review, we aim to show how
recent cross-disciplinary developments have done much to reconcile these two
approaches. The foundation to these developments has been the recognition that
intralinguistic distributional and sensory-motor data are interdependent. We
describe recent work in philosophy, psychology, cognitive neuroscience, and
computational modeling that are all based on or consistent with this conclusion.
We conclude by considering some possible directions for future research that
arise as a consequence of these developments.
PMID- 24935904
TI - Motion artifact reduction in pediatric diffusion tensor imaging using fast
prospective correction.
AB - PURPOSE: To evaluate the patterns of head motion in scans of young children and
to examine the influence of corrective techniques, both qualitatively and
quantitatively. We investigate changes that both retrospective (with and without
diffusion table reorientation) and prospective (implemented with a short
navigator sequence) motion correction induce in the resulting diffusion tensor
measures. MATERIALS AND METHODS: Eighteen pediatric subjects (aged 5-6 years)
were scanned using 1) a twice-refocused, 2D diffusion pulse sequence, 2) a
prospectively motion-corrected, navigated diffusion sequence with reacquisition
of a maximum of five corrupted diffusion volumes, and 3) a T1 -weighted
structural image. Mean fractional anisotropy (FA) values in white and gray matter
regions, as well as tractography in the brainstem and projection fibers, were
evaluated to assess differences arising from retrospective (via FLIRT in FSL) and
prospective motion correction. In addition to human scans, a stationary phantom
was also used for further evaluation. RESULTS: In several white and gray matter
regions retrospective correction led to significantly (P < 0.05) reduced FA means
and altered distributions compared to the navigated sequence. Spurious
tractographic changes in the retrospectively corrected data were also observed in
subject data, as well as in phantom and simulated data. CONCLUSION: Due to the
heterogeneity of brain structures and the comparatively low resolution (~2 mm) of
diffusion data using 2D single shot sequencing, retrospective motion correction
is susceptible to distortion from partial voluming. These changes often
negatively bias diffusion tensor imaging parameters. Prospective motion
correction was shown to produce smaller changes.
PMID- 24935905
TI - Structural basis of malaria parasite lysyl-tRNA synthetase inhibition by
cladosporin.
AB - Malaria parasites inevitably develop drug resistance to anti-malarials over time.
Hence the immediacy for discovering new chemical scaffolds to include in
combination malaria drug therapy. The desirable attributes of new
chemotherapeutic agents currently include activity against both liver and blood
stage malaria parasites. One such recently discovered compound called cladosporin
abrogates parasite growth via inhibition of Plasmodium falciparum lysyl-tRNA
synthetase (PfKRS), an enzyme central to protein translation. Here, we present
crystal structure of ternary PfKRS-lysine-cladosporin (PfKRS-K-C) complex that
reveals cladosporin's remarkable ability to mimic the natural substrate adenosine
and thereby colonize PfKRS active site. The isocoumarin fragment of cladosporin
sandwiches between critical adenine-recognizing residues while its pyran ring
fits snugly in the ribose-recognizing cavity. PfKRS-K-C structure highlights
ample space within PfKRS active site for further chemical derivatization of
cladosporin. Such derivatives may be useful against additional human pathogens
that retain high conservation in cladosporin chelating residues within their
lysyl-tRNA synthetase.
PMID- 24935906
TI - Diffusion-weighted imaging and magnetic resonance proton spectroscopy following
preterm birth.
AB - AIM: To study the associations between magnetic resonance proton spectroscopy
(MRS) data and apparent diffusion coefficients (ADC) from the preterm brain with
developmental outcome at 18 months corrected age and clinical variables.
MATERIALS AND METHODS: A prospective observational cohort study of 67 infants
born before 35 weeks gestational age who received both magnetic resonance imaging
of the brain between 37 and 44 weeks corrected gestational age and developmental
assessment around 18 months corrected age. RESULTS: No relationships were found
between ADC values and MRS results or outcome. MRS ratios involving N-acetyl
aspartate (NAA) from the posterior white matter were associated with "severe" and
"moderate to severe" difficulties, and fine motor scores were significantly lower
in participants with a visible lactate doublet in the posterior white matter. The
presence of a patent ductus arteriosus (PDA) was the only clinical factor related
to NAA ratios. CONCLUSION: Altered NAA levels in the posterior white matter may
reflect subtle white matter injury associated with neuro-developmental
difficulties, which may be related to a PDA. Further work is needed to assess the
longer-term neuro-developmental implications of these findings, and to study the
effect of PDAs on developmental outcome in later childhood/adolescence.
PMID- 24935902
TI - Environmental controls on fungal community composition and abundance over 3 years
in native and degraded shrublands.
AB - Soil fungal communities have high local diversity and turnover, but the relative
contribution of environmental and regional drivers to those patterns remains
poorly understood. Local factors that contribute to fungal diversity include soil
properties and the plant community, but there is also evidence for regional
dispersal limitation in some fungal communities. We used different plant
communities with different soil conditions and experimental manipulations of both
vegetation and dispersal to distinguish among these factors. Specifically, we
compared native shrublands with former native shrublands that had been disturbed
or converted to pasture, resulting in soils progressively more enriched in carbon
and nutrients. We tested the role of vegetation via active removal, and we
manipulated dispersal by adding living soil inoculum from undisturbed native
sites. Soil fungi were tracked for 3 years, with samples taken at ten time points
from June 2006 to June 2009. We found that soil fungal abundance, richness, and
community composition responded primarily to soil properties, which in this case
were a legacy of plant community degradation. In contrast, dispersal had no
effect on soil fungi. Temporal variation in soil fungi was partly related to
drought status, yet it was much broader in native sites compared to pastures,
suggesting some buffering due to the increased soil resources in the pasture
sites. The persistence of soil fungal communities over 3 years in this study
suggests that soil properties can act as a strong local environmental filter.
Largely persistent soil fungal communities also indicate the potential for strong
biotic resistance and soil legacies, which presents a challenge for both the
prediction of how fungi respond to environmental change and our ability to
manipulate fungi in efforts such as ecosystem restoration.
PMID- 24935907
TI - Maternal experiences of vaginal breech delivery.
AB - BACKGROUND: The optimal mode of breech birth remains controversial. In Finland, a
trial of vaginal delivery is possible if strict selection criteria are met. As
clinical practice in managing vaginal breech birth differs from that in normal
delivery, the birth experience may also be different. This cohort study compares
the childbirth experience between term breech and vertex deliveries. METHODS:
Intended vaginal term breech births from 2008 to October 2012 were included, and
for every breech delivery, a vertex control was selected. The proportions of
deliveries ending in a cesarean section and of mothers who had given birth
vaginally before were equal in both groups. Three hundred eight mothers were sent
the childbirth experience questionnaire and 170 returned it. RESULTS: The birth
experience does not differ between breech and vertex births, except for aspects
with respect to the choice of birthing position. Indications of an even more
positive experience were observed in the breech group, with the exception of the
choice of analgesia, but these were not statistically significant. Primiparity,
emergency cesarean section, infant birth trauma and prolonged hospital stay were
identified as risk factors for a negative birth experience. CONCLUSION: The birth
experience of vaginal breech birth seems to be at least as positive as the
vaginal vertex birth experience.
PMID- 24935908
TI - Correlation effects in fcc-Fe(x)Ni(1-x) alloys investigated by means of the KKR
CPA.
AB - The electronic structure and magnetic properties of the disordered alloy system
fcc-FexNi1-x (fcc: face centered cubic) have been investigated by means of the
KKR-CPA (Korringa-Kohn-Rostoker coherent potential approximation) band structure
method. To investigate the impact of correlation effects, the calculations have
been performed on the basis of the LSDA (local spin density approximation), the
LSDA + U as well as the LSDA + DMFT (dynamical mean field theory). It turned out
that the inclusion of correlation effects hardly changed the spin magnetic
moments and the related hyperfine fields. The spin-orbit induced orbital magnetic
moments and hyperfine fields, on the other hand, show a pronounced and element
specific enhancement. These findings are in full accordance with the results of a
recent experimental study.
PMID- 24935909
TI - Reply: To PMID 23893312.
PMID- 24935910
TI - I was born following ART: how will I get on at school?
AB - With an ever-expanding population of children born after in-vitro fertilisation
(IVF), the widespread use of assisted reproductive techniques (ART) has placed a
great emphasis on the need to study their long-term outcomes. Indeed, there has
been concern that mechanisms used in ART may have a detrimental effect on the
neurocognitive development of these children. Reassuringly, most neurocognitive
and motor development studies using various assessment scales have generally
found no differences between intracytoplasmic sperm injection, IVF and naturally
conceived children. Only a few studies have reported concerns. In terms of
predictors of intelligence in children, ART appears to have a minimal effect in
comparison to birth weight, gestational age, socio-economic status, and parental
educational levels. Nevertheless, further research of higher methodological
quality in children beyond pre-school age and on newer ART procedures is needed.
PMID- 24935911
TI - Abdominal aortic aneurysms with high thrombus signal intensity on magnetic
resonance imaging are associated with high growth rate.
AB - OBJECTIVES: A layer of intraluminal thrombus is commonly observed in abdominal
aortic aneurysms (AAAs). The purpose of this study was to investigate whether
AAAs with high thrombus signal intensity (SI) at T1-weighted (T1w) magnetic
resonance imaging (MRI) exhibit a faster aneurysm growth rate. METHODS: This was
a prospective follow-up study. Patients with a small AAA underwent MRI
examinations at 6 month intervals. Aneurysm thrombus and psoas muscle SI at the
point of maximal diameter on T1w images were measured and expressed as a ratio
(thrombus SI/muscle SI). Based on these measurements, patients were categorized
into three groups: AAA with relative thrombus SI above (group A) and below (group
B) the mean relative thrombus SI of 1.20. Patients with AAA without thrombus
constituted group C. Eight patients were scanned twice within 2 weeks to
investigate scan-rescan reproducibility. Aneurysm growth rates were expressed as
the change in maximal cross sectional area (cm(2)). RESULTS: A total of 35
patients (m/f: 26/9; age 72 +/- 7 years; AAA maximal diameter 4.9 +/- 0.5 cm)
were included. Mean aneurysm growth rate for patients in group A (n = 11, 1.87
cm(2)/0.5 year) was two-fold higher than group B (n = 17, 0.78 cm(2)/0.5 year, p
= .005) and eight-fold higher than group C (n = 7, 0.23 cm(2)/0.5 years, p =
.004) at 6 months' follow-up. At 12 months' follow-up, the mean aneurysm growth
rate remained significantly higher in group A (n = 7, 3.03 cm(2)/year) than
groups B (n = 10, 1.63 cm(2)/year, p = .03) and C (n = 7, 0.73 cm(2)/year, p =
.004). The reproducibility for thrombus SI measurements was found to be high with
a coefficient of variation of 6.2%. Aneurysm maximal cross-sectional area at
baseline was not significantly different for the three groups. CONCLUSIONS:
Abdominal aortic aneurysms with high thrombus SI on T1w MR images are associated
with higher aneurysm growth rates.
PMID- 24935912
TI - Anemia, an independent predictive factor for amputation and mortality in patients
hospitalized for peripheral artery disease.
AB - OBJECTIVES: Anemia is associated with poorer outcome in coronary artery disease
(CAD) and heart failure (HF), but data on patients with peripheral artery disease
(PAD) are scarce, especially regarding the local (limb) prognosis. It was
hypothesized that anemia is associated with poorer prognosis in patients
hospitalized for PAD, and this relationship would be proportional to the severity
of the anemia. DESIGN: Prospective cohort study. MATERIALS: The Cohorte des
Patients Arteritiques (COPART) is a multicenter registry of patients hospitalized
for PAD in three university hospitals in southwestern France. METHODS: Clinical
and biological data were collected at entry. Patients were followed up to 1 year.
Anemia was defined by Hb < 8.2 mmol/L in men and <7.6 mmol/L in women. The
primary outcome was 1-year survival free from major amputation. The secondary
outcome was 1-year major amputation. RESULTS: Data of 925 consecutive patients
(70.7 +/- 12.8 years, 29.2% females) were analyzed. Patients were hospitalized
either for revascularization or medical therapy, with Rutherford categories 3
(25%), 4 (9.1%), 5 or 6 (55.1%) as well as acute limb ischemia (10.8%). Anemia
was present in 471 patients (50.9%). These patients were significantly older,
with higher rates of hypertension, diabetes, clinical CAD, HF, chronic kidney
disease, and cancer, and with lower rates of smoking and dyslipidemia than their
counterparts (p < .05 for all). In multivariate models, anemia was significantly
and independently associated (p < 0.001) with death and amputation (HR 1.44; 95%
CI 1.15-1.80) with similar findings for secondary outcomes. A lower level of
hemoglobin is associated with a higher risk of mortality and amputation (HR 1.20;
95% CI 1.09-1.32). CONCLUSION: Anemia and its severity are independent predictors
of mortality and limb loss in patients hospitalized for PAD.
PMID- 24935913
TI - Re. 'Ultrasound measurement for abdominal aortic aneurysm screening: a direct
comparison of the three leading methods'.
PMID- 24935914
TI - Commentary on "MRI-derived arterial peak flow in peripheral arterial disease:
towards a standardized measurement".
PMID- 24935916
TI - PARduino: a simple and inexpensive device for logging photosynthetically active
radiation.
AB - Photosynthetically active radiation (PAR, 400-700 nm) is one of the primary
controls of forest carbon and water relations. In complex terrain, PAR has high
spatial variability. Given the high cost of commercial datalogging equipment,
spatially distributed measurements of PAR have been typically modeled using
geographic coordinates and terrain indices. Here, we present a design for a low
cost, field-deployable device for measuring and recording PAR built around an
Arduino microcontroller-named PARduino. PARduino provides for widely distributed
sensor arrays and tests the feasibility of using open-source, hobbyist-grade
electronics for collecting scientific data. PARduino components include a quantum
sensor, an EME Systems signal converter/amplifier and an Arduino Pro Mini
microcontroller. Additional components include a real-time clock, a microSD Flash
memory card and a custom printed circuit board. The components were selected for
ease of assembly. We found strong agreement between the PARduino datalogger
system and National Institute of Standards and Technology traceable sensors
logged by an industry standard datalogger (slope = 0.99, SE < 0.01, P < 0.01;
intercept = - 14.84, SE = 0.78, P < 0.01). The average difference between the two
systems was 22.0 umol m(-2) s(-1) with PARduino typically underestimating PAR.
The average percentage difference between systems was 3.49%. On average, PARduino
performed within the factory absolute calibration of the PAR sensor; however,
larger errors occurred at low PAR levels. Using open-source technologies such as
this can make it possible to develop a spatially distributed sensor network
within the constraints of a typical research budget.
PMID- 24935915
TI - A Bayesian mixed-treatment comparison meta-analysis of treatments for alcohol
dependence and implications for planning future trials.
AB - BACKGROUND: Several treatments for alcohol dependence have been tested in
randomized controlled trials, giving rise to systematic reviews with a network of
evidence structure, or mixed treatment comparisons (MTCs). Within the network,
there are few direct comparisons of active treatments. Thus far, this network has
not been adequately analyzed. For example, "indirect comparisons" between
treatments (e.g., the comparison of treatments B:C obtained via estimates from
A:B and A:C trials) have not been incorporated into estimates of treatment
effects. This has implications for the planning of future randomized controlled
trials. METHODS: We applied recent developments in Bayesian MTC meta-analysis to
analyze the network of evidence. Using these results, we proposed a methodology
to inform, design, and power a hypothetical trial in the context of an updated
meta-analysis for treatments that have been infrequently compared and therefore
whose effect sizes are not well informed by a meta-analysis. RESULTS: An MTC meta
analysis provides more accurate estimates than a pairwise meta-analysis and
uncovers decisive differences between active treatments that have been
infrequently directly compared. Weighting across all outcomes indicates that a
combination (naltrexone + acamprosate) treatment has the highest posterior
probability of being the "best" treatment. If a new clinical trial were to be
conducted of a combination therapy versus acamprosate alone, there is no feasible
sample size that would result in a decisive meta-analysis. CONCLUSIONS: An MTC
meta-analysis should be used to estimate treatment effects in networks in which
direct and indirect evidence are consistent and to inform the design of future
studies.
PMID- 24935917
TI - Determination of zofenopril and its active metabolite in human plasma using high
performance liquid chromatography combined with a triple-quadruple tandem mass
spectrometer.
AB - A simple, selective and sensitive LC-MS-MS method has been developed and
validated to simultaneously quantify zofenopril and its active metabolite
zofenoprilat in human plasma, using diazepam as internal standard. 1,4
Dithiothreitol was used as a reducer to release and stabilize the thiol group of
zofenoprilat from dimer and mixed forms with endogenous thiols in the treatment
of plasma samples. After a liquid-liquid extraction with methyl tert-butyl ether
under acidic conditions, the post-treatment samples were analyzed on an Agilent
ZORBAX Eclipse XDB-C8 column interfaced with a triple-quadruple tandem mass
spectrometer using positive electrospray ionization. A solution of methanol and
0.1% formic acid solution (85 : 15, v/v) was used as the isocratic mobile phase
with a flow rate of 0.2 mL/min. The method was validated to demonstrate the
specificity, lower limit of quantitation, accuracy and precision of measurements.
The validated LC-MS-MS method has been successfully applied to study the
pharmacokinetics of zofenopril calcium in healthy Chinese volunteers.
PMID- 24935918
TI - Solid-phase extraction-based ultra-sensitive detection of four lipophilic marine
biotoxins in bivalves by high-performance liquid chromatography-tandem mass
spectrometry.
AB - A solid-phase extraction (SPE) method for ultra-sensitive determination of four
lipophilic marine biotoxins in bivalve samples by coupling high-performance
liquid chromatography-tandem mass spectrometry (HPLC-MS-MS) was developed.
Azaspiracid-2 (AZA2), pectenotoxins-2, spirolide (SPX) and gymnodimine were
simultaneously determined by HPLC-MS-MS in a positive multiple reaction
monitoring mode. Separation was achieved on a reversed-phase C18 column with an
acetonitrile-water gradient containing formic acid. During the analysis, solvent
effects on the analytes were eliminated by using 1 : 1 water-methanol as
dissolving solvent instead of pure methanol. Matrix effects in post-SPE extract
and crude extract were seriously evaluated. Increased matrix effects in post-SPE
extract countervailed the concentration purpose to some extent. The limits of
detection of the SPE-HPLC-MS-MS method were determined to be in the range of
0.013-0.085 ug kg(-1), and the linear range of the method was in the range of
0.128-55.2 ng mL(-1) for the detected toxins. The proposed method was validated
in terms of linearity (matrix-matched standard curves), precision, recovery,
repeatability and limits of quantification. The recoveries of fortified samples
at three different concentration levels were satisfactory, and the intra- and
interday precisions were <7 and 10%, respectively.Several bivalve samples were
analyzed to demonstrate the applicability of the proposed method. Different
target toxins were detected in different kind of bivalves. Among them, AZA2 and
SPX1 were first detected in Chinese shellfish. The levels of detected toxins were
below the current European Union regulatory limits.
PMID- 24935919
TI - Unilateral pulmonary oedema in dextrocardia.
PMID- 24935920
TI - An unusual case of right-sided heart failure caused by giant sinus of Valsalva
aneurysm obstructing right ventricular outflow tract.
PMID- 24935921
TI - Myotonic dystrophy: time for evidence-based therapy.
PMID- 24935922
TI - Apurinic/apyrimidinic endonuclease 2 regulates the expansion of germinal centers
by protecting against activation-induced cytidine deaminase-independent DNA
damage in B cells.
AB - Activation-induced cytidine deaminase (AID) initiates a process generating DNA
mutations and breaks in germinal center (GC) B cells that are necessary for
somatic hypermutation and class-switch recombination. GC B cells can "tolerate"
DNA damage while rapidly proliferating because of partial suppression of the DNA
damage response by BCL6. In this study, we develop a model to study the response
of mouse GC B cells to endogenous DNA damage. We show that the base excision
repair protein apurinic/apyrimidinic endonuclease (APE) 2 protects activated B
cells from oxidative damage in vitro. APE2-deficient mice have smaller GCs and
reduced Ab responses compared with wild-type mice. DNA double-strand breaks are
increased in the rapidly dividing GC centroblasts of APE2-deficient mice, which
activate a p53-independent cell cycle checkpoint and a p53-dependent apoptotic
response. Proliferative and/or oxidative damage and AID-dependent damage are
additive stresses that correlate inversely with GC size in wild-type, AID-, and
APE2-deficient mice. Excessive double-strand breaks lead to decreased expression
of BCL6, which would enable DNA repair pathways but limit GC cell numbers. These
results describe a nonredundant role for APE2 in the protection of GC cells from
AID-independent damage, and although GC cells uniquely tolerate DNA damage, we
find that the DNA damage response can still regulate GC size through pathways
that involve p53 and BCL6.
PMID- 24935923
TI - Human NKG2E is expressed and forms an intracytoplasmic complex with CD94 and
DAP12.
AB - The NKG2 family of NK receptors includes activating and inhibitory members. With
the exception of the homodimer-forming NKG2D, NKG2 receptors recognize the
nonclassical MHC class I molecule HLA-E, and they can be subdivided into two
groups: those that associate with and signal through DAP12 to activate cells, and
those that contain an ITIM motif to promote inhibition. The function of NKG2
family member NKG2E is unclear in humans, and its surface expression has never
been conclusively established, largely because there is no Ab that binds
specifically to NKG2E. Seeking to determine a role for this molecule, we chose to
investigate its expression and ability to form complexes with intracellular
signaling molecules. We found that NKG2E was capable of associating with CD94 and
DAP12 but that the complex was retained intracellularly at the endoplasmic
reticulum instead of being expressed on cell surfaces, and that this localization
was dependent on a sequence of hydrophobic amino acids in the extracellular
domain of NKG2E. Because this particular sequence has emerged and been conserved
selectively among higher order primates evolutionarily, this observation raises
the intriguing possibility that NKG2E may function as an intracellular protein.
PMID- 24935924
TI - Adrenocortical scavenger receptor class B type I deficiency exacerbates endotoxic
shock and precipitates sepsis-induced mortality in mice.
AB - Scavenger receptor class B type I (SR-BI)-deficient mice display reduced survival
to endotoxic shock and sepsis. The understanding of the mechanisms underlying SR
BI protection has been hampered by the large spectrum of SR-BI functions and
ligands. It notably plays an important role in the liver in high-density
lipoprotein metabolism, but it is also thought to participate in innate immunity
as a pattern recognition receptor for bacterial endotoxins, such as LPS. In this
study, we sought to determine the tissue-specific contribution of SR-BI in the
hyperinflammatory response and high mortality rates observed in SR-BI(-/-) mice
in endotoxicosis or sepsis. Restoring plasma levels of high-density lipoprotein,
which are critical lipoproteins for LPS neutralization, did not improve acute
outcomes of LPS injection in SR-BI(-/-) mice. Mice deficient for SR-BI in
hepatocytes, endothelial cells, or myeloid cells were not more susceptible to LPS
induced death. However, if SR-BI ablation in hepatocytes led to a moderate
increase in systemic inflammatory markers, SR-BI deficiency in myeloid cells was
associated with an anti-inflammatory effect. Finally, mice deficient for SR-BI in
the adrenal cortex, where the receptor provides lipoprotein-derived cholesterol,
had impaired secretion of glucocorticoids in response to stress. When exposed to
an endotoxin challenge, these mice exhibited an exacerbated systemic and local
inflammatory response, reduced activation of atrophy genes in muscle, and high
lethality rate. Furthermore, polymicrobial sepsis induced by cecal ligature and
puncture resulted in early death of these animals. Our study clearly demonstrates
that corticoadrenal SR-BI is a critical element of the hypothalamic-pituitary
adrenal axis to provide effective glucocorticoid-dependent host defense after an
endotoxic shock or bacterial infection.
PMID- 24935925
TI - Tryptophan catabolism restricts IFN-gamma-expressing neutrophils and Clostridium
difficile immunopathology.
AB - The interplay between Clostridium difficile and the host's metabolome is believed
to influence the severity of infection. However, the mechanism for this
phenomenon remains unclear. In this study, we model one of these metabolic
pathways by focusing on tryptophan metabolism in the host. We found that
inhibition of tryptophan catabolism in IDO1-knockout mice led to increased
mucosal destruction, cecal hemorrhage, and increased production of IFN-gamma in
response to C. difficile infection, but no significant change in mucosal effector
or regulatory T cell numbers or IL-10 mRNA expression. The increased
immunopathology in infected IDO1-knockout mice was associated with a lower C.
difficile burden and an increased percentage of IFN-gamma-expressing neutrophils.
We further demonstrated the ability of kynurenine to induce apoptosis in bone
marrow-derived neutrophils, whereas the presence of tryptophan reversed this
effect, providing a possible mechanism for the increased neutrophil accumulation
in IDO1(-/-) mice. We conclude that C. difficile induces tryptophan catabolism in
cecal lamina propria cells, which restricts C. difficile-associated
immunopathology and the accumulation of IFN-gamma-expressing neutrophils. This
might represent a self-regulatory mechanism for neutrophils, via the IFN-gamma
IDO1 pathway, to restrict their own accumulation during infection. These findings
have important clinical implications because IDO inhibitors are used to treat
cancer in clinical trials (in patients particularly susceptible to getting C.
difficile infection), and treatment with IDO1 inhibitors may exacerbate the
severity of C. difficile colitis.
PMID- 24935927
TI - Molecular determinants of agonist and antagonist signaling through the IL-36
receptor.
AB - The IL-1 family consists of 11 cytokines that control a complex network of
proinflammatory signals critical for regulating immune responses to infections.
They also play a central role in numerous chronic inflammatory disorders.
Accordingly, inhibiting the activities of these cytokines is an important
therapeutic strategy for treating autoimmune diseases and lymphomas. Agonist
cytokines in the IL-1 family activate signaling by binding their cognate receptor
and then recruiting a receptor accessory protein. Conversely, antagonist
cytokines bind their cognate receptor but prohibit recruitment of receptor
accessory protein, which precludes functional signaling complexes. The IL-36
subfamily of cytokines is the most diverse, including three agonists and at least
one antagonist, and is the least well-characterized group within this family.
Signaling through the IL-36 receptor directly stimulates dendritic cells and
primes naive CD4 T cells for Th1 responses. Appropriately balanced IL-36
signaling is a critical determinant of skin and lung health. IL-36 signaling has
been presumed to function analogously to IL-1 signaling. In this study, we have
defined molecular determinants of agonist and antagonist signaling through the IL
36 receptor. We present the crystal structure of IL-36gamma, which, to our
knowledge, is the first reported structure of an IL-36 agonist. Using this
structure as a guide, we designed a comprehensive series of IL-36
agonist/antagonist chimeric proteins for which we measured binding to the IL-36
receptor/IL-1 receptor accessory protein complex and functional activation and
inhibition of signaling. Our data reveal how the fine specificity of IL-36
signaling is distinct from that of IL-1.
PMID- 24935926
TI - PILRalpha negatively regulates mouse inflammatory arthritis.
AB - Paired Ig-like type 2 receptor (PILR)alpha inhibitory receptor and its
counterpart PILRbeta activating receptor are coexpressed on myeloid cells. In
this article, we report that PILRalpha, but not PILRbeta, is elevated in human
rheumatoid arthritis synovial tissue and correlates with inflammatory cell
infiltration. Pilralpha(-/-) mice produce more pathogenic cytokines during
inflammation and are prone to enhanced autoimmune arthritis. Correspondingly,
engaging PILRalpha with anti-PILRalpha mAb ameliorates inflammation in mouse
arthritis models and suppresses the production of proinflammatory cytokines. Our
studies suggest that PILRalpha mediates an important inhibitory pathway that can
dampen inflammatory responses.
PMID- 24935929
TI - Autotaxin produced by stromal cells promotes LFA-1-independent and Rho-dependent
interstitial T cell motility in the lymph node paracortex.
AB - T cells exhibit high-speed migration within the paracortical T zone of lymph
nodes (LNs) as they scan cognate Ags displayed by dendritic cells in the tissue
microenvironment supported by the network of stromal cells. Although intranodal T
cell migration is controlled in part by chemokines and LFA-1/ICAM-1, the
mechanisms underlying their migratory activity independent of these factors
remain to be elucidated. In this study, we show that LN stromal cells
constitutively express autotaxin (ATX), an ectoenzyme that is important for the
generation of lysophosphatidic acid (LPA). Importantly, CCL21(+) stromal cells in
the T zone produced and immobilized ATX on their cell surface. Two-photon imaging
using LN tissue slices revealed that pharmacological inhibition of ATX or LPA
receptors significantly reduced T cell migration, and this was further
exacerbated by blockage of Galphai signaling or LFA-1. Therefore, T cell motility
mediated by the ATX-LPA axis was independent of Galphai and LFA-1. LPA induced
slow intermittent movement of T cells in vitro in a LFA-1-independent manner and
enhanced CCL21-induced migration. Moreover, LPA and CCL21 cooperatively augmented
RhoA activity in T cells, which was necessary for efficient intranodal T cell
migration via the downstream ROCK-myosin II pathway. Taken together, T zone
stromal cells control optimal migratory behavior of T cells via multiple
signaling cues mediated by chemokines and ATX/LPA.
PMID- 24935930
TI - Inhibition of PI3Kdelta reduces kidney infiltration by macrophages and
ameliorates systemic lupus in the mouse.
AB - Systemic lupus erythematosus (SLE) is a human chronic inflammatory disease
generated and maintained throughout life by autoreactive T and B cells. Class I
phosphoinositide 3-kinases (PI3K) are heterodimers composed of a regulatory and a
catalytic subunit that catalyze phosphoinositide-3,4,5-P3 formation and regulate
cell survival, migration, and division. Activity of the PI3Kdelta isoform is
enhanced in human SLE patient PBLs. In this study, we analyzed the effect of
inhibiting PI3Kdelta in MRL/lpr mice, a model of human SLE. We found that
PI3Kdelta inhibition ameliorated lupus progression. Treatment of these mice with
a PI3Kdelta inhibitor reduced the excessive numbers of CD4(+) effector/memory
cells and B cells. In addition, this treatment reduced serum TNF-alpha levels and
the number of macrophages infiltrating the kidney. Expression of inactive
PI3Kdelta, but not deletion of the other hematopoietic isoform PI3Kgamma, reduced
the ability of macrophages to cross the basement membrane, a process required to
infiltrate the kidney, explaining MRL/lpr mice improvement by pharmacologic
inhibition of PI3Kdelta. The observations that p110delta inhibitor prolonged
mouse life span, reduced disease symptoms, and showed no obvious secondary
effects indicates that PI3Kdelta is a promising target for SLE.
PMID- 24935928
TI - Human NK cells licensed by killer Ig receptor genes have an altered cytokine
program that modifies CD4+ T cell function.
AB - NK cells are innate immune cells known for their cytolytic activities toward
tumors and infections. They are capable of expressing diverse killer Ig-like
receptors (KIRs), and KIRs are implicated in susceptibility to Crohn's disease
(CD), a chronic intestinal inflammatory disease. However, the cellular mechanism
of this genetic contribution is unknown. In this study, we show that the
"licensing" of NK cells, determined by the presence of KIR2DL3 and homozygous HLA
C1 in host genome, results in their cytokine reprogramming, which permits them to
promote CD4(+) T cell activation and Th17 differentiation ex vivo. Microfluidic
analysis of thousands of NK single cells and bulk secretions established that
licensed NK cells are more polarized to proinflammatory cytokine production than
unlicensed NK cells, including production of IFN-gamma, TNF-alpha, CCL-5, and MIP
1beta. Cytokines produced by licensed NK augmented CD4(+) T cell proliferation
and IL-17A/IL-22 production. Ab blocking indicated a primary role for IFN-gamma,
TNF-alpha, and IL-6 in the augmented T cell-proliferative response. In
conclusion, NK licensing mediated by KIR2DL2/3 and HLA-C1 elicits a novel NK
cytokine program that activates and induces proinflammatory CD4(+) T cells,
thereby providing a potential biologic mechanism for KIR-associated
susceptibility to CD and other chronic inflammatory diseases.
PMID- 24935931
TI - gp49B-mediated negative regulation of antibody production by memory and marginal
zone B cells.
AB - The rapid Ab responses observed after primary and secondary immunizations are
mainly derived from marginal zone (MZ) and memory B cells, respectively, but it
is largely unknown how these responses are negatively regulated. Several
inhibitory receptors have been identified and their roles have been studied, but
mainly on follicular B cells and much less so on MZ B, and never on memory B
cells. gp49B is an Ig superfamily member that contains two ITIMs in its
cytoplasmic tail, and it has been shown to negatively regulate mast cell,
macrophage, and NK cell responses. In this study, we demonstrate that gp49B is
preferentially expressed on memory and MZ B cells. We show that gp49B(-/-) mice
produce more IgM after a primary immunization and more IgM and IgG1 after a
secondary immunization than gp49B(+/+) mice in T cell-dependent immune responses.
Memory and MZ B cells from gp49B(-/-) mice also produce more Abs upon in vitro
stimulation with CD40 than those from gp49B(+/+) mice. The in vitro IgM
production by MZ B cells from gp49B(+/+), but not gp49B(-/-), mice is suppressed
by interaction with a putative gp49B ligand, the integrin alphavbeta3
heterodimer. In addition, gp49B(-/-) mice exhibited exaggerated IgE production in
the memory recall response. These results suggest that plasma cell development
from memory and MZ B cells, as well as subsequent Ab production, are suppressed
via gp49B. In memory B cells, this suppression also prevents excessive IgE
production, thus curtailing allergic diseases.
PMID- 24935932
TI - The impact of dipeptidyl peptidase 4 inhibition on incretin effect, glucose
tolerance, and gastrointestinal-mediated glucose disposal in healthy subjects.
AB - OBJECTIVE: Inhibition of dipeptidyl peptidase 4 (DPP4) is thought to intensify
the physiological effects of the incretin hormones. We investigated the effects
of DPP4 inhibition on plasma levels of glucose-dependent insulinotropic
polypeptide (GIP), glucagon-like peptide 1 (GLP1), incretin effect, glucose
tolerance, gastrointestinal-mediated glucose disposal (GIGD) and gastric emptying
in healthy subjects. DESIGN: A randomised, controlled and open-labelled study.
METHODS: Ten healthy subjects (six women; age, 40+/-5 years (mean+/-s.e.m.); BMI,
24+/-3 kg/m(2); fasting plasma glucose, 5.1+/-0.2 mmol/l and HbA1c, 34+/-1
mmol/mol (5.3+/-0.1%)) were randomised to two-paired study days comprising a 4-h
50 g oral glucose tolerance test (OGTT) with paracetamol (A) and an isoglycaemic
intravenous (i.v.) glucose infusion (B), with (A1+B1) and without (A2+B2)
preceding administration of the DPP4 inhibitor sitagliptin. RESULTS: Isoglycaemia
was obtained in all subjects on the paired study days. Significant increases in
fasting levels and OGTT-induced responses of active GLP1 and GIP were seen after
DPP4 inhibition. No significant impact of DPP4 inhibition on fasting plasma
glucose (5.1+/-0.1 vs 4.9+/-0.1 mmol/l, P=0.3), glucose tolerance (area under the
curve (AUC) for plasma glucose, 151+/-35 vs 137+/-26 mmol/l*min, P=0.7) or peak
plasma glucose during OGTT (8.5+/-0.4 vs 8.1+/-0.3 mmol/l, P=0.3) was observed.
Neither incretin effect (40+/-9% (without DPP4 inhibitor) vs 40+/-7% (with DPP4
inhibitor), P=1.0), glucagon responses (1395+/-165 vs 1223+/-195 pmol/l*min,
P=0.41), GIGD (52+/-4 vs 56+/-5%, P=0.40) nor gastric emptying (Tmax for plasma
paracetamol: 86+/-9 vs 80+/-12 min, P=0.60) changed following DPP4 inhibition.
CONCLUSIONS: These results suggest that acute increases in active incretin
hormone levels do not affect glucose tolerance, GIGD, incretin effect, glucagon
responses or gastric emptying in healthy subjects.
PMID- 24935933
TI - The onset time of amiodarone-induced thyrotoxicosis (AIT) depends on AIT type.
AB - OBJECTIVE: Considering the different pathogenic mechanisms of the two main forms
of amiodarone-induced thyrotoxicosis (AIT), we ascertained whether this results
in a different onset time as well. DESIGN AND METHODS: We retrospectively
analyzed the clinical records of 200 consecutive AIT patients (157 men and 43
women; mean age 62.2+/-12.6 years) referred to our Department from 1987 to 2012.
The onset time of AIT was defined as the time elapsed from the beginning of
amiodarone therapy and the first diagnosis of thyrotoxicosis, expressed in
months. Factors associated with the onset time of AIT were evaluated by
univariate and multivariate analyses. RESULTS: The median onset time of
thyrotoxicosis was 3.5 months (95% CI 2-6 months) in patients with type 1 AIT
(AIT1) and 30 months (95% CI 27-32 months, P<0.001) in those with type 2 AIT
(AIT2). Of the total number of patients, 5% with AIT1 and 23% with AIT2 (P=0.007)
developed thyrotoxicosis after amiodarone withdrawal. Factors affecting the onset
time of thyrotoxicosis were the type of AIT and thyroid volume (TV). CONCLUSIONS:
The different pathogenic mechanisms of the two forms of AIT account for different
onset times of thyrotoxicosis in the two groups. Patients with preexisting
thyroid abnormalities (candidate to develop AIT1) may require a stricter follow
up during amiodarone therapy than those usually recommended. In AIT1, the onset
of thyrotoxicosis after amiodarone withdrawal is rare, while AIT2 patients may
require periodic tests for thyroid function longer after withdrawing amiodarone.
PMID- 24935934
TI - A role for descending auditory cortical projections in songbird vocal learning.
AB - Many learned motor behaviors are acquired by comparing ongoing behavior with an
internal representation of correct performance, rather than using an explicit
external reward. For example, juvenile songbirds learn to sing by comparing their
song with the memory of a tutor song. At present, the brain regions subserving
song evaluation are not known. In this study, we report several findings
suggesting that song evaluation involves an avian 'cortical' area previously
shown to project to the dopaminergic midbrain and other downstream targets. We
find that this ventral portion of the intermediate arcopallium (AIV) receives
inputs from auditory cortical areas, and that lesions of AIV result in
significant deficits in vocal learning. Additionally, AIV neurons exhibit fast
responses to disruptive auditory feedback presented during singing, but not
during nonsinging periods. Our findings suggest that auditory cortical areas may
guide learning by transmitting song evaluation signals to the dopaminergic
midbrain and/or other subcortical targets.
PMID- 24935935
TI - The role of photorespiration during the evolution of C4 photosynthesis in the
genus Flaveria.
AB - C4 photosynthesis represents a most remarkable case of convergent evolution of a
complex trait, which includes the reprogramming of the expression patterns of
thousands of genes. Anatomical, physiological, and phylogenetic and analyses as
well as computational modeling indicate that the establishment of a
photorespiratory carbon pump (termed C2 photosynthesis) is a prerequisite for the
evolution of C4. However, a mechanistic model explaining the tight connection
between the evolution of C4 and C2 photosynthesis is currently lacking. Here we
address this question through comparative transcriptomic and biochemical analyses
of closely related C3, C3-C4, and C4 species, combined with Flux Balance Analysis
constrained through a mechanistic model of carbon fixation. We show that C2
photosynthesis creates a misbalance in nitrogen metabolism between bundle sheath
and mesophyll cells. Rebalancing nitrogen metabolism requires anaplerotic
reactions that resemble at least parts of a basic C4 cycle. Our findings thus
show how C2 photosynthesis represents a pre-adaptation for the C4 system, where
the evolution of the C2 system establishes important C4 components as a side
effect.
PMID- 24935937
TI - Role of inflammation and the angiotensin type 2 receptor in the regulation of
arterial pressure during pregnancy in mice.
AB - During normal pregnancy the renin-angiotensin system is activated, yet pregnant
women are resistant to the pressor effects of angiotensin II. Our aim was to
determine the role of the angiotensin type 2 receptor (AT2R) in the regulation of
arterial pressure, natriuresis, and immune cell infiltration during pregnancy.
Mean arterial pressure was measured via telemetry, and flow cytometry was used to
enumerate immune cell infiltration in 14-week-old wild-type and AT2R knockout
mice during gestation. In wild-type mice, mean arterial pressure decreased during
gestation, reaching a nadir at gestational day 9 (-6+/-2 mm Hg) and returned to
near preconception levels during late gestation. In AT2R-deficient mice, the
midgestational decrease in mean arterial pressure was absent. Furthermore, mean
arterial pressure was significantly increased during late gestation compared with
wild-type mice (~10 mm Hg). As expected, circulating immune cell activation was
suppressed during pregnancy. However, this response was absent in AT2R-deficient
mice. While renal immune cell infiltration was similar between the genotypes,
there was a significant T cell phenotypic switch toward a proinflammatory T
helper 1 phenotype in AT2R-deficient mice. These data indicate that the AT2R
plays an important role in arterial pressure regulation and may modulate T cell
activation and renal cytokine production during pregnancy. Therefore, deficits in
AT2R expression may contribute to pregnancy-induced hypertension and thus
represents a potential therapeutic target.
PMID- 24935936
TI - Allosteric inhibition of a stem cell RNA-binding protein by an intermediary
metabolite.
AB - Gene expression and metabolism are coupled at numerous levels. Cells must sense
and respond to nutrients in their environment, and specialized cells must
synthesize metabolic products required for their function. Pluripotent stem cells
have the ability to differentiate into a wide variety of specialized cells. How
metabolic state contributes to stem cell differentiation is not understood. In
this study, we show that RNA-binding by the stem cell translation regulator
Musashi-1 (MSI1) is allosterically inhibited by 18-22 carbon omega-9
monounsaturated fatty acids. The fatty acid binds to the N-terminal RNA
Recognition Motif (RRM) and induces a conformational change that prevents RNA
association. Musashi proteins are critical for development of the brain, blood,
and epithelium. We identify stearoyl-CoA desaturase-1 as a MSI1 target, revealing
a feedback loop between omega-9 fatty acid biosynthesis and MSI1 activity. We
propose that other RRM proteins could act as metabolite sensors to couple gene
expression changes to physiological state.
PMID- 24935939
TI - Added predictive value of night-time blood pressure variability for
cardiovascular events and mortality: the Ambulatory Blood Pressure-International
Study.
AB - The association of ambulatory blood pressure (BP) variability with mortality and
cardiovascular events is controversial. To investigate whether BP variability
predicts cardiovascular events and mortality in hypertension, we analyzed 7112
untreated hypertensive participants (3996 men) aged 52+/-15 years enrolled in 6
prospective studies. Median follow-up was 5.5 years. SD of night-time BP was
positively associated with age, body mass index, smoking, diabetes mellitus, and
average night-time BP (all P<0.001). In a multivariable Cox model, night-time BP
variability was an independent predictor of all-cause mortality (systolic,
P<0.001/diastolic, P<0.0001), cardiovascular mortality (P=0.008/<0.0001), and
cardiovascular events (P<0.001/<0.0001). In contrast, daytime BP variability was
not an independent predictor of outcomes in any model. In fully adjusted models,
a night-time systolic BP SD of >=12.2 mm Hg was associated with a 41% greater
risk of cardiovascular events, a 55% greater risk of cardiovascular death, and a
59% increased risk of all-cause mortality compared with an SD of <12.2 mm Hg. The
corresponding values for a diastolic BP SD of >=7.9 mm Hg were 48%, 132%, and
77%. The addition of night-time BP variability to fully adjusted models had a
significant impact on risk reclassification and integrated discrimination for all
outcomes (relative integrated discrimination improvement for systolic BP
variability: 9% cardiovascular events, 14.5% all-cause death, 8.5% cardiovascular
death, and for diastolic BP variability: 10% cardiovascular events, 19.1% all
cause death, 23% cardiovascular death, all P<0.01). Thus, addition of BP
variability to models of long-term outcomes improved the ability to stratify
appropriately patients with hypertension among risk categories defined by
standard clinical and laboratory variables.
PMID- 24935940
TI - Catheter-based renal denervation for resistant hypertension: 12-month results of
the EnligHTN I first-in-human study using a multielectrode ablation system.
AB - Renal denervation has emerged as a novel approach for the treatment of patients
with drug-resistant hypertension. To date, only limited data have been published
using multielectrode radiofrequency ablation systems. In this article, we present
the 12-month data of EnligHTN I, a first-in-human study using a multielectrode
ablation catheter. EnligHTN I enrolled 46 patients (average age, 60+/-10 years;
on average 4.7+/-1.0 medications) with drug-resistant hypertension. Eligible
patients were on >=3 antihypertensive medications and had a systolic blood
pressure (BP) >=160 mm Hg (>=150 mm Hg for diabetics). Bilateral renal artery
ablation was performed using a percutaneous femoral approach and standardized
techniques. The average baseline office BP was 176/96 mm Hg, average 24-hour
ambulatory BP was 150/83 mm Hg, and average home BP was 158/90 mm Hg. The average
reductions (mm Hg) at 1, 3, 6, and 12 months were as follows: office: -28/-10,
27/-10, -26/-10, and -27/-11 mm Hg (P<0.001 for all); 24-hour ambulatory: -10/-5,
-10/-5, -10/-6 (P<0.001 for all), and -7/-4 for 12 months (P<0.0094). Reductions
in home measurements (based on 2-week average) were -9/-4, -8/-5,-10/-7, and -11/
6 mm Hg (P<0.001 at 12 months). At 12 months, there were no signals of worsening
renal function and no new serious or life-threatening adverse events. One patient
with baseline nonocclusive renal artery stenosis progressed to 75% diameter
stenosis, requiring renal artery stenting. The 12-month data continue to
demonstrate safety and efficacy of the EnligHTN ablation system in patients with
drug-resistant hypertension. Home BP measurements parallel measurements obtained
with 24-hour ambulatory monitoring.
PMID- 24935938
TI - Sex-specific T-cell regulation of angiotensin II-dependent hypertension.
AB - Studies suggest T cells modulate arterial pressure. Because robust sex
differences exist in the immune system and in hypertension, we investigated sex
differences in T-cell modulation of angiotensin II-induced increases in mean
arterial pressure in male (M) and female (F) wild-type and recombination
activating-gene-1-deficient (Rag1(-/-)) mice. Sex differences in peak mean
arterial pressure in wild-type were lost in Rag1(-/-) mice (mm Hg: wild-type-F,
136+/-4.9 versus wild-type-M, 153+/-1.7; P<0.02; Rag1(-/-)-F, 135+/-2.1 versus
Rag1(-/-)-M, 141+/-3.8). Peak mean arterial pressure was 13 mm Hg higher after
adoptive transfer of male (CD3(M)->Rag1(-/-)-M) versus female (CD3(F)->Rag1(-/-)
M) T cells. CD3(M)->Rag1(-/-)-M mice exhibited higher splenic frequencies of
proinflammatory interleukin-17A (2.4-fold) and tumor necrosis factor-alpha (2.2
fold)-producing T cells and lower plasma levels (13-fold) and renal mRNA
expression (2.4-fold) of interleukin-10, whereas CD3(F)->Rag1(-/-)-M mice
displayed a higher activation state in general and T-helper-1-biased renal
inflammation. Greater T-cell infiltration into perivascular adipose tissue and
kidney associated with increased pressor responses to angiotensin II if the T
cell donor was male but not female and these sex differences in T-cell subset
expansion and tissue infiltration were maintained for 7 to 8 weeks within the
male host. Thus, the adaptive immune response and role of pro- and anti
inflammatory cytokine signaling in hypertension are distinct between the sexes
and need to be understood to improve therapeutics for hypertension-associated
disease in both men and women.
PMID- 24935941
TI - Regulation of renin secretion and arterial pressure during prolonged baroreflex
activation: influence of salt intake.
AB - Chronic electric activation of the carotid baroreflex produces sustained
reductions in sympathetic activity and arterial pressure and is currently being
evaluated as antihypertensive therapy for patients with resistant hypertension.
However, the influence of variations in salt intake on blood pressure lowering
during baroreflex activation (BA) has not yet been determined. As the sensitivity
of arterial pressure to salt intake is linked to the responsiveness of renin
secretion, we determined steady-state levels of arterial pressure and
neurohormonal responses in 6 dogs on low, normal, and high salt intakes (5, 40,
450 mmol/d, respectively) under control conditions and during a 7-day constant
level of BA. Under control conditions, there was no difference in mean arterial
pressure at low (92+/-1) and normal (92+/-2 mm Hg) sodium intakes, but pressure
increased 9+/-2 mm Hg during high salt. Plasma renin activity (2.01+/-0.23,
0.93+/-0.20, 0.01+/-0.01 ng angiotensin I/mL/h) and plasma aldosterone (10.3+/
1.9, 3.5+/-0.5, 1.7+/-0.1 ng/dL) were inversely related to salt intake, whereas
there were no changes in plasma norepinephrine. Although mean arterial pressure
(19-22 mm Hg) and norepinephrine (20%-40%) were lower at all salt intakes during
BA, neither the changes in pressure nor the absolute values for plasma renin
activity or aldosterone in response to salt were different from control
conditions. These findings demonstrate that suppression of sympathetic activity
by BA lowers arterial pressure without increasing renin release and indicate that
changes in sympathetic activity are not primary mediators of the effect of salt
on renin secretion. Consequently, blood pressure lowering during BA is
independent of salt intake.
PMID- 24935943
TI - Ambient air pollution and pregnancy-induced hypertensive disorders: a systematic
review and meta-analysis.
AB - Pregnancy-induced hypertensive disorders can lead to maternal and perinatal
morbidity and mortality, but the cause of these conditions is not well
understood. We have systematically reviewed and performed a meta-analysis of
epidemiological studies investigating the association between exposure to ambient
air pollution and pregnancy-induced hypertensive disorders including gestational
hypertension and preeclampsia. We searched electronic databases for English
language studies reporting associations between ambient air pollution and
pregnancy-induced hypertensive disorders published between December 2009 and
December 2013. Combined risk estimates were calculated using random-effect models
for each exposure that had been examined in >=4 studies. Heterogeneity and
publication bias were evaluated. A total of 17 articles evaluating the impact of
nitrogen oxides (NO2, NOX), particulate matter (PM10, PM2.5), carbon monoxide
(CO), ozone (O3), proximity to major roads, and traffic density met our inclusion
criteria. Most studies reported that air pollution increased risk for pregnancy
induced hypertensive disorders. There was significant heterogeneity in meta
analysis, which included 16 studies reporting on gestational hypertension and
preeclampsia as separate or combined outcomes; there was less heterogeneity in
findings of the 10 studies reporting solely on preeclampsia. Meta-analyses showed
increased risks of hypertensive disorders in pregnancy for all pollutants except
CO. Random-effect meta-analysis combined odds ratio associated with a 5-MUg/m3
increase in PM2.5 was 1.57 (95% confidence interval, 1.26-1.96) for combined
pregnancy-induced hypertensive disorders and 1.31 (95%confidence interval, 1.14
1.50) for preeclampsia [corrected]. Our results suggest that exposure to air
pollution increases the risk of pregnancy-induced hypertensive disorders.
PMID- 24935942
TI - Increased angiotensin II contraction of the uterine artery at early gestation in
a transgenic model of hypertensive pregnancy is reduced by inhibition of
endocannabinoid hydrolysis.
AB - Increased vascular sensitivity to angiotensin II (Ang II) is a marker of a
hypertensive human pregnancy. Recent evidence of interactions between the renin
angiotensin system and the endocannabinoid system suggests that anandamide and 2
arachidonoylglycerol may modulate Ang II contraction. We hypothesized that these
interactions may contribute to the enhanced vascular responses in hypertensive
pregnancy. We studied Ang II contraction in isolated uterine artery (UA) at early
gestation in a rat model that mimics many features of preeclampsia, the
transgenic human angiotensinogen*human renin (TgA), and control Sprague-Dawley
rats. We determined the role of the cannabinoid receptor 1 by blockade with
SR171416A, and the contribution of anandamide and 2-arachidonoylglycerol
degradation to Ang II contraction by inhibiting their hydrolyzing enzyme fatty
acid amide hydrolase (with URB597) or monoacylglycerol lipase (with JZL184),
respectively. TgA UA showed increased maximal contraction and sensitivity to Ang
II that was inhibited by indomethacin. Fatty acid amide hydrolase blockade
decreased Ang IIMAX in Sprague-Dawley UA, and decreased both Ang IIMAX and
sensitivity in TgA UA. Monoacylglycerol lipase blockade had no effect on Sprague
Dawley UA and decreased Ang IIMAX and sensitivity in TgA UA. Blockade of the
cannabinoid receptor 1 in TgA UA had no effect. Immunolocalization of fatty acid
amide hydrolase and monoacylglycerol lipase showed a similar pattern between
groups; fatty acid amide hydrolase predominantly localized in endothelium and
monoacylglycerol lipase in smooth muscle cells. We demonstrated an increased Ang
II contraction in TgA UA before initiation of the hypertensive phenotype.
Anandamide and 2-arachidonoylglycerol reduced Ang II contraction in a cannabinoid
receptor 1-independent manner. These renin-angiotensin system-endocannabinoid
system interactions may contribute to the enhanced vascular reactivity in early
stages of hypertensive pregnancy.
PMID- 24935944
TI - HV1 acts as a sodium sensor and promotes superoxide production in medullary thick
ascending limb of Dahl salt-sensitive rats.
AB - We previously characterized a H(+) transport pathway in medullary thick ascending
limb nephron segments that when activated stimulated the production of superoxide
by nicotinamide adenine dinucleotide phosphate oxidase. Importantly, the activity
of this pathway was greater in Dahl salt-sensitive rats than salt-resistant
(SS.13(BN)) rats, and superoxide production was enhanced in low Na(+) media. The
goal of this study was to determine the molecular identity of this pathway and
its relationship to Na(+). We hypothesized that the voltage-gated proton channel,
HV1, was the source of superoxide-stimulating H(+) currents. To test this
hypothesis, we developed HV1(-/-) null mutant rats on the Dahl salt-sensitive rat
genetic background using zinc-finger nuclease gene targeting. HV1 could be
detected in medullary thick limb from wild-type rats. Intracellular acidification
using an NH4Cl prepulse in 0 sodium/BaCl2 containing media resulted in superoxide
production in thick limb from wild-type but not HV1(-/-) rats (P<0.05) and more
rapid recovery of intracellular pH in wild-type rats (DeltapHI 0.005 versus 0.002
U/s, P=0.046, respectively). Superoxide production was enhanced by low
intracellular sodium (<10 mmol/L) in both thick limb and peritoneal macrophages
only when HV1 was present. When fed a high-salt diet, blood pressure, outer
medullary renal injury (tubular casts), and oxidative stress (4-hydroxynonenal
staining) were significantly reduced in HV1(-/-) rats compared with wild-type
Dahl salt-sensitive rats. We conclude that HV1 is expressed in medullary thick
ascending limb and promotes superoxide production in this segment when
intracellular Na(+) is low. HV1 contributes to the development of hypertension
and renal disease in Dahl salt-sensitive rats.
PMID- 24935945
TI - Systolic and diastolic blood pressure, incident cardiovascular events, and death
in elderly persons: the role of functional limitation in the Cardiovascular
Health Study.
AB - Whether limitation in the ability to perform activities of daily living (ADL) or
gait speed can identify elders in whom the association of systolic and diastolic
blood pressure (DBP) with cardiovascular events (CVDs) and death differs is
unclear. We evaluated whether limitation in ADL or gait speed modifies the
association of systolic blood pressure or DBP with incident CVD (n=2358) and
death (n=3547) in the Cardiovascular Health Study. Mean age was 78+/-5 and 21%
reported limitation in >=1 ADL. There were 778 CVD and 1289 deaths over 9 years.
Among persons without and those with ADL limitation, systolic blood pressure was
associated with incident CVD: hazard ratio [HR] (per 10-mm Hg increase) 1.08 (95%
confidence interval, 1.03, 1.13) and 1.06 (0.97, 1.17), respectively. ADL
modified the association of DBP with incident CVD. Among those without ADL
limitation, DBP was weakly associated with incident CVD, HR 1.04 (0.79, 1.37) for
DBP >80, compared with <65 mm Hg. Among those with ADL limitation, DBP was
inversely associated with CVD: HR 0.65 (0.44, 0.96) for DBP 66 to 80 mm Hg and HR
0.49 (0.25, 0.94) for DBP >80, compared with DBP <=65. Among people with ADL
limitation, a DBP of 66 to 80 had the lowest risk of death, HR 0.72 (0.57, 0.91),
compared with a DBP of <=65. Associations did not vary by 15-feet walking speed.
ADL can identify elders in whom diastolic hypotension is associated with higher
cardiovascular risk and death. Functional status, rather than chronologic age
alone, should inform design of hypertension trials in elders.
PMID- 24935946
TI - The physiological target for LeuRS translational quality control is norvaline.
AB - The fidelity of protein synthesis depends on the capacity of aminoacyl-tRNA
synthetases (AARSs) to couple only cognate amino acid-tRNA pairs. If amino acid
selectivity is compromised, fidelity can be ensured by an inherent AARS editing
activity that hydrolyses mischarged tRNAs. Here, we show that the editing
activity of Escherichia coli leucyl-tRNA synthetase (EcLeuRS) is not required to
prevent incorrect isoleucine incorporation. Rather, as shown by kinetic,
structural and in vivo approaches, the prime biological function of LeuRS editing
is to prevent mis-incorporation of the non-standard amino acid norvaline. This
conclusion follows from a reassessment of the discriminatory power of LeuRS
against isoleucine and the demonstration that a LeuRS editing-deficient E. coli
strain grows normally in high concentrations of isoleucine but not under oxygen
deprivation conditions when norvaline accumulates to substantial levels. Thus,
AARS-based translational quality control is a key feature for bacterial adaptive
response to oxygen deprivation. The non-essential role for editing under normal
bacterial growth has important implications for the development of resistance to
antimicrobial agents targeting the LeuRS editing site.
PMID- 24935947
TI - Seroepidemiological study of outdoor recreationists' exposure to spotted fever
group Rickettsia in Western Australia.
AB - Bushland activity has previously been linked to rickettsial exposure in eastern
and central regions of Australia, whereas little is known about the risks in
Western Australia. The isolation of Rickettsia gravesii sp. nov. from Amblyomma
triguttatum ticks and anecdotal reports of low-grade illness among bush
recreationists raised the possibility of rickettsial transmission in the State.
This study investigated rickettsial seroprevalence and potential risk of exposure
to the spotted fever group rickettsiae in rogainers. Our results showed that
rogainers active in the bush had a significantly higher risk of seropositivity
(immunofluorescence total antibody titer >= 128) for the spotted fever group
Rickettsia (odds ratio [OR] = 14.02, 95% confidence interval [CI] = 1.38-142.07)
compared with a reference population, the overall seroprevalence in the rogainer
group being 23.1%.
PMID- 24935948
TI - Seroprevalence of Trypanosoma cruzi among mothers and children in rural Mayan
communities and associated reproductive outcomes.
AB - Our objective was to determine the seroprevalence of Trypanosoma cruzi infection
among mothers and children in two rural Mayan communities in Yucatan, Mexico and
examine sociodemographic characteristics and adverse reproductive outcomes
associated with maternal infection. We performed household surveys in the
communities of Sudzal and Teya. Mothers were interviewed, and blood samples were
obtained to perform rapid tests and enzyme-linked immunosorbent assays (ELISAs).
We surveyed 390 mothers and 685 children. The overall seroprevalence was 2.3%
among mothers and 0.4% among children. In Sudzal, we found a seroprevalence of
4.4% among mothers and 0.7% in children. In Teya, we found a seroprevalence of
0.9% among mothers and 0.3% among children. Compared with uninfected mothers,
seropositive mothers reported more stillbirths (relative risk = 4.7; 95%
confidence interval = 2.1-10.4). T. cruzi infection is present in these
communities, and infected children indicate active transmission. Seropositivity
in mothers is associated with a history of adverse reproductive outcomes.
PMID- 24935949
TI - Reversibility of retinal microvascular changes in severe falciparum malaria.
AB - Malarial retinopathy allows detailed study of central nervous system vascular
pathology in living patients with severe malaria. An adult with cerebral malaria
is described who had prominent retinal whitening with corresponding retinal
microvascular obstruction, vessel dilatation, increased vascular tortuosity, and
blood retinal barrier leakage with decreased visual acuity, all of which resolved
on recovery. Additional study of these features and their potential role in
elucidating the pathogenesis of cerebral malaria is warranted.
PMID- 24935950
TI - Detection of Bartonella quintana in African body and head lice.
AB - Currently, the body louse is the only recognized vector of Bartonella quintana,
an organism that causes trench fever. In this work, we investigated the
prevalence of this bacterium in human lice in different African countries. We
tested 616 head lice and 424 body lice from nine African countries using real
time polymerase chain reaction targeting intergenic spacer region 2 and specific
B. quintana genes. Overall, B. quintana DNA was found in 54% and 2% of body and
head lice, respectively. Our results also show that there are more body lice
positive for B. quintana in poor countries, which was determined by the gross
domestic product, than in wealthy areas (228/403 versus 0/21, P < 0.001). A
similar finding was obtained for head lice (8/226 versus 2/390, P = 0.007). Our
findings suggest that head lice in Africa may be infected by B. quintana when
patients live in poor economic conditions and are also exposed to body lice.
PMID- 24935951
TI - Trypanosoma cruzi infection in Mepraia gajardoi and Mepraia spinolai: the effect
of feeding nymphs from the field.
AB - We evaluated Trypanosoma cruzi infection rates by means of minicircle DNA-based
polymerase chain reactions (PCRs) in 70 starved Mepraia gajardoi from northern
Chile and 65 M. spinolai from central Chile after feeding. Immediately after
collection in the field, 20% of M. gajardoi were found infected; after feeding,
67% of the uninfected were infected. One group of M. spinolai seemed to be
completely uninfected, but after the first and second feedings, 62% and 59% were
positive, respectively.
PMID- 24935953
TI - High sensitivity and specificity of clinical microscopy in rural health
facilities in western Kenya under an external quality assurance program.
AB - Microscopic diagnosis of malaria is a well-established and inexpensive technique
that has the potential to provide accurate diagnosis of malaria infection.
However, it requires both training and experience. Although it is considered the
gold standard in research settings, the sensitivity and specificity of routine
microscopy for clinical care in the primary care setting has been reported to be
unacceptably low. We established a monthly external quality assurance program to
monitor the performance of clinical microscopy in 17 rural health centers in
western Kenya. The average sensitivity over the 12-month period was 96% and the
average specificity was 88%. We identified specific contextual factors that
contributed to inadequate performance. Maintaining high-quality malaria diagnosis
in high-volume, resource-constrained health facilities is possible.
PMID- 24935952
TI - Infection with Leishmania (Leishmania) infantum of 0 to 18-Month-old children
living in a visceral leishmaniasis-endemic area in Brazil.
AB - The diagnosis of Leishmania (Leishmania) infantum infection in children from
birth may serve as a reference for the early identification of cases that would
progress to classical visceral leishmaniasis (VL) in endemic areas. This study
prospectively evaluated newborns of mothers living in the municipality of
Paracatu, Minas Gerais, Brazil. The infants were followed up at 6-month intervals
by clinical examination, serological tests (immunofluorescence [IIF] and enzyme
linked immunosorbent assay with rK39 [ELISA-rK39]) and polymerase chain reaction
(PCR) until they had completed 18 months of age. A total of 166 pregnant women
were included to evaluate the possible transfer of antibodies or even congenital
transmission. Twenty-two of the women tested positive by IIF, four by ELISA-rK39,
and one by PCR. Three infants of the 25 women with some positive test results
were also positive in the first test (one by IIF, one by ELISA-rK39, and the
third by ELISA-rK39 and PCR). One hundred and sixty infants were included in the
study; of these, 43 had at least one positive sample over time. However,
agreement between tests was low. Follow-up of children with a positive result in
the tests studied revealed no progression to classical disease within a period of
18 months. In contrast, two children with negative IIF, PCR, and ELISA-rK39
results developed classical VL at 9 and 12 months of age. In conclusion, a
positive test result was variable and sometimes temporary and agreement between
tests was low. Therefore, the early diagnosis of Leishmania infection was not
associated with the early identification of cases that would progress to
classical VL in the endemic area studied.
PMID- 24935954
TI - Population ecology of hantavirus rodent hosts in southern Brazil.
AB - In this study we analyze population dynamics of hantavirus rodent hosts and
prevalence of infection over a 2-year period in Southern Brazil, a region with a
high incidence of hantavirus pulmonary syndrome. The 14 small mammal species
captured were composed of 10 rodents and four marsupials, the six most abundant
species being Akodon serrensis, Oxymycterus judex, Akodon montensis, Akodon
paranaensis, Oligoryzomys nigripes, and Thaptomys nigrita. These species
displayed a similar pattern with increasing population sizes in fall/winter
caused by recruitment and both, increase in reproductive activity and higher
hantavirus prevalence in spring/summer. Specific associations between A.
montensis/Jabora Virus (JABV) and O. nigripes/Juquitiba-like Virus (JUQV-like)
and spillover infections between A. paranaensis/JABV, A. serrensis/JABV, and A.
paranaensis/JUQV-like were observed. Spillover infection in secondary hosts seems
to play an important role in maintaining JABV and JUQV-like in the hantavirus
sylvatic cycle mainly during periods of low prevalence in primary hosts.
PMID- 24935955
TI - Decreased HIV type 1 transcription in CCR5-Delta32 heterozygotes during
suppressive antiretroviral therapy.
AB - Individuals who are heterozygous for the CCR5-Delta32 mutation provide a natural
model to examine the effects of reduced CCR5 expression on human immunodeficiency
virus (HIV) persistence. We evaluated the HIV reservoir in 18 CCR5-Delta32
heterozygotes and 54 CCR5 wild-type individuals during suppressive antiretroviral
therapy. Cell-associated HIV RNA levels (P=.035), RNA to DNA transcriptional
ratios (P=.013), and frequency of detectable HIV 2-long terminal repeat circular
DNA (P=.013) were significantly lower in CD4+ T cells from CCR5-Delta32
heterozygotes. Cell-associated HIV RNA was significantly correlated with CCR5
surface expression on CD4+ T cells (r2=0.136; P=.002). Our findings suggest that
curative strategies should further explore manipulation of CCR5.
PMID- 24935956
TI - Development of microRNA therapeutics is coming of age.
AB - MicroRNAs (miRNAs) play key regulatory roles in diverse biological processes and
are frequently dysregulated in human diseases. Thus, miRNAs have emerged as a
class of promising targets for therapeutic intervention. Here, we describe the
current strategies for therapeutic modulation of miRNAs and provide an update on
the development of miRNA-based therapeutics for the treatment of cancer,
cardiovascular disease and hepatitis C virus (HCV) infection.
PMID- 24935957
TI - Characterization of lung inflammation and its impact on macrophage function in
aging.
AB - Systemic inflammation that occurs with increasing age (inflammaging) is thought
to contribute to the increased susceptibility of the elderly to several disease
states. The elderly are at significant risk for developing pulmonary disorders
and infectious diseases, but the contribution of inflammation in the pulmonary
environment has received little attention. In this study, we demonstrate that the
lungs of old mice have elevated levels of proinflammatory cytokines and a
resident population of highly activated pulmonary macrophages that are refractory
to further activation by IFN-gamma. The impact of this inflammatory state on
macrophage function was determined in vitro in response to infection with M.tb.
Macrophages from the lungs of old mice secreted more proinflammatory cytokines in
response to M.tb infection than similar cells from young mice and also
demonstrated enhanced M.tb uptake and P-L fusion. Supplementation of mouse chow
with the NSAID ibuprofen led to a reversal of lung and macrophage inflammatory
signatures. These data indicate that the pulmonary environment becomes
inflammatory with increasing age and that this inflammatory environment can be
reversed with ibuprofen.
PMID- 24935959
TI - A chasm of misunderstanding: The widening gap between public perception and
scientific consensus.
PMID- 24935958
TI - An essential role of interleukin-17 receptor signaling in the development of
autoimmune glomerulonephritis.
AB - In recent years, proinflammatory cytokines in the nephritic kidney appear to
contribute to the pathogenesis of AGN. The complex inflammatory cytokine network
that drives renal pathology is poorly understood. IL-17, the signature cytokine
of Th17 cells, which promotes autoimmune pathology in a variety of settings, is
beginning to be identified in acute and chronic kidney diseases as well. However,
the role of IL-17-mediated renal damage in the nephritic kidney has not been
elucidated. Here, with the use of a murine model of experimental AGN, we showed
that IL-17RA signaling is critical for the development of renal pathology.
Despite normal systemic autoantibody response and glomerular immune-complex
deposition, IL-17RA(-/-) mice exhibit a diminished influx of inflammatory cells
and kidney-specific expression of IL-17 target genes correlating with disease
resistance in AGN. IL-17 enhanced the production of proinflammatory cytokines and
chemokines from tECs. Finally, we were able to show that neutralization of IL-17A
ameliorated renal pathology in WT mice following AGN. These results clearly
demonstrated that IL-17RA signaling significantly contributes to renal tissue
injury in experimental AGN and suggest that blocking IL-17RA may be a promising
therapeutic strategy for the treatment of proliferative and crescentic
glomerulonephritis.
PMID- 24935960
TI - Do child's psychosocial functioning, and parent and family characteristics
predict early alcohol use? The TRAILS Study.
AB - BACKGROUND: Given the negative consequences of early alcohol use for health and
social functioning, it is essential to detect children at risk of early drinking.
The aim of this study is to determine predictors of early alcohol use that can
easily be detected in Preventive Child Healthcare (PCH). METHODS: We obtained
data from the first two waves on 1261 Dutch adolescents who participated in
TRAILS (TRacking Adolescents' Individual Lives Survey) at ages 10-14 years and
from the PCH records regarding ages 4-10 years. Early adolescence alcohol use
(age 10-14 years) was defined as alcohol use at least once at ages 10-12 years
(wave 1) and at least once in the previous 4 weeks at ages 12-14 years (wave 2).
Predictors of early alcohol use concerned parent and teacher reports at wave 1
and PCH registrations, regarding the child's psychosocial functioning, and
parental and socio-demographic characteristics. RESULTS: A total of 17.2% of the
adolescents reported early alcohol use. Predictors of early alcohol use were
teacher-reported aggressive behaviour [odds ratios (OR); 95% confidence interval
(CI): 1.86; 1.11-3.11], being a boy (OR 1.80, 95%-CI 1.31-2.56), being a non
immigrant (OR 2.31, 95%CI 1.05-5.09), and low and middle educational level of the
father (OR 1.71, 95%CI 1.12-2.62 and OR 1.77, 95%CI 1.16-2.70, respectively),
mutually adjusted. CONCLUSION: A limited set of factors was predictive for early
alcohol use. Use of this set may improve the detection of early adolescence
alcohol use in PCH.
PMID- 24935961
TI - Transgenic expression of dominant-active IDOL in liver causes diet-induced
hypercholesterolemia and atherosclerosis in mice.
AB - RATIONALE: The E3 ubiquitin ligase inducible degrader of the low-density
lipoprotein receptor (IDOL) triggers lysosomal degradation of the low-density
lipoprotein receptor. The tissue-specific effects of the IDOL pathway on plasma
cholesterol and atherosclerosis have not been examined. OBJECTIVE: Given that the
liver is the primary determinant of plasma cholesterol levels, we sought to
examine the consequence of effect of chronic liver-specific expression of a
dominant-active form of IDOL in mice. METHODS AND RESULTS: We expressed a
degradation-resistant, dominant-active form of IDOL (super IDOL [sIDOL]) in
C57Bl/6J mice from the liver-specific albumin promoter (L-sIDOL transgenics). L
sIDOL mice were fed a Western diet for 20 or 30 weeks and then analyzed for
plasma lipid levels and atherosclerotic lesion formation. L-sIDOL mice showed
dramatic reductions in hepatic low-density lipoprotein receptor protein and
increased plasma low-density lipoprotein cholesterol levels on both chow and
Western diets. Moreover, L-sIDOL mice developed marked atherosclerotic lesions
when fed a Western diet. Lesion formation in L-sIDOL mice was more robust than in
apolipoprotein E*3 Leiden mice and did not require the addition of cholate to the
diet. Western diet-fed L-sIDOL mice had elevated expression of liver X receptor
target genes and proinflammatory genes in their aortas. CONCLUSIONS: Liver
specific expression of dominant-active IDOL is associated with
hypercholesterolemia and a marked elevation in atherosclerotic lesions. Our
results show that increased activity of the IDOL pathway in the liver can
override other low-density lipoprotein receptor regulatory pathways leading to
cardiovascular disease. L-sIDOL mice are a robust, dominantly inherited, diet
inducible model for the study of atherosclerosis.
PMID- 24935962
TI - Embryonic stem cell-derived cardiac myocytes are not ready for human trials.
PMID- 24935963
TI - High risk of tobacco-related cancers in CDKN2A mutation-positive melanoma
families.
AB - BACKGROUND: Germline mutations in the tumour suppressor gene CDKN2A occur in 5
20% of familial melanoma cases. A single founder mutation, p.Arg112dup, accounts
for the majority of CDKN2A mutations in Swedish carriers. In a national program,
carriers of p.Arg112dup mutation have been identified. The aim of this study was
to assess cancer risks in p.Arg112dup carriers and their first degree relatives
(FDRs) and second degree relatives (SDRs). METHODS: In this prospective cohort
study, cancer diagnoses in carriers (n=120), non-carriers (n=111), carriers' FDRs
(n=275) and SDRs (n=321) and controls (n=3976) were obtained from the Swedish
Cancer Registry. Relative risks (RRs) for cancers were calculated (number of
cancers/person years). Two-sided 95% CIs were calculated for all RRs. RESULTS: In
carriers prospective RR for non-melanoma cancers was 5.0 (95% CI 3.7 to 7.3), for
pancreatic cancer 43.8 (95% CI 13.8 to 139.0), for cancers in upper digestive
tissues 17.1 (95% CI 6.3 to 46.5), and in respiratory tissues 15.6 (5.4 to 46.0).
In FDRs and SDRs RRs were significantly elevated for cancers in pancreas,
respiratory and upper digestive tissues. In ever-smoking carriers compared with
never-smoking carriers, the odds ratio (OR) of cancers in pancreas, respiratory
or upper digestive tissues was 9.3 (95% CI 1.9 to 44.7). CONCLUSIONS: CDKN2A
p.Arg112dup mutation carriers from melanoma-prone families and their FDRs and
SDRs have elevated risk for pancreatic, lung, head and neck and gastro
oesophageal carcinomas. These cancers were mainly seen in ever-smoking carriers.
Germline CDKN2A mutations may confer an increased sensitivity to carcinogens in
tobacco smoke. CDKN2A mutation carriers should be counselled to abstain from
smoking.
PMID- 24935968
TI - MAPKAP kinase 3 suppresses Ifng gene expression and attenuates NK cell
cytotoxicity and Th1 CD4 T-cell development upon influenza A virus infection.
AB - MK2 and MK3 are downstream targets of p38 and ERK1/2. They control the mRNA
stability of several inflammatory cytokines, including TNF-alpha and IL-10.
Whereas MK2 is expressed ubiquitously, the expression of MK3 is restricted to
muscle, liver, and heart tissues and T and NK cells. Using Mk-deficient and wild
type (WT) mice, we demonstrated an inhibitory effect of MK3, but not of MK2, on
interferon (IFN)-gamma expression in T and NK lymphocytes. The results provided
evidence that the inhibitory effect of MK3 is based on negative feedback
phosphorylation of p38 and ERK1/2, which causes decreased binding of Stat4 to the
IFN-gamma promoter and reduced expression of IFN-gamma mRNA and protein.
Consequently, all Mk3(-/-) mice challenged with the Th1-inducing influenza A
virus (IAV) survived the WT LD50 virus dose. The reduced disease severity in the
Mk3(-/-) mice was accompanied by a >10-fold reduction in viral lung titer and an
increase in the number of activated NK cells and enhanced Th1 activation of CD4 T
cells. Thus, our data describe the protein kinase MK3 as a novel regulator of the
innate and adaptive immune responses.-Kother, K., Nordhoff, C., Masemann, D.,
Varga, G., Bream, J. H., Gaestel, M., Wixler, V., Ludwig, S. MAPKAP kinase 3
suppresses Ifng gene expression and attenuates NK cell cytotoxicity and Th1 CD4 T
cell development upon influenza A virus infection.
PMID- 24935969
TI - Television viewing and time spent sedentary in relation to cancer risk: a meta
analysis.
AB - BACKGROUND: Sedentary behavior is emerging as an independent risk factor for
chronic disease and mortality. However, the evidence relating television (TV)
viewing and other sedentary behaviors to cancer risk has not been quantitatively
summarized. METHODS: We performed a comprehensive electronic literature search in
Cochrane, EMBASE, Medline, and SciSearch databases through February 2014 for
published articles investigating sedentary behavior in relation to cancer
incidence. Because randomized controlled trials are difficult to perform on this
topic, we focused on observational studies that met uniform inclusion criteria.
Data were extracted independently by both authors and summarized using random
effects meta-analysis and meta-regression. All statistical tests were two-sided.
RESULTS: Data from 43 observational studies including a total of 68936 cancer
cases were analyzed. Comparing the highest vs lowest levels of sedentary time,
the relative risks (RRs) for colon cancer were 1.54 (95% confidence interval [CI]
= 1.19 to 1.98) for TV viewing time, 1.24 (95% CI = 1.09 to 1.41) for
occupational sitting time, and 1.24 (95% CI = 1.03 to 1.50) for total sitting
time. For endometrial cancer, the relative risks were 1.66 (95% CI = 1.21 to
2.28) for TV viewing time and 1.32 (95% CI = 1.08 to 1.61) for total sitting
time. A positive association with overall sedentary behavior was also noted for
lung cancer (RR = 1.21; 95% CI = 1.03 to 1.43). Sedentary behavior was unrelated
to cancers of the breast, rectum, ovaries, prostate, stomach, esophagus, testes,
renal cell, and non-Hodgkin lymphoma. CONCLUSIONS: Prolonged TV viewing and time
spent in other sedentary pursuits is associated with increased risks of certain
types of cancer.
PMID- 24935970
TI - An active lifestyle for cancer prevention.
PMID- 24935971
TI - Different types of secondary information in the genetic code.
AB - Whole-genome and functional analyses suggest a wealth of secondary or auxiliary
genetic information (AGI) within the redundancy component of the genetic code.
Although there are multiple aspects of biased codon use, we focus on two types of
auxiliary information: codon-specific translational pauses that can be used by
particular proteins toward their unique folding and biased codon patterns shared
by groups of functionally related mRNAs with coordinate regulation. AGI is
important to genetics in general and to human disease; here, we consider
influences of its three major components, biased codon use itself, variations in
the tRNAome, and anticodon modifications that distinguish synonymous decoding.
AGI is plastic and can be used by different species to different extents, with
tissue-specificity and in stress responses. Because AGI is species-specific, it
is important to consider codon-sensitive experiments when using heterologous
systems; for this we focus on the tRNA anticodon loop modification enzyme,
CDKAL1, and its link to type 2 diabetes. Newly uncovered tRNAome variability
among humans suggests roles in penetrance and as a genetic modifier and disease
modifier. Development of experimental and bioinformatics methods are needed to
uncover additional means of auxiliary genetic information.
PMID- 24935972
TI - Endotoxin-induced endothelial fibrosis is dependent on expression of transforming
growth factors beta1 and beta2.
AB - During endotoxemia-induced inflammatory disease, bacterial endotoxins circulate
in the bloodstream and interact with endothelial cells (ECs), inducing
dysfunction of the ECs. We previously reported that endotoxins induce the
conversion of ECs into activated fibroblasts. Through endotoxin-induced
endothelial fibrosis, ECs change their morphology and their protein expression
pattern, thereby suppressing endothelial markers and upregulating fibrotic
proteins. The most commonly used fibrotic inducers are transforming growth factor
beta1 (TGF-beta1) and TGF-beta2. However, whether TGF-beta1 and TGF-beta2
participate in endotoxin-induced endothelial fibrosis remains unknown. We have
shown that the endotoxin-induced endothelial fibrosis process is dependent on the
TGF-beta receptor, ALK5, and the activation of Smad3, a protein that is activated
by ALK5 activation, thus suggesting that endotoxin elicits TGF-beta production to
mediate endotoxin-induced endothelial fibrosis. Therefore, we investigated the
dependence of endotoxin-induced endothelial fibrosis on the expression of TGF
beta1 and TGF-beta2. Endotoxin-treated ECs induced the expression and secretion
of TGF-beta1 and TGF-beta2. TGF-beta1 and TGF-beta2 downregulation inhibited the
endotoxin-induced changes in the endothelial marker VE-cadherin and in the
fibrotic proteins alpha-SMA and fibronectin. Thus, endotoxin induces the
production of TGF-beta1 and TGF-beta2 as a mechanism to promote endotoxin-induced
endothelial fibrosis. To the best of our knowledge, this is the first report
showing that endotoxin induces endothelial fibrosis via TGF-beta secretion, which
represents an emerging source of vascular dysfunction. These findings contribute
to understanding the molecular mechanism of endotoxin-induced endothelial
fibrosis, which could be useful in the treatment of inflammatory diseases.
PMID- 24935973
TI - Identification and characterization of outer membrane vesicle-associated proteins
in Salmonella enterica serovar Typhimurium.
AB - Salmonella enterica serovar Typhimurium is a primary cause of enteric diseases
and has acquired a variety of virulence factors during its evolution into a
pathogen. Secreted virulence factors interact with commensal flora and host cells
and enable Salmonella to survive and thrive in hostile environments. Outer
membrane vesicles (OMVs) released from many Gram-negative bacteria function as a
mechanism for the secretion of complex mixtures, including virulence factors. We
performed a proteomic analysis of OMVs that were isolated under standard
laboratory and acidic minimal medium conditions and identified 14 OMV-associated
proteins that were observed in the OMV fraction isolated only under the acidic
minimal medium conditions, which reproduced the nutrient-deficient intracellular
milieu. The inferred roles of these 14 proteins were diverse, including
transporter, enzyme, and transcriptional regulator. The absence of these proteins
influenced Salmonella survival inside murine macrophages. Eleven of these
proteins were predicted to possess secretion signal sequences at their N termini,
and three (HupA, GlnH, and PhoN) of the proteins were found to be translocated
into the cytoplasm of host cells. The comparative proteomic profiling of OMVs
performed in this study revealed different protein compositions in the OMVs
isolated under the two different conditions, which indicates that the OMV cargo
depends on the growth conditions and provides a deeper insight into how
Salmonella utilizes OMVs to adapt to environmental changes.
PMID- 24935974
TI - Parasite-derived neurotrophic factor/trans-sialidase of Trypanosoma cruzi links
neurotrophic signaling to cardiac innate immune response.
AB - The Chagas' disease parasite Trypanosoma cruzi elicits a potent inflammatory
response in acutely infected hearts that keeps parasitism in check and triggers
cardiac abnormalities. A most-studied mechanism underlying innate immunity in T.
cruzi infection is Toll-like receptor (TLR) activation by lipids and other
parasite molecules. However, yet-to-be-identified pathways should exist. Here, we
show that T. cruzi strongly upregulates monocyte chemoattractant protein 1 (MCP
1)/CCL2 and fractalkine (FKN)/CX3CL1 in cellular and mouse models of heart
infection. Mechanistically, upregulation of MCP-1 and FKN stems from the
interaction of parasite-derived neurotrophic factor (PDNF)/trans-sialidase with
neurotrophic receptors TrkA and TrkC, as assessed by pharmacological inhibition,
neutralizing antibodies, and gene silencing studies. Administration of a single
dose of intravenous PDNF to naive mice results in a dose-dependent increase in
MCP-1 and FKN in the heart and liver with pulse-like kinetics that peak at 3 h
postinjection. Intravenous PDNF also augments MCP-1 and FKN in TLR signaling
deficient MyD88-knockout mice, underscoring the MyD88-independent action of PDNF.
Although single PDNF injections do not increase MCP-1 and FKN receptors, multiple
PDNF injections at short intervals up the levels of receptor transcripts in the
heart and liver, suggesting that sustained PDNF triggers cell recruitment at
infection sites. Thus, given that MCP-1 and FKN are chemokines essential to the
recruitment of immune cells to combat inflammation triggers and to enhance tissue
repair, our findings uncover a new mechanism in innate immunity against T. cruzi
infection mediated by Trk signaling akin to an endogenous inflammatory and
fibrotic pathway resulting from cardiomyocyte-TrkA recognition by matricellular
connective tissue growth factor (CTGF/CCN2).
PMID- 24935975
TI - Expression of regulatory T cells in jejunum, colon, and cervical and mesenteric
lymph nodes of dogs naturally infected with Leishmania infantum.
AB - Using flow cytometry, we evaluated the frequencies of CD4(+) and CD8(+) T cells
and Foxp3(+) regulatory T cells (Tregs) in mononuclear cells in the jejunum,
colon, and cervical and mesenteric lymph nodes of dogs naturally infected with
Leishmania infantum and in uninfected controls. All infected dogs showed chronic
lymphadenitis and enteritis. Despite persistent parasite loads, no erosion or
ulcers were evident in the epithelial mucosa. The colon harbored more parasites
than the jejunum. Frequencies of total CD4(+), total Foxp3, and CD4(+) Foxp3(+)
cells were higher in the jejunum than in the colon. Despite negative enzyme
linked immunosorbent assay (ELISA) serum results for cytokines, levels of
interleukin-10 (IL-10), gamma interferon (IFN-gamma), transforming growth factor
beta (TGF-beta), and tumor necrosis factor alpha (TNF-alpha) were higher in the
jejunum than in the colon for infected dogs. However, IL-4 levels were higher in
the colon than in the jejunum for infected dogs. There was no observed
correlation between clinical signs and histopathological changes or immunological
and parasitological findings in the gastrointestinal tract (GIT) of canines with
visceral leishmaniasis. However, distinct segments of the GIT presented different
immunological and parasitological responses. The jejunum showed a lower parasite
load, with increased frequencies and expression of CD4, Foxp3, and CD8 receptors
and IL-10, TGF-beta, IFN-gamma, and TNF-alpha cytokines. The colon showed a
higher parasite load, with increasing expression of IL-4. Leishmania infantum
infection increased expression of CD4, Foxp3, IL-10, TGF-beta, IFN-gamma, and TNF
alpha and reduced CD8 and IL-4 expression in both the jejunum and the colon.
PMID- 24935976
TI - Distinct regions of NLRP1B are required to respond to anthrax lethal toxin and
metabolic inhibition.
AB - Pattern recognition receptors monitor for signs of infection or cellular
dysfunction and respond to these events by initiating an immune response. NLRP1B
is a receptor that upon activation recruits multiple copies of procaspase-1,
which promotes cytokine processing and a proinflammatory form of cell death
termed pyroptosis. NLRP1B detects anthrax lethal toxin when the toxin cleaves an
amino-terminal fragment from the protein. In addition, NLRP1B is activated when
cells are deprived of glucose or treated with metabolic inhibitors, but the
mechanism by which the resulting reduction in cytosolic ATP is sensed by NLRP1B
is unknown. Here, we addressed whether these two activating signals of NLRP1B
converge on a common sensing system. We show that an NLRP1B mutant lacking the
amino-terminal region exhibits some spontaneous activity and fails to be further
activated by lethal toxin. This mutant was still activated in cells depleted of
ATP, however, indicating that the amino-terminal region is not the sole sensing
domain of NLRP1B. Mutagenesis of the leucine-rich repeat domain of NLRP1B
provided evidence that this domain is involved in autoinhibition of the receptor,
but none of the mutants tested was specifically defective at sensing activating
signals. Comparison of two alleles of NLRP1B that differed in their response to
metabolic inhibitors, but not to lethal toxin, led to the finding that a repeated
sequence in the function to find domain (FIIND) that arose from exon duplication
facilitated detection of ATP depletion. These results suggest that distinct
regions of NLRP1B detect activating signals.
PMID- 24935977
TI - Elongation factor P and modifying enzyme PoxA are necessary for virulence of
Shigella flexneri.
AB - Elongation factor P (EF-P) is a universally conserved bacterial translation
factor. In many bacteria, EF-P is posttranslationally modified by PoxA, which
covalently attaches a beta-lysine to a conserved lysine residue of EF-P. Here we
show that both EF-P and PoxA are necessary for virulence of the human diarrheal
pathogen Shigella flexneri. Loss of either EF-P or PoxA leads to an impaired
ability of S. flexneri to invade epithelial cells and form plaques in an
epithelial cell monolayer. Proteomic analysis of efp and poxA deletion mutants
revealed decreased levels of several virulence effector proteins, including IpaA,
-B, and -C and IcsA. Additionally, mRNA levels of virB and virF, which encode
master virulence regulators, were decreased in the efp mutant. The reduction in
virF transcription was at least partially due to decreased levels of CpxA, which
activates virF through the response regulator CpxR. The role of CpxAR in reduced
synthesis of VirF and its downstream effectors was indicated by restoration of
invasion when a mutation resulting in constitutively activated CpxR was
introduced into the efp mutant. Thus, modified EF-P is required for appropriate
synthesis of proteins involved in the virulence of this bacterial pathogen.
PMID- 24935978
TI - Identification of mechanisms for attenuation of the FSC043 mutant of Francisella
tularensis SCHU S4.
AB - Previously, we identified a spontaneous, essentially avirulent mutant, FSC043, of
the highly virulent strain SCHU S4 of Francisella tularensis subsp. tularensis.
We have now characterized the phenotype of the mutant and the mechanisms of its
attenuation in more detail. Genetic and proteomic analyses revealed that the pdpE
gene and most of the pdpC gene were very markedly downregulated and, as
previously demonstrated, that the strain expressed partially deleted and fused
fupA and fupB genes. FSC043 showed minimal intracellular replication and induced
no cell cytotoxicity. The mutant showed delayed phagosomal escape; at 18 h,
colocalization with LAMP-1 was 80%, indicating phagosomal localization, whereas
the corresponding percentages for SCHU S4 and the DeltafupA mutant were <10%.
However, a small subset of the FSC043-infected cells contained up to 100 bacteria
with LAMP-1 colocalization of around 30%. The unusual intracellular phenotype was
similar to that of the DeltapdpC and DeltapdpC DeltapdpE mutants. Complementation
of FSC043 with the intact fupA and fupB genes did not affect the phenotype,
whereas complementation with the pdpC and pdpE genes restored intracellular
replication and led to marked virulence. Even higher virulence was observed after
complementation with both double-gene constructs. After immunization with the
FSC043 strain, moderate protection against respiratory challenge with the SCHU S4
strain was observed. In summary, FSC043 showed a highly unusual intracellular
phenotype, and based on our findings, we hypothesize that the mutation in the
pdpC gene makes an essential contribution to the phenotype.
PMID- 24935979
TI - Contribution of the highly conserved EaeH surface protein to enterotoxigenic
Escherichia coli pathogenesis.
AB - Enterotoxigenic Escherichia coli (ETEC) strains are among the most common causes
of diarrheal illness worldwide. These pathogens disproportionately afflict
children in developing countries, where they cause substantial morbidity and are
responsible for hundreds of thousands of deaths each year. Although these
organisms are important targets for enteric vaccines, most development efforts to
date have centered on a subset of plasmid-encoded fimbrial adhesins known as
colonization factors and heat-labile toxin (LT). Emerging data suggest that ETEC
undergoes considerable changes in its surface architecture, sequentially
deploying a number of putative adhesins during its interactions with the host. We
demonstrate here that one putative highly conserved, chromosomally encoded
adhesin, EaeH, engages the surfaces of intestinal epithelial cells and
contributes to bacterial adhesion, LT delivery, and colonization of the small
intestine.
PMID- 24935981
TI - RS1 satellite phage promotes diversity of toxigenic Vibrio cholerae by driving
CTX prophage loss and elimination of lysogenic immunity.
AB - In El Tor biotype strains of toxigenic Vibrio cholerae, the CTXphi prophage often
resides adjacent to a chromosomally integrated satellite phage genome, RS1, which
produces RS1phi particles by using CTX prophage-encoded morphogenesis proteins.
RS1 encodes RstC, an antirepressor against the CTXphi repressor RstR, which
cooperates with the host-encoded LexA protein to maintain CTXphi lysogeny. We
found that superinfection of toxigenic El Tor strains with RS1phi, followed by
inoculation of the transductants into the adult rabbit intestine, caused
elimination of the resident CTX prophage-producing nontoxigenic derivatives at a
high frequency. Further studies using recA deletion mutants and a cloned rstC
gene showed that the excision event was recA dependent and that introduction of
additional copies of the cloned rstC gene instead of infection with RS1phi was
sufficient to enhance CTXphi elimination. Our data suggest that once it is
excised from the chromosome, the elimination of CTX prophage from host cells is
driven by the inability to reestablish CTXphi lysogeny while RstC is
overexpressed. However, with eventual loss of the additional copies of rstC, the
nontoxigenic derivatives can act as precursors of new toxigenic strains by
acquiring the CTX prophage either through reinfection with CTXphi or by chitin
induced transformation. These results provide new insights into the role of
RS1phi in V. cholerae evolution and the emergence of highly pathogenic clones,
such as the variant strains associated with recent devastating epidemics of
cholera in Asia, sub-Saharan Africa, and Haiti.
PMID- 24935980
TI - A conserved PapB family member, TosR, regulates expression of the uropathogenic
Escherichia coli RTX nonfimbrial adhesin TosA while conserved LuxR family members
TosE and TosF suppress motility.
AB - A heterogeneous subset of extraintestinal pathogenic Escherichia coli (ExPEC)
strains, referred to as uropathogenic E. coli (UPEC), causes most uncomplicated
urinary tract infections. However, no core set of virulence factors exists among
UPEC strains. Instead, the focus of the analysis of urovirulence has shifted to
studying broad classes of virulence factors and the interactions between them.
For example, the RTX nonfimbrial adhesin TosA mediates adherence to host cells
derived from the upper urinary tract. The associated tos operon is well expressed
in vivo but poorly expressed in vitro and encodes TosCBD, a predicted type 1
secretion system. TosR and TosEF are PapB and LuxR family transcription factors,
respectively; however, no role has been assigned to these potential regulators.
Thus, the focus of this study was to determine how TosR and TosEF regulate tosA
and affect the reciprocal expression of adhesins and flagella. Among a collection
of sequenced UPEC strains, 32% (101/317) were found to encode TosA, and nearly
all strains (91% [92/101]) simultaneously carried the putative regulatory genes.
Deletion of tosR alleviates tosA repression. The tos promoter was localized
upstream of tosR using transcriptional fusions of putative promoter regions with
lacZ. TosR binds to this region, affecting a gel shift. A 100-bp fragment 220 to
319 bp upstream of tosR inhibits binding, suggesting localization of the TosR
binding site. TosEF, on the other hand, downmodulate motility when overexpressed
by preventing the expression of fliC, encoding flagellin. Deletion of tosEF
increased motility. Thus, we present an additional example of the reciprocal
control of adherence and motility.
PMID- 24935982
TI - Alternative sigma factor RpoE is important for Vibrio parahaemolyticus cell
envelope stress response and intestinal colonization.
AB - Vibrio parahaemolyticus is a halophile that inhabits brackish waters and a wide
range of hosts, including crustaceans, fish, mollusks, and humans. In humans, it
is the leading cause of bacterial seafood-borne gastroenteritis. The focus of
this work was to determine the role of alternative sigma factors in the stress
response of V. parahaemolyticus RIMD2210633, an O3:K6 pandemic isolate.
Bioinformatics identified five putative extracytoplasmic function (ECF) family of
alternative sigma factors: VP0055, VP2210, VP2358, VP2578, and VPA1690. ECF
factors typically respond to cell wall/cell envelope stress, iron levels, and the
oxidation state of the cell. We have demonstrated here that one such sigma
factor, VP2578, a homologue of RpoE from Escherichia coli, is important for
survival under a number of cell envelope stress conditions and in
gastrointestinal colonization of a streptomycin-treated adult mouse. In this
study, we determined that an rpoE deletion mutant strain BHM2578 compared to the
wild type (WT) was significantly more sensitive to polymyxin B, ethanol, and high
temperature stresses. We demonstrated that in in vivo competition assays between
the rpoE mutant and the WT marked with the beta-galactosidase gene lacZ
(WBWlacZ), the mutant strain was defective in colonization compared to the WT. In
contrast, deletion of the rpoS stress response regulator did not affect in vivo
survival. In addition, we examined the role of the outer membrane protein, OmpU,
which in V. cholerae is proposed to be the sole activator of RpoE. We found that
an ompU deletion mutant was sensitive to bile salt stress but resistant to
polymyxin B stress, indicating OmpU is not essential for the cell envelope stress
responses or RpoE function. Overall, these data demonstrate that RpoE is a key
cell envelope stress response regulator and, similar to E. coli, RpoE may have
several factors that stimulate its function.
PMID- 24935983
TI - Somatic symptom count scores do not identify patients with symptoms unexplained
by disease: a prospective cohort study of neurology outpatients.
AB - OBJECTIVE: Somatic symptoms unexplained by disease are common in all medical
settings. The process of identifying such patients requires a clinical assessment
often supported by clinical tests. Such assessments are time-consuming and
expensive. Consequently the observation that such patients tend to report a
greater number of symptom has led to the use of self-rated somatic symptom counts
as a simpler and cheaper diagnostic aid and proxy measure for epidemiological
surveys. However, despite their increasing popularity there is little evidence to
support their validity. METHODS: We tested the score on a commonly used self
rated symptom questionnaire- the Patient Health Questionnaire (PHQ 15) (plus
enhanced iterations including an additional 10 items on specific neurological
symptoms and an additional 5 items on mental state) for diagnostic sensitivity
and specificity against a medical assessment (with 18 months follow-up) in a
prospective cohort study of 3781 newly attending patients at neurology clinics in
Scotland, UK. RESULTS: We found 1144/3781 new outpatients had symptoms that were
unexplained by disease. The patients with symptoms unexplained by disease
reported higher symptoms count scores (PHQ 15: 5.6 (95% CI 5.4 to 5.8) vs 4.2
(4.1 to 4.4) p<0.0001). However, the PHQ15 performed little better than chance in
its ability to identify patients with symptoms unexplained by disease. The
findings with the enhanced scales were similar. CONCLUSIONS: Self-rated symptom
count scores should not be used to identify patients with symptoms unexplained by
disease.
PMID- 24935984
TI - Serum neurofilament light chain is a biomarker of human spinal cord injury
severity and outcome.
AB - BACKGROUND: Neurofilaments (Nf) are major structural proteins that occur
exclusively in neurons. In spinal cord injury (SCI), the severity of disease is
quantified by clinical measures that have limited sensitivity and reliability,
and no blood-based biomarker has been established to further stratify the degree
of injury. We aimed to examine a serum-based NfL immunoassay as predictor of the
clinical outcome in SCI. METHODS: Longitudinal measurement of serum NfL was
performed in patients with central cord syndrome (CCS, n=4), motor-incomplete SCI
(iSCI, n=10), motor-complete SCI (cSCI, n=13) and healthy controls (HC, n=67),
and correlated with clinical severity, neurological outcome, and neuroprotective
effect of the drug minocycline. RESULTS: Baseline NfL levels were higher in iSCI
(21 pg/mL) and cSCI (70 pg/mL) than in HC (5 pg/mL, p=0.006 and p<0.001) and CCS
(6 pg/mL, p=0.025 and p=0.010). Levels increased over time (p<0.001) and remained
higher in cSCI versus iSCI (p=0.011) and than in CCS (p<0.001). NfL levels
correlated with American Spinal Injury Association (ASIA) motor score at baseline
(r=-0.53, p=0.004) and after 24 h (r=-0.69, p<0.001) and 3-12-month motor outcome
(baseline NfL: r=-0.43, p=0.026 and 24 h NfL: r=-0.72, p<0.001). Minocycline
treatment showed decreased NfL levels in the subgroup of cSCI patients.
CONCLUSIONS: Serum NfL concentrations in SCI patients show a close correlation
with acute severity and neurological outcome. Our data provide evidence that
serum NfL is of prognostic value in SCI patients for the first time. Further,
blood NfL levels may qualify as drug response markers in SCI.
PMID- 24935985
TI - Anatomic correlates of deep brain stimulation electrode impedance.
AB - BACKGROUND: The location of the optimal target for deep brain stimulation (DBS)
of the subthalamic nucleus (STN) remains controversial. Electrode impedance
affects tissue activation by DBS and has been found to vary by contact number,
but no studies have examined association between impedance and anatomic location.
OBJECTIVES: To evaluate the relationship between electrode impedance and anatomic
contact location, and to assess the clinical significance of impedance. METHODS:
We gathered retrospective impedance data from 101 electrodes in 73 patients with
Parkinson's disease. We determined contact location using microelectrode
recording (MER) and high-field 7T MRI, and assessed the relationship between
impedance and contact location. RESULTS: For contact location as assessed via
MER, impedance was significantly higher for contacts in STN, at baseline (111
Omega vs STN border, p=0.03; 169 Omega vs white matter, p<0.001) and over time
(90 Omega vs STN border, p<0.001; 54 Omega vs white matter, p<0.001). Over time,
impedance was lowest in contacts situated at STN border (p=0.03). Impedance did
not vary by contact location as assessed via imaging. Location determination was
75% consistent between MER and imaging. Impedance was inversely related to
absolute symptom reduction during stimulation (-2.5 motor portion of the Unified
Parkinson's Disease Rating Scale (mUPDRS) points per 1000 Omega, p=0.01).
CONCLUSIONS: In the vicinity of DBS electrodes chronically implanted in STN,
impedance is lower at the rostral STN border and in white matter, than in STN.
This finding suggests that current reaches white matter fibres more readily than
neuronal cell bodies in STN, which may help explain anatomic variation in
stimulation efficacy.
PMID- 24935986
TI - Gene co-expression modules underlying polymorphic and monomorphic zooids in the
colonial hydrozoan, Hydractinia symbiolongicarpus.
AB - Advances in sequencing technology have forced a quantitative revolution in
Evolutionary Biology. One important feature of this renaissance is that
comprehensive genomic resources can be obtained quickly for almost any taxon,
thus speeding the development of new model organisms. Here, we analyze 20 RNA-seq
libraries from morphologically, sexually, and genetically distinct polyp types
from the gonochoristic colonial hydrozoan, Hydractinia symbiolongicarpus
(Cnidaria). Analyses of these data using weighted gene co-expression networks
highlight deeply conserved genetic elements of animal spermatogenesis and
demonstrate the utility of these methods in identifying modules of genes that
correlate with different zooid types across various statistical contrasts. RNA
seq data and analytical scripts described here are deposited in publicly
available databases.
PMID- 24935987
TI - A lack of crowding? Body size does not decrease with density for two behavior
manipulating parasites.
AB - For trophically transmitted parasites that manipulate the phenotype of their
hosts, whether the parasites do or do not experience resource competition depends
on such factors as the size of the parasites relative to their hosts, the
intensity of infection, the extent to which parasites share the cost of defending
against the host's immune system or manipulating their host, and the extent to
which parasites share transmission goals. Despite theoretical expectations for
situations in which either no, or positive, or negative density-dependence should
be observed, most studies document only negative density-dependence for
trophically transmitted parasites. However, this trend may be an artifact of most
studies having focused on systems in which parasites are large relative to their
hosts. Yet, systems are common where parasites are small relative to their hosts,
and these trophically transmitted parasites may be less likely to experience
resource limitation. We looked for signs of density-dependence in Euhaplorchis
californiensis (EUHA) and Renicola buchanani (RENB), two manipulative trematode
parasites infecting wild-caught California killifish (Fundulus parvipinnis).
These parasites are small relative to killifish (suggesting resources are not
limiting), and are associated with changes in killifish behavior that are
dependent on parasite-intensity and that increase predation rates by the
parasites' shared final host (indicating the possibility for cost sharing). We
did not observe negative density-dependence in either species, indicating that
resources are not limiting. In fact, observed patterns indicate possible mild
positive density-dependence for EUHA. Although experimental confirmation is
required, our findings suggest that some behavior-manipulating parasites suffer
no reduction in size, and may even benefit when "crowded" by conspecifics.
PMID- 24935988
TI - The micro and macro of nutrients across biological scales.
AB - During the past decade, we have gained new insights into the profound effects
that essential micronutrients and macronutrients have on biological processes
ranging from cellular function, to whole-organism performance, to dynamics in
ecological communities, as well as to the structure and function of ecosystems.
For example, disparities between intake and organismal requirements for specific
nutrients are known to strongly affect animal physiological performance and
impose trade-offs in the allocations of resources. However, recent findings have
demonstrated that life-history allocation trade-offs and even microevolutionary
dynamics may often be a result of molecular-level constraints on nutrient and
metabolic processing, in which limiting reactants are routed among competing
biochemical pathways. In addition, recent work has shown that complex ecological
interactions between organismal physiological states such as exposure to
environmental stressors and infectious pathogens can alter organismal
requirements for, and, processing of, nutrients, and even alter subsequent
nutrient cycling in ecosystems. Furthermore, new research is showing that such
interactions, coupled with evolutionary and biogeographical constraints on the
biosynthesis and availability of essential nutrients and micronutrients play an
important, but still under-studied role in the structuring and functioning of
ecosystems. The purpose of this introduction to the symposium "The Micro and
Macro of Nutrient Effects in Animal Physiology and Ecology" is to briefly review
and highlight recent research that has dramatically advanced our understanding of
how nutrients in their varied forms profoundly affect and shape ecological and
evolutionary processes.
PMID- 24935989
TI - A conceptual framework for organismal biology: linking theories, models, and
data.
AB - Implicit or subconscious theory is especially common in the biological sciences.
Yet, theory plays a variety of roles in scientific inquiry. First and foremost,
it determines what does and does not count as a valid or interesting question or
line of inquiry. Second, theory determines the background assumptions within
which inquiries are pursued. Third, theory provides linkages among disciplines.
For these reasons, it is important and useful to develop explicit theories for
biology. A general theory of organisms is developed, which includes 10
fundamental principles that apply to all organisms, and 6 that apply to
multicellular organisms only. The value of a general theory comes from its
utility to help guide the development of more specific theories and models. That
process is demonstrated by examining two domains: ecoimmunology and development.
For the former, a constitutive theory of ecoimmunology is presented, and used to
develop a specific model that explains energetic trade-offs that may result from
an immunological response of a host to a pathogen. For the latter, some of the
issues involved in trying to devise a constitutive theory that covers all of
development are explored, and a more narrow theory of phenotypic novelty is
presented. By its very nature, little of a theory of organisms will be new.
Rather, the theory presented here is a formal expression of nearly two centuries
of conceptual advances and practice in research. Any theory is dynamic and
subject to debate and change. Such debate will occur as part of the present,
initial formulation, as the ideas presented here are refined. The very process of
debating the form of the theory acts to clarify thinking. The overarching goal is
to stimulate debate about the role of theory in the study of organisms, and
thereby advance our understanding of them.
PMID- 24935990
TI - Early biochemical relapse after radical prostatectomy: which prostate cancer
patients may benefit from a restaging 11C-Choline PET/CT scan before salvage
radiation therapy?
AB - The aim of the study was to assess which factors may influence (11)C-choline
PET/CT detection rate in a population of recurrent prostate cancer (PCa) patients
listed for salvage radiation therapy (S-RT) in an early phase of biochemical
relapse, to select which patients could obtain the most benefit by performing
restaging (11)C-choline PET/CT before S-RT. METHODS: The study comprised 605
patients, treated with radical prostatectomy (RP) with curative intent for PCa
who showed rising PSA levels after primary therapy and listed for S-RT. Prostate
specific antigen (PSA) values were >0.2 ng/mL and <2 ng/mL (mean, 1.05 ng/mL;
median, 1.07 ng/mL; range, 0.2-2 ng/m; SD, +/-0.59). All patients were classified
as N0 after RP. Seventeen of 605 patients received adjuvant RT together with RP,
whereas 148 of 605 patients received androgen-deprivation therapy (ADT) at the
time of PET/CT. PSA, PSA kinetics, Gleason score, age, time to biochemical
relapse, ADT, and initial tumor stage were statistically analyzed to assess which
factor could influence PET/CT positivity and the detection of local versus
distant relapse. RESULTS: (11)C-choline PET/CT was positive in 28.4% of patients
(172/605). Eighty-three of 605 patients were positive in the pelvis (group A),
distant metastasis (group B) were detected in 72 of 605 patients, and local and
distant sites of relapse were detected in 17 of 605 patients (group C). At
multivariate analysis, PSA, PSA doubling time (PSAdt), and ongoing ADT were
significant predictors for positive scan results, whereas PSA and PSAdt were
significantly related to distant relapse detection (P < 0.05). At the receiver
operating-characteristic analysis, a PSA value of 1.05 ng/mL and PSAdt of 5.95 mo
were determined to be the optimal cutoff values in the prediction of a positive
(11)C-choline PET/CT scan, with an area under the curve (AUC) of 0.625 for PSA
and 0.677 for PSAdt. CONCLUSION: (11)C-choline PET/CT may be suggested before S
RT during the early phase of biochemical relapse, to select patients who may
benefit from this aggressive treatment. Particularly, patients showing fast PSA
kinetics or PSA increasing levels despite ongoing ADT should be studied with
(11)C-choline PET/CT before S-RT, considering the higher probability to detect
positive findings outside the pelvis.
PMID- 24935991
TI - A compartmental model of mouse thrombopoiesis and erythropoiesis to predict bone
marrow toxicity after internal irradiation.
AB - In targeted radionuclide radiotherapy, the relationship between bone marrow (BM)
toxicity and absorbed dose seems to be elusive. A compartmental model of mouse
thrombopoiesis and erythropoiesis was set up to predict the depletion of
hematopoietic cells as a function of the irradiation dose delivered to BM by
injected radiopharmaceuticals. All simulated kinetics were compared with
experimental toxicity for several stages of differentiation of the 2
hematopoietic lineages. METHODS: C57BL/6 mice were injected either with (18)FNa
(37 and 60 MBq), a bone-seeking agent, or with saline. BM mean absorbed doses
were calculated according to the MIRD formalism from small-animal PET/CT images.
Hematologic toxicity was monitored over time, after (18)FNa injection, by
studying BM progenitors and precursors in addition to blood cells. The
compartmental model takes into account the pharmacokinetics of the compound, in
addition to cellular kinetics and cell radiosensitivities for the 2 studied
lineages. RESULTS: Because biodistribution studies showed an uptake of (18)FNa in
bones, the skeleton was considered as the principal source organ of BM
irradiation. The time-activity curve obtained from validated quantification of
PET/CT images allowed for the calculation of mean absorbed doses to the whole BM
of 2.1 and 3.4 Gy for (18)FNa injections of 37 and 60 MBq, respectively.
Concerning hematologic toxicity, the model was in good agreement for the 2
absorbed doses with experimental measurements of cell depletion for platelets,
progenitors, and precursors within the BM in terms of time to nadir, depletion
intensity, and time to recovery. The same agreement was obtained for red blood
cells and their precursors. Model predictions demonstrated that BM toxicity was
in correlation with the mean absorbed dose as higher depletions at nadir and
longer delays to recovery were noticed for 3.4 Gy than for 2.1 Gy. CONCLUSION:
The developed compartmental model of thrombopoiesis and erythropoiesis in a BM
toxicity context, after internal irradiation, allowed for the prediction of cell
kinetics of BM progenitors, precursors, and mature blood cells in a dose
dependent manner. This model could therefore be used to predict hematologic
toxicity in preclinical internal radiotherapy to study the dose-response
relationship.
PMID- 24935992
TI - Evaluation of 18F-UCB-H as a novel PET tracer for synaptic vesicle protein 2A in
the brain.
AB - Synaptic vesicle protein 2 isoforms are critical for proper nervous system
function and are involved in vesicle trafficking. The synaptic vesicle protein 2A
(SV2A) isoform has been identified as the binding site of the antiepileptic
levetiracetam (LEV), making it an interesting therapeutic target for epilepsy.
(18)F-UCB-H is a novel PET imaging agent with a nanomolar affinity for human
SV2A. METHODS: Preclinical PET studies were performed with isoflurane
anesthetized rats. The arterial input function was measured with an arteriovenous
shunt and a beta-microprobe system. (18)F-UCB-H was injected intravenously (bolus
of 140 +/- 20 MBq). RESULTS: Brain uptake of (18)F-UCB-H was high, matching the
expected homogeneous distribution of SV2A. The distribution volume (Vt) for (18)F
UCB-H was calculated with Logan graphic analysis, and the effect of LEV
pretreatment on Vt was measured. In control animals the whole-brain Vt was 9.76
+/- 0.52 mL/cm(3) (mean +/- SD; n = 4; test-retest), and the reproducibility in
test-retest studies was 10.4% +/- 6.5% (mean +/- SD). The uptake of (18)F-UCB-H
was dose dependently blocked by pretreatment with LEV (0.1-100 mg/kg
intravenously). CONCLUSION: Our results indicated that (18)F-UCB-H is a suitable
radiotracer for the imaging of SV2A in vivo. To our knowledge, this is the first
PET tracer for the in vivo quantification of SV2A. The necessary steps for the
implementation of (18)F-UCB-H production under good manufacturing practice
conditions and the first human studies are being planned.
PMID- 24935994
TI - Effectiveness of an asthma quality improvement program designed for maintenance
of certification.
AB - OBJECTIVE: Pediatricians are required to perform quality improvement for board
recertification. We developed an asthma project within the Pediatric Physicians'
Organization at Children's, an independent practice association affiliated with
Boston Children's Hospital, designed to meet recertification requirements and
improve asthma care. METHODS: The program was based on the learning collaborative
model. We developed practice-based registries of children 5 to 17 years of age
with persistent asthma and helped physicians improve processes of asthma care
through education, data feedback, and sharing of best practices. RESULTS: Fifty
six physicians participated in 3 cohorts; 594 patients were included in the
project. In all cohorts, improvements occurred in the use of asthma action plans
(62.4%-76.8% cohort 1, 50.6%-88.4% cohort 2, 53.0%-79.6% cohort 3) and Asthma
Control Tests (4.6%-55.2% cohort 1, 9.0%-67.8% cohort 2, 15.2%-61.4% cohort 3).
Less consistent improvements were observed in seasonal influenza vaccines,
controller medications, and asthma follow-up visits. The proportion of patients
experiencing >=1 asthma exacerbation within the year declined in all 3 cohorts
(37.8%-19.9%, P = .0002 cohort 1; 27.8%-20.7%, P = .1 cohort 2; 36.6%-26.9%, P =
.1 cohort 3). For each cohort, asthma exacerbations declined to a greater extent
than those of a comparison group. CONCLUSIONS: This asthma quality improvement
project designed for maintenance of certification improved processes of care
among patients with persistent asthma. The learning collaborative approach may be
a useful model for other board-recertification quality improvement projects but
requires a substantial investment of organizational time and staff.
PMID- 24935993
TI - Effectiveness of anti-TNFalpha for Crohn disease: research in a pediatric
learning health system.
AB - OBJECTIVES: ImproveCareNow (ICN) is the largest pediatric learning health system
in the nation and started as a quality improvement collaborative. To test the
feasibility and validity of using ICN data for clinical research, we evaluated
the effectiveness of anti-tumor necrosis factor-alpha (anti-TNFalpha) agents in
the management of pediatric Crohn disease (CD). METHODS: Data were collected in
35 pediatric gastroenterology practices (April 2007 to March 2012) and analyzed
as a sequence of nonrandomized trials. Patients who had moderate to severe CD
were classified as initiators or non-initiators of anti-TNFalpha therapy. Among
4130 patients who had pediatric CD, 603 were new users and 1211 were receiving
anti-TNFalpha therapy on entry into ICN. RESULTS: During a 26-week follow-up
period, rate ratios obtained from Cox proportional hazards models, adjusting for
patient and disease characteristics and concurrent medications, were 1.53 (95%
confidence interval [CI], 1.20-1.96) for clinical remission and 1.74 (95% CI,
1.33-2.29) for corticosteroid-free remission. The rate ratio for corticosteroid
free remission was comparable to the estimate produced by the adult SONIC study,
which was a randomized controlled trial on the efficacy of anti-TNFalpha therapy.
The number needed to treat was 5.2 (95% CI, 3.4-11.1) for clinical remission and
5.0 (95% CI, 3.4-10.0) for corticosteroid-free remission. CONCLUSIONS: In routine
pediatric gastroenterology practice settings, anti-TNFalpha therapy was effective
at achieving clinical and corticosteroid-free remission for patients who had
Crohn disease. Using data from the ICN learning health system for the purpose of
observational research is feasible and produces valuable new knowledge.
PMID- 24935995
TI - Adverse childhood experiences of low-income urban youth.
AB - BACKGROUND AND OBJECTIVE: Current assessments of adverse childhood experiences
(ACEs) may not adequately encompass the breadth of adversity to which low-income
urban children are exposed. The purpose of this study was to identify and
characterize the range of adverse childhood experiences faced by young adults who
grew up in a low-income urban area. METHODS: Focus groups were conducted with
young adults who grew up in low-income Philadelphia neighborhoods. Using the
nominal group technique, participants generated a list of adverse childhood
experiences and then identified the 5 most stressful experiences on the group
list. The most stressful experiences identified by participants were grouped into
a ranked list of domains and subdomains. RESULTS: Participants identified a range
of experiences, grouped into 10 domains: family relationships, community
stressors, personal victimization, economic hardship, peer relationships,
discrimination, school, health, child welfare/juvenile justice, and
media/technology. Included in these domains were many but not all of the
experiences from the initial ACEs studies; parental divorce/separation and mental
illness were absent. Additional experiences not included in the initial ACEs but
endorsed by our participants included single-parent homes; exposure to violence,
adult themes, and criminal behavior; personal victimization; bullying; economic
hardship; and discrimination. CONCLUSIONS: Gathering youth perspectives on
childhood adversity broadens our understanding of the experience of stress and
trauma in childhood. Future work is needed to determine the significance of this
broader set of adverse experiences in predisposing children to poor health
outcomes as adults.
PMID- 24935996
TI - Development of guidelines for skeletal survey in young children with fractures.
AB - OBJECTIVE: To develop guidelines for performing initial skeletal survey (SS) in
children <24 months old with fractures, based on available evidence and
collective judgment of experts from diverse pediatric specialties. METHODS:
Following the Rand/UCLA Method, a multispecialty panel of 13 experts applied
evidence from a literature review combined with their own expertise in rating the
appropriateness of performing an SS for 525 clinical scenarios involving
fractures in children <24 months old. After discussion on the initial ratings,
panelists re-rated SS appropriateness for 240 revised scenarios and deemed that
SSs were appropriate in 191 scenarios. The panelists then assessed in which of
those 191 scenarios SSs were not only appropriate, but also necessary. RESULTS:
Panelists agreed that SS is "appropriate" for 191 (80%) of 240 scenarios rated
and "necessary" for 175 (92%) of the appropriate scenarios. Skeletal survey is
necessary if a fracture is attributed to abuse, domestic violence, or being hit
by a toy. With few exceptions, SS is necessary in children without a history of
trauma. In children <12 months old, SS is necessary regardless of the fracture
type or reported history, with rare exceptions. In children 12 to 23 months old,
the necessity of obtaining SS is dependent on fracture type. CONCLUSIONS: A
multispecialty panel reached agreement on multiple clinical scenarios for which
initial SS is indicated in young children with fractures, allowing for synthesis
of clinical guidelines with the potential to decrease disparities in care and
increase detection of abuse.
PMID- 24935997
TI - Recurrence rates after intussusception enema reduction: a meta-analysis.
AB - BACKGROUND AND OBJECTIVE: Reported rates of recurrence after enema reduction for
intussusception are variable. Concerns for recurrence influence postreduction
management. The objective of this study was to conduct a systematic review and
meta-analysis to estimate overall, 24-hour, and 48-hour recurrence rates after
enema reduction in children. METHODS: PubMed, Cochrane Database, and OVID Medline
were searched from 1946 through December 2011 using the search terms:
intussusception, recurrence, and enema. Sixty-nine studies of patients age 0 to
18 years with radiographically proven intussusception reduced by enema that
report the number of enema reductions and the number of recurrences were
included. Extraction was done by the primary author (M.P.G.) with 10% of included
studies independently audited to ensure concordance. RESULTS: Overall recurrence
rates were 12.7% (95% confidence interval [CI]: 11.1%-14.4%, I2 = 28.8%) for
contrast enema (CE), 7.5% (95% CI: 5.7%-9.8%, I2 = 52.4%) for ultrasound-guided
noncontrast enema (UGNCE), and 8.5% (95% CI: 6.9%-10.4%, I2 = 50.1%) for
fluoroscopy-guided air enema (FGAE). Recurrence rates within 24 hours were 3.9%
(95% CI: 2.2%-6.7%, I2 = 47.0%) for CE, 3.9% (95% CI: 1.5%-10.1%, I2 = 0.0%) for
UGNCE, and 2.2% (95% CI: 0.7%-6.5%, I2 = 59.8%) for FGAE. Recurrence rates within
48 hours were 5.4% (95% CI 3.7%-7.8%, I2 = 32.3%) for CE, 6.6% (95% CI: 4.0%
10.7%, I2 = 0.0%) for UGNCE, and 2.7% (95% CI: 1.2%-6.5%, I2 = 73.8%) for FGAE.
Most included studies are retrospective and vary in quality of reporting. Few
studies reported detailed patient characteristics including timing of
recurrences. CONCLUSIONS: The risk of early (within 48 hours) recurrence after
enema reduction is low, suggesting outpatient management of well-appearing
patients should be considered.
PMID- 24935998
TI - Demonstrating the learning health system through practical use cases.
PMID- 24935999
TI - Cardiovascular responses to caffeine by gender and pubertal stage.
AB - BACKGROUND: Caffeine use is on the rise among children and adolescents. Previous
studies from our laboratory reported gender differences in the effects of
caffeine in adolescents. The purpose of this study was to test the hypotheses
that gender differences in cardiovascular responses to caffeine emerge after
puberty and that cardiovascular responses to caffeine differ across the phases of
the menstrual cycle. METHODS: To test these hypotheses, we examined heart rate
and blood pressure before and after administration of placebo and 2 doses of
caffeine (1 and 2 mg/kg) in prepubertal (8- to 9-year-olds; n = 52) and
postpubertal (15- to 17-year-olds; n = 49) boys (n = 54) and girls (n = 47) by
using a double-blind, placebo-controlled, dose-response design. RESULTS: There
was an interaction between gender and caffeine dose, with boys having a greater
response to caffeine than girls. In addition, we found interactions between
pubertal phase, gender, and caffeine dose, with gender differences present in
postpubertal, but not in prepubertal, participants. Finally, we found differences
in responses to caffeine across the menstrual cycle in post-pubertal girls, with
decreases in heart rate greater in the midluteal phase and blood pressure
increases greater in the midfollicular phase of the menstrual cycle. CONCLUSIONS:
These data suggest that gender differences in response to caffeine emerge after
puberty. Future research will determine the extent to which these gender
differences are mediated by physiological factors, such as steroid hormones, or
psychosocial factors, such as more autonomy and control over beverage purchases.
PMID- 24936000
TI - The medical cost of abusive head trauma in the United States.
AB - OBJECTIVES: Health consequences of shaken baby syndrome, or pediatric abusive
head trauma (AHT), can be severe and long-lasting. We aimed to estimate the
multiyear medical cost attributable to AHT. METHODS: Using Truven Health
MarketScan data, 2003-2011, we identified children 0 to 4 years old with
commercial or Medicaid insurance and AHT diagnoses. We used exact case-control
matching based on demographic and insurance characteristics such as age and
health plan type to compare medical care between patients with and without AHT
diagnoses. Using regression models, we assessed service use (ie, average annual
number of inpatient visits per patient) and inpatient, outpatient (including
emergency department), drug, and total medical costs attributable to an AHT
diagnosis during the 4-year period after AHT diagnosis. RESULTS: We assessed 1209
patients with AHT and 5895 matched controls. Approximately 48% of patients with
AHT received inpatient care within 2 days of initial diagnosis, and 25% were
treated in emergency departments. AHT diagnosis was associated with significantly
greater medical service use and higher inpatient, outpatient, drug, and total
costs for multiple years after the diagnosis. The estimated total medical cost
attributable to AHT in the 4 years after diagnosis was $47,952 (95% confidence
interval [CI], $40,219-$55,685) per patient with AHT (2012 US dollars) and
differed for commercially insured ($38,231 [95% CI, $29,898-$46,564]) and
Medicaid ($56,691 [95% CI, $4290-$69,092]) patients. CONCLUSIONS: Children
continue to have substantial excess medical costs for years after AHT. These
estimates exclude related nonmedical costs such as special education and
disability that also are attributable to AHT.
PMID- 24936001
TI - Linking patient-centered medical home and asthma measures reduces hospital
readmission rates.
AB - OBJECTIVE: We sought to achieve 100% compliance with all 3 Children's Asthma Care
(CAC; CAC-1, CAC-2, CAC-3) measures and track attendance at follow-up
appointments with the patient-centered medical home. The impact of these measures
on readmission and emergency department utilization rates was evaluated. METHODS:
This quality improvement study evaluated compliance with CAC measures in
pediatric patients aged 2 to 18 years old hospitalized with a primary diagnosis
of asthma from January 1, 2008, through June 30, 2012. A multidisciplinary Asthma
Task Force was assembled to develop interventions. Attendance at the follow-up
appointment was tracked monthly from January 1, 2009. Readmission and emergency
department utilization rates were compared between the preimplementation period
(January 1, 2006, through December 31, 2007) and the postimplementation period
(January 1, 2008, through June 30, 2012). RESULTS: The preimplementation period
included 231 subjects and the postimplementation period included 532 subjects.
Compliance with CAC-3 was 95% from October 1, 2009, through June 30, 2012.
Compliance with the postdischarge follow-up appointment was 69% from January 1,
2009 through September 30, 2009, increasing significantly to 90% from October 1,
2009, through June 30, 2012 (P < .001). Postimplementation readmission rates
significantly decreased in the 91- to 180-day postdischarge interval (odds ratio:
0.29; 95% confidence interval: 0.11-0.78). CONCLUSIONS: In children hospitalized
with asthma, compliance with the asthma core measures and the postdischarge
follow-up appointment with the primary care provider was associated with reduced
readmission rates at 91 to 180 days after discharge. We attribute our results to
a comprehensive set of interventions designed by our multidisciplinary Asthma
Task Force.
PMID- 24936003
TI - Treatment of tetralogy of Fallot hypoxic spell with intranasal fentanyl.
AB - We present the case of a 3-month-old girl who had unrepaired Tetralogy of Fallot
who presented to the emergency department with an acute hypoxic episode. The
patient was hyperpneic and cyanotic, with an initial oxygen saturation of 56%.
She did not respond to knee-to-chest positioning. A single dose of intranasal
fentanyl was administered with subsequent resolution of her symptoms and
improvement of her oxygen saturation to 78% within 10 minutes. To our knowledge,
this is the first report of the successful treatment of a hypoxic episode of
Tetralogy of Fallot using intranasal fentanyl.
PMID- 24936002
TI - The association of telomere length with family violence and disruption.
AB - BACKGROUND: To enhance the understanding of biological mechanisms connecting
early adversity and negative health, we examine the association between family
interpersonal violence and disruption and telomere length in youth. These
specific exposures were selected because of their established links with negative
health consequences across the life-course. METHODS: Children, age 5 to 15, were
recruited from the greater New Orleans area, and exposure to family disruption
and violence was assessed through caregiver report. Telomere length, from buccal
cell DNA (buccal telomere length [bTL]), was determined by using monochrome
multiplex quantitative real-time polymerase chain reaction. The association
between bTL and adversity exposure was tested (n = 80). RESULTS: Cumulative
exposure to interpersonal violence and family disruption was correlated with bTL.
Controlling for other sociodemographic factors, bTL was significantly shorter in
children with higher exposure to family violence and disruption. Witnessing
family violence exerted a particularly potent impact. A significant gender
interaction was found (beta = -0.0086, SE = 0.0031, z test= -2.79, P = .0053) and
analysis revealed the effect only in girls. CONCLUSIONS: bTL is a molecular
biomarker of adversity and allostatic load that is detectable in childhood. The
present results extend previous studies by demonstrating that telomeres are
sensitive to adversity within the overarching family domain. These findings
suggest that the family ecology may be an important target for interventions to
reduce the biological impact of adversity in the lives of children.
PMID- 24936004
TI - Well-child care clinical practice redesign for serving low-income children.
AB - Our objective was to conduct a rigorous, structured process to create a new model
of well-child care (WCC) in collaboration with a multisite community health
center and 2 small, independent practices serving predominantly Medicaid-insured
children. Working groups of clinicians, staff, and parents (called "Community
Advisory Boards" [CABs]) used (1) perspectives of WCC stakeholders and (2) a
literature review of WCC practice redesign to create 4 comprehensive WCC models
for children ages 0 to 3 years. An expert panel, following a modified version of
the Rand/UCLA Appropriateness Method, rated each model for potential
effectiveness on 4 domains: (1) receipt of recommended services, (2) family
centeredness, (3) timely and appropriate follow-up, and (4) feasibility and
efficiency. Results were provided to the CABs for selection of a final model to
implement. The newly developed models rely heavily on a health educator for
anticipatory guidance and developmental, behavioral, and psychosocial
surveillance and screening. Each model allots a small amount of time with the
pediatrician to perform a brief physical examination and to address parents'
physical health concerns. A secure Web-based tool customizes the visit to
parents' needs and facilitates previsit screening. Scheduled, non-face-to-face
methods (text, phone) for parent communication with the health care team are also
critical to these new models of care. A structured process that engages small
community practices and community health centers in clinical practice redesign
can produce comprehensive, site-specific, and innovative models for delivery of
WCC. This process, as well as the models developed, may be applicable to other
small practices and clinics interested in practice redesign.
PMID- 24936006
TI - Canonical exact coherent structures embedded in high Reynolds number flows.
AB - The applications and implications of two recently addressed asymptotic
descriptions of exact coherent structures in shear flows are discussed. The first
type of asymptotic framework to be discussed was introduced in a series of papers
by Hall & Smith in the 1990s and was referred to as vortex-wave interaction
theory (VWI). New results are given here for the canonical VWI problem in an
infinite region; the results confirm and extend the results for the infinite
problem inferred the recent VWI computation of plane Couette flow. The results
given define for the first time exact coherent structures in unbounded flows. The
second type of canonical structure described here is that recently found for
asymptomatic suction boundary layer and corresponds to freestream coherent
structures (FCS), in boundary layer flows. Here, it is shown that the FCS can
also occur in flows such as Burgers vortex sheet. It is concluded that both
canonical problems can be locally embedded in general shear flows and thus have
widespread applicability.
PMID- 24936007
TI - Skin friction on a flapping plate in uniform flow.
AB - To calculate the energy costs of swimming or flying, it is crucial to evaluate
the drag force originating from skin friction. This topic seems not to have
received a definite answer, given the difficulty in measuring accurately the
friction drag along objects in movement. The incoming flow along a flat plate in
a flapping normal motion has been considered, as limit case of a yawed cylinder
in uniform flow, and applying the laminar boundary layer assumption it is
demonstrated that the longitudinal drag scales as the square root of the normal
velocity component. This lends credit to the assumption that a swimming-like
motion may induce a drag increase because of the compression of the boundary
layer, which is known as the 'Bone-Lighthill boundary-layer thinning hypothesis'.
The boundary-layer model however cannot predict the genuine three-dimensional
flow dynamics and in particular the friction at the leeward side of the plate. A
multi-domain, parallel, compact finite-differences Navier-Stokes solution
procedure is considered, capable of solving the full problem. The time-dependent
flow dynamics is analysed and the general trends predicted by the simplified
model are confirmed, with however differences in the magnitude of the friction
coefficient. A tentative skin friction formula is proposed for flow states along
a plate moving at steady as well as periodic normal velocities.
PMID- 24936005
TI - Firearm homicide and other causes of death in delinquents: a 16-year prospective
study.
AB - BACKGROUND: Delinquent youth are at risk for early violent death after release
from detention. However, few studies have examined risk factors for mortality.
Previous investigations studied only serious offenders (a fraction of the
juvenile justice population) and provided little data on females. METHODS: The
Northwestern Juvenile Project is a prospective longitudinal study of health needs
and outcomes of a stratified random sample of 1829 youth (657 females, 1172
males; 524 Hispanic, 1005 African American, 296 non-Hispanic white, 4 other
race/ethnicity) detained between 1995 and 1998. Data on risk factors were drawn
from interviews; death records were obtained up to 16 years after detention. We
compared all-cause mortality rates and causes of death with those of the general
population. Survival analyses were used to examine risk factors for mortality
after youth leave detention. RESULTS: Delinquent youth have higher mortality
rates than the general population to age 29 years (P < .05), irrespective of
gender or race/ethnicity. Females died at nearly 5 times the general population
rate (P < .05); Hispanic males and females died at 5 and 9 times the general
population rates, respectively (P < .05). Compared with the general population,
significantly more delinquent youth died of homicide and its subcategory,
homicide by firearm (P < .05). Among delinquent youth, racial/ethnic minorities
were at increased risk of homicide compared with non-Hispanic whites (P < .05).
Significant risk factors for external-cause mortality and homicide included drug
dealing (up to 9 years later), alcohol use disorder, and gang membership (up to a
decade later). CONCLUSIONS: Delinquent youth are an identifiable target
population to reduce disparities in early violent death.
PMID- 24936008
TI - Effect of free-stream turbulence on boundary layer transition.
AB - This paper is concerned with the transition to turbulence in flat plate boundary
layers due to moderately high levels of free-stream turbulence. The turbulence is
assumed to be generated by an (idealized) grid and matched asymptotic expansions
are used to analyse the resulting flow over a finite thickness flat plate located
in the downstream region. The characteristic Reynolds number Rlambda based on the
mesh size lambda and free-stream velocity is assumed to be large, and the
turbulence intensity epsilon is assumed to be small. The asymptotic flow
structure is discussed for the generic case where the turbulence Reynolds number
epsilonRlambda and the plate thickness and are held fixed (at O(1) and O(lambda),
respectively) in the limit as [Formula: see text] and epsilon->0. But various
limiting cases are considered in order to explain the relevant transition
mechanisms. It is argued that there are two types of streak-like structures that
can play a role in the transition process: (i) those that appear in the
downstream region and are generated by streamwise vorticity in upstream flow and
(ii) those that are concentrated near the leading edge and are generated by plate
normal vorticity in upstream flow. The former are relatively unaffected by
leading edge geometry and are usually referred to as Klebanoff modes while the
latter are strongly affected by leading edge geometry and are more streamwise
vortex-like in appearance.
PMID- 24936009
TI - Collisions, rebounds and skimming.
AB - Repeated oblique impacts and rebounds of a solid body or bodies on horizontal
shallow water are investigated through mathematical modelling. The inclinations
from the horizontal are supposed small as the skimming evolves, for a thin
typical body shape. The new formulation aimed at improved prediction as well as
the background involved is presented together with nonlinear analysis and
computation. Comparatively fast or slow collisions and rebounds are found to be
of special interest over short time-scales.
PMID- 24936010
TI - Triple-deck analysis of transonic high Reynolds number flow through slender
channels.
AB - In this work, laminar transonic weakly three-dimensional flows at high Reynolds
numbers in slender channels, as found in microsupersonic nozzles and
turbomachines of micro-electro-mechanical systems, are considered. The channel
height is taken so small that the viscous wall layers forming at the channel
walls start to interact strongly rather than weakly with the inviscid core flow
and, therefore, the classical boundary layer approach fails. The resulting
viscous-inviscid interaction problem is formulated using matched asymptotic
expansions and found to be governed by a triple-deck structure. As a consequence,
the properties of the predominantly inviscid core region and the viscous wall
layers have to be calculated simultaneously in the interaction region. Weakly
three-dimensional effects caused by surface roughness, upstream propagating flow
perturbations, boundary layer separation as well as bifurcating solutions are
discussed. Representative results for subsonic as well as supersonic conditions
are presented, and the importance of these flow phenomena in technical
applications as, for example, a means to reduce shock losses through the use of
deformed geometry is addressed.
PMID- 24936011
TI - Unsteady separation in vortex-induced boundary layers.
AB - This paper provides a brief review of the analytical and numerical developments
related to unsteady boundary-layer separation, in particular as it relates to
vortex-induced flows, leading up to our present understanding of this important
feature in high-Reynolds-number, surface-bounded flows in the presence of an
adverse pressure gradient. In large part, vortex-induced separation has been the
catalyst for pulling together the theory, numerics and applications of unsteady
separation. Particular attention is given to the role that Prof. Frank T. Smith,
FRS, has played in these developments over the course of the past 35 years. The
following points will be emphasized: (i) unsteady separation plays a pivotal role
in a wide variety of high-Reynolds-number flows, (ii) asymptotic methods have
been instrumental in elucidating the physics of both steady and unsteady
separation, (iii) Frank T. Smith has served as a catalyst in the application of
asymptotic methods to high-Reynolds-number flows, and (iv) there is still much
work to do in articulating a complete theoretical understanding of unsteady
boundary-layer separation.
PMID- 24936012
TI - On the role of acoustic feedback in boundary-layer instability.
AB - In this paper, the classical triple-deck formalism is employed to investigate two
instability problems in which an acoustic feedback loop plays an essential role.
The first concerns a subsonic boundary layer over a flat plate on which two well
separated roughness elements are present. A spatially amplifying Tollmien
Schlichting (T-S) wave between the roughness elements is scattered by the
downstream roughness to emit a sound wave that propagates upstream and impinges
on the upstream roughness to regenerate the T-S wave, thereby forming a closed
feedback loop in the streamwise direction. Numerical calculations suggest that,
at high Reynolds numbers and for moderate roughness heights, the long-range
acoustic coupling may lead to absolute instability, which is characterized by
self-sustained oscillations at discrete frequencies. The dominant peak frequency
may jump from one value to another as the Reynolds number, or the distance
between the roughness elements, is varied gradually. The second problem concerns
the supersonic 'twin boundary layers' that develop along two well-separated
parallel flat plates. The two boundary layers are in mutual interaction through
the impinging and reflected acoustic waves. It is found that the interaction
leads to a new instability that is absent in the unconfined boundary layer.
PMID- 24936013
TI - On recent developments in marginal separation theory.
AB - Thin aerofoils are prone to localized flow separation at their leading edge if
subjected to moderate angles of attack alpha. Although 'laminar separation
bubbles' at first do not significantly alter the aerofoil performance, they tend
to 'burst' if alpha is increased further or if perturbations acting upon the flow
reach a certain intensity. This then either leads to global flow separation
(stall) or triggers the laminar-turbulent transition process within the boundary
layer flow. This paper addresses the asymptotic analysis of the early stages of
the latter phenomenon in the limit as the characteristic Reynolds number
[Formula: see text], commonly referred to as marginal separation theory. A new
approach based on the adjoint operator method is presented that enables the
fundamental similarity laws of marginal separation theory to be derived and the
analysis to be extended to higher order. Special emphasis is placed on the
breakdown of the flow description, i.e. the formation of finite-time
singularities (a manifestation of the bursting process), and on its resolution
being based on asymptotic arguments. The passage to the subsequent triple-deck
stage is described in detail, which is a prerequisite for carrying out a future
numerical treatment of this stage in a proper way. Moreover, a composite
asymptotic model is developed in order for the inherent ill-posedness of the
Cauchy problems associated with the current flow description to be resolved.
PMID- 24936014
TI - Polynomial sum of squares in fluid dynamics: a review with a look ahead.
AB - The first part of this paper reviews the application of the sum-of-squares-of
polynomials technique to the problem of global stability of fluid flows. It
describes the known approaches and the latest results, in particular, obtaining
for a version of the rotating Couette flow a better stability range than the
range given by the classic energy stability method. The second part of this paper
describes new results and ideas, including a new method of obtaining bounds for
time-averaged flow parameters illustrated with a model problem and a method of
obtaining approximate bounds that are insensitive to unstable steady states and
periodic orbits. It is proposed to use the bound on the energy dissipation rate
as the cost functional in the design of flow control aimed at reducing turbulent
drag.
PMID- 24936015
TI - Stability, separation and close body interactions. Introduction.
PMID- 24936016
TI - Instability of supersonic compression ramp flow.
AB - The instability of supersonic compression ramp flow is investigated. It is
assumed that the Reynolds number is large and that the governing equations are
the unsteady triple-deck equations. The mean flow is first calculated by solving
the steady equations for various scaled ramp angles alpha, and the numerical
results suggest that there is no singularity for increasing ramp angles. The
stability of the flow is investigated using two approaches, first by solving the
linearized unsteady equations and looking for global modes proportional to
e(lambdat). In the second approach, the linearized unsteady equations are solved
numerically with various initial conditions. Whereas no globally unsteady modes
could be found for the range of ramp angles studied, the numerical simulations
show the formation of wavepacket type disturbances which grow and convect and
reach large amplitudes. However, the numerical results show large variations with
grid size even on very fine grids.
PMID- 24936017
TI - Some observations regarding steady laminar flows past bluff bodies.
AB - Steady laminar flows past simple objects, such as a cylinder or a sphere, have
been studied for well over a century. Theoretical, experimental and numerical
methods have all contributed fundamentally towards our understanding of the
resulting flows. This article focuses on developments during the past few
decades, when mostly numerical and asymptotical advances have provided insights
also for steady, although unstable, high-Reynolds-numbers flow regimes.
PMID- 24936018
TI - Magnetohydrodynamic channel flows with weak transverse magnetic fields.
AB - Magnetohydrodynamic flow of an incompressible fluid through a plane channel with
slowly varying walls and a magnetic field applied transverse to the channel is
investigated in the high Reynolds number limit. It is found that the magnetic
field can first influence the hydrodynamic flow when the Hartmann number reaches
a sufficiently large value. The magnetic field is found to suppress the steady
and unsteady viscous flow near the channel walls unless the wall shapes become
large.
PMID- 24936019
TI - On the generation of nonlinear travelling waves in confined geometries using
electric fields.
AB - We investigate electrostatically induced interfacial instabilities and subsequent
generation of nonlinear coherent structures in immiscible, viscous, dielectric
multi-layer stratified flows confined in small-scale channels. Vertical electric
fields are imposed across the channel to produce interfacial instabilities that
would normally be absent in such flows. In situations when the imposed vertical
fields are constant, interfacial instabilities emerge due to the presence of
electrostatic forces, and we follow the nonlinear dynamics via direct numerical
simulations. We also propose and illustrate a novel pumping mechanism in
microfluidic devices that does not use moving parts. This is achieved by first
inducing interfacial instabilities using constant background electric fields to
obtain fully nonlinear deformations. The second step involves the manipulation of
the imposed voltage on the lower electrode (channel wall) to produce a spatio
temporally varying voltage there, in the form of a travelling wave with pre
determined properties. Such travelling wave dielectrophoresis methods are shown
to generate intricate fluid-surface-structure interactions that can be of
practical value since they produce net mass flux along the channel and thus are
candidates for microfluidic pumps without moving parts. We show via extensive
direct numerical simulations that this pumping phenomenon is a result of an
externally induced nonlinear travelling wave that forms at the fluid-fluid
interface and study the characteristics of the generated velocity field inside
the channel.
PMID- 24936020
TI - Gross separation approaching a blunt trailing edge as the turbulence intensity
increases.
AB - A novel rational description of incompressible two-dimensional time-mean
turbulent boundary layer (BL) flow separating from a bluff body at an arbitrarily
large globally formed Reynolds number, Re, is devised. Partly in contrast to and
partly complementing previous approaches, it predicts a pronounced delay of
massive separation as the turbulence intensity level increases. This is bounded
from above by a weakly decaying Re-dependent gauge function (hence, the BL
approximation stays intact locally), and thus the finite intensity level
characterizing fully developed turbulence. However, it by far exceeds the
moderate level found in a preceding study which copes with the associated
moderate delay of separation. Thus, the present analysis bridges this self
consistent and another forerunner theory, proposing extremely retarded separation
by anticipating a fully attached external potential flow. Specifically, it is
shown upon formulation of a respective distinguished limit at which rate the
separation point and the attached-flow trailing edge collapse as [Formula: see
text] and how on a short streamwise scale the typical small velocity deficit in
the core region of the incident BL evolves to a large one. Hence, at its base,
the separating velocity profile varies generically with the one-third power of
the wall distance, and the classical triple-deck problem describing local viscous
inviscid interaction crucial for moderately retarded separation is superseded by
a Rayleigh problem, governing separation of that core layer. Its targeted
solution proves vital for understanding the separation process more close to the
wall. Most importantly, the analysis does not resort to any specific turbulence
closure. A first comparison with the available experimentally found positions of
separation for the canonical flow past a circular cylinder is encouraging.
PMID- 24936021
TI - Comparative survey of outdoor, residential and workplace radon concentrations.
AB - This study investigated radon concentrations in above-ground (i.e. first floor)
workplace in Missouri and compared them with above-ground radon concentrations in
nearby homes and outdoor locations. This study also examined the potential
utility of using home and outdoor radon concentrations to predict the radon
concentration at a nearby workplace (e.g. county agencies and schools). Even
though workplace radon concentrations were not statistically different from home
radon concentrations, the radon concentration at a particular home, or outdoor
location, was a poor predictor of the radon concentration at a nearby workplace.
Overall, 9.6 and 9.9 % of homes and workplace, respectively, exhibited radon
concentrations of >=148 Bq m(-3). Because of the percentage of workplace with
elevated radon concentrations, the results suggest that additional surveys of
workplace radon concentrations are needed, especially in areas of high radon
potential, to assess the contribution of workplace radon exposure to an
individual's overall radon exposure.
PMID- 24936022
TI - Evaluation of human exposure to complex waveform magnetic fields generated by arc
welding equipment according to European safety standards.
AB - In this paper, a procedure is described for the assessment of human exposure to
magnetic fields with complex waveforms generated by arc-welding equipment. The
work moves from the analysis of relevant guidelines and technical standards,
underlining their strengths and their limits. Then, the procedure is described
with particular attention to the techniques used to treat complex waveform
fields. Finally, the procedure is applied to concrete cases encountered in the
workplace. The discussion of the results highlights the critical points in the
procedure, as well as those related to the evolution of the technical and
exposure standards.
PMID- 24936024
TI - The Importance of Talk Therapy.
PMID- 24936025
TI - Schizophrenia and Vision of My Life.
PMID- 24936023
TI - Reduced dopamine transporter expression in the amygdala of subjects diagnosed
with schizophrenia.
AB - A disruption of dopaminergic transmission in the amygdala of subjects with
schizophrenia was proposed as a main contributor to pathophysiological and
clinical manifestations of this disorder. We tested the hypothesis that the
expression of the dopamine transporter (DAT) is decreased in the amygdala of
subjects with schizophrenia. In normal control, schizophrenic subjects and
bipolar disorder subjects, we measured numerical density of axon varicosities
immunoreactive (IR) for DAT in the lateral (LN), basal, accessory basal (ABN),
and cortical (CO) nuclei and intercalated cell masses (ITCM) of the amygdala.
Tyrosine hydroxylase (TH)-IR and dopamine beta-hydroxylase (DBH)-IR varicosities
were measured to test for potential loss of varicosities and serotonin
transporter (5HTT)-IR for involvement of the serotoninergic system. Among several
potential confounding variables tested, particular emphasis was placed on
exposure to therapeutic drugs. In schizophrenic subjects, DAT-IR varicosities
were decreased in LN (P = .0002), ABN (P = .013), and CO (P = .0001) in
comparison with controls, and in comparison with bipolar disorder subjects in LN
(P = .004) and CO (P = .002). DBH-IR varicosities were decreased in ABN (P =
.008) and ITCM (P = .017), compared with controls. TH- and 5HTT-IR varicosities
were not altered. No changes were detected in bipolar disorder. Taken together
with TH and DBH findings, reductions of DAT-IR varicosities point to decreased
DAT expression in dopaminergic terminals in the amygdala of subjects with
schizophrenia. This DAT decrease may disrupt dopamine uptake, leading to
increased dopaminergic synaptic transmission and spillage into the extracellular
space with activation of extrasynaptic dopamine receptors. Concurrent decrease of
noradrenaline in the ABN may disrupt memory consolidation.
PMID- 24936026
TI - Naturally occurring diseases in animals: contributions to translational medicine.
PMID- 24936028
TI - Naturally occurring cancers in dogs: insights for translational genetics and
medicine.
AB - Here, we briefly review the state of knowledge of human cancer genetics to
elaborate on the need for different types of mammalian models, highlighting the
strengths of the dog. Mouse models are unparalleled for their experimental
tractability and rapid genetic manipulation but have some key limitations in the
area of human relevance. Companion dog models are attractive, because they are
genetically more similar to humans, share environmental exposures with their
owners, suffer from the same diseases as humans, and receive a high level of
health care. They are ideal for the study of chronic diseases, because they age
five to eight times faster than humans and generally live to old age. In
addition, each dog breed is on the order of 100-fold genetically simpler than the
whole human or dog population. These traits make the dog ideal for the study of
complex genetics of naturally occurring cancers. Here, we contrast the relative
strengths of cancer genetics in humans and dogs. We propose that humans are most
ideal for the study of somatic cancer genetics, whereas dogs are most ideal for
germline genetics. That proposition is supported by comparison of genome-wide
association studies (GWASs) in human and canine cancer. One of the advantages of
dog cancer GWASs is the ability to rapidly map complex traits, conduct fine
mapping and identification of causative variation, and thus be in a position to
move on to functional studies. We mention how these strengths of dog models will
lead to rapid advances in translational medicine.
PMID- 24936029
TI - Bartonellosis: one health perspectives for an emerging infectious disease.
AB - In recent years, an increasing number of Bartonella species have been identified
as zoonotic pathogens, transmitted by animal bites, scratches, arthropods and
even by needle sticks. Considering the diversity of newly discovered Bartonella
species and subspecies and the large number and ecologically diverse animal
reservoir hosts and the evolving spectrum of arthropod vectors that can transmit
these bacteria among animals and humans, the clinical and diagnostic challenges
posed by Bartonella transmission in nature are presumably much more complex than
is currently appreciated by diagnosticians, vector biologists, ecologists,
physicians, or veterinarians. Historically the term "bartonellosis" was
attributed to infections with Bartonella bacilliformis, transmitted by sandflies
in the Peruvian Andes. Currently, however, bartonellosis now includes infections
caused by any Bartonella sp. anywhere in the world. Potentially, because
Bartonella spp. can infect erythrocytes, endothelial cells, pericytes, CD34(+)
progenitor cells, and various macrophage-type cells, including microglial cells,
dendritic cells, and circulating monocytes in vitro, the clinical and
pathological manifestations of bartonellosis appear to be very diverse in both
sick animals and human patients. Because 75% of emerging infectious diseases are
zoonoses, many of which are vector-transmitted by an arthropod, a One Health
approach to bartonellosis and other zoonotic infections is needed to properly
address animal health, public health, and environmental factors that influence
the distribution and transmission of these bacteria. The One Health concept
encourages a spirit of cooperation among animal, environmental, and human health
professionals and promotes developing integrated solutions for complex problems
that impact the health of animals, humans, and the planet. Importantly,
substantial research is needed to define the medical importance of this genus as
a cause of animal and human illnesses.
PMID- 24936027
TI - Lamb model of respiratory syncytial virus-associated lung disease: insights to
pathogenesis and novel treatments.
AB - Preterm birth is a risk factor for respiratory syncytial virus (RSV)
bronchiolitis and hospitalization. The pathogenesis underlying this is not fully
understood, and in vivo studies are needed to better clarify essential cellular
features and molecular mechanisms. Such studies include analysis of lung tissue
from affected human infants and various animal models. The preterm and newborn
lamb lung has developmental, structural, cellular, physiologic, and immunologic
features similar to that of human infants. Also, the lamb lung is susceptible to
various strains of RSV that infect infants and cause similar bronchiolar lesions.
Studies in lambs suggest that viral replication in airways (especially
bronchioles) is extensive by 4 days after infection, along with bronchiolitis
characterized by degeneration and necrosis of epithelial cells, syncytial cell
formation, neutrophil infiltration, epithelial cell hypertrophy and hyperplasia,
and innate and adaptive immune responses. RSV bronchiolitis greatly affects
airflow and gaseous exchange. RSV disease severity is increased in preterm lambs
compared with full-term lambs; similar to human infants. The lamb is conducive to
experimental assessment of novel, mechanistic therapeutic interventions such as
delivery of vascular endothelial growth factor and enhancement of airway
epithelial oxidative responses, Club (Clara) cell protein 10, and synthesized
compounds such as nanobodies. In contrast, exposure of the fetal ovine lung in
vivo to ethanol, a risk factor for preterm birth, reduces pulmonary alveolar
development and surfactant protein A expression. Because the formalin-inactivated
RSV vaccination enhances some inflammatory responses to RSV infection in lambs,
this model has the potential to assess mechanisms of formalin-inactivated RSV
enhanced disease as well as newly developed vaccines.
PMID- 24936031
TI - Canine osteosarcoma: a naturally occurring disease to inform pediatric oncology.
AB - Osteosarcoma (OSA) is the most common form of malignant bone cancer in children
and dogs, although the disease occurs in dogs approximately 10 times more
frequently than in people. Multidrug chemotherapy and aggressive surgical
techniques have improved survival; however, new therapies for OSA are critical,
as little improvement in survival times has been achieved in either dogs or
people over the past 15 years, even with significant efforts directed at the
incorporation of novel therapeutic approaches. Both clinical and molecular
evidence suggests that human and canine OSA share many key features, including
tumor location, presence of microscopic metastatic disease at diagnosis,
development of chemotherapy-resistant metastases, and altered
expression/activation of several proteins (e.g. Met, ezrin, phosphatase and
tensin homolog, signal transducer and activator of transcription 3), and p53
mutations, among others. Additionally, canine and pediatric OSA exhibit
overlapping transcriptional profiles and shared DNA copy number aberrations,
supporting the notion that these diseases are similar at the molecular level.
This review will discuss the similarities between pediatric and canine OSA with
regard to histology, biologic behavior, and molecular genetic alterations that
indicate canine OSA is a relevant, spontaneous, large animal model of the
pediatric disease and outline how the study of naturally occurring OSA in dogs
will offer additional insights into the biology and future treatment of this
disease in both children and dogs.
PMID- 24936032
TI - Achilles tendon injuries in elite athletes: lessons in pathophysiology from their
equine counterparts.
AB - Superficial digital flexor tendon (SDFT) injury in equine athletes is one of the
most well-accepted, scientifically supported companion animal models of human
disease (i.e., exercise-induced Achilles tendon [AT] injury). The SDFT and AT are
functionally and clinically equivalent (and important) energy-storing structures
for which no equally appropriate rodent, rabbit, or other analogues exist. Access
to equine tissues has facilitated significant advances in knowledge of tendon
maturation and aging, determination of specific exercise effects (including early
life), and definition of some of the earliest stages of subclinical pathology.
Access to human surgical biopsies has provided complementary information on more
advanced phases of disease. Importantly, equine SDFT injuries are only a model
for acute ruptures in athletes, not the entire spectrum of human tendonopathy
(including chronic tendon pain). In both, pathology begins with a potentially
prolonged phase of accumulation of (subclinical) microdamage. Recent work has
revealed remarkably similar genetic risk factors, including further evidence that
tenocyte dysfunction plays an active role. Mice are convenient but not
necessarily accurate models for multiple diseases, particularly at the cellular
level. Mechanistic studies, including tendon cell responses to combinations of
exercise-associated stresses, require a more thorough investigation of cross
species conservation of key stress pathway auditors. Molecular evidence has
provided some context for the poor performance of mouse models; equines may
provide better systems at this level. The use of horses may be additionally
justifiable based on comparable species longevity, lifestyle factors, and
selection pressure by similar infectious agents (e.g., herpesviruses) on general
cell stress pathway evolution.
PMID- 24936030
TI - Domestic dogs and cancer research: a breed-based genomics approach.
AB - Domestic dogs are unique from other animal models of cancer in that they
generally experience spontaneous disease. In addition, most types of cancer
observed in humans are found in dogs, suggesting that canines may be an
informative system for the study of cancer genetics. Domestic dogs are divided
into over 175 breeds, with members of each breed sharing significant phenotypes.
The breed barrier enhances the utility of the model, especially for genetic
studies where small numbers of genes are hypothesized to account for the breed
cancer susceptibility. These facts, combined with recent advances in high
throughput sequencing technologies allows for an unrivaled ability to use pet dog
populations to find often subtle mutations that promote cancer susceptibility and
progression in dogs as a whole. The meticulous record keeping associated with dog
breeding makes the model still more powerful, as it facilitates both association
analysis and family-based linkage studies. Key to the success of these studies is
their cooperative nature, with owners, scientists, veterinarians and breed clubs
working together to avoid the cost and unpopularity of developing captive
populations. In this article we explore these principals and advocate for colony
free, genetic studies that will enhance our ability to diagnose and treat cancer
in dogs and humans alike.
PMID- 24936033
TI - Urinary bladder cancer in dogs, a naturally occurring model for cancer biology
and drug development.
AB - Each year more than 65,000 people are diagnosed with urinary bladder cancer, and
more than 14,000 people die from the disease in the United States. Studies in
relevant animal models are essential to improve the management of bladder cancer.
Naturally occurring bladder cancer in dogs very closely mimics human invasive
bladder cancer, specifically high-grade invasive transitional cell carcinoma
(TCC; also referred to as invasive urothelial carcinoma) in cellular and
molecular features; biological behavior, including sites and frequency of
metastasis; and response to therapy. Canine bladder cancer complements
experimentally induced rodent tumors in regard to animal models of bladder
cancer. Results of cellular and molecular studies and -omics analyses in dogs are
expected to lead to improved detection of TCC and preneoplastic lesions, earlier
intervention, better prediction of patient outcome, and more effective TCC
management overall. Studies in dogs are being used to help define heritable risks
(through very strong breed-associated risk) and environment risks and to evaluate
prevention and treatment approaches that benefit humans as well as dogs. Clinical
treatment trials in pet dogs with TCC are considered a win-win scenario by
clinician scientists and pet owners. The individual dog benefits from effective
treatment, the results are expected to help other dogs, and the findings are
expected to ultimately help humans with TCC. This article provides an overview of
canine TCC, a summary of the similarities and differences between canine and
human invasive TCC, and examples of the types of valuable translational research
that can be done using dogs with naturally occurring TCC.
PMID- 24936035
TI - Naturally occurring spinal hyperostosis in dogs as a model for human spinal
disorders.
AB - Both spondylosis and diffuse idiopathic skeletal hyperostosis (DISH) are
prevalent in humans and are considered distinct entities. Nowadays, the term
spondylosis is in the biomedical literature mostly used when concurrently
degenerative disc disease is present. In companion animals, many reports on
spondylosis, often without intervertebral disc degeneration, are described. The
nomenclature and the definitions of both spondylosis and DISH in biomedical and
veterinary literature should be more in line to facilitate comparison.
Spondylosis and DISH occur in dogs spontaneously and can co-occur in one animal.
Specifically, Boxers may serve as translational disease models for the
elucidation of the gene(s) involved in the (etio)pathogenesis of spondylosis and
DISH or serve as a test population for newly developed treatment options.
PMID- 24936036
TI - Cancer and comparative imaging.
AB - Comparative oncology research is gaining traction as a method for streamlining
the drug discovery and development strategies currently in place worldwide. This
approach uses the tumor-bearing pet dog as a relevant and complementary model
alongside the traditional use of rodents, no-human primates, and other large
mammalian species such as purpose-bred dogs or pigs. To date, most comparative
oncology studies have been designed and executed to evaluate new anticancer drugs
using tumor-bearing dogs with specific naturally occurring cancers as models for
humans. These studies have proved extremely valuable for modeling pharmacokinetic
pharmacodynamic relationships, refining drug doses and schedules, and validating
an individual drug's target in vivo. The National Cancer Institute's Comparative
Oncology Trials Consortium (http://ccr.cancer.gov/resources/cop/COTC.asp) is a
cooperative effort that provides infrastructure and resources to support this
effort. To complement ongoing efforts in this field, we propose expansion of
comparative cancer imaging as a component to drug discovery and development.
Diagnostic imaging is critical to diagnosis and management of malignancy in both
humans and animals. Molecular imaging techniques allow for detection of disease
specific signals that provide individualized data to aid in patient selection,
response to therapy, and prognostication. In this review, we will highlight the
comparative oncology studies that have used molecular imaging techniques,
demonstrating the value of spontaneous canine cancers as a research tool in drug
and imaging agent development.
PMID- 24936037
TI - Developing T cell cancer immunotherapy in the dog with lymphoma.
AB - Immunotherapy is not a new concept for veterinary medicine; however, adoptive T
cell therapy is a new area of research in humans and canines alike. In humans, T
cell therapy has been used against many different tumor histologies, including
lymphoma, melanoma, and colon cancer. Although in dogs this approach has
currently only been applied to lymphoma, other tumor types are under
investigation. There are many different strategies used to take advantage of cell
mediated antitumor properties of T cells. This review will discuss many of the
current strategies used in both humans and canines in regards to adoptive T cell
therapy.
PMID- 24936038
TI - Canine epilepsy: an underutilized model.
AB - The mainstay of comparative research for epilepsy has been rodent models of
induced epilepsy. This rodent basic science is essential, but it does not always
translate to similar results in people, likely because induced epilepsy is not
always similar enough to naturally occurring epilepsy. A good large animal,
intermediate model would be very helpful to potentially bridge this translational
gap. Epilepsy is the most common medical neurologic disease of dogs. It has been
proposed since the 1970s that dogs with naturally occurring epilepsy could
potentially be used as a comparative model for people of the underlying basis and
therapy of epilepsy. There have been sporadic studies in the decades since then,
with a relative surge in the last 10 years. These canine studies in the areas of
genetics, drug therapy, dietary therapy, electroencelphalogram research, and
devices for epilepsy show proof of concept that canine epilepsy can be a very
good model for comparative research for many, but not all, facets of epilepsy.
Results of research in canine epilepsy can and have benefited the improvement of
treatment for both people and dogs.
PMID- 24936040
TI - Issues related to institutional animal care and use committees and clinical
trials using privately owned animals.
PMID- 24936039
TI - Naturally occurring animal models of human hepatitis E virus infection.
AB - Hepatitis E virus (HEV) is a single-stranded, positive-sense RNA virus in the
family Hepeviridae. Hepatitis E caused by HEV is a clinically important global
disease. There are currently four well-characterized genotypes of HEV in
mammalian species, although numerous novel strains of HEV likely belonging to
either new genotypes or species have recently been identified from several other
animal species. HEV genotypes 1 and 2 are limited to infection in humans, whereas
genotypes 3 and 4 infect an expanding host range of animal species and are
zoonotic to humans. Historical animal models include various species of nonhuman
primates, which have been indispensable for the discovery of human HEV and for
understanding its pathogenesis and course of infection. With the genetic
identification and characterization of animal strains of HEV, a number of
naturally occurring animal models such as swine, chicken, and rabbit have
recently been developed for various aspects of HEV research, including vaccine
trials, pathogenicity, cross-species infection, mechanism of virus replication,
and molecular biology studies. Unfortunately, the current available animal models
for HEV are still inadequate for certain aspects of HEV research. For instance,
an animal model is still lacking to study the underlying mechanism of severe and
fulminant hepatitis E during pregnancy. Also, an animal model that can mimic
chronic HEV infection is critically needed to study the mechanism leading to
chronicity in immunocompromised individuals. Genetic identification of additional
novel animal strains of HEV may lead to the development of better naturally
occurring animal models for HEV. This article reviews the current understanding
of animal models of HEV infection in both natural and experimental infection
settings and identifies key research needs and limitations.
PMID- 24936034
TI - Pharmacologic management of Duchenne muscular dystrophy: target identification
and preclinical trials.
AB - Duchenne muscular dystrophy (DMD) is an X-linked human disorder in which absence
of the protein dystrophin causes degeneration of skeletal and cardiac muscle. For
the sake of treatment development, over and above definitive genetic and cell
based therapies, there is considerable interest in drugs that target downstream
disease mechanisms. Drug candidates have typically been chosen based on the
nature of pathologic lesions and presumed underlying mechanisms and then tested
in animal models. Mammalian dystrophinopathies have been characterized in mice
(mdx mouse) and dogs (golden retriever muscular dystrophy [GRMD]). Despite
promising results in the mdx mouse, some therapies have not shown efficacy in
DMD. Although the GRMD model offers a higher hurdle for translation, dogs have
primarily been used to test genetic and cellular therapies where there is greater
risk. Failed translation of animal studies to DMD raises questions about the
propriety of methods and models used to identify drug targets and test efficacy
of pharmacologic intervention. The mdx mouse and GRMD dog are genetically
homologous to DMD but not necessarily analogous. Subcellular species differences
are undoubtedly magnified at the whole-body level in clinical trials. This
problem is compounded by disparate cultures in clinical trials and preclinical
studies, pointing to a need for greater rigor and transparency in animal
experiments. Molecular assays such as mRNA arrays and genome-wide association
studies allow identification of genetic drug targets more closely tied to disease
pathogenesis. Genes in which polymorphisms have been directly linked to DMD
disease progression, as with osteopontin, are particularly attractive targets.
PMID- 24936050
TI - Brucella abortus depends on pyruvate phosphate dikinase and malic enzyme but not
on Fbp and GlpX fructose-1,6-bisphosphatases for full virulence in laboratory
models.
AB - The brucellae are the etiological agents of brucellosis, a worldwide-distributed
zoonosis. These bacteria are facultative intracellular parasites and thus are
able to adjust their metabolism to the extra- and intracellular environments
encountered during an infectious cycle. However, this aspect of Brucella biology
is imperfectly understood, and the nutrients available in the intracellular niche
are unknown. Here, we investigated the central pathways of C metabolism used by
Brucella abortus by deleting the putative fructose-1,6-bisphosphatase (fbp and
glpX), phosphoenolpyruvate carboxykinase (pckA), pyruvate phosphate dikinase
(ppdK), and malic enzyme (mae) genes. In gluconeogenic but not in rich media,
growth of DeltappdK and Deltamae mutants was severely impaired and growth of the
double Deltafbp-DeltaglpX mutant was reduced. In macrophages, only the DeltappdK
and Deltamae mutants showed reduced multiplication, and studies with the
DeltappdK mutant confirmed that it reached the replicative niche. Similarly, only
the DeltappdK and Deltamae mutants were attenuated in mice, the former being
cleared by week 10 and the latter persisting longer than 12 weeks. We also
investigated the glyoxylate cycle. Although aceA (isocitrate lyase) promoter
activity was enhanced in rich medium, aceA disruption had no effect in vitro or
on multiplication in macrophages or mouse spleens. The results suggest that B.
abortus grows intracellularly using a limited supply of 6-C (and 5-C) sugars that
is compensated by glutamate and possibly other amino acids entering the Krebs
cycle without a critical role of the glyoxylate shunt.
PMID- 24936051
TI - Hypoxia-activated cytochrome bd expression in Mycobacterium smegmatis is cyclic
AMP receptor protein dependent.
AB - Mycobacteria are obligate aerobes and respire using two terminal respiratory
oxidases, an aa3-type cytochrome c oxidase and a cytochrome bd-type menaquinol
oxidase. Cytochrome bd is encoded by cydAB from the cydABDC gene cluster that is
conserved throughout the mycobacterial genus. Here we report that cydAB and cydDC
in Mycobacterium smegmatis constitute two separate operons under hypoxic growth
conditions. The transcriptional start sites of both operons were mapped, and a
series of cydA-lacZ and cydD-lacZ transcriptional reporter fusions were made to
identify regulatory promoter elements. A 51-bp region was identified in the cydAB
promoter that was required for maximal cydA-lacZ expression in response to
hypoxia. A cyclic AMP receptor protein (CRP)-binding site (viz. GTGAN6CCACC) was
identified in this region, and mutation of this site to CCCAN6CTTTC abolished
cydA-lacZ expression in response to hypoxia. Binding of purified CRP (MSMEG_0539)
to the cydAB promoter DNA was analyzed using electrophoretic mobility shift
assays. CRP binding was dependent on GTGAN6CCACC and showed cyclic AMP (cAMP)
dependency. No CRP site was present in the cydDC promoter, and a 10-bp inverted
repeat (CGGTGGTACCGGTACCACCG) was required for maximal cydD-lacZ expression.
Taken together, the data indicate that CRP is a direct regulator of cydAB
expression in response to hypoxia and that the regulation of cydDC expression is
CRP independent and under the control of an unknown regulator.
PMID- 24936052
TI - Ammonium metabolism enzymes aid Helicobacter pylori acid resistance.
AB - The gastric pathogen Helicobacter pylori possesses a highly active urease to
support acid tolerance. Urea hydrolysis occurs inside the cytoplasm, resulting in
the production of NH3 that is immediately protonated to form NH4 (+). This
ammonium must be metabolized or effluxed because its presence within the cell is
counterproductive to the goal of raising pH while maintaining a viable proton
motive force (PMF). Two compatible hypotheses for mitigating intracellular
ammonium toxicity include (i) the exit of protonated ammonium outward via the
UreI permease, which was shown to facilitate diffusion of both urea and ammonium,
and/or (ii) the assimilation of this ammonium, which is supported by evidence
that H. pylori assimilates urea nitrogen into its amino acid pools. We
investigated the second hypothesis by constructing strains with altered
expression of the ammonium-assimilating enzymes glutamine synthetase (GS) and
glutamate dehydrogenase (GDH) and the ammonium-evolving periplasmic enzymes
glutaminase (Ggt) and asparaginase (AsnB). H. pylori strains expressing elevated
levels of either GS or GDH are more acid tolerant than the wild type, exhibit
enhanced ammonium production, and are able to alkalize the medium faster than the
wild type. Strains lacking the genes for either Ggt or AsnB are acid sensitive,
have 8-fold-lower urea-dependent ammonium production, and are more acid sensitive
than the parent. Additionally, we found that purified H. pylori GS produces
glutamine in the presence of Mg(2+) at a rate similar to that of unadenylated
Escherichia coli GS. These data reveal that all four enzymes contribute to whole
cell acid resistance in H. pylori and are likely important for assimilation
and/or efflux of urea-derived ammonium.
PMID- 24936053
TI - Unique helicase determinants in the essential conjugative TraI factor from
Salmonella enterica serovar Typhimurium plasmid pCU1.
AB - The widespread development of multidrug-resistant bacteria is a major health
emergency. Conjugative DNA plasmids, which harbor a wide range of antibiotic
resistance genes, also encode the protein factors necessary to orchestrate the
propagation of plasmid DNA between bacterial cells through conjugative transfer.
Successful conjugative DNA transfer depends on key catalytic components to nick
one strand of the duplex DNA plasmid and separate the DNA strands while cell-to
cell transfer occurs. The TraI protein from the conjugative Salmonella plasmid
pCU1 fulfills these key catalytic roles, as it contains both single-stranded DNA
nicking relaxase and ATP-dependent helicase domains within a single, 1,078
residue polypeptide. In this work, we unraveled the helicase determinants of
Salmonella pCU1 TraI through DNA binding, ATPase, and DNA strand separation
assays. TraI binds DNA substrates with high affinity in a manner influenced by
nucleic acid length and the presence of a DNA hairpin structure adjacent to the
nick site. TraI selectively hydrolyzes ATP, and mutations in conserved helicase
motifs eliminate ATPase activity. Surprisingly, the absence of a relatively short
(144-residue) domain at the extreme C terminus of the protein severely diminishes
ATP-dependent strand separation. Collectively, these data define the helicase
motifs of the conjugative factor TraI from Salmonella pCU1 and reveal a
previously uncharacterized C-terminal functional domain that uncouples ATP
hydrolysis from strand separation activity.
PMID- 24936054
TI - AraC/XylS family stress response regulators Rob, SoxS, PliA, and OpiA in the fire
blight pathogen Erwinia amylovora.
AB - Transcriptional regulators of the AraC/XylS family have been associated with
multidrug resistance, organic solvent tolerance, oxidative stress, and virulence
in clinically relevant enterobacteria. In the present study, we identified four
homologous AraC/XylS regulators, Rob, SoxS, PliA, and OpiA, from the fire blight
pathogen Erwinia amylovora Ea1189. Previous studies have shown that the
regulators MarA, Rob, and SoxS from Escherichia coli mediate multiple-antibiotic
resistance, primarily by upregulating the AcrAB-TolC efflux system. However, none
of the four AraC/XylS regulators from E. amylovora was able to induce a multidrug
resistance phenotype in the plant pathogen. Overexpression of rob led to a 2-fold
increased expression of the acrA gene. However, the rob-overexpressing strain
showed increased resistance to only a limited number of antibiotics. Furthermore,
Rob was able to induce tolerance to organic solvents in E. amylovora by
mechanisms other than efflux. We demonstrated that SoxS from E. amylovora is
involved in superoxide resistance. A soxS-deficient mutant of Ea1189 was not able
to grow on agar plates supplemented with the superoxide-generating agent
paraquat. Furthermore, expression of soxS was induced by redox cycling agents. We
identified two novel members of the AraC/XylS family in E. amylovora. PliA was
highly upregulated during the early infection phase in apple rootstock and
immature pear fruits. Multiple compounds were able to induce the expression of
pliA, including apple leaf extracts, phenolic compounds, redox cycling agents,
heavy metals, and decanoate. OpiA was shown to play a role in the regulation of
osmotic and alkaline pH stress responses.
PMID- 24936055
TI - Activation of AMP-activated protein kinase regulates hippocampal neuronal pH by
recruiting Na(+)/H(+) exchanger NHE5 to the cell surface.
AB - Strict regulation of intra- and extracellular pH is an important determinant of
nervous system function as many voltage-, ligand-, and H(+)-gated cationic
channels are exquisitely sensitive to transient fluctuations in pH elicited by
neural activity and pathophysiologic events such as hypoxia-ischemia and
seizures. Multiple Na(+)/H(+) exchangers (NHEs) are implicated in maintenance of
neural pH homeostasis. However, aside from the ubiquitous NHE1 isoform, their
relative contributions are poorly understood. NHE5 is of particular interest as
it is preferentially expressed in brain relative to other tissues. In hippocampal
neurons, NHE5 regulates steady-state cytoplasmic pH, but intriguingly the bulk of
the transporter is stored in intracellular vesicles. Here, we show that NHE5 is a
direct target for phosphorylation by the AMP-activated protein kinase (AMPK), a
key sensor and regulator of cellular energy homeostasis in response to metabolic
stresses. In NHE5-transfected non-neuronal cells, activation of AMPK by the AMP
mimetic AICAR or by antimycin A, which blocks aerobic respiration and causes
acidification, increased cell surface accumulation and activity of NHE5, and
elevated intracellular pH. These effects were effectively blocked by the AMPK
antagonist compound C, the NHE inhibitor HOE694, and mutation of a predicted AMPK
recognition motif in the NHE5 C terminus. This regulatory pathway was also
functional in primary hippocampal neurons, where AMPK activation of NHE5
protected the cells from sustained antimycin A-induced acidification. These data
reveal a unique role for AMPK and NHE5 in regulating the pH homeostasis of
hippocampal neurons during metabolic stress.
PMID- 24936057
TI - ZIP2 protein, a zinc transporter, is associated with keratinocyte
differentiation.
AB - Zinc is essential for the proper functioning of various enzymes and transcription
factors, and its homeostasis is rigorously controlled by zinc transporters
(SLC39/ZIP, importers; SLC30/ZnT, exporters). Skin disease is commonly caused by
a zinc deficiency. Dietary and inherited zinc deficiencies are known to cause
alopecia and the development of vesicular or pustular dermatitis. A previous
study demonstrated that zinc played crucial roles in the survival of
keratinocytes and their unique functions. High levels of zinc have been detected
in the epidermis. Epidermal layers are considered to use a mechanism that
preferentially takes in zinc, which is involved with the unique functions of
keratinocytes. However, few studies have investigated the ZIP (Zrt- and Irt-like
protein) proteins specifically expressed in keratinocytes and their functions. We
explored the ZIP proteins specifically expressed in the epidermis and analyzed
their functions. Gene expression analysis showed that the expression of ZIP2 was
consistently higher in the epidermis than in the dermis. Immunohistochemistry
analysis confirmed the expression of ZIP2 in differentiating keratinocytes. The
expression of ZIP2 was found to be up-regulated by the differentiation induction
of cultured keratinocytes. Intracellular zinc levels were decreased in
keratinocytes when ZIP2 was knocked down by siRNA, and this subsequently
inhibited the differentiation of keratinocytes. Moreover, we demonstrated that
ZIP2 knockdown inhibited the normal formation of a three-dimensional cultured
epidermis. Taken together, the results of this study suggest that ZIP2, a zinc
transporter expressed specifically in the epidermis, and zinc taken up by ZIP2
are necessary for the differentiation of keratinocytes.
PMID- 24936056
TI - The prolyl peptidases PRCP/PREP regulate IRS-1 stability critical for rapamycin
induced feedback activation of PI3K and AKT.
AB - The phosphatidylinositol 3-kinase (PI3K)/protein kinase B (PKB/AKT)/mammalian
target of rapamycin (mTOR) pathway conveys signals from receptor tyrosine kinases
(RTKs) to regulate cell metabolism, proliferation, survival, and motility.
Previously we found that prolylcarboxypeptidase (PRCP) regulate proliferation and
survival in breast cancer cells. In this study, we found that PRCP and the
related family member prolylendopeptidase (PREP) are essential for proliferation
and survival of pancreatic cancer cells. Depletion/inhibition of PRCP and PREP
induced serine phosphorylation and degradation of IRS-1, leading to inactivation
of the cellular PI3K and AKT. Notably, depletion/inhibition of PRCP/PREP
destabilized IRS-1 in the cells treated with rapamycin, blocking the feedback
activation PI3K/AKT. Consequently, inhibition of PRCP/PREP enhanced rapamycin
induced cytotoxicity. Thus, we have identified PRCP and PREP as a stabilizer of
IRS-1 which is critical for PI3K/AKT/mTOR signaling in pancreatic cancer cells.
PMID- 24936058
TI - Role of protein phosphatase 1 in dephosphorylation of Ebola virus VP30 protein
and its targeting for the inhibition of viral transcription.
AB - The filovirus Ebola (EBOV) causes the most severe hemorrhagic fever known. The
EBOV RNA-dependent polymerase complex includes a filovirus-specific VP30, which
is critical for the transcriptional but not replication activity of EBOV
polymerase; to support transcription, VP30 must be in a dephosphorylated form.
Here we show that EBOV VP30 is phosphorylated not only at the N-terminal serine
clusters identified previously but also at the threonine residues at positions
143 and 146. We also show that host cell protein phosphatase 1 (PP1) controls
VP30 dephosphorylation because expression of a PP1-binding peptide cdNIPP1
increased VP30 phosphorylation. Moreover, targeting PP1 mRNA by shRNA resulted in
the overexpression of SIPP1, a cytoplasm-shuttling regulatory subunit of PP1, and
increased EBOV transcription, suggesting that cytoplasmic accumulation of PP1
induces EBOV transcription. Furthermore, we developed a small molecule compound,
1E7-03, that targeted a non-catalytic site of PP1 and increased VP30
dephosphorylation. The compound inhibited the transcription but increased
replication of the viral genome and completely suppressed replication of EBOV in
cultured cells. Finally, mutations of Thr(143) and Thr(146) of VP30 significantly
inhibited EBOV transcription and strongly induced VP30 phosphorylation in the N
terminal Ser residues 29-46, suggesting a novel mechanism of regulation of VP30
phosphorylation. Our findings suggest that targeting PP1 with small molecules is
a feasible approach to achieve dysregulation of the EBOV polymerase activity.
This novel approach may be used for the development of antivirals against EBOV
and other filovirus species.
PMID- 24936059
TI - The role of a novel auxiliary pocket in bacterial phenylalanyl-tRNA synthetase
druggability.
AB - The antimicrobial activity of phenyl-thiazolylurea-sulfonamides against
Staphylococcus aureus PheRS are dependent upon phenylalanine levels in the
extracellular fluids. Inhibitor efficacy in animal models of infection is
substantially diminished by dietary phenylalanine intake, thereby reducing the
perceived clinical utility of this inhibitor class. The search for novel
antibacterial compounds against Gram-negative pathogens led to a re-evaluation of
this phenomenon, which is shown here to be unique to S. aureus. Inhibition of
macromolecular syntheses and characterization of novel resistance mutations in
Escherichia coli demonstrate that antimicrobial activity of phenyl-thiazolylurea
sulfonamides is mediated by PheRS inhibition, validating this enzyme as a viable
drug discovery target for Gram-negative pathogens. A search for novel inhibitors
of PheRS yielded three novel chemical starting points. NMR studies were used to
confirm direct target engagement for phenylalanine-competitive hits. The
crystallographic structure of Pseudomonas aeruginosa PheRS defined the binding
modes of these hits and revealed an auxiliary hydrophobic pocket that is
positioned adjacent to the phenylalanine binding site. Three viable inhibitor
resistant mutants were mapped to this pocket, suggesting that this region is a
potential liability for drug discovery.
PMID- 24936060
TI - Peripheral benzodiazepine receptor/translocator protein global knock-out mice are
viable with no effects on steroid hormone biosynthesis.
AB - Translocator protein (TSPO), previously known as the peripheral benzodiazepine
receptor, is a mitochondrial outer membrane protein implicated as essential for
cholesterol import to the inner mitochondrial membrane, the rate-limiting step in
steroid hormone biosynthesis. Previous research on TSPO was based entirely on in
vitro experiments, and its critical role was reinforced by an early report that
claimed TSPO knock-out mice were embryonic lethal. In a previous publication, we
examined Leydig cell-specific TSPO conditional knock-out mice that suggested TSPO
was not required for testosterone production in vivo. This raised controversy and
several questions regarding TSPO function. To examine the definitive role of TSPO
in steroidogenesis and embryo development, we generated global TSPO null (Tspo(-/
)) mice. Contrary to the early report, Tspo(-/-) mice survived with no apparent
phenotypic abnormalities and were fertile. Examination of adrenal and gonadal
steroidogenesis showed no defects in Tspo(-/-) mice. Adrenal transcriptome
comparison of gene expression profiles showed that genes involved in steroid
hormone biosynthesis (Star, Cyp11a1, and Hsd3b1) were unchanged in Tspo(-/-)
mice. Adrenocortical ultrastructure illustrated no morphological alterations in
Tspo(-/-) mice. In an attempt to correlate our in vivo findings to previously
used in vitro models, we also determined that siRNA knockdown or the absence of
TSPO in different mouse and human steroidogenic cell lines had no effect on
steroidogenesis. These findings directly refute the dogma that TSPO is
indispensable for steroid hormone biosynthesis and viability. By amending the
current model, this study advances our understanding of steroidogenesis with
broad implications in biology and medicine.
PMID- 24936061
TI - A combined "omics" approach identifies N-Myc interactor as a novel cytokine
induced regulator of IRE1 protein and c-Jun N-terminal kinase in pancreatic beta
cells.
AB - Type 1 diabetes is an autoimmune disease with a strong inflammatory component.
The cytokines interleukin-1beta and interferon-gamma contribute to beta cell
apoptosis in type 1 diabetes. These cytokines induce endoplasmic reticulum stress
and the unfolded protein response (UPR), contributing to the loss of beta cells.
IRE1alpha, one of the UPR mediators, triggers insulin degradation and
inflammation in beta cells and is critical for the transition from
"physiological" to "pathological" UPR. The mechanisms regulating inositol
requiring protein 1alpha (IRE1alpha) activation and its signaling for beta cell
"adaptation," "stress response," or "apoptosis" remain to be clarified. To
address these questions, we combined mammalian protein-protein interaction trap
based IRE1alpha interactome and functional genomic analysis of human and rodent
beta cells exposed to pro-inflammatory cytokines to identify novel cytokine
induced regulators of IRE1alpha. Based on this approach, we identified N-Myc
interactor (NMI) as an IRE1alpha-interacting/modulator protein in rodent and
human pancreatic beta cells. An increased expression of NMI was detected in
islets from nonobese diabetic mice with insulitis and in rodent or human beta
cells exposed in vitro to the pro-inflammatory cytokines interleukin-1beta and
interferon-gamma. Detailed mechanistic studies demonstrated that NMI negatively
modulates IRE1alpha-dependent activation of JNK and apoptosis in rodent and human
pancreatic beta cells. In conclusion, by using a combined omics approach, we
identified NMI induction as a novel negative feedback mechanism that decreases
IRE1alpha-dependent activation of JNK and apoptosis in cytokine-exposed beta
cells
PMID- 24936063
TI - Translation elongation factor 1A mutants with altered actin bundling activity
show reduced aminoacyl-tRNA binding and alter initiation via eIF2alpha
phosphorylation.
AB - Apart from its canonical function in translation elongation, eukaryotic
translation elongation factor 1A (eEF1A) has been shown to interact with the
actin cytoskeleton. Amino acid substitutions in eEF1A that reduce its ability to
bind and bundle actin in vitro cause improper actin organization in vivo and
reduce total translation. Initial in vivo analysis indicated the reduced
translation was through initiation. The mutant strains exhibit increased levels
of phosphorylated initiation factor 2alpha (eIF2alpha) dependent on the presence
of the general control non-derepressible 2 (Gcn2p) protein kinase. Gcn2p causes
downregulation of total protein synthesis at initiation in response to increases
in deacylated tRNA levels in the cell. Increased levels of eIF2alpha
phosphorylation are not due to a general reduction in translation elongation as
eEF2 and eEF3 mutants do not exhibit this effect. Deletion of GCN2 from the eEF1A
actin bundling mutant strains revealed a second defect in translation. The eEF1A
actin-bundling proteins exhibit changes in their elongation activity at the level
of aminoacyl-tRNA binding in vitro. These findings implicate eEF1A in a feedback
mechanism for regulating translation at initiation.
PMID- 24936062
TI - F-box only protein 31 (FBXO31) negatively regulates p38 mitogen-activated protein
kinase (MAPK) signaling by mediating lysine 48-linked ubiquitination and
degradation of mitogen-activated protein kinase kinase 6 (MKK6).
AB - The p38 MAPK signal transduction pathway plays an important role in inflammatory
and stress responses. MAPKK6 (MKK6), a dual specificity protein kinase, is a p38
activator. Activation of the MKK6-p38 pathway is kept in check by multiple layers
of regulations, including autoinhibition, dimerization, scaffold proteins, and
Lys-63-linked polyubiquitination. However, the mechanisms underlying deactivation
of MKK6-p38, which is crucial for maintaining the magnitude and duration of
signal transduction, are not well understood. Lys-48-linked ubiquitination, which
marks substrates for proteasomal degradation, is an important negative
posttranslational regulatory machinery for signal pathway transduction. Here we
report that the accumulation of F-box only protein 31 (FBXO31), a component of
Skp1 . Cul1 . F-box protein E3 ligase, negatively regulated p38 activation in
cancer cells upon genotoxic stresses. Our results show that FBXO31 binds to MKK6
and mediates its Lys-48-linked polyubiquitination and degradation, thereby
functioning as a negative regulator of MKK6-p38 signaling and protecting cells
from stress-induced cell apoptosis. Taken together, our findings uncover a new
mechanism of deactivation of MKK6-p38 and substantiate a novel regulatory role of
FBXO31 in stress response.
PMID- 24936064
TI - Interleukin-1beta reduces L-type Ca2+ current through protein kinase Cepsilon
activation in mouse heart.
AB - Inflammation is now widely recognized as a key component of heart disease.
Patients suffering from arrhythmias and heart failure have increased levels of
tumor necrosis factor-alpha (TNFalpha) and interleukin-1beta (IL-1beta). Evidence
suggests that these cytokines are important mediators of cardiac remodeling;
however, their effects on ion channels and arrhythmogenesis remain incompletely
understood. The L-type Ca(2+) current (ICaL) is a major determinant of the
plateau phase of cardiac action potential and has a critical excitation
contraction coupling role. Thus, altering its properties could have detrimental
effects on cardiac electrical and contractile functions. Accordingly, the
objective of this study was to elucidate the effect of TNFalpha and IL-1beta on
ICaL, while exploring the underlying regulatory mechanisms. Neonatal mouse
ventricular myocytes were treated with a pathophysiological concentration (30
pg/ml) of TNFalpha and IL-1beta for 24 h. Voltage-clamp recordings showed that
TNFalpha had no effect on ICaL, whereas IL-1beta decreased the current density by
36%. Although both IL-1beta- and TNFalpha-treated myocytes showed significant
increase in reactive oxidative species (ROS), Western blot experiments revealed
that only IL-1beta increased PKCepsilon membrane translocation. The antioxidant N
acetyl-L-cysteine normalized ROS levels and restored ICaL density. Furthermore,
the PKCepsilon translocation inhibitor epsilon-V1-2 blocked the effect of IL
1beta on ICaL. The reduction of ICaL by IL-1beta was also seen in cultured adult
ventricular myocytes. Overall, chronic IL-1beta treatment decreased ICaL density
in cardiomyocytes. These effects implicated ROS signaling and PKCepsilon
activation. These findings could contribute to explain the role of IL-1beta in
the development of arrhythmia and heart failure.
PMID- 24936065
TI - Regulation of endothelial cell barrier function by antibody-driven affinity
modulation of platelet endothelial cell adhesion molecule-1 (PECAM-1).
AB - PECAM-1 is a 130-kDa member of the immunoglobulin (Ig) superfamily that is
expressed on the surface of platelets and leukocytes, and at the intracellular
junctions of confluent endothelial cell monolayers. Previous studies have shown
that PECAM-1/PECAM-1 homophilic interactions play a key role in leukocyte
transendothelial migration, in allowing PECAM-1 to serve as a mechanosensory
complex in endothelial cells, in its ability to confer cytoprotection to
proapoptotic stimuli, and in maintaining endothelial cell junctional integrity.
To examine the adhesive properties of full-length PECAM-1 in a native lipid
environment, we purified it from platelets and assembled it into phospholipid
nanodiscs. PECAM-1-containing nanodiscs retained not only their ability to bind
homophilically to PECAM-1-expressing cells, but exhibited regulatable adhesive
interactions that could be modulated by ligands that bind membrane- proximal Ig
Domain 6. This property was exploited to enhance the rate of barrier restoration
in endothelial cell monolayers subjected to inflammatory challenge. The finding
that the adhesive properties of PECAM-1 are regulatable suggests novel approaches
for controlling endothelial cell migration and barrier function in a variety of
vascular permeability disorders.
PMID- 24936066
TI - Intricate protein-protein interactions in the cyanobacterial circadian clock.
AB - The cyanobacterial circadian clock consists of a post-translational oscillator
(PTO) and a PTO-dependent transcription-translation feedback loop (TTFL). The PTO
can be reconstituted in vitro with the KaiA, KaiB, and KaiC proteins, enabling
detailed biochemical and biophysical investigations. Both the CI and the CII
halves of the KaiC hexamer harbor ATPases, but only the C-terminal CII ring
exhibits kinase and phospho-transferase activities. KaiA stimulates the kinase
and KaiB associates with KaiC during the dephosphorylation phase and sequesters
KaiA. Recent research has led to conflicting models of the KaiB-KaiC interaction,
precluding a clear understanding of KaiB function and KaiABC clock mechanism.
PMID- 24936067
TI - Structure of a novel O-linked N-acetyl-D-glucosamine (O-GlcNAc) transferase,
GtfA, reveals insights into the glycosylation of pneumococcal serine-rich repeat
adhesins.
AB - Protein glycosylation catalyzed by the O-GlcNAc transferase (OGT) plays a
critical role in various biological processes. In Streptococcus pneumoniae, the
core enzyme GtfA and co-activator GtfB form an OGT complex to glycosylate the
serine-rich repeat (SRR) of adhesin PsrP (pneumococcal serine-rich repeat
protein), which is involved in the infection and pathogenesis. Here we report the
2.0 A crystal structure of GtfA, revealing a beta-meander add-on domain beyond
the catalytic domain. It represents a novel add-on domain, which is distinct from
the all-alpha-tetratricopeptide repeats in the only two structure-known OGTs.
Structural analyses combined with binding assays indicate that this add-on domain
contributes to forming an active GtfA-GtfB complex and recognizing the acceptor
protein. In addition, the in vitro glycosylation system enables us to map the O
linkages to the serine residues within the first SRR of PsrP. These findings
suggest that fusion with an add-on domain might be a universal mechanism for
diverse OGTs that recognize varying acceptor proteins/peptides.
PMID- 24936069
TI - Non-equivalent ligand selectivity of agonist sites in (alpha4beta2)2alpha4
nicotinic acetylcholine receptors: a key determinant of agonist efficacy.
AB - The alpha4beta2 nicotinic acetylcholine receptor (nAChR) is the most abundant
nAChR type in the brain, and this receptor type exists in alternate
(alpha4beta2)2alpha4 and (alpha4beta2)2beta2 forms, which are activated by
agonists with strikingly differing efficacies. Recent breakthroughs have
identified an additional operational agonist binding site in the
(alpha4beta2)2alpha4 nAChR that is responsible for the signature sensitivity of
this receptor to activation by agonists, yet the structural mechanisms
determining agonist efficacy at this receptor type are not yet fully understood.
In this study, we characterized the ligand selectivity of the individual agonist
sites of the (alpha4beta2)2alpha4 nAChR to determine whether differences in
agonist selectivity influence agonist efficacy. Applying the substituted cysteine
accessibility method to individual agonist sites in concatenated
(alpha4beta2)2alpha4 receptors, we determined the agonist selectivity of the
agonist sites of the (alpha4beta2)2alpha4 receptor. We show that (a)
accessibility of substituted cysteines to covalent modification by
methanesulfonate reagent depends on the agonist site at which the modification
occurs and (b) that agonists such as sazetidine-A and TC-2559 are excluded from
the site at the alpha4/alpha4 interface. Given that additional binding to the
agonist site in the alpha4/alpha4 interface increases acetylcholine efficacy and
that agonists excluded from the agonist site at the alpha4/alpha4 interface
behave as partial agonists, we conclude that the ability to engage all agonist
sites in (alpha4beta2)2alpha4 nAChRs is a key determinant of agonist efficacy.
The findings add another level of complexity to the structural mechanisms that
govern agonist efficacy in heteromeric nAChRs and related ligand-gated ion
channels.
PMID- 24936079
TI - Special supplement introduction: hallucinations.
AB - This Special Supplement presents reports from 11 working groups of the
interdisciplinary International Consortium on Hallucination Research meeting in
Durham, UK, September 2013. Topics include psychological therapies for auditory
hallucinations, culture and hallucinations, hallucinations in children and
adolescents, visual hallucinations, interdisciplinary approaches to the
phenomenology of auditory verbal hallucinations (AVHs), AVHs in persons without
need for care, a multisite study of the PSYRATS instrument, subtypes of AVHs, the
Hearing Voices Movement, Research Domain Criteria for hallucinations, and
cortical specialization as a route to understanding hallucinations.
PMID- 24936068
TI - B-Raf regulation of integrin alpha4beta1-mediated resistance to shear stress
through changes in cell spreading and cytoskeletal association in T cells.
AB - The regulation of integrin-mediated adhesion is of vital importance to adaptive
and innate immunity. Integrins are versatile proteins and mediate T cell
migration and trafficking by binding to extracellular matrix or other cells as
well as initiating intracellular signaling cascades promoting survival or
activation. The MAPK pathway is known to be downstream from integrins and to
regulate survival, differentiation, and motility. However, secondary roles for
canonical MAPK pathway members are being discovered. We show that chemical
inhibition of RAF by sorafenib or shRNA-mediated knockdown of B-Raf reduces T
cell resistance to shear stress to alpha4beta1 integrin ligands vascular cell
adhesion molecule 1 (VCAM-1) and fibronectin, whereas inhibition of MEK/ERK by
U0126 had no effect. Microscopy showed that RAF inhibition leads to significant
inhibition of T cell spreading on VCAM-1. The association of alpha4beta1 integrin
with the actin cytoskeleton was shown to be dependent on B-Raf activity or
expression, whereas alpha4beta1 integrin affinity for soluble VCAM-1 was not.
These effects were shown to be specific for alpha4beta1 integrin and not other
integrins, such as alpha5beta1 or LFA-1, or a variety of membrane proteins. We
demonstrate a novel role for B-Raf in the selective regulation of alpha4beta1
integrin-mediated adhesion.
PMID- 24936070
TI - The H50Q mutation enhances alpha-synuclein aggregation, secretion, and toxicity.
AB - Over the last two decades, the identification of missense mutations in the alpha
synuclein (alpha-Syn) gene SNCA in families with inherited Parkinson disease (PD)
has reinforced the central role of alpha-Syn in PD pathogenesis. Recently, a new
missense mutation (H50Q) in alpha-Syn was described in patients with a familial
form of PD and dementia. Here we investigated the effects of this novel mutation
on the biophysical properties of alpha-Syn and the consequences for its cellular
function. We found that the H50Q mutation affected neither the structure of free
or membrane-bound alpha-Syn monomer, its interaction with metals, nor its
capacity to be phosphorylated in vitro. However, compared with the wild-type (WT)
protein, the H50Q mutation accelerated alpha-Syn fibrillization in vitro. In cell
based models, H50Q mutation did not affect alpha-Syn subcellular localization or
its ability to be phosphorylated by PLK2 and GRK6. Interestingly, H50Q increased
alpha-Syn secretion from SHSY5Y cells into culture medium and induced more
mitochondrial fragmentation in hippocampal neurons. Although the transient
overexpression of WT or H50Q did not induce toxicity, both species induced
significant cell death when added to the culture medium of hippocampal neurons.
Strikingly, H50Q exhibited more toxicity, suggesting that the H50Q-related
enhancement of alpha-Syn aggregation and secretion may play a role in the
extracellular toxicity of this mutant. Together, our results provide novel
insight into the mechanism by which this newly described PD-associated mutation
may contribute to the pathogenesis of PD and related disorders.
PMID- 24936080
TI - The search for elusive structure: a promiscuous realist case for researching
specific psychotic experiences such as hallucinations.
AB - Problems in psychiatric classification have impeded research into psychopathology
for more than a century. Here, I briefly review several new approaches to solving
this problem, including the internalizing-externalizing-psychosis spectra, the 5
factor model of psychotic symptoms, and the more recent network approach.
Researchers and clinicians should probably adopt an attitude of promiscuous
realism and assume that a single classification system is unlikely to be
effective for all purposes, and that different systems will need to be chosen for
research into etiology, public mental health research, and clinical activities.
Progress in understanding the risk factors and mechanisms that lead to
psychopathology is most likely to be achieved by focusing on specific types of
experience or symptoms such as hallucinations.
PMID- 24936081
TI - Psychological therapies for auditory hallucinations (voices): current status and
key directions for future research.
AB - This report from the International Consortium on Hallucinations Research
considers the current status and future directions in research on psychological
therapies targeting auditory hallucinations (hearing voices). Therapy approaches
have evolved from behavioral and coping-focused interventions, through
formulation-driven interventions using methods from cognitive therapy, to a
number of contemporary developments. Recent developments include the application
of acceptance- and mindfulness-based approaches, and consolidation of methods for
working with connections between voices and views of self, others, relationships
and personal history. In this article, we discuss the development of therapies
for voices and review the empirical findings. This review shows that
psychological therapies are broadly effective for people with positive symptoms,
but that more research is required to understand the specific application of
therapies to voices. Six key research directions are identified: (1) moving
beyond the focus on overall efficacy to understand specific therapeutic processes
targeting voices, (2) better targeting psychological processes associated with
voices such as trauma, cognitive mechanisms, and personal recovery, (3) more
focused measurement of the intended outcomes of therapy, (4) understanding
individual differences among voice hearers, (5) extending beyond a focus on
voices and schizophrenia into other populations and sensory modalities, and (6)
shaping interventions for service implementation.
PMID- 24936082
TI - Culture and hallucinations: overview and future directions.
AB - A number of studies have explored hallucinations as complex experiences involving
interactions between psychological, biological, and environmental factors and
mechanisms. Nevertheless, relatively little attention has focused on the role of
culture in shaping hallucinations. This article reviews the published research,
drawing on the expertise of both anthropologists and psychologists. We argue that
the extant body of work suggests that culture does indeed have a significant
impact on the experience, understanding, and labeling of hallucinations and that
there may be important theoretical and clinical consequences of that observation.
We find that culture can affect what is identified as a hallucination, that there
are different patterns of hallucination among the clinical and nonclinical
populations, that hallucinations are often culturally meaningful, that
hallucinations occur at different rates in different settings; that culture
affects the meaning and characteristics of hallucinations associated with
psychosis, and that the cultural variations of psychotic hallucinations may have
implications for the clinical outcome of those who struggle with psychosis. We
conclude that a clinician should never assume that the mere report of what seems
to be a hallucination is necessarily a symptom of pathology and that the
patient's cultural background needs to be taken into account when assessing and
treating hallucinations.
PMID- 24936084
TI - Visual hallucinations in the psychosis spectrum and comparative information from
neurodegenerative disorders and eye disease.
AB - Much of the research on visual hallucinations (VHs) has been conducted in the
context of eye disease and neurodegenerative conditions, but little is known
about these phenomena in psychiatric and nonclinical populations. The purpose of
this article is to bring together current knowledge regarding VHs in the
psychosis phenotype and contrast this data with the literature drawn from
neurodegenerative disorders and eye disease. The evidence challenges the
traditional views that VHs are atypical or uncommon in psychosis. The weighted
mean for VHs is 27% in schizophrenia, 15% in affective psychosis, and 7.3% in the
general community. VHs are linked to a more severe psychopathological profile and
less favorable outcome in psychosis and neurodegenerative conditions. VHs
typically co-occur with auditory hallucinations, suggesting a common etiological
cause. VHs in psychosis are also remarkably complex, negative in content, and are
interpreted to have personal relevance. The cognitive mechanisms of VHs in
psychosis have rarely been investigated, but existing studies point to source
monitoring deficits and distortions in top-down mechanisms, although evidence for
visual processing deficits, which feature strongly in the organic literature, is
lacking. Brain imaging studies point to the activation of visual cortex during
hallucinations on a background of structural and connectivity changes within
wider brain networks. The relationship between VHs in psychosis, eye disease, and
neurodegeneration remains unclear, although the pattern of similarities and
differences described in this review suggests that comparative studies may have
potentially important clinical and theoretical implications.
PMID- 24936083
TI - From phenomenology to neurophysiological understanding of hallucinations in
children and adolescents.
AB - Typically reported as vivid, multisensory experiences which may spontaneously
resolve, hallucinations are present at high rates during childhood. The risk of
associated psychopathology is a major cause of concern. On the one hand, the risk
of developing further delusional ideation has been shown to be reduced by better
theory of mind skills. On the other hand, ideas of reference, passivity
phenomena, and misidentification syndrome have been shown to increase the risk of
self-injury or heteroaggressive behaviors. Cognitive psychology and brain-imaging
studies have advanced our knowledge of the mechanisms underlying these early
onset hallucinations. Notably, specific functional impairments have been
associated with certain phenomenological characteristics of hallucinations in
youths, including intrusiveness and the sense of reality. In this review, we
provide an update of associated epidemiological and phenomenological factors
(including sociocultural context, social adversity, and genetics, considered in
relation to the psychosis continuum hypothesis), cognitive models, and
neurophysiological findings concerning hallucinations in children and
adolescents. Key issues that have interfered with progress are considered and
recommendations for future studies are provided.
PMID- 24936085
TI - Auditory verbal hallucinations in persons with and without a need for care.
AB - Auditory verbal hallucinations (AVH) are complex experiences that occur in the
context of various clinical disorders. AVH also occur in individuals from the
general population who have no identifiable psychiatric or neurological
diagnoses. This article reviews research on AVH in nonclinical individuals and
provides a cross-disciplinary view of the clinical relevance of these experiences
in defining the risk of mental illness and need for care. Prevalence rates of AVH
vary according to measurement tool and indicate a continuum of experience in the
general population. Cross-sectional comparisons of individuals with AVH with and
without need for care reveal similarities in phenomenology and some underlying
mechanisms but also highlight key differences in emotional valence of AVH,
appraisals, and behavioral response. Longitudinal studies suggest that AVH are an
antecedent of clinical disorders when combined with negative emotional states,
specific cognitive difficulties and poor coping, plus family history of
psychosis, and environmental exposures such as childhood adversity. However,
their predictive value for specific psychiatric disorders is not entirely clear.
The theoretical and clinical implications of the reviewed findings are discussed,
together with directions for future research.
PMID- 24936086
TI - Symptom dimensions of the psychotic symptom rating scales in psychosis: a
multisite study.
AB - The Psychotic Symptom Rating Scales (PSYRATS) is an instrument designed to
quantify the severity of delusions and hallucinations and is typically used in
research studies and clinical settings focusing on people with psychosis and
schizophrenia. It is comprised of the auditory hallucinations (AHS) and delusions
subscales (DS), but these subscales do not necessarily reflect the psychological
constructs causing intercorrelation between clusters of scale items.
Identification of these constructs is important in some clinical and research
contexts because item clustering may be caused by underlying etiological
processes of interest. Previous attempts to identify these constructs have
produced conflicting results. In this study, we compiled PSYRATS data from 12
sites in 7 countries, comprising 711 participants for AHS and 520 for DS. We
compared previously proposed and novel models of underlying constructs using
structural equation modeling. For the AHS, a novel 4-dimensional model provided
the best fit, with latent variables labeled Distress (negative content, distress,
and control), Frequency (frequency, duration, and disruption), Attribution
(location and origin of voices), and Loudness (loudness item only). For the DS, a
2-dimensional solution was confirmed, with latent variables labeled Distress
(amount/intensity) and Frequency (preoccupation, conviction, and disruption). The
within-AHS and within-DS dimension intercorrelations were higher than those
between subscales, with the exception of the AHS and DS Distress dimensions,
which produced a correlation that approached the range of the within-scale
correlations. Recommendations are provided for integrating these underlying
constructs into research and clinical applications of the PSYRATS.
PMID- 24936087
TI - Better than mermaids and stray dogs? Subtyping auditory verbal hallucinations and
its implications for research and practice.
AB - The phenomenological diversity of auditory verbal hallucinations (AVH) is not
currently accounted for by any model based around a single mechanism. This has
led to the proposal that there may be distinct AVH subtypes, which each possess
unique (as well as shared) underpinning mechanisms. This could have important
implications both for research design and clinical interventions because
different subtypes may be responsive to different types of treatment. This
article explores how AVH subtypes may be identified at the levels of
phenomenology, cognition, neurology, etiology, treatment response, diagnosis, and
voice hearer's own interpretations. Five subtypes are proposed; hypervigilance,
autobiographical memory (subdivided into dissociative and nondissociative), inner
speech (subdivided into obsessional, own thought, and novel), epileptic and
deafferentation. We suggest other facets of AVH, including negative content and
form (eg, commands), may be best treated as dimensional constructs that vary
across subtypes. After considering the limitations and challenges of AVH
subtyping, we highlight future research directions, including the need for a
subtype assessment tool.
PMID- 24936088
TI - Emerging perspectives from the hearing voices movement: implications for research
and practice.
AB - The international Hearing Voices Movement (HVM) is a prominent mental health
service-user/survivor movement that promotes the needs and perspectives of
experts by experience in the phenomenon of hearing voices (auditory verbal
hallucinations). The main tenet of the HVM is the notion that hearing voices is a
meaningful human experience, and in this article, we discuss the historical
growth and influence of the HVM before considering the implications of its values
for research and practice in relation to voice-hearing. Among other
recommendations, we suggest that the involvement of voice-hearers in research and
a greater use of narrative and qualitative approaches are essential. Challenges
for implementing user-led research are identified, and avenues for future
developments are discussed.
PMID- 24936091
TI - A novel deletion in the iron-response element of the L-ferritin gene, causing
hyperferritinaemia cataract syndrome.
AB - A 47-year-old woman, presenting to her family physician with fatigue, was
incidentally found to have persistently elevated ferritin. There was clinically
no suggestion of iron overload, and laboratory testing showed transferrin
saturation at the low end of the reference range. After ruling out acquired
causes of hyperferritinaemia, as well as laboratory interference, further
questioning revealed a history of bilateral early-onset cataracts, allowing a
diagnosis of hyperferritinaemia cataract syndrome to be made. DNA sequencing of
the 5' untranslated region of the L-ferritin gene revealed a novel 4-base
deletion in the iron response element, within a region known to be crucial for
binding iron regulatory protein.
PMID- 24936092
TI - Risk and benefit of dual antiplatelet treatment among non-revascularized
myocardial infarction patients in different age groups.
AB - BACKGROUND: Dual anti-platelet treatment with clopidogrel and aspirin is
indicated for most patients after myocardial infarction. We examined the
risk/benefit relationship of dual anti-platelet treatment according to age in a
nationwide cohort of 30,532 myocardial infarction patients without
revascularization. METHODS: Patients admitted with first-time myocardial
infarction in 2002-2010, not undergoing revascularization, were identified from
nationwide Danish registers. Dual anti-platelet treatment use was assessed by
claimed prescriptions. Stratified into age groups, risk of bleeding, all-cause
mortality and a combined endpoint of cardiovascular death, recurrent myocardial
infarction and ischaemic stroke was analysed by Cox proportional-hazard models
and tested in a propensity-score matched population. RESULTS: A total of 21,302
users and 9230 non-users of dual anti-platelet treatment were included (mean age
67.02 (+/-13.8) years and 64.7% males). Use of dual anti-platelet treatment
decreased with age: 80% (<60 years), 76% (60-69 years), 66% (70-79 years) and 52%
(>79 years). We found a reduced risk of cardiovascular death, recurrent
myocardial infarction and ischaemic stroke in users <60 years (Hazard ratio (HR)
=0.69; 95% confidence interval (CI) 0.59-0.80), 60-69 years (HR=0.64; 95% CI 0.56
0.73), 70-79 years (HR=0.80; 95% CI 0.72-0.89) and >79 years (HR=0.92; 95% CI
0.84-1.01, NS). Risk of bleeding increased with dual anti-platelet treatment use
in patients aged <60 years (HR=1.63; 95% CI 1.17-2.26), 60-69 years (HR=1.22; 95%
CI 0.97-1.59, NS), 70-79 years (HR=1.42; 95% CI 1.17-1.72) and >79 years
(HR=1.46; 95% CI 1.22-1.74). Similar tendencies in all four age groups were found
in the propensity-matched population. CONCLUSION: Dual anti-platelet treatment
use was less likely among elderly patients although similar effects regarding
both risk and benefit were found in all age groups. Increased focus on initiating
dual anti-platelet treatment in elderly, non-invasively treated myocardial
infarction patients is warranted.
PMID- 24936090
TI - The clinical and cost-effectiveness of brief advice for excessive alcohol
consumption among people attending sexual health clinics: a randomised controlled
trial.
AB - OBJECTIVES: To examine the clinical and cost-effectiveness of brief advice for
excessive alcohol consumption among people who attend sexual health clinics.
METHODS: Two-arm, parallel group, assessor blind, pragmatic, randomised
controlled trial. 802 people aged 19 years or over who attended one of three
sexual health clinics and were drinking excessively were randomised to either
brief advice or control treatment. Brief advice consisted of feedback on alcohol
and health, written information and an offer of an appointment with an Alcohol
Health Worker. Control participants received a leaflet on health and lifestyle.
The primary outcome was mean weekly alcohol consumption during the previous 90
days measured 6 months after randomisation. The main secondary outcome was
unprotected sex during this period. RESULTS: Among the 402 randomised to brief
advice, 397 (99%) received it. The adjusted mean difference in alcohol
consumption at 6 months was -2.33 units per week (95% CI -4.69 to 0.03, p=0.053)
among those in the active compared to the control arm of the trial. Unprotected
sex was reported by 154 (53%) of those who received brief advice, and 178 (59%)
controls (adjusted OR=0.89, 95% CI 0.63 to 1.25, p=0.496). There were no
significant differences in costs between study groups at 6 months. CONCLUSIONS:
Introduction of universal screening and brief advice for excessive alcohol use
among people attending sexual health clinics does not result in clinically
important reductions in alcohol consumption or provide a cost-effective use of
resources. TRIAL REGISTRATION NUMBER: Current Controlled Trials ISRCTN 99963322.
PMID- 24936093
TI - Autologous Fat Transfer: The Progenitor Cell Response to Different Recipient
Environments.
AB - BACKGROUND: Autologous fat transfer is a common procedure; however, results are
variable and unpredictable. OBJECTIVES: Stem cell responses to hypoxic
environments need to be elucidated to determine which cell types contribute to
graft survival. METHODS: Acellular dermal matrix (ADM) envelopes were implanted
in the subcutaneous tissues of 4 swine. In each swine, 2 envelopes were inserted
as controls (ADM group), and 2 were placed and injected with 5 mL of autologous
fat (ADM/fat group). Two additional envelopes were inserted and filled with 5 mL
of fat and an omental pedicle (A/F/O group). Animals were sacrificed and the
envelopes excised at 1, 2, 4, and 16 weeks. Specimens were analyzed
histologically and/or with flow cytometry. RESULTS: Fat was retained in ADM
envelopes with and without a pedicle blood supply, although the percentage of
volume retention was greater in the pedicled group. The peak number of
mesenchymal progenitor cells within the ADM/fat group was significantly greater
than the peak in the A/F/O group (P =.044), whereas endothelial progenitor cells
in the ADM/fat group showed a prolonged increase through 4 weeks (P =.015 vs the
A/F/O group at week 4). At 16 weeks, the interior surface of the matrix in the
ADM/fat group had significantly more blood vessels than that of the ADM or A/F/O
group (P = .0021 and .0036, respectively). CONCLUSIONS: Injecting fat into
hypoxic environments significantly increases the mesenchymal and endothelial
progenitor cell responses and enhances the formation of blood vessels.
PMID- 24936095
TI - An adjuvant technique using a drape and button in eyelid surgery.
PMID- 24936089
TI - From tones in tinnitus to sensed social interaction in schizophrenia: how
understanding cortical organization can inform the study of hallucinations and
psychosis.
AB - The content, modality, and perceptual attributes of hallucinations and other
psychotic symptoms may be related to neural representation at a single cell and
population level in the cerebral cortex. A brief survey of some principles and
examples of cortical representation and organization will be presented together
with evidence for a correspondence between the neurobiology of brain areas
activated at the time of a hallucination and the content of the corresponding
hallucinatory and psychotic experiences. Contrasting the hallucinations of
schizophrenia with other conditions, we highlight phenomenological aspects of
hallucinations that are ignored in clinical practice but carry potentially
important information about the brain regions and dysfunctions underlying them.
Knowledge of cortical representation and organization are being used to develop
animal models of hallucination and to test treatments that are now beginning to
translate to the clinical domain.
PMID- 24936094
TI - Surface Aesthetics in Tip Rhinoplasty: A Step-by-Step Guide.
AB - Tip rhinoplasty is a key component of aesthetic rhinoplasty. An understanding of
the correlation between tip surface aesthetics and the underlying anatomic
structures enables proper identification and correction of tip abnormalities.
Surface aesthetics of the attractive nose are created by certain lines, shadows,
and highlights with specific proportions and breakpoints. In this Featured
Operative Technique, the authors describe a stepwise process for tip rhinoplasty
that conceptualizes aesthetic subunits as geometric polygons to define the
existing deformity, the operative plan, and the aesthetic goals. Tip rhinoplasty
is described in detail, from initial markings through incisions and dissection.
The autorim graft concept is explained, and lateral crural steal and footplate
setback techniques are described for the attainment of symmetric domes with
correct lateral crural resting angles. Methods in columellar reconstruction are
described, including creating the columella (C') breakpoint and the infralobular
caudal contour graft. The principal author (B.C.) has applied these techniques to
257 consecutive "polygon rhinoplasties" over the past 3 years.
PMID- 24936096
TI - Facial aesthetic surgery in the setting of localized heat-induced urticaria.
PMID- 24936099
TI - An Efficient Simulation Budget Allocation Method Incorporating Regression for
Partitioned Domains.
AB - Simulation can be a very powerful tool to help decision making in many
applications but exploring multiple courses of actions can be time consuming.
Numerous ranking & selection (R&S) procedures have been developed to enhance the
simulation efficiency of finding the best design. To further improve efficiency,
one approach is to incorporate information from across the domain into a
regression equation. However, the use of a regression metamodel also inherits
some typical assumptions from most regression approaches, such as the assumption
of an underlying quadratic function and the simulation noise is homogeneous
across the domain of interest. To extend the limitation while retaining the
efficiency benefit, we propose to partition the domain of interest such that in
each partition the mean of the underlying function is approximately quadratic.
Our new method provides approximately optimal rules for between and within
partitions that determine the number of samples allocated to each design
location. The goal is to maximize the probability of correctly selecting the best
design. Numerical experiments demonstrate that our new approach can dramatically
enhance efficiency over existing efficient R&S methods.
PMID- 24936097
TI - Internal and external carotid artery embolism following facial injection of
autologous fat.
AB - Autologous fat injection is a common aesthetic procedure for soft-tissue
augmentation of the face. Although this procedure is generally regarded as safe,
several patients have experienced acute visual loss or cerebral infarction after
these injections. We describe a case of internal and external carotid artery fat
embolism that occurred following injection of autologous fat into the face. It
appeared that the injected fat entered a branch of the left external carotid
artery and that the embolus likely migrated into the left internal carotid artery
and distally into the left ophthalmic artery, left anterior artery, and middle
cerebral artery. LEVEL OF EVIDENCE 5:
PMID- 24936098
TI - Does Tramadol Have a Role in Pain Control in Palliative Care?
AB - BACKGROUND: The effectiveness of the step II of the World Health Organization
analgesic ladder including tramadol has been questioned recently. METHODS:
Retrospective study of patients treated with tramadol admitted as inpatients to
one palliative care unit between November 1, 2009, and October 30, 2012. RESULTS:
In the study period, 730 patients were admitted and 66 (9%) of them met the
criteria for inclusion; 45 (68%) continued medication with tramadol until
discharge from the unit, while 21 (32%) had to switch to an opioid for moderate
to severe pain. The reason for switching was uncontrolled pain in 16 (76%)
patients, and for 5 (24%) patients, the switch was made for other reasons.
CONCLUSION: The data suggest that tramadol may have a role to play in the
treatment of pain in palliative care.
PMID- 24936100
TI - Effects of six-week clarithromycin therapy in corticosteroid-dependent asthma: A
randomized, double-blind, placebo-controlled pilot study.
AB - BACKGROUND: Although corticosteroids such as prednisone are efficacious for the
treatment of severe asthma, chronic administration of oral corticosteroid therapy
is associated with significant adverse effects. Previous studies have shown that
clarithromycin is effective in reducing bronchial hyperresponsiveness and
allergen-induced bronchoconstriction. However, the effect of long-term
clarithromycin therapy in patients with prednisone-dependent asthma is uncertain.
OBJECTIVE: This study was conducted to determine the effects of oral
clarithromycin on prednisone daily dosage, pulmonary function, quality of life
(QOL), and asthmatic symptoms in patients with corticosteroid-dependent asthma.
METHODS: This 14-week, prospective, randomized, double-blind, placebo-controlled
pilot study was conducted at Pulmonary Associates (Phoenix, Arizona) and the
University of Illinois at Chicago Medical Center (Chicago, Illinois). Patients
aged 18 to 75 years with an established diagnosis of asthma and who had been
receiving >=5 mg/d of prednisone for the preceding 6 months were enrolled. After
a 4-week data-collection period, patients received clarithromycin 500 mg BID for
6 weeks, followed by a 4-week follow-up period. The effects of clarithromycin
therapy on prednisone dosage requirements, pulmonary function (as assessed using
spirometry), QOL, and asthmatic symptoms (nocturnal asthma, shortness of breath,
chest discomfort, wheezing, and cough) were assessed. RESULTS: Fourteen patients
(9 men, 5 women; mean [SD] age, 62 [13] years) completed the study and were
included in the final analysis. One patient withdrew from the study due to
clarithromycin-related nausea. After 6 weeks of clarithromycin therapy, patients
were able to tolerate a significant reduction in mean (SD) prednisone dosage from
baseline (30% [18%]; P- 0.020). Pulmonary function, QOL, and asthmatic symptoms
did not significantly worsen despite reduction in prednisone dose. All patients
who completed the study tolerated clarithromycin therapy. CONCLUSIONS: In this
pilot study of patients with corticosteroid-dependent asthma, 6-week
clarithromycin 500 mg BID was clinically effective in allowing a reduction in
prednisone dosage, without worsening pulmonary function, QOL, or asthmatic
symptoms. In addition, clarithromycin was well tolerated, with only 1 patient
discontinuing therapy due to treatment-related nausea.
PMID- 24936101
TI - Effects and cost of glycyrrhizin in the treatment of upper respiratory tract
infections in members of the Japanese maritime self-defense force: Preliminary
report of a prospective, randomized, double-blind, controlled, parallel-group,
alternate-day treatment assignment clinical trial.
AB - BACKGROUND: Upper respiratory tract infections (URTIs) account for at least half
of all acute illnesses. Specific antiviral therapy has not been developed against
most respiratory viruses thought to cause URTIs. The pharmacologic action of
glycyrrhizin has been shown to produce anti-inflammatory activity, modulation of
the immune system, inhibition of virus growth, and inactivation of viruses.
OBJECTIVE: The aim of this study was to assess the tolerability, efficacy, and
cost of glycyrrhizin in improving the severity and duration of signs and symptoms
of URTIs. The primary end point was tolerability, and the secondary and points
included improvement in signs and symptoms of URTI and cost. METHODS: Members of
the Japanese Maritime Self-Defense Force (SDF) treated for URTIs from January
2002 to May 2002 in the SDF Etajima Hospital (Hiroshima, Japan) were eligible for
this prospective, randomized, double-blind, controlled, parallel-group, alternate
day treatment assignment study. All patients in this study fulfilled the
following enrollment criteria: admitted to the hospital on the first arrival day
as an outpatient; fever (body temperature <38.0 degrees C) with signs and
symptoms of URTI (headache, sore throat, rhinorrhea, pharyngitis); and had not
received antibiotics or oseltamivir phosphate for 4 weeks before the study.
Patients who were admitted on an even day received an IV drip infusion of 40 mL
of glycyrrhizin (0.2%) and 500 mL of lactated Ringer's solution daily during
hospitalization (glycyrrhizin group). Patients who were admitted on an odd day
received an IV drip infusion of 500 mL/d of lactated Ringer's solution only
(control group). Adverse effects were assessed by the physicians during
hospitalization, using patient interview and laboratory analysis. RESULTS: Forty
one consecutive patients entered the study; 15 patients (15 men, 0 women; mean
[SD] age, 25.2 [1.5] years) were assigned to the glycyrrhizin group and 269
patients (24 men, 2 women; mean [SD] age, 22.6 [0.9] years) were assigned to the
control group. The 2 groups were similar in terms of baseline characteristics.
The mean duration of hospitalization was shorter (P = 0.01), the mean maximum
body temperature 24 to 48 hours after admission was less (P = 0.05), and the cost
of therapy (P = 0.03) was less in the glycyrrhizin group than the control group.
No AEs were reported. CONCLUSIONS: In this study of hospitalized patients with
URTIs, glycyrrhizin therapy was associated with a shorter hospitalization, lower
grade fever, and lower cost of therapy compared with controls, showing that it
may be beneficial to patients with URTIs without acute bacterial infections.
PMID- 24936102
TI - Bioequivalence study of two formulations of enalapril, at a single oral dose of
20 mg (tablets): A randomized, two-way, open-label, crossover study in healthy
volunteers.
AB - BACKGROUND: Enalapril maleate is the monoethyl ester prodrug of enalapril- at, an
angiotensin-converting enzyme inhibitor indicated in the management of essential
and renovascular hypertension, and in the treatment of congestive heart failure
and in asymptomatic patients with left ventricular dysfunction and an ejection
fraction of >=35%. Enalapril has little pharmacologic activity until hydrolyzed
in vivo to enalaprilat. OBJECTIVE: The aim of the present study was to compare
the bioavailability and tolerability of 2 commercial brands (test and reference
formulations) of enalapril tablets (20 mg), described as the rate and extent of
absorption of the active moiety, to assess their bioequivalence. METHODS: This
single-dose, randomized, 2-way, open-label, crossover study in healthy volunteers
aged 18 to 40 years was conducted at the Clinical Pharmacology Study Unit,
Hospital Clinico San Carlos (Madrid, Spain). Subjects were randomized to receive
(under fasting conditions) either the test or reference formulation of enalapril
(20-mg tablet) at study period 1 and the opposite formulation at study period 2.
Study periods were separated by a washout period of at least 7 days. During each
study period, 15 plasma extractions were made to determine enalapril and
enalaprilat plasma concentrations and to calculate the pharmacokinetic (PK)
properties (maximal plasma drug concentration [Cmax], time to Cmax [Tmax], area
under the plasma concentration-time curve [AUC] to the last measurable
concentration [AUCt], AUC from time 0 to infinity [AUC0-infinity], mean residence
time, and elimination half-life [tl2]) of both. Physical examination, subject
interview, laboratory analyses, electrocardiogram, and blood pressure (BP) were
used to assess tolerability. RESULTS: Twenty-four subjects were included in the
study (12 men, 12 women; mean [SD] age, 22.8 [2.2] years [range, 19-30 years]).
Of these, 1 subject (4.2%) withdrew from the study for personal reasons; thus, PK
and statistical analyses included results from 23 subjects. No statistically
significant sequence or period effect was found. Tmax was not statistically
different between the 2 formulations, and the 90% CI calculated for Tmax for the
difference of the medians was within the predefined range. The 90% CIs of the
logarithmically transformed concentration-derived parameters (Cmax AUCt, and AUC0
infinity) also were within the predefined range; thus, the 2 formulations are
considered bioequivalent. For both formulations, systolic and diastolic BPs
showed significant reductions compared with baseline values (P < 0.05). Seven
adverse effects were recorded, all of them transient and none of severe
intensity. CONCLUSIONS: In this study of 2 commercial brands (test and reference
formulations) of enalapril in healthy subjects, designed and conducted under Good
Clinical Practice guidelines, a similar rate and extent of absorption for both
formulations were found to be bioequivalent. Both formulations produced a
significant decrease in BP values and were generally well tolerated.
PMID- 24936103
TI - A comparison of the tolerability of two dilution volumes (0.5 mL and 1.0 mL) of a
purified chick embryo cell rabies vaccine administered intramuscularly to healthy
adult volunteers: A randomized, intraindividual, assessor-blind study.
AB - BACKGROUND: The current recommendation of the manufacturer for administering
purified chick embryo cell rabies vaccine (PCECV) is to reconstitute the contents
with 1.0 mL of water for injection (WFI). However, it has been debated whether a
lower volume of WFI (0.5 mL) is likely to cause less pain. OBJECTIVES: The aims
of this study were to compare the tolerability of PCECV administered IM at a
volume of 0.5 mL versus 1.0 mL of diluent and to determine the immunogenicity of
the vaccine when administered according to the World Health Organization
recommended preexposure prophylaxis regimen for rabies immunization. METHODS:
This comparative, intraindividual, assessor-blind study was conducted at the
Department of Clinical Pharmacology, Topiwala National Medical College and Bai
Yamunabai Laxman Nair Charitable Hospital Mumbai, India). Healthy volunteers aged
18 to 50 years received, by randomized sequence, 3 IM injections of PCECV,
diluted in 0.5 mL or 1.0 mL of WFI, on study days 0, 7, and 28. Tolerability was
assessed at 30 minutes and 24 hours after injection and included assessments for
local and systemic reactions. For immunogenicity assessment, rabies virus
neutralizing antibody 0RVNA) titers were assayed at baseline and on day 49 (ie, 3
weeks after the third injection). RESULTS: Twenty-six subjects (24 men, 2 women;
mean [SD] age, 22.4 [2.4] years; mean [SD] body weight, 59.0 [11.3] kg) entered
the study. Twenty-five subjects were included in the tolerability assessment; 24
in the immunogenicity assessment. No statistically significant differences were
found between dilutions in the frequency of local and systemic reactions. Most
reactions were mild. All subjects developed RVNA titers >0.5 IU/mL (indicative of
protection) by day 49. CONCLUSIONS: In this population of healthy volunteers, a
full antigenic dose of PCECV in a dilution of 0.5 mL WFI is as well tolerated
locally and systemically as in a dilution of 1.0 mL. All subjects developed
levels of RVNA far exceeding 0.5 IU/mL, which is indicative of protection against
rabies.
PMID- 24936104
TI - Efficacy and tolerability of olanzapine in patients with schizophrenia in
lithuania: A 13-week, multicenter, open-label, nonrandomized study.
AB - BACKGROUND: The atypical antipsychotic olanzapine has been approved for the
treatment of schizophrenia in Europe since 1996 but has been used primarily as a
second-line treatment to the less expensive typical agents. However, similar to
other atypical antipsychotic drugs, olanzapine has a lower risk of inducing
extrapyramidal symptoms, tardive dyskinesia, neuroleptic malignant syndrome, and
sexual dysfunction compared with the typical antipsychotic drugs. OBJECTIVE: The
aim of this study was to determine whether patients with schizophrenia who have a
poor response to their present antipsychotic therapy would show improvement when
switched to olanzapine. METHODS: This 13-week, multicenter, open-label,
nonrandomized trial was conducted at 5 centers in Lithuania. Patients were
started on oral olanzapine 10-mg tablets once daily, which could be adjusted by 5
mg/d in the dosing range of 5 to 20 mg/d. The primary efficacy measure was the
total score on the Brief Psychiatric Rating Scale (BPRS), which was extracted
from the Positive and Negative Syndrome Scale (PANSS). Efficacy response rate was
defined a priori as the percentage of patients achieving >=40% improvement in the
BPRS total score. Secondary assessments included the PANSS total and BPRS and
PANSS subscales and scores on the Clinical Global Impression-Severity of Illness
(CGI-S), the CGI-Global Improvement (CGI-I), and the Patient Global Impression
Improvement (PGI-I) tests. Tolerability was primarily measured by assessing the
incidence of treatment-emergent adverse events (AEs) according to the Udvalg fuer
Kliniske Undersogelser (UKU) Side Effect Rating Scale and laboratory analyses.
RESULTS: Twenty-four patients (13 men [54.2%]; mean [SD] age, 32.4 [8.1] years)
entered the study. Twenty-three (95.8%) of the 24 patients completed the study.
The mean (SD) daily dosage of olanzapine was 11.40 (2.18) mg/d. The total mean
(SD) BPRS score improved significantly from 37.8 (7.9) to 19.5 (13.7) (P <
0.001). The response rate was 58.3% (14/24 patients). The mean positive and
negative BPRS scores and the mean total and subscale PANSS scores all improved
significantly from baseline (P < 0.001). The mean (SD) CGI-S score improved
significantly from 4.8 (0.8) at baseline to 3.5 (1.1) at end point (P < 0.001).
Twenty-two patients (91.7%) showed improvement on the CGM scale. Similar
improvement was found on the PGM scale. Treatment-emergent AEs occurred in 7
patients (29.2%). Improvement was found on 31 of the 48 UKU scale items; no
change was shown on 15 items; and slight worsening was shown on 2 items. No
clinical abnormalities were detected during the study. CONCLUSION: In this study
of Lithuanian patients with schizophrenia, significant improvement was shown in
all efficacy measures. In addition, olanzapine was well tolerated in these
patients.
PMID- 24936107
TI - Herpes simplex pneumonia: Combination therapy with oral acyclovir and aerosolized
ribavirin in an immunocompetent patient.
AB - BACKGROUND: Herpes simplex viruses (HSVs) are known to cause respiratory tract
infections in immunocompromised hosts and, in rare instances, in immunocompetent
hosts. Numerous in vitro and in vivo studies have shown that aerosolized
administration of ribavirin can potently and selectively inhibit viral
replication in pulmonary disease, thereby increasing the effectiveness of
acyclovir in HSV. OBJECTIVE: In this case study, we reported on a 46-year-old
immunocompetent woman with HSV type 1 pneumonia with bilateral pulmonary
infiltrates but without mucocutaneous lesions. METHODS: The diagnosis was
confirmed using cytology, viral culture, and serology. Because of the persistence
of fever and dyspnea, we chose an antiviral therapy. The patient received oral
acyclovir and aerosolized ribavirin to improve the antiviral effectiveness of the
acyclovir and to reduce the symptoms and the time to resolution of the pulmonary
disease. RESULTS: After 3 days of therapy, dyspnea and fever decreased and
hypoxemia improved. After 2 weeks, computed tomography showed complete resolution
of pulmonary abnormalities. The patient did not report any adverse effects.
CONCLUSIONS: In our case study, we demonstrated that therapy with a combination
of aerosolized ribavirin and oral acyclovir may be useful to reduce the severity
of viral infection, the adverse effects, and the days of hospitalization. To our
knowledge, this is the first report in the literature of the synergistic effects
of the combination of aerosolized ribavirin and oral acyclovir in the treatment
of an immunocompetent patient with HSV pneumonia.
PMID- 24936106
TI - Effects of rosiglitazone treatment on the pentose phosphate pathway and
glutathione-dependent enzymes in liver and kidney of rats fed a high-fat diet.
AB - BACKGROUND: Animals fed high-fat diets have been shown to develop hyperglycemia,
insulin resistance, hyperlipidemia, and moderate obesity, which resemble the
human metabolic syndrome. Obesity, the metabolic syndrome, and some
thiazolidinediones, which act as insulin sensitizers, may increase oxidative
stress, and/or influence the levels of cellular reducing equivalents and
homeostasis. OBJECTIVE: This study investigated the effects of a high-fat diet,
rosiglitazone, or a high-fat diet plus rosiglitazone on metabolic syndrome
parameters and crucial liver and kidney enzyme activities in rats. METHODS: Male
Wistar rats were assigned to 4 groups (n = 6 per group): (1) the fat (F) group
was fed a rodent diet comprising 45 kcal% fat, (2) the rosiglitazone (R) group
was fed a standard rat chow comprising 4.97 kcal% fat plus rosiglitazone (3
mg/kg.d), (3) the fat + rosiglitazone (FR) group was fed a rodent diet comprising
45 kcal% fat (as lard, product D12451) plus rosiglitazone (3 mg/kg.d), and (4)
the control (C) group was fed a standard rat chow comprising 4.97 kcal% fat.
Animals were housed for 4 weeks, at which time the liver and kidney were isolated
for spectrophotometric determination of enzyme activities. Body weight was
measured before treatment (baseline) and then weekly throughout the study.
Adiposity was measured at the end of the 4 weeks. RESULTS: The activities of
glucose-6-phosphate dehydrogenase (G6PD), 6-phosphogluconate dehydrogenase (6
PGD), glutathione reductase (GR), and glutathione-S-transferase (GST) were
significantly reduced in the livers of groups F, R, and FR compared with group C
(all P < 0.05). Kidney G6PD, 6-PGD, and GR were found to be significantly lower
in group R compared with the other groups (all P < 0.05). Kidney GST was similar
in all groups. Plasma glucose, triglyceride, and insulin concentrations were
significantly higher than in group F versus the other groups (all P < 0.05).
Adiposity was increased in groups F and FR compared with groups C and R (all P <
0.05). Serum cholesterol concentrations were similar in all groups. CONCLUSIONS:
In this study, high-fat diet in rats decreased the enzyme activities responsible
for pentose phosphate pathway and glutathione-dependent metabolism in liver but
not in kidney. Similarly, these enzyme activities were inhibited with
rosiglitazone treatment alone in both organs.
PMID- 24936105
TI - Prophylactic activity of increasing doses of intravenous histamine in refractory
migraine: Retrospective observations of a series of patients with migraine
without aura.
AB - BACKGROUND: Histamine is thought to play a pivotal role in the modulation of
peripheral and central pain. The administration of increasing doses of histamine
may lead to desensitization of receptors of histamine types 1 and 2, causing
meningeal vasodilation, and to depletion of neuropeptides in the trigeminal
ganglion, thus inhibiting the initiation of migraine. OBJECTIVE: In this study,
the efficacy and tolerability of increasing doses of IV histamine in migraine
prophylaxis were investigated. METHODS: This single-center, open-label,
retrospective, controlled study was conducted at the Headache Center (Department
of Internal Medicine, University of Florence, Villa Monna Tessa, Italy). Patients
included in the study had 3 to 6 migraines without aura per month that were
refractory to common symptomatic and prophylactic agents in the 6 months
preceding the study. Patients were treated with IV histamine hydrochloride for 21
days starting with a dosage of 0.5 mg/d and increasing to 4.0 mg/d. To assess the
efficacy of the treatment, these patients were matched for age; sex; and
frequency, duration, and severity of attacks with untreated migraineurs. Clinical
benefit was defined as ? 1 migraine of mild intensity per month. Tolerability was
assessed during the hospitalization period, and patients were instructed to
contact the Headache Center to report any adverse effects after hospital
discharge. RESULTS: The histamine group comprised 47 patients (40 women, 7 men;
mean [SD] age, 42.0 [8.6] years) and the control group comprised 23 patients (20
women, 3 men; mean [SD] age, 38.8 [8.4] years). The histamine-treated patients
showed a clinical benefit lasting for a mean of 10.4 (4.2) months, while the
patients in the control group showed a clinical benefit of 3.8 (1.9) months. The
difference in the duration of the clinical benefit between the 2 groups was 6.6
months (95% CI, 5.15-7.99). Adverse effects consisted of flushing, heat sensation
during infusion, headache, and palpitations. CONCLUSIONS: In this study,
histamine showed lasting prophylactic efficacy in migraineurs. If further
research confirms this preliminary finding, histamine could be considered when
established prophylactic drugs, such as betablockers, calcium antagonists,
antidepressants, and antiepileptics, have not been effective.
PMID- 24936108
TI - Pharmacokinetic properties and tolerability of single-dose terbutaline in
patients with severe asthma treated in the pediatric intensive care unit.
AB - BACKGROUND: Asthmatic children requiring treatment in the pediatric intensive
care unit (PICU) receive aggressive drug therapy that may include IV
administration of beta 2-receptor agonists to prevent progression to life
threatening respiratory failure. The only pharmacologic agent in this class
currently available for parenteral use in the United States is terbutaline. Study
of IV dosing of terbutaline in the pediatric population has been limited.
OBJECTIVE: The aim of this study was to determine the pharmacokinetic (PK)
properties and tolerability of single-dose terbutaline in pediatric patients
across a broad age range who were admitted to the PICU and were receiving maximal
conventional asthma drug therapy. METHODS: This study was conducted at the PICU
at Rainbow Babies and Children's Hospital (Cleveland, Ohio). Patients aged 6
months to 16 years with severe exacerbation of reactive airways disease and who
were undergoing maximal conventional therapy and had an arterial catheter were
enrolled. Patients were arbitrarily assigned to receive a single IV infusion of 1
of 3 doses of terbutaline (10, 20, or 30 MUg/kg), infused over 5 minutes. Blood
samples were obtained for the determination of plasma terbutaline concentrations
just before terbutaline was administered (baseline), immediately on completion of
the IV infusion, and at 10, 20, and 40 minutes and 1, 2, 4, 8, 16, 32, 48, and 72
hours after the 5-minute infusion. PK properties (elimination half-life [tl2],
mean residence time [MRT], apparent steady-state volume of distribution [Vdss],
and total body clearance [CI]) were determined and adverse effects were recorded.
RESULTS: The determination of terbutaline PK properties was possible in 50 of 56
enrolled patients (31 boys, 19 girls; mean [SD] age, 6.5 [4.5] years). The PK
properties of terbutaline were linear over the dose range studied and, with the
exception of the expected dose-dependent increases in peak terbutaline plasma
concentration and area under the terbutaline plasma concentration-time curve, no
statistically significant differences were observed in PK relative to dose.
Therefore, we pooled the data for all subsequent analyses. Statistically
significant correlations with patient age were observed with tl2 (r = 0.4, P <
0.006), MRT (r = 0.4, P < 0.002), and Vdss (r = 0.33, P < 0.02), but not C1 (r =
0.03, P = NS). Single-dose terbutaline administration was generally well
tolerated. CONCLUSIONS: Single-dose IV terbutaline was well tolerated in this
study. In maximally treated asthmatic patients in the PICU, terbutaline
elimination may be more rapid than in nonacutely ill children. These PK data
suggest that if the drug is to be administered intravenously, the continuous IV
infusion method, including loading doses for any subsequent dose escalations, may
be the most appropriate. The influence of age and safety of long-term, continuous
terbutaline IV infusion requires further study.
PMID- 24936109
TI - Good work establishes the need for more research.
PMID- 24936110
TI - Efficacy and tolerability of amifostine in elderly cancer patients.
AB - BACKGROUND: Amifostine is a cytoprotective agent used to prevent cisplatin
nephrotoxicity. It is associated with dose-limiting acute toxicities of emetic
symptoms (nausea and vomiting) and transient hypotension. OBJECTIVE: The aim of
this study was to analyze the efficacy and tolerability of amifostine in elderly
cancer patients. METHODS: This 18-month, prospective, comparative study was
conducted at the Department of Internal Medicine, Adnan Menderes University
Hospital (Aydin, Turkey). Adult (aged 40-<85 years) hospitalized patients with
advanced-stage cancer without comorbid diseases were enrolled. Patients were
divided into 2 groups: age <70 years (group 1) and >=70 years (group 2). All
patients were treated with amifostine + cisplatin-based chemotherapy (CT).
Amifostine 910 mg/m(2) (maximum, 1500 mg) was administered as a 15-minute IV
infusion. Clinical systolic and diastolic blood pressures (SBP and DBP,
respectively) were measured at 0 minute (baseline), at 8 and 15 minutes of
amifostine infusion, and at 30 minutes after the start of amifostine infusion. In
addition to physical examination, chest radiography, electrocardiography, blood
chemistry (including serum electrolytes and renal function tests), complete blood
count, and complete urinalyses were performed before each CT administration and
at the post-CT day of toxicity assessment. RESULTS: Thirty-five consecutive
patients were enrolled (22 men, 13 women; mean [SD] age, 61 [12] years; group 1,
n = 22; group 2, n = 13). Patients received a total of 153 CT cycles (median, 4
cycles/patient; group 1, 96 cycles; group 2, 57 cycles). Amifostine caused
significant SBP and DBP reductions at 8 minutes of infusion compared with
baseline in groups 1 (both P < 0.001) and 2 (P = 0.002 and P = 0.006,
respectively). Overall, 20 patients (57.1%) experienced >= 1 symptomatic
hypotensive episode; these rates were not significantly different between groups
1 (11 cases, 50.0%) and 2 (9 cases, 69.2%). Amifostine infusion was interrupted a
similar number of times (6 times in group 1 and 4 times in group 2 [6.3% and 7.0%
of administrations, respectively]) due to hypotension, but could be restarted in
all. At 15 minutes, mean SBP and DBP values were not significantly different from
baseline in either group. The mean baseline SBP values were similar between
groups at baseline, and, overall, the differences in mean SBP and DBP values were
not significant between groups at any time point. All other toxicities were
comparable, and serum creatinine concentrations did not change significantly from
baseline with CT in either group. CONCLUSIONS: In this study of the efficacy and
tolerability of amifostine in elderly patients with advanced-stage cancer without
comorbid diseases, amifostine was effective in reducing cisplatin-induced
nephrotoxicity, with transient systolic and diastolic hypotension being the most
prominent adverse effect. All other toxicities were either low grade or
preventable. No significant differences in amifostine tolerability or toxicities
were observed between the study groups.
PMID- 24936112
TI - Effects of a weight-reduction program with orlistat on serum leptin levels in
obese women: A 12-week, randomized, placebo-controlled study.
AB - BACKGROUND: Leptin, which has been identified as an antiobesity hormone,
regulates body weight by controlling food intake and energy expenditure via the
hypothalamic-pituitary-gonadal axis. It appears that leptin may be an important
factor in obesity management. Orlistat, a pancreatic lipase inhibitor, could
reduce fat absorption and promote weight loss due to leptin metabolism.
OBJECTIVE: The purpose of this study was to investigate the effects of orlistat
therapy on serum leptin levels. METHODS: Obese women (body mass index [BMI], 30
kg/m(2)) aged 18 to 50 years were randomly assigned to receive 12 weeks of oral
treatment with diet-orlistat (120 mg TID) (DO group) or diet-placebo (DP group).
During the treatment period, patients were asked to eat a balanced diet of -1200
to 1600 kcal/d. Body composition was determined by bioelectrical impedance. Serum
leptin levels were measured using radioimmunoassay at baseline and at study end.
RESULTS: A total of 24 patients entered the study; 14 patients (mean [SE] BMI,
37.7 [1.1] kg/m(2)) received orlistat and 10 patients (mean [SE] BMI, 39.4 [1.3]
kg/m(2)) received placebo. Compared with baseline, mean percentages of loss of
body weight and fat mass after 12 weeks of treatment were significant in the DO
group (9.1% and 14.8%, respectively; both P = 0.001) and in the DP group (9.5%
and 17.6%; both P = 0.005). The between-group differences were not statistically
significant. Mean (SE) serum leptin levels also decreased significantly after
treatment in the DO group (16.2 [1.2] vs 9.0 [1.0] ng/mL; P = 0.001) and in the
DP group (19.3 [2.1] vs 9.7 [1.4] ng/mL; P = 0.005). The between-group difference
was not statistically significant. CONCLUSIONS: In this study of obese women,
orlistat treatment was associated with a similar decrease in body weight, fat
mass, and serum leptin levels as placebo over a 12-week period. In this regard,
short-term orlistat therapy may not provide an additional effect on serum leptin
levels, and reduction in leptin levels were closely related to the decrease in
fat mass.
PMID- 24936113
TI - Efficacy and tolerability of a switch to fixed-dose combination therapy with
amlodipine besylate/benazepril hydrochloride after monotherapy with amlodipine
besylate: Data from the African-American subpopulation of a practice-based, open
label study (the LOGIC study).
AB - BACKGROUND: The LOGIC (LOtrel: Gauging Improved Control) study assessed the
efficacy and tolerability of switching from amlodipine besylate monotherapy to
fixed-dose combination therapy with amlodipine besylate/benazepril hydrochloride
(HCI) in patients who were experiencing uncontrolled blood pressure (BP) or edema
with monotherapy. OBJECTIVE: This article reports the efficacy and tolerability
of amlodipine besylate/benazepril HCI combination therapy in the predefined
African-American population of the LOGIC study. METHODS: This multicenter (1518
centers across the United States), practice-based, open-label, clinical trial
enrolled patients with mild to moderate essential hypertension. Patients in group
1 had uncontrolled BP (sitting diastolic BP [DBP] >=90 mm Hg and <=110 mm Hg)
during treatment with amlodipine besylate monotherapy 5 or 10 mg/d, and those in
group 2 had controlled BP (sitting DBP ?90 mm Hg), but also had experienced edema
during amlodipine besylate monotherapy. Participants were instructed to
discontinue amlodipine besylate and were given amlodipine besylate/benazepril HCl
5/10 mg/d or 5/20 mg/d for 4 weeks. For group 1, the primary efficacy outcome was
the change in mean sitting DBP (MSDBP) from baseline to week 4; a secondary
efficacy outcome was the change in mean sitting systolic BP (MSSBP) from baseline
to week 4. The primary efficacy outcome for group 2 was the percentage of
patients whose edema improved with the switch to combination therapy. The
secondary efficacy variables in group 2 were the changes in MSDBP and MSSBP from
baseline to week 4. Patients in groups 1 and 2 were questioned about any adverse
events that may have occurred since the previous visit. At both study visits,
medications were reviewed, and the level of edema was assessed. RESULTS: A total
of 2055 African-American patients were enrolled in the study. At study end,
African-American patients in group 1 (n = 1422 assessable patients) experienced
significant reductions in MSSBP (13.9 mm Hg) and MSDBP (10.4 mm Hg) from those
achieved during amlodipine besylate monotherapy (both P < 0.001). In group 2 (n =
266 assessable patients), 81% of African-American patients reported improvement
in edema, and BP remained well controlled. CONCLUSIONS: In this study of an
African-American subpopulation of patients with mild to moderate essential
hypertension who had uncontrolled BP while receiving amlodipine besylate
monotherapy, switching from amlodipine besylate monotherapy to fixed-dose
amlodipine besylate/benazepril HCl combination therapy reduced BP to a greater
extent than with amlodipine besylate alone, and reduced the incidence of edema in
patients who were edematous but who had controlled BP. Fixed-dose combination
therapy with amlodipine besylate/benazepril HCI has the potential to improve BP
control, leading to improved clinical outcomes and enhanced treatment compliance.
PMID- 24936114
TI - Severity and impact of xerostomia in patients treated with botulinum toxin type b
for cervical dystonia: Observations on the quality of life of patients with
xerostomia.
AB - BACKGROUND: Although dry mouth (xerostomia) has been reported with botulinum
toxin type B used as treatment for cervical dystonia, the impact of this adverse
effect (AE) on patients' activities of daily living (ADLs) has not been assessed.
t OBJECTIVE: The aim of this study was to examine the severity, duration, and
impact of xerostomia in patients with cervical dystonia who reported this AE in
routine clinical practice following treatment with botulinum toxin type B.
METHODS: In this uncontrolled study, investigators at 5 study centers across the
United States retrospectively identified patients who were diagnosed with
cervical dystonia and had received >= 1 treatment with botulinum toxin type B
injection and who had reported xerostomia, based on patients' charts. These
patients were mailed a survey that included questions about their treatment
history, disease severity, and xerostomia (severity, onset, duration, change with
subsequent injections, and effects on dental and oral health), as well as an 8
item Patient Benefit Questionnaire (PBQ), which was designed to assess the impact
of xerostomia symptoms on patients' ADLs. RESULTS: A total of 45 patients
received a mean of 2.91 injections with botulinum toxin type B (mean dose per
injection, 11,958 U), with a total of 131 injections. The mean severity of
patient-rated xerostomia following the first injection of botulinum toxin type B
was 3.88 on a scale of 1 (mild) to 5 (severe), and this rating did not change for
patients who received subsequent injections (mean, 3.76). Following atypical
injection of botulinum toxin type B, xerostomia began a mean (SD) of 4.82 (3.32)
days later and persisted for a mean (SD) duration of 5.56 (3.57) weeks. The
overall mean score on the 10-point PBQ prior to botulinum toxin treatment was
8.89, which decreased to 5.42 following botulinum toxin type B injection (lower
scores indicate more severe xerostomia). CONCLUSIONS: This study of patients with
cervical dystonia suggests that patients who experience xerostomia following
treatment with botulinum toxin type B injection, on average, rate their symptoms
as moderate to severe and exhibit reduced scores on the PBQ-a questionnaire on
which lower scores indicate greater negative impact of xerostomia on patients'
ADLs.
PMID- 24936116
TI - Pitfalls in crossover studies.
PMID- 24936115
TI - Blood pressure control and weight loss in overweight or obese patients with
previously treated or untreated mild to moderate hypertension given valsartan: An
open-label study comparing pretreatment and posttreatment values.
AB - BACKGROUND: Hypertension is associated with obesity. Recent studies have
indicated that therapy with an angiotensin II antagonist, in addition to having
an antihypertensive effect, may cause a reduction in body weight. OBJECTIVE: The
aim of this study was to assess the efficacy and tolerability of valsartan in the
treatment of overweight or obese patients with mild to moderate essential
hypertension. METHODS: Overweight or obese outpatients aged 18 to <70 years with
previously treated or untreated mild to moderate essential hypertension were
eligible for this open-label study conducted at the Department of Internal
Medicine and Aging, Clinica Medica II, Policlinico S. Orsola-Malpighi (Bologna,
Italy). After a 1-week pharmacologic washout period, patients were treated with
valsartan capsules at a fixed dosage of 80 mg once daily for 8 weeks. The dosage
was increased to 160 mg once daily if, at 8 weeks, diastolic blood pressure (DBP)
was not normalized; otherwise, the 80-mg/d dosage was maintained. Treatment was
continued for an additional 16 weeks. Patients' heart rate, systolic blood
pressure (SBP) and DBP, body mass index (BMI), and waist-hip ratio (WHR) were
measured/calculated at baseline (week 0) and 8, 16, and 24 weeks. Patients were
asked to maintain a 1600-kcal/d diet throughout the study. RESULTS: Forty-eight
patients (28 men, 20 women; mean [SD] age, 57 [9] years) were included in the
study. In the 45 patients (93.8%) who completed the study, mean SBP, DBP, and BMI
were significantly decreased compared with baseline (all P < 0.001), but WHR was
significantly increased (P < 0.05). After 24 weeks of treatment, 71.1 % of
patients had SBP/DBP <= 140/<=90 mm Hg. Three patients (6.3%) withdrew from the
study due to treatment-related adverse events. CONCLUSION: In this population of
overweight or obese patients with mild to moderate hypertension, valsartan was
well tolerated, and could be effective in controlling blood pressure and
achieving weight loss in such patients.
PMID- 24936117
TI - Effects of low-dose treatment with felodipine versus fosinopril in Chinese
patients with nonischemic heart failure and normal blood pressure: A double
blind, randomized, crossover study.
AB - BACKGROUND: Two second-generation calcium channel blockers, felodipine and
amlodipine besylate, have been associated with similar high mortality rates in
patients with ischemic heart failure (HF) but not in patients with nonischemic
causes of HF. In patients with nonischemic HF, amlodipine might have a beneficial
effect on survival. However, no difference in mortality rates was found between
felodipine and placebo in a nonischemic HF group. Felodipine 10 mg/d was used in
1 large study, a dose considered high for nonischemic HF usually associated with
normal blood pressure (BP). OBJECTIVE: The aim of this study was to compare the
effects of 12-week, low-dose treatment with felodipine versus those of an
angiotensin-converting enzyme inhibitor, fosinopril sodium, in patients with
nonischemic HF and normal BP. METHODS: This double-blind, randomized, crossover
trial was conducted at Taipei Medical University Hospital (Taipei, Taiwan).
Patients aged >= 18 years with angiographically proved, nonischemic HF and normal
BP who were being treated with an optimal regimen of digitalis and diuretics were
enrolled. After a 2-week run-in period, patients were randomized to first receive
12 weeks of treatment with felodipine tablets (2.5 mg/d) or fosinopril tablets
(7.5 mg/d) and, after a 2-week washout period, were crossed over to the opposite
treatment. Efficacy analysis was performed before (baseline) and after treatment
and included symptomatic assessment using a 7-grade clinical scale; 2-dimensional
echocardiography (2-D echo); exercise tests; and neurohumoral data, including
plasma renin activity, plasma aldosterone, and 24-hour urinary epinephrine (E)
and norepinephrine (NE) measurements. The primary end point was death due to HF,
and the secondary end point was hospital admission due to worsening HF.
Compliance was measured using a pill count at the end of each treatment period.
RESULTS: We enrolled 33 patients. One developed worsening HF during the run-in
period and was admitted. A total of 32 patients entered the study (18 men, 14
women; mean [SD] age, 48.2 [6.3] years [range, 34-56 years]; mean [SD] systolic
BP, 117.2 [9.8] mm Hg [range, 100-138 mm Hg]; mean [SD] diastolic BP, 59.4 [5.7]
mm Hg [range, 50-72 mm Hg]). No hospital admission or cardiac death due to HF
occurred during 12 weeks of treatment. Twenty-seven patients were included in the
felodipine assessment, and 30 patients were included in the fosinopril
assessment. Significant improvement in clinical score was noted in both treatment
groups (both P < 0.01). The clinical scores did not differ significantly between
the 2 treatments. No significant differences were found in 2-D echo parameters
between treatments or within groups after treatment versus baseline. Significant
improvement in exercise duration was noted with both study drugs after treatment
versus baseline (both P < 0.01). No significant difference in exercise duration
was found between the 2 treatments. Urinary E and NE were not significantly
different between treatments or after treatment with either study drug compared
with baseline. CONCLUSION: The present findings suggest that, in Chinese patients
with moderate to severe HF who have normal BP and insignificant coronary artery
disease and were being treated with diuretics and digitalis, a 12-week, low-dose
course of felodipine (2.5 mg/d) as a vasodilator was associated with as
satisfactory an outcome as standard treatment with fosinopril (7.5 mg/d).
PMID- 24936118
TI - Effects of single-dose injectable paracetamolversus propacetamol in pain
management after minor gynecologic surgery: A multicenter, randomized, double
blind, active-controlled, two-parallel-group study.
AB - BACKGROUND: Intravenous administration is the route of choice for drug therapy in
the immediate postoperative period. Propacetamol (ProAPAP), an injectable prodrug
of paracetamol requiring reconstitution, has demonstrated efficacy in managing
acute pain and fever. However, it has been associated with pain at the injection
site. A stable, ready-to-use formulation of paracetamol solution infused
intravenously (IV-APAP) has been developed and might be associated with less pain
at the injection site compared with ProAPAR. OBJECTIVE: The objective of this
study was to assess the tolerability and efficacy of a single dose of IV APAP 1 g
compared with those of a single dose of ProAPAP 2 g in patients with moderate to
severe pain after minor gynecologic surgery. METHODS: This single-dose,
randomized, double-blind, active-controlled,2-parallel-group study was conducted
at 23 hospitals and outpatient clinics in France. After minor gynecologic
surgery, patients reporting moderate to severe pain were randomized to receive a
single 15-minute infusion of IV-APAP 1 g or ProAPAP 2 g (bioeyuivalent doses).
Tolerability was monitored using local and systemic adverse event (AE) reporting,
clinical examination including vital sign measurement, and patients' ratings of
acceptability of the infusion. Efficacy end points included pain intensity at 0,
1, 2, 4, and 6 hours; median time to rescue medication (defined as the time at
which 50% of patients requested rescue medication); and percentage of patients
requesting rescue medication. Patients' satisfaction with the study drugs was
assessed using patient's global evaluation (PGE) and the percentage of patients
willing to receive the treatment again. RESULTS: Of the 163 women who were
randomized, 161 received the studymedication. The IV-APAP group comprised 80
patients (mean [SD] age, 38.3 [12.8] years [range, 18.0-69.0 years]; mean [SD]
weight, 61.1 [11.0] kg [range, 49.0-90.0 kg]), and the ProAPAP group comprised 81
patients (mean [SD] age, 33.9 [12.0] years [range, 18.0-67.0 years]; mean [SD]
weight, 61.6 [10.2] kg [range, 42.0-95.5 kg]); the difference in mean age between
the 2 groups was statistically significant (P < 0.05). The incidence of local
treatment-emergent AEs (TEAEs) was significantly lower in the IV-APAP group
compared with that in the ProAPAP group (7.5% vs 38.3%; P < 0.001). No between
group differences in the incidence of systemic TEAEs was found. All patients in
the IV-APAP group found the infusion tolerable, compared with 95% of patients in
the ProAPAP group. The median time to rescue medication was not evaluated because
<50% of the patients in each group requested it. No significant differences in
mean pain intensity score or percentage of patients requesting rescue medication
were found between the 2 groups at any time point. The percentages of patients in
the IV-APAP and ProAPAP groups who rated the study medication as good or
excellent on the PGE (83.6% vs 75.6%; P < 0.05) and who were willing to receive
the same treatment again (96.0% vs 81.0%; P = 0.005) were significantly higher
with IV-APAP compared with ProAPAP. CONCLUSION: In these patients with moderate
to severe pain after minor gynecologic surgery, a single dose of IV-APAP was
associated with better local tolerability, similar analgesic efficacy, and
greater patient satisfaction compared with a single bioequivalent dose of
ProAPAP.
PMID- 24936119
TI - Rosuvastatin versus atorvastatin in achieving lipid goals in patients at high
risk for cardiovascular disease in clinical practice: A randomized, open-label,
parallel-group, multicenter study (DISCOVERY Alpha study).
AB - BACKGROUND: The majority of clinical trials investigating the clinical benefits
of lipid-lowering therapies (LLTs) have focused on North American or western and
nothern European populations. Therefore, it is timely to confirm the efficacy of
these agents in other patient populations in routine clinical practice.
OBJECTIVE: The aim of the Direct Statin COmparison of low-density lipoprotein
cholesterol (LDL-C) Values: an Evaluation of Rosuvastatin therapY (DISCOVERY)
Alpha study was to compare the effects of rosuvastatin 10 mg with those of
atorvastatin 10 mg in achieving LDL-C goals in the Third Joint Task Force of
European and Other Societies on Cardiovascular Disease Prevention in Clinical
Practice guidelines. METHODS: This randomized, open-label, parallel-group study
was conducted at 93 centers in eastern Europe (Estonia, Latvia, Romania, Russia,
Slovenia), Central and South America (Chile, Dominican Republic, El Salvador,
Guatemala, Honduras, Nicaragua, Panama), and the Middle East (Israel, Kuwait,
Saudi Arabia, United Arab Emirates). Male and female patients aged >=18 years
with primary hypercholesterolemia (LDL-C level, >135 mg/dL if LLT-naive or >=120
mg/dL if switching statins; triglyceride [TG] level, <400 mg/dL) and a 10-year
coronary heart disease (CHD) risk >20% or a history of CHD or other established
atherosclerotic disease were eligible for inclusion in the study. Patients were
randomly assigned to receive rosuvastatin 10-mg or atorvastatin 10-mg tablets QD
for 12 weeks. No formal statistical analyses or comparisons were performed on
lipid changes between switched and LLT-naive patients because of the different
lipid inclusion criteria for these patients. The primary end point was the
proportion of patients achieving 1998 European LDL-C goals after 12 weeks of
treatment. A subanalysis was performed to assess the effects of statins in
patients who had received previous statin treatment versus those who were LLT
naive. Tolerability was assessed using laboratory analysis and direct questioning
of the patients. RESULTS: A total of 1506 patients (52.1% women, 47.9% men; mean
[SD] age, 58.2 [10.8] years) participated in the study (rosuvastatin, 1002
patients; atorvastatin, 504 patients; previous LLT, 567 patients). A
significantly higher proportion of patients achieved 1998 European LDL-C goals
after 12 weeks with rosuvastatin 10 mg than with atorvastatin 10 mg (72.5% vs
56.6%; P < 0.001). Similarly, more patients achieved the 2003 European LDL-C
goals with rosuvastatin 10 mg compared with atorvastatin 10 mg (57.5% vs 39.2%).
Rosuvastatin 10 mg was associated with a significantly greater change in LDL-C
levels compared with atorvastatin 10 mg, in patients who were LLT-naive (LDL-C:
44.7% vs -33.9%; P < 0.001) and in patients who had received previous LLT (LDL-C:
-32.0% vs -26.5%; P = 0.006). TG levels were also decreased with rosuvastatin 10
mg and atorvastatin 10 mg, although there was no significant difference between
treatments. Similarly, there was no significant difference in the increase in
high-density lipoprotein cholesterol levels between treatments. The most common
adverse events overall were headache 16/1497 (1.1%), myalgia 10/1497 (0.7%), and
nausea 10/1497 (0.7%). CONCLUSIONS: In this study in patients with primary
hypercholesterolemia in clinical practice, greater reductions in LDL-C levels
were achieved with a starting dose (10 mg) of rosuvastatin compared with
atorvastatin 10 mg, with more patients achieving European LDL-C goals. Both
treatments were well tolerated.
PMID- 24936120
TI - The Interplay of Stress and Attachment in Individuals Weathering Loss of
Employment.
PMID- 24936121
TI - Utility of absolute lymphocyte count as a surrogate marker of CD4 cell counts: Is
it useful?
AB - BACKGROUND: Human immunodeficiency virus (HIV) management has witnessed paradigm
changes over the past decade. In the early era, Absolute lymphocyte counts (ALC)
were used as an inexpensive, indirect marker of immunity status. With time, CD4
lymphocyte counts and HIV RNA levels have become a standard of care for follow up
of people living with HIV/AIDS (PLHA). Wide disparities between resource rich and
poor countries, rekindles the need for an inexpensive surrogate marker for CD4
lymphocyte counts. Multiple studies in the past including one by Sen S et al, in
2011 did not validate ALC as a surrogate marker of CD4 lymphocyte counts and had
recommended a similar study at another centre to validate the same.(1) Recently
few publications have suggested that ALC may be used as a proxy marker to CD4
lymphocyte counts in resource poor areas.(2) With this backdrop we decided to
evaluate the association if any, of ALC as a surrogate marker to CD4 lymphocyte
counts. METHODS: ALC and CD4 lymphocyte counts measurements of 241 patients at
our HIV/AIDS referral centre were assessed over a period of 13 months. RESULTS:
Pearson correlation coefficient, coefficient of determination and standard
statistical methods revealed modest linear correlation between ALC and CD4
lymphocyte counts which was statistically significant but did not have clinical
significance. CONCLUSION: We recommend that time has come to call curtains down
on ALC as a surrogate marker for CD4 lymphocyte count.
PMID- 24936122
TI - "Mesenteric cyst: A rare intra-abdominal tumour".
PMID- 24936123
TI - An urgent need for introduction of fourth-generation Ag-Ab based EIA for
detection of HIV infection.
PMID- 24936124
TI - Validation of Datascope Accutorr PlusTM using British Hypertension Society (BHS)
and Association for the Advancement of Medical Instrumentation (AAMI) protocol
guidelines.
AB - OBJECTIVE: To assure the accuracy and reliability of blood pressure measurement
by non-invasive blood pressure monitor using Datascope Accutorr PlusTM (Paramus,
NJ, USA) against mercury manometer, among adult male participants. METHOD: Eighty
participants from a family physician's office at a teaching hospital were
recruited. One hundred and sixty measurements of blood pressure were performed
according to BHS technique protocol. STATISTICAL ANALYSIS: Descriptive analysis
was done according to the AAMI and BHS protocol guidelines. The limits of
agreement between the device and the standard were plotted using the method of
Bland and Altman plot. RESULTS: The mean difference +/- SD between the Datascope
Acutorr PlusTM and observer was 2.7 +/- 5.2 mm Hg and 1.5 +/- 3.26 mm Hg for
systolic and diastolic blood pressure, respectively. Datascope Acutorr PlusTM
obtained A/A grading for both systolic and diastolic blood pressure. CONCLUSION:
Datascope Accutorr PlusTM (Paramus, NJ, USA) satisfies BHS and AAMI validation
protocols for both systolic and diastolic BP and may be recommended for everyday
use for BP monitoring at home and in clinical use for adult population.
PMID- 24936125
TI - Short term follow-up of prediabetics undergoing elective percutaneous coronary
intervention.
AB - BACKGROUND: Epidemiologic evidence suggests that the complications of diabetes
begin early in the progression from normal glucose tolerance to frank diabetes.
Prediabetes is defined as people with impaired fasting glucose (IFG) or impaired
glucose tolerance (IGT), some of whom in fact already have the characteristic
microvascular changes resulting from diabetes itself. METHODS AND RESULTS: This
study was conducted on 108 patients presenting to Ain Shams University
Catheterization Laboratory for elective percutaneous coronary intervention using
bare metal stents (48 diabetic patients, 30 pre-diabetic patients and 30 non
diabetic patients). All patients underwent bare metal stent deployment either
preceded by balloon dilatation or not. Follow-up was done at three and six months
for major adverse cardiac & cerebral events (cardiovascular death, acute coronary
syndrome, cerebrovascular stroke, target vessel revascularization). RESULTS: Our
findings demonstrate that there was no statistically significant difference
between patients of the three different study groups regarding composite end
point of death, stroke, acute coronary syndrome and target vessel
revascularization at three months follow-up (diabetics = 18.8%, pre-diabetics =
13.3%, non diabetics = 3.3%, p-value = 0.1), but there was a highly statistical
difference between them regarding acute coronary syndrome (diabetics = 43%, pre
diabetics = 26%, non diabetics = 10%, p-value = 0.006) at six months follow-up.
CONCLUSION: Prediabetes, though not a disease entity by itself is associated with
of risk for both macrovascular and increasingly, microvascular pathology. It is
important to identify these conditions to prevent incident diabetes and to take
measures to stop the vascular complications. Our study findings revealed that
complications of diabetes may begin early as patients are suffering impaired
glucose homeostasis, which warrants further evaluation in larger studies.
PMID- 24936126
TI - Is increasing the dose of Entecavir effective in partial virological responders?
AB - OBJECTIVE: To analyze the effect of increasing Entecavir (ETV) dosage in patients
with chronic hepatitis B (CHB) who partially responded to ETV after 1 year.
METHODS: Twenty-three hepatitis B e antigen (HBeAg)-positive and 36 HBeAg
negative patients with CHB were treated with ETV 0.5 mg daily. After 1 year of
the treatment, those with detectable hepatitis B virus (HBV-DNA) were randomized
to either ETV 0.5 mg or 1 mg daily. The resistance to ETV was excluded. Both
groups received ETV for 3 years. The groups were compared in aspects of
undetectable DNA. RESULTS: Group 1 was given 0.5 mg ETV and included 32 patients
(20 HBeAg-negative and 12 HBeAg-positive). Group 2 was given 1 mg ETV and
consisted of 27 patients (16 HBeAg-negative and eleven HBeAg-positive). Group 2
had more effective suppression of HBV-DNA while both groups had comparable rates
of HBeAg loss (58% and 63% for group 1 and group 2, respectively) and alanine
transaminase (ALT) normalization at the end of 4 years. CONCLUSION: Increasing
ETV dose from 0.5 mg to 1 mg after 1 year of ETV treatment may provide an
effective suppression of viral replication.
PMID- 24936127
TI - Evaluation of ceftiofur-PHBV microparticles in rats.
AB - Despite the high number of antibiotics used for the treatment of infectious
disease in animals, the development of slow release formulations presents a
significant challenge, particularly in using novel biomaterials with low cost. In
this report, we studied the pharmacokinetics, toxicity, and therapeutic activity
of ceftiofur-PHBV (ceftiofur-poly(3-hydroxybutyrate-co-3-hydroxyvalerate)) in
rats. The pharmacokinetic study demonstrated a sustained release of ceftiofur
into the bloodstream, with detectable levels over the minimum inhibitory
concentration for at least 17 days after a single intramuscular injection of
ceftiofur-PHBV (10 mg/kg weight). In addition, the toxicological evaluation of
biochemical, hematological, and coagulation blood parameters at the therapeutic
dose demonstrated the safety of ceftiofur-PHBV, with no adverse effects. In
addition, ceftiofur-PHBV exhibited a therapeutic effect for a longer time period
than the nonencapsulated ceftiofur in rats challenged with Salmonella
Typhimurium. The slow release of ceftiofur from the ceftiofur-PHBV, its low
toxicity in the blood parameters evaluated, and the efficacy in the rats infected
with Salmonella Typhimurium make ceftiofur-PHBV a strong candidate for
biotechnological applications in the veterinary industry.
PMID- 24936128
TI - Progress of clinical research on targeted therapy combined with thoracic
radiotherapy for non-small-cell lung cancer.
AB - The combination of radiotherapy and targeted therapy is an important approach in
the application of targeted therapy in clinical practice, and represents an
important opportunity for the development of radiotherapy itself. Numerous
agents, including epidermal growth factor receptor, monoclonal antibodies,
tyrosine kinase inhibitors, and antiangiogenic therapies, have been used for
targeted therapy. A number of studies of radiotherapy combined with targeted
therapy in non-small-cell lung carcinoma have been completed or are ongoing. This
paper briefly summarizes the drugs involved and the important related clinical
research, and indicates that considerable progress has been made with the joint
efforts of the two disciplines. Many issues, including drug selection,
identification of populations most likely to benefit, timing of administration of
medication, and side effects of treatment require further investigation. However,
further fundamental research and accumulation of clinical data will provide a
more comprehensive understanding of these therapies. Targeted therapy in
combination with radiotherapy has a bright future.
PMID- 24936129
TI - Synthesis and characterization of different immunogenic viral nanoconstructs from
rotavirus VP6 inner capsid protein.
AB - In order to deliver low-cost viral capsomeres from a large amount of soluble
viral VP6 protein from human rotavirus, we developed and optimized a
biotechnological platform in Escherichia coli. Specifically, three different
expression protocols were compared, differing in their genetic constructs, ie, a
simple native histidine-tagged VP6 sequence, VP6 fused to thioredoxin, and VP6
obtained with the newly described small ubiquitin-like modifier (SUMO) fusion
system. Our results demonstrate that the histidine-tagged protein does not escape
the accumulation in the inclusion bodies, and that SUMO is largely superior to
the thioredoxin-fusion tag in enhancing the expression and solubility of VP6
protein. Moreover, the VP6 protein produced according to the SUMO fusion tag
displays well-known assembly properties, as observed in both transmission
electron microscopy and atomic force microscopy images, giving rise to either VP6
trimers, 60 nm spherical virus-like particles, or nanotubes a few microns long.
This different quaternary organization of VP6 shows a higher level of
immunogenicity for the elongated structures with respect to the spheres or the
protein trimers. Therefore, the expression and purification strategy presented
here - providing a large amount of the viral capsid protein in the native form
with relatively simple, rapid, and economical procedures - opens a new route
toward large-scale production of a more efficient antigenic compound to be used
as a vaccination tool or as an adjuvant, and also represents a top-quality
biomaterial to be further modified for biotechnological purposes.
PMID- 24936130
TI - Amorphous silica nanoparticles impair vascular homeostasis and induce systemic
inflammation.
AB - Amorphous silica nanoparticles (SiNPs) are being used in biomedical,
pharmaceutical, and many other industrial applications entailing human exposure.
However, their potential vascular and systemic pathophysiologic effects are not
fully understood. Here, we investigated the acute (24 hours) systemic toxicity of
intraperitoneally administered 50 nm and 500 nm SiNPs in mice (0.5 mg/kg). Both
sizes of SiNPs induced a platelet proaggregatory effect in pial venules and
increased plasma concentration of plasminogen activator inhibitor-1. Elevated
plasma levels of von Willebrand factor and fibrinogen and a decrease in the
number of circulating platelets were only seen following the administration of 50
nm SiNPs. The direct addition of SiNPs to untreated mouse blood significantly
induced in vitro platelet aggregation in a dose-dependent fashion, and these
effects were more pronounced with 50 nm SiNPs. Both sizes of SiNPs increased
lactate dehydrogenase activity and interleukin 1beta concentration. However,
tumor necrosis factor alpha concentration was only increased after the
administration of 50 nm SiNPs. Nevertheless, plasma markers of oxidative stress,
including 8-isoprostane, thiobarbituric acid reactive substances, catalase, and
glutathione S-transferase, were not affected by SiNPs. The in vitro exposure of
human umbilical vein endothelial cells to SiNPs showed a reduced cellular
viability, and more potency was seen with 50 nm SiNPs. Both sizes of SiNPs caused
a decrease in endothelium-dependent relaxation of isolated small mesenteric
arteries. We conclude that amorphous SiNPs cause systemic inflammation and
coagulation events, and alter vascular reactivity. Overall, the effects observed
with 50 nm SiNPs were more pronounced than those with 500 nm SiNPs. These
findings provide new insight into the deleterious effect of amorphous SiNPs on
vascular homeostasis.
PMID- 24936131
TI - Importance of antimicrobial stewardship to the English National Health Service.
AB - Antimicrobials are an extremely valuable resource across the spectrum of modern
medicine. Their development has been associated with dramatic reductions in
communicable disease mortality and has facilitated technological advances in
cancer therapy, transplantation, and surgery. However, this resource is
threatened by the dwindling supply of new antimicrobials and the global increase
in antimicrobial resistance. There is an urgent need for antimicrobial
stewardship (AMS) to protect our remaining antimicrobials for future generations.
AMS emphasizes sensible, appropriate antimicrobial management for the benefit of
the individual and society as a whole. Within the English National Health Service
(NHS), a series of recent policy initiatives have focused on all aspects of AMS,
including best practice guidelines for antimicrobial prescribing, enhanced
surveillance mechanisms for monitoring antimicrobial use across primary and
secondary care, and new prescribing competencies for doctors in training. Here we
provide a concise summary to clarify the current position and importance of AMS
within the NHS and review the evidence base for AMS recommendations. The evidence
supports the impact of AMS strategies on modifying prescribing practice in
hospitals, with beneficial effects on both antimicrobial resistance and the
incidence of Clostridium difficile, and no evidence of increased sepsis-related
mortality. There is also a promising role for novel diagnostic technologies in
AMS, both in enhancing microbiological diagnosis and improving the specificity of
sepsis diagnosis. More work is needed to establish an evidence base for
interventions to improve public and patient education regarding the role of
antibiotics in common clinical syndromes, such as respiratory tract infection.
Future priorities include establishing novel approaches to antimicrobial
management (eg, duration of therapy, combination regimens) to protect against
resistance and working with the pharmaceutical industry to promote the
development of new antimicrobials.
PMID- 24936132
TI - Physiotherapy intervention as a complementary treatment for people living with
HIV/AIDS.
AB - BACKGROUND: The advent of highly active antiretroviral therapy has dramatically
extended the life expectancy of people living with human immunodeficiency virus
(HIV)/acquired immunodeficiency syndrome. Despite this increased longevity, HIV
disease and its pharmacological treatment can cause long-term and acute health
complications, many of which can be treated successfully by physiotherapy. The
purpose of this paper is to report the effect of a 12-week rehabilitation program
on several health-related markers in a 43-year-old woman living with HIV.
METHODS: This case study examined the effect of a 12-week exercise and manual
therapy intervention on morphology, pain, cardiopulmonary fitness, strength,
neurological balance, immune markers (CD4 cell count), and quality of life in a
43-year-old woman living with HIV. RESULTS: The results showed complete
elimination of pain and shortness of breath on exertion. There was also a
reduction in resting heart rate, waist circumference, exercise duration, muscle
strength, and endurance. The patient showed an increase in peak expiratory flow
rate, maximal heart rate attained, upper arm, forearm, and thigh circumference,
and CD4+ cell count. The patient also showed improvements in the quality of life
domains of general health, pain, energy/fatigue, social and physical functioning,
and emotional well-being. CONCLUSION: Physiotherapy interventions consisting of
exercise and manual therapy appear beneficial in several areas as an adjunct
therapy in HIV management.
PMID- 24936134
TI - Review on in vivo and in vitro methods evaluation of antioxidant activity.
AB - A good number of abstracts and research articles (in total 74) published, so far,
for evaluating antioxidant activity of various samples of research interest were
gone through where 407 methods were come across, which were repeated from 29
different methods. These were classified as in vitro and in vivo methods. And
those are described and discussed below in this review article. In the later part
of this review article, frequency of in vitro as well as in vivo methods is
analyzed with a bar diagram. Solvents are important for extracting antioxidants
from natural sources. Frequency of solvents used for extraction is also portrayed
and the results are discussed in this article. As per this review there are 19 in
vitro methods and 10 in vivo methods that are being used for the evaluation of
antioxidant activity of the sample of interest. DPPH method was found to be used
mostly for the in vitro antioxidant activity evaluation purpose while LPO was
found as mostly used in vivo antioxidant assay. Ethanol was with the highest
frequency as solvent for extraction purpose.
PMID- 24936133
TI - Biopharmaceutical applications of nanogold.
AB - The application of nanogold in biopharmaceutical field is reviewed in this work.
The properties of nanogold including nanogold surface Plasmon absorption and
nanogold surface Plasmon light scattering are illustrated. The physical,
chemical, biosynthesis methods of nanogold preparation are presented. Catalytic
properties as well as biomedical applications are highlighted as one of the most
important applications of nanogold. Biosensing, and diagnostic and therapeutic
applications of gold nanoparticles are evaluated. Moreover, gold nanoparticles in
drugs, biomolecules and proteins' delivery are analyzed. Gold nanoparticles for
the site-directed photothermal applications are reviewed as the most fruitful
research area in the future.
PMID- 24936135
TI - Microalgae and wastewater treatment.
AB - Organic and inorganic substances which were released into the environment as a
result of domestic, agricultural and industrial water activities lead to organic
and inorganic pollution. The normal primary and secondary treatment processes of
these wastewaters have been introduced in a growing number of places, in order to
eliminate the easily settled materials and to oxidize the organic material
present in wastewater. The final result is a clear, apparently clean effluent
which is discharged into natural water bodies. This secondary effluent is,
however, loaded with inorganic nitrogen and phosphorus and causes eutrophication
and more long-term problems because of refractory organics and heavy metals that
are discharged. Microalgae culture offers an interesting step for wastewater
treatments, because they provide a tertiary biotreatment coupled with the
production of potentially valuable biomass, which can be used for several
purposes. Microalgae cultures offer an elegant solution to tertiary and quandary
treatments due to the ability of microalgae to use inorganic nitrogen and
phosphorus for their growth. And also, for their capacity to remove heavy metals,
as well as some toxic organic compounds, therefore, it does not lead to secondary
pollution. In the current review we will highlight on the role of micro-algae in
the treatment of wastewater.
PMID- 24936136
TI - Effect of salt on survival and P-solubilization potential of phosphate
solubilizing microorganisms from salt affected soils.
AB - A total of 23 phosphate solubilizing bacteria (PSB) and 35 phosphate solubilizing
fungi (PSF) were isolated from 19 samples of salt affected soils. The ability of
12 selected PSB and PSF to grow and solubilize tricalcium phosphate in the
presence of different concentrations of NaCl was examined. Among 12 PSB,
Aerococcus sp. strain PSBCRG1-1 recorded the highest (12.15) log viable cell
count at 0.4 M NaCl concentration after 7 days after incubation (DAI) and the
lowest log cell count (1.39) was recorded by Pseudomonas aeruginosa strain PSBI3
1 at 2.0 M NaCl concentration after 24 h of incubation. Highest mycelial dry
weight irrespective of NaCl concentrations was recorded by the Aspergillus
terreus strain PSFCRG2-1 (0.567 g). The percent P i release, in general, was
found to increase with increase in NaCl concentration up to 0.8 M for bacterial
solubilization and declined thereafter. At 15 DAI, strain Aerococcus sp. strain
PSBCRG1-1 irrespective of NaCl concentrations showed the maximum P-solubilization
(12.12%) which was significantly superior over all other isolates. The amount of
P i released in general among PSF was found to decrease with increase in NaCl
concentration at all the incubation periods. Aspergillus sp. strain PSFNRH-2
(20.81%) recorded the maximum P i release irrespective of the NaCl concentrations
and was significantly superior over all other PSF at 7 DAI.
PMID- 24936137
TI - Association between plasma adiponectin levels and decline in forced expiratory
volume in 1 s in a general Japanese population: the Takahata study.
AB - BACKGROUND: Adiponectin is an anti-inflammatory and cardio-protective cytokine.
However, several studies have demonstrated that plasma adiponectin levels were
inversely associated with pulmonary function in patients with chronic obstructive
pulmonary disease, suggesting a proinflammatory or pulmonary-destructive role. It
is still unclear whether adiponectin is a potent biomarker predicting declines in
pulmonary function. The aim of this study was to investigate the association
between adiponectin and pulmonary function among Japanese individuals who
participated in an annual health check-up. METHODS: Spirometry and blood
sampling, including measurements of plasma adiponectin, were performed for 3,253
subjects aged 40 years or older who participated in a community-based annual
health check-up in Takahata, Japan from 2004 to 2006. In 2011, spirometry was re
performed, and the data from 872 subjects (405 men and 467 women) were available
for a longitudinal analysis. RESULTS: Plasma adiponectin levels were found to be
significantly associated with age, body mass index (BMI), and alanine
aminotransferase (ALT), triglycerides (TG), and high-density lipoprotein
cholesterol (HDL-c) levels among both men and women in the study population.
Plasma adiponectin levels were found to be associated with lifetime cigarette
consumption (Brinkman index, BI) in men only. Plasma adiponectin levels were
inversely correlated with forced expiratory volume in 1 s (FEV1) per forced vital
capacity in both men and women. In addition, the annual change in FEV1 was
inversely associated with plasma adiponectin levels in both genders. A multiple
linear regression analysis revealed that this association was independent of
other confounding factors such as age, BMI, BI, ALT, TG, and HDL-c. CONCLUSIONS:
The results of the present study suggest that adiponectin levels are predictive
of declines in FEV1 in the general population.
PMID- 24936138
TI - MicroRNA-365 inhibits vascular smooth muscle cell proliferation through targeting
cyclin D1.
AB - MicroRNA-365 (miR-365) plays crucial roles in regulating cell proliferation,
apoptosis and differentiation in various cell types. However, its function in
vascular smooth muscle cells (VSMCs) is largely unknown. In our study, we found
miR-365 was highly expressed in adult rat carotid arteries, but was significantly
decreased in rat carotid arteries after balloon injury, a process involving
neointima formation and VSMC proliferation. In vitro, the miR-365 significantly
inhibited cell proliferation of isolated primary rat aortic VSMCs. Furthermore,
we identified that cyclin D1 was a direct target of miR-365 in VSMCs. The miR-365
suppressed cyclin D1 expression on both mRNA and protein level. Luciferase
reporter assay demonstrated that miR-365 inhibited cyclin D1 through targeting
its 3'UTR. Importantly, cyclin D1 overexpression rescued the inhibitory effect of
miR-365 on VSMCs proliferation. Taken together, by our studies, we identified a
new MicroRNA, miR-365, involving in the pathological process of vascular injury,
which inhibits VSMC proliferation through targeting cyclinD1.
PMID- 24936139
TI - Impaired cognitive executive dysfunction in adult treated hypertensives with a
confirmed diagnosis of poorly controlled blood pressure.
AB - BACKGROUND: High blood pressure (BP) poses a major risk for cognitive decline.
Aim of the study was to highlight the relationship between cognitive assessment
scores and an effective therapeutic BP control. METHODS: By medical visit and
ambulatory BP monitoring (ABPM), we studied 302 treated hypertensives, subdivided
according to office/daytime BP values into 120 with good (GC) and 98 poor (PC) BP
control, 40 with "white coat hypertension" (WCH) and 44 a "masked-hypertension"
phenomenon (MH). Patients underwent neuropsychological assessment to evaluate
global cognitive scores at the Mini Mental State Examination (MMSE) and Frontal
Assessment Battery (FAB) and attention/executive functions (Delayed Recall, Digit
Span Forwards, Digit Span Backwards, Selective Attention, Verbal Fluency, Stroop
Test and Clock Drawing). Carotid intima-media thickness (IMT) served as the index
of vascular damage. RESULTS: There were no differences among the groups in terms
of gender, age, education, metabolic assessment, clinical history and
hypertension treatment. GC presented lower office and ambulatory BP values and
IMT. PC performed worse than GC on global executive and attention functions,
especially executive functions. In PC, office systolic BP (SBP) was significantly
associated to the MMSE and FAB scores and, in particular, to Verbal Fluency,
Stroop Errors and Clock Drawing tests. Office diastolic BP (DBP) was associated
to Selective attention, nocturnal SBP to Digit Span backwards and Verbal Fluency.
Worse cognitive assessment scores were obtained in WCH than GC. CONCLUSIONS: The
findings showed that in adult treated hypertensives, a poor BP control, as both
doctor's office and daytime scores, is associated to impaired global cognitive
and especially executive/attention functions.
PMID- 24936140
TI - Prognostic CpG methylation biomarkers identified by methylation array in
esophageal squamous cell carcinoma patients.
AB - BACKGROUND: Esophageal squamous cell carcinoma (ESCC) is an aggressive cancer
with poor prognosis. We aimed to identify a panel of CpG methylation biomarkers
for prognosis prediction of ESCC patients. METHODS: Illumina's GoldenGate
methylation array, supervised principal components, Kaplan-Meier survival
analyses and Cox regression model were conducted on dissected tumor tissues from
a training cohort of 40 ESCC patients to identify potential CpG methylation
biomarkers. Pyrosequencing quantitative methylation assay were performed to
validate prognostic CpG methylation biomarkers in 61 ESCC patients. The
correlation between DNA methylation and RNA expression of a validated marker,
SOX17, was examined in a validation cohort of 61 ESCC patients. RESULTS: We
identified a panel of nine CpG methylation probes located at promoter or exon1
region of eight genes including DDIT3, FES, FLT3, NTRK3, SEPT5, SEPT9, SOX1, and
SOX17, for prognosis prediction in ESCC patients. Risk score calculated using the
eight-gene panel statistically predicted poor outcome for patients with high risk
score. These eight-gene also showed a significantly higher methylation level in
tumor tissues than their corresponding normal samples in all patients analyzed.
In addition, we also detected an inverse correlation between CpG hypermethylation
and the mRNA expression level of SOX17 gene in ESCC patients, indicating that DNA
hypermethylation was responsible for decreased expression of SOX17. CONCLUSIONS:
This study established a proof-of-concept CpG methylation biomarker panel for
ESCC prognosis that can be further validated by multiple cohort studies.
Functional characterization of the eight prognostic methylation genes in our
biomarker panel could help to dissect the mechanism of ESCC tumorigenesis.
PMID- 24936141
TI - Spatiotemporal expression of HDAC2 during the postnatal development of the rat
hippocampus.
AB - BACKGROUND: Histone acetylation, which is a chromatin modification of histone
tails, can dynamically regulate the expression of various genes in normal
development. HDAC2 is a negative regulatory factor of acetylation and closely
related to learning and memory. NSE is a nerve marker and vital for maintaining
physiological functions in nervous system. Currently, few studies associated with
the expression pattern of HDAC2 in postnatal rat hippocampus have been reported.
This study aimed to explore the temporal and spatial expression pattern of HDAC2,
helping to reveal the expression characteristics of HDAC2 during postnatal
neuronal maturation. MATERIALS AND METHODS: With NSE as a biomarker of neuronal
maturation at postnatal days 1, 3, 7 and weeks 2, 4, and 8 (P1D, P3D, P7D, P2W,
P4W, P8W), the expression patterns of HDAC2 in rat hippocampus were examined
using real-time PCR and western blotting. Additionally, the subcellular
distribution of HDAC2 was analysed by immunofluorescence. RESULTS: We found that
HDAC2 was highly expressed in the neonatal period and decreased gradually. HDAC2
expression was widely distributed in neurons of hippocampal CA1, CA3 and DG
regions and gradually shifted from the nucleus to the cytoplasm during postnatal
development. Altogether, the expression of HDAC2 decreased gradually with
different subcellular localizations throughout development. CONCLUSIONS: The
observed results indicate that the expression levels of HDAC2 become lower and
with different subcellular localizations in neurons during hippocampal neuronal
maturation, suggesting the specific expression characteristics of HDAC2 might
play an important role during postnatal learning-memory function and development.
PMID- 24936142
TI - Automated sleep apnea quantification based on respiratory movement.
AB - Obstructive sleep apnea (OSA) is a prevalent and treatable disorder of
neurological and medical importance that is traditionally diagnosed through multi
channel laboratory polysomnography(PSG). However, OSA testing is increasingly
performed with portable home devices using limited physiological channels. We
tested the hypothesis that single channel respiratory effort alone could support
automated quantification of apnea and hypopnea events. We developed a respiratory
event detection algorithm applied to thoracic strain-belt data from patients with
variable degrees of sleep apnea. We optimized parameters on a training set (n=57)
and then tested performance on a validation set (n=59). The optimized algorithm
correlated significantly with manual scoring in the validation set (R2=0.73 for
training set, R2=0.55 for validation set; p<0.05). For dichotomous
classification, the AUC was >0.92 and >0.85 using apnea-hypopnea index cutoff
values of 5 and 15, respectively. Our findings demonstrate that manually scored
AHI values can be approximated from thoracic movements alone. This finding has
potential applications for automating laboratory PSG analysis as well as
improving the performance of limited channel home monitors.
PMID- 24936143
TI - Integration of the hybrid adenoretroviral vector AdLTR-luc involves both MoMLV
elements flanking the transgene.
AB - Vector delivery is still a bottleneck for gene therapy. To overcome some
disadvantages of adenoviral and retroviral vectors, we developed a hybrid vector.
This hybrid vector, AdLTR-luc, was created by adding two elements from Moloney
murine leukemia virus (MoMLV) flanking the luciferase cDNA into an E1/E3-deleted,
replication deficient serotype 5 adenovirus vector (Zheng et al., Nature
Biotechnol, 2000), and demonstrated that the MoMLV element upstream of the
luciferase cDNA was broken during the integration event. The purpose of the
current study was to determine if the MoMLV element downstream of the luciferase
cDNA was also broken when integration occurred. We used the same A5 cell clones
(#10 and 11) from the earlier the paper along with restriction endonuclease
digestions, plus Southern hybridization, and PCR. Southern hybridization
indicated that the luciferase cDNA was intact in the cloned cells. Results from
Xho I and Sal I digestions showed that integration occurred in cloned cells.
Southern hybridizations after Nco I digestion suggested that there was a break in
both MoMLV elements, upstream and downstream of the luciferase cDNA. After DNA
digestion with Not I, hybridization analyses indicated that the MoMLV upstream
element was broken during integration. Digestion of genomic DNA with either Xba
I/Kpn I, Bam HI/Sac I, or Bam HI/Nco I demonstrated that the MoMLV downstream
element was also broken during integration. A PCR assay was unable to amplify the
junctional region between the downstream MoMLV element and the adenoviral E2B
gene, consistent with a break in that element. Although AdLTR-luc integration is
atypical (Zheng et al., Nature Biotechnol, 2000), the present results suggest
that both MoMLV elements have important roles in this event.
PMID- 24936144
TI - Expression, regulation and function of microRNAs in multiple sclerosis.
AB - MicroRNAs (miRNAs) are single-stranded 19-25 nucleotide-long RNAs and have an
important role in post-transcriptional gene silencing. It has been demonstrated
that miRNAs are dysregulated in patients with multiple sclerosis (MS). For
instance, miR-21, miR-142-3p, miR-146a, miR-146b, miR-155 and miR-326 were up
regulated in both peripheral blood mononuclear cells (PBMCs) and brain white
matter lesions from MS patients and mouse model as well. These up-regulated
miRNAs may be used as a signature for MS and play critical roles in MS
pathogenesis. Moreover, miR-15a, miR-19a, miR-22, miR-210 and miR-223 were up
regulated in both regulatory T cells (Tregs) and other samples such as plasma,
blood cells, PBMCs and brain white matter tissues from MS patients, suggesting
that these up-regulated miRNAs and Tregs may also play a role in MS pathogenesis.
Contrarily, other miRNAs such as miR-15a, miR-15b, miR-181c and miR-328 were down
regulated in MS. Drugs such as interferon-beta and glatiramer acetate for MS
treatment may regulate miRNA expression and thus have benefits for MS patients.
The dysregulated miRNAs such as miR-155 and miR-326 may be used as diagnostic
markers and therapeutic targets for MS.
PMID- 24936145
TI - Screening tests using serum tissue transglutaminase IgA may facilitate the
identification of undiagnosed celiac disease among Japanese population.
AB - The prevalence of celiac disease (CD) among Japanese population has been unknown,
whereas it has been increasingly recognized in the US and in the European
countries. The aim of the present study is to identify possible cases with CD
among Japanese population and clarify the relevance of screening for the disease.
We conducted a serologic screening for the disease among 710 Japanese patients
and 239 healthy volunteers at a local tertiary teaching hospital, using an anti
tissue transglutaminase IgA (TTG-IgA) test, and histological examination of the
small intestines from the TTG-IgA positive subjects. There were no TTG-IgA
positive sera among the healthy volunteers. Twenty of the patients (2.8%),
including eight with malignant lymphoma, were tested positive for TTG-IgA. The
histological examination of the eleven patients among those with positive TTG
IgA, seven showed villous atrophy and partial lymphocytes infiltration in the
mucosa, which could be compatible to mucosal changes observed in CD. Five of them
had non-Hodgkin lymphoma in the gastrointestinal tracts. Serologic tests using
TTG-IgA might be relevant to screen for those with undiagnosed CD among Japanese
population.
PMID- 24936146
TI - Frequent co-expression of miRNA-5p and -3p species and cross-targeting in induced
pluripotent stem cells.
AB - BACKGROUND: A miRNA precursor generally gives rise to one major miRNA species
derived from the 5' arm, and are called miRNA-5p. However, more recent studies
have shown co-expression of miRNA-5p and -3p, albeit in different concentrations,
in cancer cells targeting different sets of transcripts. Co-expression and
regulation of the -5p and -3p miRNA species in stem cells, particularly in the
reprogramming process, have not been studied. METHODS: In this work, we
investigated co-expression and regulation of miRNA-5p and -3p species in human
induced pluripotent stem cells (iPSCs), mesenchymal stem cells (MSCs) and
embryonic stem cells (ESC) using a nanoliter-scale real-time PCR microarray
platform that included 1,036 miRNAs. RESULTS: In comparing iPSC and ESC, only 32
miRNAs were found to be differentially expressed, in agreement of the ESC-like
nature of iPSC. In the analysis of reprogramming process in iPSCs, 261 miRNAs
were found to be differentially expressed compared with the parental MSC and pre
adipose tissue, indicating significant miRNA alternations in the reprogramming
process. In iPSC reprogrammed from MSC, there were 88 miRNAs (33.7%), or 44 co
expressed 5p/3p pairs, clearly indicating frequent co-expression of both miRNA
species on reprogramming. Of these, 40 pairs were either co-up- or co
downregulated indicating concerted 5p/3p regulation. The 5p/3p species of only 4
pairs were regulated in reverse directions. Furthermore, some 5p/3p species of
the same miRNAs were found to target the same transcript and the same miRNA may
cross-target different transcripts of proteins of the G1/S transition of the cell
cycle; 5p/3p co-targeting was confirmed in stem-loop RT-PCR. CONCLUSION: The
observed cross- and co-regulation by paired miRNA species suggests a fail-proof
scheme of miRNA regulation in iPSC, which may be important to iPSC pluripotency.
PMID- 24936147
TI - Determinants of right ventricular muscle mass in idiopathic dilated
cardiomyopathy: impact of left ventricular muscle mass and pulmonary
hypertension.
AB - INTRODUCTION: Although chronic pulmonary hypertension and right ventricular (RV)
function carry important functional and prognostic implications in idiopathic
dilated cardiomyopathy (IDC), little information on RV muscle mass (RVMM) and its
determinants has been published. METHODS: Our study comprised thirty-five
consecutive patients with IDC, left ventricular (LV) ejection fraction<40% and
NYHA class>=2. Hemodynamic data and parameters on LV and RV geometry were derived
from right heart catheterisation and cardiac magnetic resonance imaging. RESULTS:
RVMM was normalized to body size using a common linear, body surface area based
approach (RVMMI) and by an allometric index (RVMM-AI) incorporating adjustment
for age, height and weight. Stepwise multiple regression analysis revealed that
pulmonary artery pressure and left ventricular muscle mass were independent
predictors of RVMM-AI. The interventricular mass ratio of RV and LV mass (IVRM)
was closely related to RVMM (r=0.79, p<0.001) and total muscle mass (r=0.39,
p<0.02). However, there was no significant relationship between LVMM and IVMR
(r=0.17, p=0.32). CONCLUSION: Our data suggest that an increase in RV mass in IDC
may be explained by two mechanisms: First, as a consequence of the myopathic
process itself resulting in a balanced hypertrophy of both ventricles. Second,
due to the chamber specific burden of pulmonary artery pressure rise, resulting
in unbalanced RV hypertrophy.
PMID- 24936149
TI - Meanings of existential uncertainty and certainty for people diagnosed with
cancer and receiving palliative treatment: a life-world phenomenological study.
AB - BACKGROUND: Many people around the world are getting cancer and living longer
with the disease. Thanks to improved treatment options in healthcare, patients
diagnosed with advanced gastrointestinal cancer can increasingly live for longer.
Living with cancer creates existential uncertainty, but what does this situation
mean for the individual? The purpose of the study is to interpret meanings of
existential uncertainty and certainty for people diagnosed with advanced
gastrointestinal cancer and receiving palliative treatment. METHODS: This study
is part of a larger project in which 7 men and 7 women aged between 49 and 79
participated in a study of information and communication for people with advanced
gastrointestinal cancer. A total of 66 interviews were conducted with
participants who were followed up over time. The narrative interviews were
transcribed verbatim and the texts were analysed in three steps: naive reading,
structural analysis and interpreted whole by utilizing a phenomenological life
world approach. RESULTS: THIS STUDY HAS IDENTIFIED DIFFERENT SPHERES IN WHICH
PEOPLE DIAGNOSED WITH ADVANCED GASTROINTESTINAL CANCER VACILLATE BETWEEN
EXISTENTIAL UNCERTAINTY AND CERTAINTY: bodily changes, everyday situations,
companionship with others, healthcare situations and the natural environment.
Existing in the move between existential uncertainty and certainty appears to
change people's lives in a decisive manner. The interview transcripts reveal
aspects that both create existential certainty and counteract uncertainty. They
also reveal that participants appear to start reflecting on how the new and
uncertain aspects of their lives will manifest themselves -a new experience that
lays the foundation for development of knowledge, personal learning and growth.
CONCLUSIONS: People diagnosed with advanced gastrointestinal cancer and receiving
palliative care expressed thoughts about personal learning initiated by the
struggle of living with an uncertain future despite their efforts to live in the
present. Their personal learning was experienced through a changed life for
themselves and having to confront their own pending death and develop self
insight regarding finality of life. Healthcare professionals can try to support
people receiving palliative treatment for cancer by diversifying avenues for
their personal growth, thus helping them manage their existential uncertainty and
gravitate towards greater existential certainty.
PMID- 24936148
TI - Activation of the PI3K/Akt/mTOR/p70S6K pathway is involved in S100A4-induced
viability and migration in colorectal cancer cells.
AB - The S100 protein family member S100A4 regulates various cellular functions.
Previous studies have shown that elevated expression of S100A4 is associated with
progression and metastasis of colorectal cancer (CRC). However, little is known
about whether and how S100A4 contributes to CRC development. In our present
study, the elevated expression of S100A4 in CRC tissues compared to matched
adjacent normal tissues was confirmed by immunohistochemistry, semi-quantitative
RT-PCR and Western blot. Adenovirus-mediated S100A4 overexpression obviously
enhanced viability and migration of CRC cells, which was detected by MTT assay
and transwell assay, respectively. Additionally, S100A4 overexpression increased
the phosphorylation levels of Akt, mTOR and p70S6K. These effects of S100A4 were
abolished by treatment with either the specific PI3K/Akt inhibitor LY294002, or
the specific mTOR/p70S6K inhibitor rapamycin. Furthermore, overexpression of
S100A4 resulted in upregulation of VEGF and downregulation of E-cadherin, which
were strongly reversed by either LY294002 or rapamycin. Altogether, our results
demonstrate that activation of the PI3K/Akt/mTOR/p70S6K signaling pathway is
involved in S100A4-induced viability, migration, upregulation of VEGF and
downregulation of E-cadherin in CRC cells.
PMID- 24936150
TI - Thymidine phosphorylase expression is associated with time to progression in
patients with metastatic colorectal cancer.
AB - BACKGROUND: 5-Fluorouracil (5-FU) is the cornerstone of chemotherapeutic
treatment for patients with colorectal cancer. The enzyme thymidine phosphorylase
(TP) catalyzes the conversion of 5-FU to its active metabolite, 5-fluoro-2'
deoxyuridine. TP is expressed in tumour epithelial cells and stromal cells,
particularly in tumour-associated macrophages. These macrophages may affect
sensitivity to chemotherapy. Previously, we identified TP as a predictive factor
in microdissected tumour samples of patients with advanced colorectal cancer. In
the present study, we analysed TP expression in tissues and associated stromal
cells from patients with advanced colorectal cancer and associated TP levels to
tumour response and time-to-event variables during first-line chemotherapy
treatment. We also investigated the association between serum TP levels at the
time of surgery and gene expression in primary tumour tissues. METHODS: This
study included 125 patients with metastatic colorectal cancer treated with first
line 5-FU-based chemotherapy. To quantify TP gene expression levels in tumour
tissues, real-time polymerase chain reaction was performed using the 7500 Fast
Real-Time PCR system (Applied Biosystems, Foster City, CA, USA). TP protein
concentration in matched serum samples was determined using an enzyme-linked
immunosorbent assay system (USCN Life Science Inc.). RESULTS: The tumour response
rate was 31%, and 30% of patients exhibited stable disease. No associations
between TP expression level and age or gender were observed. Levels of TP mRNA in
mucosa and tumours were positively correlated (r = 0.41, p < 0.01). No
correlation between TP expression and tumour response rate was observed. Time to
progression was significantly longer in patients with high TP expression (p <
0.01). Serum TP protein levels were not associated with tumour response or time
to-event variables and did not correlate with gene expression in tumour tissues.
CONCLUSIONS: High TP gene expression in non-microdissected tumour tissues of
patients with advanced colorectal cancer correlates with longer time to
progression, which could be related to treatment. These results are in contrast
to previous studies where microdissected tumour cells were analysed and may be
due to the presence of adjacent stromal cells. Serum TP protein expression does
not correlate to TP gene expression in tissues of patients with advanced
colorectal cancer.
PMID- 24936151
TI - Reduced expression of PinX1 correlates to progressive features in patients with
prostate cancer.
AB - BACKGROUND: Pin2/TRF1 binding protein X1 (PinX1) has been identified as an
endogenous telomerase inhibitor and a major haploinsufficient tumor suppressor
gene. Increasing evidence suggests that reduced expression of PinX1 plays a key
role in tumorigenesis. However, the PinX1 expression status and its correlation
with the clinicopathological features in prostate cancer (PCa) have not been
investigated. METHODS: PinX1 mRNA and protein expression in PCa and adjacent
normal prostate tissues were evaluated by real-time quantitative RT-PCR (qRT-PCR)
and western blotting. The clinicopathological significance of PinX1 was
investigated by immunohistochemistry (IHC) analysis on a PCa tissue microarray
(TMA). The cut-off score for positive expression of PinX1 was determined by the
receiver operating characteristic (ROC) analysis. The correlation between PinX1
expression and clinicopathological features of PCa was analyzed by Chi-square
test. RESULTS: Reduced expression of PinX1 mRNA and protein was observed in the
majority of PCa, compared with their paired adjacent normal prostate tissues.
When PinX1 positive expression percentage was determined to be above 60% (area
under ROC curve = 0.833, P = 0.000), positive expression of PinX1 was observed in
100% (8/8) of normal prostate tissues and 32.5% (13/40) of PCa tissues by IHC.
Reduced expression of PinX1 in patients was correlated with advanced clinical
stage (chi(2) = 10.230, p = 0.017), high Gleason score (chi(2) = 4.019, p =
0.045), positive regional lymph node metastasis (chi(2) = 10.852, p = 0.004) and
distant metastasis (chi(2) = 7.965, p = 0.005). CONCLUSIONS: Our findings suggest
that reduced expression of PinX1 is correlates to progressive features in
patients with PCa and may serve as a potential marker for diagnosis.
PMID- 24936152
TI - Hsa-miR-574-5p negatively regulates MACC-1 expression to suppress colorectal
cancer liver metastasis.
AB - OBJECTIVE: The aim of this study was to investigate the relationship of MACC-1
(metastasis-associated in colon cancer 1) and microRNA (miRNA) hsa-miR-574-5p and
the function of hsa-miR-574-5p in colorectal cancer liver metastasis. METHODS:
Liver-metastatic nude mice model was constructed by injecting two human
colorectal cancer cell lines (SW1116 and HCT116) labeled with green fluorescent
protein (GFP) through spleen, and liver metastasis incidences were evaluated. We
identified miRNAs that might regulate MACC-1 expression by bioinformatics
analysis and further investigated the relationship of MACC-1 and hsa-miR-574-5p
by luciferase reporter assay, quantitative RT-PCR and western blot. The effect of
hsa-miR-574-5p on colony formation, cell invasion and cell spheroid formation was
investigated by antisense transfected HCT116 cells and miRNA mimic transfected
SW1116 cells. RESULTS: The volume of liver metastasis induced by SW1116 cells
(25.0 +/- 4.4%) was significantly higher than that induced by HCT116 cells.
Bioinformatics analysis showed hsa-miR-574-5p negatively regulated MACC-1 and
then their interaction was demonstrated at mRNA and protein level. The direct
relation between them was confirmed by luciferase reporter assay. And the
knockdown of has-miR-574-5p demonstrated increased colony formation, cell
invasion and cell spheroid formation in HCT116 cells, compared to control group
(P < 0.05). Reverse results were obtained in mimic transfected SW1116 cells.
CONCLUSION: Our work firstly demonstrated that hsa-miR-574-5p negatively
regulated MACC-1 expression in colorectal cancer cells. It was partly elucidated
that hsa-miR-574-5p played a suppressive role in colorectal cancer liver
metastasis by negatively directing MACC-1 expression, offering a novel
therapeutic approach for colorectal cancer liver metastasis.
PMID- 24936154
TI - Headache and Health-Related Job Loss among Disadvantaged Women.
PMID- 24936153
TI - Early effects of Staphylococcus aureus biofilm secreted products on inflammatory
responses of human epithelial keratinocytes.
AB - BACKGROUND: Chronic wounds such as diabetic foot ulcers, pressure ulcers, and
venous leg ulcers contribute to a considerable amount of mortality in the U.S.
annually. The inability of these wounds to heal has now been associated with the
presence of microbial biofilms. The aim of this study was to determine if
products secreted by S. aureus biofilms play an active role in chronic wounds by
promoting inflammation, which is a hallmark of chronic wounds. METHODS: In vitro
experiments were conducted to examine changes in gene expression profiles and
inflammatory response of human epithelial keratinocytes (HEKa) exposed to
products secreted by S. aureus grown in biofilms or products secreted by S.
aureus grown planktonically. RESULTS: After only two hours of exposure, gene
expression microarray data showed marked differences in inflammatory, apoptotic,
and nitric oxide responses between HEKa cells exposed to S. aureus biofilm
conditioned media (BCM) and HEKa cells exposed to S. aureus planktonic
conditioned media (PCM). As early as 4 hours post exposure, ELISA results showed
significant increases in IL-6, IL-8, TNFalpha, and CXCL2 production by HEKa cells
exposed to BCM compared to HEKa cells exposed to PCM or controls. Nitric oxide
assay data also showed significant increases in nitric oxide production by HEKa
cells treated with BCM compared to HEKa cells treated with PCM, or controls.
CONCLUSIONS: Taken together, these results support and extend previous findings
that indicate products secreted by S. aureus biofilms directly contribute to the
chronic inflammation associated with chronic wounds.
PMID- 24936155
TI - Moisture barrier properties of thin organic-inorganic multilayers prepared by
plasma-enhanced ALD and CVD in one reactor.
AB - A widely used application of the atomic layer deposition (ALD) and chemical
vapour deposition (CVD) methods is the preparation of permeation barrier layers
against water vapour. Especially in the field of organic electronics, these films
are highly demanded as such devices are very sensitive to moisture and oxygen. In
this work, multilayers of aluminium oxide (AlO x ) and plasma polymer (PP) were
coated on polyethylene naphthalate substrates by plasma-enhanced ALD and plasma
enhanced CVD at 80a"f in the same reactor, respectively. As precursor,
trimethylaluminium was used together with oxygen radicals in order to prepare AlO
x , and benzene served as precursor to deposit the PP. This hybrid structure
allows the decoupling of defects between the single AlO x layers and extends the
permeation path for water molecules towards the entire barrier film. Furthermore,
the combination of two plasma techniques in a single reactor system enables short
process times without vacuum breaks. Single aluminium oxide films by plasma
enhanced ALD were compared to thermally grown layers and showed a significantly
better barrier performance. The water vapour transmission rate (WVTR) was
determined by means of electrical calcium tests. For a multilayer with 3.5 dyads
of 25-nm AlO x and 125-nm PP, a WVTR of 1.2 * 10 (-3) gm (-2) d (-1) at 60a"f and
90% relative humidity could be observed.
PMID- 24936157
TI - Hexagonal core-shell and alloy Au/Ag nanodisks on ZnO nanorods and their optical
enhancement effect.
AB - Au and Ag hybrid hexagonal nanodisks were synthesized on ZnO nanorods' (0002)
surface via a new two-step deposition-annealing method. The structural,
compositional, as well as optical investigations were carried out systematically
to find out the nanodisks' formation mechanism and optical enhancement effect. It
was shown that the core-shell Au/Ag nanodisk can be formed under rapid annealing
temperature of 500 degrees C, while Au/Ag alloy nanodisks are formed if higher
temperatures (>550 degrees C) are applied. The optical effect from these
nanodisks was studied through photoluminescence and absorption spectroscopy. It
was found that the carrier-plasmon coupling together and carrier transfer between
metal and ZnO contribute to the emission enhancement. Furthermore, the results
suggest that the composition of nanodisk on the vicinity of metal/ZnO interface
plays an important role in terms of the enhancement factors.
PMID- 24936156
TI - Labeling the oily core of nanocapsules and lipid-core nanocapsules with a
triglyceride conjugated to a fluorescent dye as a strategy to particle tracking
in biological studies.
AB - The synthesis of novel fluorescent materials represents a very important step to
obtain labeled nanoformulations in order to evaluate their biological behavior.
The strategy of conjugating a fluorescent dye with triacylglycerol allows that
either particles differing regarding supramolecular structure, i.e.,
nanoemulsions, nanocapsules, lipid-core nanocapsules, or surface charge, i.e.,
cationic nanocapsules and anionic nanocapsules, can be tracked using the same
labeled material. In this way, a rhodamine B-conjugated triglyceride was obtained
to prepare fluorescent polymeric nanocapsules. Different formulations were
obtained, nanocapsules (NC) or lipid-core nanocapsules (LNC), using the labeled
oil and Eudragit RS100, Eudragit S100, or poly(caprolactone) (PCL), respectively.
The rhodamine B was coupled with the ricinolein by activating the carboxylic
function using a carbodiimide derivative. Thin layer chromatography, proton
nuclear magnetic resonance ((1)H-NMR), Fourier transform infrared spectroscopy
(FTIR), UV-vis, and fluorescence spectroscopy were used to identify the new
product. Fluorescent nanocapsule aqueous suspensions were prepared by the solvent
displacement method. Their pH values were 4.6 (NC-RS100), 3.5 (NC-S100), and 5.0
(LNC-PCL). The volume-weighted mean diameter (D 4.3) and polydispersity values
were 150 nm and 1.05 (NC-RS100), 350 nm and 2.28 (NC-S100), and 270 nm and 1.67
(LNC-PCL). The mean diameters determined by photon correlation spectroscopy (PCS)
(z-average) were around 200 nm. The zeta potential values were +5.85 mV (NC
RS100), -21.12 mV (NC-S100), and -19.25 mV (LNC-PCL). The wavelengths of maximum
fluorescence emission were 567 nm (NC-RS100 and LNC-PCL) and 574 nm (NC-S100).
Fluorescence microscopy was used to evaluate the cell uptake (human macrophage
cell line) of the fluorescent nanocapsules in order to show the applicability of
the approach. When the cells were treated with the fluorescent nanocapsules, red
emission was detected around the cell nucleus. We demonstrated that the rhodamine
B-conjugated triglyceride is a promising new material to obtain versatile dye
labeled nanocarriers presenting different chemical nature in their surfaces.
PMID- 24936158
TI - A new method to disperse CdS quantum dot-sensitized TiO2 nanotube arrays into
P3HT:PCBM layer for the improvement of efficiency of inverted polymer solar
cells.
AB - We report that the efficiency of ITO/nc-TiO2/P3HT:PCBM/MoO3/Ag inverted polymer
solar cells (PSCs) can be improved by dispersing CdS quantum dot (QD)-sensitized
TiO2 nanotube arrays (TNTs) in poly (3-hexylthiophene) and [6,6]-phenyl-C61
butyric acid methyl ester (P3HT:PCBM) layer. The CdS QDs are deposited on the
TNTs by a chemical bath deposition method. The experimental results show that the
CdS QD-sensitized TNTs (CdS/TNTs) do not only increase the light absorption of
the P3HT:PCBM layer but also reduce the charge recombination in the P3HT:PCBM
layer. The dependence of device performances on cycles of CdS deposition on the
TNTs was investigated. A high power conversion efficiency (PCE) of 3.52% was
achieved for the inverted PSCs with 20 cyclic depositions of CdS on TNTs, which
showed a 34% increase compared to the ITO/nc-TiO2/P3HT:PCBM/MoO3/Ag device
without the CdS/TNTs. The improved efficiency is attributed to the improved light
absorbance and the reduced charge recombination in the active layer.
PMID- 24936159
TI - pH-responsive micelles based on (PCL)2(PDEA-b-PPEGMA)2 miktoarm polymer:
controlled synthesis, characterization, and application as anticancer drug
carrier.
AB - Amphiphilic A2(BC)2 miktoarm star polymers [poly(epsilon-caprolactone)]2-[poly(2
(diethylamino)ethyl methacrylate)-b- poly(poly(ethylene glycol) methyl ether
methacrylate)]2 [(PCL)2(PDEA-b-PPEGMA)2] were developed by a combination of ring
opening polymerization (ROP) and continuous activators regenerated by electron
transfer atom transfer radical polymerization (ARGET ATRP). The critical micelle
concentration (CMC) values were extremely low (0.0024 to 0.0043 mg/mL), depending
on the architecture of the polymers. The self-assembled empty and doxorubicin
(DOX)-loaded micelles were spherical in morphologies, and the average sizes were
about 63 and 110 nm. The release of DOX at pH 5.0 was much faster than that at pH
6.5 and pH 7.4. Moreover, DOX-loaded micelles could effectively inhibit the
growth of cancer cells HepG2 with IC50 of 2.0 MUg/mL. Intracellular uptake
demonstrated that DOX was delivered into the cells effectively after the cells
were incubated with DOX-loaded micelles. Therefore, the pH-sensitive (PCL)2(PDEA
b-PPEGMA)2 micelles could be a prospective candidate as anticancer drug carrier
for hydrophobic drugs with sustained release behavior.
PMID- 24936160
TI - Silicon quantum dot superlattice solar cell structure including silicon
nanocrystals in a photogeneration layer.
AB - The solar cell structure of n-type poly-silicon/5-nm-diameter silicon
nanocrystals embedded in an amorphous silicon oxycarbide matrix (30 layers)/p
type hydrogenated amorphous silicon/Al electrode was fabricated on a quartz
substrate. An open-circuit voltage and a fill factor of 518 mV and 0.51 in the
solar cell were obtained, respectively. The absorption edge of the solar cell was
1.49 eV, which corresponds to the optical bandgap of the silicon nanocrystal
materials, suggesting that it is possible to fabricate the solar cells with
silicon nanocrystal materials, whose bandgaps are wider than that of crystalline
silicon. PACS: 85.35.Be; 84.60.Jt; 78.67.Bf.
PMID- 24936161
TI - A sight on the current nanoparticle-based gene delivery vectors.
AB - Nowadays, gene delivery for therapeutic objects is considered one of the most
promising strategies to cure both the genetic and acquired diseases of human. The
design of efficient gene delivery vectors possessing the high transfection
efficiencies and low cytotoxicity is considered the major challenge for
delivering a target gene to specific tissues or cells. On this base, the
investigations on non-viral gene vectors with the ability to overcome
physiological barriers are increasing. Among the non-viral vectors, nanoparticles
showed remarkable properties regarding gene delivery such as the ability to
target the specific tissue or cells, protect target gene against nuclease
degradation, improve DNA stability, and increase the transformation efficiency or
safety. This review attempts to represent a current nanoparticle based on its
lipid, polymer, hybrid, and inorganic properties. Among them, hybrids, as
efficient vectors, are utilized in gene delivery in terms of materials (synthetic
or natural), design, and in vitro/in vivo transformation efficiency.
PMID- 24936162
TI - XPS, TDS, and AFM studies of surface chemistry and morphology of Ag-covered L-CVD
SnO2 nanolayers.
AB - This is well known that the selectivity and sensitivity of tin dioxide (SnO2)
thin film sensors for the detection of low concentration of volatile sulfides
such as H2S in air can be improved by small amount of Ag additives. In this paper
we present the results of comparative X-ray photoelectron spectroscopy (XPS),
thermal desorption spectroscopy (TDS), and atomic force microscopy (AFM) studies
of the surface chemistry and morphology of SnO2 nanolayers obtained by laser
enhanced chemical vapor deposition (L-CVD) additionally covered with 1 monolayer
(ML) of Ag. For as deposited SnO2 nanolayers, a mixture of tin oxide (SnO) and
tin dioxide (SnO2) with the [C]/[Sn] ratio of approximately 1.3 was observed.
After dry air exposure, the [O]/[Sn] ratio slightly increased to approximately
1.55. Moreover, an evident increasing of C contamination was observed with
[C]/[Sn] ratio of approximately 3.5. After TDS experiment, the [O]/[Sn] ratio
goes back to 1.3, whereas C contamination evidently decreases (by factor of 3).
Simultaneously, the Ag concentration after air exposure and TDS experiment
subsequently decreased (finally by factor of approximately 2), which was caused
by the diffusion of Ag atoms into the subsurface layers related to the grain-type
surface morphology of Ag-covered L-CVD SnO2 nanolayers, as confirmed by XPS ion
depth profiling studies. The variation of surface chemistry of the Ag-covered L
CVD SnO2 after air exposure observed by XPS was in a good correlation with the
desorption of residual gases from these nanolayers observed in TDS experiments.
PMID- 24936163
TI - Effects of the alkylamine functionalization of graphene oxide on the properties
of polystyrene nanocomposites.
AB - Alkylamine-functionalized graphene oxides (FGOs) have superior dispersibility in
low-polar solvents and, as a result, they interact with low-polar polymers such
as polystyrene. In this work, the functionalization of graphene oxide using three
types of alkylamines, octylamine (OA), dodecylamine (DDA), and hexadecylamine
(HDA), was performed, and nanocomposites of polystyrene (PS) and FGOs were
prepared via solution blending. Different dispersions of FGOs over PS were
obtained for the three alkylamines, and the properties of the PS composites were
influenced by the length of the alkylamine. A better thermal stability was
observed with a longer chain length of the alkylamine. On the other hand,
functionalization with the shortest chain length alkylamine resulted in the
highest increase in the storage modulus (3,640 MPa, 140%) at a 10 wt.% loading of
FGO.
PMID- 24936164
TI - Hydrothermal growth of TiO2 nanowire membranes sensitized with CdS quantum dots
for the enhancement of photocatalytic performance.
AB - In this paper, TiO2 nanowires (NWs) on Ti foils were prepared using a simple
hydrothermal approach and annealing treatment. CdS quantum dots (QDs) were
assembled onto the crystallized TiO2 NWs by sequential chemical bath deposition.
Ultraviolet-visible absorption spectra showed that CdS adds bands in the visible
to the TiO2 absorption and exhibited a broad absorption band in the visible
region, which extended the scope of absorption spectrum and helped improve the
photocatalytic degradation efficiency. The results of photocatalytic experiment
revealed that CdS-TiO2 NWs possessed higher photocatalytic activities toward
methyl orange than pure TiO2 nanowires. The degradation efficiency of 96.32%
after ten cycles indicated that the as-prepared CdS-TiO2 composite exhibited
excellent long-time recyclable ability and can be reused for the degradation of
contaminants.
PMID- 24936165
TI - Simplified ZrTiO x -based RRAM cell structure with rectifying characteristics by
integrating Ni/n + -Si diode.
AB - A simplified one-diode one-resistor (1D1R) resistive switching memory cell that
uses only four layers of TaN/ZrTiO x /Ni/n(+)-Si was proposed to suppress sneak
current where TaN/ZrTiO x /Ni can be regarded as a resistive-switching random
access memory (RRAM) device while Ni/n(+)-Si acts as an Schottky diode. This is
the first RRAM cell structure that employs metal/semiconductor Schottky diode for
current rectifying. The 1D1R cell exhibits bipolar switching behavior with
SET/RESET voltage close to 1 V without requiring a forming process. More
importantly, the cell shows tight resistance distribution for different states,
significantly rectifying characteristics with forward/reverse current ratio
higher than 10(3) and a resistance ratio larger than 10(3) between two states.
Furthermore, the cell also displays desirable reliability performance in terms of
long data retention time of up to 10(4) s and robust endurance of 10(5) cycles.
Based on the promising characteristics, the four-layer 1D1R structure holds the
great potential for next-generation nonvolatile memory technology.
PMID- 24936166
TI - Observation of linear and quadratic magnetic field-dependence of magneto
photocurrents in InAs/GaSb superlattice.
AB - We experimentally studied the magneto-photocurrents generated by direct interband
transition in InAs/GaSb type II superlattice. By varying the magnetic field
direction, we observed that an in-plane magnetic field induces a photocurrent
linearly proportional to the magnetic field; however, a magnetic field tilted to
the sample plane induces a photocurrent presenting quadratic magnetic field
dependence. The magneto-photocurrents in both conditions are insensitive to the
polarization state of the incident light. Theoretical models involving
excitation, relaxation and Hall effect are utilized to explain the experimental
results.
PMID- 24936167
TI - Disrupting KATP channels diminishes the estrogen-mediated protection in female
mutant mice during ischemia-reperfusion.
AB - BACKGROUND: Estrogen has been shown to mediate protection in female hearts
against ischemia-reperfusion (I-R) stress. Composed by a Kir6.2 pore and an SUR2
regulatory subunit, cardiac ATP-sensitive potassium channels (KATP) remain
quiescent under normal physiological conditions but they are activated by stress
stimuli to confer protection to the heart. It remains unclear whether KATP is a
regulatory target of estrogen in the female-specific I-R signaling pathway. In
this study, we aimed at delineating the molecular mechanism underlying estrogen
modulation on KATP channel activity during I-R. MATERIALS AND METHODS: We
employed KATP knockout mice in which SUR2 is disrupted (SUR2KO) to characterize
their I-R response using an in vivo occlusion model. To test the protective
effects of estrogen, female mice were ovariectomized and implanted with 17beta
estradiol (E2) or placebo pellets (0.1 MUg/g/day, 21-day release) before
receiving an I-R treatment. Comparative proteomic analyses were performed to
assess pathway-level alterations between KO-IR and WT-IR hearts. RESULTS AND
DISCUSSION: Echocardiographic results indicated that KO females were pre-disposed
to cardiac dysfunction at baseline. The mutant mice were more susceptible to I-R
stress by having bigger infarcts (46%) than WT controls (31%). The observation
was confirmed using ovariectomized mice implanted with E2 or placebo. However,
the estrogen-mediated protection was diminished in KO hearts. Expression studies
showed that the SUR2 protein level, but not RNA level, was up-regulated in WT-IR
mice relative to untreated controls possibly via PTMs. Our antibodies detected
different glycosylated SUR2 receptor species after the PNGase F treatment,
suggesting that SUR2 could be modified by N-glycosylation. We subsequently showed
that E2 could further induce the formation of complex-glycosylated SUR2.
Additional time-point experiments revealed that I-R hearts had increased levels
of N-glycosylated SUR2; and DPM1, the first committed step enzyme in the N
glycosylation pathway. Comparative proteomic profiling identified 41
differentially altered protein hits between KO-IR and WT-IR mice encompassing
those related to estrogen biosynthesis. CONCLUSIONS: Our findings suggest that
KATP is likely a downstream regulatory target of estrogen and it is indispensable
in female I-R signaling. Increasing SUR2 expression by N-glycosylation mediated
by estrogen may be effective to enhance KATP channel subunit expression in I-R.
PMID- 24936168
TI - Effectiveness of proactive and reactive services at the Swedish National Tobacco
Quitline in a randomized trial.
AB - BACKGROUND: The Swedish National Tobacco Quitline (SNTQ), which has both a
proactive and a reactive service, has successfully provided tobacco cessation
support since 1998. As there is a demand for an increase in national cessation
support, and because the quitline works under funding constraints, it is crucial
to identify the most clinically effective and cost-effective service. A
randomized controlled trial was performed to compare the effectiveness of the
high-intensity proactive service with the low-intensity reactive service at the
SNTQ. METHODS: Those who called the SNTQ for smoking or tobacco cessation from
February 2009 to September 2010 were randomized to proactive service (even dates)
and reactive service (odd dates). Data were collected through postal
questionnaires at baseline and after 12 months. Those who replied to the baseline
questionnaire constituted the study base. Outcome measures were self-reported
point prevalence and 6-month continuous abstinence at the 12-month follow-up.
Intention-to-treat (ITT) and responder-only analyses were performed. RESULTS: The
study base consisted of 586 persons, and 59% completed the 12-month follow-up.
Neither ITT- nor responder-only analyses showed any differences in outcome
between proactive and reactive service. Point prevalence was 27% and continuous
abstinence was 21% in analyses treating non-responders as smokers, and 47% and
35%, respectively, in responder-only analyses. CONCLUSION: Reactive service may
be used as the standard procedure to optimize resource utilization at the SNTQ.
However, further research is needed to assess effectiveness in different
subgroups of clients. TRIAL REGISTRATION: ClinicalTrials.gov: NCT02085616.
PMID- 24936169
TI - Higher blood glucose level associated with body mass index and gut microbiota in
elderly people.
AB - BACKGROUND: Some dominant bacterial divisions of the intestines have been linked
to metabolic diseases such as overweight and diabetes. OBJECTIVE: A pilot study
aimed to evaluate the relations between the culturable intestinal bacteria with
body mass index (BMI) and some principal cellular and metabolic markers of blood
in people older than 65. DESIGN: Altogether 38 generally healthy elderly people
were recruited: ambulatory (n=19) and orthopedic surgery (n=19). Questionnaires
on general health, anthropometric measurements, routine clinical and laboratory
data, and quantitative composition of cultivable gut microbiota were performed.
RESULTS: Blood glucose level was positively correlated with BMI (r=0.402;
p=0.014). Higher blood glucose level had negative correlation with relative share
of intestinal anaerobic bacteria such as bacteroides (r=-0.434; p=0.0076) and
gram-positive anaerobic cocci (r=-0.364; p=0.027). In contrast, the relative
share of bifidobacteria (r=0.383; p=0.019) and staphylococci (r=0.433; p=0.008)
was positively correlated to blood glucose level. In elderly people, a higher
blood glucose concentration was predicted by the reduction of the anaerobes'
proportion (adj. sex, age, and BMI R(2)=0.192, p=0.028) and that of Bacteroides
sp. (adj. R(2)=0.309, p=0.016). CONCLUSION: A tight interplay between increased
BMI, level of blood glucose, and the reduced proportion of cultivable bacteroides
is taking place in the gut microbiota of elderly people.
PMID- 24936170
TI - Evaluating auditory stream segregation of SAM tone sequences by subjective and
objective psychoacoustical tasks, and brain activity.
AB - Auditory stream segregation refers to a segregated percept of signal streams with
different acoustic features. Different approaches have been pursued in studies of
stream segregation. In psychoacoustics, stream segregation has mostly been
investigated with a subjective task asking the subjects to report their percept.
Few studies have applied an objective task in which stream segregation is
evaluated indirectly by determining thresholds for a percept that depends on
whether auditory streams are segregated or not. Furthermore, both perceptual
measures and physiological measures of brain activity have been employed but only
little is known about their relation. How the results from different tasks and
measures are related is evaluated in the present study using examples relying on
the ABA- stimulation paradigm that apply the same stimuli. We presented A and B
signals that were sinusoidally amplitude modulated (SAM) tones providing purely
temporal, spectral or both types of cues to evaluate perceptual stream
segregation and its physiological correlate. Which types of cues are most
prominent was determined by the choice of carrier and modulation frequencies (f
mod) of the signals. In the subjective task subjects reported their percept and
in the objective task we measured their sensitivity for detecting time-shifts of
B signals in an ABA- sequence. As a further measure of processes underlying
stream segregation we employed functional magnetic resonance imaging (fMRI). SAM
tone parameters were chosen to evoke an integrated (1-stream), a segregated (2
stream), or an ambiguous percept by adjusting the f mod difference between A and
B tones (Deltaf mod). The results of both psychoacoustical tasks are
significantly correlated. BOLD responses in fMRI depend on Deltaf mod between A
and B SAM tones. The effect of Deltaf mod, however, differs between auditory
cortex and frontal regions suggesting differences in representation related to
the degree of perceptual ambiguity of the sequences.
PMID- 24936171
TI - GABAB receptor ligands for the treatment of alcohol use disorder: preclinical and
clinical evidence.
AB - The present paper summarizes the preclinical and clinical studies conducted to
define the "anti-alcohol" pharmacological profile of the prototypic GABAB
receptor agonist, baclofen, and its therapeutic potential for treatment of
alcohol use disorder (AUD). Numerous studies have reported baclofen-induced
suppression of alcohol drinking (including relapse- and binge-like drinking) and
alcohol reinforcing, motivational, stimulating, and rewarding properties in
rodents and monkeys. The majority of clinical surveys conducted to date-including
case reports, retrospective chart reviews, and randomized placebo-controlled
studies-suggest the ability of baclofen to suppress alcohol consumption, craving
for alcohol, and alcohol withdrawal symptomatology in alcohol-dependent patients.
The recent identification of a positive allosteric modulatory binding site,
together with the synthesis of in vivo effective ligands, represents a novel, and
likely more favorable, option for pharmacological manipulations of the GABAB
receptor. Accordingly, data collected to date suggest that positive allosteric
modulators of the GABAB receptor reproduce several "anti-alcohol" effects of
baclofen and display a higher therapeutic index (with larger separation-in terms
of doses-between "anti-alcohol" effects and sedation).
PMID- 24936172
TI - The inflammatory molecules IL-1beta and HMGB1 can rapidly enhance focal seizure
generation in a brain slice model of temporal lobe epilepsy.
AB - Epilepsy is a neurological disorder characterized by a hyperexcitable brain
tissue and unpredictable seizures, i.e., aberrant firing discharges in large
neuronal populations. It is well established that proinflammatory cytokines, in
addition to their canonical involvement in the immune response, have a crucial
role in the mechanism of seizure generation. The purpose of the present study was
to investigate the role of interleukin-1beta (IL-1beta) and high mobility group
B1 (HMGB1) in the generation of seizure-like discharges using two models of focal
epilepsy in a rat entorhinal cortex slice preparation. Seizure like-discharges
were evoked by either slice perfusion with low Mg(2+) and picrotoxin or with a
double NMDA local stimulation in the presence of the proconvulsant 4-amino
pyridine. The effects of IL-1beta or HMGB1 were evaluated by monitoring seizure
discharge generation through laser scanning microscope imaging of Ca(2+) signals
from neurons and astrocytes. In the picrotoxin model, we revealed that both
cytokines increased the mean frequency of spontaneous ictal-like discharges,
whereas only IL-1beta reduced the latency and prolonged the duration of the first
ictal-like event. In the second model, a single NMDA pulse, per se ineffective,
became successful when it was performed after IL-beta or HMGB1 local
applications. These findings demonstrate that both IL-1beta and HMGB1 can rapidly
lower focal ictal event threshold and strengthen the possibility that targeting
these inflammatory pathways may represent an effective therapeutic strategy to
prevent seizures.
PMID- 24936173
TI - Stress-induced plasticity of GABAergic inhibition.
AB - GABAergic neurotransmission is highly plastic, undergoing dynamic alterations in
response to changes in the environment, such as following both acute and chronic
stress. Stress-induced plasticity of GABAergic inhibition is thought to
contribute to changes in neuronal excitability associated with stress, which is
particularly relevant for stress-related disorders and seizure susceptibility.
Here we review the literature demonstrating several mechanisms altering GABAergic
inhibition associated with stress, including brain region-specific alterations in
GABAA receptor (GABAAR) subunit expression, changes in chloride homeostasis, and
plasticity at GABAergic synapses. Alterations in the expression of specific
GABAAR subunits have been documented in multiple brain regions associated with
acute or chronic stress. In addition, recent work demonstrates stress-induced
alterations in GABAergic inhibition resulting from plasticity in intracellular
chloride levels. Acute and chronic stress-induced dephosphorylation and
downregulation of the K(+)/Cl(-) co-transporter, KCC2, has been implicated in
compromising GABAergic control of corticotropin-releasing hormone (CRH) neurons
necessary for mounting the physiological response to stress. Acute stress also
unmasks the capacity for both long-term potentiation and long-term depression, in
distinct temporal windows, at GABAergic synapses on parvocellular neuroendocrine
cells (PNCs) in the paraventricular nucleus (PVN) of the hypothalamus. This
review highlights the complexity in the plasticity of GABAergic neurotransmission
associated with stress and the relationship to neuronal excitability, including
alterations in GABAAR expression, synaptic plasticity at GABAergic synapses, and
changes in chloride homeostasis.
PMID- 24936174
TI - Empirical evidence for musical syntax processing? Computer simulations reveal the
contribution of auditory short-term memory.
AB - During the last decade, it has been argued that (1) music processing involves
syntactic representations similar to those observed in language, and (2) that
music and language share similar syntactic-like processes and neural resources.
This claim is important for understanding the origin of music and language
abilities and, furthermore, it has clinical implications. The Western musical
system, however, is rooted in psychoacoustic properties of sound, and this is not
the case for linguistic syntax. Accordingly, musical syntax processing could be
parsimoniously understood as an emergent property of auditory memory rather than
a property of abstract processing similar to linguistic processing. To support
this view, we simulated numerous empirical studies that investigated the
processing of harmonic structures, using a model based on the accumulation of
sensory information in auditory memory. The simulations revealed that most of the
musical syntax manipulations used with behavioral and neurophysiological methods
as well as with developmental and cross-cultural approaches can be accounted for
by the auditory memory model. This led us to question whether current research on
musical syntax can really be compared with linguistic processing. Our simulation
also raises methodological and theoretical challenges to study musical syntax
while disentangling the confounded low-level sensory influences. In order to
investigate syntactic abilities in music comparable to language, research should
preferentially use musical material with structures that circumvent the tonal
effect exerted by psychoacoustic properties of sounds.
PMID- 24936177
TI - Extending the mind: a review of ethnographies of neuroscience practice.
AB - THIS PAPER REVIEWS ETHNOGRAPHIES OF NEUROSCIENCE LABORATORIES IN THE UNITED
STATES AND EUROPE, ORGANIZING THEM INTO THREE MAIN SECTIONS: (1) descriptions of
the capabilities and limitations of technologies used in neuroimaging
laboratories to map "activity" or "function" onto structural models of the brain;
(2) discussions of the "distributed" or "extended" mind in neuroscience practice;
and (3) the implications of neuroscience research and the power of brain images
outside the laboratory. I will try to show the importance of ethnographic work in
such settings, and place this body of ethnographic work within its historical
framework-such ethnographies largely emerged within the Decade of the Brain, as
announced by former President of the United States George H. W. Bush in 1990. The
main argument is that neuroscience research and the context within which it is
taking place has changed since the 1990's-specifically with the launch of "big
science" projects such as the Human Brain Project (HBP) in the European Union and
the BRAIN initiative in the United States. There is an opportunity for more
research into the institutional and politico-economic context within which
neuroscience research is taking place, and for continued engagement between the
social and biological sciences.
PMID- 24936176
TI - A unique memory process modulated by emotion underpins successful odor
recognition and episodic retrieval in humans.
AB - We behaviorally explore the link between olfaction, emotion and memory by testing
the hypothesis that the emotion carried by odors facilitates the memory of
specific unique events. To investigate this idea, we used a novel behavioral
approach inspired by a paradigm developed by our team to study episodic memory in
a controlled and as ecological as possible way in humans. The participants freely
explored three unique and rich laboratory episodes; each episode consisted of
three unfamiliar odors (What) positioned at three specific locations (Where)
within a visual context (Which context). During the retrieval test, which
occurred 24-72 h after the encoding, odors were used to trigger the retrieval of
the complex episodes. The participants were proficient in recognizing the target
odors among distractors and retrieving the visuospatial context in which they
were encountered. The episodic nature of the task generated high and stable
memory performances, which were accompanied by faster responses and slower and
deeper breathing. Successful odor recognition and episodic memory were not
related to differences in odor investigation at encoding. However, memory
performances were influenced by the emotional content of the odors, regardless of
odor valence, with both pleasant and unpleasant odors generating higher
recognition and episodic retrieval than neutral odors. Finally, the present study
also suggested that when the binding between the odors and the spatio-contextual
features of the episode was successful, the odor recognition and the episodic
retrieval collapsed into a unique memory process that began as soon as the
participants smelled the odors.
PMID- 24936175
TI - Serotonin-prefrontal cortical circuitry in anxiety and depression phenotypes:
pivotal role of pre- and post-synaptic 5-HT1A receptor expression.
AB - Decreased serotonergic activity has been implicated in anxiety and major
depression, and antidepressants directly or indirectly increase the long-term
activity of the serotonin system. A key component of serotonin circuitry is the 5
HT1A autoreceptor, which functions as the major somatodendritic autoreceptor to
negatively regulate the "gain" of the serotonin system. In addition, 5-HT1A
heteroreceptors are abundantly expressed post-synaptically in the prefrontal
cortex (PFC), amygdala, and hippocampus to mediate serotonin actions on fear,
anxiety, stress, and cognition. Importantly, in the PFC 5-HT1A heteroreceptors
are expressed on at least two antagonist neuronal populations: excitatory
pyramidal neurons and inhibitory interneurons. Rodent models implicate the 5-HT1A
receptor in anxiety- and depression-like phenotypes with distinct roles for pre-
and post-synaptic 5-HT1A receptors. In this review, we present a model of
serotonin-PFC circuitry that integrates evidence from mouse genetic models of
anxiety and depression involving knockout, suppression, over-expression, or
mutation of genes of the serotonin system including 5-HT1A receptors. The model
postulates that behavioral phenotype shifts as serotonin activity increases from
none (depressed/aggressive not anxious) to low (anxious/depressed) to high
(anxious, not depressed). We identify a set of conserved transcription factors
including Deaf1, Freud-1/CC2D1A, Freud-2/CC2D1B and glucocorticoid receptors that
may confer deleterious regional changes in 5-HT1A receptors in depression, and
how future treatments could target these mechanisms. Further studies to
specifically test the roles and regulation of pyramidal vs. interneuronal
populations of 5-HT receptors are needed better understand the role of serotonin
in anxiety and depression and to devise more effective targeted therapeutic
approaches.
PMID- 24936178
TI - The neural correlates of regulating another person's emotions: an exploratory
fMRI study.
AB - Studies investigating the neurophysiological basis of intrapersonal emotion
regulation (control of one's own emotional experience) report that the frontal
cortex exerts a modulatory effect on limbic structures such as the amygdala and
insula. However, no imaging study to date has examined the neurophysiological
processes involved in interpersonal emotion regulation, where the goal is
explicitly to regulate another person's emotion. Twenty healthy participants (10
males) underwent fMRI while regulating their own or another person's emotions.
Intrapersonal and interpersonal emotion regulation tasks recruited an overlapping
network of brain regions including bilateral lateral frontal cortex, pre
supplementary motor area, and left temporo-parietal junction. Activations unique
to the interpersonal condition suggest that both affective (emotional simulation)
and cognitive (mentalizing) aspects of empathy may be involved in the process of
interpersonal emotion regulation. These findings provide an initial insight into
the neural correlates of regulating another person's emotions and may be relevant
to understanding mental health issues that involve problems with social
interaction.
PMID- 24936179
TI - Neurocognitive profiles of learning disabled children with neurofibromatosis type
1.
AB - Neurofibromatosis 1 (NF1) is a genetic condition generally associated with
intellectual deficiency and learning disabilities. Although there have been
groundbreaking advances in the understanding of the molecular, cellular, and
neural systems underlying learning deficits associated to NF1 in animal models,
much remains to be learned about the spectrum of neurocognitive phenotype
associated with the NF1 clinical syndrome. In the present study, 32 children with
NF1 ranging from 7 to 14 years were evaluated with neurocognitive tests dedicated
to assess basic capacities which are involved in reading and mathematical
achievement. Deficits in lexical and phonological strategies and poor number
facts retrieval were found underlying reading and arithmetic disorders,
respectively. Additionally, efficiencies in lexical/phonological strategies and
mental arithmetic were significant predictors of individual differences in
reading attainment and math. However, deficits in core numeric capacities were
not found in the sample, suggesting that it is not responsible for calculation
dysfluency. The estimated prevalence of Developmental Dyscalculia was 18.8%, and
the male:female ratio was 5:1. On the other hand, the prevalence of Developmental
Dyslexia was almost 3 times as high (50%), and no gender differences were found
(male: female ratio = 1:1). This study offers new evidence to the neurocognitive
phenotype of NF1 contributing to an in depth understanding of this condition, but
also to possible treatments for the cognitive deficits associated with NF1.
PMID- 24936180
TI - Working memory capacity as a moderator of load-related frontal midline theta
variability in Sternberg task.
AB - The aim of this study was to investigate the relationship between working memory
capacity (WMC) and frontal theta response to memory load in Sternberg task. We
show that oscillatory activity in the theta band (4-6 Hz) related to Sternberg
task performance may differentiate people characterized by high and low WMC.
Specifically, there is a linear increase of frontal midline (FM) theta power with
load, however, only in the high WMC group. Furthermore, a positive linear
relationship was found between WMC (operation span task score) and average FM
theta power increase from lower to higher loads which was not present at other
scalp locations. The distinct patterns of high and low WMC individual's FM theta
response to memory load seem to support the assumption that theta activity during
maintenance reflects not only the amount of information stored, but also the
effort it takes to remember them and the efficiency of involved neural processes.
This contributes to perceiving FM theta as an individual trait which can reflect
individual working memory mechanism efficiency.
PMID- 24936181
TI - Missing and delayed auditory responses in young and older children with autism
spectrum disorders.
AB - BACKGROUND: The development of left and right superior temporal gyrus (STG) 50 ms
(M50) and 100 ms (M100) auditory responses in typically developing (TD) children
and in children with autism spectrum disorder (ASD) was examined. Reflecting
differential development of primary/secondary auditory areas and supporting
previous studies, it was hypothesized that whereas left and right M50 STG
responses would be observed equally often in younger and older children, left and
right M100 STG responses would more often be absent in younger than older
children. In ASD, delayed neurodevelopment would be indicated via the observation
of a greater proportion of ASD than TD subjects showing missing M100 but not M50
responses in both age groups. Missing M100 responses would be observed primarily
in children with ASD with language impairment (ASD + LI) (and perhaps
concomitantly lower general cognitive abilities). METHODS: Thirty-five TD
controls, 63 ASD without language impairment (ASD - LI), and 38 ASD + LI were
recruited. Binaural tones were presented. The presence or absence of a STG M50
and M100 was scored. Subjects were grouped into younger (6-10 years old) and
older groups (11-15 years old). RESULTS: Although M50 responses were observed
equally often in older and younger subjects and equally often in TD and ASD, left
and right M50 responses were delayed in ASD - LI and ASD + LI. Group comparisons
showed that in younger subjects M100 responses were observed more often in TD
than ASD + LI (90 versus 66%, p = 0.04), with no differences between TD and ASD -
LI (90 versus 76%, p = 0.14) or between ASD - LI and ASD + LI (76 versus 66%, p =
0.53). In older subjects, whereas no differences were observed between TD and ASD
+ LI, responses were observed more often in ASD - LI than ASD + LI. Findings were
similar when splitting the ASD group into lower- and higher-cognitive functioning
groups. CONCLUSION: Although present in all groups, M50 responses were delayed in
ASD. Examining the TD data, findings indicated that by 11 years, a right M100
should be observed in 100% of subjects and a left M100 in 80% of subjects. Thus,
by 11 years, lack of a left and especially right M100 offers neurobiological
insight into sensory processing that may underlie language or cognitive
impairment.
PMID- 24936182
TI - On how correlations between excitatory and inhibitory synaptic inputs maximize
the information rate of neuronal firing.
AB - Cortical neurons receive barrages of excitatory and inhibitory inputs which are
not independent, as network structure and synaptic kinetics impose statistical
correlations. Experiments in vitro and in vivo have demonstrated correlations
between inhibitory and excitatory synaptic inputs in which inhibition lags behind
excitation in cortical neurons. This delay arises in feed-forward inhibition
(FFI) circuits and ensures that coincident excitation and inhibition do not
preclude neuronal firing. Conversely, inhibition that is too delayed broadens
neuronal integration times, thereby diminishing spike-time precision and
increasing the firing frequency. This led us to hypothesize that the correlation
between excitatory and inhibitory synaptic inputs modulates the encoding of
information of neural spike trains. We tested this hypothesis by investigating
the effect of such correlations on the information rate (IR) of spike trains
using the Hodgkin-Huxley model in which both synaptic and membrane conductances
are stochastic. We investigated two different synaptic input regimes: balanced
synaptic conductances and balanced currents. Our results show that correlations
arising from the synaptic kinetics, tau, and millisecond lags, delta, of
inhibition relative to excitation strongly affect the IR of spike trains. In the
regime of balanced synaptic currents, for short time lags (delta ~ 1 ms) there is
an optimal tau that maximizes the IR of the postsynaptic spike train. Given the
short time scales for monosynaptic inhibitory lags and synaptic decay kinetics
reported in cortical neurons under physiological contexts, we propose that FFI in
cortical circuits is poised to maximize the rate of information transfer between
cortical neurons. Our results also provide a possible explanation for how certain
drugs and genetic mutations affecting the synaptic kinetics can deteriorate
information processing in the brain.
PMID- 24936183
TI - Identifying endophenotypes of autism: a multivariate approach.
AB - The existence of an endophenotype of autism spectrum condition (ASC) has been
recently suggested by several commentators. It can be estimated by finding
differences between controls and people with ASC that are also present when
comparing controls and the unaffected siblings of ASC individuals. In this work,
we used a multivariate methodology applied on magnetic resonance images to look
for such differences. The proposed procedure consists of combining a searchlight
approach and a support vector machine classifier to identify the differences
between three groups of participants in pairwise comparisons: controls, people
with ASC and their unaffected siblings. Then we compared those differences
selecting spatially collocated as candidate endophenotypes of ASC.
PMID- 24936184
TI - Salivary DJ-1 could be an indicator of Parkinson's disease progression.
AB - OBJECTIVE: The goal of the current investigation was to explore whether salivary
DJ-1 could be a potential biomarker for monitoring disease progression in
Parkinson's disease (PD) by evaluating the association between salivary DJ-1
concentrations and nigrostriatal dopaminergic function. METHODS: First, in 74
patients with PD and 12 age-matched normal controls, single photon emission
computed tomography (SPECT) imaging with labeled dopamine transporters (DAT)
((99m)Tc-TRODAT-1), which has been used for measuring DAT density in PD was
prformed. Then, the DJ-1 level in their saliva was analyzed by quantitative and
sensitive Luminex assay and compared to caudate or putamen DAT density. Finally,
based on the above, our cross-section study was carried out in 376 research
volunteers (285 patients with PD and 91 healthy controls) to measure salivary DJ
1 level. RESULTS: From our analysis, we found a correlation between salivary
concentration of DJ-1 and putamen nucleus uptake of (99m)Tc-TRODAT-1 in the PD
group. Although salivary DJ-1 levels were not affected by UPDRS scores, gender,
age, and pharmacotherapy, DJ-1 levels in H&Y 4 stage of PD were higher than those
in H&Y 1-3 stage as well as those in healthy controls. Salivary DJ-1 also
decreased significantly in mixed type PD patients compared to the tremor-dominant
type (TDT) and akinetic-rigid dominant type (ARDT) PD patients. CONCLUSIONS:
According to the investigation in a large cohort, we reported for the first time
the prognostic potential of the salivary DJ-1 as a biomarker for evaluating
nigrostriatal dopaminergic function in PD.
PMID- 24936185
TI - Delayed plastic responses to anodal tDCS in older adults.
AB - Despite the abundance of research reporting the neurophysiological and behavioral
effects of transcranial direct current stimulation (tDCS) in healthy young adults
and clinical populations, the extent of potential neuroplastic changes induced by
tDCS in healthy older adults is not well understood. The present study compared
the extent and time course of anodal tDCS-induced plastic changes in primary
motor cortex (M1) in young and older adults. Furthermore, as it has been
suggested that neuroplasticity and associated learning depends on the brain
derived neurotrophic factor (BDNF) gene polymorphisms, we also assessed the
impact of BDNF polymorphism on these effects. Corticospinal excitability was
examined using transcranial magnetic stimulation before and following (0, 10, 20,
30 min) anodal tDCS (30 min, 1 mA) or sham in young and older adults. While the
overall extent of increases in corticospinal excitability induced by anodal tDCS
did not vary reliably between young and older adults, older adults exhibited a
delayed response; the largest increase in corticospinal excitability occurred 30
min following stimulation for older adults, but immediately post-stimulation for
the young group. BDNF genotype did not result in significant differences in the
observed excitability increases for either age group. The present study suggests
that tDCS-induced plastic changes are delayed as a result of healthy aging, but
that the overall efficacy of the plasticity mechanism remains unaffected.
PMID- 24936186
TI - Cellular stress response, redox status, and vitagenes in glaucoma: a systemic
oxidant disorder linked to Alzheimer's disease.
AB - Amyloid deposits, constituted of amyloid beta (Abeta) aggregates, are a
characteristic feature of several neurodegenerative diseases, such as
Alzheimer's, mild cognitive impairment and Parkinson's disease. They also have
been recently implicated in the pathogenesis of retinal damage, as well as age
related macular degeneration and glaucoma. Glaucoma is a progressive optic
neuropathy characterized by gradual degeneration of neuronal tissue due to
retinal ganglion cell loss, associated to visual field loss over time resulting
in irreversible blindness. Accumulation of Abeta characterizes glaucoma as a
protein misfolding disease, suggesting a pathogenic role for oxidative stress in
the pathogenesis of retinal degenerative damage associated to glaucoma. There is
a growing body of evidence demonstrating a link between Alzheimer's disease and
glaucoma. Further, several heat shock proteins (HSPs) members have been
implicated both in neurodegenerative diseases and glaucomatous apoptosis. To
maintain redox homeostasis vitagenes, as integrated mechanisms, operate actively
to preserve cell survival under condition of stress. Vitagenes encode for
sirtuin, thioredoxin and HSPs. The present study was designed to investigate
cellular stress response mechanisms in the blood of patients with glaucoma,
compared to control subjects. Levels of vitagenes HSP-72, heme oxygenase-1, as
well as F2-isoprostanes were significantly higher in the blood of patients with
glaucoma than in controls. Furthermore, in the same experimental group increased
expression of Trx and sirtuin 1 were measured. Our results sustain the importance
of redox homeostasis disruption in the pathogenesis of glaucoma and highlights
the opportunity that new therapies that prevents neurodegeneration through non
immunomodulatory mechanisms might be synergistically associated with current
glaucoma therapies, thus unraveling important targets for novel cytoprotective
strategies.
PMID- 24936187
TI - Is a low level of free thyroxine in the maternal circulation associated with
altered endothelial function in gestational diabetes?
AB - Synthesis of thyroid hormones, thyroxine (T4) and tri-iodothyronine (T3), in the
human fetus starts from 17 to 19th weeks of gestation. Despite the majority of
normal pregnant women reaching adequate levels of circulating thyroid hormones,
in some cases, women with normal pregnancies have low level of free T4 during
first trimester of pregnancy, suggesting that T4 action may be compromised in
those women and their fetuses. In addition, pathological low levels of thyroid
hormones are detected in isolated maternal hypothyroxemia (IMH) and clinical
hypothyroidism. Nevertheless, human placenta regulates T3/T4 concentration in the
fetal circulation by modulating the expression and activity of both thyroid
hormone transporters (THT) and deiodinases. Then, placenta can control the
availability of T3/T4 in the feto-placental circulation, and therefore may
generate an adaptive response in cases where the mother courses with low levels
of T4. In addition, T3/T4 might control vascular response in the placenta, in
particularly endothelial cells may induce the synthesis and release of
vasodilators such as nitric oxide (NO) or vasoconstrictors such as endothelin-1
mediated by these hormones. On the other hand, low levels of T4 have been
associated with increase in gestational diabetes (GD) markers. Since GD is
associated with impaired placental vascular function characterized by increased
NO synthesis in placental arteries and veins, as well as elevated placental
angiogenesis, it is unknown whether reduced T4 level at the maternal circulation
could result in an altered placental endothelial function during GD. In this
review, we analyze available information regarding thyroid hormones and
endothelial dysfunction in GD; and propose that low maternal levels of T4
observed in GD may be compensated by increased placental availability of T3/T4
via elevation in the activity of THT and/or reduction in deiodinases in the feto
placental circulation.
PMID- 24936188
TI - Structural considerations of vitamin D signaling.
AB - Crystal structures represent the static picture in the life of a molecule giving
a sneak preview what it might be in reality. Hence, it is very hard to
extrapolate from these photos toward dynamic processes such as transcriptional
regulation. Mechanistically VDR may be considered as molecular machine able to
perform ligand-, DNA- and protein recognition, and interaction in a multi-task
manner. Taking this into account the functional net effect will be the
combination of all these processes. The long awaited answer to explain the
differences in physiological effects for various ligands was one of the biggest
disappointment that crystal structures provided since no substantial distinction
could be made for the conformation of the active VDR-ligand complexes. This may
have come from the limitation on the complexity of the available ligand-VDR
structures. The recent studies with full length VDR-RXRalpha showed somewhat more
comprehensive perspective for the 3D organization and possible function of the
VDR-RXRalpha-cofactor complex. In addition to in vitro approaches, also
computational tools had been introduced with the aim to get understanding on the
mechanic and dynamic properties of the VDR complexes with some success. Using
these methods and based on measurable descriptors such as pocket size and
positions of side chains it is possible to note subtle differences between the
structures. The meaning of these differences has not been fully understood yet
but the possibility of a "butterfly effect" may have more extreme consequences in
terms of VDR signaling. In this review, the three functional aspects (ligand-,
DNA- and protein recognition, and binding) will be discussed with respect to
available data as well as possible implication and questions that may be
important to address in the future.
PMID- 24936190
TI - Score-based tests of measurement invariance: use in practice.
AB - In this paper, we consider a family of recently-proposed measurement invariance
tests that are based on the scores of a fitted model. This family can be used to
test for measurement invariance w.r.t. a continuous auxiliary variable, without
pre-specification of subgroups. Moreover, the family can be used when one wishes
to test for measurement invariance w.r.t. an ordinal auxiliary variable, yielding
test statistics that are sensitive to violations that are monotonically related
to the ordinal variable (and less sensitive to non-monotonic violations). The
paper is specifically aimed at potential users of the tests who may wish to know
(1) how the tests can be employed for their data, and (2) whether the tests can
accurately identify specific models parameters that violate measurement
invariance (possibly in the presence of model misspecification). After providing
an overview of the tests, we illustrate their general use via the R packages
lavaan and strucchange. We then describe two novel simulations that provide
evidence of the tests' practical abilities. As a whole, the paper provides
researchers with the tools and knowledge needed to apply these tests to general
measurement invariance scenarios.
PMID- 24936189
TI - Elucidating the molecular bases of epigenetic inheritance in non-model
invertebrates: the case of the root-knot nematode Meloidogyne incognita.
AB - Root-knot nematodes of the genus Meloidogyne are biotrophic plant parasites that
exhibit different life cycles and reproduction modes, ranging from classical
amphimixis to obligatory mitotic parthenogenesis (apomixis), depending on the
species. Meloidogyne incognita, an apomictic species, exhibits a worldwide
distribution and a wide host range affecting more than 3000 plant species.
Furthermore, evidences suggest that apomixis does not prevent M. incognita from
adapting to its environment in contrast to what is expected from mitotic
parthenogenesis that should theoretically produce clonal progenies. This raises
questions about mechanisms of genome plasticity leading to genetic variation and
adaptive evolution in apomictic animals. We reasoned that epigenetic mechanisms
might in part be responsible for the generation of phenotypic variants that
provide potential for rapid adaptation. We established therefore a pipeline to
investigate the principal carriers of epigenetic information, DNA methylation and
post-translational histone modifications. Even if M. incognita possesses the
epigenetic machinery i.e., chromatin modifying enzymes, 5-methyl-cytosine and 5
hydroxy-methyl-cytosine content is absent or very weak. In contrast, we
demonstrated that the canonical histone modifications are present and chromatin
shows typical nucleosome structure. This work is the first characterization of
carriers of epigenetic information in M. incognita and constitutes a preamble to
further investigate if M. incognita development and its adaptation to plant hosts
are under epigenetic control. Our pipeline should allow performing similar types
of studies in any non-model organism.
PMID- 24936191
TI - Embodied affectivity: on moving and being moved.
AB - There is a growing body of research indicating that bodily sensation and behavior
strongly influences one's emotional reaction toward certain situations or
objects. On this background, a framework model of embodied affectivity is
suggested: we regard emotions as resulting from the circular interaction between
affective qualities or affordances in the environment and the subject's bodily
resonance, be it in the form of sensations, postures, expressive movements or
movement tendencies. Motion and emotion are thus intrinsically connected: one is
moved by movement (perception; impression; affection) and moved to move (action;
expression; e-motion). Through its resonance, the body functions as a medium of
emotional perception: it colors or charges self-experience and the environment
with affective valences while it remains itself in the background of one's own
awareness. This model is then applied to emotional social understanding or
interaffectivity which is regarded as an intertwinement of two cycles of embodied
affectivity, thus continuously modifying each partner's affective affordances and
bodily resonance. We conclude with considerations of how embodied affectivity is
altered in psychopathology and can be addressed in psychotherapy of the embodied
self.
PMID- 24936192
TI - Changing the game: exploring infants' participation in early play routines.
AB - Play has proved to have a central role in children's development, most notably in
rule learning (Piaget, 1965; Sutton-Smith, 1979) and negotiation of roles and
goals (Garvey, 1974; Bruner et al., 1976). Yet very little research has been done
on early play. The present study focuses on early social games, i.e., vocal
kinetic play routines that mothers use to interact with infants from very early
on. We explored 3-month-old infants and their mothers performing a routine game
first in the usual way, then in two violated conditions: without gestures and
without sound. The aim of the study is to investigate infants' participation and
expectations in the game and whether this participation is affected by changes in
the multimodal format of the game. Infants' facial expressions, gaze, and body
movements were coded to measure levels of engagement and affective state across
the three conditions. Results showed a significant decrease in Limbs Movements
and expressions of Positive Affect, an increase in Gaze Away and in Stunned
Expression when the game structure was violated. These results indicate that the
violated game conditions were experienced as less engaging, either because of an
unexpected break in the established joint routine, or simply because they were
weaker versions of the same game. Overall, our results suggest that structured,
multimodal play routines may constitute interactional contexts that only work as
integrated units of auditory and motor resources, representing early
communicative contexts which prepare the ground for later, more complex
multimodal interactions, such as verbal exchanges.
PMID- 24936194
TI - Increasing interpersonal trust through divergent thinking.
AB - Interpersonal trust is an essential ingredient of many social relationships but
how stable is it actually, and how is it controlled? There is evidence that the
degree of trust into others might be rather volatile and can be affected by
manipulations like drawing attention to personal interdependence or independence.
Here we investigated whether the degree of interpersonal trust can be biased by
inducing either a more integrative or a more focused/exclusive cognitive control
mode by means of a creativity task requiring divergent or convergent thinking,
respectively. Participants then performed the trust game, which provides an index
of interpersonal trust by assessing the money units one participant (the trustor)
transfers to another (the trustee). As expected, trustors transferred
significantly more money to trustees after engaging in divergent thinking as
compared to convergent thinking. This observation provides support for the idea
that interpersonal trust is controlled by domain-general (i.e., not socially
dedicated) cognitive states.
PMID- 24936193
TI - The role of alpha-7 nicotinic receptors in food intake behaviors.
AB - Nicotine alters appetite and energy expenditure, leading to changes in body
weight. While the exact mechanisms underlying these effects are not fully
established, both central and peripheral involvement of the alpha-7 nicotinic
acetylcholine receptor (alpha7nAChR) has been suggested. Centrally, the
alpha7nAChR modulates activity of hypothalamic neurons involved in food intake
regulation, including proopiomelanocortin and neuropeptide Y. alpha7nAChRs also
modulate glutamatergic and dopaminergic systems controlling reward processes that
affect food intake. Additionally, alpha7nAChRs are important peripheral mediators
of chronic inflammation, a key contributor to health problems in obesity. This
review focuses on nicotinic cholinergic effects on eating behaviors, specifically
those involving the alpha7nAChR, with the hypothesis that alpha7nAChR agonism
leads to appetite suppression. Recent studies are highlighted that identify links
between alpha7nAChR expression and obesity, insulin resistance, and diabetes and
describe early findings showing an alpha7nAChR agonist to be associated with
reduced weight gain in a mouse model of diabetes. Given these effects, the
alpha7nAChR may be a useful therapeutic target for strategies to treat and manage
obesity.
PMID- 24936195
TI - The integration hypothesis of human language evolution and the nature of
contemporary languages.
AB - How human language arose is a mystery in the evolution of Homo sapiens. Miyagawa
et al. (2013) put forward a proposal, which we will call the Integration
Hypothesis of human language evolution, that holds that human language is
composed of two components, E for expressive, and L for lexical. Each component
has an antecedent in nature: E as found, for example, in birdsong, and L in, for
example, the alarm calls of monkeys. E and L integrated uniquely in humans to
give rise to language. A challenge to the Integration Hypothesis is that while
these non-human systems are finite-state in nature, human language is known to
require characterization by a non-finite state grammar. Our claim is that E and
L, taken separately, are in fact finite-state; when a grammatical process crosses
the boundary between E and L, it gives rise to the non-finite state character of
human language. We provide empirical evidence for the Integration Hypothesis by
showing that certain processes found in contemporary languages that have been
characterized as non-finite state in nature can in fact be shown to be finite
state. We also speculate on how human language actually arose in evolution
through the lens of the Integration Hypothesis.
PMID- 24936196
TI - Glucose and the injured brain-monitored in the neurointensive care unit.
AB - Brain has a continuous demand for energy that is met by oxidative metabolism of
oxygen and glucose. This demand is compromised in the injured brain and if the
inadequate supply persists it will lead to permanent tissue damage. Zero values
of cerebral glucose have been associated with infarction and poor neurological
outcome. Furthermore, hyperglycemia is common in patients with neurological
insults and associated with poor outcome. Intensive insulin therapy (IIT) to
control blood glucose has been suggested and used in neurointensive care with
conflicting results. This review covers the studies reporting on monitoring of
cerebral glucose with microdialysis in patients with traumatic brain injury
(TBI), subarachnoid hemorrhage (SAH) and ischemic stroke. Studies investigating
IIT are also discussed. Available data suggest that low cerebral glucose in
patients with TBI and SAH provides valuable information on development of
secondary ischemia and has been correlated with worse outcome. There is also
indication that the location of the catheter is important for correlation between
plasma and brain glucose. In conclusion considering catheter location, monitoring
of brain glucose in the neurointensive care not only provides information on
imminent secondary ischemia it also reveals the effect of peripheral treatment on
the injured brain.
PMID- 24936197
TI - Recovery from emotion recognition impairment after temporal lobectomy.
AB - Mesial temporal lobe epilepsy (MTLE) can be associated with emotion recognition
impairment that can be particularly severe in patients with early onset seizures
(1-3). Whereas, there is growing evidence that memory and language can improve in
seizure-free patients after anterior temporal lobectomy (ATL) (4), the effects of
surgery on emotional processing are still unknown. We used functional magnetic
resonance imaging (fMRI) to investigate short-term reorganization of networks
engaged in facial emotion recognition in MTLE patients. Behavioral and fMRI data
were collected from six patients before and after ATL. During the fMRI scan,
patients were asked to make a gender decision on fearful and neutral faces.
Behavioral data demonstrated that two patients with early onset right MTLE were
impaired in fear recognition while fMRI results showed they lacked specific
activations for fearful faces. Post-ATL behavioral data showed improved emotion
recognition ability, while fMRI demonstrated the recruitment of a functional
network for fearful face processing. Our results suggest that ATL elicited brain
plasticity mechanisms allowing behavioral and fMRI improvement in emotion
recognition.
PMID- 24936200
TI - Parasites in algae mass culture.
AB - Parasites are now known to be ubiquitous across biological systems and can play
an important role in modulating algal populations. However, there is a lack of
extensive information on their role in artificial ecosystems such as algal
production ponds and photobioreactors. Parasites have been implicated in the
demise of algal blooms. Because individual mass culture systems often tend to be
unialgal and a select few algal species are in wide scale application, there is
an increased potential for parasites to have a devastating effect on commercial
scale monoculture. As commercial algal production continues to expand with a
widening variety of applications, including biofuel, food and pharmaceuticals,
the parasites associated with algae will become of greater interest and potential
economic impact. A number of important algal parasites have been identified in
algal mass culture systems in the last few years and this number is sure to grow
as the number of commercial algae ventures increases. Here, we review the
research that has identified and characterized parasites infecting mass
cultivated algae, the techniques being proposed and or developed to control them,
and the potential impact of parasites on the future of the algal biomass
industry.
PMID- 24936198
TI - Mesenchymal stem cell-based treatment for microvascular and secondary
complications of diabetes mellitus.
AB - The worldwide increase in the prevalence of Diabetes mellitus (DM) has
highlighted the need for increased research efforts into treatment options for
both the disease itself and its associated complications. In recent years,
mesenchymal stromal cells (MSCs) have been highlighted as a new emerging
regenerative therapy due to their multipotency but also due to their paracrine
secretion of angiogenic factors, cytokines, and immunomodulatory substances. This
review focuses on the potential use of MSCs as a regenerative medicine in
microvascular and secondary complications of DM and will discuss the challenges
and future prospects of MSCs as a regenerative therapy in this field. MSCs are
believed to have an important role in tissue repair. Evidence in recent years has
demonstrated that MSCs have potent immunomodulatory functions resulting in active
suppression of various components of the host immune response. MSCs may also have
glucose lowering properties providing another attractive and unique feature of
this therapeutic approach. Through a combination of the above characteristics,
MSCs have been shown to exert beneficial effects in pre-clinical models of
diabetic complications prompting initial clinical studies in diabetic wound
healing and nephropathy. Challenges that remain in the clinical translation of
MSC therapy include issues of MSC heterogeneity, optimal mode of cell delivery,
homing of these cells to tissues of interest with high efficiency, clinically
meaningful engraftment, and challenges with cell manufacture. An issue of added
importance is whether an autologous or allogeneic approach will be used. In
summary, MSC administration has significant potential in the treatment of
diabetic microvascular and secondary complications but challenges remain in terms
of engraftment, persistence, tissue targeting, and cell manufacture.
PMID- 24936199
TI - CD8 T-cell-mediated protection against liver-stage malaria: lessons from a mouse
model.
AB - Malaria is a major global health problem, with severe mortality in children
living in sub-Saharan Africa, and there is currently no licensed, effective
vaccine. However, vaccine-induced protection from Plasmodium infection, the
causative agent of malaria, was established for humans in small clinical trials
and for rodents in the 1960s. Soon after, a critical role for memory CD8 T cells
in vaccine-induced protection against Plasmodium liver-stage infection was
established in rodent models and is assumed to apply to humans. However, these
seminal early studies have led to only modest advances over the ensuing years in
our understanding the basic features of memory CD8 T cells required for
protection against liver-stage Plasmodium infection, an issue which has likely
impeded the development of effective vaccines for humans. Given the ethical and
practical limitations in gaining mechanistic insight from human vaccine and
challenge studies, animal models still have an important role in dissecting the
basic parameters underlying memory CD8 T-cell immunity to Plasmodium. Here, we
will highlight recent data from our own work in the mouse model of Plasmodium
infection that identify quantitative and qualitative features of protective
memory CD8 T-cell responses. Finally, these lessons will be discussed in the
context of recent findings from clinical trials of vaccine-induced protection in
controlled human challenge models.
PMID- 24936201
TI - Analysis of MreB interactors in Chlamydia reveals a RodZ homolog but fails to
detect an interaction with MraY.
AB - Chlamydia is an obligate intracellular bacterial pathogen that has significantly
reduced its genome in adapting to the intracellular environment. One class of
genes for which the bacterium has few annotated examples is cell division, and
Chlamydia lacks FtsZ, a central coordinator of the division apparatus. We have
previously implicated MreB as a potential substitute for FtsZ in Chlamydia
(Ouellette et al., 2012). Thus, to identify new chlamydial cell division
components, we searched for proteins that interacted with MreB. We performed a
small-scale screen using a Gateway(r) compatible version of the Bacterial
Adenylate Cyclase Two Hybrid (BACTH) system, BACTHGW, to detect proteins
interacting with chlamydial MreB and identified a RodZ (YfgA) homolog. The
chlamydial RodZ aligns well with the cytoplasmic domain of E. coli RodZ but lacks
the periplasmic domain that is dispensable for rod cell shape maintenance in E.
coli. The expression pattern of yfgA/rodZ was similar to that of mreB and ftsI,
suggesting that these genes may operate in a common functional pathway. The
chlamydial RodZ correctly localized to the membrane of E. coli but was unable to
complement an E. coli rodZ mutant strain, likely because of the inability of
chlamydial RodZ to interact with the native E. coli MreB. Finally, we also tested
whether chlamydial MreB could interact with MraY, as suggested by Gaballah et al.
(2011). However, we did not detect an interaction between these proteins even
when using an implementation of the BACTH system to allow native orientation of
the N- and C-termini of MraY in the periplasm. Thus, further work will be needed
to establish this proposed interaction. In sum, we have added to the repertoire
of potential cell division proteins of Chlamydia.
PMID- 24936202
TI - Building the crops of tomorrow: advantages of symbiont-based approaches to
improving abiotic stress tolerance.
AB - The exponential growth in world population is feeding a steadily increasing
global need for arable farmland, a resource that is already in high demand. This
trend has led to increased farming on subprime arid and semi-arid lands, where
limited availability of water and a host of environmental stresses often severely
reduce crop productivity. The conventional approach to mitigating the abiotic
stresses associated with arid climes is to breed for stress-tolerant cultivars, a
time and labor intensive venture that often neglects the complex ecological
context of the soil environment in which the crop is grown. In recent years,
studies have attempted to identify microbial symbionts capable of conferring the
same stress-tolerance to their plant hosts, and new developments in genomic
technologies have greatly facilitated such research. Here, we highlight many of
the advantages of these symbiont-based approaches and argue in favor of the
broader recognition of crop species as ecological niches for a diverse community
of microorganisms that function in concert with their plant hosts and each other
to thrive under fluctuating environmental conditions.
PMID- 24936205
TI - Complex(iti)es of the ubiquitous RNA-binding CSP41 proteins.
PMID- 24936204
TI - HvFT1 polymorphism and effect-survey of barley germplasm and expression analysis.
AB - Flowering time in plants is a tightly regulated process. In barley (Hordeum
vulgare L.), HvFT1, ortholog of FLOWERING LOCUS T, is the main integrator of the
photoperiod and vernalization signals leading to the transition from vegetative
to reproductive state of the plant. This gene presents sequence polymorphisms
affecting flowering time in the first intron and in the promoter. Recently, copy
number variation (CNV) has been described for this gene. An allele with more than
one copy was linked to higher gene expression, earlier flowering, and an
overriding effect of the vernalization mechanism. This study aims at (1)
surveying the distribution of HvFT1 polymorphisms across barley germplasm and (2)
assessing gene expression and phenotypic effects of HvFT1 alleles. We analyzed
HvFT1 CNV in 109 winter, spring, and facultative barley lines. There was more
than one copy of the gene (2-5) only in spring or facultative barleys without a
functional vernalization VrnH2 allele. CNV was investigated in several regions
inside and around HvFT1. Two models of the gene were found: one with the same
number of promoters and transcribed regions, and another with one promoter and
variable number of transcribed regions. This last model was found in Nordic
barleys only. Analysis of HvFT1 expression showed that association between known
polymorphisms at the HvFT1 locus and the expression of the gene was highly
dependent on the genetic background. Under long day conditions the earliest
flowering lines carried a sensitive PpdH1 allele. Among spring cultivars with
different number of copies, no clear relation was found between CNV, gene
expression and flowering time. This was confirmed in a set of doubled haploid
lines of a population segregating for HvFT1 CNV. Earlier flowering in the
presence of several copies of HvFT1 was only seen in cultivar Tammi, which
carries one promoter, suggesting a relation of gene structure with its
regulation. HvCEN also affected to a large extent flowering time.
PMID- 24936203
TI - CD8(+) T-Cell Responses in Acute Hepatitis C Virus Infection.
AB - Hepatitis C virus (HCV) infects approximately 170 million people worldwide and is
a major cause of life-threatening liver diseases such as liver cirrhosis and
hepatocellular carcinoma. Acute HCV infection often progresses to chronic
persistent infection, although some patients recover spontaneously. The divergent
outcomes of acute HCV infection are known to be determined by differences in
virus-specific T-cell responses among patients. Of the two major T-cell subsets,
CD8(+) T-cells are known to be the key effector cells that control viral
infections via cytolytic activity and cytokine secretion. Herein, we review
various aspects of HCV-specific CD8(+) T-cell responses in acute HCV infection.
In particular, we focus on timing of CD8(+) T-cell responses, relationship
between CD8(+) T-cell responses and outcomes of acute HCV infection, receptor
expression on CD8(+) T-cells, breadth of CD8(+) T-cell responses, and viral
mutations.
PMID- 24936206
TI - Dichotomous branching: the plant form and integrity upon the apical meristem
bifurcation.
AB - The division of the apical meristem into two independently functioning axes is
defined as dichotomous branching. This type of branching typically occurs in non
vascular and non-seed vascular plants, whereas in seed plants it presents a
primary growth form only in several taxa. Dichotomy is a complex process, which
requires a re-organization of the meristem structure and causes changes in the
apex geometry and activity. However, the mechanisms governing the repetitive apex
divisions are hardly known. Here, an overview of dichotomous branching is
presented, occurring in structurally different apices of phylogenetically distant
plants, and in various organs (e.g., shoots, roots, rhizophores). Additionally,
morphogenetic effects of dichotomy are reviewed, including its impact on
organogenesis and mechanical constraints. At the end, the hormonal and genetic
regulation of the dichotomous branching is discussed.
PMID- 24936209
TI - Enhancement of total sugar and lignin yields through dissolution of poplar wood
by hot water and dilute acid flowthrough pretreatment.
AB - BACKGROUND: Pretreatment is a vital but expensive step in biomass biofuel
production. Overall, most of this past effort has been directed at maximizing
sugar yields from hemicellulose and cellulose through trials with different
chemicals, operating conditions, and equipment configurations. Flowthrough
pretreatment provides a promising platform to dissolution of lignocellulosic
biomass to generate high yields of fermentable sugars and lignin for biofuels
productions. RESULTS: Dissolution of xylan, lignin, and cellulose from poplar
wood were significantly enhanced by water-only and dilute acid (0.05% w/w, H2SO4)
flowthrough pretreatment when the temperature was raised from 200 degrees C to
280 degrees C over a range of flow rates 10-62.5 mL/min, resulting in more than
98% solid removal. Up to 40% of original xylan was converted to xylose in the
hydrolyzate and the rest xylan was solubilized into xylooligomers with negligible
furfural formation. Up to 100% cellulose was removed into hydrolyzate with the
highest glucose yield of 60% and low 5-hydroxymethylfurfural (5-HMF) formation.
The maximal recovered insoluble lignin and soluble lignin were 98% and 15% of
original lignin, respectively. In addition, enzymatic hydrolysis of pretreated
whole slurries was characterized under various enzyme loadings with or without
Bovine serum albumin (BSA) treatment. More than 90% glucose yield and 95% xylose
yield were obtained from enzymatic hydrolysis of dilute acid pretreated whole
slurries with 10 mg protein Ctec 2 with 2 mg Htec2/g glucan + xylan. CONCLUSIONS:
Nearly complete dissolution of whole biomass was realized through water-only and
dilute acid flowthrough pretreatment under tested conditions. Temperature was
considered as the most significant factor for cellulose degradation. The
cellulose removal significantly increased as temperature reached 240 degrees C
for water-only and 220 degrees C for dilute acid. Dilute acid pretreatment
resulted in higher yields of recovered xylan and cellulose as monomeric sugars in
the hydrolyzate than that for water-only pretreatment. Enzymes readily hydrolyzed
the degraded cellulose and xylooligomers in pretreatment hydrolysate. Results
suggested that kinetics controlled the flowthrough pretreatment of biomass
dissolution, which was also affected by flow rate to certain extent.
PMID- 24936208
TI - Systematic analysis of human oncogenic viruses in colon cancer revealed EBV
latency in lymphoid infiltrates.
AB - BACKGROUND: Environmental factors may play a role in colon cancer. In this view,
several studies investigated tumor samples for the presence of various viral DNA
with conflicting results. FINDINGS: We undertook a systematic DNA analysis of 44
consecutive, prospectively collected primary tumor samples by real time and
qualitative PCR for viruses of known or potential oncogenic role in humans,
including polyomavirus (JCV, BKV, Merkel cell polyomavirus), HPV, HTLV, HHV-8 and
EBV. Negative controls consisted of surgical resection margins. No evidence of
genomic DNA fragments from tested virus were detected, except for EBV, which was
found in a significant portion of tumors (23/44, 52%). Real-time PCR showed that
EBV DNA was present at a highly variable content (median 258 copies in 10(5)
cells, range 15-4837). Presence of EBV DNA had a trend to be associated with high
lymphocyte infiltration (p = 0.06, chi2 test), and in situ hybridization with
EBER1-2 probes revealed latency in a fraction of these lymphoid cells, with just
a few scattered plasma cells positive for BZLF-1, an immediate early protein
expressed during lytic replication. LMP-1 expression was undetectable by
immunohistochemistry. CONCLUSIONS: These results argue against a significant
involvement of the tested oncogenic viruses in established colon cancer.
PMID- 24936210
TI - Reliable in vitro studies require appropriate ovarian cancer cell lines.
AB - Ovarian cancer is the fifth most common cause of cancer death in women and the
leading cause of death from gynaecological malignancies. Of the 75% women
diagnosed with locally advanced or disseminated disease, only 30% will survive
five years following treatment. This poor prognosis is due to the following
reasons: limited understanding of the tumor origin, unclear initiating events and
early developmental stages of ovarian cancer, lack of reliable ovarian cancer
specific biomarkers, and drug resistance in advanced cases. In the past, in vitro
studies using cell line models have been an invaluable tool for basic, discovery
driven cancer research. However, numerous issues including misidentification and
cross-contamination of cell lines have hindered research efforts. In this study
we examined all ovarian cancer cell lines available from cell banks. Hereby, we
identified inconsistencies in the reporting, difficulties in the identification
of cell origin or clinical data of the donor patients, restricted ethnic and
histological type representation, and a lack of tubal and peritoneal cancer cell
lines. We recommend that all cell lines should be distributed via official cell
banks only with strict guidelines regarding the minimal available information
required to improve the quality of ovarian cancer research in future.
PMID- 24936207
TI - Long non-coding RNA-dependent transcriptional regulation in neuronal development
and disease.
AB - Comprehensive analysis of the mammalian transcriptome has revealed that long non
coding RNAs (lncRNAs) may make up a large fraction of cellular transcripts.
Recent years have seen a surge of studies aimed at functionally characterizing
the role of lncRNAs in development and disease. In this review, we discuss new
findings implicating lncRNAs in controlling development of the central nervous
system (CNS). The evolution of the higher vertebrate brain has been accompanied
by an increase in the levels and complexities of lncRNAs expressed within the
developing nervous system. Although a limited number of CNS-expressed lncRNAs are
now known to modulate the activity of proteins important for neuronal
differentiation, the function of the vast majority of neuronal-expressed lncRNAs
is still unknown. Topics of intense current interest include the mechanism by
which CNS-expressed lncRNAs might function in epigenetic and transcriptional
regulation during neuronal development, and how gain and loss of function of
individual lncRNAs contribute to neurological diseases.
PMID- 24936212
TI - Robust features for the automatic identification of autism spectrum disorder in
children.
AB - BACKGROUND: It is commonly reported that children with autism spectrum disorder
(ASD) exhibit hyper-reactivity or hypo-reactivity to sensory stimuli.
Electroencephalography (EEG) is commonly used to study neural sensory reactivity,
suggesting that statistical analysis of EEG recordings is a potential means of
automatic classification of the disorder. EEG recordings taken from children,
however, are frequently contaminated with large amounts of noise, making analysis
difficult. In this paper, we present a method for the automatic extraction of
noise-robust EEG features, which serve to quantify neural sensory reactivity. We
show the efficacy of a system for the classification of ASD using these features.
METHODS: An oddball paradigm was used to elicit event-related potentials from a
group of 19 ASD children and 30 typically developing children. EEG recordings
were taken and robust features were extracted. A support vector machine, logistic
regression, and a naive Bayes classifier were used to classify the children as
having ASD or being typically developing. RESULTS: A classification accuracy of
79% was achieved, making our method competitive with other automatic diagnosis
methods based on EEG. Additionally, we found that classification performance is
reduced if eye blink artifacts are removed during preprocessing. CONCLUSIONS:
This study shows that robust EEG features that quantify neural sensory reactivity
are useful for the classification of ASD. We showed that noise-robust features
are crucial for our analysis, and observe that traditional preprocessing methods
may lead to poor classification performance in the face of a large amount of
noise. Further exploration of alternative preprocessing methods is warranted.
PMID- 24936211
TI - Experimental validation of FINDSITE(comb) virtual ligand screening results for
eight proteins yields novel nanomolar and micromolar binders.
AB - BACKGROUND: Identification of ligand-protein binding interactions is a critical
step in drug discovery. Experimental screening of large chemical libraries, in
spite of their specific role and importance in drug discovery, suffer from the
disadvantages of being random, time-consuming and expensive. To accelerate the
process, traditional structure- or ligand-based VLS approaches are combined with
experimental high-throughput screening, HTS. Often a single protein or, at most,
a protein family is considered. Large scale VLS benchmarking across diverse
protein families is rarely done, and the reported success rate is very low. Here,
we demonstrate the experimental HTS validation of a novel VLS approach,
FINDSITE(comb), across a diverse set of medically-relevant proteins. RESULTS: For
eight different proteins belonging to different fold-classes and from diverse
organisms, the top 1% of FINDSITE(comb)'s VLS predictions were tested, and
depending on the protein target, 4%-47% of the predicted ligands were shown to
bind with MUM or better affinities. In total, 47 small molecule binders were
identified. Low nanomolar (nM) binders for dihydrofolate reductase and protein
tyrosine phosphatases (PTPs) and micromolar binders for the other proteins were
identified. Six novel molecules had cytotoxic activity (<10 MUg/ml) against the
HCT-116 colon carcinoma cell line and one novel molecule had potent antibacterial
activity. CONCLUSIONS: We show that FINDSITE(comb) is a promising new VLS
approach that can assist drug discovery.
PMID- 24936213
TI - In vivo optical imaging of cancer metastasis using multiphoton microscopy: a
short review.
AB - Intravital (in vivo) microscopy using fluorescently-tagged proteins is a valuable
tool for imaging the expression of a specific protein, its subcellular location
and the dynamics of specific cell populations in living animals. Recently,
multiphoton microscopy including two-photon laser scanning microscopy (TPLSM) has
been used in the field of tumor biology due to its ability to image target organs
at higher magnification and at deeper depths from the tissue surface for longer
time periods. We developed a method of in vivo real-time imaging for tumor
metastasis using TPLSM with an organ stabilizing system, which allow us to
observe not only a single tumor cell and its microenvironment for a long time,
but also to observe the same organ of the same mouse at multiple time points in
preclinical models. Here, we presented in vivo real-time images of 1) tumor cell
arrest, 2) tumor cell-platelet interaction, 3) tumor cell-leukocyte interaction,
and 4) metastatic colonization at the secondary organs as representative steps of
metastatic process of experimental liver metastasis models using TPLSM.
PMID- 24936214
TI - Small cell lung cancer cells express the late stage gBK tumor antigen: a possible
immunotarget for the terminal disease.
AB - Big Potassium (BK) ion channels have several splice variants. One splice variant
initially described within human glioma cells is called the glioma BK channel
(gBK). Using a gBK-specific antibody, we detected gBK within three human small
cell lung cancer (SCLC) lines. Electrophysiology revealed that functional
membrane channels were found on the SCLC cells. Prolonged exposure to BK channel
activators caused the SCLC cells to swell within 20 minutes and resulted in their
death within five hours. Transduction of BK-negative HEK cells with gBK produced
functional gBK channels. Quantitative RT-PCR analysis using primers specific for
gBK, but not with a lung-specific marker, Sox11, confirmed that advanced, late
stage human SCLC tissues strongly expressed gBK mRNA. Normal human lung tissue
and early, lower stage SCLC resected tissues very weakly expressed this
transcript. Immunofluorescence using the anti-gBK antibody confirmed that SCLC
cells taken at the time of the autopsy intensely displayed this protein. gBK may
represent a late-stage marker for SCLC. HLA-A*0201 restricted human CTL were
generated in vitro using gBK peptide pulsed dendritic cells. The exposure of SCLC
cells to interferon-gamma (IFN-gamma) increased the expression of HLA; these
treated cells were killed by the CTL better than non-IFN-gamma treated cells even
though the IFN-gamma treated SCLC cells displayed diminished gBK protein
expression. Prolonged incubation with recombinant IFN-gamma slowed the in vitro
growth and prevented transmigration of the SCLC cells, suggesting IFN-gamma might
inhibit tumor growth in vivo. Immunotherapy targeting gBK might impede
advancement to the terminal stage of SCLC via two pathways.
PMID- 24936215
TI - HDAC inhibitors mitigate ischemia-induced oligodendrocyte damage: potential roles
of oligodendrogenesis, VEGF, and anti-inflammation.
AB - White matter injury is an important component of stroke pathology, but its
pathophysiology and potential treatment remain relatively elusive and
underexplored. We previously reported that after permanent middle cerebral artery
occlusion (pMCAO), sodium butyrate (SB) and trichostatin A (TSA) induced
neurogenesis via histone deacetylase (HDAC) inhibition in multiple ischemic brain
regions in rats; these effects-which depended on activation of brain-derived
neurotrophic factor (BDNF)-TrkB signaling-contributed to behavioral improvement.
The present study found that SB or TSA robustly protected against ischemia
induced loss of oligodendrocytes detected by confocal microscopy of myelin basic
protein (MBP) immunostaining in the ipsilateral subventricular zone (SVZ),
striatum, corpus callosum, and frontal cortex seven days post-pMCAO. Co
localization of 5-bromo-2'-deoxyuridine (BrdU)(+) and MBP(+) cells after SB
treatment suggested the occurrence of oligodendrogenesis. SB also strongly
upregulated vascular endothelial growth factor (VEGF), which plays a major role
in neurogenesis, angiogenesis, and functional recovery after stroke. These SB
induced effects were markedly suppressed by blocking the TrkB signaling pathway
with K252a. pMCAO-induced activation of microglia (OX42(+)) and
macrophages/monocytes (ED1(+))-which has been linked to white matter injury-was
robustly suppressed by SB in a K252a-sensitive manner. In addition, SB treatment
largely blocked caspase-3(+) and OX42(+) cells in ipsilateral brain regions. Our
results suggest that HDAC inhibitor-mediated protection against ischemia-induced
oligodendrocyte loss may involve multiple mechanisms including
oligodendrogenesis, VEGF upregulation, anti-inflammation, and caspase-3
downregulation. Taken together, the results suggest that post-insult treatment
with HDAC inhibitors is a rational strategy to mitigate white matter injury
following ischemic stroke.
PMID- 24936216
TI - Transplantation of bone marrow stromal cells enhances infiltration and survival
of CNP and Schwann cells to promote axonal sprouting following complete
transection of spinal cord in adult rats.
AB - This study aimed to investigate the roles of bone marrow stromal cells (BMSCs) in
promoting axonal regeneration after complete transection of spinal cord in adult
rats. Transplantation was done 9 days after injury. Only a few BMSCs were
detected at the injury site 8 weeks after transplantation, yet there was robust
growth of axons. The scarcity of surviving BMSCs may attribute to the adverse
conditions in their ambient environment. In this connection, the immediate
accumulation of a large number of macrophages/reactive microglia following BMSCs
transplantation and subsequent cavitation of tissues may be detrimental to their
survival. An unexpected finding following BMSCs transplantation was the marked
increase in the nestin, GFAP, NF200, olig 3 and CNP positive cells at the injury
site. Immunoelectron microscopy showed CNP cells were oval or fibroblast-like and
had multiple perineurial-like compartments with long extending filopodia. The
spatial relationship between regenerating axons and CNP-positive cells was also
confirmed by double immunofluorescence staining. Our results suggest that
transplantation of BMSCs elicits the influx and survival of local cells including
CNP positive cells and Schwann cells into injury site, which provide structural
support for the axon regeneration and remyelination after spinal cord injury.
PMID- 24936217
TI - Pro-growth role of the JMJD2C histone demethylase in HCT-116 colon cancer cells
and identification of curcuminoids as JMJD2 inhibitors.
AB - Colon tumors are a major cause of cancer death, yet their molecular intricacies
are not fully understood. We demonstrate that the histone demethylases JMJD2A,
JMJD2B and JMJD2C are overexpressed in colon cancer cell lines, whereas another
related protein, JMJD2D, is not. Interestingly, despite their high homology, the
intracellular localization of JMJD2A-C is different in colon and other cancer
cells, with JMJD2A being present comparably in the cytoplasm and nucleus, JMJD2B
more prevalent in the nucleus and JMJD2C strongly associated with chromatin. This
suggests that each of these three proteins performs different, non-redundant
functions. Moreover, we show that JMJD2C (also called KDM4C) forms complexes with
beta-catenin, an oncoprotein whose overexpression is crucial for the development
of most colonic tumors. In addition, JMJD2C downregulation reduced both growth
and clonogenic capacity of HCT-116 colon cancer cells. Further, JMJD2C was
required for efficient expression of the growth stimulatory proteins FRA1 and
cyclin D1 as well as the survival factor BCL2. Lastly, we identified derivatives
of curcumin as in vitro inhibitors of JMJD2 enzymes, suggesting that these
curcuminoids could be useful for decreasing JMJD2 activity in vivo. In
conclusion, our data highlight that overexpression of JMJD2C confers a pro-growth
effect on colon cancer cells and, therefore, its inhibition by curcuminoids or
other small molecules could be beneficial as an adjuvant therapy for colon cancer
patients.
PMID- 24936219
TI - Comparative membrane proteomic analysis between lung adenocarcinoma and normal
tissue by iTRAQ labeling mass spectrometry.
AB - Lung adenocarcinoma, the most common type of lung cancer, has increased in recent
years. Prognosis is still poor, and pathogenesis remains unclear. This study
aimed to investigate the membrane protein profile differences between lung
adenocarcinoma and normal tissue. Manual microdissection was used to isolate the
target cells from tumor tissue and normal tissue. iTRAQ labeling combined with 2D
LC-MS/MS yielded a differential expression profile of membrane proteins.
Bioinformatic analysis was performed using Gene Ontology, WEGO, PID, and KEGG.
S100A14 protein was selectively verified by Western blotting. The relationship of
S100A14 expression with clinicopathological features in lung cancer patients was
evaluated using immunohistochemistry. As a result, 568 differential proteins were
identified; 257 proteins were upregulated and 311 were downregulated. Of these
proteins, 48% were found to be membrane bound or membrane associated. These
proteins enable the physiological functions of binding, catalysis, molecular
transduction, transport, and molecular structure. For these differential
proteins, 35 pathways were significantly enriched through the Pathway Interaction
Database, whereas 19 pathways were enriched via KEGG. The overexpression and
cellular distribution of S100A14 in lung cancer were confirmed. We found that
upregulation of S100A14 was associated with well or moderate differentiation. The
iTRAQ-coupled 2D-LC-MS/MS technique is a potential method for comparing membrane
protein profiles between tumor and normal tissue. Such analysis may also help in
identifying novel biomarkers and the mechanisms underlying carcinogenesis.
PMID- 24936218
TI - Mouse mammary tumor virus-like virus infection and the risk of human breast
cancer: a meta-analysis.
AB - Despite a large number of molecular epidemiological studies, the association of
Mouse Mammary Tumor Virus-Like Virus (MMTV-LV) infection with the risk of human
breast cancer remains inconclusive mainly due to the heterogeneity in populations
involved. We performed a systematic search of multiple bibliographic databases,
up to October 2013, to identify all studies on detection of MMTV-LV DNA in human
breast cancer using polymerase chain reaction (PCR) and conducted the first
comprehensive meta-analysis of published literature to explore the relevance of
MMTV-LV to human breast cancer. As a result, meta-analysis of twelve case-control
studies identified from the systematic search revealed a significantly increased
risk for breast cancer development after MMTV-LV infection (OR=15.20; 95% CI:
9.98-23.13). However, there was no significant correlation between MMTV-LV
infection and the transformation from ductal carcinoma in situ to invasive ductal
carcinoma (OR=1.16; 95% CI: 0.27-4.97). In addition, MMTV-LV infection was not
associated with the expression of estrogen receptor (ER) (OR=0.89; 95% CI: 0.48
1.65), progesterone receptor (PR) (OR=0.73; 95% CI: 0.22-2.42), HER-2 (OR=0.65;
95% CI: 0.30-1.43) or p53 (OR=1.47; 95% CI: 0.79-2.73). Finally, we found that
the prevalence of MMTV-LV in breast carcinoma was significantly higher in
patients from Western countries (prevalence=40.4%, 95% CI: 28.9%-51.9%) than in
Asian patients (prevalence: 8.5%; 95% CI: -7.1%-24.1%) in a subgroup and meta
regression analysis (p=0.015). In summary, the meta-analysis of published studies
revealed a significantly increased risk for breast cancer development after MMTV
LV infection. In addition, the prevalence of MMTV-LV is much higher in breast
cancer patients from Western countries than Asian patients.
PMID- 24936220
TI - Pulsed electromagnetic field improves cardiac function in response to myocardial
infarction.
AB - Extracorporeal pulsed electromagnetic field (PEMF) has been shown the ability to
improve regeneration in various ischemic episodes. Here, we examined whether PEMF
therapy facilitate cardiac recovery in rat myocardial infarction (MI), and the
cellular/molecular mechanisms underlying PEMF-related therapy was further
investigated. The MI rats were exposed to active PEMF for 4 cycles per day (8
minutes/cycle, 30 +/- 3 Hz, 5 mT) after MI induction. The data demonstrated that
PEMF treatment significantly inhibited cardiac apoptosis and improved cardiac
systolic function. Moreover, PEMF treatment increased capillary density, the
levels of vascular endothelial growth factor (VEGF) and hypoxic inducible factor
1alpha in infarct border zone. Furthermore, the number and function of
circulating endothelial progenitor cells were advanced in PEMF treating rats. In
vitro, PEMF induced the degree of human umbilical venous endothelial cells
tubulization and increased soluble pro-angiogenic factor secretion (VEGF and
nitric oxide). In conclusion, PEMF therapy preserves cardiac systolic function,
inhibits apoptosis and trigger postnatal neovascularization in ischemic
myocardium.
PMID- 24936221
TI - Engineered 3D bioimplants using elastomeric scaffold, self-assembling peptide
hydrogel, and adipose tissue-derived progenitor cells for cardiac regeneration.
AB - Contractile restoration of myocardial scars remains a challenge with important
clinical implications. Here, a combination of porous elastomeric membrane,
peptide hydrogel, and subcutaneous adipose tissue-derived progenitor cells
(subATDPCs) was designed and evaluated as a bioimplant for cardiac regeneration
in a mouse model of myocardial infarction. SubATDPCs were doubly transduced with
lentiviral vectors to express bioluminescent-fluorescent reporters driven by
constitutively active, cardiac tissue-specific promoters. Cells were seeded into
an engineered bioimplant consisting of a scaffold (polycaprolactone
methacryloyloxyethyl ester) filled with a peptide hydrogel (PuraMatrixTM), and
transplanted to cover injured myocardium. Bioluminescence and fluorescence
quantifications showed de novo and progressive increases in promoter expression
in bioactive implant-treated animals. The bioactive implant was well adapted to
the heart, and fully functional vessels traversed the myocardium-bioactive
implant interface. Treatment translated into a detectable positive effect on
cardiac function, as revealed by echocardiography. Thus, this novel implant is a
promising construct for supporting myocardial regeneration.
PMID- 24936222
TI - Pathway-based analysis of breast cancer.
AB - INTRODUCTION: Although HER2 and ER pathways are predominant pathways altered in
breast cancer, it is now well accepted that many other signaling pathways are
also involved in the pathogenesis of breast cancer. The understanding of these
additional pathways may assist in identifying new therapeutic approaches for
breast cancer. METHODS: 13 invasive ductal carcinoma tissues and 5 benign breast
tissues were analyzed for the mRNA expression level of 1243 cancer pathway
related genes using SmartChip (WaferGen, CA), a real-time PCR-base method. In
addition, the levels of 131 cancer pathway-related proteins and phosphoproteins
in 33 paired breast cancers were measured using our innovative Protein Pathway
Array. RESULTS: Out of 1,243 mRNAs, 68.7% (854) were detected in breast cancer
and 395 mRNAs were statistically significant (fold change >2) between benign and
cancer tissues. Of these mRNAs, 105 only expressed in breast cancer tissues and
33 mRNAs only expressed in normal breast tissues. Out of 131 proteins and
phosphoproteins, 68% (89) were detected in cancer tissues and 57 proteins were
significantly differentiated between tumor and normal tissues. Interestingly,
only 3 genes (CDK6, Vimentin and SLUG) showed decreases in both protein and mRNA.
Six proteins (BCL6, CCNE1, PCNA, PDK1, SRC and XIAP) were differentially
expressed between tumor and normal tissues but no differences were observed at
mRNA levels. Analyses of mRNA and protein data using Ingenuity Pathway Analysis
showed more than 15 pathways were altered in breast cancer and 6 of which were
shared between mRNAs and proteins, including p53, IL17, HGF, NGF, PTEN and
PI3K/AKT pathways. CONCLUSIONS: There is a broad dysregulation of various
pathways in breast cancer both at protein levels and mRNA levels. It is important
to note that mRNA expression does not correlate with protein level, suggesting
different regulation mechanisms between proteins and mRNAs.
PMID- 24936223
TI - IFN-beta alters neurotrophic factor expression in T cells isolated from multiple
sclerosis patients - implication of novel neurotensin/NTSR1 pathway in
neuroprotection.
AB - Inflammation in relapsing remitting multiple sclerosis (RRMS) is hypothesized to
provide neuroprotective effects via altered cytokine/neurotrophin homeostasis.
The distinct neurotrophin production from specific cell populations has not been
systematically studied and is likely of high yield in understanding the complex
regulation of MS pathogenesis. Here, we describe how the mainstream therapy
interferon-beta (IFN-beta) modulates neurotrophin expression in T cells isolated
from RRMS patients and characterize the neuroprotective capabilities of these
factors. We utilize SuperArray gene screen technology to investigate the
neurotrophin expression profile of T cells. We demonstrate that IFN-beta induces
an anti-inflammatory cytokine expression pattern in T cells. Additionally, IFN
beta upregulates the expression of a novel neurotrophin receptor, the neurotensin
high affinity receptor 1 (NTSR1). NTSR1 is expressed in active demyelinating
lesions. Furthermore, we demonstrate that the receptor agonist neurotensin is a
potent inducer of human neural stem/progenitor cell survival. Our findings
highlight the importance of neurotrophin receptors in RRMS and offer insight into
disease pathogenesis as well as the mechanisms of action of IFN-beta.
PMID- 24936224
TI - Baroreflex deficiency induces additional impairment of vagal tone, diastolic
function and calcium handling proteins after myocardial infarction.
AB - Baroreflex dysfunction has been considered an important mortality predictor after
myocardial infarction (MI). However, the impact of baroreflex deficiency prior to
MI on tonic autonomic control and cardiac function, and on the profile of
proteins associated with intracellular calcium handling has not yet been studied.
The aim of the present study was to analyze how the impairment of baroreflex
induced by sinoaortic denervation (SAD) prior to MI in rats affects the tonic
autonomic control, ventricular function and cardiomyocyte calcium handling
proteins. After 15 days of following or SAD surgery, rats underwent MI.
Echocardiographic, hemodynamic, autonomic and molecular evaluations were
performed 90 days after MI. Baroreflex impairment led to additional damage on:
left ventricular remodeling, diastolic function, vagal tonus and intrinsic heart
rate after MI. The loss of vagal component of the arterial baroreflex and vagal
tonus were correlated with changes in the cardiac proteins involved in
intracellular calcium homeostasis. Furthermore, additional increase in sodium
calcium exchanger expression levels was associated with impaired diastolic
function in experimental animals. Our findings strongly suggest that previous
arterial baroreflex deficiency may induce additional impairment of vagal tonus,
which was associated with calcium handling proteins abnormalities, probably
triggering ventricular diastolic dysfunction after MI in rats.
PMID- 24936225
TI - Operable gastro-oesophageal junctional adenocarcinoma: Where to next?
AB - Oesophageal junctional adenocarcinoma is a challenging and increasingly common
disease. Optimisation of pre-operative staging and consolidation of surgery in
large volume centres have improved outcomes, however the preferred adjunctive
treatment approach remains a matter of debate. This review examines the benefits
of neoadjuvant, peri-operative, and post-operative chemotherapy and
chemoradiotherapy in this setting in an attempt to reach an evidence based
conclusion. Recent findings relating to the molecular characterisation of
oesophagogastric cancer and their impact on therapeutics are explored, in
addition to the potential benefits of fluoro-deoxyglucose positron emission
tomography (FDG-PET) directed therapy. Finally, efforts to decrease the incidence
of junctional adenocarcinoma using early intervention in Barrett's oesophagus are
discussed, including the roles of screening, endoscopic mucosal resection,
ablative therapies and chemoprevention.
PMID- 24936226
TI - Primary tumor resection in colorectal cancer with unresectable synchronous
metastases: A review.
AB - At the time of diagnosis, 25% of patients with colorectal cancer (CRC) present
with synchronous metastases, which are unresectable in the majority of patients.
Whether primary tumor resection (PTR) followed by chemotherapy or immediate
chemotherapy without PTR is the best therapeutic option in patients with
asymptomatic CRC and unresectable metastases is a major issue, although
unanswered to date. The aim of this study was to review all published data on
whether PTR should be performed in patients with CRC and unresectable synchronous
metastases. All aspects of the management of CRC were taken into account,
especially prognostic factors in patients with CRC and unresectable metastases.
The impact of PTR on survival and quality of life were reviewed, in addition to
the characteristics of patients that could benefit from PTR and the possible
underlying mechanisms. The risks of both approaches are reported. As no
randomized study has been performed to date, we finally discussed how a
therapeutic strategy's trial should be designed to provide answer to this issue.
PMID- 24936227
TI - Monoclonal antibodies that target the immunogenic proteins expressed in
colorectal cancer.
AB - In an attempt to improve upon the end results obtained in treating colorectal
cancer it was apparent that the earlier the diagnosis that could be obtained, the
better the chance for obtaining desired results. In the case of more advanced
tumors typified by later stage colorectal cancer, surgical debulking is an
important part of the treatment strategy. Here the use of additional therapeutic
modalities including chemotherapy and present day immunotherapy has failed to
accomplish the desired improvements that have been sought after. Adjuvant
therapy, has offered little to the overall survival. The concept of early
detection is now recognized as the initial step in reaching proper end results
and can readily be demonstrated from colorectal cancer studies. Here survival has
been found to be a reflection of the stage at which the tumor is first identified
and treated. When specific monoclonals targeting colorectal cancer are employed
diagnostically, we have been able to demonstrate detection of colorectal cancer
at its inception as a premalignant lesion, such that genotypic features can be
identified before the phenotypic appearance of cancer can be noted.
PMID- 24936228
TI - Current status of pharmacological treatment of colorectal cancer.
AB - AIM: To review the clinical trials for the development in drugs for
chemotherapeutic treatment of colorectal cancer (CRC). METHODS: A systematic
review identified randomized controlled trials (RCTs) assessing drugs for the
treatment of CRC or adenomatous polyps from www.clinicaltrials.gov. Various
online medical databases were searched for relevant publications. RESULTS:
Combination treatment regimens of standard drugs with newer agents have been
shown to improve overall survival, disease-free survival, time to progression and
quality of life compared to that with standard drugs alone in patients with
advanced colorectal cancer. The FOLFOXIRI regimen has been associated with a
significantly higher response rate, progression-free survival and overall
survival compared to the FOLFIRI regimen. CONCLUSION: Oxaliplatin plus
intravenous bolus fluorouracil and leucovorin has been shown to be superior for
disease-free survival when compared to intravenous bolus fluorouracil and
leucovorin. In addition, oxaliplatin regimens were more likely to result in
successful surgical resections. First line treatment with cetuximab plus
fluorouracil, leucovorin and irinotecan has been found to reduce the risk of
metastatic progression in patients with epidermal growth factor receptor-positive
colorectal cancer with unresectable metastases. The addition of bevacizumab has
been shown to significantly increase overall and progression-free survival when
given in combination with standard therapy.
PMID- 24936229
TI - Robotic surgery for rectal cancer: A systematic review of current practice.
AB - AIM: To give a comprehensive review of current literature on robotic rectal
cancer surgery. METHODS: A systematic review of current literature via PubMed and
Embase search engines was performed to identify relevant articles from january
2007 to november 2013. The keywords used were: "robotic surgery", "surgical
robotics", "laparoscopic computer-assisted surgery", "colectomy" and "rectal
resection". RESULTS: After the initial screen of 380 articles, 20 papers were
selected for review. A total of 1062 patients (male 64.0%) with a mean age of
61.1 years and body mass index of 24.9 kg/m(2) were included in the review. Out
of 1062 robotic-assisted operations, 831 (78.2%) anterior and low anterior
resections, 132 (12.4%) intersphincteric resection with coloanal anastomosis, 98
(9.3%) abdominoperineal resections and 1 (0.1%) Hartmann's operation were
included in the review. Robotic rectal surgery was associated with longer
operative time but with comparable oncological results and anastomotic leak rate
when compared with laparoscopic rectal surgery. CONCLUSION: Robotic colorectal
surgery has continued to evolve to its current state with promising results;
feasible surgical option with low conversion rate and comparable short-term
oncological results. The challenges faced with robotic surgery are for more high
quality studies to justify its cost.
PMID- 24936230
TI - SMARTCyp: A 2D Method for Prediction of Cytochrome P450-Mediated Drug Metabolism.
AB - SMARTCyp is an in silico method that predicts the sites of cytochrome P450
mediated metabolism of druglike molecules. The method is foremost a reactivity
model, and as such, it shows a preference for predicting sites that are
metabolized by the cytochrome P450 3A4 isoform. SMARTCyp predicts the site of
metabolism directly from the 2D structure of a molecule, without requiring
calculation of electronic properties or generation of 3D structures. This is a
major advantage, because it makes SMARTCyp very fast. Other advantages are that
experimental data are not a prerequisite to create the model, and it can easily
be integrated with other methods to create models for other cytochrome P450
isoforms. Benchmarking tests on a database of 394 3A4 substrates show that
SMARTCyp successfully identifies at least one metabolic site in the top two
ranked positions 76% of the time. SMARTCyp is available for download at
http://www.farma.ku.dk/p450.
PMID- 24936232
TI - Discovery of Potent Dual PPARalpha Agonists/CB1 Ligands.
AB - This letter describes the synthesis and in vitro and in vivo evaluation of dual
ligands targeting the cannabinoid and peroxisome proliferator-activated receptors
(PPAR). These compounds were obtained from fusing the pharmacophores of fibrates
and the diarylpyrazole rimonabant, a cannabinoid receptor antagonist. They are
the first examples of dual compounds with nanomolar affinity for both PPARalpha
and cannabinoid receptors. Besides, lead compound 2 proved to be CB1 selective.
Unexpectedly, the phenol intermediates tested were equipotent (compound 1 as
compared to 2) or even more potent (compound 3 as compared with 4). This
discovery opens the way to design new dual ligands.
PMID- 24936231
TI - A kappa Opioid Pharmacophore Becomes a Spinally Selective kappa-delta Agonist
When Modified with a Basic Extender Arm.
AB - We have explored the concept of a molecular extender arm attached to a kappa
opioid agonist pharmacophore 3 (ICI-199,441) in an effort to potentially interact
with a complementary group on a neighboring opioid receptor. The molecular arm
containing a terminal amine group was lengthened incrementally from 11 up to 18
atoms. Increasing the number of atoms in the arm produced virtually no change in
the mouse intracerebroventricular (i.c.v.) antinociceptive potency. In contrast,
the intrathecal (i.t.) potency of 6 (KDA-16) with a 16-atom arm was dramatically
increased, as reflected by its antinociceptive i.c.v./i.t. ED50 ratio of ~130.
Further lengthening led to a decreased ED50 ratio. In vivo selective antagonist
studies of KDA-16 revealed that kappa and delta opioid receptors were responsible
for the greatly enhanced i.t. potency. Calcium release experiments in HEK-293
cells suggested that KDA-16 selectively activate kappa-delta heteromers. These
data are consistent with the reported possible presence of heteromeric kappa
delta opioid receptors in mouse spinal cord but not in the brain. The use of a
molecular extender arm may be useful for developing spinally selective
analgesics.
PMID- 24936233
TI - Discovery of Novel alpha4beta2 Neuronal Nicotinic Receptor Modulators through
Structure-Based Virtual Screening.
AB - We performed a hierarchical structure-based virtual screening utilizing a
comparative model of the human alpha4beta2 neuronal nicotinic acetylcholine
receptor (nAChR) extracellular domain. Compounds were selected for experimental
testing based on structural diversity, binding pocket location, and standard
error of the free energy scoring function used in the screening. Four of the
eleven in silico hit compounds showed promising activity with low micromolar IC50
values in a calcium accumulation assay. Two of the antagonists were also proven
to be selective for human alpha4beta2 vs human alpha3beta4 nAChRs. This is the
first report of successful discovery of novel nAChR antagonists through the use
of structure-based virtual screening with a human nAChR homology model. These
compounds may serve as potential novel scaffolds for further development of
selective nAChR antagonists.
PMID- 24936235
TI - 1,4-naphthoquinone cations as antiplasmodial agents: hydroxy-, acyloxy-, and
alkoxy-substituted analogues.
AB - Cations of hydroxy-substituted 1,4-naphthoquinones were synthesized and evaluated
as antiplasmodial agents against Plasmodium falciparum. The atovaquone analogues
were found to be inactive as antagonists of parasite growth, which was attributed
to ionization of the acidic hydroxyl moiety. Upon modification to an alkoxy
substituent, the antiplasmodial activity was restored in the sub-100 nM range.
Optimal inhibitors were found to possess IC50 values of 17.4-49.5 nM against
heteroresistant P. falciparum W2.
PMID- 24936234
TI - Synthesis and biological evaluation of a selective N- and p/q-type calcium
channel agonist.
AB - The acute effect of the potent cyclin-dependent kinase (cdk) inhibitor (R)
roscovitine on Ca(2+) channels inspired the development of structural analogues
as a potential treatment for motor nerve terminal dysfunction. On the basis of a
versatile chlorinated purine scaffold, we have synthesized ca. 20 derivatives and
characterized their N-type Ca(2+) channel agonist action. Agents that showed
strong agonist effects were also characterized in a kinase panel for their off
target effects. Among several novel compounds with diminished cdk activity, we
identified a new lead structure with a 4-fold improved N-type Ca(2+) channel
agonist effect and a 22-fold decreased cdk2 activity as compared to (R)
roscovitine. This compound was selective for agonist activity on N- and P/Q-type
over L-type calcium channels.
PMID- 24936236
TI - Molecular Docking and in Vitro Antileishmanial Evaluation of Chromene-2-thione
Analogues.
AB - Leishmaniases are an epidemic in various countries, and the parasite is
developing resistance against available drugs. Thus, development of new drugs
against Leishmania is an open area of investigation for synthetic organic
chemists. To meet this challenge, a series of chromene-2-thione derivatives have
been synthesized and docked into the active site of trypanothione reductase
(TryR) enzyme required for redox balance of the parasite. These were screened on
promastigote, axenic amastigote, and intracellular amastigote stages of
Leishmania donovani and found to show high levels of antileishmanial activity
together with minimal toxicity to human peripheral blood mononuclear cells.
Compounds 3b and 3k were found to be the most active among the tested compounds.
Although the compounds show moderate antileishmanial activity, they identify a
chemical space to design and develop drugs based on these chromene-2-thione
derivatives against the Leishmania parasite.
PMID- 24936237
TI - The beta-Amyloid Hypothesis in Alzheimer's Disease: Seeing Is Believing.
PMID- 24936239
TI - Fluorescent carbon nanoparticles in medicine for cancer therapy.
AB - Nanotechnology provides exciting opportunities for the development of novel,
clinically relevant diagnostic and therapeutic multifunctional systems.
Fluorescent carbon nanoparticles (CNPs) due to their intrinsic fluorescence and
high biocompatibility are among the best candidates. As innovative nanomaterials,
CNPs could be utilized both as nontoxic drug delivery system and bioimaging. We
foresee a great future for CNPs in cancer diagnostic and therapy.
PMID- 24936238
TI - Binding Model for the Interaction of Anticancer Arylsulfonamides with the p300
Transcription Cofactor.
AB - Hypoxia inducible factors (HIFs) are transcription factors that activate
expression of multiple gene products and promote tumor adaptation to a hypoxic
environment. To become transcriptionally active, HIFs associate with cofactors
p300 or CBP. Previously, we found that arylsulfonamides can antagonize HIF
transcription in a bioassay, block the p300/HIF-1alpha interaction, and exert
potent anticancer activity in several animal models. In the present work, KCN1
bead affinity pull down, (14)C-labeled KCN1 binding, and KCN1-surface plasmon
resonance measurements provide initial support for a mechanism in which KCN1 can
bind to the CH1 domain of p300 and likely prevent the p300/HIF-1alpha assembly.
Using a previously reported NMR structure of the p300/HIF-1alpha complex, we have
identified potential binding sites in the p300-CH1 domain. A two-site binding
model coupled with IC50 values has allowed establishment of a modest ROC-based
enrichment and creation of a guide for future analogue synthesis.
PMID- 24936240
TI - Discovery of tetrahydroisoquinoline-based CXCR4 antagonists.
AB - A de novo hit-to-lead effort involving the redesign of benzimidazole-containing
antagonists of the CXCR4 receptor resulted in the discovery of a novel series of
1,2,3,4-tetrahydroisoquinoline (TIQ) analogues. In general, this series of
compounds show good potencies (3-650 nM) in assays involving CXCR4 function,
including both inhibition of attachment of X4 HIV-1IIIB virus in MAGI-CCR5/CXCR4
cells and inhibition of calcium release in Chem-1 cells. Series profiling
permitted the identification of TIQ-(R)-stereoisomer 15 as a potent and selective
CXCR4 antagonist lead candidate with a promising in vitro profile. The drug-like
properties of 15 were determined in ADME in vitro studies, revealing low
metabolic liability potential. Further in vivo evaluations included
pharmacokinetic experiments in rats and mice, where 15 was shown to have oral
bioavailability (F = 63%) and resulted in the mobilization of white blood cells
(WBCs) in a dose-dependent manner.
PMID- 24936241
TI - Pharmaceutical profiling case study in disruption.
PMID- 24936242
TI - 3-Hydroxyquinolin-2(1H)-ones As Inhibitors of Influenza A Endonuclease.
AB - Several 3-hydroxyquinolin-2(1H)-ones derivatives were synthesized and evaluated
as inhibitors of 2009 pandemic H1N1 influenza A endonuclease. All five of the
monobrominated 3-hydroxyquinolin(1H)-2-ones derivatives were synthesized. Suzuki
coupling of p-fluorophenylboronic acid with each of these brominated derivatives
provided the respective p-fluorophenyl 3-hydroxyquinolin(1H)-2-ones. In addition
to 3-hydroxyquinolin-2(1H)-one, its 4-methyl, 4-phenyl, 4-methyl-7-(p
fluorophenyl), and 4-phenyl-7-(p-fluorophenyl) derivatives were also synthesized.
Comparative studies on their relative activity revealed that both 6- and 7-(p
fluorophenyl)-3-hydroxyquinolin-2(1H)-one are among the more potent inhibitors of
H1N1 influenza A endonuclease. An X-ray crystal structure of 7-(p-fluorophenyl)-3
hydroxyquinolin-2(1H)-one complexed to the influenza endonuclease revealed that
this molecule chelates to two metal ions at the active site of the enzyme.
PMID- 24936243
TI - Expedient Synthesis of SMAMPs via Click Chemistry.
AB - A novel series of synthetic mimics of antimicrobial peptides (SMAMPs) containing
triazole linkers were assembled using click chemistry. While only moderately
active in buffer alone, an increase in antimicrobial activity against
Staphylococcus aureus and Escherichia coli was observed when these SMAMPs were
administered in the presence of mouse serum. One compound had minimum inhibitory
concentrations (MICs) of 0.39 MUg/mL and 6.25 MUg/mL, respectively, and an HC50
of 693 MUg/mL. These values compared favorably to peptide-based antimicrobials. A
correlation between the net positive charge and SMAMP antimicrobial activity was
observed. The triazole linker, an amide surrogate, was found to provide better
antimicrobial activity against both S. aureus and E. coli when compared to other
analogues.
PMID- 24936244
TI - Short acting insulin analogues in intensive care unit patients.
AB - BLOOD GLUCOSE CONTROL IN INTENSIVE CARE UNIT (ICU) PATIENTS, ADDRESSED TO
ACTIVELY MAINTAIN BLOOD GLUCOSE CONCENTRATION WITHIN DEFINED THRESHOLDS, IS BASED
ON TWO MAJOR THERAPEUTIC INTERVENTIONS: to supply an adequate calories load and,
when necessary, to continuously infuse insulin titrated to patients needs:
intensive insulin therapy (IIT). Short acting insulin analogues (SAIA) have been
synthesized to improve the chronic treatment of patients with diabetes but,
because of the pharmacokinetic characteristics that include shorter on-set and
off-set, they can be effectively used also in ICU patients and have the potential
to be associated with a more limited risk of inducing episodes of iatrogenic
hypoglycemia. Medical therapies carry an intrinsic risk for collateral effects;
this can be more harmful in patients with unstable clinical conditions like ICU
patients. To minimize these risks, the use of short acting drugs in ICU patients
have gained a progressively larger room in ICU and now pharmaceutical companies
and researchers design drugs dedicated to this subset of medical practice. In
this article we report the rationale of using short acting drugs in ICU patients
(i.e., sedation and treatment of arterial hypertension) and we also describe SAIA
and their therapeutic use in ICU with the potential to minimize iatrogenic
hypoglycemia related to IIT. The pharmacodynamic and pharmachokinetic
characteristics of SAIA will be also discussed.
PMID- 24936245
TI - Adipose stem cell-based regenerative medicine for reversal of diabetic
hyperglycemia.
AB - Diabetes mellitus (diabetes) is a devastating disease that affects millions of
people globally and causes a myriad of complications that lead to both patient
morbidity and mortality. Currently available therapies, including insulin
injection and beta cell replacement through either pancreas or pancreatic islet
transplantation, are limited by the availability of organs. Stem cells provide an
alternative treatment option for beta cell replacement through selective
differentiation of stem cells into cells that recognize glucose and produce and
secrete insulin. Embryonic stem cells, albeit pluripotent, face a number of
challenges, including ethical and political concerns and potential teratoma
formation. Adipose tissue represents an alternative source of multipotent
mesenchymal stem cells, which can be obtained using a relatively simple, non
invasive, and inexpensive method. Similarly to other adult mesenchymal stem
cells, adipose-derived stem cells (ADSCs) are capable of differentiating into
insulin-producing cells. They are also capable of vasculogenesis and
angiogenesis, which facilitate engraftment of donor pancreatic islets when co
transplanted. Additionally, anti-inflammatory and immunomodulatory effects of
ADSCs can protect donor islets during the early phase of transplantation and
subsequently improve engraftment of donor islets into the recipient organ.
Although ADSC-therapy is still in its infancy, the potential benefits of ADSCs
are far reaching.
PMID- 24936246
TI - Defect of insulin signal in peripheral tissues: Important role of ceramide.
AB - In healthy people, balance between glucose production and its utilization is
precisely controlled. When circulating glucose reaches a critical threshold
level, pancreatic beta cells secrete insulin that has two major actions: to lower
circulating glucose levels by facilitating its uptake mainly into skeletal muscle
while inhibiting its production by the liver. Interestingly, dietary
triglycerides are the main source of fatty acids to fulfill energy needs of
oxidative tissues. Normally, the unconsumed fraction of excess of fatty acids is
stored in lipid droplets that are localized in adipocytes to provide energy
during fasting periods. Thus, adipose tissue acts as a trap for fatty acid excess
liberated from plasma triglycerides. When the buffering action of adipose tissue
to store fatty acids is impaired, fatty acids that build up in other tissues are
metabolized as sphingolipid derivatives such as ceramides. Several studies
suggest that ceramides are among the most active lipid second messengers to
inhibit the insulin signaling pathway and this review describes the major role
played by ceramide accumulation in the development of insulin resistance of
peripherals tissues through the targeting of specific proteins of the insulin
signaling pathway.
PMID- 24936247
TI - Impact of hypoglycemic agents on myocardial ischemic preconditioning.
AB - Murry et al in 1986 discovered the intrinsic mechanism of profound protection
called ischemic preconditioning. The complex cellular signaling cascades
underlying this phenomenon remain controversial and are only partially
understood. However, evidence suggests that adenosine, released during the
initial ischemic insult, activates a variety of G protein-coupled agonists, such
as opioids, bradykinin, and catecholamines, resulting in the activation of
protein kinases, especially protein kinase C (PKC). This leads to the
translocation of PKC from the cytoplasm to the sarcolemma, where it stimulates
the opening of the ATP-sensitive K(+) channel, which confers resistance to
ischemia. It is known that a range of different hypoglycemic agents that activate
the same signaling cascades at various cellular levels can interfere with
protection from ischemic preconditioning. This review examines the effects of
several hypoglycemic agents on myocardial ischemic preconditioning in animal
studies and clinical trials.
PMID- 24936249
TI - Why do some patients with type 1 diabetes live so long?
AB - While the lifespan of people with type 1 diabetes has increased progressively
since the advent of insulin therapy, these patients still experience premature
mortality, primarily from cardiovascular disease (CVD). However, a subgroup of
those with type 1 diabetes survives well into old age without significant
morbidity. It is the purpose of this review to explore the factors which may help
in identifying these patients. It might be expected that hyperglycaemia plays a
major role in explaining the increased incidence of CVD and mortality of these
individuals. However, while a number of publications have associated poor long
term glycaemic control with an increase in both all-cause mortality and CVD in
those with type 1 diabetes, it is apparent that good glycaemic control alone
cannot explain why some patients with type 1 diabetes avoid fatal CVD events.
Lipid disorders may occur in those with type 1 diabetes, but the occurrence of
elevated high-density lipoprotein-cholesterol is positively associated with
longevity in this population. Non-renal hypertension, by itself is a significant
risk factor for CVD but if adequately treated does not appear to mitigate against
longevity. However, the presence of nephropathy is a major risk factor and its
absence after 15-20 years of diabetes appears to be a marker of long-term
survival. One of the major factors linked with long-term survival is the absence
of features of the metabolic syndrome and more specifically the presence of
insulin sensitivity. Genetic factors also play a role, with a family history of
longevity and an absence of type 2 diabetes and hypertension in the family being
important considerations. There is thus a complex interaction between multiple
risk factors in determining which patients with type 1 diabetes are likely to
live into older age. However, these patients can often be identified clinically
based on a combination of factors as outlined above.
PMID- 24936250
TI - Evidence for altered thiamine metabolism in diabetes: Is there a potential to
oppose gluco- and lipotoxicity by rational supplementation?
AB - Growing prevalence of diabetes (type 2 as well as type 1) and its related
morbidity due to vascular complications creates a large burden on medical care
worldwide. Understanding the molecular pathogenesis of chronic micro-, macro- and
avascular complications mediated by hyperglycemia is of crucial importance since
novel therapeutic targets can be identified and tested. Thiamine (vitamin B1) is
an essential cofactor of several enzymes involved in carbohydrate metabolism and
published data suggest that thiamine metabolism in diabetes is deficient. This
review aims to point out the physiological role of thiamine in metabolism of
glucose and amino acids, to present overview of thiamine metabolism and to
describe the consequences of thiamine deficiency (either clinically manifest or
latent). Furthermore, we want to explain why thiamine demands are increased in
diabetes and to summarise data indicating thiamine mishandling in diabetics (by
review of the studies mapping the prevalence and the degree of thiamine
deficiency in diabetics). Finally, we would like to summarise the evidence for
the beneficial effect of thiamine supplementation in progression of hyperglycemia
related pathology and, therefore, to justify its importance in determining the
harmful impact of hyperglycemia in diabetes. Based on the data presented it could
be concluded that although experimental studies mostly resulted in beneficial
effects, clinical studies of appropriate size and duration focusing on the effect
of thiamine supplementation/therapy on hard endpoints are missing at present.
Moreover, it is not currently clear which mechanisms contribute to the deficient
action of thiamine in diabetes most. Experimental studies on the molecular
mechanisms of thiamine deficiency in diabetes are critically needed before clear
answer to diabetes community could be given.
PMID- 24936251
TI - Social determinants of type 2 diabetes and health in the United States.
AB - Diabetes is the sixth leading cause of death in the United States. To date, most
research and resulting clinical strategies have focused on the individual with
short-term health improvements that have not been maintained over time.
Researchers more recently have recognized the need to consider the social
determinants of diabetes and health along with individual factors. The purpose of
this literature review is to examine current understanding of the social
determinants affecting diabetes and health. A search of medical and nursing
literature was conducted using PubMed, PsychInfo, CINAHL and MEDLINE databases,
selecting articles published between 2000 and 2013. Search terms included: type 2
diabetes, social determinants, and health determinants. Inclusion criteria were:
English language, human studies, social determinants of diabetes and health, and
research in the United States. Additional search methods included reference
chaining of the literature. Twenty research articles met the inclusion criteria
for the review and analysis and included quantitative and qualitative methods.
All studies selected for this review were descriptive in nature (n = 20). Fifteen
studies were quantitative studies and five were qualitative studies. No
intervention studies met inclusion criteria. Each study is summarized and
critiqued. Study findings indicate that external or upstream factors consistently
affect individuals diagnosed with diabetes, influencing self-management.
Significant methodological limitations result directly from small sample sizes,
convenience or nonprobability sampling, and low statistical power.
PMID- 24936248
TI - Functional foods-based diet as a novel dietary approach for management of type 2
diabetes and its complications: A review.
AB - Type 2 diabetes is a complicated metabolic disorder with both short- and long
term undesirable complications. In recent years, there has been growing evidence
that functional foods and their bioactive compounds, due to their biological
properties, may be used as complementary treatment for type 2 diabetes mellitus.
In this review, we have highlighted various functional foods as missing part of
medical nutrition therapy in diabetic patients. Several in vitro, animal models
and some human studies, have demonstrated that functional foods and
nutraceuticals may improve postprandial hyperglycemia and adipose tissue
metabolism modulate carbohydrate and lipid metabolism. Functional foods may also
improve dyslipidemia and insulin resistance, and attenuate oxidative stress and
inflammatory processes and subsequently could prevent the development of long
term diabetes complications including cardiovascular disease, neuropathy,
nephropathy and retinopathy. In conclusion available data indicate that a
functional foods-based diet may be a novel and comprehensive dietary approach for
management of type 2 diabetes.
PMID- 24936252
TI - Novel and emerging diabetes mellitus drug therapies for the type 2 diabetes
patient.
AB - Type 2 diabetes mellitus is a metabolic disorder of deranged fat, protein and
carbohydrate metabolism resulting in hyperglycemia as a result of insulin
resistance and inadequate insulin secretion. Although a wide variety of diabetes
therapies is available, yet limited efficacy, adverse effects, cost,
contraindications, renal dosage adjustments, inflexible dosing schedules and
weight gain significantly limit their use. In addition, many patients in the
United States fail to meet the therapeutic HbA1c goal of < 7% set by the American
Diabetes Association. As such new and emerging diabetes therapies with different
mechanisms of action hope to address some of these drawbacks to improve the
patient with type 2 diabetes. This article reviews new and emerging classes,
including the sodium-glucose cotransporter-2 inhibitors, 11beta-Hydroxysteroid
dehydrogenase type 1 inhibitors, glycogen phosphorylase inhibitors; protein
tyrosine phosphatase 1B inhibitors, G Protein-Coupled receptor agonists and
glucokinase activators. These emerging diabetes agents hold the promise of
providing benefit of glucose lowering, weight reduction, low hypoglycemia risk,
improve insulin sensitivity, pancreatic beta cell preservation, and oral
formulation availability. However, further studies are needed to evaluate their
safety profile, cardiovascular effects, and efficacy durability in order to
determine their role in type 2 diabetes management.
PMID- 24936253
TI - 12q24 locus association with type 1 diabetes: SH2B3 or ATXN2?
AB - Genetic linkage analyses, genome-wide association studies of single nucleotide
polymorphisms, copy number variation surveys, and mutation screenings found the
human chromosomal 12q24 locus, with the genes SH2B3 and ATXN2 in its core, to be
associated with an exceptionally wide spectrum of disease susceptibilities.
Hematopoietic traits of red and white blood cells (like erythrocytosis and
myeloproliferative disease), autoimmune disorders (like type 1 diabetes, coeliac
disease, juvenile idiopathic arthritis, rheumatoid arthritis, thrombotic
antiphospholipid syndrome, lupus erythematosus, multiple sclerosis,
hypothyroidism and vitiligo), also vascular pathology (like kidney glomerular
filtration rate deficits, serum urate levels, plasma beta-2-microglobulin levels,
retinal microcirculation problems, diastolic and systolic blood pressure and
hypertension, cardiovascular infarction), furthermore obesity, neurodegenerative
conditions (like the polyglutamine-expansion disorder spinocerebellar ataxia type
2, Parkinson's disease, the motor-neuron disease amyotrophic lateral sclerosis,
and progressive supranuclear palsy), and finally longevity were reported. Now it
is important to clarify, in which ways the loss or gain of function of the
locally encoded proteins SH2B3/LNK and ataxin-2, respectively, contribute to
these polygenic health problems. SH2B3/LNK is known to repress the JAK2/ABL1
dependent proliferation of white blood cells. Its null mutations in human and
mouse are triggers of autoimmune traits and leukemia (acute lymphoblastic
leukemia or chronic myeloid leukemia-like), while missense mutations were found
in erythrocytosis-1 patients. Ataxin-2 is known to act on RNA-processing and
trophic receptor internalization. While its polyglutamine-expansion mediated gain
of-function causes neuronal atrophy in human and mouse, its deletion leads to
obesity and insulin resistance in mice. Thus, it is conceivable that the
polygenic pathogenesis of type 1 diabetes is enhanced by an SH2B3-dysregulation
mediated predisposition to autoimmune diseases that conspires with an ATXN2
deficiency-mediated predisposition to lipid and glucose metabolism pathology.
PMID- 24936254
TI - Interrelationships between ghrelin, insulin and glucose homeostasis:
Physiological relevance.
AB - Ghrelin is a 28 amino acid peptide mainly derived from the oxyntic gland of the
stomach. Both acylated (AG) and unacylated (UAG) forms of ghrelin are found in
the circulation. Initially, AG was considered as the only bioactive form of
ghrelin. However, recent advances indicate that both AG and UAG exert distinct
and common effects in organisms. Soon after its discovery, ghrelin was shown to
promote appetite and adiposity in animal and human models. In response to these
anabolic effects, an impressive number of elements have suggested the influence
of ghrelin on the regulation of metabolic functions and the development of
obesity-related disorders. However, due to the complexity of its biochemical
nature and the physiological processes it governs, some of the effects of ghrelin
are still debated in the literature. Evidence suggests that ghrelin influences
glucose homeostasis through the modulation of insulin secretion and insulin
receptor signaling. On the other hand, insulin was also shown to influence
circulating levels of ghrelin. Here, we review the relationship between ghrelin
and insulin and we describe the impact of this interaction on the modulation of
glucose homeostasis.
PMID- 24936256
TI - Adipokines as a novel link between obesity and atherosclerosis.
AB - The traditional perception of adipose tissue as a storage organ of fatty acids
has been replaced by the notion that adipose tissue is an active endocrine organ,
releasing various adipokines that are involved in the pathogenesis of obesity
related metabolic disturbances. Obesity is a well-known risk factor for
atherosclerosis, and accelerates atherosclerosis by many mechanisms such as
increase in blood pressure and glucose level, abnormal lipid profiles, and
systemic inflammation. Furthermore, growing evidence suggests that some
adipokines directly mediate the process of atherosclerosis by influencing the
function of endothelial cells, arterial smooth muscle cells, and macrophages in
vessel walls. In obese patients, the secretion and coordination of such
adipokines is abnormal, and the secretion of specific adipokines increases or
decreases. Accordingly, the discovery of new adipokines and elucidation of their
functions might lead to a new treatment strategy for metabolic disorders related
to obesity, including cardiovascular diseases.
PMID- 24936255
TI - Clinical therapeutic strategies for early stage of diabetic kidney disease.
AB - Diabetic kidney disease (DKD) is the most common cause of chronic kidney disease,
leading to end-stage renal disease and cardiovascular disease. The overall number
of patients with DKD will continue to increase in parallel with the increasing
global pandemic of type 2 diabetes. Based on landmark clinical trials, DKD has
become preventable by controlling conventional factors, including hyperglycemia
and hypertension, with multifactorial therapy; however, the remaining risk of DKD
progression is still high. In this review, we show the importance of targeting
remission/regression of microalbuminuria in type 2 diabetic patients, which may
protect against the progression of DKD and cardiovascular events. To achieve
remission/regression of microalbuminuria, several steps are important, including
the early detection of microalbuminuria with continuous screening, targeting
HbA1c < 7.0% for glucose control, the use of renin angiotensin system inhibitors
to control blood pressure, the use of statins or fibrates to control
dyslipidemia, and multifactorial treatment. Reducing microalbuminuria is
therefore an important therapeutic goal, and the absence of microalbuminuria
could be a pivotal biomarker of therapeutic success in diabetic patients. Other
therapies, including vitamin D receptor activation, uric acid-lowering drugs, and
incretin-related drugs, may also be promising for the prevention of DKD
progression.
PMID- 24936257
TI - Adrenomedullin and diabetes.
AB - Adrenomedullin (ADM) is a peptide hormone widely expressed in different tissues,
especially in the vasculature. Apart from its vasodilatatory and hypotensive
effect, it plays multiple roles in the regulation of hormonal secretion, glucose
metabolism and inflammatory response. ADM regulates insulin balance and may
participate in the development of diabetes. The plasma level of ADM is increased
in people with diabetes, while in healthy individuals the plasma ADM
concentration remains low. Plasma ADM levels are further increased in patients
with diabetic complications. In type 1 diabetes, plasma ADM level is correlated
with renal failure and retinopathy, while in type 2 diabetes its level is linked
with a wider range of complications. The elevation of ADM level in diabetes may
be due to hyperinsulinemia, oxidative stress and endothelial injury. At the same
time, a rise in plasma ADM level can trigger the onset of diabetes. Strategies to
reduce ADM level should be explored so as to reduce diabetic complications.
PMID- 24936259
TI - Diabetes, sleep apnea, obesity and cardiovascular disease: Why not address them
together?
AB - Obesity, sleep apnea, diabetes and cardiovascular diseases are some of the most
common diseases encountered by the worldwide population, with high social and
economic burdens. Significant emphasis has been placed on obtaining blood
pressure, body mass index, and placing importance on screening for signs and
symptoms pointing towards cardiovascular disease. Symptoms related to sleep, or
screening for sleep apnea has been overlooked by cardiac, diabetic, pulmonary and
general medicine clinics despite recommendations for screening by several
societies. In recent years, there is mounting data where obesity and obstructive
sleep apnea sit at the epicenter and its control can lead to improvement and
prevention of diabetes and cardiovascular complications. This editorial raises
questions as to why obstructive sleep apnea screening should be included as yet
another vital sign during patient initial inpatient or outpatient visit.
PMID- 24936258
TI - Diabetes and cancer: Associations, mechanisms, and implications for medical
practice.
AB - Both diabetes mellitus and cancer are prevalent diseases worldwide. It is evident
that there is a substantial increase in cancer incidence in diabetic patients.
Epidemiologic studies have indicated that diabetic patients are at significantly
higher risk of common cancers including pancreatic, liver, breast, colorectal,
urinary tract, gastric and female reproductive cancers. Mortality due to cancer
is moderately increased among patients with diabetes compared with those without.
There is increasing evidence that some cancers are associated with diabetes, but
the underlying mechanisms of this potential association have not been fully
elucidated. Insulin is a potent growth factor that promotes cell proliferation
and carcinogenesis directly and/or through insulin-like growth factor 1 (IGF-1).
Hyperinsulinemia leads to an increase in the bioactivity of IGF-1 by inhibiting
IGF binding protein-1. Hyperglycemia serves as a subordinate plausible
explanation of carcinogenesis. High glucose may exert direct and indirect effects
upon cancer cells to promote proliferation. Also chronic inflammation is
considered as a hallmark of carcinogenesis. The multiple drugs involved in the
treatment of diabetes seem to modify the risk of cancer. Screening to detect
cancer at an early stage and appropriate treatment of diabetic patients with
cancer are important to improve their prognosis. This paper summarizes the
associations between diabetes and common cancers, interprets possible mechanisms
involved, and addresses implications for medical practice.
PMID- 24936260
TI - Emerging role of protein kinase C in energy homeostasis: A brief overview.
AB - Protein kinase C-beta (PKCbeta), a member of the lipid-activated serine/threonine
PKC family, has been implicated in a wide range of important cellular processes.
Very recently, the novel role of PKCbeta in the regulation of triglyceride
homeostasis via regulating mitochondrial function has been explored. In this
review, I aim to provide an overview of PKCbeta regarding regulation by lipids
and recently gained knowledge on its role in energy homeostasis. Alterations in
adipose PKCbeta expression have been shown to be crucial for diet-induced obesity
and related metabolic abnormalities. High-fat diet is shown to induce PKCbeta
expression in white adipose tissue in an isoform- and tissue-specific manner.
Genetically manipulated mice devoid of PKCbeta are lean with increased oxygen
consumption and are resistant to high-fat diet-induced obesity and hepatic
steatosis with improved insulin sensitivity. Available data support the model in
which PKCbeta functions as a "diet-sensitive" metabolic sensor whose induction in
adipose tissue by high-fat diet is among the initiating event disrupting
mitochondrial homeostasis via intersecting with p66(Shc) signaling to amplify
adipose dysfunction and have systemic consequences. Alterations in PKCbeta
expression and/or function may have important implications in health and disease
and warrants a detailed investigation into the downstream target genes and the
underlying mechanisms involved. Development of drugs that target the PKCbeta
pathway and identification of miRs specifically controlling PKCbeta expression
may lead to novel therapeutic options for treating age-related metabolic disease
including fatty liver, obesity and type 2 diabetes.
PMID- 24936261
TI - Diabetic nephropathy and inflammation.
AB - Diabetic nephropathy (DN) is the leading cause of end-stage renal failure
worldwide. Besides, diabetic nephropathy is associated with cardiovascular
disease, and increases mortality of diabetic patients. Several factors are
involved in the pathophysiology of DN, including metabolic and hemodynamic
alterations, oxidative stress, and activation of the renin-angiotensin system. In
recent years, new pathways involved in the development and progression of
diabetic kidney disease have been elucidated; accumulated data have emphasized
the critical role of inflammation in the pathogenesis of diabetic nephropathy.
Expression of cell adhesion molecules, growth factors, chemokines and pro
inflammatory cytokines are increased in the renal tissues of diabetic patients,
and serum and urinary levels of cytokines and cell adhesion molecules, correlated
with albuminuria. In this paper we review the role of inflammation in the
development of diabetic nephropathy, discussing some of the major inflammatory
cytokines involved in the pathogenesis of diabetic nephropathy, including the
role of adipokines, and take part in other mediators of inflammation, as adhesion
molecules.
PMID- 24936262
TI - Canagliflozin-current status in the treatment of type 2 diabetes mellitus with
focus on clinical trial data.
AB - Canagliflozin (CFZ) is a member of new class of glucose lowering agents, sodium
glucose co-transporter (SGLT) inhibitors, which got approval by food and drug
administration. It has insulin independent action by blocking the transporter
protein SGLT2 in the kidneys, resulting in urinary glucose excretion and
reduction in blood glucose levels. In clinical trials, CFZ significantly
decreased HbA1c level when administered either as monotherapy or as combined
therapy with other anti-diabetic drugs. Intriguingly, it showed additional
benefits like weight reduction and lowering of blood pressure. The commonly
observed side effects were urinary and genital infections. It has exhibited
favorable pharmacokinetic and pharmacodynamic profiles even in patients with
renal and hepatic damage. Hence, this review purports to outline CFZ as a newer
beneficial drug for type 2 diabetes mellitus.
PMID- 24936263
TI - Impact of chronic disease self-management programs on type 2 diabetes management
in primary care.
AB - AIM: To assess the effectiveness of the Chronic Disease Self-Management Program
(CDSMP) on glycated hemoglobin A1c (HbA1c) and selected self-reported measures.
METHODS: We compared patients who received a diabetes self-care behavioral
intervention, the CDSMP developed at the Stanford University, with controls who
received usual care on their HbA1c and selected self-reported measures, including
diabetes self-care activities, health-related quality of life (HRQOL), pain and
fatigue. The subjects were a subset of participants enrolled in a randomized
controlled trial that took place at seven regional clinics of a university
affiliated integrated healthcare system of a multi-specialty group practice
between January 2009 and June 2011. The primary outcome was change in HbA1c from
randomization to 12 mo. Data were analyzed using multilevel statistical models
and linear mixed models to provide unbiased estimates of intervention effects.
RESULTS: Demographic and baseline clinical characteristics were generally
comparable between the two groups. The average baseline HbA1c values in the CDSMP
and control groups were 9.4% and 9.2%, respectively. Significant reductions in
HbA1c were seen at 12 mo for the two groups, with adjusted changes around 0.6% (P
< 0.0001), but the reductions did not differ significantly between the two groups
(P = 0.885). Few significant differences were observed in participants' diabetes
self-care activities. No significant differences were observed in the
participants' HRQOL, pain, or fatigue measures. CONCLUSION: The CDSMP
intervention may not lower HbA1c any better than good routine care in an
integrated healthcare system. More research is needed to understand the benefits
of self-management programs in primary care in different settings and
populations.
PMID- 24936264
TI - MERS-CoV: Bridging the Knowledge Gaps.
PMID- 24936266
TI - Knowledge and Attitudes of Oman Medical Specialty Board Residents towards
Evidence-Based Medicine.
AB - OBJECTIVE: This study aims to evaluate the knowledge and attitudes of Oman
Medical Specialty Board (OMSB) residents towards Evidence-Based Medicine (EBM).
METHODS: This cross sectional study was conducted on all OMSB residents through a
self-administered online questionnaire between October 2012 and March 2013. An
electronic survey was designed to identify and determine residents' knowledge and
attitudes toward the use of EBM. RESULTS: The survey was completed by 93 (21%)
OMSB residents, 76 (82%) of whom took part in continuing education courses and 50
(54%) belonged to professional practice-oriented organizations. On average, the
residents were reportedly involved in patient care for approximately 70%
(Standard Deviation [SD] 17%) of their time, while 14% (SD 12%) participated in
research activities. The results showed that 53 respondents (57%) were competent
users of medical search engines compared to 23 residents (25%) who rated their
skills as neutral. Sixteen percent of the respondents strongly agreed and 46%
only agreed that the facility supports the use of current research in practice.
Fourteen percent strongly agreed and fifty-three percent only agreed that the
foundation of EBM is part of OMSB academic preparation. On the other hand, 17% of
the respondents thought that insufficient time is always a barrier against EBM,
while another 27% perceived insufficient time as a usual barrier. The lack of
information resources was reported to always be a barrier in 11% of the
respondents while 32% thought that it usually acts as a barrier. CONCLUSION: Time
constraints and skills in EBM were found to be the two major obstacles. This
study was, however, limited by the low response rate of the survey; thus larger
studies with a previously validated questionnaire should be conducted in the
future.
PMID- 24936265
TI - Vitamin k dependent proteins and the role of vitamin k2 in the modulation of
vascular calcification: a review.
AB - Vascular calcification, a cause of cardiovascular morbidity and mortality, is an
actively regulated process involving vitamin K dependent proteins (VKDPs) among
others. Vitamin K is an essential micronutrient, present in plants and animal
fermented products that plays an important role as a cofactor for the post
translational gamma-carboxylation of glutamic acid residues in a number of
proteins. These VKDPs require carboxylation to become biologically active, and
they have been identified as having an active role in vascular cell migration,
angiogenesis and vascular calcification. This paper will review the process of
vascular calcification and delineate the role that vitamin K2 plays in the
modulation of that process, through the activation of VKDPs. One such VKDP is
Matrix Gla Protein (MGP), which when activated inhibits osteogenic factors,
thereby inhibiting vascular and soft tissue calcification.
PMID- 24936268
TI - Predictors of Vaccination Card Retention in Children 12-59 months old in Karachi,
Pakistan.
AB - OBJECTIVE: To determine the factors associated with retaining the vaccination
card among care takers of 12-59 months old children in Karachi, Pakistan.
METHODS: This was an analytical cross-sectional study in Karachi. Households were
randomly selected throughout a multistage cluster sampling technique. Data was
collected for 504 children of 12- 59 months of age. Questionnaire was
administered to caretakers to gather information regarding the children's
vaccination status, socio-demographic characteristics and reviewing their
vaccination cards. Statistical analysis was done by SPSS 19 using logistic
regression. RESULTS: Among 462 vaccinated children, caretakers of 33% provided
vaccination cards. Odds of card retention decrease if the caretaker has a large
household i.e., >5 people sharing one room (AOR 0.277, 95% CI: 0.096, 0.797) and
if the child is of four to five years of age (AOR 0.544, 95% CI: 0.305, 0.970).
Gender of the child, and the caretaker's education and access to electronic media
were not significant predictors of vaccination card retention in our study.
CONCLUSION: Our study showed that vaccination card retention for children 12-59
months of age was low (33%) in Karachi. There is a need to educate caretakers of
young children regarding the importance of keeping vaccination card and to
disseminate this information through healthcare providers. Improving vaccination
card retention is one of the key measures which will help towards accurately
estimating coverage and to inform health policy decisions.
PMID- 24936267
TI - Calcium intervention ameliorates experimental model of multiple sclerosis.
AB - OBJECTIVE: Multiple sclerosis (MS) is the most common inflammatory disease of the
CNS. Experimental autoimmune encephalomyelitis (EAE) is a widely used model for
MS. In the present research, our aim was to test the therapeutic efficacy of
Calcium (Ca) in an experimental model of MS. METHODS: In this study the
experiment was done on C57BL/6 mice. EAE was induced using 200 MUg of the MOG35
55 peptide emulsified in CFA and injected subcutaneously on day 0 over two flank
areas. In addition, 250 ng of pertussis toxin was injected on days 0 and 2. In
the treatment group, 30 mg/kg Ca was administered intraperitoneally four times at
regular 48 hour intervals. The mice were sacrificed 21 days after EAE induction
and blood samples were taken from their hearts. The brains of mice were removed
for histological analysis and their isolated splenocytes were cultured. RESULTS:
Our results showed that treatment with Ca caused a significant reduction in the
severity of the EAE. Histological analysis indicated that there was no plaque in
brain sections of Ca treated group of mice whereas 4 +/- 1 plaques were detected
in brain sections of controls. The density of mononuclear infiltration in the CNS
of Ca treated mice was lower than in controls. The serum level of Nitric Oxide in
the treatment group was lower than in the control group but was not significant.
Moreover, the levels of IFN-gamma in cell culture supernatant of splenocytes in
treated mice were significantly lower than in the control group. CONCLUSION: The
data indicates that Ca intervention can effectively attenuate EAE progression.
PMID- 24936269
TI - The Effect of Vitamin B12 Infusion on Prevention of Nitrous Oxide-induced
Homocysteine Increase: A Double-blind Randomized Controlled Trial.
AB - OBJECTIVE: Nitrous oxide is a common inhalation anesthetic agent in general
anesthesia. While it is widely accepted as a safe anesthetic agent, evidence
suggests exposure to this gas, leads to hyperhomocysteinemia. The present study
aimed to evaluate the effects of single-dose intravenous infusions of vitamin
B12, before and after the induction of nitrous oxide anesthesia on homocysteine
levels after the surgery. METHODS: This double-blind randomized controlled trial
was conducted on 60 patients who were scheduled for elective surgery under
general anesthesia, presumably lasting for more than two hours. The subjects were
randomly allocated to three groups of 20. For the first group, vitamin B12
solution (1 mg/100 ml normal saline) and 100 ml of normal saline (placebo), were
infused before and after the induction of anesthesia, respectively. The second
group received placebo and vitamin B12 infusion before and after the induction of
anesthesia, respectively. The third group received placebo infusions at both
times. Homocysteine levels were measured before and 24 hours after the surgery.
RESULTS: The mean homocysteine and vitamin B12 levels were significantly
different within the three groups (p<0.001). In patients who had been infused
with vitamin B12 before the surgery, homocysteine levels were significantly lower
than the other two groups. In the placebo group, homocysteine levels
significantly increased after the surgery. CONCLUSION: Nitrous oxide causes
hyperhomocysteinemia after general anesthesia. Since vitamin B12 infusion is a
safe and inexpensive method to decrease homocysteine levels in these patients, it
may be recommended for patients undergoing nitrous oxide anesthesia to be used
before induction of anesthesia.
PMID- 24936270
TI - Prospective study on prevalence and risk factors of postpartum depression in Al
dakhliya governorate in oman.
AB - OBJECTIVES: Postnatal Depression (PND) is a major health problem. It has a
serious impact on the mother, her child and family. Studies have shown wide
diversity of its prevalence across different cultures. Its epidemiology and its
associated risk factors in Oman remain unknown. The objectives of this study were
to estimate the prevalence of PND in the Al-Dakhliya governorate and to identify
the risk factors contributing to the development of PND. METHODS: A total of 282
Omani women who gave birth in September 2010 were part of a prospective study
conducted in Al-Dakhliya governorate. An Arabic version of the Edinburgh
Postnatal Depression Scale (EPDS) was used to assess the PND symptoms. Negative
binomial regression model was used to identify the independent predictors of
outcome (EPDS scores at two and eight weeks post-delivery) due to the non-normal
distribution of the EPDS scores. RESULTS: The study results showed that at two
weeks, 13.5% of mothers and 10.6% at eight weeks had EPDS scores of 13. Bahla
district was found to have the highest number of depression scores among the
other six districts in the governorate. It was found that mothers less than 25
years of age were more likely to report high EPDS scores. The independent
predictor was work difficulties both at two and eight weeks postpartum (p=0.001
and p=0.019, respectively). At two weeks postpartum, significant association was
also found between depression scores and conflict with a family member (p=0.017),
and sickness of a family member (p=0.010). There was no significant association
between EPDS scores and socio-demographic, obstetric or other clinical factors.
CONCLUSION: This study is among the few studies looking at PND in the Middle East
and shows on average 12% of Omani women are at a high risk of developing PND
(EPDS scores of >=13). Although the study findings were based on an unvalidated
version of EPDS for Omani women, they can be used as a basis for further
research.
PMID- 24936271
TI - Prevalence Pattern of Risk Factors for Coronary Artery Disease among Patients
Presenting for Coronary Artery Bypass Grafting in Oman.
AB - OBJECTIVES: To identify the pattern of prevalence of risk factors in patients
presenting for coronary artery bypass grafting at a single center in Oman.
METHODS: All patients who had coronary artery bypass grafting between March 2008
to March 2010 were included and data were obtained from history and laboratory
investigations. The prevalence rates of eight conventional risk factors are
presented as a retrospective single center observational study. RESULTS: Out of
146 total patients, 107 (73.29%) were male. The age ranged from 31 to 87 years
old. The mean age was 58.18 +/- 10.08 years (males = 56.81 +/- 10.42, females =
61.95 +/- 7.97). Hypertension was present in 119 patients (81.51%), 115 patients
(78.77%) had dyslipidemia, 107 patients (73.29%) were male, 79 patients (54.11%)
had diabetes mellitus, 70 patients (47.95%) were over the age of 60 years, 41
patients (28.08%) gave a history of smoking, 31 patients (21.23%) were obese, and
19 patients (13.01%) gave a positive family history. CONCLUSIONS: The most common
risk factor was hypertension, followed by dyslipidemia, male gender, diabetes
mellitus, old age, smoking, obesity and positive family history; 87.7% had three
or more risk factors. The females in this study were older than the males and had
more risk factors at presentation. The most common combination of factors seen
together was diabetes, hypertension, dyslipidemia and male gender.
PMID- 24936272
TI - Effect of locally administered ciliary neurotrophic factor on the survival of
transected and repaired adult sheep facial nerve.
AB - OBJECTIVE: to determine whether the administration of Ciliary Neurotrophic Factor
(CNTF) at the site of repaired facial nerve enhances regeneration in the adult
sheep model. METHODS: Ten adult sheep were divided into 2 groups: control and
study group (CNTF group). In the CNTF group, the buccal branch of the facial
nerve was transected and then repaired by epineural sutures. CNTF was injected
over the left depressor labii maxillaris muscle in the vicinity of the transected
and repaired nerve for 28 days under local anesthesia. In the CNTF group, the
sheep were again anesthetized after nine months and the site of facial nerve
repair was exposed. Detailed electrophysiological, tension experiments and
morphometric studies were carried out and then analyzed statistically. RESULTS:
The skin CV min, refractory period, Jitter and tension parameters were marginally
raised in the CNTF group than the control but the difference was statistically
insignificant between the two groups. Morphometric indices also did not show any
significant changes in the CNTF group. CONCLUSION: CNTF has no profound effect on
neuronal regeneration of adult sheep animal model. KEYWORDS: CNTF; Neurtrophic
factors; Sheep; Facial nerve; Regeneration.
PMID- 24936273
TI - Uterine artery embolization: exploring new dimensions in obstetric emergencies.
AB - The role of transcatheter arterial embolization in the management of obstetric
emergencies is relatively new and not so commonly used. In the following series,
the efficacy of this technique in situations such as scar site ectopic pregnancy,
antepartum and postpartum obstetric hemorrhage, especially in the presence of
coagulation derangement is presented.
PMID- 24936274
TI - Avulsion fracture of the anterior inferior iliac spine mimicking a bone tumor: a
case report.
AB - This is a case of a 17-year-old boy who was admitted to the clinic suffering from
right hip-inguinal region pain which began after a soccer match. He had an
avulsion fracture in the anterior inferior iliac spine and CT/MR images showed
similarities to a bone tumor. These fractures are especially seen in the
apophyses which are within the ossification process. The radiological appearance
of these fractures during the healing period may be involved with bone tumors. In
the control MRI of the patient after one year, the fracture was almost healed
completely. Treatment is generally conservative. Good results have been reported
with rest, analgesic and anti-inflammatory drugs. Familiarity with radiological
findings of these avulsion fractures in this region not only protects the patient
from invasive procedures but also avoids the involvement of the practitioner in
legal consequences resulting from a misdiagnosis of sarcoma.
PMID- 24936275
TI - Late presentation of congenital diaphragmatic hernia: a case report.
AB - Delayed herniation of the abdominal contents through a congenital diaphragmatic
hernia may occur beyond the neonatal period. This report describes a 9-week-old
female baby who presented with excessive crying, irritability and respiratory
distress secondary to late presentation of left-sided congenital diaphragmatic
hernia. The chest radiograph showed tension gastrothorax. She underwent surgical
reduction of the hernia. She made an excellent recovery and was discharged a few
days after the operation. It is assumed that sudden increase of the intra
abdominal pressure caused herniation of abdominal content through a pre-existing
diaphragmatic defect. This report aims to increase the awareness of this
condition among physicians and pediatric surgeons to allow early diagnosis and
management.
PMID- 24936277
TI - Endometriosis after surgical menopause mimicking pelvic malignancy: surgeons'
predicament.
AB - Prevalence of persistent endometriosis in women after menopause without any
hormonal replacement therapy is very rare. This is a case of a woman with
previous history of total hysterectomy and bilateral salpingo-oophorectomy for
endometriosis who presented with hemoperitoneum, vaginal bleeding, pelvic mass,
and pulmonary thromboembolism mimicking as rectovaginal septum carcinoma. This is
the first case report with a unique mode of presentation wherein the patient
presented with hemoperitoneum requiring emergency embolization of the vessel to
stabilize the patient. She underwent en bloc resection of the tumor with high
anterior resection of the rectum. Histopathology confirmed endometriosis.
PMID- 24936276
TI - Lymphoma presenting as severe left ventricular systolic dysfunction: a case
report.
AB - Lymphoma involving the heart is rare. This is a case report on non-Hodgkin
lymphoma where the patient presented for the first time with heart failure and
severe left ventricular systolic dysfunction due to lymphoma infiltrating the
heart muscle and had simultaneous bilateral involvement of kidneys. This type of
presentation has never been described in world literature and is the first
reported case.
PMID- 24936278
TI - Determinants of diabetes and hypertension control in ambulatory healthcare in Al
ain, United arab emirates.
AB - OBJECTIVES: This study aims to study determinants for the control of diabetes and
hypertension in Al Ain Ambulatory Healthcare patients. METHOD: This is a cross
sectional observational study of patients attending ambulatory healthcare centers
in Al Ain, United Arab Emirates in 2009. From a yearly audit evaluating the care
of patients with diabetes and hypertension, the determinants for improved
diabetes and hypertension outcomes were identified from a total of 512 patients
and its association with glycemic and blood pressure control were studied.
RESULTS: From all variables studied, only the clinic where the patient was
treated helped predict both improved blood sugar and blood pressure control. For
patients with diabetes, poor control the year before (p<0.001), the number of
chronic disease clinic visits (p=0.042) and triglyceride levels (p=0.007)
predicted worse control of diabetes. A predictor of poor control of blood
pressure (p<0.001) for patients with hypertension was poor control of blood
pressure in the year before. CONCLUSION: In this population, the healthcare
system and the team played major roles as determinants in the control of
patient's diabetes and blood pressure more than any of the other factors
examined.
PMID- 24936279
TI - Clinical and histological profile of surgically managed benign adnexal masses.
AB - OBJECTIVE: To study the clinical and histological nature of benign adnexal masses
managed surgically. METHODS: A retrospective descriptive study in a teaching
hospital in Oman of all the women who had surgical management of benign adnexal
masses from January 2008 to May 2012. Data pertaining to age, parity, presenting
symptoms, imaging and tumor markers performed and the surgical intervention done
on those women with benign adnexal masses was collected from the electronic
health records of the patients. RESULTS: There were 198 women during this period
operated for benign adnexal masses. The most common benign neoplasm was mature
teratoma of the ovary followed by endometriosis. Conservative surgery in the form
of ovarian cystectomy was necessary in three fourths of women and in about just
less than 50% of women, the procedure was completed laparoscopically. CONCLUSION:
The most common benign tumor was teratoma but laparoscopic approach, which is the
standard of care in these women, was possible only in just about 50% of the
women.
PMID- 24936280
TI - Diarrhea associated with retroperitoneal tumor.
PMID- 24936281
TI - Demographic and clinical characteristic of type 1 diabetes mellitus in omani
children: single center experience.
PMID- 24936282
TI - AIs Scientific Production Problem-Oriented? Diabetes and Ramadan as an Example.
PMID- 24936283
TI - Outcome of trans-axillary approach for surgical decompression of thoracic outlet:
a retrospective study in a tertiary care hospital.
AB - OBJECTIVE: The aim of this study was to evaluate the trans-axillary surgical
approach in patients with thoracic outlet syndrome. METHODS: This retrospective
study is comprised of data acquired from January 1998 until Oct 2008. Case
histories of all the patients were reviewed from the Medical Records Department
of Sher-i-Kashmir Institute. Relevant information and follow-up of the patients
was carried out by examining the relevant clinical notes available by telephone
interviews and personal contact whenever possible. All data was compiled and
analyzed statistically. RESULTS: There were a total of 139 patients. The female:
male ratio was about 6:1. Pain was the most common presenting symptom followed by
weakness and parasthesia. Nerve conduction velocity was abnormal in 111 patients.
Twenty-eight patients had abnormal Doppler study of subclavian vessels.
Preoperative symptoms persisted in 13 patients. Overall, 126 patients showed
improvement in symptoms and no recurrence or persistence of symptoms on follow-up
examination. CONCLUSION: Trans-axillary approach provides a good exposure and
cosmesis in patients with thoracic outlet syndrome. It should be considered as
the gold standard in the management of thoracic outlet syndrome.
PMID- 24936284
TI - Emotions and emotion regulation in survivors of childhood sexual abuse: the
importance of "disgust" in traumatic stress and psychopathology.
AB - BACKGROUND: Childhood sexual abuse (CSA) has the potential to compromise socio
emotional development of the survivor resulting in increased vulnerability to
difficulties regulating emotions. In turn, emotion regulation is thought to play
a key part in a number of psychological disorders which CSA survivors are at
increased risk of developing. A better understanding of the basic emotions
experienced in this population and emotion regulation strategies will inform
current treatment. OBJECTIVE: This paper examines the relationships between type
of emotions experienced, emotion regulation strategies, and psychological trauma
symptoms in a sample of survivors of CSA. METHOD: A consecutive case series of
CSA survivors (n=109) completed the Basic Emotions Scale (BES)-Weekly, General,
and Coping versions; the Regulation of Emotions Questionnaire; the Post-traumatic
Stress Checklist-Civilian Version (PCL-C); and the Clinical Outcomes in Routine
Evaluation Outcome Measure. RESULTS: Significantly higher levels of disgust than
other levels of emotions were reported on the weekly version of the BES. In
addition, significantly higher levels of disgust and lower levels of happiness
were reported on the BES-General subscale. Regression analyses revealed that
sadness, fear, disgust, and external dysfunctional coping strategies predicted
global post-traumatic stress disorder and re-experiencing symptomatology measured
by the PCL-C. Global distress, as measured by CORE, was predicted by the emotions
of sadness, disgust, and low happiness, as well as dysfunctional regulatory
strategies. In addition, preliminary exploratory factor analyses supported the
structure of all three versions of the BES, with disgust explaining the largest
percentage of variance, followed by happiness. CONCLUSIONS: The findings
highlight the utility of profiling basic emotions in understanding the strong
associations between emotional phenomena, particularly the emotion of disgust and
psychopathology in CSA survivors.
PMID- 24936285
TI - Rape-related symptoms in adolescents: short- and long-term outcome after
cognitive behavior group therapy.
AB - BACKGROUND: Efficacy studies on treatment in adolescent victims of single rape
are lacking, even though sexual victimization is most likely to occur during
adolescence and despite the fact that adolescents are at risk to develop
subsequent posttraumatic stress disorder. AIM: The aim of this prospective
observational study was to evaluate the short- and long-term outcomes of a nine
session cognitive behavior group therapy (STEPS), including a parallel six
session parents' group on rape-related symptomatology in female adolescents (13
18 years). STEPS includes psychoeducation, exposure in sensu as well as in vivo,
cognitive restructuring, and relapse prevention. METHODS: Fifty-five female
adolescents with mental health problems due to single rape, but without prior
sexual trauma, received STEPS while their parents participated in a support
group. Subjects were assessed on posttraumatic stress (PTS) and comorbid symptoms
using self-report questionnaires prior to and directly after treatment, and at 6
and 12 months follow-up. RESULTS: Repeated measures analysis showed a significant
and large decrease in symptoms of PTS, anxiety, depression, anger, dissociation,
sexual concerns, and behavior problems directly after treatment, which maintained
at 12 months follow-up. Time since trauma did not influence the results. Dropout
during STEPS was 1.8%. CONCLUSIONS: The results potentially suggest that the
positive treatment outcomes at short- and long-term may be caused by STEPS. The
encouraging findings need confirmation in future controlled studies on the
effectiveness of STEPS because it may be possible that the treatment works
especially well for more chronic symptoms, while the less chronic part of the
sample showed considerable improvement on its own.
PMID- 24936286
TI - My Corporis Fabrica: an ontology-based tool for reasoning and querying on complex
anatomical models.
AB - BACKGROUND: Multiple models of anatomy have been developed independently and for
different purposes. In particular, 3D graphical models are specially useful for
visualizing the different organs composing the human body, while ontologies such
as FMA (Foundational Model of Anatomy) are symbolic models that provide a unified
formal description of anatomy. Despite its comprehensive content concerning the
anatomical structures, the lack of formal descriptions of anatomical functions in
FMA limits its usage in many applications. In addition, the absence of connection
between 3D models and anatomical ontologies makes it difficult and time-consuming
to set up and access to the anatomical content of complex 3D objects. RESULTS:
First, we provide a new ontology of anatomy called My Corporis Fabrica (MyCF),
which conforms to FMA but extends it by making explicit how anatomical structures
are composed, how they contribute to functions, and also how they can be related
to 3D complex objects. Second, we have equipped MyCF with automatic reasoning
capabilities that enable model checking and complex queries answering. We
illustrate the added-value of such a declarative approach for interactive
simulation and visualization as well as for teaching applications. CONCLUSIONS:
The novel vision of ontologies that we have developed in this paper enables a
declarative assembly of different models to obtain composed models guaranteed to
be anatomically valid while capturing the complexity of human anatomy. The main
interest of this approach is its declarativity that makes possible for domain
experts to enrich the knowledge base at any moment through simple editors without
having to change the algorithmic machinery. This provides MyCF software
environment a flexibility to process and add semantics on purpose for various
applications that incorporate not only symbolic information but also 3D geometric
models representing anatomical entities as well as other symbolic information
like the anatomical functions.
PMID- 24936287
TI - Aromatase inhibitors-induced bone loss in early breast cancer.
AB - Women with breast cancer have an increased prevalence and incidence of fractures.
This increased risk of fracture has become most evident following the use of
aromatase inhibitors (AIs) as standard adjuvant therapy. AI-induced bone loss
occurs at more than twice the rate of physiologic postmenopausal bone loss.
Moreover, peripheral quantitative computed tomography data indicate that effects
of AIs on bone strength and on cortical bone have been substantially
underestimated by dual-energy X-ray absorptiometry. All AIs have been associated
with an increased fracture risk. The incidence of fractures is at least 33-43%
higher in AI-treated patients than in tamoxifen-treated patients, and this
increase in fracture risk is maintained at least for the duration of AI therapy.
Over the last few years, clinical trials have established the effectiveness of
bisphosphonates and denosumab to preserve and even increase bone mineral density
(BMD) during adjuvant AIs. Most data have been obtained with zoledronic acid
administered twice a year, which effectively maintains or increases BMD in women
receiving AIs. In addition, zoledronic acid has been shown to delay disease
recurrence and maybe prolong survival in women with hormone-responsive tumors,
thereby providing an adjuvant antitumor benefit besides preserving BMD. It is
likely that a combined fracture risk assessment will more accurately identify
women with breast cancer who require bone protective therapy. The FRAX tool
probably underestimates the net increase in fracture risk due to AI therapy.
Recent guidelines for the prevention of AI-induced bone loss have adequately
considered the presence of several established clinical risk factors for
fractures, in addition to BMD, when selecting patients to be treated with
inhibitors of bone resorption.
PMID- 24936289
TI - Modeling of electrohydrodynamic drying process using response surface
methodology.
AB - Energy consumption index is one of the most important criteria for judging about
new, and emerging drying technologies. One of such novel and promising
alternative of drying process is called electrohydrodynamic (EHD) drying. In this
work, a solar energy was used to maintain required energy of EHD drying process.
Moreover, response surface methodology (RSM) was used to build a predictive model
in order to investigate the combined effects of independent variables such as
applied voltage, field strength, number of discharge electrode (needle), and air
velocity on moisture ratio, energy efficiency, and energy consumption as
responses of EHD drying process. Three-levels and four-factor Box-Behnken design
was employed to evaluate the effects of independent variables on system
responses. A stepwise approach was followed to build up a model that can map the
entire response surface. The interior relationships between parameters were well
defined by RSM.
PMID- 24936288
TI - Antiosteoporotic effect of orally administered yolk-derived peptides on bone mass
in women.
AB - The main objective of this study was to verify the effect of oral intake of a
yolk-derived peptide preparation (HYP) obtained by enzymatic hydrolysis of yolk
water-soluble protein on bone markers and bone density in 65 perimenopausal women
with an average age of 47.6 +/- 5.2 years. Subjects were divided into three
groups, and then enrolled in a 6-month, randomized, double-blind clinical trial.
Bone formation and resorption markers were measured at 0, 3, and 6 months, while
bone mineral density (BMD) in the lumbar spines was measured at 0 and 6 months.
Although the bone formation marker levels showed the similarity changes among the
groups, bone resorption markers in the test HYP group were significantly
decreased after 3 and 6 months in comparison to other groups (P < 0.05). After 6
months, BMD in the test HYP group maintained at healthy numerical values whereas
BMD values were decreased in other groups. Hence HYP would be an antiosteoporotic
agent originated from natural food to maintain bone health, especially for women.
PMID- 24936290
TI - Enhancing safety and shelf life of fresh-cut mango by application of edible
coatings and microencapsulation technique.
AB - Mango pulp is very perishable and so has a short shelf life, which both marketers
and consumers would like to be longer. Manually sliced mango was treated by
coating opuntia mucilage-rosemary oil (Mu + RO), 2 g rosemary oil microencapsul
(ROM), and 2 g (ROM) plus (Mu + RO); the treated mango pieces were placed in
plastic trays, and overwrapped with PVDC film and then stored at 6 degrees C.
Changes in the quality parameters and activity of peroxidase (POD) enzyme were
evaluated for 9 days of storage period. These treatments retarded loss of
ascorbic acid and the drop in sensory acceptability, fewer changes in color,
decreasing activity POD enzyme. These also inhibited the decay incidence and
slowed microbial growth. The (Mu + RO) treatment was more effective in
controlling postharvest quality as compared to the (ROM) treatment, but the data
reveal that applying the compound treatment effectively prolongs the quality
attributes and extends the storage life of sliced mango fruit.
PMID- 24936292
TI - Analysis of essential elements in Pito-a cereal food drink and its brands by the
single-comparator method of neutron activation analysis.
AB - Instrumental neutron activation analysis using the single-comparator method has
been used for the multielement determination of essential elements in two main
types of Pito brewed locally in Ghana. The precision and accuracy of the
analytical method was validated and found to be within 10%. In all, eight
different brands of Pito depending on the type of cereal crop used in brewing
were analyzed for 13 different elements (Al, As, Ba, Cl, Co, Cu, Fe, K, Mg, Mn,
Na, Si, and Zn). It was observed that all brands were particularly enriched in
nutrient elements Cl, Mg, and K. The rest were generally found in varying
concentrations. As these elements are bioavailable in natural form, perhaps in
combination with organic constituents, they are likely to be easily digested and
assimilated by the human body. Arsenic, a toxic element, was found in
insignificant amounts suggesting that it was within safe limits.
PMID- 24936291
TI - Protective effect of resveratrol against pressure overload-induced heart failure.
AB - Transverse aortic constriction (TAC)-induced pressure overload (PO) causes
adverse cardiac remodeling and dysfunction that progresses to heart failure (HF).
The purpose of this study was to determine whether the potent antioxidant,
resveratrol, significantly attenuates PO-induced HF in wild-type mice. Male
C57BL6 mice were subjected to either sham or TAC surgery. One group of TAC mice
was given daily resveratrol treatment. Echocardiographic, biometric, and
immunohistological analyses were performed on the three groups of mice. All
echocardiographic parameters demonstrated significantly greater adverse cardiac
remodeling and dysfunction in the TAC compared to the sham mice. Increases in the
ratios of heart weight (HW)/body weight (BW) and lung weight (LW)/BW and a sharp
decline in the percentage of ejection fraction and fractional shortening were
found in TAC relative to sham mice. Likewise, the TAC protocol increased markers
of oxidative stress, cardiac hypertrophy, inflammation, fibrosis, hypoxia, and
apoptosis. These pathological changes were significantly attenuated by
resveratrol treatment. Resveratrol treatment significantly attenuates the adverse
cardiac remodeling and dysfunction produced by the TAC protocol in C57/BL6 mice
and this activity is mediated, at least in part, by the inhibition of oxidative
stress and inflammation indicating a therapeutic potential of resveratrol in HF.
PMID- 24936293
TI - Survey of volatile substances in kitchen utensils made from acrylonitrile
butadiene-styrene and acrylonitrile-styrene resin in Japan.
AB - Residual levels of 14 volatile substances, including 1,3-butadiene,
acrylonitrile, benzene, ethylbenzene, and styrene, in 30 kitchen utensils made
from acrylonitrile-butadiene-styrene resin (ABS) and acrylonitrile-styrene resin
(AS) such as slicers, picks, cups, and lunch boxes in Japan were simultaneously
determined using headspace gas chromatography/mass spectroscopy (HS-GC/MS). The
maximum residual levels in the ABS and AS samples were found to be 2000 and 2800
MUg/g of styrene, respectively. The residual levels of 1,3-butadiene ranged from
0.06 to 1.7 MUg/g in ABS, and three of 15 ABS samples exceeded the regulatory
limit for this compound as established by the European Union (EU). The residual
levels of acrylonitrile ranged from 0.15 to 20 MUg/g in ABS and from 19 to 180
MUg/g in AS. The levels of this substance in seven ABS and six AS samples
exceeded the limit set by the U.S. Food and Drug Administration (FDA).
Furthermore, the levels of acrylonitrile in three AS samples exceeded the
voluntary standard established by Japanese industries. These results clearly
indicate that the residual levels of some volatile compounds are still high in
ABS and AS kitchen utensils and further observations are needed.
PMID- 24936294
TI - Solar drying and organoleptic characteristics of two tropical African fish
species using improved low-cost solar driers.
AB - This study was done to evaluate the drying performance, efficiency, and
effectiveness of five different types of improved low-cost solar driers in terms
of moisture loss from two tropical African fish species Clarias gariepinus
(African sharp tooth catfish) and Oreochromis niloticus (Nile tilapia) and
testing the organoleptic characteristics of the dried samples. The driers used
were made from plastic, aluminum, glass, glass with black igneous stone, and
mosquito net, with traditional direct open-sun drying as a control. A significant
(P < 0.05) decrease in weight resulting from moisture loss in the two fish
species was observed in all the driers, with the highest reduction occurring in
the glass drier containing black stone. The rate of weight loss was faster in the
first 4 days of drying with black stone-inserted glass drier showing the fastest
drying rate with a constant weight in C. gariepinus attained on the 11th day and
in O. niloticus on the eighth day. The slowest drier was plastic where a constant
weight of the species were recorded on and 13th day and 11th day, respectively.
Volunteers were used to assess the organoleptic characteristics of the dried
samples and they showed lowest acceptability for the open-sun drying, while
samples from the glass drier containing black stone had the highest acceptability
in terms of the taste, flavor, appearance, texture, odor, palatability, and shelf
life. The low-cost solar driers were effective found in removing water from the
fish resulting in significant loss of weight and moisture. The highest drying
time, efficient performance, drying effectiveness, and high acceptability of the
organoleptic parameters of the dried products from the black stone-inserted glass
drier were due to the ability of the glass and the black stone to retain,
transmit, and radiate heat to the fish sample all the time (day and night). These
low-cost driers are simple to construct, materials for its construction readily
available, easy to maintain and operate, hygienic in use, reliable, effective,
occupies less area, dry products faster with increased shelf-life, save man-hour,
user-friendly, use renewable energy, protect the drying samples from filthiness,
wetness, and invasion by pests, insects, and microbes, with well-dried, high
quality, and better preserved final products. The adoption and use any of these
low-cost solar driers by artisanal fishermen and general household in sub-Saharan
Africa will not only help in reducing post catch losses, but also ensure food
safety and security as there is abundant solar energy in these sub-Saharan
African tropical countries for the operation of the driers.
PMID- 24936295
TI - In vitro starch digestion in sorghum flour from Algerian cultivars.
AB - This work aims to evaluate starch digestion in whole sorghum grains. Nine sorghum
cultivars were sampled from the Sahara of Algeria. The structural characteristics
of sorghum grains were measured. Total starch (TS) varied between 67.67% and
74.82%, digestible starch (DS) between 64.34% and 69.70%, and resistant starch
(RS) ranged from 2.55% to 7.98%. The kinetic of starch digestion displayed first
order model. For all sorghum cultivars, starch were digested with different
extents, DS at infinite time (D infinity) ranged from 52.58 to 102.13 g/100 g dry
starch, while the hydrolysis index (HI) ranged from 41.55% to 76.93% and high
average glycemic index (GIavg) ranged from 65.97 to 94.14. The results showed
that there are differences in grain quality of Algerian sorghum cultivars. The
starch fractions have acceptable nutritional value with good in vitro
digestibility characteristics suitable for human health and nutrition.
PMID- 24936297
TI - Effects of processing on the proximate and metal contents in three fish species
from Nigerian coastal waters.
AB - The effects of culinary practices such as boiling, frying, and grilling on the
proximate compositions and concentrations of metals (Cd, Pb, Cr, Zn, Fe, Cu, Mn,
Ni, and Hg) in commonly consumed fish species from the Nigerian coastal waters
were investigated. The selected fish species were Polydactylus quadratifilis,
Chrysicthys nigrodigitatus and Cynoglossus senegalensis. The culinary practices
lead to increased protein, fat, and ash contents and decreased moisture contents
of these fish species. The culinary practices resulted significant increase in
the concentrations of most of the studied metals and decrease in the
concentrations of Fe, Cr, and Pb in some fish types. The concentrations and
estimated dietary intakes of Cd, Pb, Cr, Zn, Fe, Cu, Mn, Ni, and Hg from
consumption of the processed fish were within their statutory safe limits. The
individual metal target hazard quotient (THQ) values and the total THQs were less
than 1 which indicates that no health risks would arise from the long-term
consumption of these fish species.
PMID- 24936296
TI - The role of N-glycosylation in kiwi allergy.
AB - The physical, biochemical, and immunological characteristics of plant allergens
have been widely studied, but no definite conclusion has been reached about what
actually makes a protein an allergen. In this sense, N-glycosylation is an
exclusive characteristic of plant allergens not present in mammals and it could
be implied in allergenic sensitization. With this aim, we evaluated and compared
the allergenic activity of the protein fraction and the N-glycan fraction of the
thaumatin-like protein and the main kiwi allergen, Act d 2. The natural allergen,
Act d 2, was deglycosylated by trifluoromethanesulfonic acid treatment; the N
glycan fraction was obtained by extended treatment with proteinase K. N-glycan-
and protein- fractions were recognized by specific IgE of kiwi-allergic patients.
By contrast, the sugar moiety showed a reduced capacity to activate basophils and
T cells, but not dendritic cells derived from patients' monocytes. Related to
this, the production of cytokines such as IL6 and IL10 was increased by the
incubation of dendritic cells with sugar moiety. Thus, the sugar moiety plays a
significant role in sensitization, inducing the activation of antigen-presenting
cells, but it is the protein fraction that is responsible for the allergic
reactions.
PMID- 24936298
TI - Antioxidant capacity of hydrolyzed porcine tissues.
AB - The antioxidative capacity of seven different porcine tissue hydrolysates (colon,
appendix, rectum, pancreas, heart, liver, and lung) were tested by four different
assays, including iron chelation, 2,2'-azino-bis (3-ethylbenzothiazoline-6
sulfonic acid) (ABTS) radical scavenging, 2,2-Diphenyl-1-(2,4,6-trinitrophenyl)
hydrazyl (DPPH) radical scavenging, and inhibition of lipid oxidation. All
hydrolyzed tissues displayed antioxidant capacity in all four assays, with colon,
liver, and appendix as the three most potent inhibitors of lipid oxidation (47,
29, and 27 mmol/L trolox equivalent antioxidant capacity [TEAC], respectively)
and liver, colon, pancreas, and appendix as the four most potent iron chelators
(92% +/- 1.1, 79.3% +/- 3.2, 77.1% +/- 1.8, and 77% +/- 2.3, respectively).
Furthermore, colon and appendix showed good radical scavenging capacities with
ABTS scavenging of 86.4% +/- 2.1 and 84.4% +/- 2.9 and DPPH scavenging of 17.6%
+/- 0.3 and 17.1% +/- 0.2, respectively. Our results provide new knowledge about
the antioxidant capacity of a variety of animal by-products, which can be
transformed into antioxidant hydrolysates, thereby creating added value.
PMID- 24936299
TI - The content of soyasaponin and soyasapogenol in soy foods and their estimated
intake in the Japanese.
AB - Soyasaponins have been reported to promote various health functions. However, the
total soyasaponin and soyasapogenol content in soy products and the daily intake
remain to be fully elucidated. We developed a high-performance liquid
chromatography coupled with tandem mass spectrometric (HPLC-MS/MS) method to
evaluate the content of group A and B soyasaponins and soyasapogenols. The total
soyasaponin content was measured after pretreatment converted soyasaponins to
soyasapogenols. The total soyasaponin content in soy foods was 200-1800 nmol g(
1), although that of soy sauce was 2-7 nmol g(-1). The soyasapogenol to total
soyasaponin ratio was 30-50% in long-term matured miso. The majority of the
soyasapogenol detected was soyasapogenol B rather than soyasapogenol A, resulting
in speculation that further steps are required to liberate aglycones from
glycoside-conjugated soyasaponins in soyasapogenol A. We estimated the daily
intake of total soyasaponins and soyasapogenols by the Japanese, which was 50.3
and 0.59 MUmol, respectively. The soyasapogenol content and the soyasapogenol to
total soyasaponin ratio was considerably low in most soy products, except for
long-term maturated miso. The major source of the daily intake of soyasaponins
and soyasapogenols were tofu and miso, respectively.
PMID- 24936302
TI - Does zafirlukast reduce future risk of asthma exacerbations in adults? Systematic
review and meta-analysis.
AB - BACKGROUND AND OBJECTIVE: The purpose of asthma management is to achieve a total
asthma control that involves current control and future risk. It has proven
efficacy in reducing asthma exacerbations, but the effect size of zafirlukast for
asthma exacerbations of various severity is not systematically explored. METHODS:
Randomized controlled trials were searched in PubMed Central, Web of Science, and
Embase, where zafirlukast prevented asthma exacerbations in adults. The primary
outcome was asthma exacerbations, the secondary outcomes were asthma
exacerbations requiring systemic corticosteroids and emergency visits,
respectively. Odds ratio (OR) with 95% confidence intervals (CI) were pooled.
RESULTS: Twelve trials were identified. As first-line therapy, compared to those
having placebo, the patients with chronic asthma receiving zafirlukast
experienced statistically lower asthma exacerbations (OR = 0.68, 95% CI = [0.45,
1.00]), but it was not found that zafirlukast was superior to placebo in asthma
exacerbations requiring systemic corticosteroids (OR = 0.76, 95% CI = [0.45,
1.29]). Furthermore, zafirlukast was inferior to ICs in asthma exacerbations (OR
= 2.11, 95% CI = [1.35, 3.30]) and requiring systemic corticosteroids (OR = 3.71,
95% CI = [1.82, 7.59]). As add-on therapy, zafirlukast was not superior to
placebo in asthma exacerbations (OR =0.99, 95% CI = [0.54, 1.81] and requiring
emergency visits (OR = 0.72, 95% CI = [0.18, 2.99]). Intriguingly, there was not
a significant difference in asthma exacerbations between zafirlukast and ICs (OR
= 1.12, 95% CI = [0.53, 2.34]). CONCLUSIONS: Our study suggests that zafirlukast,
as the first-line therapy, significantly reduces mild to moderate but not severe
asthma exacerbations. In the add-on regimen, zafirlukast could not reduce asthma
exacerbations, which would perhaps result from small sample size and needs to be
further studied.
PMID- 24936303
TI - Look at the lung: can chest ultrasonography be useful in pregnancy?
AB - BACKGROUND: This study aimed to evaluate the clinical value of chest ultrasound
(US) in the detection, diagnosis and follow-up of pathologic processes of both
peripheral lung parenchyma and pleural space in pregnant women. FINDINGS:
Pregnant women admitted to Obstetric Pathology Hospital Department for
respiratory diseases were enrolled. Chest US examination was performed when there
was a respiratory disease highly suggestive of pneumonia and/or pleural effusion
and chest X-ray (CXR) should have been obtained. Three chest US patterns were
identified: lung consolidation (LC), pleural effusion (PE) and focal sonographic
interstitial syndromes (SIS). When chest US pathologic signs were reported, one
or more subsequent chest US examinations were performed to follow-up the patient
until their complete resolution. Sixteen inpatients underwent 54 chest US
evaluations. We identified: 9 LCs, 6 PEs and 11 SISs. Total number of CXRs was 7
(10 females avoided X-rays exposure and one underwent 2 CXR evaluations on the
advice of Gynecologist). Chest US follow-up, during and after therapy, showed
complete resolution of echographic patterns previously described. CONCLUSIONS:
Chest US evaluation during pregnancy is a useful diagnostic tool to detect and
monitor respiratory diseases, avoiding excessive X-rays exposure.
PMID- 24936304
TI - Short-term sensory and cutaneous vascular responses to therapeutic ultrasound in
the forearms of healthy volunteers.
AB - BACKGROUND: Therapeutic ultrasound (US) is used for a variety of clinical
pathologies and is thought to accelerate tissue repair and help with pain
reduction via its thermal and nonthermal effects. The evidence on physiological
effects of US on both sensory and vascular functions in humans is incomplete.
Hence, the purpose of this study was to determine the short-term impact of two
doses of US (3 MHz, 1:4, 0.25 W/cm(2), 5 min; 1 MHz, continuous, 0.8 W/cm(2), 3
min), on sensory and vascular responses in the healthy forearms. METHODS: Twenty
healthy subjects were recruited (mean age, 29.6 +/- 8.8 years) for the study.
Superficial blood flow (SBF) in the distal forearms was determined using the
tissue viability imaging system. Sensory perception thresholds (SPT) were
determined from ring finger (C7, C8) to assess A-beta (at 2,000 Hz) and C fiber
function (at 5 Hz), using a Neurometer CPT/C device. Subject's two hands were
randomly allocated to group order (AB/BA). Scores were obtained before and
immediately after the application of US and control. Differences in these were
analyzed using repeated measures. RESULTS: Both 3 MHz pulsed US and 1 MHz
continuous US showed small to moderate (effect size = 0.12 to 0.68),
statistically significant reductions in SBF (3 MHz, mean change = 2.8 AU and 1
MHz, mean change = 3.9 AU, p < 0.05 respectively), skin temperature (2.5 degrees
C and 1.1 degrees C, p < 0.05), and SPT at 5 Hz (1.3 and 1 mA, p < 0.05) across
time. SPT at 2,000 Hz remained unaltered by all three conditions (p > 0.05). Age
and gender also had no effect on all outcome measures (p > 0.05). CONCLUSION:
This study demonstrated minor reductions in skin blood flow, skin temperatures,
and C fiber perception thresholds immediately after 3 MHz, and 1 MHz US. The
responses observed may have been due to a thermo-cooling effect of the gel or due
to the direct effect of US on C fibers of median and ulnar nerves. US had a
negligible effect on A-beta fibres. This would suggest that future studies
looking at physiological effects of US should move towards investigating larger
dosages and study the effects in patient populations.
PMID- 24936305
TI - Removal of tetracycline from wastewater using pumice stone: equilibrium, kinetic
and thermodynamic studies.
AB - In this study, pumice stone was used for the removal of tetracyline (TC) from
aqueous solutions. It was characterized by XRD, FT-IR, SEM and BET analyses.
Cation exchange capacity of pumice stone was found to be 9.9 meq/100 g. Effect of
various parameters such as solution pH (2-11), adsorbent dosage (0.5-10 g/L),
contact time (2.5-120 min), initial TC concentration (5-300 mg/L) and temperature
(20-50 degrees C) on TC adsorption onto pumice was investigated. Also the
adsorption of TC on pumice stone was studied as a function of Na(+) and Cu(2+)
cations changing pH from 2 to 11 using batch experiments. The best removal
efficiency performance was exhibited at adsorbent dosage 10 g/L, pH 3, contact
time 120 min. Langmuir, Freundlich and Dubinin-Radushkevich (D-R) isotherm models
were applied to the equilibrium data. The result has shown that the adsorption
was favorable, physicochemical in nature and agrees well with Langmuir and
Freundlich models. The maximum Langmuir adsorption capacity was found to be 20.02
mg/g. The adsorption behavior of TC on pumices stone was fitted well in the
pseudo-second order kinetics model. Thermodynamic parameters calculated from the
adsorption data at different temperature showed that the adsorption reaction was
feasible, spontaneous and exothermic.
PMID- 24936306
TI - Radiation sterilization of new drug delivery systems.
AB - Radiation sterilization has now become a commonly used method for sterilization
of several active ingredients in drugs or drug delivery systems containing these
substances. In this context, many applications have been performed on the human
products that are required to be sterile, as well as on pharmaceutical products
prepared to be developed. The new drug delivery systems designed to deliver the
medication to the target tissue or organ, such as microspheres, nanospheres,
microemulsion, and liposomal systems, have been sterilized by gamma (gamma) and
beta (beta) rays, and more recently, by e-beam sterilization. In this review, the
sterilization of new drug delivery systems was discussed other than conventional
drug delivery systems by gamma irradiation.
PMID- 24936307
TI - Measurement of flow diverter hydraulic resistance to model flow modification in
and around intracranial aneurysms.
AB - Flow diverters (FDs) have been successfully applied in the recent decade to the
treatment of intracranial aneurysms by impairing the communication between the
flows in the parent artery and the aneurysm and, thus, the blood within the
aneurysm sac. It would be desirable to have a simple and accurate computational
method to follow the changes in the peri- and intraaneurysmal flow caused by the
presence of FDs. The detailed flow simulation around the intricate wire structure
of the FDs has three disadvantages: need for high amount of computational
resources and highly skilled professionals to prepare the computational grid, and
also the lack of validation that makes the invested effort questionable. In this
paper, we propose a porous layer method to model the hydraulic resistance (HR) of
one or several layers of the FDs. The basis of this proposal is twofold: first,
from an application point of view, the only interesting parameter regarding the
function of the FD is its HR; second, we have developed a method to measure the
HR with a simple apparatus. We present the results of these measurements and
demonstrate their utility in numerical simulations of patient-specific aneurysm
simulations.
PMID- 24936308
TI - Current practice and perspective of hands-free defibrillation in Hungary -
Investigating the obstacles of implementation.
AB - Defibrillation with self-adhesive pads is the gold standard method during
resuscitation as it allows minimal interruptions of chest compressions.
Unfortunately, the implementation of the new recommendations often requires the
purchase of new equipment. We have conducted a nationwide survey by telephone
interviews with senior clinicians in order to investigate the current position of
the implementation and to identify possible obstacles. We have audited 56
hospitals and 92 departments across the country and interviewed the senior
consultants of the intensive care units (ICUs) and emergency departments (EDs).
Only 6.5% of all responders were using hands-free defibrillation routinely at the
time of the survey. According to 67.4% of respondents, purchasing of new
equipment was not likely within 2 years. The major obstacle was the perceived
higher costs (59.8%); however, the majority of clinicians (92.4%) were aware of
the potential benefits of hands-free defibrillation. Our results suggest that the
implementation of the new guidelines is slower than expected due to the
unavailability of hands-free defibrillators. The major obstacle is the perceived
cost-efficiency concerns. The need for an interim recommendation for safe
delivery of defibrillation using hard paddles might be considered to enhance the
chance of survival for a large number of patients.
PMID- 24936309
TI - Pulmonary function derangements in isolated or predominant mitral stenosis -
Preoperative evaluation with clinico-hemodynamic correlation.
AB - INTRODUCTION: It is well known that mitral stenosis (MS) is complicated by
pulmonary hypertension (PH) of varying degrees. The hemodynamic derangement is
associated with structural changes in the pulmonary vessels and parenchyma and
also functional derangements. This article analyzes the pulmonary function
derangements in 25 patients with isolated/predominant mitral stenosis of varying
severity. AIMS: THE AIM OF THE STUDY WAS TO CORRELATE THE PULMONARY FUNCTION TEST
(PFT) DERANGEMENTS (DONE BY SIMPLE METHODS) WITH: a) patient demographics and
clinical profile, b) severity of the mitral stenosis, and c) severity of
pulmonary artery hypertension (PAH) and d) to evaluate its significance in
preoperative assessment. SUBJECTS AND METHODS: This cross-sectional study was
conducted in 25 patients with mitral stenosis who were selected for mitral valve
(MV) surgery. The patients were evaluated for clinical class, echocardiographic
severity of mitral stenosis and pulmonary hypertension, and with simple methods
of assessment of pulmonary function with spirometry and blood gas analysis. The
diagnosis and classification were made on standardized criteria. The associations
and correlations of parameters, and the difference in groups of severity were
analyzed statistically with Statistical Package for Social Sciences (SPSS), using
nonparametric measures. RESULTS: THE SPIROMETRIC PARAMETERS SHOWED SIGNIFICANT
CORRELATION WITH INCREASING NEW YORK HEART ASSOCIATION (NYHA) FUNCTIONAL CLASS
(FC): forced vital capacity (FVC, r = -0.4*, p = 0.04), forced expiratory volume
in one second (FEV1, r = -0.5*, p = 0.01), FEV1/FVC (r = -0.44*, p = 0.02), and
with pulmonary venous congestion (PVC): FVC (r = -0.41*, p = 0.04) and FEV1 (r =
0.41*, p = 0.04). Cardiothoracic ratio (CTR) correlated only with FEV1 (r =
0.461*, p = 0.02) and peripheral saturation of oxygen (SPO2, r = -0.401*, p =
0.04). There was no linear correlation to duration of symptoms, mitral valve
orifice area, or pulmonary hypertension, except for MV gradient with PCO2 (r =
0.594**, p = 0.002). The decreased oxygenation status correlated significantly
with FC, CTR, PVC, and with deranged spirometry (r = 0.495*, p = 0.02).
CONCLUSIONS: PFT derangements are seen in all grades of severity of MS and
correlate well with the functional class, though no significant linear
correlation with grades of severity of stenosis or pulmonary hypertension. Even
the early or mild derangements in pulmonary function such as small airway
obstruction in the less severe cases of normal or mild PH can be detected by
simple and inexpensive methods when the conventional parameters are normal. The
supplementary data from baseline arterial blood gas analysis is informative and
relevant. This reclassified pulmonary function status might be prognostically
predictive.
PMID- 24936310
TI - Successful reimplantation of the hypertrophied inferior mesenteric artery during
an open abdominal aortic aneurysm repair.
AB - Ischemic colitis is the most common form of an iatrogenic intestinal ischemia
following an aortic surgery. It can be transient and self-limiting but, when
severe, is associated with mortality even as high as 80%. Careful preoperative
assessment can help to anticipate the need for the inferior mesenteric artery
(IMA) reimplantation. Some patients lack the sufficient collateral blood supply
to the colon and can benefit from the IMA reimplantation, which not only reduces
the risk of postoperative colonic necrosis but also can be lifesaving. We report
a case of a successful reimplantation of the IMA based on the careful
preoperative planning. If unrecognized, this undoubtedly would lead to
postoperative colonic ischemia. Therefore, we feel it is important to share our
experience regarding the successful management of the presented case.
PMID- 24936311
TI - Successful thrombolysis using recombinant tissue plasminogen activator in cases
of severe pulmonary embolism with mobile thrombi in the right atrium.
AB - Hereby, we report two cases of acute pulmonary embolism with concomitant right
sided thrombus, which were successfully treated using recombinant tissue
plasminogen activator (rtPA). These patients had life-threatening acute right
ventricular failure, which dramatically improved within hours following
thrombolysis. These cases emphasize the clinical utility of rtPA for the
treatment of life-threatening pulmonary embolism.
PMID- 24936312
TI - Retrieval of embolized tip of port catheter from branch of right pulmonary artery
using a macro snare catheter.
AB - Rupture of the silicon port catheter is a relatively rare complication. Ruptured
part usually embolizes; therefore, removal of foreign body may be difficult.
These ports usually migrate to right-sided chambers, main pulmonary arteries, and
pulmonary subbranches. Different devices such as snares, basket catheters, and
ablation catheters are utilized for retrieval. Hereby, we report successful
extraction of an embolized 10-cm tip of a vascular access port using a macro
snare catheter.
PMID- 24936314
TI - Europe and ESTRO moving East. A story of betrayal and redemption-Candid
observations by a privileged witness.
AB - After briefly looking into the dramatic twist of history that caused Central- and
Eastern Europe to be separated from the West, the author observes the impact of
40 years of cold war and isolation on the state of radiotherapy (RT) in Central
Europe. From her privileged position as a staff member in charge of public
relations and society development at the European Society for Therapeutic
Radiology and Oncology (ESTRO), she witnesses and helps drive the "rapprochement"
between radiation oncology professionals from both sides of the former iron
curtain. Thanks to substantial support from target tailored EU projects, ESTRO
was in a position to give a powerful impulse to the re-integration of Central
European RT in the mainstream of European health care. The author describes from
her own and privileged perspective the excitement of discovering the rich
heritage of a shared common past and expresses her concern that in the dynamic
repositioning of Europe's point of gravity towards the East, the multiple but
still fragile links between Central- and West European radiotherapy, tied within
ESTRO, should not get dissolved in transition.
PMID- 24936313
TI - Opportunities for rehabilitation of patients with radiation fibrosis syndrome.
AB - This review discusses the pathophysiology, evaluation, and treatment of
neuromuscular, musculoskeletal, and functional disorders that can result as late
effects of radiation treatment. Although radiation therapy is often an effective
method of killing cancer cells, it can also damage nearby blood vessels that
nourish the skin, ligaments, tendons, muscles, nerves, bones and lungs. This can
result in a progressive condition called radiation fibrosis syndrome (RFS). It is
generally a late complication of radiotherapy which may manifest clinically years
after treatment. Radiation-induced damage can include "myelo-radiculo-plexo-neuro
myopathy," causing muscle weakness and dysfunction and contributing to
neuromuscular injury. RFS is a serious and lifelong disorder which, nevertheless,
may often be decremented when identified and rehabilitated early enough. This
medical treatment should be a complex procedure consisting of education, physical
therapy, occupational therapy, orthotics as well as medications.
PMID- 24936315
TI - Wax boluses and accuracy of EBT and RTQA radiochromic film detectors in
radiotherapy with the JINR Phasotron proton beam.
AB - AIM: To present the results obtained using radiochromic films EBT and RTQA 1010P
for the reconstruction the dose distributions for targets irradiated by proton
beam and modified by wax boluses. BACKGROUND: In Medico-Technical Complex at the
Joint Institute for Nuclear Research in Dubna implemented technology of wax
boluses. MATERIALS AND METHODS: Wax boluses are easier to make and they give
better dose distributions than boluses made from modeling clay previously used at
our center. We irradiated two imaginary targets, one shaped as a cylinder and the
other one as two cuboids. The evaluated calibration curve was used for
calculation of the dose distributions measured by the EBT and RTQA radiochromic
film. In both cases, the measured dose distributions were compared to the dose
distributions calculated by the treatment planning system (TPS). We also compared
dose distributions using three different conformity indices at a 95% isodose.
RESULTS: Better target coverage and better compliance of measurements
(semiconductor detectors and radiochromic films) with calculated doses was
obtained for cylindrical target than for cuboidal target. The 95% isodose covered
well the tumor for both target shapes, while for cuboidal target larger volume
around the target received therapeutic dose, due to the complicated target shape.
The use wax boluses provided to be effective tool in modifying proton beam to
achieve appropriate shape of isodose distribution. CONCLUSION: EBT film yielded
the best visual matching. Both EBT and RTQA films confirmed good conformity
between calculated and measured doses, thus confirming that wax boluses used to
modify the proton beam resulted in good dose distributions.
PMID- 24936316
TI - Evaluation of results of linac-based radiosurgery for brain metastases from
primary lung cancer.
AB - AIM: The purpose of our review was to evaluate results of radiosurgery for
patients with brain metastases from lung cancer. BACKGROUND: Lung cancer is the
leading cause of death from cancer and the most common source of brain
metastases. Radiosurgery allows the precise focal delivery of a high single
radiation dose to brain metastases and results in high rates of local control.
MATERIALS AND METHODS: 83 patients were treated between 2006 and 2008. We
evaluated local control and outcome after radiosurgery and identified prognostic
factors. RESULTS: Median survival in the whole group was 7.8 months from
radiosurgery and 11 months from diagnosis. Median survival in classes I, II and
III was 13.2, 8.2 and 2.2 months. For 94% of patients symptoms improved or
stabilised at the first follow-up visit and this status did not change during 7.1
months. According to the univariate analysis, factors associated with improved
survival included: RPA class 1 compared with RPA 2 and 3, RPA class 2 compared
with RPA 3, KPS > 70, control of the primary disease, radiosurgery performed more
than once, level of haemoglobin >7 mmol/1, absence of extracranial metastases,
volume of the biggest lesion <11 cm(3). The multivariate analysis confirmed a
significant influence on survival for the following factors: RPA class 1 as
compared with RPA 3, KPS > 70, absence of extracranial metastases, multiplicity
of radiosurgery. CONCLUSIONS: Stereotactic radiosurgery is a safe and effective
treatment. It proved to be effective and safe in older patients. Selection of
patients who are likely to benefit most should be based on prognostic factors.
KPS proved to be the most important prognostic factor. In the RPA III group
(patients with KPS < 70) survival time was similar to that achieved after
symptomatic medical management.
PMID- 24936317
TI - Monte Carlo characterizations mapping of the (gamma,n) and (n,gamma) photonuclear
reactions in the high energy X-ray radiation therapy.
AB - AIM: The aim of this work was to map the characteristics of (n,gamma) and
(gamma,n) reactions in a high energy photon radiation therapy. BACKGROUND:
Photoneutrons produced in the high energy X-Ray radiation therapy may damage
patients and staff. It is due to high RBE of the produced neutrons according to
their energy and isotropic emission. Characterization of the photoneutrons can
help us in appropriate shielding. MATERIALS AND METHODS: This study focused on
the photoneutron and capture gamma ray phenomena. Characteristics such as dose
value, fluence and spectra of both the neutrons and the by produced prompt gamma
ray were described. RESULTS AND DISCUSSION: Neutron and prompt gamma spectra in
different points showed the neutrons to be thermalized when increasing the
distance from the linac. Energy of the neutrons changed from about 0.6 MeV at the
isocentre to around 10(-08) MeV at the outer door position. Although the neutrons
were found as fast neutrons, their spectra showed they were thermal neutrons at
the outer door position. Additionally, it was seen that the energy of the gamma
rays is higher than the scattered X-ray energy. The energy of gamma rays was seen
to be up to 10 MeV while the linac photons had energy lower than 1 MeV. Neutron
source strength obtained in this work was in good agreement with the published
data, which may be a confirmation of our simulation accuracy. CONCLUSION: The
study showed that the Monte Carlo simulation can be applied in the radiotherapy
and industrial radiation works as a useful and precise estimator. We also
concluded that the dose from the prompt gamma ray at the outer door location is
higher than the scattered radiation from the linac and should be considered in
the shielding.
PMID- 24936319
TI - Biological effects and equivalent doses in radiotherapy: A software solution.
AB - BACKGROUND: The limits of TDF (time, dose, and fractionation) and linear
quadratic models have been known for a long time. Medical physicists and
physicians are required to provide fast and reliable interpretations regarding
delivered doses or any future prescriptions relating to treatment changes. AIM:
We, therefore, propose a calculation interface under the GNU license to be used
for equivalent doses, biological doses, and normal tumor complication probability
(Lyman model). MATERIALS AND METHODS: THE METHODOLOGY USED DRAWS FROM SEVERAL
SOURCES: the linear-quadratic-linear model of Astrahan, the repopulation effects
of Dale, and the prediction of multi-fractionated treatments of Thames. RESULTS
AND CONCLUSIONS: The results are obtained from an algorithm that minimizes an ad
hoc cost function, and then compared to an equivalent dose computed using
standard calculators in seven French radiotherapy centers.
PMID- 24936318
TI - Clinical management of secondary angiosarcoma after breast conservation therapy.
AB - AIM: The aim of this paper is to summarize the treatment outputs of secondary
angiosarcoma after breast conservation therapy at St. Eizabeth Cancer Centre,
Slovakia. BACKGROUND: Angiosarcoma of the breast is a rare but very aggressive
malignant tumor of the vascular endothelium, characterized by rapidly
proliferating and extensively infiltrating growth. Breast angiosarcoma may occur
de novo, or as a complication of radiation therapy, or chronic lymphedema
secondary to axillary lymph node dissection for mammary carcinoma. Radiotherapy
in the treatment of breast cancer is associated with an increased risk of
subsequent sarcoma. MATERIALS AND METHODS: Retrospective study of medical records
from the cancer databases was done in order to analyze the secondary breast
angiosarcoma. This disease is an iatrogenic condition that warrants close follow
up and judicial use of radiotherapy in breast conserving therapy. Therefore, it
is more prevalent in cases treated with radiotherapy, occurring especially in or
adjacent to the radiation field. Clinical histories and follow-up data of
identified patients after breast conservation therapy of invasive breast cancer
were reviewed. In addition, a comprehensive literature review on diagnosis and
treatment procedures was done in order to summarize state-of-the-art clinical
approach. RESULTS AND DISCUSSIONS: Three cases of secondary angiosarcoma after
breast conservation therapy (BCT) were identified among 4600 patients treated at
St. Elizabeth Cancer Institute during previous 16 years (1995-2011). Secondary
breast angiosarcoma was diagnosed in a median period of 11 years following
primary radiotherapy, median age at the time of diagnosis was 75 years. Surgical
treatment consisted of radical mastectomy. The first patient, a 56-year-old woman
received neoadjuvant chemotherapy (docetaxel + gemcitabin), second one (75 year)
was treated by radiotherapy (TD 26 Gy, 2 Gy per fraction), since chemotherapy was
not indicated. The last patient (80 year) got adjuvant chemotherapy (paclitaxel).
Average follow up of the patients was 31 months. As of 31 July 2012, our patients
were doing well without evidence of recurrent disease after treatment.
CONCLUSIONS: Angiosarcoma remains a difficult management problem with poor loco
regional and distal control. In our study, an overall incidence rate of secondary
breast angiosarcoma is 0.065%. Although the prognosis for this disease is poor
(typical survival period is 14.5-34 months with a 5-year survival rate of
approximately 15%), all the three patients treated at our institute are alive and
disease-free at the end of reported period. Finally, it is assumed that the use
of breast conserving therapy will increase the incidence of post-irradiation
angiosarcoma but the small difference in risk of subsequent sarcoma of the breast
cancer patients receiving radiotherapy does not suppress its benefit.
PMID- 24936320
TI - Tolerance of the vaginal vault to high-dose rate brachytherapy and concomitant
chemo-pelvic irradiation: Long-term perspective.
AB - AIM/BACKGROUND: We sought to determine the tolerance level and complication rates
of the vaginal vault to combined high-dose-rate intra-cavitary brachytherapy with
concomitant chemo-radiotherapy. PATIENTS AND METHODS: A retrospective review of
medical records of all the patients who received definitive chemo-radiotherapy
for cervical cancer between 1998 and 2002 was undertaken. The records were
reviewed for doses and for radiation-associated early and late sequelae of the
vagina, rectum and bladder. Cumulative biological effective dose was calculated
for two reference vaginal surface points. RESULTS: Fifty patients were included.
Average age at diagnosis was 54 years. Median follow-up was 59 months. There were
no recorded instances of acute grade IV toxicity. Maximal high-dose-rate vaginal
surface dose (upper central point) was 103 Gy, and maximal brachytherapy lateral
surface dose was 70 Gy. Maximal cumulative biological effective dose for the
lateral surface reference point was 465.5 Gy3, and the maximal cumulative
biological effective dose for the superior reference point was 878.6 Gy3. There
were no cases of vaginal necrosis or fistulas, and no cases of grade IV late
vaginal, rectal or bladder toxicity. No correlation was found between the maximal
vaginal surface dose and vaginal, rectal or bladder toxicity. CONCLUSIONS: The
maximal surface HDR brachytherapy dose of 103 Gy and the maximal cBED of 878.6
Gy3 were not associated with fistula or necrosis or other grade 3-4 vaginal
complications. Concomitant chemo-radiotherapy, including pelvic radiotherapy and
high-dose-rate intracavitary brachytherapy, is relatively safe for cervical
cancer patients.
PMID- 24936321
TI - Mucoepidermoid carcinoma of lung masquerading as urothelial carcinoma of bladder.
AB - BACKGROUND: Mucoepidermoid carcinoma (MEC) of the lung is a rare subtype of non
small cell lung cancer. There is no consensus regarding optimal management for
this disease. CASE REPORT: We present a case of MEC of the lung in a 75 year-old
female with a history of superficial urothelial carcinoma of the bladder. The
patient was found to have an asymptomatic lung mass. Initial biopsy suggested
metastatic recurrence of urothelial carcinoma and therefore, cisplatin and
gemcitabine chemotherapy was administered prior to surgical resection.
Pathological analysis of the resected specimen confirmed a diagnosis of stage
IIIA MEC with focal high-grade features including transitional cell-like areas.
Adjuvant radiotherapy was administered due to a positive microscopic resection
margin. No chemotherapy was given due to lack of supporting data. The patient
developed widespread metastatic disease 3 months following completion of
radiotherapy and died 1 month later. CONCLUSION: This case demonstrates the
possibility of dual pathology in cases where metastatic disease is suspected. The
use of small tissue samples may complicate diagnosis due to the heterogeneity of
malignant tumours.
PMID- 24936322
TI - Breast cancer local recurrence under the form of inflammatory carcinoma, treated
with concurrent radiation and chemotherapy, a case report.
AB - The authors present a case report of a patient with breast cancer diagnosed in
2005, treated with conservative surgery, adjuvant chemotherapy and radiotherapy,
followed by hormonal therapy until 2010, who relapsed under the form of
inflammatory breast cancer in 2011. After tumor progression detected during
primary systemic therapy, a concurrent radiation and radiosensitizing
chemotherapy were proposed. There was a significant clinical response to this
treatment, enabling curative chance with total mastectomy. The histological
examination of the breast and regional lymph nodes revealed a complete response,
since there was no evidence of residual tumor. There are few reports concerning
concurrent radiotherapy and chemotherapy in locally advanced breast cancer, but
it could be a suitable "loco regional rescue therapy" to further reduce tumor
progression and allow curative surgery. Study of this treatment strategy in
randomized clinical trials is warranted.
PMID- 24936323
TI - Total skin electron irradiation-The technique where the electron beams are still
irreplaceable.
PMID- 24936324
TI - Diagnostics in mycosis fungoides and Sezary syndrome.
AB - AIM: The aim of this paper was to present diagnostic methods helping in the
recognition of mycosis fungoides (MF) and Sezary syndrome (SS). BACKGROUND:
Mycosis fungoides is the most common form of primary cutaneous T-cell lymphomas.
It is characterized by a distinctive long-term course and malignant T-cell
proliferation. MF diagnosis is not easy, mainly due to the atypical clinical
presentation of the disease at an early stage. MATERIALS AND METHODS: Low
specific changes, which can be observed at the histopathological examination.
Initially, the skin lesions may resemble psoriasis, atopic dermatitis or chronic
eczema. Patients are qualified according to the available, and generally accepted
WHO-EORTC classification, based on a combination of clinical and
histopathological markers. From a clinical point of view, it is also important to
carry out the qualification according to the TNMB assessment, which allows to
specify the stage of the disease, and is helpful in the monitoring of the course
of disease and therapeutic effects. RESULTS: In this paper we try to present
currently available diagnostic methods. CONCLUSION: Diagnosis of MF and SS still
causes many problems due to less characteristic changes in the early stage of
disease and requires wide interdisciplinary knowledge.
PMID- 24936326
TI - Literature review of clinical results of total skin electron irradiation (TSEBT)
of mycosis fungoides in adults.
AB - BACKGROUND: Mycosis fungoides (MF) is an extranodal, indolent non-Hodgkin
lymphoma of T cell origin. Even with the establishment of MF staging, the initial
treatment strategy often remains unclear. AIM: The aim of this study was to
review the clinical results of total skin electron beam therapy (TSEBT) for MF in
adults published in English language scientific journals searched in
Pubmed/Medline database until December 2012. RESULTS: MF is very sensitive to
radiation therapy (RT) delivered either by photons or by electrons. In limited
patches and/or plaques local electron beam irradiation results in good outcomes
besides the fact of not being superior to other modalities. For extensive patches
and/or plaques data suggest that TSEBT shows superior response rates. The
cutaneous disease presentation is favorably managed with radiotherapy due to its
ability to treat the full thickness of deeply infiltrated skin. For generalized
erythroderma presentation, TSEBT seems to be an appropriate initial therapy. For
advanced disease, palliation, or recurrence after the first radiotherapy
treatment course, TSEBT may still be beneficial, with acceptable toxicity.
Recommended dose is 30-36 Gy delivered in 6-10 weeks. CONCLUSION: TSEBT can be
used to treat any stage of MF. It also presents good tumor response with symptoms
of relief and a palliative effect on MF, either after previous irradiation or
failure of other treatment strategies.
PMID- 24936325
TI - The current management of mycosis fungoides and Sezary syndrome and the role of
radiotherapy: Principles and indications.
AB - AIM: To evaluate the current treatment of mycosis fungoides (MF) and Sezary
syndrome (SS) focusing on the role of radiotherapy (RT), its principles and
indications, and the perspectives of the novel irradiation technologies.
BACKGROUND: MF and SS are rare lymphoproliferative diseases whose incidence is
increasing. For a long time RT has been used as a single modality or in
integrated treatment programs for these diseases. MATERIALS AND METHODS: The
latest systematic reviews, primary studies and new diagnostic and treatment
guidelines on MF and SS were analyzed. Clinical outcomes together with the
technical aspects and the role of RT were also evaluated. RESULTS: New data are
available on pathogenesis, diagnostic criteria, classification and staging
procedures for MF and SS and several local and systemic therapies are proposed.
Localized RT can cure "minimal stage" MF while total skin electron beam
irradiation (TSEI) may cure initial-stage disease and may offer important symptom
relief (itch, erythroderma) in a more advanced setting. Despite its efficacy, RT
is not largely used, mainly because of some technical difficulties but new RT
technologies may be proposed to treat large skin surfaces. CONCLUSIONS: New
treatment programs offer good results, with median survival of more than 12 years
in early-stage MF, but the median survival of 2.5 years or less in advanced
stages is still a challenge. RT remains an option for all stages with a good
cost/effectiveness ratio in a curative or palliative setting. New RT technologies
can overcome some technical problems of treating large skin surfaces.
PMID- 24936327
TI - Clinical results of the total skin electron irradiation of the mycosis fungoides
in adults. Conventional fractionation and low dose schemes.
AB - BACKGROUND: Mycosis fungoides (MF) is a rare skin condition, effectively treated
by irradiation. Since 1951, different methods of total skin irradiation have been
developed. Although dose-response effect has been demonstrated in many
publications, controversies about low dose treatment still exist. AIM: The
analysis of results of the total skin electron irradiation (TSEI), especially low
dose TSEI in comparison with standard dose treatment is the subject of this
review. Also, acute and late side effects of radiotherapy in MF are discussed.
MATERIALS AND METHODS: Medline search and analysis of studies published between
1995 and 2012, containing key words: mycosis fungoides, standard dose TSEI, low
dose TSEI, total skin electron beam therapy (TSEBT). RESULTS: Detailed analysis
of relevant studies demonstrated that standard dose radiotherapy 30-36 Gy is the
most effective treatment used in clinical practice. Objective response rate (ORR)
is high, especially for less advanced stages of disease. Complete response rate
(CR), although slightly lower, is still relatively high. For more advanced MF,
TSEI serves as a very good method of palliative treatment and relief of symptoms,
like pruritus, pain or desquamation. There is no consensus regarding low dose
TSEI; the method is widely accepted as a palliative treatment or in case of
reirradiation. CONCLUSIONS: Standard dose TSEI is an effective method of MF
treatment for radical and palliative treatment, producing high rate of ORR and
reasonably long time to progression (TTP). Acute and late side effect of
treatment are mostly mild and easy to manage. Low dose TSEI is still in the phase
of clinical studies.
PMID- 24936329
TI - Total skin electron beam (TSEB) therapy in pediatric patients: A review of the
literature.
AB - AIM: A literature review was undertaken to identify current TSEB therapy in
pediatric patients. BACKGROUND: Total skin electron beam (TSEB) therapy is a
method of irradiation with low energy electron beam dedicated to patients who
have superficial skin lesions all over their body. Such skin malignancies are
sparse among adults and even more uncommon with pediatric population. MATERIALS
AND METHODS: In this study, all reported case reports were summed up with a
special emphasis on techniques used, doses prescribed and special shielding of
critical organs. Moreover, potential problems that were encountered during TSEB
irradiation of very young patients were depicted. RESULTS: The literature has
described only seven case reports of children undergoing TSEB therapy. Most of
them were infants; however, two adolescents were also treated. For all infants,
general anesthesia was provided to allow safe and accurate TSEB irradiation. The
prescribed dose varied from 16 Gy to 28 Gy depending on the irradiation schedule
and patient condition. Usually, boost fields were applied to the scalp and
perineum. Typical shields for fingernails, toenails and lenses were usually used.
CONCLUSION: This paper revealed that TSEB therapy may be considered as a
palliative treatment for pediatric patients with leukemia cutis. However, its
role is still unclear and should be further investigated.
PMID- 24936328
TI - Advantages and implications of high dose rate (HDR) total skin electron
irradiation (TSEI) for the management of Mycosis Fungoides. Indian experience.
AB - BACKGROUND: Mycosis Fungoides (MF) is an indolent lymphoproliferative disorder
affecting dermis caused by abnormal proliferation of CD4+ T-cells. Radiation
therapy is the most effective modality of treatment for MF which offers cure in
limited stage disease and desirable palliation in advance stage disease. Treating
entire skin having many curved surfaces and folds with radiation is the real
challenge for the radiation oncologist. Many techniques, dose schedules and
modifications in total skin electron irradiation (TSEI) have been tried since
1950s. TSEI treatment is a very time consuming, inconvenient and physically
challenging to both patient as well as oncologist. AIM: At our center TSEI was
performed since 1983 with conventional linear accelerator where the treatment
time was prolonged beyond two hours, which was very difficult or the patient,
oncologist, technical officer and eating away the machine time hampering the
treatment of other patients. From 1998 we shifted to high dose rate (HDR) mode,
in order to bring down the treatment time of a single patient every day from two
and half hour to 15 min. The reduction of treatment time increases patient
compliance and at the same time saved machine time. MATERIALS AND METHODS:
Between 1998 and 2003, eleven pathological diagnosed MF patients were treated
using HDR TSEI. All the patients were male between 40 and 70 years of age, who
had the history of having the disease for 7-22 months. Four patients had T2 and
seven patients had T3 stage disease with more than 90% skin surface involvement.
TSEI was performed with 4 MeV electrons with a daily fraction size of 120 cGy to
a total dose of 36 Gy. At the end of 36 Gy, boost dose of 10 Gy was delivered to
self shielding regions like sole, scalp and perineum. Considering the treatment
related toxicities and consequent treatment interruptions, in the first seven
patients, the last four patients were treated using similar HDR TSEI technique
with modified treatment schedule, where the treatment was given on an alternate
day basis following 2nd week of initiation of treatment. RESULTS: The patients
were followed over a period of 144 months with a median of 72 months. Nine
patients are alive without any evidence of disease, one patient relapsed and one
died due to progression of disease. The most common radiation related morbidities
are erythema, skin blisters, various degree of desquamations, swelling of joints
(specially small joints) etc. which are controlled by treatment interruptions and
conservative measures. By modifying the treatment schedule, the incidence of
toxicity as well as treatment interruptions were brought down. CONCLUSIONS: We
can conclude that HDR-TSEI is an excellent and safe therapeutic modality for the
patients with MF both curative as well as palliative without any added toxicity
profile, provided patient positioning is done properly.
PMID- 24936330
TI - First treatment of mycosis fungoides by total skin electron beam (TSEB) therapy
in Greece.
AB - BACKGROUND: Mycosis fungoides (MF), the most common subtype of cutaneous T-Cell
Lymphoma (CTCL), is a rare chronic skin neoplasia. Total skin electron
irradiation has been employed along with a variety of other topical or systemic
treatments for MF management. AIM: To report the first case treated by TSEB
irradiation protocol in Greece. MATERIALS AND METHODS: A fractionated 36 Gy total
skin electron beam (TSEB) therapy was prescribed to a 65-years-old male patient
with mycosis fungoides (MF), stage IIB, refractory to several treatments during a
20-year period. Dose uniform delivery was monitored by thermo-luminescence
dosimetry. RESULTS AND DISCUSSION: The homogeneous skin dose distribution
resulted in a complete clinical response. Limited, irradiation-oriented, side
effects appeared. CONCLUSIONS: The first TSEB irradiation prescription in Greek
medical chronicles was proved effective in this case of tumor stage MF (T3-IIB),
which had been refractory to several single or combination treatments.
PMID- 24936331
TI - Institutional experience with a rotational total skin electron irradiation
(RTSEI) technique-A three decade review (1981-2012).
AB - Total skin electron irradiation (TSEI) for patients with cutaneous lymphomas is
technically challenging, and numerous approaches have been developed to overcome
the many field matching problems associated with such a large and complex
treatment volume. Since 1981 we have delivered TSEI using a rotational total skin
electron irradiation (RTSEI) technique in conjunction with patch, treat and boost
fields in order to provide complete skin and dose coverage. Initially we used a 6
MeV electron beam at an extended source-skin distance (SSD) on a modified linear
accelerator. More recently we began using a high dose rate electron mode on a
commercially available linear accelerator. The RTSEI technique allows the
delivery of a seamless surface dose to the majority of the patient's skin surface
in a single treatment. In this review paper we present our three-decade
experience with the technical development, dosimetry, treatment delivery and
clinical outcomes of our RTSEI technique.
PMID- 24936333
TI - Review of the results of the in vivo dosimetry during total skin electron beam
therapy.
AB - This work reviews results of in vivo dosimetry (IVD) for total skin electron beam
(TSEB) therapy, focusing on new methods, data emerged within 2012. All quoted
data are based on a careful review of the literature reporting IVD results for
patients treated by means of TSEB therapy. Many of the reviewed papers refer
mainly to now old studies and/or old guidelines and recommendations (by IAEA,
AAPM and EORTC), because (due to intrinsic rareness of TSEB-treated pathologies)
only a limited number of works and reports with a large set of numerical data and
proper statistical analysis is up-to-day available in scientific literature.
Nonetheless, a general summary of the results obtained by the now numerous IVD
techniques available is reported; innovative devices and methods, together with
areas of possible further and possibly multicenter investigations for TSEB
therapies are highlighted.
PMID- 24936332
TI - Technical and dosimetric aspects of the total skin electron beam technique
implemented at Heidelberg University Hospital.
AB - AIM: To give a technical description and present the dosimetric proporties of the
total skin electron beam technique implemented at Heidelberg University Hospital.
BACKGROUND: Techniques used for total skin electron beam irradiation were
developed as early as in the 1960s to 1980s and have, since then, hardly changed.
However, new measurements of the established methods allow deeper insight into
the dose distributions and reasons for possible deviations from uniform dose.
MATERIALS AND METHODS: The TSEI technique applied at Heidelberg University
Hospital since 1992 consists of irradiating the patient with a superposition of
two beams of low energy electrons at gantry angles of 72 degrees and 108 degrees
while he is rotating in a standing position on a turntable at 370 cm distance
from the accelerator. The energy of the electron beam is degraded to 3.9 MeV by
passing through an attenuator of 6 mm of Perspex. A recent re-measurement of the
dose distribution is presented using modern dosimetry tools like a linear array
of ionization chambers in combination with established methods like
thermoluminescent detectors and film dosimetry. RESULTS: The measurements show a
strong dependence of dose uniformity on details of the setup like gantry angles.
CONCLUSIONS: Dose uniformity of -4/+8% to the majority of the patient's skin can
be achieved, however, for the described rotational technique overdoses up to more
than 20% in small regions seem unavoidable.
PMID- 24936334
TI - Psychosocial and legal aspects of oncological treatment in patients with
cognitive impairment.
AB - With society getting older and affected by many diseases, more and more people
suffer from severe cognitive disorders. As practice shows, the legal situations
of such people is often problematic. This is due to a number of factors, such as
short time since the deterioration of patient's condition, initial symptoms
ignored, social prejudice towards the idea of incapacitation or taking decisions
for a patient, complicated procedures and, sometimes, insufficient knowledge of
legal regulations. Cognitive disorders also occur in patients treated for cancer.
To be effective, oncological treatment needs to be started as early as possible.
This, however, does not meet the criteria of sudden threat to life. The present
article relates to both the psychosocial and legal aspects of care of people
suffering from intense disorders of memory, attention, problem solving, executive
functions, and other. Surely, physicians know how to handle patients with the
above dysfunctions. However, legal procedures aimed to protect patients' rights
are often unclear and time consuming. In practice, this often amounts to a
dilemma whether to treat or follow the applicable law. Certainly, solutions in
this regard should be clearer and better adapted to the needs arising from
specific treatment needs of particular groups of patients.
PMID- 24936336
TI - Radiation therapy in the last month of life.
AB - AIM: We sought to survey a large, multi-center patient sample to better
characterize/quantify RT utilization at the end of life. BACKGROUND: Few
objective data exist for radiation therapy (RT) delivery at end of life (EOL).
MATERIALS AND METHODS: Data were retrieved for all patients receiving RT in
calendar year 2010 in the Department of Radiation Oncology at Indiana University
(IU) and Howard University (HU) hospitals. Specific attention was made of the
group of patients receiving RT in the last 30 days of life. RESULTS: A total of
852 patients received all or part of their RT during 2010 (HU: 139, IU: 713). At
time of analysis in early 2012, 179 patients had died (21%). Fifty-four patients
(6.3% of total; 30% of expired patients) died within 30 days of receiving their
last treatment. Twenty patients (2.3% of total; 11.2% of expired patients)
received RT within their last week of life. For both sites, the median time until
death from completion of therapy was 12.5 days (range 2-30 days). CONCLUSIONS:
Radiation in the last month of life is likely to provide minimal palliation or
survival benefit. This, coupled with the financial implications, time investment,
and physical costs, suggests that physicians and patients should more strongly
consider hospice, and minimize duration of palliative RT courses as far as
possible. As with chemotherapy, RT utilization at EOL should be considered for
collection as an overuse metric.
PMID- 24936335
TI - Clinicopathological features and prognosis of triple negative breast cancer in
Kuwait: A comparative/perspective analysis.
AB - AIM: The aim of this study was to determine the incidence of TNBC in Kuwait, to
analyze the clinicopathologic features and prognosis of this type of breast
cancer, and compare it with reports from other regions of the world. BACKGROUND:
Triple negative breast cancer (TNBC) is defined as a subtype that is negative for
estrogen receptor, progesterone receptor, and human epidermal growth factor
receptor 2 (HER2). There is a growing evidence of the heterogeneity of such
entity on the molecular level that may cause discrete outcomes. METHODS: We
analyzed the clinicopathologic features of 363 TNBC cases which were diagnosed in
Kuwait from July 1999 to June 2009. The disease-free survival (DFS) and overall
survival (OS) were analyzed by Kaplan-Meier method. Comparison was done with
reports from USA, Europe, Middle and Far East. RESULTS: Among 2986 patients
diagnosed with breast cancer in Kuwait, 363 patients (12.2%) were TNBC. The
median age was 48 years, 57.2% had lymph nodes (LN) metastasis, 56.9% were of
grade III tumor and 41.9% had stage II disease. 81% developed recurrences and 75%
of deaths occurred by 2.5 years after treatment. There is marked variation of
clinicopathologic features according to country of patients' cohort. CONCLUSION:
The incidence of TNBC in our study is similar to other studies. TNBC patients
showed an early major recurrence surge peaking at approximately year 2.5.
Regional variation of clinicopathologic features indicates a need for molecular
studies to define underlying molecular features and its impact on survival.
PMID- 24936337
TI - An institutional experience of quality assurance of a treatment planning system
on photon beam.
AB - AIM: The purpose of the present study is to show the application of the IAEA TRS
430 QA procedures of EclipseTMv7.5 TPS for photon energies. In addition, the
trends of the deviations found in the conducted tests were determined.
BACKGROUND: In the past, the lack of complete TPS QA procedures led to some
serious accidents. So, QA in the radiotherapy treatment planning process is
essential for determination of accuracy in the radiotherapy process and avoidance
of treatment errors. MATERIALS AND METHODS: The calculations of TPS and
measurements of irradiations of the treatment device were compared in the study.
As a result, the local dose deviation values (delta 1: central beam axis, delta
2: penumbra and build up region, delta 3: inside field, delta 4: outside beam
edges, delta 50-90: beam fringe, RW50: radiological width) and their confidence
limit values (including systematic and random errors) were obtained. RESULTS: The
confidence limit values of delta 4 were detected to increase with expanding field
size. The values of delta 1 and delta 3 of hard wedge were larger than open
fields. The values of delta 2 and delta 50-90 of the inhomogeneity effect test
were larger, especially than other tests of this study. The average deviation was
showed to increase with the rise of the wedge angle. The values of delta 3 and
delta 4 of lung irradiation were outside tolerance. CONCLUSIONS: The QA of TPS
was done and it was found that there were no reservations in its use in patient
treatment. The trend of the deviations is shown.
PMID- 24936338
TI - Modeling the time dependent biodistribution of Samarium-153 ethylenediamine
tetramethylene phosphonate using compartmental analysis.
AB - AIM: The main purpose of this work was to develop a pharmacokinetic model for the
bone pain palliation agent Samarium-153 ethylenediamine tetramethylene
phosphonate ([(153)Sm]-EDTMP) in normal rats to analyze the behavior of the
complex. BACKGROUND: The use of compartmental analysis allows a mathematical
separation of tissues and organs to determine the concentration of activity in
each fraction of interest. Biodistribution studies are expensive and difficult to
carry out in humans, but such data can be obtained easily in rodents. MATERIALS
AND METHODS: We have developed a physiologically based pharmacokinetic model for
scaling up activity concentration in each organ versus time. The mathematical
model uses physiological parameters including organ volumes, blood flow rates,
and vascular permabilities; the compartments (organs) are connected anatomically.
This allows the use of scale-up techniques to predict new complex distribution in
humans in each organ. RESULTS: The concentration of the radiopharmaceutical in
various organs was measured at different times. The temporal behavior of
biodistribution of (153)Sm-EDTMP was modeled and drawn as a function of time.
CONCLUSIONS: The variation of pharmaceutical concentration in all organs is
described with summation of 6-10 exponential terms and it approximates our
experimental data with precision better than 2%.
PMID- 24936339
TI - Primary angiosarcoma of the breast complicated by the syndrome of disseminated
intravascular coagulation (DIC): Case report and literature review.
AB - Primary angiosarcoma of the breast (PAB) accounts for 0.04% of all breast
malignant tumors. It affects young women usually at third or fourth decades of
life. PAB clinically manifests as a painless, movable mass with sharp limits. A
bluish red discoloration of the overlying skin is often observed. Enlargement of
axillary lymph nodes generally does not occur. Angiosarcoma of the breast has a
very poor prognosis due to the tendency to metastasize haematogenously and high
frequency of local recurrence. Mastectomy and chemotherapy are preferable
treatment choices. This paper presents a case of primary angiosarcoma of the
breast with a syndrome of disseminated intravascular coagulation (DIC).
PMID- 24936341
TI - Comparative analysis of image guidance in two institutions for prostate cancer
patients.
AB - AIM/BACKGROUND: The analysis of systematic and random errors obtained from the
pooled data on inter-fraction prostate motion during radiation therapy in two
institutions. MATERIALS AND METHODS: Data of 6085 observations for 216 prostate
cancer patients treated on tomotherapy units in two institutions of position
correction shifts obtained by co-registration of planning and daily CT studies
were investigated. Three independent variables: patient position (supine or
prone), target (prostate or prostate bed), and imaging mode (normal or coarse)
were analyzed. Systematic and random errors were evaluated and used to calculate
the margins for different options of referencing based on the position
corrections observed with one, three, or five imaging sessions. RESULTS:
Statistical analysis showed that only the difference between normal and coarse
modes of imaging was significant, which allowed to merge the supine and prone
position sub-groups as well as the prostate and prostate bed patients. In the
normal and coarse imaging groups, the margins calculated using systematic and
random errors in the medio-lateral and cranio-caudal directions (5.5 mm and 4.5
mm, respectively) were similar, but significantly different (5.3 mm for the
normal mode and 7.1 mm for the coarse mode) in the anterio-posterior direction.
The reference scheme based on the first three fractions (R3) was found to be the
optimal one. CONCLUSIONS: The R3 reference scheme effectively reduced systematic
and random errors. Larger margins in the anterio-posterior direction should be
used during prostate treatment on the tomotherapy unit, as coarse imaging mode is
chosen in order to reduce imaging time and dose.
PMID- 24936340
TI - Breast cancer: Actual methods of treatment and future trends.
AB - The recent ten to twenty years have seen a substantial progress in the diagnosis
and treatment of breast cancer. A rapid development of various curative options
has led to the improvement of treatment outcomes, while paying more and more
attention to the aspects of quality of life and cosmetic effect. In our
publication, we wish to outline certain trends in the development of modern
treatment of breast cancer. Among topics discussed are new forms of molecular
diagnostics, new approach to the idea of sentinel node biopsy, as well as new
techniques for delivery of medical procedures, the increasing use of nomograms,
progress in the techniques of breast conservative treatment, modern approach to
occult breast lesions, the increasing use of neoadjuvant treatment and
intraoperative radiotherapy.
PMID- 24936343
TI - Anti-Allergic Effects of Kakrol (Momordica dioica Roxb.) Flesh Extract.
AB - We examined the inhibitory effect of the extract of kakrol extracted by 3 types
of solvent (water, 50% and 100% ethanol) on histamine release in human basophilic
KU812 cells. The water extract of kakrol flesh showed the strongest inhibitory
effect on histamine release as compared with the other extracts. Therefore, we
evaluated whether water extract of kakrol flesh had a suppressive effect on
development of atopic dermatitis-like lesions in picryl chloride-treated NC/Nga
mice. The dietary kakrol flesh water extract alleviated the development of skin
lesions in ears accompanied by lower IgE levels and inflammatory cytokines levels
in serum. These results indicate that the water extract of kakrol flesh might
have therapeutic potential for allergic responses in vitro and in vivo.
PMID- 24936342
TI - Extracorporeal life support for patients with acute respiratory distress
syndrome: report of a Consensus Conference.
AB - The influenza H1N1 epidemics in 2009 led a substantial number of people to
develop severe acute respiratory distress syndrome and refractory hypoxemia. In
these patients, extracorporeal membrane oxygenation was used as rescue
oxygenation therapy. Several randomized clinical trials and observational studies
suggested that extracorporeal membrane oxygenation associated with protective
mechanical ventilation could improve outcome, but its efficacy remains uncertain.
Organized by the Societe de Reanimation de Langue Francaise (SRLF) in conjunction
with the Societe Francaise d'Anesthesie et de Reanimation (SFAR), the Societe de
Pneumologie de Langue Francaise (SPLF), the Groupe Francophone de Reanimation et
d'Urgences Pediatriques (GFRUP), the Societe Francaise de Perfusion (SOFRAPERF),
the Societe Francaise de Chirurgie Thoracique et Cardiovasculaire (SFCTV) et the
Sociedad Espanola de Medecina Intensiva Critica y Unidades Coronarias (SEMICYUC),
a Consensus Conference was held in December 2013 and a jury of 13 members wrote
65 recommendations to answer the five following questions regarding the place of
extracorporeal life support for patients with acute respiratory distress
syndrome: 1) What are the available techniques?; 2) Which patients could benefit
from extracorporeal life support?; 3) How to perform extracorporeal life
support?; 4) How and when to stop extracorporeal life support?; 5) Which
organization should be recommended? To write the recommendations, evidence-based
medicine (GRADE method), expert panel opinions, and shared decisions taken by all
the thirteen members of the jury of the Consensus Conference were taken into
account.
PMID- 24936344
TI - Identification of Immunopotentiating Lactic Acid Bacteria that Induce Antibody
Production by in vitro Stimulated Human Peripheral Blood Mononuclear Cells.
AB - L-leucyl-L-leucine methyl ester (LLME) is known to remove lysosome-rich cells
from human peripheral blood mononuclear cells (PBMCs). To evaluate the
immunopotentiating ability of lactic acid bacteria (LAB), we adopted the in vitro
stimulation protocol of LLME-treated PBMCs as a model assay system and monitored
the level of antibody produced by stimulated PBMCs. The results indicated that
several LAB strains have immunopotentiating ability against PBMCs, as evidenced
by the enhanced antibody production and increased number of antigen-specific B
cells. Next, we identified T cells as the direct target cells of the
immunopotentiating LAB strain L32, suggesting that L32 induced antibody
production by PBMCs through T-cell activation. Finally, we tested the
immunopotentiating ability of ligands for Toll-like receptor 2 (TLR2), which is
known to mediate the LAB signal, and observed that both L32 and one of the TLR2
ligands, LTA-BS, induced antigen-specific antibody production by in vitro
stimulated PBMC. This suggests that L32 and LTA-BS can be used as an adjuvant for
stimulating immune reaction in PBMCs.
PMID- 24936345
TI - Technological advances in bifidobacterial molecular genetics: application to
functional genomics and medical treatments.
AB - Bifidobacteria are well known as beneficial intestinal bacteria that exert health
promoting effects in humans. In addition to physiological and immunological
investigations, molecular genetic technologies have been developed and have
recently started to be applied to clarify the molecular bases of host
Bifidobacterium interactions. These technologies include transformation
technologies and Escherichia coli-Bifidobacterium shuttle vectors that enable
heterologous gene expression. In this context, a plasmid artificial modification
method that protects the introduced plasmid from the restriction system in host
bifidobacteria has recently been developed to increase transformation efficiency.
On the other hand, targeted gene inactivation systems, which are vital for
functional genomics, seemed far from being practically applicable in
bifidobacteria. However, remarkable progress in this technology has recently been
achieved, enabling functional genomics in bifidobacteria. Integrated use of these
molecular genetic technologies with omics-based analyses will surely boost
characterization of the molecular basis underlying beneficial effects of
bifidobacteria. Applications of recombinant bifidobacteria to medical treatments
have also progressed.
PMID- 24936346
TI - Complexing of Green Tea Catechins with Food Constituents and Degradation of the
Complexes by Lactobacillus plantarum.
AB - Complexing of green tea catechins with food constituents and their hydrolysis by
tannase-producing Lactobacillus plantarum strains, were investigated. Our
observations indicated that 1) epigallocatechin gallate (EGCg) and other catechin
galloyl esters bound with food ingredients (i.e., proteins) to form a complex
that is likely to be unabsorbable through the intestinal wall, whereas most
catechins not esterified with gallic acid (GA) remain in free form, not
complexing with food ingredients; 2) tannase activity of L. plantarum is strain
dependent, possibly grouped into those with high tannase activity hydrolyzing
EGCg to epigallocatechin and GA and those with the low activity; and 3) L.
plantarum strains with high tannase activity are capable of hydrolyzing not only
intact EGCg but also EGCg and other catechin galloyl esters complexed with
dietary proteins to free non-galloyl ester catechins and GA. The evidence
suggests that L. plantarum with high tannase activity, if it colonizes the human
intestine, would release free non-galloyl-ester catechins and GA that are readily
absorbed through the human intestinal epithelia from the complexes, thereby
ensuring maximum delivery of the bioactive polyphenols of green tea to the host.
PMID- 24936348
TI - Effect of Lacto-N-biose I on the Antigen-specific Immune Responses of
Splenocytes.
AB - We examined the effect of lacto-N-biose I (LNB) on Antigen (Ag)-specific
responses of immune cells. LNB exposure in vitro suppressed Ag-specific
Interleukin (IL)-4 secretion of mouse splenocytes significantly. However, IL-4
secretion from CD4(+) T cells stimulated with anti-CD3epsilon did not changed
significantly with LNB exposure. Additionally, Ag-specific Th1 cytokines did not
change. Therefore LNB might suppress Ag-specific IL-4 through modification of Ag
presenting cells (APCs) in a manner independent of Th1-type immune development.
PMID- 24936347
TI - Evaluation of the effects of dietary organic germanium, ge-132, and raffinose
supplementation on caecal flora in rats.
AB - Poly-trans-[(2-carboxyethyl) germasesquioxane] (Ge-132) is the most common
organic germanium compound. The ingestion of Ge-132 promotes bile secretion. We
assessed the rat caecal characteristics after the administration of Ge-132 and
raffinose, a prebiotic oligosaccharide, because both Ge-132 and some prebiotics
can change the fecal color to yellow. We also compared the changes in the caecal
flora caused by the two compounds. In addition, we evaluated the simultaneous
administration of Ge-132 and raffinose and their effects on beta-glucuronidase
activity, which is known to be a factor related to colon cancer. Male Wistar rats
(three weeks old) were given one of the following diets: 1) a control diet
(control group), 2) a diet containing 0.05% Ge-132 (Ge-132 group), 3) a diet
containing 5% raffinose (RAF group) or 4) a diet containing 0.05% Ge-132 + 5%
raffinose (GeRAF group). The Bifidobacterium, Lactobacillus and total bacteria
counts were significantly increased by the dietary raffinose, and Ge-132 did not
suppress this increase. The raffinose intake increased caecal acetic acid
production significantly. The activity of beta-glucuronidase in the caecal
contents was increased by dietary Ge-132, whereas dietary raffinose decreased the
beta-glucuronidase activity significantly. These results indicate that the
simultaneous intake of dietary raffinose and Ge-132 does not inhibit the effects
of either compound on intestinal fermentation and bile secretion. Additionally,
the simultaneous intake of both raffinose and Ge-132 could abrogate the increase
in beta-glucuronidase activity induced by Ge-132 alone.
PMID- 24936349
TI - Reduced Expression of Polymeric Immunoglobulin Receptors in the Intestine of
Young Rats Fed a Fiber-free Diet.
AB - In this study, we investigated the influence of a fiber-free diet on the
intestinal secretory immune system in young animals. Four-week-old rats were fed
either a purified diet containing sucrose as the only carbohydrate source (fiber(
) diet) or a diet supplemented with 15% natural crude fiber from sugar beets
(fiber(+) diet). After 14 days of feeding, we measured total IgA content in 24-hr
fecal samples and in intestinal tissues and the expression of intestinal
polymeric immunoglobulin receptors (pIgRs), which are essential for IgA
secretion. The excretion of total IgA in the feces was significantly lower in
rats fed the fiber(-) diet than in those fed the fiber(+) diet (27% vs. 100%; p <
0.05). However, the total IgA content in the intestinal tissue extracts did not
differ between the groups. The pIgR signal intensities observed by
immunohistochemistry were somewhat lower in the colon of the rats fed the fiber(
) diet. Western blot analysis showed that pIgR protein expression in the distal
colon of rats fed the fiber(-) diet was significantly lower than that in rats fed
the fiber(+) diet (38% vs. 100%, p < 0.05). Conversely, colonic pIgR mRNA
expression did not differ between the groups. Thus, we conclude that a fiber-free
diet decreases colonic pIgR protein expression by a posttranscriptional
mechanism, resulting in decreased luminal secretory immune system activity and
thus, suboptimal protection of the colonic mucosa.
PMID- 24936350
TI - Effect of Dietary l-arabinose on the Intestinal Microbiota and Metabolism of
Dietary Daidzein in Adult Mice.
AB - This study examined the effects of L-arabinose on mouse intestinal microbiota and
urinary isoflavonoids. Male mice were randomly divided into two groups: those fed
a 0.05% daidzein-2.5% L-arabinose diet (AR group) and those fed a 0.05% daidzein
control diet (CO group) for 28 days. The amounts of daidzein detected in urine
were significantly lower in the AR group than in the CO group. The ratio of
equol/daidzein was significantly higher in the AR group (p<0.01) than in the CO
group. The composition of caecal flora differed between the AR and CO groups. The
occupation ratios of Prevotella and Lactobacillales were significantly lower in
the AR group. This study suggests that dietary L-arabinose has the potential to
affect the metabolism of equol from daidzein by altering the metabolic activity
of intestinal microbiota.
PMID- 24936351
TI - Cell Wall Polysaccharides of Candida albicans Induce Mast Cell Degranulation in
the Gut.
AB - We investigated Candida albicans-induced mast cell degranulation in vitro and in
vivo. Cell wall fraction but not culture supernatant and cell membrane fraction
prepared from hyphally grown C. albicans induced beta-hexosaminidase release in
RBL-2H3 cells. Cell wall mannan and soluble beta-glucan fractions also induced
beta-hexosaminidase release. Histological examination of mouse forestomach showed
that C. albicans gut colonization induces mast cell degranulation. However,
intragastric administration of cell wall fraction failed to induce mast cell
degranulation. We propose that cell wall polysaccharides are responsible for mast
cell degranulation in the C. albicans-colonized gut.
PMID- 24936352
TI - Can Colonoscopy Aspirates be a Substitute for Fecal Samples in Analyses of the
Intestinal Microbiota?
AB - There is a growing interest in the study of the human gut microbiota, as
correlations between changes in bacterial profiles and diseases are increasingly
discovered. Studies in this field generally use fecal samples, but it is often
easier to obtain colon content aspirates during colonoscopy. This study used
automated ribosomal internal spacer analysis (ARISA) to examine the extent to
which the microbiota of colon aspirate samples obtained after bowel cleansing can
reflect interindividual differences and serve as a proxy for fecal samples. Pre
bowel preparation fecal samples as well as colonoscopy aspirate samples from the
cecum and rectum were obtained from 19 subjects. DNA was extracted from all
samples, and comparative analysis was performed, including analysis of similarity
(ANOSIM) and nonmetric multidimensional scaling. ANOSIM confirmed that samples
from the same individual were well separated from samples from different
individuals. Significantly larger differences were found between samples from
different individuals than between samples of the same individual (R = 0.7605, p
< 0.0001). These findings show that post-bowel preparation aspirates maintain a
strong individual signature. Colonoscopy aspirates can therefore serve as a
substitute for fecal samples in studies comparing the microbiota of different
clinical study groups, especially when fecal samples are unavailable.
PMID- 24936353
TI - Gut Colonization by Candida albicans Inhibits the Induction of Humoral Immune
Tolerance to Dietary Antigen in BALB/c Mice.
AB - We previously observed that gut colonization by Candida albicans promoted serum
antibody response to orally administered ovalbumin in mice. We therefore
postulated that C. albicans affects oral tolerance induction. The present study
tested this idea. BALB/c mice were intragastrically administered with either C.
albicans (1 * 10(7)) or vehicle, and the colonization was confirmed by weekly
fecal cultures. Mice were further divided into two subgroups and intragastrically
administered with either ovalbumin (20 mg) or vehicle for five consecutive days.
Thereafter, all mice were intraperitoneally immunized with ovalbumin in alum. In
mice without C. albicans inoculation, ovalbumin feeding prior to immunization
significantly suppressed the increase in ovalbumin-specific IgE, IgG1 and IgG2a
in sera, suggesting oral tolerance induction. In C. albicans-inoculated mice,
however, the antibody levels were the same between ovalbumin- and vehicle-fed
mice. In contrast, ovalbumin feeding significantly suppressed cellular immune
responses, as evidenced by reduced proliferation of splenocytes restimulated by
ovalbumin ex vivo, in both C. albicans-inoculated and uninoculated mice. Ex vivo
supplementation with neither heat-killed C. albicans nor the culture supernatant
of C. albicans enhanced the production of ovalbumin-specific IgG1 in splenocytes
restimulated by the antigen. These results suggest that gut colonization by C.
albicans inhibits the induction of humoral immune tolerance to dietary antigen in
mice, whereas C. albicans may not directly promote antibody production. We
therefore propose that C. albicans gut colonization could be a risk factor for
triggering food allergy in susceptible individuals.
PMID- 24936354
TI - Persistence of orally administered lactobacillus strains in the gut of infant
mice.
AB - The present study tested the persistence of orally administered bacteria in the
gut of suckling mice. We used three bacterial strains: one strain of
Lactobacillus johnsonii (designated strain Ms1) that was previously isolated from
the mouse stomach, and two strains of L. plantarum, (strain No. 14 and JCM
1149(T)). We detected L. johnsonii Ms1, but neither strain of L. plantarum, in
the gut 7 days after administration when the organisms were administered on days
0, 1, 3 or 7 of neonatal life. None of the strains was detected in the gut 7 days
after the administration on days 14 or 28 of neonatal life. L. johnsonii Ms1 and
L. plantarum JCM 1149(T) exhibited similar levels of in vitro association with
gut tissues, with both strains showing association that was significantly higher
than that of L. plantarum No. 14. In a separate experiment, the number of total
bacteria and lactobacilli in the gut, as estimated by real-time quantitative PCR,
was significantly higher in 14- and 21-day-old mice than in 0- and 7-day-old
mice. In addition, the number of total bacteria was higher in 21-day-old mice
than in 14-day-old mice, and the number of lactobacilli was higher in 7-day-old
mice than in 0-day-old mice. These results suggest that gut persistence of
administered bacteria in infant mice is species- or strain-specific and is
affected by the development of indigenous microbiota. In addition, gut
persistence of administered bacteria may not always depend on the tissue
association capacity.
PMID- 24936355
TI - Receptor-like Molecules on Human Intestinal Epithelial Cells Interact with an
Adhesion Factor from Lactobacillus reuteri.
AB - A surface protein of Lactobacillus reuteri, mucus adhesion-promoting protein
(MapA), is considered to be an adhesion factor. MapA is expressed in L. reuteri
strains and adheres to piglet gastric mucus, collagen type I, and human
intestinal epithelial cells such as Caco-2. The aim of this study was to identify
molecules that mediate the attachment of MapA from L. reuteri to the intestinal
epithelial cell surface by investigating the adhesion of MapA to receptor-like
molecules on Caco-2 cells. MapA-binding receptor-like molecules were detected in
Caco-2 cell lysates by 2D-PAGE. Two proteins, annexin A13 (ANXA13) and paralemmin
(PALM), were identified by MALDI TOF-MS. The results of a pull-down assay showed
that MapA bound directly to ANXA13 and PALM. Fluorescence microscopy studies
confirmed that MapA binding to ANXA13 and PALM was colocalized on the Caco-2 cell
membrane. To evaluate whether ANXA13 and PALM are important for MapA adhesion,
ANXA13 and PALM knockdown cell lines were established. The adhesion of MapA to
the abovementioned cell lines was reduced compared with that to wild-type Caco-2
cells. These knockdown experiments established the importance of these receptor
like molecules in MapA adhesion.
PMID- 24936356
TI - Suppressive Effect of High Hydrogen Generating High Amylose Cornstarch on
Subacute Hepatic Ischemia-reperfusion Injury in Rats.
AB - We examined whether feeding high hydrogen generating resistant starch could
suppress subacute hepatic ischemia-reperfusion injury. Rats were fed a control
diet with or without 20% high amylose cornstarch (HAS) supplementation for 14
days. On day 12, rats were subject to ischemia-reperfusion treatment. Portal
hydrogen concentration was higher in the HAS group compared with the control
group. Increased plasma alanine and aspartate aminotransferase activities due to
ischemia-reperfusion treatment tended to decrease, and a significant reduction
was observed by HAS feeding when compared with the control group. In conclusion,
HAS, which enhances hydrogen generation in the hindgut, alleviated subacute
hepatic ischemia-reperfusion injury.
PMID- 24936358
TI - Effects of Enteric-coated Lactoferrin Tablets Containing Lactobacillus brevis
subsp. coagulans on Fecal Properties, Defecation Frequency and Intestinal
Microbiota of Japanese Women with a Tendency for Constipation: a Randomized
Placebo-controlled Crossover Study.
AB - The effects of oral administration of enteric-coated tablets containing
lactoferrin (LF; 100 mg/tablet) and heat-killed Lactobacillus brevis subsp.
coagulans FREM BP-4693 (LB; 6*10(9) bacteria/tablet) on fecal properties were
examined in 32 Japanese women (20-60 years of age) with a tendency for
constipation (defecation frequency at equal to or less than 10 times/2 weeks) by
a double-blind placebo-controlled crossover design. A significant increase in
defecation days per week was obserbed in the subjects who ingested the tablets
containing LF and LB compared with the placebo group. The number of
bifidobacteria in feces also significantly increased compared with the placebo
group. In an in vitro study, LF and tryptic hydrolysate of LF, but not peptic
hydrolysate of LF, upregulated the growth of Bifidobacterium longum ATCC15707
when added to the culture. These results demonstrate the capability of the
enteric-coated tablets containing LF and LB in improving intestinal function and
suggest that they have a growth promoting function for bifidobacteria.
PMID- 24936357
TI - Effects of diet on gut microbiota profile and the implications for health and
disease.
AB - Gut microbes are present in large concentrations on the human intestinal mucosal
surface and play important roles in health and disease of the host. Numerous
groups of gut microbes are associated with immunological and metabolic diseases
and in maintaining health status of the host. Among these health- and disease
associated gut microbes, Bacteroides, Clostridium and Bifidobacterium appear
regularly in the list. Scientific and clinical evidence available to date
indicates that diet is a major driving factor for the establishment of the gut
microbiome. Slow digestible carbohydrates (human milk glycan, inulin and
fructooligosaccharide), insoluble complex carbohydrates and protein diets favor
the growth of Bacteroides, Clostridium and Bifidobacterium. Fat on the other hand
suppresses the number of Bacteroides, Clostridium and Bifidobacterium; whereas
polyphenols in general suppress Bacteroides and Clodtridium but enhance the
Bifodobacterium. The implication is that dietary habits could be a major
determinant of health and disease susceptibility. Dietary strategies could be an
effective means of potentially inducing changes in intestinal microbiota and are
certainly achievable, thus facilitating correction of intestinal microbiome
aberrations or imbalances to improve our health. Most of the physiological and
functional interactions between individual dietary components and the concoction
of foods in a meal and gut microbiota have not yet been well studied. A concerted
effort is required to acquire better understanding of their interaction in order
to rationally maintain our intestinal microbiome homeostasis and general health
through dietary intervention.
PMID- 24936359
TI - Effect of Formic Acid on Exopolysaccharide Production in Skim Milk Fermentation
by Lactobacillus delbrueckii subsp. bulgaricus OLL1073R-1.
AB - In yogurt, the formation of formate by Streptococcus thermophilus stimulates the
activity of Lactobacillus delbrueckii subsp. bulgaricus (L. bulgaricus). However,
there have been no reports how formic acid acts on the exopolysaccharide (EPS)
production of L. bulgaricus. Here, the effect of formate on the EPS production in
skim milk by L. bulgaricus OLL1073R-1 was investigated. After incubation for 24
hr with 100 mg/l formate, cell proliferation and lactic acid production were
accelerated. The viable and total cell numbers were increased about ten- and four
fold, respectively. The amount of EPS in culture with formate (~116 ug/ml) was
also four-fold greater than that of the control (~27 ug/ml). Although elongation
of cells was observed at 6 hr of cultivation in both cultures, cells cultivated
with formate returned to a normal shape after incubation for 24 hr. The
sensitivity to cell wall hydrolase and composition of surface layer proteins, as
well as the cell membrane fatty acid composition of L. bulgaricus OLL1073R-1,
were not influenced by formate. However, differences were observed in
intracellular fatty acid compositions and sensitivity to antibiotics. Cell length
and surface damage returned to normal in cultures with formate. These
observations suggest that formic acid is necessary for normal cell growth of L.
bulgaricus OLL1073R-1 and higher EPS production.
PMID- 24936360
TI - Consecutive Intake of Fermented Milk Containing Bifidobacterium breve Strain
Yakult and Galacto-oligosaccharides Benefits Skin Condition in Healthy Adult
Women.
AB - A double-blind, placebo-controlled, randomized trial was conducted to investigate
the beneficial effect of probiotic and prebiotic fermented milk on the skin of
healthy adult women. Forty healthy Japanese adult female volunteers with healthy
skin randomly received either a bottle of probiotic and prebiotic fermented milk
containing Bifidobacterium breve strain Yakult and galacto-oligosaccharides (GOS)
(active group) or a non-fermented placebo milk containing neither probiotics nor
GOS (placebo group) daily for 4 weeks. Before and after intake, hydration levels
and cathepsin L-like activity in the stratum corneum and phenol levels in the
serum and urine were determined. After intake, the hydration level of the stratum
corneum decreased significantly in only the placebo group and was significantly
lower than in the active group (p=0.031). Cathepsin L-like activity, an indicator
of keratinocyte differentiation, was significantly increased in the active group
(p=0.027). Serum and urine phenol levels decreased significantly in the active
group (p=0.014, p=0.002, respectively), and serum phenol levels were
significantly lower in the active group compared with the placebo group
(p=0.006). The consecutive intake of probiotic and prebiotic fermented milk can
benefit skin condition without dryness and decrease the levels of phenol
production by gut bacteria in healthy adult women.
PMID- 24936361
TI - Influence of different probiotic lactic Acid bacteria on microbiota and
metabolism of rats with dysbiosis.
AB - Lactic acid bacteria (LAB) are often used for prevention and treatment of
dysbiosis. However, the action of various strains of LAB on metabolism and
digestion under these conditions are poorly understood. The purpose of this study
was to investigate the influence of probiotic LAB on metabolism, digestion and
microbiota in animals with dysbiosis. After administration of ampicillin and
metronidazole male Wistar rats, were fed products containing Enterococcus faecium
L3 (E.f.), Lactobacillus fermentum Z (L.f.) or milk (control 1). Animals in
control group 2 were fed milk, after water instead of antibiotics. Dyspeptic
symptoms disappeared after administration of probiotic compared with control 1.
At the end of the experiment, an increase in the content of enterococci and
lactobacilli in the proximal part of the small intestine was found in the animals
treated with E.f. and L.f., respectively. After the introduction of probiotic
enterococci, the quantity of lactobacilli and bifidobacteria in the intestines of
rats increased, and the content of Klebsiella spp. and Escherichia coli decreased
in comparison with the control group 1 and the group fed lactobacilli. The
activity of alkaline phosphatase and aspartate transaminase was greater in blood
serum of rats with dysbiosis receiving milk and lactobacilli. Intestinal alkaline
phosphatase activity increased in the epithelium and chyme in the jejunum of the
animals treated with L. f. and in the chyme only in the animals treated with E.
f. Thus, the specific effects of different strains of probiotic LAB on the
microbiota, and on metabolism and digestion of various nutrients were
demonstrated.
PMID- 24936362
TI - Effect of Lactic Acid Bacteria on Lipid Metabolism and Fat Synthesis in Mice Fed
a High-fat Diet.
AB - Visceral fat accumulation is a major risk factor for the development of obesity
related diseases, including diabetes, hyperlipidemia, hypertension, and
arteriosclerosis. Stimulation of lipolytic activity in adipose tissue or
inhibition of fat synthesis is one way to prevent these serious diseases. Lactic
acid bacteria have an anti-obesity effect, but the mechanisms are unclear.
Therefore, we evaluated the effect of the administration of lactic acid bacteria
(Lactobacillus gasseri NT) on lipid metabolism and fat synthesis in a mouse high
fat-diet model, focusing on visceral fat. Balb/c mice were fed a 45 kcal% fat
diet for 13 weeks with and without a freeze-dried preparation of L. gasseri NT
(10(9) CFU/g). An ex vivo glycerol assay with periovarian fat revealed that L.
gasseri NT did not stimulate lipolytic activity. However, L. gasseri NT decreased
the mRNA expression of sterol regulatory element-binding protein (SREBP) and its
target gene fatty acid synthase (FAS) in the liver and decreased free fatty acid
(FFA) in the blood. In conclusion, these findings indicated that administration
of L. gasseri NT did not enhance lipid mobilization but can reduce fat synthesis,
suggesting its potential for improving obesity-related diseases.
PMID- 24936364
TI - Up to Species-level Community Analysis of Human Gut Microbiota by 16S rRNA
Amplicon Pyrosequencing.
AB - Pyrosequencing-based 16S rRNA profiling has become a common powerful tool to
obtain the community structure of gastrointestinal tract microbiota, but it is
still hard to process the massive amount of sequence data into microbial
composition data, especially at the species level. Here we propose a new approach
in combining the quantitative insights into microbial ecology (QIIME), Mothur and
ribosomal database project (RDP) programs to efficiently process 454 pyrosequence
data to bacterial composition data up to the species level. It was demonstrated
to precisely convert batch sequence data of 16S rRNA V6-V8 amplicons obtained
from adult Singaporean fecal samples to taxonomically annotated biota data.
PMID- 24936363
TI - The pyrE Gene as a Bidirectional Selection Marker in Bifidobacterium Longum 105
A.
AB - We constructed a deletion mutant of the pyrE gene in Bifidobacterium longum 105
A. A pyrE knockout cassette was cloned into pKKT427, a Bifidobacterium
Escherichia coli shuttle vector, and then introduced into B. longum 105-A by
electroporation. The transformants were propagated and spread onto MRS plates
containing 5-fluoroorotic acid (5-FOA) and uracil. 5-FOA-resistant mutants were
obtained at a frequency of 4.7 * 10(-5) integrations per cell. To perform pyrE
gene complementation, the pyrE gene was amplified by PCR and used to construct a
complementation plasmid (pKKT427-pyrE (+)). B. longum 105-A ?pyrE harboring this
plasmid could not grow on MRS plates containing 5-FOA, uracil and spectinomycin.
We also developed a chemically defined medium (bifidobacterial minimal medium;
BMM) containing inorganic salts, glucose, vitamins, isoleucine and tyrosine for
positive selection of pyrE transformants. B. longum 105-A ?pyrE could not grow on
BMM agar, but the same strain harboring pKKT427-pyrE (+) could. Thus, pyrE can be
used as a counterselection marker in B. longum 105-A and potentially other
Bifidobacterium species as well. We demonstrated the effectiveness of this system
by constructing a knockout mutant of the xynF gene in B. longum 105-A by using
the pyrE gene as a counterselection marker. This pyrE-based selection system will
contribute to genetic studies of bifidobacteria.
PMID- 24936365
TI - Identification of Heavy Smokers through Their Intestinal Microbiota by Data
Mining Analysis.
AB - The intestinal microbiota compositions of 92 Japanese men were identified
following consumption of identical meals for 3 days, and collected feces were
analyzed through terminal restriction fragment length polymorphism. The obtained
operational taxonomic units and smoking habits of subjects were analyzed by a
data mining software. The constructed decision tree was able to identify
explicitly the groups of smokers and nonsmokers. In particular, 4 smokers, who
smoked 20 cigarettes/day, i.e., heavy smokers, were gathered in the same group of
the decision tree and were clearly identified. Related operational taxonomic unit
were traced to understand the species of bacteria, but all were found to be
uncultured bacteria.
PMID- 24936367
TI - KK/Ta Mice Administered Lactobacillus plantarum Strain No. 14 Have Lower
Adiposity and Higher Insulin Sensitivity.
AB - Excess accumulation of white adipose tissue can lead to obesity-related metabolic
abnormalities such as insulin resistance. We previously reported that
intragastric administration of Lactobacillus plantarum No. 14 reduced adipocyte
size in diet-induced obese C57BL/6 mice. The present study tested whether L.
plantarum No. 14 affects adiposity and insulin sensitivity in an animal model of
type-2 diabetes mellitus. Male KK/Ta mice were fed a normal-fat diet and
intragastrically given L. plantarum No. 14 (10(8) CFU/mouse) or vehicle daily for
10 weeks. Interscapular brown adipose tissue and inguinal, mesenteric, and
retroperitoneal white adipose tissue weights, serum leptin and insulin
concentrations, and insulin resistance index (HOMA-IR) were significantly lower
in L. plantarum No. 14-fed mice than in vehicle-fed mice. The sum of the
inguinal, epididymal, mesenteric and retroperitoneal white adipose tissue weights
correlated with serum leptin and non-esterified fatty acid concentrations and
HOMA-IR. The mesenteric adipose tissue mRNA levels of monocyte chemoattractant
protein-1 and tumor necrosis factor-alpha were significantly lower in L.
plantarum No. 14-fed mice than in vehicle-fed mice. Mesenteric adipose tissue
weight correlated with interleukin-6, monocyte chemoattractant protein-1, and
tumor necrosis factor-alpha mRNA levels. HOMA-IR correlated with monocyte
chemoattractant protein-1 and tumor necrosis factor-alpha mRNA levels. These data
suggest that L. plantarum No. 14 prevents the development of insulin resistance,
which is at least partly attributable to the prevention of obesity, in KK/Ta
mice.
PMID- 24936368
TI - The Effect of Lactic Acid Bacteria-fermented Soybean Milk Products on Carrageenan
induced Tail Thrombosis in Rats.
AB - Thrombosis is characterized by congenital and acquired procatarxis. Lactic acid
bacteria-fermented soybean milk products (FS-LAB) inhibit hepatic lipid
accumulation and prevent atherosclerotic plaque formation. However, the
therapeutic efficacy of FS-LAB against thrombosis has yet to be investigated. In
this study, FS-LAB were administered subcutaneously into the tails of rats, with
the subsequent intravenous administration of kappa-carrageenan 12 hr after the
initial injection. In general, administration of kappa-carrageenan induces
thrombosis. The length of the infarcted tail regions was significantly shorter in
the rats administered a single-fold or double-fold concentration of the FS-LAB
solution compared with the region in control rats. Therefore, FS-LAB exhibited
significant antithrombotic effects. Our study is the first to characterize the
properties of FS-LAB and, by testing their efficacy on an in vivo rat model of
thrombosis, demonstrate the potency of their antithrombotic effect.
PMID- 24936366
TI - Gut microbiota, probiotics, and human health.
AB - The review is devoted to the problems of microbiota and the ways of it correction
employing beneficial life bacteria- probiotics. It covers the issues related to
the functioning of human microbiota and its importance for the health, individual
variability of microbial content, functioning of the probiotics in the human
organism and the history of probiotic studies with particular focus on the
microbiological investigations in the USSR. The article discusses the safety
issues related to probiotics and the problems with probiotic therapy, trying to
explain the reasons for the side effects caused by probiotics. The necessity of
personified selection of the probiotic strain or individual microbial therapy
autoprobiotics is also discussed.
PMID- 24936370
TI - Recollections of my young days: -the pleasure of creation-.
AB - I was bashful by nature. In elementary school, I was enthusiastic about painting
pictures, posters, and calligraphy, and making butterfly specimens and models.
When I entered the middle school of Seikei Gakuen, I was fortunate to have good
teachers and friends. Prof. Kusatao Nakamura taught us the "Preciousness of the
pureness of heart", which is the principle of my life. After World War II, school
classes reopened. I was deeply moved by the lecture of botany by Prof. Fumio
Maekawa, and had a notion that I should like to make research my lifework. I went
to high school and had the worries of my life. During that time, it was the book
Gakusei ni atau by Prof. Eijirou Kawai, and a speech by Prof. Tadao Yanaihara,
that sustained my heart. In 1953, I entered the postgraduate course of the
University of Tokyo, and received the guidance of Prof. Yuichi Ochi. This matter
was decisive for my life. First of all, I developed a new medium, BL agar, for
culturing intestinal bacteria, and then I discovered that Bifidus bacteria was
one of the predominant organisms in human adults. This discovery became the basis
of my research until today. For two years from 1964, I studied abroad in Germany
and made good friends, to whom I owe an inestimable debt of gratitude. After
returning to Japan, I discovered a number of rules governing the intestinal
ecosystem. Thus, a new interdisciplinary field, intestinal bacteriology, was
established. Subsequently, I discovered the health effects of fermented milks and
oligosaccharides and proposed "biogenics". I firmly believe that the fruit of
creation is granted as a result of endeavoring with a pure heart.
PMID- 24936369
TI - Comparison of salivary IgA secretion rate collected by the aspiration method and
swab method.
AB - Salivary immunoglobulin A (IgA) is used as an immunity marker, as saliva can be
easily collected, noninvasively with little stress. However, several saliva
collection methods can be used. Our comparison between samples collected using
different methods demonstrated that the salivary IgA secretion rate in samples
collected using an aspiration method was significantly correlated with that in
samples collected using a swab method. Moreover, the significant circadian
variation in salivary IgA secretion rate in the aspirated saliva suggested that
the aspiration method does not suppress salivary IgA secretion rate variability
compared with the swab method. Therefore, the aspiration method should be
considered as the preferable saliva collection method.
PMID- 24936371
TI - Oral Administration of EC-12 Increases the Baseline Gene Expression of Antiviral
Cytokine Genes, IFN-gamma and TNF-alpha, in Splenocytes and Mesenteric Lymph Node
Cells of Weaning Piglets.
AB - Weaning piglets are continuously exposed to various viruses. The antiviral
effects of lactic acid bacteria (LAB) have been confirmed mainly in humans and
mice, while few studies have been conducted in livestock. In this study, we
evaluated the effect of oral administration of Enterococcus faecalis strain EC-12
(EC-12) on the gene expressions of antiviral cytokines in weaning piglets.
Piglets were allocated to the EC-12-administered group (E group) and the no
treatment control group (C group). The small intestinal tissue, the mesenteric
lymph node (MLN) cells and the splenocytes were collected from the piglets. The
tissue and cells were co-cultured with a live vaccine of porcine reproductive
respiratory syndrome virus, porcine epidemic diarrhea virus or EC-12. After the
incubation, the gene expressions of IFN-gamma and TNF-alpha in the tissue and
cells were evaluated. The gene expressions of IFN-gamma in the MLN cells and TNF
alpha in the splenocytes were significantly higher in the E group than in the C
group. However, the increase in the gene expression of antiviral cytokines was
observed independently of the antigen treatments. The results of the present
study suggest that oral administration of EC-12 did not increase the response of
immune cells to specific viral antigens but increased the baseline gene
expression of antiviral cytokines.
PMID- 24936373
TI - Comparison of the accuracy and mechanism of data mining identification of the
intestinal microbiota with 7 restriction enzymes.
AB - The intestinal microbiota compositions of 92 Japanese men were identified
following consumption of identical meals for 3 days, and collected feces were
analyzed through terminal restriction fragment length polymorphism. The obtained
operational taxonomic units (OTUs) and subjects' smoking and drinking habits,
which had 2 nominal partitions, yes or no, were analyzed by Data mining software.
Identification of subjects for each habit was successfully performed and reported
previously, but the identification accuracy was closely dependent on the species
of the applied restriction enzymes for PCR. For the sake of better selection of
enzymes and understanding the mechanisms of Data mining analysis, 516f-BslI and
516f-HaeIII, 27f-MspI and 27f-AluI and 35f-HhaI, 35f-MspI and 35f-AluI,
altogether 7 enzymes, were examined comparatively. Data mining analysis provides
a Decision tree for identification of subjects and their dividing pathways that
is produced using a limited number of OTUs, which affects the accuracy of the
results. The present report discusses not only a global comparison of accuracies
for characteristics, but also the detailed mechanisms that result in better or
worse results and the practical roles and functions of OTUs. The OTU at the 1st
step of the constructed Decision tree was the most important for any
identification, and for all cases, the combination of subsequent OTUs, which
formed later in the Decision tree, was also unignorable. Detailed dividing
pathways were traced and compared for the 7 enzymes and the future supporting
ideas were provided for better Data mining analysis of the human intestinal
microbiota.
PMID- 24936372
TI - Identification of Human Intestinal Microbiota of 92 Men by Data Mining for 5
Characteristics, i.e., Age, BMI, Smoking Habit, Cessation Period of Previous
Smokers and Drinking Habit.
AB - The intestinal microbiota compositions of 92 men living in Japan were identified
following consumption of identical meals for 3 days. Fecal samples were analyzed
by terminal restriction fragment length polymorphism with 4 primer-restriction
enzyme systems, and the 120 obtained operational taxonomic units (OTUs) were
analyzed by Data mining software focusing on the following 5 characteristics,
namely, age, body mass index, present smoking habit, cessation period of previous
smokers and drinking habit, according to the answers of the subjects. After
performing Data mining analyses with each characteristic, the details of the
constructed Decision trees precisely identified the subjects or discriminated
them into various suitable groups. Through the pathways to reach the groups,
practical roles of the related OTUs and their quantities were clearly recognized.
Compared with the other identification methods for OTUs such as bicluster
analyses, correlation coefficients and principal component analyses, the clear
difference of this Data mining technique was that it set aside most OTUs and
emphasized only some closely related ones. For example for a selected
characteristic, such as smoking habit, only 7 OTUs out of 120 were able to
identify all smokers, and the remaining 113 OTUs were thought of as data noise
for smoking. Data mining analyses were affirmed as an effective method of subject
discrimination for various physiological constitutions. The species of bacteria
that were closely related to heavy smokers, i.e., HaeIII-291, were also
discussed.
PMID- 24936374
TI - Slower Fermentation Rate of Potato Starch Relative to High-amylose Cornstarch
Contributes to the Higher Proportion of Cecal Butyrate in Rats.
AB - This study aimed to examine the mechanism for differential effects of low- (LPPS)
and high-phosphorus (HPPS) potato starches and high-amylose cornstarch (HACS) on
rat cecal fermentation, the n-butyrate proportion in particular. In
ileorectostomized rats, the in vivo resistant starch (RS) contents were
determined to be 66% (LPPS), 66% (HPPS) and 36% (HACS), but the
carbohydrate/nitrogen (C/N) ratios of the ileal digesta were comparable among the
respective starch diets. In intact rats fed diets including similar amounts of
RS, the cecal n-butyrate proportions in the LPPS- and HPPS-fed rats were equally
higher than in the HACS-fed rats. The cecal starch contents were fivefold greater
in the LPPS- and HPPS-fed rats than in the HACS-fed rats. The results suggest
that potato starches and HACS are not equivalent n-butyrate producers in the rat
cecum and that the slower fermentation rate of potato starches relative to HACS
might be responsible for the higher n-butyrate proportion.
PMID- 24936375
TI - Difference in Degradation Patterns on Inulin-type Fructans among Strains of
Lactobacillus delbrueckii and Lactobacillus paracasei.
AB - Lactobacillus delbrueckii strains were assessed for their degradation patterns of
various carbohydrates with specific reference to inulin-type fructans in
comparison with those of Lactobacillus paracasei strains. Firstly, growth curves
on glucose, fructose, sucrose and inulin-type fructans with increasing degrees of
fructose polymerization (i.e., 1-kestose, fructo-oligosaccharides and inulin) of
the strains were compared. L. paracasei DSM 20020 grew well on all these sugars,
while the growth rates of the 4 L. delbrueckii strains were markedly higher on
the fructans with a greater degree of polymerization than on fructose and
sucrose. Secondly, sugar compositions of spent cultures of the strains of L.
delbrueckii and L. paracasei grown in mMRS containing either the fructans or
inulin were determined by thin layer chromatography, in which the spent cultures
of L. paracasei DSM 20020 showed spots of short fructose and sucrose fractions,
whereas those of the L. delbrueckii strains did not show such spots at all. These
results suggest that, unlike the L. paracasei strains, the L. delbrueckii strains
do not degrade the inulin-type fructans extracellularly, but transport the
fructans capable of greater polymerization preferentially into their cells to be
degraded intracellularly for their growth.
PMID- 24936376
TI - Comparison of Yacon (Smallanthus sonchifolius) Tuber with Commercialized Fructo
oligosaccharides (FOS) in Terms of Physiology, Fermentation Products and
Intestinal Microbial Communities in Rats.
AB - The yacon (Smallanthus sonchifolius) tuber was examined with regard to its
prebiotic effects compared with commercialized fructo-oligosaccharides (FOS). A
feed containing 10% yacon tuber, which is equivalent to 5% commercialized FOS in
terms of the amount of fructo-oligosaccharides (GF2, GF3 and GF4), was
administrated to rats for 28 days. The yacon diet changed the intestinal
microbial communities beginning in the first week, resulting in a twofold greater
concentration of cecal short-chain fatty acids (SCFAs). The SCFA composition
differed, but the cecal pH in rats fed yacon tuber was equal to that in rats fed
FOS. Serum triglycerides were lower in rats fed yacon compared with rats fed FOS
and the control diet. Cecal size was greater with the yacon tuber diet compared
with the control diet. The abundant fermentation in the intestines created a
selective environment for the intestinal microbiota, which included Lactobacillus
acidophilus, Bifidobacterium pseudolongum, Bifidobacterium animalis and
Barnesiella spp. according to identification with culture-independent analysis,
16S rRNA gene PCR-DGGE combined with cloning and sequencing. Barnesiella spp. and
B. pseudolongum were only found in the rats fed the yacon diet, while L.
acidophilus and B. animalis were found in abundance in rats fed both the yacon
and FOS diets. The genus Barnesiella has not previously been reported to be
associated with yacon or FOS fermentation. We concluded that the physiological
and microbiological effects of the yacon tuber were different from those of FOS.
Differences in cecal size, blood triglycerides and microbial community profiles
including their metabolites (SCFAs) between the yacon tuber and FOS were shown to
be more greatly affected by the yacon tuber rather than FOS.
PMID- 24936377
TI - Bifidobacterium breve MCC-117 Induces Tolerance in Porcine Intestinal Epithelial
Cells: Study of the Mechanisms Involved in the Immunoregulatory Effect.
AB - Bifidobacterium breve MCC-117 is able to significantly reduce the expression of
inflammatory cytokines in porcine intestinal epithelial (PIE) cells and to
improve IL-10 levels in CD4(+)CD25(high) Foxp3(+) lymphocytes in response to heat
stable enterotoxigenic Escherichia coli (ETEC) pathogen-associated molecular
patterns (PAMPs), while the immunoregulatory effect of B. adolescentis ATCC15705
was significantly lower than that observed for the MCC-117 strain. Considering
the different capacities of the two bifidobacterium strains to activate toll-like
receptor (TLR)-2 and their differential immunoregulatory activities in PIE and
immune cells, we hypothesized that comparative studies with both strains could
provide important information regarding the molecular mechanism(s) involved in
the anti-inflammatory activity of bifidobacteria. In this work, we demonstrated
that the anti-inflammatory effect of B. breve MCC-117 was achieved by a complex
interaction of multiple negative regulators of TLRs as well as inhibition of
multiple signaling pathways. We showed that B. breve MCC-117 reduced heat-stable
ETEC PAMP-induced NF-kappaB, p38 MAPK and PI3 K activation and expression of pro
inflammatory cytokines in PIE cells. In addition, we demonstrated that B. breve
MCC-117 may activate TLR2 synergistically and cooperatively with one or more
other pattern recognition receptors (PRRs), and that interactions may result in a
coordinated sum of signals that induce the upregulation of A20, Bcl-3, Tollip and
SIGIRR. Upregulation of these negative regulators could have an important
physiological impact on maintaining or reestablishing homeostatic TLR signals in
PIE cells. Therefore, in the present study, we gained insight into the molecular
mechanisms involved in the immunoregulatory effect of B. breve MCC-117.
PMID- 24936379
TI - Identification and characterization of lactic Acid bacteria in a commercial
probiotic culture.
AB - The aim of the present study was to describe the identification and
characterization (physiological properties) of two strains of lactic acid
bacteria (LAB 18 and 48) present in a commercial probiotic culture, FloraMax((r))
B11. Isolates were characterized morphologically, and identified biochemically.
In addition, the MIDI System ID, the Biolog ID System, and 16S rRNA sequence
analyses for identification of LAB 18 and LAB 48 strains were used to compare the
identification results. Tolerance and resistance to acidic pH, high osmotic
concentration of NaCl, and bile salts were tested in broth medium. In vitro
assessment of antimicrobial activity against enteropathogenic bacteria and
susceptibility to antibiotics were also tested. The results obtained in this
study showed tolerance of LAB 18 and LAB 48 to pH 3.0, 6.5% NaCl and a high bile
salt concentration (0.6%). Both strains evaluated showed in vitro antibacterial
activity against Salmonella enterica serovar Enteritidis, Escherichia coli
(O157:H7), and Campylobacter jejuni. These are important characteristics of
lactic acid bacteria that should be evaluated when selecting strains to be used
as probiotics. Antimicrobial activity of these effective isolates may contribute
to efficacy, possibly by direct antimicrobial activity in vivo.
PMID- 24936381
TI - Food Allergen-induced IgE Response Mouse Model Created by Injection of in vitro
Differentiated Th2 Cell Culture and Oral Antigen Intake.
AB - Immunoglobulin (Ig) E is a mediator of food allergic reaction; however, the
mechanisms of its production in response to an ingested antigen are not fully
understood. For analysis of IgE production, here we propose an IgE response mouse
model created by injection of a Th2 cell culture and feeding of an egg white
diet. According to this manipulation, total and ovalbumin specific IgE production
were elevated in this model. We think our model enables us to analyze IgE
induction by Th2 cells in food allergy and can contribute to the development of a
treatment for food allergy.
PMID- 24936378
TI - Phenotypic and genotypic characterization of some lactic Acid bacteria isolated
from bee pollen: a preliminary study.
AB - In the present work, five hundred and sixty-seven isolates of lactic acid
bacteria were recovered from raw bee pollen grains. All isolates were screened
for their antagonistic activity against both Gram-positive and Gram-negative
pathogenic bacteria. Neutralized supernatants of 54 lactic acid bacteria (LAB)
cultures from 216 active isolates inhibited the growth of indicator bacteria.
They were phenotypically characterized, based on the fermentation of 39
carbohydrates. Using the simple matching coefficient and unweighted pair group
algorithm with arithmetic averages (UPGMA), seven clusters with other two members
were defined at the 79% similarity level. The following species were
characterized: Lactobacillus plantarum, Lactobacillus fermentum, Lactococcus
lactis, Pediococcus acidilactici, Pediococcus pentosaceus, and unidentified
lactobacilli. Phenotypic characteristics of major and minor clusters were also
identified. Partial sequencing of the 16S rRNA gene of representative isolates
from each cluster was performed, and ten strains were assigned to seven species:
Lactobacillus plantarum, Lactobacillus fermentum, Lactococcus lactis,
Lactobacillus ingluviei, Pediococcus pentosaceus, Lactobacillus acidipiscis and
Weissella cibaria. The molecular method used failed to determine the exact
taxonomic status of BH0900 and AH3133.
PMID- 24936380
TI - NADH Oxidase of Streptococcus thermophilus 1131 is Required for the Effective
Yogurt Fermentation with Lactobacillus delbrueckii subsp. bulgaricus 2038.
AB - We previously reported that dissolved oxygen (DO) suppresses yogurt fermentation
with an industrial starter culture composed of Lactobacillus delbrueckii subsp.
bulgaricus (L. bulgaricus) 2038 and Streptococcus thermophilus 1131, and also
found that reducing the DO in the medium prior to fermentation (deoxygenated
fermentation) shortens the fermentation time. In this study, we found that
deoxygenated fermentation primarily increased the cell number of S. thermophilus
1131 rather than that of L. bulgaricus 2038, resulting in earlier l-lactate and
formate accumulation. Measurement of the DO concentration and hydrogen peroxide
generation in the milk medium suggested that DO is mainly removed by S.
thermophilus 1131. The results using an H2O-forming NADH oxidase (Nox)-defective
mutant of S. thermophilus 1131 revealed that Nox is the major oxygen-consuming
enzyme of the bacterium. Yogurt fermentation with the S. thermophilus Deltanox
mutant and L. bulgaricus 2038 was significantly slower than with S. thermophilus
1131 and L. bulgaricus 2038, and the DO concentrations of the mixed culture did
not decrease to less than 2 mg/kg within 3 hr. These observations suggest that
Nox of S. thermophilus 1131 contributes greatly to yogurt fermentation,
presumably by removing the DO in milk.
PMID- 24936382
TI - Oral Administration of T Cell Epitope Peptide Inhibits the Systemic IL-4 Response
Elicited by an Egg-White Diet in a TCR Transgenic Mouse Model.
AB - Oral immunotherapy with T cell epitope peptides is a promising treatment for food
allergy. We examined the effect of oral administration of an ovalbumin T cell
epitope peptide (OVA323-339) in a TCR transgenic mouse model (OVA23-3 mice).
OVA23-3 mice were fed egg-white diet containing ovalbumin and subsequently orally
administrated the OVA323-339 peptide. Cytokine measurements revealed that the IL
4 production of splenic CD4(+) T cells was significantly decreased by feeding the
OVA323-339 peptide. Our study suggested that oral administration of the OVA323
339 T cell epitope peptide was capable of inhibiting systemic IL-4 response after
elicitation of predominant Th2 responses.
PMID- 24936383
TI - Comparison of treatment patterns and economic outcomes in metastatic breast
cancer patients initiated on trastuzumab versus lapatinib: a retrospective
analysis.
AB - Few studies have compared treatment patterns, healthcare resource utilization
(HRU), and costs in patients with metastatic breast cancer (mBC) receiving HER2
directed therapy. This study evaluated these outcomes in patients receiving
trastuzumab or lapatinib. Adult women with mBC, who were initiated on trastuzumab
or lapatinib, on or after March 13, 2007, were selected from the US-based
PharMetrics(r) Integrated Database (2000-2011). Patients were required to be
continuously enrolled in their healthcare plan for >=6 months prior to and >=30
days following trastuzumab or lapatinib initiation. Trastuzumab or lapatinib
discontinuation rates (defined as a gap >=45 consecutive days) were compared
using multivariate Cox proportional-hazards models. HRU and monthly healthcare
cost differences were estimated using multivariate negative binomial regression
models and generalized linear models, respectively. Among the 643 patients who
met the inclusion criteria, 381 and 262 patients were included in the trastuzumab
and lapatinib groups, respectively. The majority of the 262 patients receiving
lapatinib previously received trastuzumab (N = 171 [65.3%]). After adjustment for
potential confounders, when compared to trastuzumab patients, lapatinib patients
had a higher rate of treatment discontinuation (hazard ratio [HR] = 1.57; P <
0.001), a higher rate of outpatient visits (not treatment administration related)
(IRR = 1.19; P < 0.004), and a lower rate of medical visits associated with
treatment administration (IRR = 0.34; P < 0.001). There were no significant
differences between the two groups in total monthly healthcare costs ($11,920 vs.
$11,898 for trastuzumab and lapatinib patients, respectively; P = 0.451).
Findings from our study show that, irrespective of the treatment initiated at
index date, disease management in patients with mBC is associated with similar
and substantial healthcare costs. Any differences in specific components of
healthcare costs were associated with differences in the mode of treatment
administration. Approximately 50% of all costs were non-drug related, and future
studies should focus on how these costs may be controlled, regardless of mode of
treatment administration.
PMID- 24936384
TI - Prediction of half-marathon race time in recreational female and male runners.
AB - Half-marathon running is of high popularity. Recent studies tried to find
predictor variables for half-marathon race time for recreational female and male
runners and to present equations to predict race time. The actual equations
included running speed during training for both women and men as training
variable but midaxillary skinfold for women and body mass index for men as
anthropometric variable. An actual study found that percent body fat and running
speed during training sessions were the best predictor variables for half
marathon race times in both women and men. The aim of the present study was to
improve the existing equations to predict half-marathon race time in a larger
sample of male and female half-marathoners by using percent body fat and running
speed during training sessions as predictor variables. In a sample of 147 men and
83 women, multiple linear regression analysis including percent body fat and
running speed during training units as independent variables and race time as
dependent variable were performed and an equation was evolved to predict half
marathon race time. For men, half-marathon race time might be predicted by the
equation (r(2) = 0.42, adjusted r(2) = 0.41, SE = 13.3) half-marathon race time
(min) = 142.7 + 1.158 * percent body fat (%) - 5.223 * running speed during
training (km/h). The predicted race time correlated highly significantly (r =
0.71, p < 0.0001) to the achieved race time. For women, half-marathon race time
might be predicted by the equation (r(2) = 0.68, adjusted r(2) = 0.68, SE = 9.8)
race time (min) = 168.7 + 1.077 * percent body fat (%) - 7.556 * running speed
during training (km/h). The predicted race time correlated highly significantly
(r = 0.89, p < 0.0001) to the achieved race time. The coefficients of
determination of the models were slightly higher than for the existing equations.
Future studies might include physiological variables to increase the coefficients
of determination of the models.
PMID- 24936385
TI - Integrated analysis of chronic lipotoxicity on muscle metabolism and stress and
its reversal by antioxidants.
AB - Apart from elevated glucose, triglyceride and cholesterol, elevated levels of
serum free-fatty acid (FFA) are observed in diabetic patients. Increased FFA load
can cause multiple dysregulation which are collectively known as lipotoxicity.
Impacts of FFA induced lipotoxicity were evaluated on various cellular responses
of metabolism and stress in skeletal muscle myotubes. Under lipotoxicity,
oxidative capacity of C2C12 myotubes was reduced and decreased levels ATP and NAD
were observed. Lipotoxicity augmented non-oxidative disposal of metabolites in
terms of lactate release, IMTG and ceramide synthesis. Concomitantly, insulin
resistance was also observed. These impacts were in conjunction with increased
cellular stress, inflammation, proteolysis and apoptosis. Quenching of
lipotoxicity mediated oxidative stress by antioxidant reverted its deleterious
impacts and restored insulin stimulated glucose uptake. In conclusion, the in
vitro lipotoxicity makes a system which resembles in vivo pathology of muscle as
seen in diabetic patients and represents an integrated perspective of
lipotoxicity on various parameters of metabolism and stress.
PMID- 24936387
TI - Certain class of higher-dimensional simplicial complexes and universal C (*)
algebras.
AB - ABSTRACT: In this article we introduce a universal C (*)-algebras associated to
certain simplicial flag complexes. We denote it by [Formula: see text]it is a
subalgebra of the noncommutative n-sphere which introduced by J.Cuntz. We present
a technical lemma to determine the quotient of the skeleton filtration of a
general universal C (*)-algebra associated to a simplicial flag complex. We
examine the K-theory of this algebra. Moreover we prove that any such algebra
divided by the ideal I 2 is commutative. 2000 AMS: 19 K 46.
PMID- 24936386
TI - Multivariate statistical models of metabolomic data reveals different metabolite
distribution patterns in isonitrosoacetophenone-elicited Nicotiana tabacum and
Sorghum bicolor cells.
AB - Isonitrosoacetophenone (INAP, 2-keto-2-phenyl-acetaldoxime) is a novel inducer of
plant defense. Oxime functional groups are rare in natural products, but can
serve as substrates depending on existing secondary pathways. Changes in the
metabolomes of sorghum and tobacco cells treated with INAP were investigated and
chemometric tools and multivariate statistical analysis were used to investigate
the changes in metabolite distribution patterns resulting from INAP elicitation.
Liquid chromatography combined with mass spectrometry (UHPLC-MS) supplied unique
chemical fingerprints that were generated in response to specific metabolomic
events. Principal component analysis (PCA) together with hierarchical cluster
analysis (HCA) and Metabolic Trees were used for data visualization. Orthogonal
projections to latent structures discriminant analysis (OPLS-DA) and shared and
unique structure (SUS) plots were exploited in parallel to reveal the changes in
the metabolomes. PCA indicated that the cells responded differentially to INAP
through changes in the metabolite profiles. Furthermore, HCA and Metabolic Trees
showed that INAP induced metabolic perturbations in both cell lines and that
homeostasis was re-established over time. OPLS-DA-based shared and unique
structure (SUS) plots confirmed the results and revealed differences in the
metabolites distribution patterns between tobacco and sorghum cells. Chemometric
analyses of metabolomic data offers insight into changes in metabolism in
response to chemical elicitation. Although similar, the response in sorghum cells
was found to be more consistent and well-coordinated when compared to tobacco
cells, indicative of the differences in secondary metabolism between cyanogenic
and non-cyanogenic plants for oxime metabolism.
PMID- 24936388
TI - Dental status, dental treatment procedures and radiotherapy as risk factors for
infected osteoradionecrosis (IORN) in patients with oral cancer - a comparison of
two 10 years' observation periods.
AB - OBJECTIVES: Dental status, dental treatment procedures and radiotherapy dosage as
potential risk factors for an infected osteoradionecrosis (IORN) in patients with
oral cancers: Retrospective evaluation of 204 patients treated in two observation
periods of approximately ten years each. PATIENTS AND METHODS: In group A, 90
patients were treated in the years 1993-2003, in group B 114 patients in the
years 1983-1992 (data in brackets). All patients had histopathologically proven
squamous cell cancers, mainly UICC stages III and IV. 70% (85%, n.s.) had
undergone surgery before radiotherapy. All patients were referred to the oral and
maxillofacial surgeon for dental rehabilitation before further treatment.
Radiotherapy was performed using a 3D-conformal technique with 4-6MV photons of a
linear accelerator (Co-60 device up to 1987). The majority of patients were
treated using conventional fractionation with total doses of 60-70 Gy in daily
fractions of 2 Gy. Additionally, in group A, hyperfractionation was used applying
a total dose of 72 Gy in fractions of 1.2 Gy twice daily (time interval > 6
hours). In group B, a similar schedule was used up to a total dose of 82.8 Gy
(time interval 4-6 hours). 14 (0) patients had radiochemotherapy simultaneously.
After therapy, the patients were seen regularly by the radiooncologist and - if
necessary - by the oral and maxillofacial surgeon. The duration of follow-up was
3.64 years (5 years, p = 0.004). RESULTS: Before radiotherapy, the dental health
status was very poor. On average, 21.5 (21.2, n.s.) teeth were missing. Further
2.04 teeth (2.33, n.s.) were carious, 1.4 (0.3, p = 0.002) destroyed. Extractions
were necessary in 3.6 teeth (5.8, p = 0.008), conserving treatment in 0.4 (0.1, p
= 0.008) teeth. After dental treatment, 6.30 (4.8, n.s.) teeth remained. IORN was
diagnosed after conventionally fractionated radiotherapy in 15% (11%, n.s.),
after hyperfractionation in 0% (34%, p = 0.01). CONCLUSION: Within more than 20
years there was no improvement in dental status of oral cancer patients.
Extensive dental treatment procedures remained necessary. There was an impressive
reduction of the IORN frequency in patients treated in a hyperfractionated manner
probably resulting from a dose reduction and an extension of the interfraction
time.
PMID- 24936389
TI - Occurrence of Vibrio and Salmonella species in mussels (Mytilus
galloprovincialis) collected along the Moroccan Atlantic coast.
AB - This study reports the occurrence of different Vibrio and Salmonella species in
52 samples of Mytilus galloprovincialis collected from four sites along the
Atlantic coast between Agadir and Essaouira (Anza, Cap Ghir, Imssouane and
Essaouira). The level of Escherichia coli (E. coli) was also determined to
evaluate the degree of microbial pollution in the investigated areas. In this
study three methods were used : AFNOR NF EN ISO 6579 V08-013 for Salmonella spp.,
the provisional method routinely used by several laboratories (Institut Pasteur,
Paris,...) for Vibrio cholerae and Vibrio parahaemolyticus in the seafood, and
the most probable number method (MPN) using Norm ISO/TS 16649-3 (2005) for E.
coli. The most frequently isolated Vibrios were Vibrio alginolyticus (90.4% of
samples), followed by V. cholerae non O1 non O139 (15.4%) and V. parahaemolyticus
(7.7%). Salmonella spp. was found in 15% of the samples. The number of E. coli
ranged between 0.2/100 g and 1.8 10(3) /100 g of mussel soft tissues. This study
indicates the potential sanitary risk associated with the presence of pathogenic
bacteria in cultivated mussels in the two populous regions of southern Morocco,
where shellfish production and maritime tourism are important to the local
economy.
PMID- 24936390
TI - Association between the serum concentration of triiodothyronine with components
of metabolic syndrome, cardiovascular risk, and diet in euthyroid post-menopausal
women without and with metabolic syndrome.
AB - PURPOSE: To determine the association between the serum concentration of
triiodothyronine (T3) with components of metabolic syndrome (MetS),
cardiovascular risk (CVR), and diet in euthyroid post-menopausal women without
and with MetS. METHODS: A cross-sectional study was performed in 120 voluntary
women of an indigenous population from Tlaxcala-Mexico. Euthyroid status was
assessed measuring the serum concentration of thyrotropin (TSH) and thyroid
hormones, while that of estradiol was measured to confirm the postmenopausal
condition. MetS was diagnosed using the American Heart Association/National
Heart, Lung, and Blood Institute Scientific Statement (AHA/NHLBI) criterion.
Estimation of CVR was calculated based on the Framingham scale. Diet components
were evaluated based on survey applications. Correlations, logistic regression
analyses, ANOVA or Kruskall-Wallis, and chi-square tests were used to determine
significant differences (P <= 0.05) between women without MetS and women with
MetS having different serum concentrations of T3. RESULTS: Triiodothyronine was
positively correlated with insulin but negatively correlated with glucose, high
density lipoprotein cholesterol (HDL-C), and CVR. Compared to women without MetS,
women with MetS and low-normal T3 concentration showed a high risk for
hyperglycemia and moderate/high risk for CVR. In contrast, a high-normal T3
concentration increased the risk to have a big waist circumference, a high
concentration of HDL-C, and insulin resistance. Diet analysis showed a high grade
of malnutrition in women from all groups. The intake of calories was positively
affected by the T3 concentration, albeit it did not affect the extent of
malnutrition. CONCLUSIONS: In contrast to concentrations of TSH, total thyroxin
(T4), and free T4, the concentration of serum T3 was strongly correlated with
cardio-metabolic variables in euthyroid postmenopausal women. In comparison to
women without MetS, a high-normal serum concentration of T3 in women with MetS is
positively associated with reduced glycaemia and CVR but negatively related to
body mass index (BMI), insulin, insulin resistance, and HDL-C. Although the
analyzed population had a nutritional deficiency, both calories and iron intake
were positively affected by the T3 concentration. Our results suggest the
necessity of health programs monitoring T3 in old people in order to treat
hyperglycemia, cardio-metabolic components, and the ageing anorexia.
PMID- 24936391
TI - Geophysical assessments of renewable gas energy compressed in geologic pore
storage reservoirs.
AB - Renewable energy resources can indisputably minimize the threat of global warming
and climate change. However, they are intermittent and need buffer storage to
bridge the time-gap between production (off peak) and demand peaks. Based on
geologic and geochemical reasons, the North German Basin has a very large
capacity for compressed air/gas energy storage CAES in porous saltwater aquifers
and salt cavities. Replacing pore reservoir brine with CAES causes changes in
physical properties (elastic moduli, density and electrical properties) and
justify applications of integrative geophysical methods for monitoring this
energy storage. Here we apply techniques of the elastic full waveform inversion
FWI, electric resistivity tomography ERT and gravity to map and quantify a
gradually saturated gas plume injected in a thin deep saline aquifer within the
North German Basin. For this subsurface model scenario we generated different
synthetic data sets without and with adding random noise in order to robust the
applied techniques for the real field applications. Datasets are inverted by
posing different constraints on the initial model. Results reveal principally the
capability of the applied integrative geophysical approach to resolve the CAES
targets (plume, host reservoir, and cap rock). Constrained inversion models of
elastic FWI and ERT are even able to recover well the gradual gas desaturation
with depth. The spatial parameters accurately recovered from each technique are
applied in the adequate petrophysical equations to yield precise quantifications
of gas saturations. Resulting models of gas saturations independently determined
from elastic FWI and ERT techniques are in accordance with each other and with
the input (true) saturation model. Moreover, the gravity technique show high
sensitivity to the mass deficit resulting from the gas storage and can resolve
saturations and temporal saturation changes down to +/-3% after reducing any
shallow fluctuation such as that of groundwater table.
PMID- 24936392
TI - Bimini Islands: a characterization of the two major nursery areas; status and
perspectives.
AB - Bimini Islands (Bahamas, 25 degrees 44' N 79 degrees 16' W) are characterized by
a unique tropical marine environment which provides critical nursery habitats and
food resources for many important species of ecological and economical value. Two
areas are particularly important in the function and dynamics of the local marine
environment: North Sound and South Bimini. Since 1998 the northern part of the
island has been subject to an intense urbanization process that involves the
construction of an extensive touristic complex. Over the years this activity has
radically modified a substantial portion of the land, and part of the underwater
environment as well, threatening the fragile balance of the North Sound nursery
ground. Effects on marine habitats and on local species have been reported, and
although some measures to limit the damage have already been taken, the local
ecosystem could ultimately suffer from continuation of the construction work on
the area. In 2010, we performed surveys of both main nursery grounds to assess
the current ecological status and the main differences between the two areas,
investigating macrobenthic epifauna abundance, seagrass density and abiotic
parameters. The results of this study indicate that the ecosystem still appears
in reasonably healthy condition, although showing some concerning trends. These
data provide baseline conditions to assess further changes, and possibly to
support the development of plans for the conservation of the North Sound and
South Bimini coastal ecosystems.
PMID- 24936393
TI - Drivers of conservation and utilization of pineapple genetic resources in Benin.
AB - Valuation of farmer knowledge has been seen as a route to promote sustainable use
of plant genetic resources. In pineapple production systems in Benin, inadequate
knowledge of cultivation practices can lead to a number of inconveniences
including abandon of some varieties and cultivars. To understand how farmer's
knowledge and cultivation practices impact the sustainable utilization of
pineapple genetic resources, we surveyed 177 pineapple farmers in southern Benin.
We assessed farmers' knowledge and analyzed the relationship between their
knowledge and factors such as age, education, and locality of provenance.
Pineapple production system was dominated by men (96% respondents). According to
farmers, Smooth cayenne is international market-oriented while Sugarloaf mainly
targets domestic and regional markets. All farmers recognized that Smooth cayenne
provided more income (USD 5,750/ha) than sugarloaf (USD 3,950/ha) in the
production systems of southern Benin. The high value of median scores in
comparison with the range of possible score showed that most farmers agreed and
shared relatively similar knowledge. Correlation matrix and multiple linear
regressions showed a significant relationship between farmers' practices and
their knowledge of the plant; their knowledge of pineapple varieties is based on
fruits traits. Also, farmer's knowledge was associated with locality of
provenance. Constraints and options for genetic resources conservation and
utilization in the pineapple production systems in Southern Benin were discussed
based on current knowledge.
PMID- 24936394
TI - Optimization of CCGT power plant and performance analysis using MATLAB/Simulink
with actual operational data.
AB - In the Modern scenario, the naturally available resources for power generation
are being depleted at an alarming rate; firstly due to wastage of power at
consumer end, secondly due to inefficiency of various power system components. A
Combined Cycle Gas Turbine (CCGT) integrates two cycles- Brayton cycle (Gas
Turbine) and Rankine cycle (Steam Turbine) with the objective of increasing
overall plant efficiency. This is accomplished by utilising the exhaust of Gas
Turbine through a waste-heat recovery boiler to run a Steam Turbine. The
efficiency of a gas turbine which ranges from 28% to 33% can hence be raised to
about 60% by recovering some of the low grade thermal energy from the exhaust gas
for steam turbine process. This paper is a study for the modelling of CCGT and
comparing it with actual operational data. The performance model for CCGT plant
was developed in MATLAB/Simulink.
PMID- 24936395
TI - Post-discharge symptoms following fast-track colonic cancer surgery: a
phenomenological hermeneutic study.
AB - OBJECTIVE: To obtain knowledge of patients' experiences of postoperative symptoms
during the initial two weeks following fast-track colonic cancer surgery. METHOD:
Semi-structured in-depth interviews with seven colonic cancer patients two weeks
post hospital discharge. Analysis was performed using a phenomenological
hermeneutical approach. RESULTS: During the first two weeks after discharge the
patients experienced unfamiliar symptoms that affected their everyday lives.
Despite distressing symptoms, they applied a "wait-and-see" strategy, and only
reacted when symptoms became intolerable. The patients failed to report their
unfamiliar symptoms during hospital nurse follow-up telephone call. While waiting
for the final histology patients suffered loss of sleep and chaotic thinking, and
experienced ambiguity of hoping for the best and expecting the worst. CONCLUSION:
Although fast-track surgery programmes lead to shorter hospitalisation and
improved physical performance, post-colonic surgery patients experience various
symptoms after discharge. Healthcare professionals need to address symptoms that
might have immediate and long-term consequences on patients' everyday life.
Follow-up studies are encouraged to explore the patient perspective to identify
the needs of individual patients after hospital discharge.
PMID- 24936396
TI - Metabolism of amino acids differs in the brains of Djungarian hamster (P.
sungorus) and Roborovskii hamster (P. roborovskii).
AB - Djungarian hamster (P. sungorus) and Roborovskii hamster (P. roborovskii) belong
to the same genus of phodopus. Roborovskii hamster shows high locomotor activity
and low level of dopamine (DA) in the brain. Administration of L-tyrosine, a
precursor of DA, decreases locomotor activity in Roborovskii hamsters. However,
the amino acid metabolism in relation to the hyperactivity is not yet well known.
In the present study, L- and D-amino acid concentrations in the brain, liver, and
plasma in Djungarian and Roborovskii hamsters were investigated during day and
night times to explain the possible difference in hyperactivity between them.
Most of the examined amino acids were higher in the night time when hamsters are
active compared to those in day time. L- and D-tyrosine concentrations were
higher in the liver of Roborovskii hamsters than in Djungarian hamsters.
Furthermore, brain concentration of D-tyrosine was higher in the Roborovskii than
in Djungarian hamsters, but no significant difference was observed for L-tyrosine
concentrations between the two species. These results suggest that the conversion
of L-tyrosine to D-tyrosine in the brain of Roborovskii hamster may be higher
than in Djungarian hamster, which may cause low DA concentration and
hyperactivity in Roborovskii hamster. On the other hand, L- and D-serine, which
are known as sedative factors, were lower in Roborovskii hamsters than Djungarian
hamster. These results suggest that species-specific regulation in amino acid
metabolism may contribute to hyperactivity in Roborovskii hamsters.
PMID- 24936397
TI - Early discontinuation of endocrine therapy for breast cancer: who is at risk in
clinical practice?
AB - PURPOSE: Despite evidence supporting at least five years of endocrine therapy for
early breast cancer, many women discontinue therapy early. We investigated the
impact of initial therapy type and specific comorbidities on discontinuation of
endocrine therapy in clinical practice. METHODS: We identified women in a
population-based cohort with a diagnosis of early breast cancer and an incident
dispensing of anastrozole, letrozole or tamoxifen from 2003-2008 (N = 1531).
Pharmacy and health service data were used to determine therapy duration,
treatment for pre-existing and post-initiation comorbidities (anxiety,
depression, hot flashes, musculoskeletal pain, osteoporosis, vaginal atrophy),
demographic and other clinical characteristics. Time to discontinuation of
initial, and any, endocrine therapy was calculated. Cox regression determined the
association of different characteristics on early discontinuation. RESULTS:
Initial endocrine therapy continued for a median of 2.2 years and any endocrine
therapy for 4.8 years. Cumulative probability of discontinuing any therapy was
17% after one year and 58% by five years. Initial tamoxifen, pre-existing
musculoskeletal pain and newly-treated anxiety predicted shorter initial therapy
but not discontinuation of any therapy. Early discontinuation of any therapy was
associated with newly-treated hot flashes (HR = 2.1, 95% CI = 1.3-3.3), not
undergoing chemotherapy (HR = 1.4, 95% CI = 1.1-1.8) and not undergoing
mastectomy (HR = 1.5, 95% CI = 1.2-1.8). CONCLUSIONS: Less than half of women
completed five years of endocrine therapy. Women at greatest risk of stopping any
therapy early were those with newly-treated hot flashes, no initial chemotherapy,
or no initial mastectomy. This suboptimal use means that the reductions in
recurrence demonstrated in clinical trials may not be realised in practice.
PMID- 24936398
TI - Concomitant use of tamoxifen and endoxifen in postmenopausal early breast cancer:
prediction of plasma levels by physiologically-based pharmacokinetic modeling.
AB - PURPOSE: To overcome cytochrome P450 2D6 (CYP2D6) mediated tamoxifen resistance
in postmenopausal early breast cancer, CYP2D6 phenotype-adjusted tamoxifen dosing
in patients with impaired CYP2D6 metabolism and/or the application of endoxifen,
the most potent tamoxifen metabolite, are alternative treatment options. To
elucidate both strategies comprehensively we used a physiologically-based
pharmacokinetic (PBPK) modeling approach. METHODS: Firstly simulation of
increasing tamoxifen dosages was performed by a virtual clinical trial including
populations of CYP2D6 poor (PM), intermediate (IM) and extensive metabolizers
(EM) (N = 8,000). Secondly we performed PBPK-simulations under consideration of
tamoxifen use plus concomitant increasing dosages of endoxifen (N = 7,000).
RESULTS: Our virtual study demonstrates that dose escalation of tamoxifen in IMs
resulted in endoxifen steady-state plasma concentrations similar to CYP2D6 EMs
whereas PMs did not reach EM endoxifen levels. Steady-state plasma concentrations
of tamoxifen, N-desmethyl-tamoxifen, 4-hydroxy-tamoxifen and endoxifen were
similar in CYP2D6 IMs and PMs versus EMs using once daily dosing of 20 mg
tamoxifen and concomitant CYP2D6 phenotype-adjusted endoxifen dosing in IMs and
PMs (1 mg/d and 3 mg/d, respectively). CONCLUSION: In conclusion, we suggest that
co-administration of endoxifen in tamoxifen treated early breast cancer women
with impaired CYP2D6 metabolism is a promising alternative to reach plasma
concentrations comparable to CYP2D6 EM patients.
PMID- 24936399
TI - DNA-encapsulated magnesium phosphate nanoparticles elicit both humoral and
cellular immune responses in mice.
AB - The efficacy of pEGFP (plasmid expressing enhanced green fluorescent protein)
encapsulated PEGylated (meaning polyethylene glycol coated) magnesium phosphate
nanoparticles (referred to as MgPi-pEGFP nanoparticles) for the induction of
immune responses was investigated in a mouse model. MgPi-pEGFP nanoparticles
induced enhanced serum antibody and antigen-specific T-lymphocyte responses, as
well as increased IFN-? and IL-12 levels compared to naked pEGFP when
administered via intravenous, intraperitoneal or intramuscular routes. A
significant macrophage response, both in size and activity, was also observed
when mice were immunized with the nanoparticle formulation. The response was
highly specific for the antigen, as the increase in interaction between
macrophages and lymphocytes as well as lymphocyte proliferation took place only
when they were re-stimulated with recombinant green fluorescence protein (rGFP).
Thus the nanoparticle formulation elicited both humoral as well as cellular
responses. Cytokine profiling revealed the induction of Th-1 type responses. The
results suggest DNA-encapsulated magnesium phosphate (MgPi) nanoparticles may
constitute a safer, more stable and cost-efficient DNA vaccine formulation.
PMID- 24936400
TI - Aspergillus nidulans Pmts form heterodimers in all pairwise combinations.
AB - Eukaryotic protein O-mannosyltransferases (Pmts) are divided into three
subfamilies (Pmt1, Pmt2, and Pmt4) and activity of Pmts in yeasts and animals
requires assembly into complexes. In Saccharomyces cerevisiae, Pmt1 and Pmt2 form
a heteromeric complex and Pmt 4 forms a homomeric complex. The filamentous fungus
Aspergillus nidulans has three Pmts: PmtA (subfamily 2), PmtB (subfamily 1), and
PmtC (subfamily 4). In this study we show that A. nidulans Pmts form heteromeric
complexes in all possible pairwise combinations and that PmtC forms homomeric
complexes. We also show that MsbA, an ortholog of a Pmt4-modified protein, is not
modified by PmtC.
PMID- 24936401
TI - A case of Candida glabrata severe urinary sepsis successfully treated with
micafungin.
AB - Candida glabrata is frequently resistant to fluconazole, and in advanced renal
failure the safe use of this and other recommended drugs is limited. We report a
case of a 56 years-old diabetic woman with renal failure and severe urinary
sepsis from C. glabrata successfully treated with micafungin.
PMID- 24936402
TI - Subcutaneous phaeohyphomycosis due to Phialemoniopsis ocularis successfully
treated by voriconazole.
AB - We report a case of subcutaneous infection in a 67 year-old Cambodian man who
presented with a 5-month history of swelling of the right foot. Histopathology
was compatible with phaeohyphomycosis and the hyphomycete Phialemoniopsis
ocularis was identified by the means of morphological and molecular techniques.
The patient responded well to a 6-month oral treatment with voriconazole alone.
PMID- 24936403
TI - Malassezia pachydermatis fungemia in a preterm neonate resistant to fluconazole
and flucytosine.
AB - A case of Malassezia pachydermatis fungemia in a preterm neonate is described.
The isolate was identified by rDNA sequencing and was resistant to fluconazole
and flucytosine. Since M. pachydermatis does not require lipid supplementation
for growth, it can be misidentified as a Candida species. The report highlights
M. pachydermatis as a cause of late onset sepsis in preterm neonates and
emphasizes the need for prior antifungal susceptibility testing.
PMID- 24936404
TI - Two missense mutations, E123Q and K151E, identified in the ERG11 allele of an
azole-resistant isolate of Candida kefyr recovered from a stem cell transplant
patient for acute myeloid leukemia.
AB - We report on the first cloning and nucleotide sequencing of an ERG11 allele from
a clinical isolate of Candida kefyr cross-resistant to azole antifungals. It was
recovered from a stem cell transplant patient, in an oncohematology unit
exhibiting unexpected high prevalence of C. kefyr. Two amino acid substitutions
were identified: K151E, whose role in fluconazole resistance was already
demonstrated in Candida albicans, and E123Q, a new substitution never described
so far in azole-resistant Candida yeast.
PMID- 24936405
TI - Fludarabine and cyclophosphamide provides a nonmyeloablative alternative
conditioning regimen with low transplant-related mortality and control of high
risk disease.
AB - Non-myeloablative allogeneic transplant (NMAT) has a curative potential for
patients who are not myeloablative allogeneic transplant (MAT) candidates. We
report a phase II trial of a NMAT regimen with cyclophosphamide and fludarabine
in 40 patients; 21 of whom had a prior MAT. Day +100 and 1-year transplant
related mortality (TRM) post-NMAT were 13% and 34%, respectively. Day +100 and 1
year Overall/Progression-Free Survival (OS/PFS) were 80%/65% and 43%/25%,
respectively. OS was higher in patients with KPS>=90 and lower in recipient/donor
CMV+/- vs. other combinations. FluCy has low TRM and is curative in about 20% of
high-risk patients.
PMID- 24936407
TI - A template-based procedure for determining white matter integrity in the internal
capsule early after stroke.
AB - The integrity of descending white matter pathways, measured by fractional
anisotropy from DW-MRI, is a key prognostic indicator of motor recovery after
stroke. Barriers to translation of fractional anisotropy measures into routine
clinical practice include the time required for manually delineating volumes of
interest (VOIs), and inter-examiner variability in this process. This study
investigated whether registering and then editing template volumes of interest
'as required' would improve inter-examiner reliability compared with manual
delineation, without compromising validity. MRI was performed with 30 sub-acute
stroke patients with motor deficits (mean NIHSS = 11, range 0-17). Four
independent examiners manually delineated VOIs for the posterior limbs of the
internal capsules on T1 images, or edited template VOIs that had been registered
to the T1 images if they encroached on ventricles or basal ganglia. Fractional
anisotropy within each VOI and interhemispheric asymmetry were then calculated.
We found that 13/30 registered template VOIs required editing. Edited template
VOIs were more spatially similar between examiners than the manually delineated
VOIs (p = 0.005). Both methods produced similar asymmetry values that correlated
with clinical scores with near perfect levels of agreement between examiners.
Contralesional fractional anisotropy correlated with age when edited template
VOIs were used but not when VOIs were manually delineated. Editing template VOIs
as required is reliable, increases the validity of fractional anisotropy
measurements in the posterior limb of the internal capsule, and is less time
consuming compared to manual delineation. This approach could support the use of
FA asymmetry measures in routine clinical practice.
PMID- 24936408
TI - Data on the safety of repeated MRI in healthy children.
AB - PURPOSE: To address the question of the safety of MRI for research in normal,
healthy children. We examined MRI, neurocognitive and biometric data collected in
a group of healthy, normally developing children who have participated in a 10
year longitudinal fMRI study. MATERIALS AND METHODS: Thirty-one healthy children
ranging in age from 5 to 7 years were enrolled between 2000 and 2002 and were
tested yearly as part of a longitudinal study of normal language development.
Twenty-eight of these children have completed multiple neuroimaging,
neurocognitive and biometric exams. These children ranged in age from 5 to 18
years during the course of the study and were exposed to up to 10 annual MRI
scans. Linear regression of the IQ (WISC-III) (Wechsler, 1991), executive
function (BRIEF) (Gioia et al., 2002), and language (OWLS) (Carrow-Woolfolk,
1995) measures was performed against the number of years of exposure to MRI in
the study. Body mass index (BMI) (Ogden et al., 2006) was also examined as a
function of years and compared with normative values. RESULTS: The WISC-III Full
Scale (FSIQ) in our longitudinal cohort was higher than the average at baseline.
There was no significant change over time in mean FSIQ p = 0.80, OWLS p = 0.16,
or BRIEF p = 0.67. Similarly, over 10 years there were no significant changes in
the Coding subtest of WISC III and height and body mass index did not deviate
from norms (50th percentile). CONCLUSIONS: Examination of neurocognitive and
biometric data from a decade-long, longitudinal fMRI study of normal language
development in this small, longitudinal sample of healthy children in the age
range of 5 to 18 years, who received up to 10 MRI scans, provides scientific
evidence to support the belief that MRI poses minimal risk for use in research
with healthy children.
PMID- 24936406
TI - Distinct neural signatures of cognitive subtypes of dyslexia with and without
phonological deficits.
AB - Developmental dyslexia can be distinguished as different cognitive subtypes with
and without phonological deficits. However, despite some general agreement on the
neurobiological basis of dyslexia, the neurofunctional mechanisms underlying
these cognitive subtypes remain to be identified. The present BOLD fMRI study
thus aimed at investigating by which distinct and/or shared neural activation
patterns dyslexia subtypes are characterized. German dyslexic fourth graders with
and without deficits in phonological awareness and age-matched normal readers
performed a phonological decision task: does the auditory word contain the
phoneme/a/? Both dyslexic subtypes showed increased activation in the right
cerebellum (Lobule IV) compared to controls. Subtype-specific increased
activation was systematically found for the phonological dyslexics as compared to
those without this deficit and controls in the left inferior frontal gyrus (area
44: phonological segmentation), the left SMA (area 6), the left precentral gyrus
(area 6) and the right insula. Non-phonological dyslexics revealed subtype
specific increased activation in the left supramarginal gyrus (area PFcm;
phonological storage) and angular gyrus (area PGp). The study thus provides the
first direct evidence for the neurobiological grounding of dyslexia subtypes.
Moreover, the data contribute to a better understanding of the frequently
encountered heterogeneous neuroimaging results in the field of dyslexia.
PMID- 24936409
TI - Error-related functional connectivity of the thalamus in cocaine dependence.
AB - Error processing is a critical component of cognitive control, an executive
function that has been widely implicated in substance misuse. In previous studies
we showed that error related activations of the thalamus predicted relapse to
drug use in cocaine addicted individuals (Luo et al., 2013). Here, we
investigated whether the error-related functional connectivity of the thalamus is
altered in cocaine dependent patients (PCD, n = 54) as compared to
demographically matched healthy individuals (HC, n = 54). The results of a
generalized psychophysiological interaction analysis showed negative thalamic
connectivity with the ventral medial prefrontal cortex (vmPFC), in the area of
perigenual and subgenual anterior cingulate cortex, in HC but not PCD (p < 0.05,
corrected, two-sample t test). This difference in functional connectivity was not
observed for task-residual signals, suggesting that it is specific to task
related processes during cognitive control. Further, the thalamic-vmPFC
connectivity is positively correlated with the amount of cocaine use in the prior
month for female but not for male PCD. These findings add to recent literature
and provide additional evidence for circuit-level biomarkers of cocaine
dependence.
PMID- 24936410
TI - Atypical sulcal anatomy in young children with autism spectrum disorder.
AB - Autism spectrum disorder is associated with an altered early brain development.
However, the specific cortical structure abnormalities underlying this disorder
remain largely unknown. Nonetheless, atypical cortical folding provides lingering
evidence of early disruptions in neurodevelopmental processes and identifying
changes in the geometry of cortical sulci is of primary interest for
characterizing these structural abnormalities in autism and their evolution over
the first stages of brain development. Here, we applied state-of-the-art sulcus
based morphometry methods to a large highly-selective cohort of 73 young male
children of age spanning from 18 to 108 months. Moreover, such large cohort was
selected through extensive behavioral assessments and stringent inclusion
criteria for the group of 59 children with autism. After manual labeling of 59
different sulci in each hemisphere, we computed multiple shape descriptors for
each single sulcus element, hereby separating the folding measurement into
distinct factors such as the length and depth of the sulcus. We demonstrated that
the central, intraparietal and frontal medial sulci showed a significant and
consistent pattern of abnormalities across our different geometrical indices. We
also found that autistic and control children exhibited strikingly different
relationships between age and structural changes in brain morphology. Lastly, the
different measures of sulcus shapes were correlated with the CARS and ADOS scores
that are specific to the autistic pathology and indices of symptom severity.
Inherently, these structural abnormalities are confined to regions that are
functionally relevant with respect to cognitive disorders in ASD. In contrast to
those previously reported in adults, it is very unlikely that these abnormalities
originate from general compensatory mechanisms unrelated to the primary
pathology. Rather, they most probably reflect an early disruption on
developmental trajectory that could be part of the primary pathology.
PMID- 24936412
TI - Aberrant network integrity of the inferior frontal cortex in women with anorexia
nervosa.
AB - Neuroimaging studies investigating the neural profile of anorexia nervosa (AN)
have revealed a predominant imbalance between the reward and inhibition systems
of the brain, which are also hallmark characteristics of the disorder. However,
little is known whether these changes can also be determined independent of task
condition, using resting-state functional magnetic resonance imaging, in
currently ill AN patients. Therefore the aim of our study was to investigate
resting-state connectivity in AN patients (n = 12) compared to healthy athlete (n
= 12) and non-athlete (n = 14) controls. For this purpose, we used degree
centrality to investigate functional connectivity of the whole-brain network and
then Granger causality to analyze effective connectivity (EC), to understand
directional aspects of potential alterations. We were able to show that the
bilateral inferior frontal gyrus (IFG) is a region of special functional
importance within the whole-brain network, in AN patients, revealing reduced
functional connectivity compared to both healthy control groups. Furthermore, we
found decreased EC from the right IFG to the midcingulum and increased EC from
the bilateral orbitofrontal gyrus to the right IFG. For the left IFG, we only
observed increased EC from the bilateral insula to the left IFG. These results
suggest that AN patients have reduced connectivity within the cognitive control
system of the brain and increased connectivity within regions important for
salience processing. Due to its fundamental role in inhibitory behavior,
including motor response, altered integrity of the inferior frontal cortex could
contribute to hyperactivity in AN.
PMID- 24936414
TI - Prediction of stroke thrombolysis outcome using CT brain machine learning.
AB - A critical decision-step in the emergency treatment of ischemic stroke is whether
or not to administer thrombolysis - a treatment that can result in good recovery,
or deterioration due to symptomatic intracranial haemorrhage (SICH). Certain
imaging features based upon early computerized tomography (CT), in combination
with clinical variables, have been found to predict SICH, albeit with modest
accuracy. In this proof-of-concept study, we determine whether machine learning
of CT images can predict which patients receiving tPA will develop SICH as
opposed to showing clinical improvement with no haemorrhage. Clinical records and
CT brains of 116 acute ischemic stroke patients treated with intravenous
thrombolysis were collected retrospectively (including 16 who developed SICH).
The sample was split into training (n = 106) and test sets (n = 10), repeatedly
for 1760 different combinations. CT brain images acted as inputs into a support
vector machine (SVM), along with clinical severity. Performance of the SVM was
compared with established prognostication tools (SEDAN and HAT scores; original,
or after adaptation to our cohort). Predictive performance, assessed as area
under receiver-operating-characteristic curve (AUC), of the SVM (0.744) compared
favourably with that of prognostic scores (original and adapted versions: 0.626
0.720; p < 0.01). The SVM also identified 9 out of 16 SICHs, as opposed to 1-5
using prognostic scores, assuming a 10% SICH frequency (p < 0.001). In summary,
machine learning methods applied to acute stroke CT images offer automation, and
potentially improved performance, for prediction of SICH following thrombolysis.
Larger-scale cohorts, and incorporation of advanced imaging, should be tested
with such methods.
PMID- 24936413
TI - Striatal disorders dissociate mechanisms of enhanced and impaired response
selection - Evidence from cognitive neurophysiology and computational modelling.
AB - Paradoxically enhanced cognitive processes in neurological disorders provide
vital clues to understanding neural function. However, what determines whether
the neurological damage is impairing or enhancing is unclear. Here we use the
performance of patients with two disorders of the striatum to dissociate
mechanisms underlying cognitive enhancement and impairment resulting from damage
to the same system. In a two-choice decision task, Huntington's disease patients
were faster and less error prone than controls, yet a patient with the rare
condition of benign hereditary chorea (BHC) was both slower and more error prone.
EEG recordings confirmed significant differences in neural processing between the
groups. Analysis of a computational model revealed that the common loss of
connectivity between striatal neurons in BHC and Huntington's disease impairs
response selection, but the increased sensitivity of NMDA receptors in
Huntington's disease potentially enhances response selection. Crucially the model
shows that there is a critical threshold for increased sensitivity: below that
threshold, impaired response selection results. Our data and model thus predict
that specific striatal malfunctions can contribute to either impaired or enhanced
selection, and provide clues to solving the paradox of how Huntington's disease
can lead to both impaired and enhanced cognitive processes.
PMID- 24936411
TI - Associations between white matter microstructure and amyloid burden in
preclinical Alzheimer's disease: A multimodal imaging investigation.
AB - Some cognitively healthy individuals develop brain amyloid accumulation,
suggestive of incipient Alzheimer's disease (AD), but the effect of amyloid on
other potentially informative imaging modalities, such as Diffusion Tensor
Imaging (DTI), in characterizing brain changes in preclinical AD requires further
exploration. In this study, a sample (N = 139, mean age 60.6, range 46 to 71)
from the Wisconsin Registry for Alzheimer's Prevention (WRAP), a cohort enriched
for AD risk factors, was recruited for a multimodal imaging investigation that
included DTI and [C-11]Pittsburgh Compound B (PiB) positron emission tomography
(PET). Participants were grouped as amyloid positive (Abeta+), amyloid
indeterminate (Abetai), or amyloid negative (Abeta-) based on the amount and
pattern of amyloid deposition. Regional voxel-wise analyses of four DTI metrics,
fractional anisotropy (FA), mean diffusivity (MD), axial diffusivity (Da), and
radial diffusivity (Dr), were performed based on amyloid grouping. Three regions
of interest (ROIs), the cingulum adjacent to the corpus callosum, hippocampal
cingulum, and lateral fornix, were selected based on their involvement in the
early stages of AD. Voxel-wise analysis revealed higher FA among Abeta+ compared
to Abeta- in all three ROIs and in Abetai compared to Abeta- in the cingulum
adjacent to the corpus callosum. Follow-up exploratory whole-brain analyses were
consistent with the ROI findings, revealing multiple regions where higher FA was
associated with greater amyloid. Lower fronto-lateral gray matter MD was
associated with higher amyloid burden. Further investigation showed a negative
correlation between MD and PiB signal, suggesting that Abeta accumulation impairs
diffusion. Interestingly, these findings in a largely presymptomatic sample are
in contradistinction to relationships reported in the literature in symptomatic
disease stages of Mild Cognitive Impairment and AD, which usually show higher MD
and lower FA. Together with analyses showing that cognitive function in these
participants is not associated with any of the four DTI metrics, the present
results suggest an early relationship between PiB and DTI, which may be a
meaningful indicator of the initiating or compensatory mechanisms of AD prior to
cognitive decline.
PMID- 24936415
TI - Age independently affects myelin integrity as detected by magnetization transfer
magnetic resonance imaging in multiple sclerosis.
AB - BACKGROUND: Multiple sclerosis (MS) is a heterogeneous disorder with a
progressive course that is difficult to predict on a case-by-case basis. Natural
history studies of MS have demonstrated that age influences clinical progression
independent of disease duration. OBJECTIVE: To determine whether age would be
associated with greater CNS injury as detected by magnetization transfer MRI.
MATERIALS AND METHODS: Forty MS patients were recruited from out-patient clinics
into two groups stratified by age but with similar clinical disease duration as
well as thirteen controls age-matched to the older MS group. Images were
segmented by automated programs and blinded readers into normal appearing white
matter (NAWM), normal appearing gray matter (NAGM), and white matter lesions
(WMLs) and gray matter lesions (GMLs) in the MS groups. WML and GML were
delineated on T2-weighted 3D fluid-attenuated inversion recovery (FLAIR) and T1
weighted MRI volumes. Mean magnetization transfer ratio (MTR), region volume, as
well as MTR histogram skew and kurtosis were calculated for each region. RESULTS:
All MTR measures in NAGM and MTR histogram metrics in NAWM differed between MS
subjects and controls, as expected and previously reported by several studies,
but not between MS groups. However, MTR measures in the WML did significantly
differ between the MS groups, in spite of no significant differences in lesion
counts and volumes. CONCLUSIONS: Despite matching for clinical disease duration
and recording no significant WML volume difference, we demonstrated strong MTR
differences in WMLs between younger and older MS patients. These data suggest
that aging-related processes modify the tissue response to inflammatory injury
and its clinical outcome correlates in MS.
PMID- 24936416
TI - Characterizing the microstructural basis of "unidentified bright objects" in
neurofibromatosis type 1: A combined in vivo multicomponent T2 relaxation and
multi-shell diffusion MRI analysis.
AB - INTRODUCTION: The histopathological basis of "unidentified bright objects" (UBOs)
(hyperintense regions seen on T2-weighted magnetic resonance (MR) brain scans in
neurofibromatosis-1 (NF1)) remains unclear. New in vivo MRI-based techniques
(multi-exponential T2 relaxation (MET2) and diffusion MR imaging (dMRI)) provide
measures relating to microstructural change. We combined these methods and
present previously unreported data on in vivo UBO microstructure in NF1. METHODS:
3-Tesla dMRI data were acquired on 17 NF1 patients, covering 30 white matter
UBOs. Diffusion tensor, kurtosis and neurite orientation and dispersion density
imaging parameters were calculated within UBO sites and in contralateral normal
appearing white matter (cNAWM). Analysis of MET2 parameters was performed on 24
UBO-cNAWM pairs. RESULTS: No significant alterations in the myelin water fraction
and intra- and extracellular (IE) water fraction were found. Mean T2 time of IE
water was significantly higher in UBOs. UBOs furthermore showed increased axial,
radial and mean diffusivity, and decreased fractional anisotropy, mean kurtosis
and neurite density index compared to cNAWM. Neurite orientation dispersion and
isotropic fluid fraction were unaltered. CONCLUSION: Our results suggest that
demyelination and axonal degeneration are unlikely to be present in UBOs, which
appear to be mainly caused by a shift towards a higher T2-value of the intra- and
extracellular water pool. This may arise from altered microstructural
compartmentalization, and an increase in 'extracellular-like', intracellular
water, possibly due to intramyelinic edema. These findings confirm the added
value of combining dMRI and MET2 to characterize the microstructural basis of T2
hyperintensities in vivo.
PMID- 24936417
TI - Widespread reductions of white matter integrity in patients with long-term
remission of Cushing's disease.
AB - BACKGROUND: Hypercortisolism leads to various physical, psychological and
cognitive symptoms, which may partly persist after the treatment of Cushing's
disease. The aim of the present study was to investigate abnormalities in white
matter integrity in patients with long-term remission of Cushing's disease, and
their relation with psychological symptoms, cognitive impairment and clinical
characteristics. METHODS: In patients with long-term remission of Cushing's
disease (n = 22) and matched healthy controls (n = 22) we examined fractional
anisotropy (FA) values of white matter in a region-of-interest (ROI; bilateral
cingulate cingulum, bilateral hippocampal cingulum, bilateral uncinate fasciculus
and corpus callosum) and the whole brain, using 3 T diffusion tensor imaging
(DTI) and a tract-based spatial statistics (TBSS) approach. Psychological and
cognitive functioning were assessed with validated questionnaires and clinical
severity was assessed using the Cushing's syndrome Severity Index. RESULTS: The
ROI analysis showed FA reductions in all of the hypothesized regions, with the
exception of the bilateral hippocampal cingulum, in patients when compared to
controls. The exploratory whole brain analysis showed multiple regions with lower
FA values throughout the brain. Patients reported more apathy (p = .003) and more
depressive symptoms (p < .001), whereas depression symptom severity in the
patient group was negatively associated with FA in the left uncinate fasciculus
(p < 0.05). Post-hoc analyses showed increased radial and mean diffusivity in the
patient group. CONCLUSION: Patients with a history of endogenous hypercortisolism
in present remission show widespread changes of white matter integrity in the
brain, with abnormalities in the integrity of the uncinate fasciculus being
related to the severity of depressive symptoms, suggesting persistent structural
effects of hypercortisolism.
PMID- 24936418
TI - Patient-specific connectivity pattern of epileptic network in frontal lobe
epilepsy.
AB - There is evidence that focal epilepsy may involve the dysfunction of a brain
network in addition to the focal region. To delineate the characteristics of this
epileptic network, we collected EEG/fMRI data from 23 patients with frontal lobe
epilepsy. For each patient, EEG/fMRI analysis was first performed to determine
the BOLD response to epileptic spikes. The maximum activation cluster in the
frontal lobe was then chosen as the seed to identify the epileptic network in
fMRI data. Functional connectivity analysis seeded at the same region was also
performed in 63 healthy control subjects. Nine features were used to evaluate the
differences of epileptic network patterns in three connection levels between
patients and controls. Compared with control subjects, patients showed overall
more functional connections between the epileptogenic region and the rest of the
brain and higher laterality. However, the significantly increased connections
were located in the neighborhood of the seed, but the connections between the
seed and remote regions actually decreased. Comparing fMRI runs with interictal
epileptic discharges (IEDs) and without IEDs, the patient-specific connectivity
pattern was not changed significantly. These findings regarding patient-specific
connectivity patterns of epileptic networks in FLE reflect local high
connectivity and connections with distant regions differing from those of healthy
controls. Moreover, the difference between the two groups in most features was
observed in the strictest of the three connection levels. The abnormally high
connectivity might reflect a predominant attribute of the epileptic network,
which may facilitate propagation of epileptic activity among regions in the
network.
PMID- 24936420
TI - Biased binomial assessment of cross-validated estimation of classification
accuracies illustrated in diagnosis predictions.
AB - Multivariate classification is used in neuroimaging studies to infer brain
activation or in medical applications to infer diagnosis. Their results are often
assessed through either a binomial or a permutation test. Here, we simulated
classification results of generated random data to assess the influence of the
cross-validation scheme on the significance of results. Distributions built from
classification of random data with cross-validation did not follow the binomial
distribution. The binomial test is therefore not adapted. On the contrary, the
permutation test was unaffected by the cross-validation scheme. The influence of
the cross-validation was further illustrated on real-data from a brain-computer
interface experiment in patients with disorders of consciousness and from an fMRI
study on patients with Parkinson disease. Three out of 16 patients with disorders
of consciousness had significant accuracy on binomial testing, but only one
showed significant accuracy using permutation testing. In the fMRI experiment,
the mental imagery of gait could discriminate significantly between idiopathic
Parkinson's disease patients and healthy subjects according to the permutation
test but not according to the binomial test. Hence, binomial testing could lead
to biased estimation of significance and false positive or negative results. In
our view, permutation testing is thus recommended for clinical application of
classification with cross-validation.
PMID- 24936419
TI - Gray matter alterations in chronic pain: A network-oriented meta-analytic
approach.
AB - Several studies have attempted to characterize morphological brain changes due to
chronic pain. Although it has repeatedly been suggested that longstanding pain
induces gray matter modifications, there is still some controversy surrounding
the direction of the change (increase or decrease in gray matter) and the role of
psychological and psychiatric comorbidities. In this study, we propose a novel,
network-oriented, meta-analytic approach to characterize morphological changes in
chronic pain. We used network decomposition to investigate whether different
kinds of chronic pain are associated with a common or specific set of altered
networks. Representational similarity techniques, network decomposition and model
based clustering were employed: i) to verify the presence of a core set of brain
areas commonly modified by chronic pain; ii) to investigate the involvement of
these areas in a large-scale network perspective; iii) to study the relationship
between altered networks and; iv) to find out whether chronic pain targets
clusters of areas. Our results showed that chronic pain causes both core and
pathology-specific gray matter alterations in large-scale networks. Common
alterations were observed in the prefrontal regions, in the anterior insula,
cingulate cortex, basal ganglia, thalamus, periaqueductal gray, post- and pre
central gyri and inferior parietal lobule. We observed that the salience and
attentional networks were targeted in a very similar way by different chronic
pain pathologies. Conversely, alterations in the sensorimotor and attention
circuits were differentially targeted by chronic pain pathologies. Moreover,
model-based clustering revealed that chronic pain, in line with some
neurodegenerative diseases, selectively targets some large-scale brain networks.
Altogether these findings indicate that chronic pain can be better conceived and
studied in a network perspective.
PMID- 24936421
TI - Enhanced subgenual cingulate response to altruistic decisions in remitted major
depressive disorder.
AB - BACKGROUND: Major depressive disorder (MDD) is associated with functional
abnormalities in fronto-meso-limbic networks contributing to decision-making,
affective and reward processing impairments. Such functional disturbances may
underlie a tendency for enhanced altruism driven by empathy-based guilt observed
in some patients. However, despite the relevance of altruistic decisions to
understanding vulnerability, as well as everyday psychosocial functioning, in
MDD, their functional neuroanatomy is unknown. METHODS: Using a charitable
donations experiment with fMRI, we compared 14 medication-free participants with
fully remitted MDD and 15 demographically-matched control participants without
MDD. RESULTS: Compared with the control group, the remitted MDD group exhibited
enhanced BOLD response in a septal/subgenual cingulate cortex (sgACC) region for
charitable donation relative to receiving simple rewards and higher striatum
activation for both charitable donation and simple reward relative to a low level
baseline. The groups did not differ in demographics, frequency of donations or
response times, demonstrating only a difference in neural architecture.
CONCLUSIONS: We showed that altruistic decisions probe residual sgACC
hypersensitivity in MDD even after symptoms are fully remitted. The sgACC has
previously been shown to be associated with guilt which promotes altruistic
decisions. In contrast, the striatum showed common activation to both simple and
altruistic rewards and could be involved in the so-called "warm glow" of
donation. Enhanced neural response in the depression group, in areas previously
linked to altruistic decisions, supports the hypothesis of a possible association
between hyper-altruism and depression vulnerability, as shown by recent
epidemiological studies.
PMID- 24936422
TI - In vivo axonal transport deficits in a mouse model of fronto-temporal dementia.
AB - BACKGROUND: Axonal transport is vital for neurons and deficits in this process
have been previously reported in a few mouse models of Alzheimer's disease prior
to the appearance of plaques and tangles. However, it remains to be determined
whether axonal transport is defective prior to the onset of neurodegeneration.
The rTg4510 mouse, a fronto-temporal dementia and parkinsonism-17 (FTDP-17)
tauopathy model, over-express tau-P301L mutation found in familial forms of FTDP
17, in the forebrain driven by the calcium-calmodulin kinase II promoter. This
mouse model exhibits tau pathology, neurodegeneration in the forebrain, and
associated behavioral deficits beginning at 4-5 months of age. ANIMAL MODEL:
rTg4510 transgenic mice were used in these studies. Mice were given 2 MUL of
MnCl2 in each nostril 1 h prior to Magnetic Resonance Imaging (MRI). Following
MnCl2 nasal lavage, mice were imaged using Manganese enhanced Magnetic Resonance
Imaging (MEMRI) Protocol with TE = 8.5 ms, TR = 504 ms, FOV = 3.0 cm, matrix size
= 128 * 128 * 128, number of cycles = 15 with each cycle taking approximately 2
min, 9 s, and 24 ms using Paravision software (BrukerBioSpin, Billerica, MA).
During imaging, body temperature was maintained at 37.0 degrees C using an
animal heating system (SA Instruments, Stony Brook, NY). DATA ANALYSIS: Resulting
images were analyzed using Paravision software. Regions of interest (ROI) within
the olfactory neuronal layer (ONL) and the water phantom consisting of one pixel
(ONL) and 9 pixels (water) were selected and copied across each of the 15 cycles.
Signal intensities (SI) of ONL and water phantom ROIs were measured. SI values
obtained for ONL were then normalized the water phantom SI values. The
correlation between normalized signal intensity in the ONL and time were assessed
using Prism (GraphPad Software, San Diego, CA). RESULTS: Using the MEMRI
technique on 1.5, 3, 5, and 10-month old rTg4510 mice and littermate controls, we
found significant axonal transport deficits present in the rTg4510 mice beginning
at 3 months of age in an age-dependent manner. Using linear regression analysis,
we measured rates of axonal transport at 1.5, 3, 5, and 10 months of age in
rTg4510 and WT mice. Axonal transport rates were observed in rTg4510 mice at 48%
of WT levels at 3 months, 40% of WT levels at 5 months, and 30% of WT levels at
10 months of age. In order to determine the point at which tau appears in the
cortex, we probed for phosphorylated tau levels, and found that pSer262 is
present at 3 months of age, not earlier at 1.5 months of age, but observed no
pathological tau species until 6 months of age, months after the onset of the
transport deficits. In addition, we saw localization of tau in the ONL at 6
months of age. DISCUSSION: In our study, we identified the presence of age
dependent axonal transport deficits beginning at 3 months of age in rTg4510 mice.
We correlated these deficits at 3 months to the presence of hyperphosphorylated
tau in the brain and the presence within the olfactory epithelium. We observed
tau pathology not only in the soma of these neurons but also within the axons and
processes of these neurons. Our characterization of axonal transport in this
tauopathy model provides a functional time point that can be used for future
therapeutic interventions.
PMID- 24936423
TI - Longitudinal deformation models, spatial regularizations and learning strategies
to quantify Alzheimer's disease progression.
AB - In the context of Alzheimer's disease, two challenging issues are (1) the
characterization of local hippocampal shape changes specific to disease
progression and (2) the identification of mild-cognitive impairment patients
likely to convert. In the literature, (1) is usually solved first to detect areas
potentially related to the disease. These areas are then considered as an input
to solve (2). As an alternative to this sequential strategy, we investigate the
use of a classification model using logistic regression to address both issues
(1) and (2) simultaneously. The classification of the patients therefore does not
require any a priori definition of the most representative hippocampal areas
potentially related to the disease, as they are automatically detected. We first
quantify deformations of patients' hippocampi between two time points using the
large deformations by diffeomorphisms framework and transport these deformations
to a common template. Since the deformations are expected to be spatially
structured, we perform classification combining logistic loss and spatial
regularization techniques, which have not been explored so far in this context,
as far as we know. The main contribution of this paper is the comparison of
regularization techniques enforcing the coefficient maps to be spatially smooth
(Sobolev), piecewise constant (total variation) or sparse (fused LASSO) with
standard regularization techniques which do not take into account the spatial
structure (LASSO, ridge and ElasticNet). On a dataset of 103 patients out of
ADNI, the techniques using spatial regularizations lead to the best
classification rates. They also find coherent areas related to the disease
progression.
PMID- 24936426
TI - Individual classification of children with epilepsy using support vector machine
with multiple indices of diffusion tensor imaging.
AB - INTRODUCTION: Support vector machines (SVM) have recently been demonstrated to be
useful for voxel-based MR image classification. In the present study we sought to
evaluate whether this method is feasible in the classification of childhood
epilepsy intractability based on diffusion tensor imaging (DTI), with adequate
accuracy. We applied SVM in conjunction DTI indices of fractional anisotropy
(FA), mean diffusivity (MD), radial diffusivity (RD) and axial diffusivity (AD).
DTI studies have reported white matter abnormalities in childhood-onset epilepsy,
but the mechanisms underlying these abnormalities are not well understood. The
aim of this study was to examine the relationship between epileptic seizures and
cerebral white matter abnormalities identified by DTI in children with active
compared to remitted epilepsy utilizing an automated and unsupervised
classification method. METHODS: The DTI data were tensor-derived indices
including FA, MD, AD and RD in 49 participants including 20 children with
epilepsy 5-6 years after seizure onset as compared to healthy controls. To
determine whether there was normalization of white matter diffusion behavior
following cessation of seizures and treatment, the epilepsy subjects were grouped
into those with active versus remitted epilepsy. Group comparisons were
previously made examining FA, MD and RD via whole-brain tract-based spatial
statistics (TBSS). The SVM analysis was undertaken with the WEKA software package
with 10-fold cross validation. Weighted sensitivity, specificity and accuracy
were measured for all the DTI indices for two classifications: (1) controls vs.
all children with epilepsy and (2) controls vs. children with remitted epilepsy
vs. children with active epilepsy. RESULTS: Using TBSS, significant differences
were identified between controls and all children with epilepsy, between controls
and children with active epilepsy, and also between the active and remitted
epilepsy groups. There were no significant differences between the remitted
epilepsy and controls on any DTI measure. In the SVM analysis, the best predictor
between controls and all children with epilepsy was MD, with a sensitivity of 90
100% and a specificity between 96.6 and 100%. For the three-way classification,
the best results were for FA with 100% sensitivity and specificity. CONCLUSION:
DTI-based SVM classification appears promising for distinguishing children with
active epilepsy from either those with remitted epilepsy or controls, and the
question that arises is whether it will prove useful as a prognostic index of
seizure remission. While SVM can correctly identify children with active epilepsy
from other groups' diagnosis, further research is needed to determine the
efficacy of SVM as a prognostic tool in longitudinal clinical studies.
PMID- 24936427
TI - Modified human contrast sensitivity function based phase mask for susceptibility
weighted imaging.
AB - The aim of the work is to increase the visual information in magnetic resonance
imaging based susceptibility weighted images. Our approach is to amplify spatial
frequency information of the phase mask used to increase susceptibility weighting
using a modified version of the human contrast sensitivity function. Thereby, we
propose a modified version of the human contrast sensitivity function for use in
phase mask creation. Comparison with conventional susceptibility-weighted imaging
was undertaken on a qualitative basis and quantitatively with a number of
established image quality metrics on ex vivo mouse brain magnetic resonance
images obtained at 16.4 T at various echo times. Four experts also compared the
quality of in vivo 1.5 and 3 T human brain magnetic resonance images generated
with traditional susceptibility weighted imaging and with the new method. We
found that parameters of the modified human contrast sensitivity function can be
chosen to improve delineation of structural detail of mouse and human brains.
Information contained in susceptibility-weighted images generated using the
modified human contrast sensitivity function based phase mask corresponds to that
in the conventional method, however the visual range over which it is depicted
has improved visual perception. Hence, qualitative evaluation of information
contained in susceptibility-weighted images can be improved by amplifying spatial
frequencies where human contrast sensitivity is reduced.
PMID- 24936424
TI - White matter microstructure in late middle-age: Effects of apolipoprotein E4 and
parental family history of Alzheimer's disease.
AB - INTRODUCTION: Little is still known about the effects of risk factors for
Alzheimer's disease (AD) on white matter microstructure in cognitively healthy
adults. The purpose of this cross-sectional study was to assess the effect of two
well-known risk factors for AD, parental family history and APOE4 genotype.
METHODS: This study included 343 participants from the Wisconsin Registry for
Alzheimer's Prevention, who underwent diffusion tensor imaging (DTI). A region of
interest analysis was performed on fractional anisotropy maps, in addition to
mean, radial, and axial diffusivity maps, aligned to a common template space
using a diffeomorphic, tensor-based registration method. The analysis focused on
brain regions known to be affected in AD including the corpus callosum, superior
longitudinal fasciculus, fornix, cingulum, and uncinate fasciculus. Analyses
assessed the impact of APOE4, parental family history of AD, age, and sex on
white matter microstructure in late middle-aged participants (aged 47-76 years).
RESULTS: Both APOE4 and parental family history were associated with
microstructural white matter differences. Participants with parental family
history of AD had higher FA in the genu of the corpus callosum and the superior
longitudinal fasciculus. We observed an interaction between family history and
APOE4, where participants who were family history positive but APOE4 negative had
lower axial diffusivity in the uncinate fasciculus, and participants who were
both family history positive and APOE4 positive had higher axial diffusivity in
this region. We also observed an interaction between APOE4 and age, whereby older
participants (=65 years of age) who were APOE4 carriers, had higher MD in the
superior longitudinal fasciculus and in the portion of the cingulum bundle
running adjacent to the cingulate cortex, compared to non-carriers. Older
participants who were APOE4 carriers also showed higher radial diffusivity in the
genu compared to non-carriers. Across all participants, age had an effect on FA,
MD, and axial and radial diffusivities. Sex differences were observed in FA and
radial diffusivity. CONCLUSION: APOE4 genotype, parental family history of AD,
age, and sex are all associated with microstructural white matter differences in
late middle-aged adults. In participants at risk for AD, alterations in diffusion
characteristics-both expected and unexpected-may represent cellular changes
occurring at the earliest disease stages, but further work is needed. Higher
mean, radial, and axial diffusivities were observed in participants who are more
likely to be experiencing later stage preclinical pathology, including
participants who were both older and carried APOE4, or who were positive for both
APOE4 and parental family history of AD.
PMID- 24936425
TI - Understanding disease processes in multiple sclerosis through magnetic resonance
imaging studies in animal models.
AB - There are exciting new advances in multiple sclerosis (MS) resulting in a growing
understanding of both the complexity of the disorder and the relative involvement
of grey matter, white matter and inflammation. Increasing need for preclinical
imaging is anticipated, as animal models provide insights into the
pathophysiology of the disease. Magnetic resonance (MR) is the key imaging tool
used to diagnose and to monitor disease progression in MS, and thus will be a
cornerstone for future research. Although gadolinium-enhancing and T2 lesions on
MRI have been useful for detecting MS pathology, they are not correlative of
disability. Therefore, new MRI methods are needed. Such methods require
validation in animal models. The increasing necessity for MRI of animal models
makes it critical and timely to understand what research has been conducted in
this area and what potential there is for use of MRI in preclinical models of MS.
Here, we provide a review of MRI and magnetic resonance spectroscopy (MRS)
studies that have been carried out in animal models of MS that focus on
pathology. We compare the MRI phenotypes of animals and patients and provide
advice on how best to use animal MR studies to increase our understanding of the
linkages between MR and pathology in patients. This review describes how MRI
studies of animal models have been, and will continue to be, used in the ongoing
effort to understand MS.
PMID- 24936429
TI - The reliability of the N400 in single subjects: implications for patients with
disorders of consciousness.
AB - Functional neuroimaging assessments of residual cognitive capacities, including
those that support language, can improve diagnostic and prognostic accuracy in
patients with disorders of consciousness. Due to the portability and relative
inexpensiveness of electroencephalography, the N400 event-related potential
component has been proposed as a clinically valid means to identify preserved
linguistic function in non-communicative patients. Across three experiments, we
show that changes in both stimuli and task demands significantly influence the
probability of detecting statistically significant N400 effects - that is, the
difference in N400 amplitudes caused by the experimental manipulation. In terms
of task demands, passively heard linguistic stimuli were significantly less
likely to elicit N400 effects than task-relevant stimuli. Due to the inability of
the majority of patients with disorders of consciousness to follow task commands,
the insensitivity of passive listening would impede the identification of
residual language abilities even when such abilities exist. In terms of stimuli,
passively heard normatively associated word pairs produced the highest detection
rate of N400 effects (50% of the participants), compared with semantically
similar word pairs (0%) and high-cloze sentences (17%). This result is consistent
with a prediction error account of N400 magnitude, with highly predictable
targets leading to smaller N400 waves, and therefore larger N400 effects.
Overall, our data indicate that non-repeating normatively associated word pairs
provide the highest probability of detecting single-subject N400s during passive
listening, and may thereby provide a clinically viable means of assessing
residual linguistic function. We also show that more liberal analyses may further
increase the detection-rate, but at the potential cost of increased false alarms.
PMID- 24936428
TI - Disruption of structure-function coupling in the schizophrenia connectome.
AB - Neuroimaging studies have demonstrated that the phenomenology of schizophrenia
maps onto diffuse alterations in large-scale functional and structural brain
networks. However, the relationship between structural and functional deficits
remains unclear. To answer this question, patients with established schizophrenia
and matched healthy controls underwent resting-state functional and diffusion
weighted imaging. The network-based statistic was used to characterize between
group differences in whole-brain functional connectivity. Indices of white matter
integrity were then estimated to assess the structural correlates of the
functional alterations observed in patients. Finally, group differences in the
relationship between indices of functional and structural brain connectivity were
determined. Compared to controls, patients with schizophrenia showed decreased
functional connectivity and impaired white matter integrity in a distributed
network encompassing frontal, temporal, thalamic, and striatal regions. In
controls, strong interregional coupling in neural activity was associated with
well-myelinated white matter pathways in this network. This correspondence
between structure and function appeared to be absent in patients with
schizophrenia. In two additional disrupted functional networks, encompassing
parietal, occipital, and temporal cortices, the relationship between function and
structure was not affected. Overall, results from this study highlight the
importance of considering not only the separable impact of functional and
structural connectivity deficits on the pathoaetiology of schizophrenia, but also
the implications of the complex nature of their interaction. More specifically,
our findings support the core nature of fronto-striatal, fronto-thalamic, and
fronto-temporal abnormalities in the schizophrenia connectome.
PMID- 24936430
TI - Abnormal brain structure in youth who commit homicide.
AB - BACKGROUND: Violence that leads to homicide results in an extreme financial and
emotional burden on society. Juveniles who commit homicide are often tried in
adult court and typically spend the majority of their lives in prison. Despite
the enormous costs associated with homicidal behavior, there have been no serious
neuroscientific studies examining youth who commit homicide. METHODS: Here we use
neuroimaging and voxel-based morphometry to examine brain gray matter in
incarcerated male adolescents who committed homicide (n = 20) compared with
incarcerated offenders who did not commit homicide (n = 135). Two additional
control groups were used to understand further the nature of gray matter
differences: incarcerated offenders who did not commit homicide matched on
important demographic and psychometric variables (n = 20) and healthy
participants from the community (n = 21). RESULTS: Compared with incarcerated
adolescents who did not commit homicide (n = 135), incarcerated homicide
offenders had reduced gray matter volumes in the medial and lateral temporal
lobes, including the hippocampus and posterior insula. Feature selection and
support vector machine learning classified offenders into the homicide and non
homicide groups with 81% overall accuracy. CONCLUSIONS: Our results indicate that
brain structural differences may help identify those at the highest risk for
committing serious violent offenses.
PMID- 24936431
TI - Prefrontal responses to digit span memory phases in patients with post-traumatic
stress disorder (PTSD): a functional near infrared spectroscopy study.
AB - Neuroimaging studies of post-traumatic stress disorder (PTSD)-related memory
impairments have consistently implicated abnormal activities in the frontal and
parietal lobes. However, most studies have used block designs and could not
dissociate the multiple phases of working memory. In this study, the involvement
of the prefrontal cortex in working memory phases was assessed among veterans
with PTSD and age-/gender-matched healthy controls. Multichannel functional near
infrared spectroscopy (fNIRS) was utilized to measure prefrontal cortex
hemodynamic activations during memory of neutral (i.e., not trauma-related)
forward and backward digit span tasks. An event-related experimental design was
utilized to dissociate the different phases (i.e., encoding, maintenance and
retrieval) of working memory. The healthy controls showed robust hemodynamic
activations during the encoding and retrieval processes. In contrast, the
veterans with PTSD were found to have activations during the encoding process,
but followed by distinct deactivations during the retrieval process. The PTSD
participants, but not the controls, appeared to suppress prefrontal activity
during memory retrieval. This deactivation was more pronounced in the right
dorsolateral prefrontal cortex during the retrieval phase. These deactivations in
PTSD patients might implicate an active inhibition of dorsolateral prefrontal
neural activity during retrieval of working memory.
PMID- 24936432
TI - Developmental differences in higher-order resting-state networks in Autism
Spectrum Disorder.
AB - OBJECTIVE: Autism Spectrum Disorder (ASD) has been associated with a complex
pattern of increases and decreases in resting-state functional connectivity. The
developmental disconnection hypothesis of ASD poses that shorter connections
become overly well established with development in this disorder, at the cost of
long-range connections. Here, we investigated resting-state connectivity in
relatively young boys with ASD and typically developing children. We hypothesized
that ASD would be associated with reduced connectivity between networks, and
increased connectivity within networks, reflecting poorer integration and
segregation of functional networks in ASD. METHODS: We acquired resting-state
fMRI from 27 boys with ASD and 29 age- and IQ-matched typically developing boys
between 6 and 16 years of age. Functional connectivity networks were identified
using Independent Component Analysis (ICA). Group comparisons were conducted
using permutation testing, with and without voxel-wise correction for grey matter
density. RESULTS: We found no between-group differences in within-network
connectivity. However, we did find reduced functional connectivity between two
higher-order cognitive networks in ASD. Furthermore, we found an interaction
effect with age in the DMN: insula connectivity increased with age in ASD,
whereas it decreased in typically developing children. CONCLUSIONS: These results
show subtle changes in between network connectivity in relatively young boys with
ASD. However, the global architecture of resting-state networks appeared to be
intact. This argues against recent suggestions that changes in connectivity in
ASD may be the most prominent during development.
PMID- 24936433
TI - Strategic lacunes and their relationship to cognitive impairment in cerebral
small vessel disease.
AB - OBJECTIVES: Lacunes are an important disease feature of cerebral small vessel
disease (SVD) but their relationship to cognitive impairment is not fully
understood. To investigate this we determined (1) the relationship between lacune
count and total lacune volume with cognition, (2) the spatial distribution of
lacunes and the cognitive impact of lacune location, and (3) the whole brain
anatomical covariance associated with these strategically located regions of
lacune damage. METHODS: One hundred and twenty one patients with symptomatic
lacunar stroke and radiological leukoaraiosis were recruited and multimodal MRI
and neuropsychological data acquired. Lacunes were mapped semi-automatically and
their volume calculated. Lacune location was automatically determined by
projection onto atlases, including an atlas which segments the thalamus based on
its connectivity to the cortex. Lacune locations were correlated with
neuropsychological results. Voxel based morphometry was used to create anatomical
covariance maps for these 'strategic' regions. RESULTS: Lacune number and lacune
volume were positively associated with worse executive function (number p <
0.001; volume p < 0.001) and processing speed (number p < 0.001; volume p <
0.001). Thalamic lacunes, particularly those in regions with connectivity to the
prefrontal cortex, were associated with impaired processing speed (Bonferroni
corrected p = 0.016). Regions of associated anatomical covariance included the
medial prefrontal, orbitofrontal, anterior insular cortex and the striatum.
CONCLUSION: Lacunes are important predictors of cognitive impairment in SVD. We
highlight the importance of spatial distribution, particularly of anteromedial
thalamic lacunes which are associated with impaired information processing speed
and may mediate cognitive impairment via disruption of connectivity to the
prefrontal cortex.
PMID- 24936434
TI - Specific brain morphometric changes in spinal cord injury with and without
neuropathic pain.
AB - Why only certain patients develop debilitating pain after spinal chord injury and
whether structural brain changes are implicated remain unknown. The aim of this
study was to determine if patients with chronic, neuropathic below-level pain
have specific cerebral changes compared to those who remain pain-free. Voxel
based morphometry of high resolution, T1-weighted images was performed on three
subject groups comprising patients with pain (SCI-P, n = 18), patients without
pain (SCI-N, n = 12) and age- and sex-matched controls (n = 18). The SCI-P group
was first compared directly with the SCI-N group and then subsequently with
controls. Overall, grey and white matter changes dependent on the presence of
pain were revealed. Significant changes were found within the somatosensory
cortex and also in corticospinal tracts and visual-processing areas. When the SCI
P group was directly compared with the SCI-N group, reduced grey matter volume
was found in the deafferented leg area of the somatosensory cortex bilaterally.
This region negatively correlated with pain intensity. Relative to controls, grey
matter in this paracentral primary sensory cortex was decreased in SCI-P but
conversely increased in SCI-N. When compared with controls, discrepant
corticospinal tract white matter reductions were found in SCI-P and in SCI-N. In
the visual cortex, SCI-N showed increased grey matter, whilst the SCI-N showed
reduced white matter. In conclusion, structural changes in SCI are related to the
presence and degree of below-level pain and involve but are not limited to the
sensorimotor cortices. Pain-related structural plasticity may hold clinical
implications for the prevention and management of refractory neuropathic pain.
PMID- 24936436
TI - Redox Biology celebrates its first anniversary with over 100 articles, Listing In
PubMed and 120,000 downloads with over 230 citations!
PMID- 24936435
TI - SOD1 oxidation and formation of soluble aggregates in yeast: relevance to
sporadic ALS development.
AB - Misfolding and aggregation of copper-zinc superoxide dismutase (Sod1) are
observed in neurodegenerative diseases such as amyotrophic lateral sclerosis
(ALS). Mutations in Sod1 lead to familial ALS (FALS), which is a late-onset
disease. Since oxidative damage to proteins increases with age, it had been
proposed that oxidation of Sod1 mutants may trigger their misfolding and
aggregation in FALS. However, over 90% of ALS cases are sporadic (SALS) with no
obvious genetic component. We hypothesized that oxidation could also trigger the
misfolding and aggregation of wild-type Sod1 and sought to confirm this in a
cellular environment. Using quiescent, stationary-phase yeast cells as a model
for non-dividing motor neurons, we probed for post-translational modification
(PTM) and aggregation of wild-type Sod1 extracted from these cells. By size
exclusion chromatography (SEC), we isolated two populations of Sod1 from yeast: a
low-molecular weight (LMW) fraction that is catalytically active and a
catalytically inactive, high-molecular weight (HMW) fraction. High-resolution
mass spectrometric analysis revealed that LMW Sod1 displays no PTMs but HMW Sod1
is oxidized at Cys146 and His71, two critical residues for the stability and
folding of the enzyme. HMW Sod1 is also oxidized at His120, a copper ligand,
which will promote loss of this catalytic metal cofactor essential for SOD
activity. Monitoring the fluorescence of a Sod1-green-fluorescent-protein fusion
(Sod1-GFP) extracted from yeast chromosomally expressing this fusion, we find
that HMW Sod1-GFP levels increase up to 40-fold in old cells. Thus, we speculate
that increased misfolding and inclusion into soluble aggregates is a consequence
of elevated oxidative modifications of wild-type Sod1 as cells age. Our
observations argue that oxidative damage to wild-type Sod1 initiates the protein
misfolding mechanisms that give rise to SALS.
PMID- 24936437
TI - Nox4 NADPH oxidase contributes to smooth muscle cell phenotypes associated with
unstable atherosclerotic plaques.
AB - Plaque instability associated with acute coronary syndromes results in part from
apoptosis and senescence of cells within the atherosclerotic (AS) lesion.
Increased cellular oxidative stress has been proposed to contribute to plaque
progression and changes in composition, leading to plaque instability. Our
objective was to examine the role of NADPH oxidase in smooth muscle cell (SMC)
phenotypes associated with an unstable plaque. Aortae were isolated from pre
lesion (8 weeks of age) and post-lesion (35 weeks of age) hypercholesterolemic
mice (ApoE(-/-)/LDLR(-/-), AS), and age-matched normal C57BL/6J mice. We observed
an age-dependent increase in reactive oxygen species (ROS) in aorta from AS mice,
with evidence for elevated ROS prior to lesion development. Whereas macrophage
infiltration was restricted to the lesion, oxidized lipids extended beyond the
plaque and into the vessel wall. Consistent with these findings, we observed
dynamic changes in the expression of NADPH oxidases in AS vessels. Specifically,
Nox1 expression was increased early and decreased with lesion progression, while
induction of Nox4 was a late event. Nox2 and p22(phox) were elevated throughout
lesion development. Similar to observations in aortae, SMCs isolated from the
lesion of AS aortae had decreased Nox1 and increased Nox4 levels as compared to
SMCs from normal mice. AS SMCs demonstrated increased generation of ROS, cell
cycle arrest, evidence of senescence, and increased susceptibility to apoptosis.
Overexpression of Nox4 in normal SMCs recapitulated the phenotypes of the AS
SMCs. We conclude that increased expression of Nox4 in AS may drive SMC
phenotypes that lead to the plaque instability and rupture responsible for
myocardial infarction and stroke.
PMID- 24936438
TI - Thiol-reactivity of the fungicide maneb.
AB - Maneb (MB) is a manganese-containing ethylene bis-dithiocarbamate fungicide that
is implicated as an environmental risk factor for Parkinson's disease, especially
in combination with paraquat (PQ). Dithiocarbamates inhibit aldehyde
dehydrogenases, but the relationship of this to the combined toxicity of MB + PQ
is unclear because PQ is an oxidant and MB activates Nrf2 and increases cellular
GSH without apparent oxidative stress. The present research investigated the
direct reactivity of MB with protein thiols using recombinant thioredoxin-1
(Trx1) as a model protein. The results show that MB causes stoichiometric loss of
protein thiols, reversibly dimerizes the protein and inhibits its enzymatic
activity. MB reacted at similar rates with low-molecular weight, thiol-containing
chemicals. Together, the data suggest that MB can potentiate neurotoxicity of
multiple agents by disrupting protein thiol functions in a manner analogous to
that caused by oxidative stress, but without GSH depletion.
PMID- 24936439
TI - A method for assessing mitochondrial bioenergetics in whole white adipose
tissues.
AB - Obesity is a primary risk factor for numerous metabolic diseases including
metabolic syndrome, type II diabetes (T2DM), cardiovascular disease and cancer.
Although classically viewed as a storage organ, the field of white adipose tissue
biology is expanding to include the consideration of the tissue as an endocrine
organ and major contributor to overall metabolism. Given its role in energy
production, the mitochondrion has long been a focus of study in metabolic
dysfunction and a link between the organelle and white adipose tissue function is
likely. Herein, we present a novel method for assessing mitochondrial
bioenergetics from whole white adipose tissue. This method requires minimal
manipulation of tissue, and eliminates the need for cell isolation and culture.
Additionally, this method overcomes some of the limitations to working with
transformed and/or isolated primary cells and allows for results to be obtained
more expediently. In addition to the novel method, we present a comprehensive
statistical analysis of bioenergetic data as well as guidelines for outlier
analysis.
PMID- 24936441
TI - Brain mitochondria from DJ-1 knockout mice show increased respiration-dependent
hydrogen peroxide consumption.
AB - Mutations in the DJ-1 gene have been shown to cause a rare autosomal-recessive
genetic form of Parkinson's disease (PD). The function of DJ-1 and its role in PD
development has been linked to multiple pathways, however its exact role in the
development of PD has remained elusive. It is thought that DJ-1 may play a role
in regulating reactive oxygen species (ROS) formation and overall oxidative
stress in cells through directly scavenging ROS itself, or through the regulation
of ROS scavenging systems such as glutathione (GSH) or thioredoxin (Trx) or ROS
producing complexes such as complex I of the electron transport chain. Previous
work in this laboratory has demonstrated that isolated brain mitochondria consume
H2O2 predominantly by the Trx/Thioredoxin Reductase (TrxR)/Peroxiredoxin (Prx)
system in a respiration dependent manner (Drechsel et al., Journal of Biological
Chemistry, 2010). Therefore we wanted to determine if mitochondrial H2O2
consumption was altered in brains from DJ-1 deficient mice (DJ-1(-/-)).
Surprisingly, DJ-1(-/-) mice showed an increase in mitochondrial respiration
dependent H2O2 consumption compared to controls. To determine the basis of the
increased H2O2 consumption in DJ1(-/-) mice, the activities of Trx, Thioredoxin
Reductase (TrxR), GSH, glutathione disulfide (GSSG) and glutathione reductase
(GR) were measured. Compared to control mice, brains from DJ-1(-/-) mice showed
an increase in (1) mitochondrial Trx activity, (2) GSH and GSSG levels and (3)
mitochondrial glutaredoxin (GRX) activity. Brains from DJ-1(-/-) mice showed a
decrease in mitochondrial GR activity compared to controls. The increase in the
enzymatic activities of mitochondrial Trx and total GSH levels may account for
the increased H2O2 consumption observed in the brain mitochondria in DJ-1(-/-)
mice perhaps as an adaptive response to chronic DJ-1 deficiency.
PMID- 24936440
TI - Oxygen delivery, consumption, and conversion to reactive oxygen species in
experimental models of diabetic retinopathy.
AB - Retinal tissue receives its supply of oxygen from two sources - the retinal and
choroidal circulations. Decreases in retinal blood flow occur in the early stages
of diabetes, with the eventual development of hypoxia thought to contribute to
pathological neovascularization. Oxygen consumption in the retina has been found
to decrease in diabetes, possibly due to either a reduction in neuronal
metabolism or to cell death. Diabetes also enhances the rate of conversion of
oxygen to superoxide in the retina, with experimental evidence suggesting that
mitochondrial superoxide not only drives the overall production of reactive
oxygen species, but also initiates several pathways leading to retinopathy,
including the increased activity of the polyol and hexosamine pathways, increased
production of advanced glycation end products and expression of their receptors,
and activation of protein kinase C.
PMID- 24936442
TI - Genetically induced oxidative stress in mice causes thrombocytosis, splenomegaly
and placental angiodysplasia that leads to recurrent abortion.
AB - Historical data in the 1950s suggests that 7%, 11%, 33%, and 87% of couples were
infertile by ages 30, 35, 40 and 45, respectively. Up to 22.3% of infertile
couples have unexplained infertility. Oxidative stress is associated with male
and female infertility. However, there is insufficient evidence relating to the
influence of oxidative stress on the maintenance of a viable pregnancy, including
pregnancy complications and fetal development. Recently, we have established Tet
mev-1 conditional transgenic mice, which can express the doxycycline-induced
mutant SDHC(V69E) transgene and experience mitochondrial respiratory chain
dysfunction leading to intracellular oxidative stress. In this report, we
demonstrate that this kind of abnormal mitochondrial respiratory chain-induced
chronic oxidative stress affects fertility, pregnancy and delivery rates as well
as causes recurrent abortions, occasionally resulting in maternal death. Despite
this, spermatogenesis and early embryogenesis are completely normal, indicating
the mutation's effects to be rather subtle. Female Tet-mev-1 mice exhibit
thrombocytosis and splenomegaly in both non-pregnant and pregnant mice as well as
placental angiodysplasia with reduced Flt-1 protein leading to hypoxic
conditions, which could contribute to placental inflammation and fetal abnormal
angiogenesis. Collectively these data strongly suggest that chronic oxidative
stress caused by mitochondrial mutations provokes spontaneous abortions and
recurrent miscarriage resulting in age-related female infertility.
PMID- 24936444
TI - Hyperglycaemia promotes human brain microvascular endothelial cell apoptosis via
induction of protein kinase C-betaI and prooxidant enzyme NADPH oxidase.
AB - Blood-brain barrier disruption represents a key feature in hyperglycaemia
aggravated cerebral damage after an ischaemic stroke. Although the underlying
mechanisms remain largely unknown, activation of protein kinase C (PKC) is
thought to play a critical role. This study examined whether apoptosis of human
brain microvascular endothelial cells (HBMEC) might contribute to hyperglycaemia
evoked barrier damage and assessed the specific role of PKC in this phenomenon.
Treatments with hyperglycaemia (25 mM) or phorbol myristate acetate (PMA, a
protein kinase C activator, 100 nM) significantly increased NADPH oxidase
activity, O2 (*-) generation, proapoptotic protein Bax expression, TUNEL-positive
staining and caspase-3/7 activities. Pharmacological inhibition of NADPH oxidase,
PKC-a, PKC-beta or PKC-betaI via their specific inhibitors and neutralisation of
O2 (*-) by a cell-permeable superoxide dismutase mimetic, MnTBAP normalised all
the aforementioned increases induced by hyperglycaemia. Suppression of these PKC
isoforms also negated the stimulatory effects of hyperglycaemia on the protein
expression of NADPH oxidase membrane-bound components, Nox2 and p22-phox which
determine the overall enzymatic activity. Silencing of PKC-betaI gene through use
of specific siRNAs abolished the effects of both hyperglycaemia and PMA on
endothelial cell NADPH oxidase activity, O2 (*-) production and apoptosis and
consequently improved the integrity and function of an in vitro model of human
cerebral barrier comprising HBMEC, astrocytes and pericytes. Hyperglycaemia
mediated apoptosis of HBMEC contributes to cerebral barrier dysfunction and is
modulated by sequential activations of PKC-betaI and NADPH oxidase.
PMID- 24936443
TI - Oxidative stress and DNA repair and detoxification gene expression in adolescents
exposed to heavy metals living in the Milazzo-Valle del Mela area (Sicily,
Italy).
AB - BACKGROUND: The area of Milazzo-Valle del Mela (Sicily, Italy) is considered at
high risk of environmental crisis by regional authorities. OBJECTIVE: To measure
oxidative-stress, DNA repair and detoxification genes in school children living
near the industrial area and in age-matched controls. METHODS: The parent study
was a biomonitoring investigation evaluating heavy metal urine levels in 226
children aged 12-14 years, living in the high risk area, and in 29 age-matched
controls living 45 km far from the industrial site. In the present study 67
exposed adolescents and 29 controls were included. Samples were analyzed for
urinary 8-hydroxydeoxyguanosine (8OHdG) levels, and gene expression of OGG1 (DNA
repair gene), NQO1, ST13, and MT1A (detoxifying genes). RESULTS: Urinary cadmium
was higher (p = 0.0004) in exposed [geometric mean, 0.46 ug/L; 25th-75th
percentile: 0.3-0.56] than in control adolescents [geometric mean, 0.26 ug/L;
25th-75th percentile: 0.2-0.3]. Chromium was also significantly elevated in
exposed [geometric mean, 1.52 ug/L; 25th-75th percentile: 1.19-1.93] compared
with controls [geometric mean, 1.25 ug/L; 25th-75th percentile: 1.05-1.48; p =
0.02]. Urinary 8-OHdG concentration was greater in exposed than in controls
(71.49 vs 61.87 ug/L, p = 0.02), and it was correlated with cadmium levels (r =
0.46, p < 0.0001), and with the combined exposure index (r = 0.43, p < 0.0001).
Moreover, cadmium levels showed a robust correlation with OGG1 and MT1A gene
expression levels (r = 0.44, p < 0.0001; r = 0.39, p < 0.0001, respectively).
Finally, OGG1 and MT1A were over-expressed in adolescents from Milazzo-Valle del
Mela area compared with controls (p = 0.0004; p < 0.0001, respectively).
CONCLUSIONS: Continuous exposure at relatively low concentrations of heavy metals
is associated with increased oxidative DNA damage and impaired expression of DNA
repair and detoxification genes in adolescents.
PMID- 24936445
TI - Role of Hyaluronic Acid Treatment in the Prevention of Keloid Scarring.
AB - BACKGROUND: Keloids are benign dermal scars characterized by enhanced growth
factor signaling, hyperproliferation activity and reduced extracellular matrix
(ECM) deposition of hyaluronic acid. Our hypothesis is that high molecular weight
HA can be used to replenish HA deposition in keloids thereby normalizing the
keloid fibroblast phenotype. METHODS: One normal (NF1) fibroblast culture and
five keloid (KF1, KF2, KF3, KF4, KF5) fibroblast cultures were analyzed for
changes in hyperproliferation, growth factor production and extracellular matrix
deposition following 72 hour treatment with or without 10 MUg/ml HA. RESULTS:
Proliferation activity decreased significantly in KF3 following HA treatment. Pro
collagen I expression in KF2 was decreased following HA treatment in association
with changes in fiber arrangement to more parallel collagen bundles. In addition,
HA demonstrated a downregulation on TGF-b1 growth factor expression in KF3 and
KF4 and a decrease in active TGF-b1 release in KF2 and KF5 using ELISA.
CONCLUSION: Our data demonstrates that HA has the potential to normalize keloid
fibroblast characteristic features such as hyperproliferation, growth factor
production and ECM deposition depending on the specific genotype of the keloid
fibroblast cell line. This study suggests that high molecular weight HA can be
used to replenish HA deposition in keloid fibroblasts thereby decreasing fibrosis
and ultimately decreasing keloid manifestation.
PMID- 24936446
TI - Defining differences among perivascular cells derived from human pluripotent stem
cells.
AB - Distinguishing between perivascular cell types remains a hurdle in vascular
biology due to overlapping marker expressions and similar functionalities.
Clarifying and defining heterogeneities in vitro among perivascular cells could
lead to improved cell-based tissue regeneration strategies and a better
understanding of human developmental processes. We studied contractile vascular
smooth muscle cells (vSMCs), synthetic vSMCs, and pericytes derived from a common
human pluripotent stem cell source. Using in vitro cultures, we show unique cell
morphology, subcellular organelle organization (namely endoplasmic reticulum,
mitochondria, and stress fibers), and expression of smooth muscle myosin heavy
chain and elastin for each cell type. While differences in extracellular matrix
deposition and remodeling were less pronounced, the multipotency, in vivo,
migratory, invasion, and contractile functionalities are distinctive for each
cell type. Overall, we define a repertoire of functional phenotypes in vitro
specific for each of the human perivascular cell types, enabling their study and
use in basic and translational research.
PMID- 24936447
TI - THY-1 receptor expression differentiates cardiosphere-derived cells with
divergent cardiogenic differentiation potential.
AB - Despite over a decade of intense research, the identity and differentiation
potential of human adult cardiac progenitor cells (aCPC) remains controversial.
Cardiospheres have been proposed as a means to expand aCPCs in vitro, but the
identity of the progenitor cell within these 3D structures is unknown. We show
that clones derived from cardiospheres could be subdivided based on expression of
thymocyte differentiation antigen 1 (THY-1/CD90) into two distinct populations
that exhibit divergent cardiac differentiation potential. One population, which
is CD90(+), expressed markers consistent with a mesenchymal/myofibroblast cell.
The second clone type was CD90(-) and could form mature, functional myocytes with
sarcomeres albeit at a very low rate. These two populations of cardiogenic clones
displayed distinct cell surface markers and unique transcriptomes. Our study
suggests that a rare aCPC exists in cardiospheres along with a
mesenchymal/myofibroblast cell, which demonstrates incomplete cardiac myocyte
differentiation.
PMID- 24936448
TI - Programming and isolation of highly pure physiologically and pharmacologically
functional sinus-nodal bodies from pluripotent stem cells.
AB - Therapeutic approaches for "sick sinus syndrome" rely on electrical pacemakers,
which lack hormone responsiveness and bear hazards such as infection and battery
failure. These issues may be overcome via "biological pacemakers" derived from
pluripotent stem cells (PSCs). Here, we show that forward programming of PSCs
with the nodal cell inducer TBX3 plus an additional Myh6-promoter-based
antibiotic selection leads to cardiomyocyte aggregates consisting of >80%
physiologically and pharmacologically functional pacemaker cells. These induced
sinoatrial bodies (iSABs) exhibited highly increased beating rates (300-400 bpm),
coming close to those found in mouse hearts, and were able to robustly pace
myocardium ex vivo. Our study introduces iSABs as highly pure, functional nodal
tissue that is derived from PSCs and may be important for future cell therapies
and drug testing in vitro.
PMID- 24936449
TI - Exosomes as critical agents of cardiac regeneration triggered by cell therapy.
AB - The CADUCEUS trial of cardiosphere-derived cells (CDCs) has shown that it may be
possible to regenerate injured heart muscle previously thought to be permanently
scarred. The mechanisms of benefit are known to be indirect, but the mediators
have yet to be identified. Here we pinpoint exosomes secreted by human CDCs as
critical agents of regeneration and cardioprotection. CDC exosomes inhibit
apoptosis and promote proliferation of cardiomyocytes, while enhancing
angiogenesis. Injection of exosomes into injured mouse hearts recapitulates the
regenerative and functional effects produced by CDC transplantation, whereas
inhibition of exosome production by CDCs blocks those benefits. CDC exosomes
contain a distinctive complement of microRNAs, with particular enrichment of miR
146a. Selective administration of a miR-146a mimic reproduces some (but not all)
of the benefits of CDC exosomes. The findings identify exosomes as key mediators
of CDC-induced regeneration, while highlighting the potential utility of exosomes
as cell-free therapeutic candidates.
PMID- 24936450
TI - Injury to the spinal cord niche alters the engraftment dynamics of human neural
stem cells.
AB - The microenvironment is a critical mediator of stem cell survival, proliferation,
migration, and differentiation. The majority of preclinical studies involving
transplantation of neural stem cells (NSCs) into the CNS have focused on injured
or degenerating microenvironments, leaving a dearth of information as to how NSCs
differentially respond to intact versus damaged CNS. Furthermore, single,
terminal histological endpoints predominate, providing limited insight into the
spatiotemporal dynamics of NSC engraftment and migration. We investigated the
early and long-term engraftment dynamics of human CNS stem cells propagated as
neurospheres (hCNS-SCns) following transplantation into uninjured versus
subacutely injured spinal cords of immunodeficient NOD-scid mice. We
stereologically quantified engraftment, survival, proliferation, migration, and
differentiation at 1, 7, 14, 28, and 98 days posttransplantation, and identified
injury-dependent alterations. Notably, the injured microenvironment decreased
hCNS-SCns survival, delayed and altered the location of proliferation, influenced
both total and fate-specific migration, and promoted oligodendrocyte maturation.
PMID- 24936451
TI - Cell-state transitions regulated by SLUG are critical for tissue regeneration and
tumor initiation.
AB - Perturbations in stem cell activity and differentiation can lead to developmental
defects and cancer. We use an approach involving a quantitative model of cell
state transitions in vitro to gain insights into how SLUG/SNAI2, a key
developmental transcription factor, modulates mammary epithelial stem cell
activity and differentiation in vivo. In the absence of SLUG, stem cells fail to
transition into basal progenitor cells, while existing basal progenitor cells
undergo luminal differentiation; together, these changes result in abnormal
mammary architecture and defects in tissue function. Furthermore, we show that in
the absence of SLUG, mammary stem cell activity necessary for tissue regeneration
and cancer initiation is lost. Mechanistically, SLUG regulates differentiation
and cellular plasticity by recruiting the chromatin modifier lysine-specific
demethylase 1 (LSD1) to promoters of lineage-specific genes to repress
transcription. Together, these results demonstrate that SLUG plays a dual role in
repressing luminal epithelial differentiation while unlocking stem cell
transitions necessary for tumorigenesis.
PMID- 24936452
TI - Involvement of ER stress in dysmyelination of Pelizaeus-Merzbacher Disease with
PLP1 missense mutations shown by iPSC-derived oligodendrocytes.
AB - Pelizaeus-Merzbacher disease (PMD) is a form of X-linked leukodystrophy caused by
mutations in the proteolipid protein 1 (PLP1) gene. Although PLP1 proteins with
missense mutations have been shown to accumulate in the rough endoplasmic
reticulum (ER) in disease model animals and cell lines transfected with mutant
PLP1 genes, the exact pathogenetic mechanism of PMD has not previously been
clarified. In this study, we established induced pluripotent stem cells (iPSCs)
from two PMD patients carrying missense mutation and differentiated them into
oligodendrocytes in vitro. In the PMD iPSC-derived oligodendrocytes,
mislocalization of mutant PLP1 proteins to the ER and an association between
increased susceptibility to ER stress and increased numbers of apoptotic
oligodendrocytes were observed. Moreover, electron microscopic analysis
demonstrated drastically reduced myelin formation accompanied by abnormal ER
morphology. Thus, this study demonstrates the involvement of ER stress in
pathogenic dysmyelination in the oligodendrocytes of PMD patients with the PLP1
missense mutation.
PMID- 24936453
TI - Transplantation of embryonic and induced pluripotent stem cell-derived 3D retinal
sheets into retinal degenerative mice.
AB - In this article, we show that mouse embryonic stem cell- or induced pluripotent
stem cell-derived 3D retinal tissue developed a structured outer nuclear layer
(ONL) with complete inner and outer segments even in an advanced retinal
degeneration model (rd1) that lacked ONL. We also observed host-graft synaptic
connections by immunohistochemistry. This study provides a "proof of concept" for
retinal sheet transplantation therapy for advanced retinal degenerative diseases.
PMID- 24936454
TI - 3D In vitro model of a functional epidermal permeability barrier from human
embryonic stem cells and induced pluripotent stem cells.
AB - Cornification and epidermal barrier defects are associated with a number of
clinically diverse skin disorders. However, a suitable in vitro model for
studying normal barrier function and barrier defects is still lacking. Here, we
demonstrate the generation of human epidermal equivalents (HEEs) from human
embryonic stem cells (hESCs) and induced pluripotent stem cells (iPSCs). HEEs are
structurally similar to native epidermis, with a functional permeability barrier.
We exposed a pure population of hESC/iPSC-derived keratinocytes, whose
transcriptome corresponds to the gene signature of normal primary human
keratinocytes (NHKs), to a sequential high-to-low humidity environment in an
air/liquid interface culture. The resulting HEEs had all of the cellular strata
of the human epidermis, with skin barrier properties similar to those of normal
skin. Such HEEs generated from disease-specific iPSCs will be an invaluable tool
not only for dissecting molecular mechanisms that lead to epidermal barrier
defects but also for drug development and screening.
PMID- 24936456
TI - Temporal perturbation of the Wnt signaling pathway in the control of cell
reprogramming is modulated by TCF1.
AB - Cyclic activation of the Wnt/beta-catenin signaling pathway controls cell fusion
mediated somatic cell reprogramming. TCFs belong to a family of transcription
factors that, in complex with beta-catenin, bind and transcriptionally regulate
Wnt target genes. Here, we show that Wnt/beta-catenin signaling needs to be off
during the early reprogramming phases of mouse embryonic fibroblasts (MEFs) into
iPSCs. In MEFs undergoing reprogramming, senescence genes are repressed and
mesenchymal-to-epithelial transition is favored. This is correlated with a
repressive activity of TCF1, which contributes to the silencing of Wnt/beta
catenin signaling at the onset of reprogramming. In contrast, the Wnt pathway
needs to be active in the late reprogramming phases to achieve successful
reprogramming. In conclusion, continued activation or inhibition of the Wnt/beta
catenin signaling pathway is detrimental to the reprogramming of MEFs; instead,
temporal perturbation of the pathway is essential for efficient reprogramming,
and the "Wnt-off" state can be considered an early reprogramming marker.
PMID- 24936455
TI - SIRT1 is necessary for proficient telomere elongation and genomic stability of
induced pluripotent stem cells.
AB - The NAD-dependent deacetylase SIRT1 is involved in chromatin silencing and genome
stability. Elevated SIRT1 levels in embryonic stem cells also suggest a role for
SIRT1 in pluripotency. Murine SIRT1 attenuates telomere attrition in vivo and is
recruited at telomeres in induced pluripotent stem cells (iPSCs). Because
telomere elongation is an iPSC hallmark, we set out to study the role of SIRT1 in
pluripotency in the setting of murine embryonic fibroblasts reprogramming into
iPSCs. We find that SIRT1 is required for efficient postreprogramming telomere
elongation, and that this effect is mediated by a c-MYC-dependent regulation of
the mTert gene. We further demonstrate that SIRT1-deficient iPSCs accumulate
chromosomal aberrations and show a derepression of telomeric heterochromatin.
Finally, SIRT1-deficient iPSCs form larger teratomas that are poorly
differentiated, highlighting a role for SIRT1 in exit from pluripotency. In
summary, this work demonstrates a role for SIRT1 in the maintenance of
pluripotency and modulation of differentiation.
PMID- 24936457
TI - Fine-tuning of iPSC derivation by an inducible reprogramming system at the
protein level.
AB - Induced pluripotent stem cells (iPSCs) generated from somatic cells by ectopic
expression of reprogramming factors, e.g., POU5F1 (OCT4), KLF4, and SOX2, have
great potential for regenerative medicine. However, before they can be used in a
clinical setting, the mechanism of reprogramming needs to be better understood.
Here, by engineering reprogramming factors to a destabilizing protein domain, we
achieved inducible generation of mouse and pig iPSCs. Stability of the fusion
protein was precisely regulated by the addition of the cell-permeable small
molecule trimethoprim (TMP) in a dose-dependent manner. With these tools, we
found that during the early and middle stages of reprogramming, exogenous OCT4 or
KLF4 could be omitted, whereas exogenous SOX2 expression at early and middle
stages was required for successful reprogramming. Our TMP reprogramming system is
useful for defining the stoichiometry and temporal requirements of transcription
factors for reprogramming.
PMID- 24936462
TI - A trusted voice for stem cell research.
PMID- 24936458
TI - A 3D sphere culture system containing functional polymers for large-scale human
pluripotent stem cell production.
AB - Utilizing human pluripotent stem cells (hPSCs) in cell-based therapy and drug
discovery requires large-scale cell production. However, scaling up conventional
adherent cultures presents challenges of maintaining a uniform high quality at
low cost. In this regard, suspension cultures are a viable alternative, because
they are scalable and do not require adhesion surfaces. 3D culture systems such
as bioreactors can be exploited for large-scale production. However, the
limitations of current suspension culture methods include spontaneous fusion
between cell aggregates and suboptimal passaging methods by dissociation and
reaggregation. 3D culture systems that dynamically stir carrier beads or cell
aggregates should be refined to reduce shearing forces that damage hPSCs. Here,
we report a simple 3D sphere culture system that incorporates mechanical
passaging and functional polymers. This setup resolves major problems associated
with suspension culture methods and dynamic stirring systems and may be optimal
for applications involving large-scale hPSC production.
PMID- 24936463
TI - Stepwise differentiation of pluripotent stem cells into osteoblasts using four
small molecules under serum-free and feeder-free conditions.
AB - Pluripotent stem cells are a promising tool for mechanistic studies of tissue
development, drug screening, and cell-based therapies. Here, we report an
effective and mass-producing strategy for the stepwise differentiation of mouse
embryonic stem cells (mESCs) and mouse and human induced pluripotent stem cells
(miPSCs and hiPSCs, respectively) into osteoblasts using four small molecules
(CHIR99021 [CHIR], cyclopamine [Cyc], smoothened agonist [SAG], and a
helioxanthin-derivative 4-(4-methoxyphenyl)pyrido[4',3':4,5]thieno[2,3-b]pyridine
2-carboxamide [TH]) under serum-free and feeder-free conditions. The strategy,
which consists of mesoderm induction, osteoblast induction, and osteoblast
maturation phases, significantly induced expressions of osteoblast-related genes
and proteins in mESCs, miPSCs, and hiPSCs. In addition, when mESCs defective in
runt-related transcription factor 2 (Runx2), a master regulator of osteogenesis,
were cultured by the strategy, they molecularly recapitulated osteoblast
phenotypes of Runx2 null mice. The present strategy will be a platform for
biological and pathological studies of osteoblast development, screening of bone
augmentation drugs, and skeletal regeneration.
PMID- 24936464
TI - Drosophila perlecan regulates intestinal stem cell activity via cell-matrix
attachment.
AB - Stem cells require specialized local microenvironments, termed niches, for normal
retention, proliferation, and multipotency. Niches are composed of cells together
with their associated extracellular matrix (ECM). Currently, the roles of ECM in
regulating niche functions are poorly understood. Here, we demonstrate that
Perlecan (Pcan), a highly conserved ECM component, controls intestinal stem cell
(ISC) activities and ISC-ECM attachment in Drosophila adult posterior midgut.
Loss of Pcan from ISCs, but not other surrounding cells, causes ISCs to detach
from underlying ECM, lose their identity, and fail to proliferate. These defects
are not a result of a loss of epidermal growth factor receptor (EGFR) or Janus
kinase/signal transducer and activator of transcription (JAK/STAT) signaling
activity but partially depend on integrin signaling activity. We propose that
Pcan secreted by ISCs confers niche properties to the adjacent ECM that is
required for ISC maintenance of stem cell identity, activity, and anchorage to
the niche.
PMID- 24936465
TI - Lineage tracing of mammary epithelial cells using cell-type-specific cre
expressing adenoviruses.
AB - Lineage tracing using Cre/lox transgenic mice provides a powerful tool for
studying normal mammary epithelial cell (MEC) development and the cellular
origins of mammary tumors under physiological settings. However, generation of
new transgenic mice for lineage-tracing purposes is often time consuming. Here,
we report a lineage-tracing tool for MECs based on intraductal injection of
lineage-specific Cre-expressing adenovirus (Ad-Cre). Using well-characterized
promoters for Keratin 8 and Keratin 14, we generated lineage-specific Ad-Cre
lines for luminal and basal MECs, respectively. By pulse-chase lineage tracing
using these Ad-Cre lines, we showed that luminal and basal lineages are largely
self-sustained and that IRS1 and IRS2 are essential for maintaining the basal
lineage; we also showed that heterogeneous mammary tumors can be induced from
luminal MECs in mice carrying the Etv6-NTRK3 fusion gene. Overall, we validated
the Ad-Cre system as a promising and efficient tool for fate mapping of normal
and malignant cells in adult tissues.
PMID- 24936466
TI - Growth hormone is secreted by normal breast epithelium upon progesterone
stimulation and increases proliferation of stem/progenitor cells.
AB - Using in vitro and in vivo experimental systems and in situ analysis, we show
that growth hormone (GH) is secreted locally by normal human mammary epithelial
cells upon progesterone stimulation. GH increases proliferation of a subset of
cells that express growth hormone receptor (GHR) and have functional properties
of stem and early progenitor cells. In 72% of ductal carcinoma in situ lesions,
an expansion of the cell population that expresses GHR was observed, suggesting
that GH signaling may contribute to breast cancer development.
PMID- 24936467
TI - Bone marrow endosteal mesenchymal progenitors depend on HIF factors for
maintenance and regulation of hematopoiesis.
AB - Maintenance and differentiation of hematopoietic stem cells (HSCs) is regulated
through cell-autonomous and non-cell-autonomous mechanisms within specialized
bone marrow microenvironments. Recent evidence demonstrates that signaling by HIF
1alpha contributes to cell-autonomous regulation of HSC maintenance. By
investigating the role of HIF factors in bone marrow mesenchymal progenitors, we
found that murine endosteal mesenchymal progenitors express high levels of HIF
1alpha and HIF-2alpha and proliferate preferentially in hypoxic conditions ex
vivo. Inactivation of either HIF-1alpha or HIF-2alpha dramatically affects their
phenotype, propagation, and differentiation. Also, downregulation of HIF factors
provokes an increase in interferon-responsive genes and triggers expansion and
differentiation of hematopoietic progenitors by a STAT1-mediated mechanism.
Interestingly, in conditions of demand-driven hematopoiesis HIF factors are
specifically downregulated in mesenchymal progenitors in vivo. In conclusion, our
findings indicate that HIF factors also regulate hematopoiesis non-cell
autonomously by preventing activation of a latent program in mesenchymal
progenitors that promotes hematopoiesis.
PMID- 24936468
TI - Primitive neural stem cells in the adult mammalian brain give rise to GFAP
expressing neural stem cells.
AB - Adult forebrain definitive neural stem cells (NSCs) comprise a subpopulation of
GFAP-expressing subependymal cells that arise from embryonic fibroblast growth
factor (FGF)-dependent NSCs that are first isolated from the developing brain at
E8.5. Embryonic FGF-dependent NSCs are derived from leukemia inhibitory factor
(LIF)-responsive, Oct4-expressing primitive NSCs (pNSCs) that are first isolated
at E5.5. We report the presence of a rare population of pNCSs in the
periventricular region of the adult forebrain. Adult-derived pNSCs (AdpNSCs) are
GFAP(-), LIF-responsive stem cells that display pNSC properties, including Oct4
expression and the ability to integrate into the inner cell mass of blastocysts.
AdpNSCs generate self-renewing, multipotent colonies that give rise to definitive
GFAP(+) NSCs in vitro and repopulate the subependyma after the ablation of
GFAP(+) NSCs in vivo. These data support the hypothesis that a rare population of
pNSCs is present in the adult brain and is upstream of the GFAP(+) NSCs.
PMID- 24936469
TI - Human neural precursor cells promote neurologic recovery in a viral model of
multiple sclerosis.
AB - Using a viral model of the demyelinating disease multiple sclerosis (MS), we show
that intraspinal transplantation of human embryonic stem cell-derived neural
precursor cells (hNPCs) results in sustained clinical recovery, although hNPCs
were not detectable beyond day 8 posttransplantation. Improved motor skills were
associated with a reduction in neuroinflammation, decreased demyelination, and
enhanced remyelination. Evidence indicates that the reduced neuroinflammation is
correlated with an increased number of CD4(+)CD25(+)FOXP3(+) regulatory T cells
(Tregs) within the spinal cords. Coculture of hNPCs with activated T cells
resulted in reduced T cell proliferation and increased Treg numbers. The hNPCs
acted, in part, through secretion of TGF-beta1 and TGF-beta2. These findings
indicate that the transient presence of hNPCs transplanted in an animal model of
MS has powerful immunomodulatory effects and mediates recovery. Further
investigation of the restorative effects of hNPC transplantation may aid in the
development of clinically relevant MS treatments.
PMID- 24936470
TI - Human intestinal tissue with adult stem cell properties derived from pluripotent
stem cells.
AB - Genetically engineered human pluripotent stem cells (hPSCs) have been proposed as
a source for transplantation therapies and are rapidly becoming valuable tools
for human disease modeling. However, many applications are limited due to the
lack of robust differentiation paradigms that allow for the isolation of defined
functional tissues. Here, using an endogenous LGR5-GFP reporter, we derived adult
stem cells from hPSCs that gave rise to functional human intestinal tissue
comprising all major cell types of the intestine. Histological and functional
analyses revealed that such human organoid cultures could be derived with high
purity and with a composition and morphology similar to those of cultures
obtained from human biopsies. Importantly, hPSC-derived organoids responded to
the canonical signaling pathways that control self-renewal and differentiation in
the adult human intestinal stem cell compartment. This adult stem cell system
provides a platform for studying human intestinal disease in vitro using
genetically engineered hPSCs.
PMID- 24936471
TI - Derivation of traceable and transplantable photoreceptors from mouse embryonic
stem cells.
AB - Retinal degenerative diseases resulting in the loss of photoreceptors are one of
the major causes of blindness. Photoreceptor replacement therapy is a promising
treatment because the transplantation of retina-derived photoreceptors can be
applied now to different murine retinopathies to restore visual function. To have
an unlimited source of photoreceptors, we derived a transgenic embryonic stem
cell (ESC) line in which the Crx-GFP transgene is expressed in photoreceptors and
assessed the capacity of a 3D culture protocol to produce integration-competent
photoreceptors. This culture system allows the production of a large number of
photoreceptors recapitulating the in vivo development. After transplantation,
integrated cells showed the typical morphology of mature rods bearing external
segments and ribbon synapses. We conclude that a 3D protocol coupled with ESCs
provides a safe and renewable source of photoreceptors displaying a development
and transplantation competence comparable to photoreceptors from age-matched
retinas.
PMID- 24936473
TI - Single-cell gene expression profiles define self-renewing, pluripotent, and
lineage primed states of human pluripotent stem cells.
AB - Pluripotent stem cells display significant heterogeneity in gene expression, but
whether this diversity is an inherent feature of the pluripotent state remains
unknown. Single-cell gene expression analysis in cell subsets defined by surface
antigen expression revealed that human embryonic stem cell cultures exist as a
continuum of cell states, even under defined conditions that drive self-renewal.
The majority of the population expressed canonical pluripotency transcription
factors and could differentiate into derivatives of all three germ layers. A
minority subpopulation of cells displayed high self-renewal capacity,
consistently high transcripts for all pluripotency-related genes studied, and no
lineage priming. This subpopulation was characterized by its expression of a
particular set of intercellular signaling molecules whose genes shared common
regulatory features. Our data support a model of an inherently metastable self
renewing population that gives rise to a continuum of intermediate pluripotent
states, which ultimately become primed for lineage specification.
PMID- 24936472
TI - Genetic and chemical correction of cholesterol accumulation and impaired
autophagy in hepatic and neural cells derived from Niemann-Pick Type C patient
specific iPS cells.
AB - Niemann-Pick type C (NPC) disease is a fatal inherited lipid storage disorder
causing severe neurodegeneration and liver dysfunction with only limited
treatment options for patients. Loss of NPC1 function causes defects in
cholesterol metabolism and has recently been implicated in deregulation of
autophagy. Here, we report the generation of isogenic pairs of NPC patient
specific induced pluripotent stem cells (iPSCs) using transcription activator
like effector nucleases (TALENs). We observed decreased cell viability,
cholesterol accumulation, and dysfunctional autophagic flux in NPC1-deficient
human hepatic and neural cells. Genetic correction of a disease-causing mutation
rescued these defects and directly linked NPC1 protein function to impaired
cholesterol metabolism and autophagy. Screening for autophagy-inducing compounds
in disease-affected human cells showed cell type specificity. Carbamazepine was
found to be cytoprotective and effective in restoring the autophagy defects in
both NPC1-deficient hepatic and neuronal cells and therefore may be a promising
treatment option with overall benefit for NPC disease.
PMID- 24936474
TI - X Chromosome of female cells shows dynamic changes in status during human somatic
cell reprogramming.
AB - Induced pluripotent stem cells (iPSCs) acquire embryonic stem cell (ESC)-like
epigenetic states, including the X chromosome. Previous studies reported that
human iPSCs retain the inactive X chromosome of parental cells, or acquire two
active X chromosomes through reprogramming. Most studies investigated the X
chromosome states in established human iPSC clones after completion of
reprogramming. Thus, it is still not fully understood when and how the X
chromosome reactivation occurs during reprogramming. Here, we report a dynamic
change in the X chromosome state throughout reprogramming, with an initial robust
reactivation of the inactive X chromosome followed by an inactivation upon
generation of nascent iPSC clones. iPSCs with two active X chromosomes or an
eroded X chromosome arise in passaging iPSCs. These data provide important
insights into the plasticity of the X chromosome of human female iPSCs and will
be crucial for the future application of such cells in cell therapy and X-linked
disease modeling.
PMID- 24936475
TI - Heterochromatin dynamics during the differentiation process revealed by the DNA
methylation reporter mouse, MethylRO.
AB - In mammals, DNA is methylated at CpG sites, which play pivotal roles in gene
silencing and chromatin organization. Furthermore, DNA methylation undergoes
dynamic changes during development, differentiation, and in pathological
processes. The conventional methods represent snapshots; therefore, the dynamics
of this marker within living organisms remains unclear. To track this dynamics,
we made a knockin mouse that expresses a red fluorescent protein (RFP)-fused
methyl-CpG-binding domain (MBD) protein from the ROSA26 locus ubiquitously; we
named it MethylRO (methylation probe in ROSA26 locus). Using this mouse, we
performed RFP-mediated methylated DNA immunoprecipitation sequencing (MeDIP-seq),
whole-body section analysis, and live-cell imaging. We discovered that mobility
and pattern of heterochromatin as well as DNA methylation signal intensity inside
the nuclei can be markers for cellular differentiation status. Thus, the MethylRO
mouse represents a powerful bioresource and technique for DNA methylation
dynamics studies in developmental biology, stem cell biology, as well as in
disease states.
PMID- 24936477
TI - Tumor-associated macrophages contribute to tumor progression in ovarian cancer.
AB - Ovarian cancer is the leading cause of death in women with gynecological
malignancy and improvements in current treatments are needed. As with many other
solid cancers, the ovarian tumor microenvironment is emerging as a key player in
tumor progression and a potential therapeutic target. The tumor microenvironment
contains several non-malignant cell types that are known to contribute to tumor
progression and metastasis. Included in this population of non-malignant cells
are several different types of immune cells, of which tumor-associated
macrophages (TAMs) are the most abundant. An increasing amount of evidence is
emerging to suggest that TAMs display a unique activation profile in ovarian
tumors and are able to create an immunosuppressive microenvironment, allowing
tumors to evade immune detection and promoting tumor progression. Therefore, an
increased understanding of how these immune cells interact with tumor cells and
the microenvironment will greatly benefit the development of more effective
immunotherapies to treat ovarian cancer. This review focuses on the role of TAMs
in the ovarian tumor microenvironment and how they promote tumor progression.
PMID- 24936476
TI - FOXN1 (GFP/w) reporter hESCs enable identification of integrin-beta4, HLA-DR, and
EpCAM as markers of human PSC-derived FOXN1(+) thymic epithelial progenitors.
AB - Thymic epithelial cells (TECs) play a critical role in T cell maturation and
tolerance induction. The generation of TECs from in vitro differentiation of
human pluripotent stem cells (PSCs) provides a platform on which to study the
mechanisms of this interaction and has implications for immune reconstitution. To
facilitate analysis of PSC-derived TECs, we generated hESC reporter lines in
which sequences encoding GFP were targeted to FOXN1, a gene required for TEC
development. Using this FOXN1 (GFP/w) line as a readout, we developed a
reproducible protocol for generating FOXN1-GFP(+) thymic endoderm cells.
Transcriptional profiling and flow cytometry identified integrin-beta4 (ITGB4,
CD104) and HLA-DR as markers that could be used in combination with EpCAM to
selectively purify FOXN1(+) TEC progenitors from differentiating cultures of
unmanipulated PSCs. Human FOXN1(+) TEC progenitors generated from PSCs facilitate
the study of thymus biology and are a valuable resource for future applications
in regenerative medicine.
PMID- 24936478
TI - Local perspectives of the ability of HIA stakeholder engagement to capture and
reflect factors that impact Alaska Native health.
AB - BACKGROUND: Health impact assessment (HIA) is a process used to inform planning
and decision making in a range of sectors by identifying potential positive and
negative health effects of proposed projects, programs, or policies. Stakeholder
engagement is an integral component of HIA and requires careful consideration of
participant diversity and appropriate methodologies. Ensuring that the engagement
process is able to capture and address Indigenous worldviews and definitions of
health is important where Indigenous populations are impacted, particularly in
northern regions experiencing increases in natural resource development
activities on Indigenous lands. OBJECTIVE: Investigate local participant
perspectives of an HIA of a proposed Alaska coal mine, with a focus on the
ability of the HIA process to capture, reflect, and address health concerns
communicated by Alaska Native participants. DESIGN: A qualitative approach guided
by semi-structured interviews with purposeful sampling to select key informants
who participated in the coal mine HIA stakeholder engagement process. RESULTS:
QUALITATIVE DATA IDENTIFIED THREE KEY THEMES AS IMPORTANT FROM THE PERSPECTIVE OF
ALASKA NATIVE PARTICIPANTS IN THE ALASKA COAL MINE HIA STAKEHOLDER ENGAGEMENT
PROCESS: (i) the inability of the engagement process to recognize an Indigenous
way of sharing or gathering information; (ii) the lack of recognizing traditional
knowledge and its use for identifying health impacts and status; and (iii) the
inability of the engagement process to register the relationship Indigenous
people have with the environment in which they live. Issues of trust in the HIA
process and of the HIA findings were expressed within each theme. CONCLUSIONS:
Recommendations derived from the research identify the need to acknowledge and
incorporate the history of colonialism and assimilation policies in an HIA when
assessing health impacts of resource development on or near Indigenous lands.
These historical contexts must be included in baseline conditions to understand
particular vulnerabilities and potential health risks and impacts. Further, HIA
practitioners should recognize the range of definitions for "health" and
demonstrate this recognition throughout the stakeholder engagement process, as
well as in the HIA recommendations and suggested mitigations.
PMID- 24936479
TI - The Correlation between Echocardiographic Findings and QT Interval in Cirrhotic
Patients.
AB - BACKGROUND: Although many electrocardiographic abnormalities have been reported
previously, prolonged QTC interval represented as the most important ECG finding
in patients with liver cirrhosis. Echocardiography can detect structural cardiac
abnormalities in cirrhotic patients. OBJECTIVES: The present study aimed to
determine the correlation between QTC prolongation and echocardiographic findings
in end stage liver cirrhosis. METHODS: The present study was conducted on 100
patients selected through convenient sampling. We recruited 80 cirrhotic patients
with CHILD score > B or MELD score > 15 from the transplantation ward of Nemazee
educational hospital. A complete echocardiographic study, including chamber
quantification, a complete flow Doppler, and tissue Doppler analysis, was
performed for each patient using a GE vivid 3 system equipped with Tissue Doppler
Imaging (TDI). Then, twelve-lead ECG was carried out and QTc interval was
calculated in all patients. The data were analyzed using the SPSS statistical
software (v. 13) and Pearson's correlation coefficient. P value < 0.05 was
considered statistically significant. RESULTS: The patients' age ranged from 20
to 60 years old and 62.5% of them were male. According to the results, the only
parameter which was significantly associated with prolonged QTc interval was Left
Ventricular End Diastolic Dimension (LVEDD). Additionally, a linear direct
relationship was found between corrected QT interval and LVEDD (r = 0.41, P <
0.001). CONCLUSIONS: The current study showed a positive correlation between QTC
prolongation as an electerocardiographic finding and LVEDD in echocardiography of
the cirrhotic patients. This may indicate a direct relationship between the
electrophysiological problems and the severity of volume overload in cirrhotic
patients.
PMID- 24936481
TI - The Effects of 6 Isocaloric Meals on Body Weight, Lipid Profiles, Leptin, and
Adiponectin in Overweight Subjects (BMI > 25).
AB - BACKGROUND: It seems that meal frequency is negatively related to body weight,
but the relationship between meal frequency and weight loss is not clearly known
yet. OBJECTIVES: The present study aimed to investigate whether 6 isocaloric
meals affected body weight, lipid profiles, leptin, and adiponectin in overweight
subjects. METHODS: The present randomized controlled trial was conducted on 90
overweight subjects in 3 months. The subjects were randomly divided into two
groups. The control group continued their normal diet, while the intervention
group was required to follow a 6 isocaloric meal diet instead of their previous
meal pattern (3 meals and 2 snacks). The planned reduced calorie diets for both
groups were identical, except for meal pattern. Blood samples were analyzed prior
to and at the end of the study for total cholesterol, triglyceride, HDL-C, LDL-C,
leptin, and adiponectinn concentrations. Paired t-test was used for comparison of
the measurements before and after the study in each group. Besides, independent t
test was used for comparison of the measurements between the groups. P value less
than 0.05 was considered as statistically significant. RESULTS: The mean age of
the participants was 36.38 +/- 9.7 in the intervention group and 37.6 +/- 10.9 in
the control group. In comparison to the control group, the intervention group
showed a significant decrease in total cholesterol (P < 0.001), LDL-C (P <
0.001), BMI (P < 0.001), triglyceride (P < 0.001), and leptin (P = 0.002) and a
significant increase in HDL (P < 0.001) and adiponectin (P = 0.031). CONCLUSIONS:
The 6 isocaloric meal pattern led to a reduction in BMI, lipid profiles (total
cholesterol, LDL-C, triglyceride), and leptin concentrations and an increase in
HDL and adiponectin compared to the normal diet.
PMID- 24936480
TI - Additive Effects of Anxiety and Depression on Body Mass Index among Blacks: Role
of Ethnicity and Gender.
AB - BACKGROUND: Most studies on mental health associates of obesity have focused on
depression and less is known about the role of anxiety in obesity. OBJECTIVES:
This study compared the additive effects of General Anxiety Disorder (GAD) and
Major Depressive Disorder (MDD) on Body Mass Index (BMI) across sub-populations
of Blacks based on the intersection of ethnicity and gender. METHODS: Data came
from the National Survey of American Life (NSAL), 2001 - 2003. The participants
consisted of 3,570 African Americans and 1,621 Caribbean Blacks. Twelve-month MDD
and GAD were determined using the World Mental Health Composite International
Diagnostic Interview (CIDI). Levels of BMI were categorized based on being equal
to or larger than 25, 30, 35, and 40 kg/m(2). We fitted linear regression models
specific for our groups, which were defined based on the intersection of
ethnicity and gender. Additionally, age, education, marital status, employment,
and region were controlled. RESULTS: Among Caribbean Black men and African
American women, lifetime GAD, but not MDD, was associated with high BMI. Among
Caribbean Black women, lifetime MDD, but not GAD, was associated with high BMI.
CONCLUSIONS: Intersection of ethnicity and gender may determine how anxiety and
depression are associated with BMI among Blacks. Sub-populations of Blacks (e.g.
based on ethnicity and gender) may have specific mental health determinants or
consequences of obesity. Future research should investigate how and why the
additive effects of anxiety and depression on obesity vary across ethnic and
gender groups of Blacks.
PMID- 24936483
TI - Efficacy and safety of beating heart mitral valve replacement.
AB - BACKGROUND: The interest in beating heart surgery is growing since better results
can be obtained with this procedure compared to conventional myocardial
protection techniques using cardioplegic solutions. This led us to consider
mitral valve replacement with beating heart. OBJECTIVES: This study aimed to
determine the safety and efficacy of beating heart mitral valve replacement
without cross clamp. METHODS: This prospective study was conducted on the
patients with isolated mitral valve disease requiring mitral valve replacement
according to ACC / AHA guidelines. In this study, 15 patients underwent mitral
valve replacement using beating heart technique (Group A) and 15 ones underwent
mitral valve replacement using arrested heart technique (Group B). The patients
were randomized using block randomization. The data were analyzed using the SPSS
statistical software. RESULTS: Preoperative parameters were comparable in the two
groups. Most of the patients in both study groups were in NYHA class III or IV.
Postoperatively, however, most of the patients in the two groups were either in
NYHA class I or II. No mortality occurred in the beating heart group, while one
mortality occurred in the arrested heart group. The results showed a significant
difference between the two groups regarding the mean bypass time, mean operating
time, mean ICU stay, and mean length of hospital stay. CONCLUSIONS: Beating heart
mitral valve replacement is equally safe as the arrested heart technique. Thus,
it is recommended as an appropriate alternative to the arrested heart technique
for mitral valve replacement.
PMID- 24936482
TI - The surgical outcome of anomalous origin of the left coronary artery from the
pulmonary artery.
AB - BACKGROUND: Anomalous origin of the left coronary artery from the pulmonary
artery (ALCAPA) is a rare congenital anomaly which represents one of the most
common causes of myocardial ischemia and infarction in children. This anomaly, if
left untreated, results in a very high mortality rate within the first year of
life. Yet, immediate surgical correction can lead to excellent results.
OBJECTIVES: The present study aimed to determine the surgical outcome of ALCAPA.
METHODS: This study was conducted on 53 patients with ALCAPA operated from
January 2005 to December 2012. Surgical repair was carried out as soon as the
diagnosis was made. Surgery was thus undertaken on an urgent basis (within 48
hours) in the patients with congestive heart failure or critical clinical status
and on a semi- elective basis (within a few days) in the remaining children.
Operations for all the patients were performed through a median sternotomy using
established standard cardiopulmonary bypass technique. Grouped variables were
compared using chi-square test with Yates' correction. Besides, McNemar's test
was used to assess the relationship between preoperative ejection fraction and
mitral incompetence. All the analyses were performed using the SPSS statistical
software, version 11.5 (SPSS Inc., Chicago, IL). RESULTS: The patients' median
age at presentation was 4 months. The mean preoperative ejection fraction was
36.5%. The results showed a significant relationship between age at presentation
and impairment of ejection fraction (P < 0.001). At first, 23% of our patients
presented with ejection fraction < 35%. However, 6 months after the operation,
the ejection fraction improved to a mean of 53.07% (SD = 8.5) ranging from 38 -
66%. There were 5 postoperative hospital deaths with an overall mortality rate of
9.6%. CONCLUSIONS: Excellent results with desirable long-term outcomes can be
achieved in the infants with ALCAPA using coronary artery implantation
techniques. The best potential for recovery of the left ventricular function is
in younger symptomatic infants despite the worst initial presentation.
Normalization of cardiac function is expected within the first year in all
operative survivors with a patent dual coronary system.
PMID- 24936485
TI - Inadvertent implantation of pacemaker lead in the left ventricle: kill two birds
with one stone.
AB - We report an asymptomatic patient in whom the intravenous pacemaker (PM) lead was
inadvertently implanted in LV through the perforated interventricular septum. He
had no embolic events during the last 9 years after the implantation. Possible
explanation of the uncomplicated follow-up period is that the patient had been
taking warfarin because of mechanical mitral valve prosthesis.
PMID- 24936484
TI - Generator and lead-related complications of implantable cardioverter
defibrillators.
AB - BACKGROUND: Increase in the number of patients treated with Implantable
Cardioverter Defibrillator (ICD) requests more attention regarding its
complications. OBJECTIVES: This study aimed to assess the generator- and lead
related complications at implantation and during follow-up in the patients who
were treated with ICD for primary and secondary prevention reasons. METHODS: We
retrospectively reviewed 255 consecutive patients who underwent transvenous ICD
implantation for the first time in a 7-year period and were followed-up for 3
years at Tehran Heart Center. The personal and clinical data of the patients as
well as specific data on the ICD implantation were retrieved. The frequency of
each of the complications was reported and the study variables were compared
between the patients with and without complications using Student's t-test and
chi-square test where appropriate. P values less than 0.05 were considered as
statistically significant. RESULTS: Out of a total of 525 implanted leads and 255
implanted devices in 255 patients (mean age = 62.57 +/- 13.50 years; male = 196
[76.9%]), complications leading to generator or lead replacement occurred in 32
patients (12.5%). The results revealed no significant difference between the
patients with and without complications regarding gender and age (P = 0.206 and P
= 0.824, respectively). Also, no significant difference was found between the two
groups concerning the ejection fraction (P = 0.271). Lead fracture was the most
frequent lead-related complication and was observed in 17 patients (6.6%).
Besides, it was mainly observed in the RV leads. Generator-related complications
leading to generator replacement were observed in 2 patients (0.7%). CONCLUSIONS:
Despite considerable improvements in the ICD technology, the rate of the ICD
complications leading to device replacement and surgical revision, especially
those related to the leads, is still clinically important.
PMID- 24936486
TI - Ruptured valsalva sinus aneurysm to pericardium simulated aortic root dissection.
AB - Ruptured valsalva sinus aneurysm to pericardium is a rare condition. Here, we
described a case presented with tamponade. Initially, hemopericardium was
partially drained and then, imaging evaluations were done. Transesophageal
echocardiography showed limited dissection of aortic sinus and CT angiography of
the ascending aorta showed deformed dilated right coronary sinus. Besides,
surgery showed that windsock tract of the right coronary sinus had ruptured into
the pericardium with avulsed right coronary aortic cusp. This case indicated a
rare cause of cardiac tamponade and insufficiency of imaging modalities for
making an accurate diagnosis.
PMID- 24936487
TI - ULTRASONOGRAPHY: a new beginning with international open access.
PMID- 24936488
TI - Another step toward the global ultrasound community.
PMID- 24936490
TI - General principles of carotid Doppler ultrasonography.
AB - Carotid Doppler ultrasonography is a popular tool for evaluating atherosclerosis
of the carotid artery. Its two-dimensional gray scale can be used for measuring
the intima-media thickness, which is very good biomarker for atherosclerosis and
can aid in plaque characterization. The plaque morphology is related to the risk
of stroke. The ulceration of plaque is also known as one of the strong predictors
of future embolic event risk. Color Doppler ultrasonography and pulse Doppler
ultrasonography have been used for detecting carotid artery stenosis. Doppler
ultrasonography has unique physical properties. The operator should be familiar
with the physics and other parameters of Doppler ultrasonography to perform
optimal Doppler ultrasonography studies.
PMID- 24936489
TI - Practice guideline for the performance of breast ultrasound elastography.
AB - Ultrasound (US) elastography is a valuable imaging technique for tissue
characterization. Two main types of elastography, strain and shear-wave, are
commonly used to image breast tissue. The use of elastography is expected to
increase, particularly with the increased use of US for breast screening.
Recently, the US elastographic features of breast masses have been incorporated
into the 2nd edition of the Breast Imaging Reporting and Data System (BI-RADS) US
lexicon as associated findings. This review suggests practical guidelines for
breast US elastography in consensus with the Korean Breast Elastography Study
Group, which was formed in August 2013 to perform a multicenter prospective study
on the use of elastography for US breast screening. This article is focused on
the role of elastography in combination with B-mode US for the evaluation of
breast masses. Practical tips for adequate data acquisition and the
interpretation of elastography results are also presented.
PMID- 24936491
TI - Early quantification of the therapeutic efficacy of the vascular disrupting
agent, CKD-516, using dynamic contrast-enhanced ultrasonography in rabbit VX2
liver tumors.
AB - PURPOSE: To evaluate the usefulness of dynamic contrast-enhanced ultrasonography
(DCE-US) in the early quantification of hemodynamic change following
administration of the vascular disrupting agent (VDA) CKD-516 using a rabbit VX2
liver tumor model. METHODS: This study was approved by our institutional animal
care and use committee. Eight VX2 liver-tumor-bearing rabbits were treated with
intravenous CKD-516, and all underwent DCE-US using SonoVue before and again 2,
4, 6, and 24 hours following their treatment. The tumor perfusion parameters were
obtained from the time-intensity curve of the DCE-US data. Repeated measures
analysis of variance was performed to assess any significant change in tumor
perfusion over time. Relative changes in the DCE-US parameters between the
baseline and follow-up assessments were correlated with the relative changes in
tumor size over the course of seven days using Pearson correlation. RESULTS: CKD
516 treatment resulted in significant changes in the DCE-US parameters, including
the peak intensity, total area under the time-intensity curve (AUCtotal), and AUC
during wash-out (AUCout) over time (P<0.05). Pairwise comparison tests revealed
that the AUCtotal and AUC during wash-in (AUCin) seen on the two-hour follow-up
were significantly lower than the baseline values (P<0.05). However, none of
early changes in the DCE-US parameters until 24-hour follow-up showed a
significant correlation with the relative changes in tumor size during seven days
after CKD-516 treatment. CONCLUSION: Our results suggest that a novel VDA (CKD
516) can cause disruption of tumor perfusion as early as two hours after
treatment and that the therapeutic effect of CKD-516 treatment can be effectively
quantified using DCE-US.
PMID- 24936492
TI - Usefulness of acoustic radiation force impulse elastography in the differential
diagnosis of benign and malignant solid pancreatic lesions.
AB - PURPOSE: The aim of this study was to evaluate the tissue stiffness of solid
pancreatic lesions by using acoustic radiation force impulse (ARFI) elastography
to differentiate benign from malignant pancreatic lesions. METHODS: ARFI
elastography was performed in 26 patients who had 27 focal solid pancreatic
lesions, including 8 benign lesions (mass-forming pancreatitis, 5; autoimmune
pancreatitis, 3) and 19 malignant lesions (pancreatic adenocarcinoma, 16;
metastasis from colorectal cancer, 2; malignant neuroendocrine tumor, 1). On the
elastographic images of virtual touch tissue imaging (VTI), the echogenicity of
the mass was categorized on a 5-grade scale. On the elastographic image of
virtual touch tissue quantification (VTQ), the shear wave velocities (SWVs) of
the lesion and surrounding parenchyma were measured. RESULTS: On the VTI images,
the mean echogenicity score of the malignant lesions (3.7+/-1.0) was higher than
that of the benign lesions (3.1+/-0.4; P=0.023). On the VTQ images, there were no
statistical differences in the mean SWV between the benign (2.4+/-1.1 m/sec) and
malignant (3.3+/-1.0 m/sec) lesions (P=0.101). However, the mean SWV difference
values between the lesion and background parenchyma of the malignant lesions
(1.5+/-0.8 m/sec) were higher than those of the benign lesions (0.4+/-0.3 m/sec;
P=0.011). CONCLUSION: ARFI elastography can determine the relative stiffness
between a lesion and the background pancreatic parenchyma using VTI and VTQ,
which is helpful in the differentiation between benign and malignant solid
pancreatic lesions.
PMID- 24936493
TI - Shear-wave elastography for breast masses: local shear wave speed (m/sec) versus
Young modulus (kPa).
AB - PURPOSE: To evaluate and compare the performance of shear-wave elastography (SWE)
for breast masses using the local shear wave speed (m/sec) vs. Young modulus
(kPa). METHODS: A total of 130 breast lesions in 123 women who underwent SWE
before ultrasound- guided core needle biopsy or surgical excision were included.
With the region-of-interest placed over the stiffest areas of the lesion on SWE,
the quantitative mean, maximum, and standard deviation (SD) of the elasticity
values were measured in kPa and m/sec for each lesion. The SD was also measured
with the region-of-interest including the whole breast lesion (wSD). The area
under the receiver operating characteristic curve (AUC), sensitivity, and
specificity of each elasticity value measured in kPa and m/sec were compared.
RESULTS: Of the 130 lesions, 49 (37.7%) were malignant and 81 (62.3%) were
benign. The AUCs for the mean, maximum, and SD of the elasticity values using kPa
and m/sec did not differ significantly: mean, 0.974 vs. 0.974; maximum, 0.960 vs.
0.976; SD, 0.916 vs. 0.916. However, the AUC for wSD showed a significant
difference: 0.964 (kPa) vs. 0.960 (m/sec) (P=0.036). There was no significant
difference in the sensitivity and specificity of the mean, maximum, and wSD of
the elasticity values. However, the specificity of the SD was significantly
different between the two different measurements: 95.1% (kPa) vs. 87.7% (m/sec)
(P=0.031). CONCLUSION: The quantitative elasticity values measured in kPa and
m/sec on SWE showed good diagnostic performance. The specificity of the SD and
AUC of the wSD measured in kPa were significantly higher than those measured in
m/sec.
PMID- 24936494
TI - Sonographic features and ultrasonography-guided fine-needle aspiration of
metastases to the thyroid gland.
AB - PURPOSE: To evaluate the characteristic ultrasonographic (US) features of
metastatic carcinoma to the thyroid, and how accurate US features and
ultrasonography-guided fine-needle aspiration (US-FNA) are for the diagnosis of
thyroid metastases. METHODS: Twenty-three thyroid lesions in 23 patients (mean
age, 66.7 years; range, 46 to 85 years) that had been diagnosed as thyroid
metastases were included. The composition, echogenicity, margin, shape, presence
of calcifications, underlying parenchymal echotexture, and vascularity were
analyzed in US images of the thyroid metastases. Final US assessments were
categorized into probably benign and suspicious malignancy. The presence of
suspicious metastatic cervical lymph nodes was noted. The medical records, US-FNA
cytology, and pathology reports of these patients were retrospectively reviewed.
RESULTS: Of the 23 thyroid lesions, the general US appearance was mass-forming in
21 (91.3%) and non-mass-forming in 2 (8.7%). All 23 lesions showed a solid tumor
composition. Common US features among the 21 mass-forming thyroid metastases were
hypoechogenicity (81.0%), non-circumscribed margins (90.5%), no calcifications
(76.2%), and parallel shape (81.0%). Suspicious cervical lymph nodes were present
in 18 patients (78.3%). Of the 23 lesions, 21 (91.3%) were classified as
suspicious malignancy, and 2 (8.7%) as probably benign. US-FNA showed diagnostic
results specific for metastases in 21 of the 22 patients (95.5%) who had
undergone US-FNA. CONCLUSION: Common US features in thyroid metastasis were
hypoechogenicity, non-circumscribed margins, no calcifications, parallel shape,
and the presence of suspicious cervical lymph nodes. US-FNA can be effectively
used in the diagnosis of thyroid metastasis, preventing unnecessary surgery.
PMID- 24936495
TI - Application of the Thyroid Imaging Reporting and Data System in thyroid
ultrasonography interpretation by less experienced physicians.
AB - PURPOSE: To verify the usefulness of the Thyroid Imaging Reporting and Data
System (TI-RADS) for thyroid nodule diagnosis by less experienced physicians.
METHODS: From March 2012 to May 2012, ultrasonography-guided fine needle
aspiration was performed in 204 thyroid nodules in 195 consecutive patients by
four less experienced radiologists (<1 year in thyroid imaging). The number of
suspicious ultrasonography features and the total risk score of each thyroid
nodule were calculated according to the previous two models suggested by Kwak et
al. The Delong method was used to compare the areas under the curve (AUCs) of the
two models. Associations between the two models and the risk of malignancy were
analyzed using penalized B-splines and the Cochran-Armitage trend test. RESULTS:
Among 204 thyroid nodules, 65 were malignant and 139 were benign. The probability
of malignancy tended to increase as the number of suspicious ultrasonography
features, and the sum of risk scores increased. There was no significant
difference in the AUCs of the two models (P=0.673). The Cochran-Armitage trend
test demonstrated an increased risk of malignancy as the number of suspicious
ultrasonography features and the total risk score increased (P=0.001).
CONCLUSION: Both the number of suspicious ultrasonography features and the total
risk score are applicable and show comparable results in the risk stratification
of thyroid nodules by less experienced radiologists in thyroid imaging.
PMID- 24936496
TI - Imaging features of complex sclerosing lesions of the breast.
AB - PURPOSE: The purpose of this study was to evaluate the imaging features of
complex sclerosing lesions of the breast and to assess the rate of upgrade to
breast cancer. METHODS: From March 2008 to May 2012, seven lesions were confirmed
as complex sclerosing lesions by ultrasonography-guided core needle biopsy. Final
results by either surgical excision or follow-up imaging studies were reviewed to
assess the rate of upgrade to breast cancer. Two radiologists retrospectively
analyzed the imaging findings according to the Breast Imaging Reporting and Data
System classification. RESULTS: Five lesions underwent subsequent surgical
excision and two of them revealed ductal carcinoma in situ (n=1) and invasive
ductal carcinoma (n=1). Our study showed a breast cancer upgrade rate of 28.6% (2
of 7 lesions). Two lesions were stable on imaging follow-up beyond 1 year. The
mammographic features included masses (n=4, 57.1%), architectural distortion
(n=2, 28.6%), and focal asymmetry (n=1, 14.3%). Common B-mode ultrasonographic
features were irregular shape (n=6, 85.7%), spiculated margin (n=5, 71.4 %), and
hypoechogenicity (n=7, 100%). The final assessment categories were category 4
(n=6, 85.7%) and category 5 (n=1, 14.3%). CONCLUSION: The complex sclerosing
lesions were commonly mass-like on mammography and showed the suspicious
ultrasonographic features of category 4. Due to a high underestimation rate, all
complex sclerosing lesions by core needle biopsy should be excised.
PMID- 24936497
TI - Giant cavernous hemangioma coexistent with diffuse hepatic hemangiomatosis
presenting as portal vein thrombosis and hepatic lobar atrophy.
AB - A combination of giant hepatic hemangioma and diffuse hemangiomatosis is
extremely rare in adults. Even when they are large, hemangiomas are soft and
rarely compress adjacent structures. A 78-year-old man presented with abdominal
pain and distension. Ultrasonography, computed tomography, and magnetic resonance
imaging demonstrated a large expansile mass replacing the medial segment and
caudate lobe with diffusely scattered nodules in the entire liver. The large
hilar mass contained a central nonenhancing area and had a mass effect, leading
to left portal vein occlusion. The image findings also revealed two unprecedented
findings: left lateral segmental atrophy of the liver and recent portomesenteric
vein thrombosis. The hepatic lesions were confirmed with hemangiomas by
ultrasonography-guided biopsy. We diagnosed intrahepatic portal vein obstruction
caused by a mass effect of giant hepatic hemangioma coexistent with diffuse
hemangiomatosis, resulting in hepatic segmental atrophy and extrahepatic portal
vein thrombosis.
PMID- 24936498
TI - Intrathyroidal thymic tissue mimicking a malignant thyroid nodule in a 4-year-old
child.
AB - Intrathyroidal thymic tissue is rare and may be confused with a malignant thyroid
nodule because of hyperechoic dots mimicking calcifications. We report the case
of a thyroid nodule with malignant ultrasonographic findings in a 4-year-old
child, which was confirmed cytologically as ectopic thymic tissue. The
sonographic findings of ectopic thymus were similar to those of the thymus;
therefore, clinicians should be familiar with ultrasonography findings of normal
thymic tissue.
PMID- 24936499
TI - Ultrasound elastography for thyroid nodules: recent advances.
AB - Ultrasonography (US)-based elastography has been introduced as a noninvasive
technique for evaluating thyroid nodules that encompasses a variety of approaches
such as supersonic shear imaging and acoustic radiation force impulse imaging as
well as real-time tissue elastography. However, the diagnostic performances for
differentiating malignant thyroid nodules from benign ones with elastography as
an adjunctive tool of gray-scale US is still under debate. In this review
article, diagnostic performances of conventional US and a combination of
conventional US and elastography are compared according to the type of
elastography. Further, the interobserver variability of elastography is presented
according to the type of elastography.
PMID- 24936500
TI - Ultrasound-guided sclerotherapy for benign non-thyroid cystic mass in the neck.
AB - Surgical excision has traditionally been the treatment of choice for benign non
thyroid cystic neck masses, including lymphatic malformation, ranula, branchial
cleft cyst, thyroglossal duct cyst, and parathyroid cyst. However, there is a
tendency toward recurrence after surgery, and surgery may be accompanied by
complications, including nerve injuries, vascular injuries, and scar formation.
Ultrasound-guided sclerotherapy using various agents has been challenged and
successfully applied as an alternative treatment for benign non-thyroid cystic
neck masses. This report reviews the available sclerosing agents and describes
the applications of sclerotherapy to the treatment of benign cystic masses in the
neck.
PMID- 24936502
TI - Usefulness of ultrasound elastography in reducing the number of Breast Imaging
Reporting and Data System category 3 lesions on ultrasonography.
AB - PURPOSE: To evaluate the negative predictive value (NPV) of ultrasound (US)
elastography for non-palpable Breast Imaging Reporting and Data System (BI-RADS)
category 3 lesions on ultrasonography and to determine whether US elastography is
helpful in reducing the number of BI-RADS category 3 lesions on ultrasonography.
METHODS: Two hundred seventy-six consecutive, non-palpable BI-RADS category 3
lesions in 256 women who underwent US elastography and US-guided core biopsy, and
who had at least 12 months of follow-up data, comprised our study group. The BI
RADS final assessment category and elasticity score were prospectively and
independently classified. The rate of malignancy and NPV according to the
elasticity score were analysed. We also investigated whether there was a subset
of BI-RADS category 3 lesions that were of benign histology but negative on
elastography. RESULTS: Of the 276 non-palpable BI-RADS category 3 lesions, three
lesions (1.0%) were finally confirmed as ductal carcinomas in situ. No cancers
were found in the remaining 273 lesions with benign biopsy histology at a mean
follow-up of 39.4 months (range, 12 to 72 months). The NPV of a negative
elasticity score (elasticity score of 1) was 99.3% (165 of 166). If BI-RADS
category 3 lesions showing a negative elasticity score were downgraded to BI-RADS
category 2, 60.4% (165 of 273) of them with benign histology could have been
safely followed without biopsy with an increased malignancy rate from 1% (3 of
276) to 1.8% (2 of 110), which is not significantly higher (P=0.626). CONCLUSION:
US elastography has the potential to reduce the number of BI-RADS category 3
lesions on ultrasonography.
PMID- 24936501
TI - Intra- and interobserver reliability of gray scale/dynamic range evaluation of
ultrasonography using a standardized phantom.
AB - PURPOSE: To evaluate intra- and interobserver reliability of the gray
scale/dynamic range of the phantom image evaluation of ultrasonography using a
standardized phantom, and to assess the effect of interactive education on the
reliability. METHODS: Three radiologists (a resident, and two board-certified
radiologists with 2 and 7 years of experience in evaluating ultrasound phantom
images) performed the gray scale/dynamic range test for an ultrasound machine
using a standardized phantom. They scored the number of visible cylindrical
structures of varying degrees of brightness and made a 'pass or fail' decision.
First, they scored 49 phantom images twice from a 2010 survey with limited
knowledge of phantom images. After this, the radiologists underwent two hours of
interactive education for the phantom images and scored another 91 phantom images
from a 2011 survey twice. Intra- and interobserver reliability before and after
the interactive education session were analyzed using K analyses. RESULTS: Before
education, the K-value for intraobserver reliability for the radiologist with 7
years of experience, 2 years of experience, and the resident was 0.386, 0.469,
and 0.465, respectively. After education, the K-values were improved (0.823,
0.611, and 0.711, respectively). For interobserver reliability, the K-value was
also better after the education for the 3 participants (0.067, 0.002, and 0.547
before education; 0.635, 0.667, and 0.616 after education, respectively).
CONCLUSION: The intra- and interobserver reliability of the gray scale/dynamic
range was fair to substantial. Interactive education can improve reliability. For
more reliable results, double- checking of phantom images by multiple reviewers
is recommended.
PMID- 24936503
TI - Computer-aided detection system for masses in automated whole breast
ultrasonography: development and evaluation of the effectiveness.
AB - PURPOSE: The aim of this study was to evaluate the performance of a proposed
computer-aided detection (CAD) system in automated breast ultrasonography (ABUS).
METHODS: Eighty-nine two-dimensional images (20 cysts, 42 benign lesions, and 27
malignant lesions) were obtained from 47 patients who underwent ABUS (ACUSON
S2000). After boundary detection and removal, we detected mass candidates by
using the proposed adjusted Otsu's threshold; the threshold was adaptive to the
variations of pixel intensities in an image. Then, the detected candidates were
segmented. Features of the segmented objects were extracted and used for
training/testing in the classification. In our study, a support vector machine
classifier was adopted. Eighteen features were used to determine whether the
candidates were true lesions or not. A five-fold cross validation was repeated 20
times for the performance evaluation. The sensitivity and the false positive rate
per image were calculated, and the classification accuracy was evaluated for each
feature. RESULTS: In the classification step, the sensitivity of the proposed CAD
system was 82.67% (SD, 0.02%). The false positive rate was 0.26 per image. In the
detection/segmentation step, the sensitivities for benign and malignant mass
detection were 90.47% (38/42) and 92.59% (25/27), respectively. In the five-fold
cross-validation, the standard deviation of pixel intensities for the mass
candidates was the most frequently selected feature, followed by the vertical
position of the centroids. In the univariate analysis, each feature had 50% or
higher accuracy. CONCLUSION: The proposed CAD system can be used for lesion
detection in ABUS and may be useful in improving the screening efficiency.
PMID- 24936504
TI - Shear wave velocity measurements using acoustic radiation force impulse in young
children with normal kidneys versus hydronephrotic kidneys.
AB - PURPOSE: To measure shear wave velocities (SWVs) by acoustic radiation force
impulse (ARFI) ultrasound elastography in normal kidneys and in hydronephrotic
kidneys in young children and to compare SWVs between the hydronephrosis grades.
METHODS: This study was approved by an institutional review board, and informed
consent was obtained from the parents of all the children included. Children
under the age of 24 months were prospectively enrolled. Hydronephrosis grade was
evaluated on ultrasonography, and three valid ARFI measurements were attempted
using a high-frequency transducer for both kidneys. Hydronephrosis was graded
from 0 to 4, and high-grade hydronephrosis was defined as grades 3 and 4.
RESULTS: Fifty-one children underwent ARFI measurements, and three valid
measurements for both kidneys were obtained in 96% (49/51) of the patients.
Nineteen children (38.8%) had no hydronephrosis. Twenty-three children (46.9%)
had unilateral hydronephrosis, and seven children (14.3%) had bilateral
hydronephrosis. Seven children had ureteropelvic junction obstruction (UPJO).
Median SWVs in kidneys with high-grade hydronephrosis (2.02 m/sec) were higher
than those in normal kidneys (1.75 m/sec; P=0.027). However, the presence of UPJO
did not influence the median SWVs in hydronephrotic kidneys (P=0.362).
CONCLUSION: Obtaining ARFI measurements of the kidney is feasible in young
children with median SWVs of 1.75 m/sec in normal kidneys. Median SWVs increased
in high-grade hydronephrotic kidneys but were not different between
hydronephrotic kidneys with and without UPJO.
PMID- 24936505
TI - Intralesional saline injection for effective ultrasound-guided aspiration of
benign viscous cystic thyroid nodules.
AB - PURPOSE: We aimed to evaluate the efficacy and safety of vigorous saline
injection for viscous cystic thyroid nodules. METHODS: Eighteen patients who
underwent ultrasound-guided aspiration for viscous cystic thyroid nodules using a
saline injection were included in our study. After failing to aspirate the cyst
by the usual method, we vigorously injected saline into the cyst in multiple
directions to break up and liquefy the viscous cystic contents to enable
aspiration. The initial and the residual volume of the nodule were calculated,
and the volume reduction rate and the time taken to perform the aspiration were
recorded. RESULTS: The mean volume of the cystic nodules before aspiration was
11.0 mL (range, 1.2 to 26.0 mL), while the postaspiration volume was 4.2 mL
(range, 0.2 to 14.5 mL). The mean aspirated volume was 63.7% of the initial
volume. The mean procedure time was 12.4 minutes (range, 5 to 26 minutes). There
were no significant complications related to the procedure. CONCLUSION: A
vigorous saline injection followed by aspiration can be a useful method to
aspirate viscous cystic thyroid nodules as a prestep for further intervention or
simple management.
PMID- 24936507
TI - Usefulness of resistive index on spectral Doppler ultrasonography in the
detection of renal cell carcinoma in patients with end-stage renal disease.
AB - PURPOSE: The aim of this study was to explore the usefulness of the resistive
index (RI) on spectral Doppler ultrasonography (US) in the detection of renal
cell carcinoma (RCC) in patients with end-stage renal disease (ESRD). METHODS:
Seventeen ESRD patients with kidneys in which renal masses were suspected in
routine US were subjected. They underwent computed tomography scans and
additional Doppler US for the characterization of the detected lesions. All
underwent radical nephrectomy with the suspicion of RCC. Fourteen patients
finally were included. RI measurements were conducted in the region of the
suspected renal mass and the background renal parenchyma. The intraclass
correlation coefficient was used to assess the reproducibility of the RI
measurement. A paired t-test was used to compare the RI values between the renal
mass and the background renal parenchyma (P<0.05). RESULTS: The RI values
measured at the RCCs were significantly lower than those measured at the
background renal parenchyma (0.41-0.65 vs. 0.75-0.89; P<0.001). The intrareader
reproducibility proved to be excellent and good for the renal masses and the
parenchyma, respectively (P<0.001). CONCLUSION: RI on spectral Doppler US is
useful in detecting RCC in patients with ESRD. The RI values measured at the RCCs
were significantly lower than those measured at the background renal parenchyma.
PMID- 24936506
TI - Ductal carcinoma in situ diagnosed using an ultrasound-guided 14-gauge core
needle biopsy of breast masses: can underestimation be predicted preoperatively?
AB - PURPOSE: This study was designed to determine the rate of ductal carcinoma in
situ (DCIS)underestimation diagnosed after an ultrasound-guided 14-gauge core
needle biopsy (US-14G-CNB) of breast masses and to compare the clinical and
imaging characteristics between trueDCIS and underestimated DCIS identified
following surgical excision. METHODS: Among 3,124 US-14G-CNBs performed for
breast masses, 69 lesions in 60 patients were pathologically-determined to be
pure DCIS. We classified these patients according to the final pathology after
surgical excision as those with invasive ductal carcinoma (underestimated group)
and those with DCIS (non-underestimated group). We retrospectively reviewed and
compared the clinical and imaging characteristics between the two groups.
RESULTS: Of the 69 lesions, 21 were shown after surgery to be invasive
carcinomas; the rateof DCIS underestimation was 30.4%. There were no
statistically significant differences withrespect to the clinical symptoms, age,
lesion size, mammographic findings, and ultrasonographic findings except for the
presence of abnormal axillary lymph nodes as detected on ultrasound. The lesions
in 2 patients in the non-underestimated group (2/41, 4.9%) and 5 patients in the
underestimated group (5/19, 26.3%) were associated with abnormal lymph nodes on
axillary ultrasound, and the presence of abnormal axillary lymph nodes on
ultrasound was tatistically significant (P=0.016). CONCLUSION: We found a 30.4%
rate of DCIS underestimation in breast masses based on a US-14G-CNB. The presence
of abnormal lymph nodes as detected on axillary ultrasound may be useful to
preoperatively predict underestimation.
PMID- 24936508
TI - Primary squamous cell carcinoma of thyroid gland with local recurrence:
ultrasonographic and computed tomographic findings.
AB - Primary squamous cell carcinoma of the thyroid gland (PSCCT) is a rare malignancy
that presents with advanced disease and poor prognosis. It is difficult to
diagnose PSCCT in its early stage because of its rarity and lack of typical
imaging findings. We experienced an elderly woman with PSCCT confirmed by
surgery. Although preoperative fine-needle aspiration revealed no malignancy,
surgical resection was performed because the ultrasonogram showed diffuse
microcalcifications, which suggested malignancy, and clinically, the mass grew
rapidly to compress the trachea. Local tumor recurrence was noted at 3 months
after surgery. Surgical resection or repeat biopsy should be considered if a
cytologically benign thyroid mass shows imaging or clinical features of
malignancy.
PMID- 24936509
TI - Obstacles and opportunities for the future of genomic medicine.
PMID- 24936510
TI - Genetics and genomics in Thailand: challenges and opportunities.
PMID- 24936511
TI - CTSC and Papillon-Lefevre syndrome: detection of recurrent mutations in Hungarian
patients, a review of published variants and database update.
AB - Papillon-Lefevre syndrome (PLS; OMIM 245000) is an autosomal recessive condition
characterized by palmoplantar hyperkeratosis and periodontitis. In 1997, the gene
locus for PLS was mapped to 11q14-21, and in 1999, variants in the cathepsin C
gene (CTSC) were identified as causing PLS. To date, a total of 75 different
disease-causing mutations have been published for the CTSC gene. A summary of
recurrent mutations identified in Hungarian patients and a review of published
mutations is presented in this update. Comparison of clinical features in
affected families with the same mutation strongly confirm that identical
mutations of the CTSC gene can give rise to multiple different phenotypes, making
genotype-phenotype correlations difficult. Variable expression of the phenotype
associated with the same CTSC mutation may reflect the influence of other genetic
and/or environmental factors. Most mutations are missense (53%), nonsense (23%),
or frameshift (17%); however, in-frame deletions, one splicing variant, and one
5' untranslated region (UTR) mutation have also been reported. The majority of
the mutations are located in exons 5-7, which encodes the heavy chain of the
cathepsin C protein, suggesting that tetramerization is important for cathepsin C
enzymatic activity. All the data reviewed here have been submitted to the CTSC
base, a mutation registry for PLS at http://bioinf.uta.fi/CTSCbase/.
PMID- 24936513
TI - Candidate gene analysis using genomic quantitative PCR: identification of
ADAMTS13 large deletions in two patients with Upshaw-Schulman syndrome.
AB - Direct sequencing is a popular method to discover mutations in candidate genes
responsible for hereditary diseases. A certain type of mutation, however, can be
missed by the method. Here, we report a comprehensive genomic quantitative
polymerase chain reaction (qPCR) to complement the weakness of direct sequencing.
Upshaw-Schulman syndrome (USS) is a recessively inherited disease associated with
severe deficiency of plasma ADAMTS13 activity. We previously analyzed ADAMTS13 in
47 USS patients using direct sequencing, and 44 of them had either homozygous or
compound heterozygous mutations. Then, we sought to reveal more extensive defects
of ADAMTS13 in the remaining three patients. We quantified copy numbers of each
ADAMTS13 exon in the patients by using genomic qPCR. Each primer pair was
designed to contain at least one of the two primers used in direct sequencing, to
avoid missing any exonic deletions. The qPCR demonstrated heterozygous loss of
exons 7 and 8 in one patient and exon 27 in the other, and further analysis
revealed c.746_987+373del1782 and c.3751_3892+587del729, respectively. Genomic
qPCR provides an effective method for identifying extensive defects of the target
genes.
PMID- 24936512
TI - Mutations of NOTCH3 in childhood pulmonary arterial hypertension.
AB - Mutations of BMPR2 and other TGF-beta superfamily genes have been reported in
pulmonary arterial hypertension (PAH). However, 60-90% of idiopathic PAH cases
have no mutations in these genes. Recently, the expression of NOTCH3 was shown to
be increased in the pulmonary artery smooth muscle cells of PAH patients. We
sought to investigate NOTCH3 and its target genes in PAH patients and clarify the
role of NOTCH3 signaling. We screened for mutations in NOTCH3, HES1, and HES5 in
41 PAH patients who had no mutations in BMPR2, ALK1, endoglin, SMAD1/4/8, BMPR1B,
or Caveolin-1. Two novel missense mutations (c.2519 G>A p.G840E, c.2698 A>C
p.T900P) in NOTCH3 were identified in two PAH patients. We performed functional
analysis using stable cell lines expressing either wild-type or mutant NOTCH3.
The protein-folding chaperone GRP78/BiP was colocalized with wild-type NOTCH3 in
the endoplasmic reticulum, whereas the majority of GRP78/BiP was translocated
into the nuclei of cells expressing mutant NOTCH3. Cell proliferation and
viability were higher for cells expressing mutant NOTCH3 than for those
expressing wild-type NOTCH3. We identified novel NOTCH3 mutations in PAH patients
and revealed that these mutations were involved in cell proliferation and
viability. NOTCH3 mutants induced an impairment in NOTCH3-HES5 signaling. The
results may contribute to the elucidation of PAH pathogenesis.
PMID- 24936514
TI - Human leukocyte antigen haplotype phasing by allele-specific enrichment with
peptide nucleic acid probes.
AB - Targeted capture of large fragments of genomic DNA that enrich for human
leukocyte antigen (HLA) system haplotypes has utility in haematopoietic stem cell
transplantation. Current methods of HLA matching are based on inference or
familial studies of inheritance; and each approach has its own inherent
limitations. We have designed and tested a probe-target-extraction method for
capturing specific HLA haplotypes by hybridization of peptide nucleic acid (PNA)
probes to alleles of the HLA-DRB1 gene. Short target fragments contained in
plasmids were initially used to optimize the method followed by testing samples
of genomic DNA from human subjects with preselected HLA haplotypes and obtained
approximately 10% enrichment for the specific haplotype. When performed with high
molecular-weight genomic DNA, 99.0% versus 84.0% alignment match was obtained for
the specific haplotype probed. The allele-specific target enrichment that we
obtained can facilitate the elucidation of haplotypes between the 65 kb
separating the HLA-DRB1 and the HLA-DQA1 genes, potentially spanning a total
distance of at least 130 kb. Allele-specific target enrichment with PNA probes is
a straightforward technique that has the capability to improve the resolution of
DNA and whole genome sequencing technologies by allowing haplotyping of enriched
DNA and crucially, retaining the DNA methylation profile.
PMID- 24936515
TI - Novel IRF6 mutations in families with Van Der Woude syndrome and popliteal
pterygium syndrome from sub-Saharan Africa.
AB - Orofacial clefts (OFC) are complex genetic traits that are often classified as
syndromic or nonsyndromic clefts. Currently, there are over 500 types of
syndromic clefts in the Online Mendelian Inheritance in Man (OMIM) database, of
which Van der Woude syndrome (VWS) is one of the most common (accounting for 2%
of all OFC). Popliteal pterygium syndrome (PPS) is considered to be a more severe
form of VWS. Mutations in the IRF6 gene have been reported worldwide to cause VWS
and PPS. Here, we report studies of families with VWS and PPS in sub-Saharan
Africa. We screened the DNA of eight families with VWS and one family with PPS
from Nigeria and Ethiopia by Sanger sequencing of the most commonly affected
exons in IRF6 (exons 3, 4, 7, and 9). For the VWS families, we found a novel
nonsense variant in exon 4 (p.Lys66X), a novel splice-site variant in exon 4
(p.Pro126Pro), a novel missense variant in exon 4 (p.Phe230Leu), a previously
reported splice-site variant in exon 7 that changes the acceptor splice site, and
a known missense variant in exon 7 (p.Leu251Pro). A previously known missense
variant was found in exon 4 (p.Arg84His) in the PPS family. All the mutations
segregate in the families. Our data confirm the presence of IRF6-related VWS and
PPS in sub-Saharan Africa and highlights the importance of screening for novel
mutations in known genes when studying diverse global populations. This is
important for counseling and prenatal diagnosis for high-risk families.
PMID- 24936518
TI - Simple molecular diagnostic method for fragile X syndrome in Egyptian patients:
pilot study.
AB - BACKGROUND: Poor knowledge about Fragile X syndrome (FXS) may be a major barrier
to early diagnosis that could improve quality of life and prognosis especially in
the developing countries. AIM: The aim of this study was to evaluate simple and
reproducible method for premutation detection in females of fragile X families
for the first time in Egypt. SUBJECTS AND METHODS: We have developed a rapid
modified polymerase chain reaction (PCR)-based screening tool for expanded
Fragile X mental retardation 1 (FMR1) alleles. This method utilizes betaine as
additive to facilitate FMR 1 gene amplification. We screened fifty three males,
thirty two first-degree females; twenty normal healthy controls in addition to
six reference samples. RESULTS: Simple PCR method showed 16 males with abnormal
CGG repeats, where 10 of their mothers and four sisters had FMR 1 premutation.
Consanguineous marriage was present in 66.6% percent of the studied families.
Studying the correlation between genotype and clinical manifestations showed
premature ovarian failure in 40% and learning disability in 50% of the studied
female carriers. CONCLUSION: FXS has to be ruled out in families with
consanguineous parents, before assuming that familial mental retardation is due
to autosomal recessive gene defects. Early carrier detection may reduce the
number of affected children. In conclusion, more studies are still needed of much
larger sample size with known allele sizes in order to guarantee the accuracy of
the method used.
PMID- 24936516
TI - A rare sequence variant in intron 1 of THAP1 is associated with primary dystonia.
AB - Although coding variants in THAP1 have been causally associated with primary
dystonia, the contribution of noncoding variants remains uncertain. Herein, we
examine a previously identified Intron 1 variant (c.71+9C>A, rs200209986). Among
1672 subjects with mainly adult-onset primary dystonia, 12 harbored the variant
in contrast to 1/1574 controls (P < 0.01). Dystonia classification included
cervical dystonia (N = 3), laryngeal dystonia (adductor subtype, N = 3), jaw
opening oromandibular dystonia (N = 1), blepharospasm (N = 2), and unclassified
(N = 3). Age of dystonia onset ranged from 25 to 69 years (mean = 54 years). In
comparison to controls with no identified THAP1 sequence variants, the c.71+9C>A
variant was associated with an elevated ratio of Isoform 1 (NM_018105) to Isoform
2 (NM_199003) in leukocytes. In silico and minigene analyses indicated that
c.71+9C>A alters THAP1 splicing. Lymphoblastoid cells harboring the c.71+9C>A
variant showed extensive apoptosis with relatively fewer cells in the G2 phase of
the cell cycle. Differentially expressed genes from lymphoblastoid cells revealed
that the c.71+9C>A variant exerts effects on DNA synthesis, cell growth and
proliferation, cell survival, and cytotoxicity. In aggregate, these data indicate
that THAP1 c.71+9C>A is a risk factor for adult-onset primary dystonia.
PMID- 24936519
TI - The relation of PON1-L55M gene polymorphism and clinical manifestation of
Behcet's disease.
AB - PURPOSE: Behcet's disease is a multisystem disease characterized by recurrent
oral and genital ulcers, relapsing uveitis, mucocutaneous, articular,
gastrointestinal, neurologic, and vascular manifestations. Paraoxonase is
believed to play an important role in protection of LDL and HDL particles from
oxidation, in antioxidant effect against lipid peroxidation on cellular
membranes, and in anti-inflammatory process. Lipid peroxidation and free oxygen
radicals have been thought to play a role in pathogenesis of BD. The association
of paraoxonase gene polymorphisms with Behcet's Disease in a group of Turkish
patients with clinical manifestations and healthy controls has been investigated.
PATIENTS AND METHODS: Paraoxonase (PON-1-L55M) gene polymorphism was investigated
in 50 Behcet patients and 50 healthy individuals with a PCR/RFLP method. RESULTS:
There were significant differences between patients and the control group in
allele frequencies of the PON1 L55M polymorphism (p=0.04). Also, when patients
were compared with the control group according to clinical manifestations, this
statistical significance was getting sharper. Compared with the PON55 L allele,
the M allele was associated with greater than 3.5 fold (OR 3.5, 95% CI 1.3-8.9)
increased risk of ocular (OR 2.4, 95% CI 1.1-5.3), 2.4 fold joint and 3.1 fold
(OR 3.1, 95% CI 1.1-8.4) central nervous system manifestations of BD. CONCLUSION:
The PON L55M gene polymorphism seemed to play a role in the pathogenesis of BD.
PMID- 24936520
TI - The pH optimum of native uracil-DNA glycosylase of Archaeoglobus fulgidus
compared to recombinant enzyme indicates adaption to cytosolic pH.
AB - Uracil-DNA glycosylase of Archaeoglobus fulgidus (Afung) in cell extracts
exhibited maximal activity around pH 6.2 as compared to pH 4.8 for the purified
recombinant enzyme expressed in Escherichia coli. Native Afung thus seems to be
adapted to the intracellular pH of A. fulgidus, determined to be 7.0+/-0.1. Both
recombinant and native Afung exhibited a broad temperature optimum for activity
around 80 degrees C, reflecting the A. fulgidus optimal growth temperature of 83
degrees C. Adaption to the neutral conditions in the A. fulgidus cytoplasm might
be due to covalent modifications or accessory factors, or due to a different
folding when expressed in the native host.
PMID- 24936521
TI - Smooth muscle contamination analysis in clinical oncology gene expression
research.
AB - Gene expression profiling is one of the most explored methods for studying
cancers and microarray data repositories have become a rich and important
resource. The most common human cancers develop in organs that are walled by
smooth muscles. The only method of sample extraction free of unintentional
contamination with surrounding tissue is microdissection. Nevertheless, such an
approach is implemented infrequently. In the light of the above, there is a
possibility of smooth muscle contamination in a large portion of publicly
available data. In this study, 2292 publicly available microarrays were analysed
to develop a simple screening method for detecting smooth muscle contamination.
Microarray Inspector software was used to perform the tests since it has the
unique ability to use many selected genes and probesets in a single group as a
tissue definition. Furthermore, the test was dataset-independent. Two strategies
of tissue definition were explored and compared. The first one depended on Tissue
Specific Genes Database (TiSGeD) and BioGPS web resources, which themselves were
based on meta-analysis of thousands of microarrays. The second method was based
on a differential gene expression analysis of a few hundred preselected arrays.
The comparison of the two methods proved the latter to be superior. Among the
tested samples of undefined contamination, nearly half were identified to
possibly contain significant smooth muscle traces. The obtained results equip
researches with a simple method of examining microarray data for smooth muscle
contamination. The presented work serves as an example of how to create
definitions when searching for other possible contaminations.
PMID- 24936523
TI - Editorial: looking back.
PMID- 24936522
TI - Investigation of asparagine deamidation in a SOD1-based biosynthetic human
insulin precursor by MALDI-TOF mass spectrometry.
AB - A biosynthetic human insulin precursor displayed enhanced susceptibility to
deamidation at one particular site. The present study was undertaken to monitor
progress of precursor deamidation at successive manufacturing stages. MALDI
TOF/TOF MS in combination with controlled endoproteinase Glu-C and endoproteinase
Asp-N proteolysis was used for rapid and unambiguous determination of deamidated
residue within the investigated structure. Close inspection of isotopic
distribution patterns of peptides resulting from enzymatic digestion enabled
determination of distinct precursor forms occurring during the production
process. Asn, Asp, isoAsp and succinimide derivatives of the amino acid at
position 26 were unambiguously identified. These modifications are related to the
leader peptide of a precursor encompassing amino acid sequence corresponding to
that of superoxide dismutase [Cu-Zn] (SOD1 1, EC=1.15.1.1). Monitoring of
precursor deamidation process at successive manufacturing stages revealed that
the protein folding stage was sufficient for a prominent replacement of
asparagine by aspartic and isoaspartic acid and the deamidated human insulin
precursor constituted the main manufactured product. Conversion proceeded through
a succinimide intermediate. Significant deamidation is associated with the
presence of SNG motif and confirms results achieved previously on model peptides.
Our findings highlight an essential role of the specific amino acid sequence on
accelerated rate of protein deamidation. To our knowledge, this is the first time
that such a dramatic change in the relative abundance of Asp and isoAsp resulting
from protein deamidation process is reported.
PMID- 24936525
TI - Adhesively luted zirconia restorations: why and how?
PMID- 24936526
TI - On the importance of image formation optics in the design of infrared
spectroscopic imaging systems.
AB - Infrared spectroscopic imaging provides micron-scale spatial resolution with
molecular contrast. While recent work demonstrates that sample morphology affects
the recorded spectrum, considerably less attention has been focused on the
effects of the optics, including the condenser and objective. This analysis is
extremely important, since it will be possible to understand effects on recorded
data and provides insight for reducing optical effects through rigorous
microscope design. Here, we present a theoretical description and experimental
results that demonstrate the effects of commonly-employed cassegranian optics on
recorded spectra. We first combine an explicit model of image formation and a
method for quantifying and visualizing the deviations in recorded spectra as a
function of microscope optics. We then verify these simulations with measurements
obtained from spatially heterogeneous samples. The deviation of the computed
spectrum from the ideal case is quantified via a map which we call a deviation
map. The deviation map is obtained as a function of optical elements by
systematic simulations. Examination of deviation maps demonstrates that the
optimal optical configuration for minimal deviation is contrary to prevailing
practice in which throughput is maximized for an instrument without a sample.
This report should be helpful for understanding recorded spectra as a function of
the optics, the analytical limits of recorded data determined by the optical
design, and potential routes for optimization of imaging systems.
PMID- 24936527
TI - First evaluation of the threat posed by antifouling biocides in the Southern
Adriatic Sea.
AB - The CARISMA project (characterization and ecological risk analysis of antifouling
biocides in the Southern Adriatic Sea) aims to appraise the quality of the
Southern Adriatic Sea between Italy (Apulia region) and Albania and, in
particular, the impact due to the use of biocidal antifouling coatings. Under
this project, a preliminary survey at the main hot spots of contamination (e.g.
ports and marinas) was conducted at the end of the nautical season in 2012.
Chemical seawater analyses were complemented with ecotoxicological assays and the
results were analyzed by principal component analysis (PCA). As expected, PCA
splits the Albanian and Italian ports, according to the different degrees of
contamination indicated for the two countries by the experimental data,
highlighting the most critical situation in one port of Apulia. In addition, in
order to assess the potential adverse ecological effects posed by antifouling
agents (i.e. tributyltin (TBT)-irgarol-diuron) on non-target marine organisms,
hazard quotients (HQ) were calculated. The results showed a low risk posed by
irgarol and diuron whereas the probability of adverse effects was high in the
case of TBT.
PMID- 24936528
TI - A synthetic biochemistry molecular purge valve module that maintains redox
balance.
AB - The greatest potential environmental benefit of metabolic engineering would be
the production of high-volume commodity chemicals, such as biofuels. Yet, the
high yields required for the economic viability of low-value chemicals is
particularly hard to achieve in microbes owing to the myriad competing
biochemical pathways. An alternative approach, which we call synthetic
biochemistry, is to eliminate the organism by constructing biochemical pathways
in vitro. Viable synthetic biochemistry, however, will require simple methods to
replace the cellular circuitry that maintains cofactor balance. Here we design a
simple purge valve module for maintaining NADP(+)/NADPH balance. We test the
purge valve in the production of polyhydroxybutyryl bioplastic and isoprene-
pathways where cofactor generation and utilization are unbalanced. We find that
the regulatory system is highly robust to variations in cofactor levels and
readily transportable. The molecular purge valve provides a step towards
developing continuously operating, sustainable synthetic biochemistry systems.
PMID- 24936529
TI - Evidence for interacting two-level systems from the 1/f noise of a
superconducting resonator.
AB - The performance of a great variety of electronic devices--ranging from
semiconductor transistors to superconducting qubits--is hampered by low-frequency
noise with spectra proportional to 1/f. The ubiquity and negative impact of 1/f
noise has motivated intensive research into its cause, and it is now believed to
originate from a bath of fluctuating two-level defect states (TLSs) embedded in
the material. This phenomenon is commonly described by the long-established
standard tunnelling model (STM) of independent TLS. A key prediction of STM is
that the noise should vanish at low temperatures. Here we report measurements on
superconducting microresonators over previously unattainable, very long time
scales that show an increase in 1/f noise at low temperatures and low microwave
power, contrary to the STM. We propose a new generalised tunnelling model that
includes significant interaction between multiple TLSs, which fully describes
these observations, as well as recent studies of individual TLS lifetimes in
superconducting qubits.
PMID- 24936530
TI - Sulodexide: it is time for a program against chronic venous disease.
PMID- 24936531
TI - Pharmacological profile of sulodexide.
AB - Since its introduction, sulodexide has been used on and off for several
indications. More recently this agent has become revitalized and tested in newer
indications. Sulodexide is composed of glycosaminoglycan that includes a mixture
of fast-moving heparin and dermatan sulfate. It exerts its anticoagulant and
antithrombotic action through interactions with both AT and HCII. Sulodexide has
been proven to have effects on the fibrinolytic system, platelets, endothelial
cells, inflammation and more recently metalloproteases. The administration of
sulodexide results in the release of lipoprotein lipase and has been shown to
reduce the circulating level of lipids. It has also shown to decrease the
viscosity of both whole blood and plasma. Sulodexide differs from heparin in its
oral bioavailability and longer half-life. There is also less bleeding associated
with sulodexide. In addition, oral administration of sulodexide does not
interfere with the pharmacologic actions of commonly used agents. Similar to
heparin, sulodexide releases TFPI which contributes to its antithrombotic effect
and anti-inflammatory properties. Sulodexide has been proven to be effective in
peripheral arterial thrombosis and venous thrombosis. It is also clinically
active in the treatment of venous leg ulcers and intermittent claudication. More
recent data suggest that sulodexide can be used in tinnitus and in vascular
vertigo. Additional studies in these indications are required. Sulodexide was
generally safe and well tolerated in the clinical trials, without any severe
bleeding complications. Therefore sulodexide appears to be a good treatment for
all arterial and venous diseases and for the prevention of progression of
disease.
PMID- 24936532
TI - Glycosaminoglycan sulodexide modulates inflammatory pathways in chronic venous
disease.
AB - Inflammation represents an important epiphenomenon in the etiopathogenesis of
chronic venous disease, a worldwide debilitating condition affecting millions of
subjects. The pathophysiology of chronic venous disease (CVD) is based on the
hemodynamic abnormalities in conjunction to alterations in cellular and
extracellular matrix biocompounds. The endothelial dysfunction results from early
perturbation in the endothelium linked to glycocalyx injury and promoted by
inflammatory cells and mediators (such as matrix metalloproteinases and
interleukins), which lead to progressive dilation of the vein resulting in
chronic venous insufficiency. Activated leukocytes during the inflammatory
process release enzymes, free radicals, chemokines and inflammatory cytokines in
the vessel microenvironment, which are responsible for the changes of the venous
wall and venous valve, reflux and venous hypertension, and the
development/progression of tissue destruction and skin changes. Sulodexide, a
highly purified mixture of glycosaminoglycans composed by 80% fast moving heparin
and 20% of dermatan sulphate, exhibits anti-thrombotic and profibrinolytic
properties, restoring also the essential endothelial glycocalyx.
Glycosaminoglycan sulodexide has been also characterized to reduce the release of
inflammatory cytokines/chemokines and to inhibit the matrix metalloproteinases
related proteolytic cascades, counteracting endothelial dysfunctions. The
pleiotropic effects of sulodexide set the basis for a very promising agent in
treating the spectrum of CVD.
PMID- 24936533
TI - Glycosaminoglycans, proteoglycans and sulodexide and the endothelium: biological
roles and pharmacological effects.
AB - The glycocalyx is a jelly layer covering the endothelium constituted by
glycosaminoglycans (GAGs), proteoglycans and adsorbed plasma proteins. This
structure take part in several physiological and pathological vascular events.
The glycocalyx acts as mechanosensor to shear stress and participates to
regulation of vascular tone, permeability, coagulation and complement activation.
Moreover it regulates the interaction and activation of blood cells with
endothelial cells. The presence of a thick, normal glycocalyx is required for
physiological vascular functions, whereas these functions are impaired by its
damage by noxious agents. Indeed, glycocalyx alterations are involved in the
pathogenesis of atherosclerosis, ischemia-reperfusion and diabetic vascular
complications. GAGs such as sulodexide are promising agents to control
endothelial dysfunction. They act at multiple levels: they promote glycocalyx
reconstitution, control glycocalyx degrading enzymes, exert anti-inflammatory
effects and have anti-apoptotic and anti-senescence effects on endothelial cells.
Clinical studies support the evidence that glycosaminoglycans are useful to
restore a normal endothelial function.
PMID- 24936534
TI - Role of sulodexide in the treatment of CVD.
AB - Treatment of vascular diseases should be based on established pathophysiological
concepts, and this also applies to chronic venous disease (CVD). On the basis of
the latest research in this field, this paper summarizes the most advanced
pathophysiological knowledge regarding the hemodynamics of the large veins and of
the microcirculation, the endothelial function and inflammation, and the use of
sulodexide in the treatment of CVD. The emerging theories on the pathophysiology
of CVD consider inflammation, endothelial glycocalyx dysfunction, and the
consequent changes in the extracellular matrix to play key roles in the
development of CVD, and support a renewed interest in the research and
application of sulodexide. As part of active approach to the treatment of CVD
including edema and trophic venous alterations, sulodexide could help to
alleviate progressive signs and symptoms of disease in any clinical CEAP class of
CVD, from C1 to C6.
PMID- 24936535
TI - Severity of chronic venous disease and anatomic distribution of valvular
incompetence.
AB - AIM: The aim of the study was to examine the association between anatomical
patterns of valvular incompetence and the severity of venous insufficiency in
patients with chronic venous disease. METHODS: A total of 211 consecutive
patients (70% females) were referred from the vascular clinic to undergo a
Doppler ultrasound examination. The extent and anatomical pattern of valvular
incompetence was noted using CEAP criteria. Clinical classes C1-6 for each
patient were expressed as a string of binary digits and translated into a
clinical ordinal score. In this way, higher grade signs were not obscuring lower
grade signs and non-parametric analysis could include a wider range of clinical
data. RESULTS: Varicose veins were the main complaint in 33% of the patients. 54%
had at least one incompetent deep vein, 80% had incompetent perforators. Clinical
severity increased with age, but was not related with gender. The presence of
deep venous incompetence did not account for significant increase in signs.
Superficial incompetence, especially if involving two or more segments, was most
commonly seen in patients with severe signs. A linear regression model for
clinical ordinal score identified non-saphenous superficial reflux and small
saphenous vein reflux as the strongest predictors of clinical severity.
CONCLUSION: CEAP clinical classes can be translated into a clinical ordinal
score, which is useful for data analysis. In a group with chronic venous
disorders, clinical status is associated mostly with the condition of superficial
and calf perforating veins.
PMID- 24936536
TI - Effects of high hemodynamics upon the morphology of the walls of the great
saphenous vein and splenic vein.
AB - AIM: Studies have shown that the incidence and development of pathological
changes in the walls of the great saphenous vein and splenic vein are closely
related to high venous pressure. Such changes are referred to as "vascular
adaptive remodeling responses under high venous pressure". The proposition of the
concept of vascular remodeling contributes to our knowledge of pathological
changes in the venous wall (dilation of the venous lumen and thickening of the
venous wall). In the present study, we compared the histomorphology and
cytomorphology of the walls of varicose great saphenous veins (GSVs) and diseased
splenic veins (SVs) to investigate the remodeling of the venous wall under high
hemodynamic pressure. METHODS: We collected 34 samples of varicose great
saphenous veins and diseased splenic veins. Thirty-four samples of normal great
saphenous veins and splenic veins were also collected (control group). Samples
were made into slices and observed under light microscopy and electron
microscopy. The thickness of the tunica intima and tunica media as well as the
inner diameter of the venous lumen were measured. RESULTS: Under light
microscopy, the walls of varicose veins stained with H&E were unevenly thickened,
and those of diseased splenic veins were evenly thickened; mucoid degeneration of
the tunica intima of varicose veins was not obvious by Masson staining (2/20
cases). The boundary between the tunica intima and tunica media was clearly
defined. Uneven hyperplasia of muscular connective tissues was observed. For the
diseased splenic-vein group, mucoid degeneration of the tunica intima was obvious
(8/14 cases), with an unclearly defined boundary between the tunica intima and
tunica extima. Uneven hyperplasia of muscular connective tissues was also
observed. Differences in the thickness and inner diameter of the tunica intima
and tunica media between the great saphenous vein and the splenic vein were
significantly different. Under electron microscopy, mitochondrial degeneration in
endothelial cells was observed in both groups. Increased numbers of rough
endoplasmic reticula in the cytoplasm of smooth muscle cells, ribosomes and
mitochondria and decreased numbers of myofilaments were also observed.
CONCLUSION: High hemodynamics affected the remodeling of varicose great saphenous
veins and diseased splenic veins. The histomorphology of visceral veins showed
more significant pathological changes than that of peripheral veins. Similar
cytomorphological changes were observed in both groups.
PMID- 24936537
TI - The IUA program of accreditation of vascular centers has just taken off:
multidisciplinary cares are the best way to manage the vascular patients.
PMID- 24936538
TI - Long-term progression of contralateralcarotid artery disease after
endarterectomy: is there a need for Duplex surveillance?
PMID- 24936539
TI - Evaluation of the content of the Anatolian Journal of Cardiology by the Editor in
Chief.
PMID- 24936540
TI - The anti-inflammatory and antioxidant effects of pravastatin and nebivolol in rat
aorta.
AB - OBJECTIVE: The aim of this study was to investigate the effects of pravastatin
and nebivolol in the atherosclerotic process including inflammation and oxidative
stress in rat aorta. METHODS: This experimental randomized controlled study
comprised of 35 Wistar albino rats. Nomega-nitro-L-arginine methyl ester (L-NAME)
- induced vascular inflammation and arteriosclerosis were treated with both of
the pharmacologic agents. All were divided into 5 equal groups: the control,
group I: L-NAME -15 days, group II: L-NAME 30+ nebivolol, group III: L-NAME -30+
pravastatin, group IV: L-NAME - 30 days. Serum ceruloplasmin, uric acid, total
antioxidant capacity (TAC), total cholesterol (T.Chol), low density lipoprotein
(LDL), high density lipoprotein (HDL), triglyceride (TG) were analyzed. Medial
thickening and leukocyte infiltration status were examined histopathologically.
The results were compared with control group and with each other using Kruskal
Wallis and Mann-Whitney U test. RESULTS: Pravastatin diminished the rise of
ceruloplasmin, which was taken as an index of inflammation (p=0.002). Pravastatin
and nebivolol decreased the L-NAME induced oxidative stress (p=0.001, 0.002,
respectively). Nebivolol diminished the rise of LDL (p=0.04). Pravastatin lowered
T.Chol, LDL and TG levels (p=0.001, 0.008, 0.040, respectively). HDL values were
not changed significantly. CONCLUSION: In conclusion, 15 days of statin therapy
attenuated vascular inflammation and lowered the rised lipid levels (LDL,
T.cholesterol and TG). Both the nebivolol and pravastatin exhibited antioxidant
property. These documented beneficial effects of both of the drugs may improve
the clinical outcomes of patients with hypertension or hyperlipidemia by
additional studies.
PMID- 24936541
TI - The role of endothelial nitric oxide synthase gene G894T and intron 4 VNTR
polymorphisms in hemodialysis patients with vascular access thrombosis.
AB - OBJECTIVE: Endothelial nitric oxide synthase (eNOS) gene is a candidate gene in
cardiovascular and renal diseases. Several polymorphic variations have been
identified in eNOS gene. We investigated a potential role of arteriovenous
fistula (AVF) thrombosis and intron 4 and G894T polymorphisms in chronic renal
failure. METHODS: We performed a case-control observational study involving 79
with/without AVF thrombosis in chronic renal failure patients. All subjects were
genotyped by the polymerase chain reaction (PCR) and PCR-Restriction Fragment
Length Polymorphism. Genotype distribution and allele frequencies were compared
between groups using the chi-square test. RESULTS: Genotype frequencies in
patients with thrombosis were not significantly different from those of patients
without thrombosis for eNOS G894T polymorphism (p=0.1). eNOS gene intron 4 a
allele distributions seems to be associated with thrombosis in the groups.
CONCLUSION: This study revealed that there was an association between eNOS intron
4 polymorphism and thrombosis in chronic renal failure patients. This data will
be helpful in planning further eNOS association studies in vascular access
thrombosis.
PMID- 24936542
TI - Prognostic significance of sICAM-1 and sVCAM-1 molecules for cardiac surgery in
pediatric patients with pulmonary hypertension.
AB - OBJECTIVE: To investigate preoperative and postoperative blood levels of soluble
intercellular and vascular cell adhesion molecules (sICAM-1, sVCAM-1) in patients
with and without pulmonary hypertension (PAH) due to congenital heart disease and
left to right (L-R) shunt and to determine whether these molecules can be used as
reliable prognostic markers of endothelial activity to predict surgical outcomes.
METHODS: In this observational prospective cohort study; 42 patients, operated
for L-R shunt were divided into three groups. Group 1: L-R shunt without PAH,
Group 2: L-R shunt with PAH, Group 3: L-R shunt with PAH and postoperative low
cardiac output syndrome (LCOS). Their sICAM-1 and sVCAM-1 levels were measured
preoperatively (sICAM-0, sVCAM-0) and on the first (sICAM-1, sVCAM-1) and fifth
postoperative days (sICAM-2, sVCAM-2).ROC curve for various cut-off levels of
sICAM-0, sVCAM-0 in differentiating PAH patients with and without LCOS. RESULTS:
In Group 3, sICAM-0 and sVCAM-2 levels were higher than Group 1 and 2. The ROC
curve demonstrated a significant association between sICAM-0 in patients with L-R
shunt and PAH (Group 2 and 3) and the development of LCOS (area under the curve:
0.98, p<0.01 and 0.97, p<0.01, respectively). At a sICAM-0 concentration >359
ng/mL, there was a sensitivity of 90% and specificity of 95% for identification
of LCOS in patients with L-R shunt and PAH (AUC: 0.98, 95% CI: 0.95-1.02,
p<0.01). CONCLUSION: High preoperative sICAM-1 molecule may be used to predict
postoperative dichotomous outcome in patients with PAH associated with L-R shunt.
PMID- 24936543
TI - Progress of ISSS in Turkey from 2007 to 2010: on the eve of the 2014 event.
PMID- 24936544
TI - Re: 'Better data ? Bigger data'.
PMID- 24936545
TI - Identifying risk factors for severe hypoglycemia in hospitalized patients with
diabetes.
AB - OBJECTIVE: Some of the deleterious effects of hypoglycemia in hospitalized
patients include increased rates of mortality and longer length of stay. Our
primary objective was to identify the risk factors associated with severe
hypoglycemia to identify those patients at highest risk. METHODS: The medical
records of 5,026 patients with diabetes mellitus (DM) admitted in 2010 were
reviewed to identify those patients that developed severe hypoglycemia (blood
glucose [BG] <40 mg/dL). We performed chi2 tests to assess statistical
significance. Adjusted logical regression was used to determine the risk factors
for hypoglycemia in the hospital. RESULTS: Out of 5,026 DM patients included in
our review, 81 experienced severe hypoglycemia (1.6%). Statistically higher
proportions of chronic kidney disease (CKD; 69.1% vs. 46.9%, P<.001), congestive
heart failure (CHF; 48.1% vs. 28.5%, P<.001), sepsis (49.4% vs. 12.5%, P<.001),
insulin use (45.7% vs. 26.04%, P = .000), type 1 DM (21% vs. 5.1%, P = .000), and
cirrhosis (14.8% vs. 7.2%, P = .009) were seen in the severe hypoglycemic group
compared to the nonsevere hypoglycemic group. Overall, 84% of patients who
experienced an episode of severe hypoglycemia in the hospital (BG <40 mg/dL) had
a previous episode of hypoglycemia (BG <70 mg/dL). The odds ratios (ORs) for type
1 DM, sepsis, previous hypoglycemia, and insulin use were 3.43 (95% confidence
interval [CI] 1.81, 6.49), 2.64 (95% CI 1.6, 4.35), 46.1 (95% CI 24.76, 85.74),
and 1.66 (95% CI 1.02, 2.69), respectively. CONCLUSION: Prior episodes of
hypoglycemia in the hospital, the presence of type 1 DM, insulin use, and sepsis
were identified as independent risk factors for the development of severe
hypoglycemia in the hospital.
PMID- 24936546
TI - Successful fertility restoration after allogeneic hematopoietic stem cell
transplantation.
AB - OBJECTIVE: Myeloablative conditioning regimens given prior to hematopoietic stem
cell transplantation (HSCT) frequently cause permanent sterility in men. In
patients with sickle cell disease (SCD) we use a nonmyeloablative regimen with
sirolimus, alemtuzumab, and low-dose total-body irradiation (300 centigrays) with
gonadal shielding preceding allogeneic HSCT. We report here the restoration of
azoospermia in a patient with SCD after allogeneic HSCT. We discuss the impact of
our patient's underlying chronic medical conditions and the therapies he had
received (frequent blood transfusions, iron chelating drugs, ribavirin,
hydroxyurea, opioids), as well as the impact of the nonmyeloablative conditioning
regimen on male gonadal function, and we review the literature on this topic.
METHODS: We determined the patient's reproductive hormonal values and his semen
parameters before, during, and after HSCT and infertility treatment. In addition,
we routinely measured his serum laboratory parameters pertinent to SCD and
infertility, such as iron and ferritin levels. A karyotype analysis was performed
to assess the potential presence of Klinefelter syndrome. Finally, imaging
studies of the patient's brain and testes were done to rule out further
underlying pathology. RESULTS: A 42-year-old man with SCD, transfusional iron
overload, and hepatitis C underwent a nonmyeloablative allogeneic HSCT. One year
later he desired to father a child but was found to be azoospermic in the context
of hypogonadotropic hypogonadism. Restoration of fertility was attempted with
human chorionic gonadotropin (2,000 IU) plus human menopausal gonadotropin (75 IU
follicle-stimulating hormone) injected subcutaneously 3 times weekly. Within 6
months of treatment, the patient's serum calculated free testosterone value
normalized, and his sperm count and sperm motility improved. After 10 months, he
successfully initiated a pregnancy through intercourse. The pregnancy was
uncomplicated, and a healthy daughter was delivered naturally at term.
CONCLUSION: Despite exposure to several gonadotoxins, transfusional iron overload
and nonmyeloablative conditioning with radiation causing severe testicular
atrophy suggesting extensive damage to seminiferous tubules and possibly Leydig
cells, gonadotropins were efficacious in restoring our patient's reproductive
capability.
PMID- 24936547
TI - Low Testosterone Levels are Frequent in Patients with Acute Respiratory Failure
and are Associated with Poor Outcomes.
AB - OBJECTIVE: Low testosterone level is a common finding in critically ill patients
with trauma, shock, and sepsis. However, its prevalence and outcomes in patients
with primary acute respiratory failure is unknown; low testosterone could
contribute to respiratory muscle weakness and further compromise ventilation in
these patients. METHODS: We aimed to determine the prevalence, severity, and
effects of hypotestosteronemia in patients with acute respiratory failure in a 16
bed single academic center medical intensive care unit (ICU). We studied 30 men
who required mechanical ventilation for >=24 hours for a primary diagnosis of
acute respiratory failure. Blood samples were drawn on ICU day 1 and day 3 to
measure serum levels of total and free testosterone. RESULTS: Hypotestosteronemia
(level below the lower reference limit) was present on day 1 in 93.1% (total
testosterone) and 76.7% (free testosterone) of patients and on day 3 in 94.4%
(total testosterone) and 100% (free testosterone) of patients. Sex hormone
binding globulin, dehydroepiandrosterone sulfate, follicle-stimulating hormone,
luteinizing hormone, and thyroid function levels were all within stated reference
ranges. Total and free testosterone levels correlated inversely with ventilator
days and ICU length of stay. CONCLUSION: Hypotestosteronemia is common in
mechanically ventilated patients with primary acute respiratory failure and may
contribute to longer ICU stay. Further studies are needed to determine the effect
of testosterone replacement on short- and long-term outcomes in these patients.
PMID- 24936548
TI - The Prevalence of Gestational Diabetes Mellitus Among Asian Females is Lower
Using the New 2013 World Health Organization Diagnostic Criteria.
AB - OBJECTIVE: To determine the impact of the new 2013 World Health Organization
(WHO) criteria for gestational diabetes mellitus (GDM) diagnosis on GDM
prevalence and pregnancy outcomes in Asian ethnic groups compared to the 1999 WHO
criteria. METHODS: A retrospective cohort study included 855 pregnant females of
Chinese, Malay, and Asian Indian ethnicity at high risk of GDM who underwent 75-g
oral glucose tolerance tests (OGTTs) between July 2008 and June 2010 in a
tertiary center in Singapore. GDM prevalence, reclassification, and pregnancy
outcomes were determined using the 2013 and 1999 diagnostic cutoffs for fasting
and 2-hour postglucose (PG) values. RESULTS: The prevalence of GDM was reduced
from 28.8% to 21.1% when the 2013 criteria were used. Overall, 10.2% subjects
were reclassified from GDM to normal using the 2013 criteria, and 2.6% were
reclassified from normal to GDM, giving a net reclassification rate of 12.8%.
Reclassification from GDM to normal was greatest among Chinese, followed by Asian
Indians, but the prevalence rate was unchanged among Malays. Babies of mothers
who were reclassified from normal to GDM were more likely to have birth weight
>95th centile and shoulder dystocia. CONCLUSION: The prevalence of GDM was
reduced when the 2013 criteria were used, with the greatest reduction seen among
Chinese, followed by Asian Indians. Lowering the fasting cutoff as per the new
criteria identified a select group of patients who might benefit from GDM
treatment. However, raising the 2-hour PG cutoff would miss a significant number
of patients who might potentially benefit from GDM treatment.
PMID- 24936549
TI - Thyroid Carcinoma Detected by 18F-Fluorodeoxyglucose Positron Emission Tomography
Among Individuals Without Prior Evidence of Thyroid Disease: Relevance and
Clinicopathologic Features.
AB - OBJECTIVE: The expanding use of 18F-fluorodeoxyglucose positron emission
tomography (18F-FDG-PET) has contributed to an increasing number of thyroid
incidentalomas. The present study aimed to estimate the prevalence of 18F-FDG-PET
thyroid incidentalomas and evaluate the clinicopathologic features of thyroid
malignancies detected by 18F-FDG-PET. METHODS: We reviewed all 18F-FDG-PET exams
performed at the Portuguese Institute of Oncology, Lisbon, between 2007 and 2012
(n = 9,374). The inclusion criteria were focal thyroid uptake and absence of
known thyroid disease. RESULTS: Focal thyroid uptake was observed in 60 out of
9,374 18F-FDG-PET exams (prevalence of 0.64%). Fine-needle aspiration cytology
(FNAC) was performed in 23 patients and reported as malignant in 14 cases (56.5%
primary thyroid carcinoma; 4.3% secondary malignancy), as benign in 7 cases
(30.5%) and as follicular lesion of undetermined significance in 2 cases (8.7%).
Fourteen patients had surgery. A final histologic diagnosis of papillary thyroid
carcinoma was established in 12 cases (52.2%). Three were multifocal (25.0%); 8
had extrathyroidal extension (66.7%); 5 had angioinvasion (41.7%); 3 had lymph
nodes metastases (25.0%) and 2 showed lung metastases (16.7%). Overall, 91.7%
were classified as intermediate or high risk. All patients had radioiodine
therapy. At the last observation (mean follow-up was 29.9 months), persistent or
recurrent disease was identified in 4 patients (33.3%) and none died from thyroid
malignancy. CONCLUSIONS: Thyroid carcinomas disclosed by 18F-FDG-PET are
associated with aggressive histological criteria likely to carry a worse
prognosis.
PMID- 24936550
TI - A Novel Deletion Mutation in the MEN1 Gene in a Patient with Prolactinoma and a
Family History of Pancreatic Tumors.
AB - OBJECTIVE: Multiple endocrine neoplasia type 1 (MEN1) is an autosomal dominant
tumor syndrome caused by mutations in the MEN1 gene. Mutations in this tumor
suppressor gene are often associated with neuroendocrine tumors. Here we describe
a novel deletion mutation at codon 304 in the MEN1 gene of a patient with a
prolactinoma and strong family history of pancreatic tumors. METHODS: We describe
the patient's clinical course and mutational analysis and review the relevant
literature. RESULTS: A 30-year-old pregnant female was referred to our
institution's psychological department for treatment of depression. She had
developed a prolactinoma at age 17 and was being treated with 1 mg/week of
cabergoline. A medical interview revealed a family history of pancreatic islet
cell and other tumors; her mother died of pancreatic cancer, her brother is
living with gastrinoma, and her sister died of leiomyosarcoma. Extensive
examinations performed after delivery, including laboratory tests and computed
tomography (CT) scans, did not reveal any other tumors. Mutational analysis of
the MEN1 gene identified a heterozygous deletion mutation (c911_914delAGGT) at
codon 304. This mutation produces a frameshift at p.304Lys and might disturb the
splicing of intron 6 due to the lack of a donor site. The predicted menin protein
from the mutated allele is truncated at amino acid 328. CONCLUSION: We report a
novel deletion mutation (c911_914delAGGT) in the MEN1 gene that was likely
associated with the patient's prolactinoma and her strong family history of
pancreatic tumors.
PMID- 24936552
TI - A case of nonischemic cardiomyopathy associated with autoimmune polyglandular
syndrome type III.
AB - OBJECTIVE: To report a case of nonischemic dilated cardiomyopathy associated with
autoimmune polyglandular syndrome (APS) type III. METHODS: A review of our
patient's medical records was undertaken, and her clinical history,
investigations, and outcome are described. In addition, a literature review of
nonischemic dilated cardiomyopathy occurring in association with autoimmune
polyendocrinopathies was performed. RESULTS: APS is diagnosed once a patient has
developed at least 2 organ specific autoimmune diseases. APS III involves a
combination of autoimmune diabetes and Graves' disease without adrenal
insufficiency. Autoimmune cardiomyopathies are not described as a feature of this
condition; however, there are a few reported cases of patients with autoimmune
polyendocrinopathies developing a nonischemic dilated cardiomyopathy. In this
case, a 30-year-old female developed vitiligo, Graves' disease, and latent
autoimmune diabetes of the adult (LADA) over a 5-year period before presenting
with conscious ventricular tachycardia (VT). This evolved into acute severe
biventricular failure within a few weeks, which failed to resolve after adequate
treatment of her other autoimmune conditions. CONCLUSION: Although nonischemic
cardiomyopathies have been associated with APS in a few published cases, this is
the first case to our knowledge in a patient with APS III.
PMID- 24936553
TI - Significant hypercortisolism during fractionated radiotherapy in a patient with a
large corticotroph adenoma: a case report and literature review.
AB - OBJECTIVE: We describe a patient with a large, invasive corticotroph adenoma who
developed severe hypercortisolism shortly after starting fractionated
radiotherapy. METHODS: We reviewed the patient's clinical course, along with
relevant literature for similar reported cases. RESULTS: A 29-year-old man was
referred for radiotherapy for a residual and recurrent, invasive corticotroph
adenoma. Prior to radiotherapy, he had a normal urine free cortisol (UFC) level
of 44.7 MUg/24 hours, with minimal symptoms. Within 2 weeks of radiotherapy, he
developed hypertension, ankle edema, and hypokalemia (potassium level, 2.8
mEq/L), with a markedly elevated UFC level of 9,203 MUg/24 hours. His UFC
gradually decreased and normalized by the end of radiotherapy. One month later,
the patient became adrenal insufficient, with a nondetectable 24-hour UFC. His
adrenal function slowly recovered in 3 months. We are aware of only one previous
case report of clinically significant hypercortisolism following radiotherapy in
Cushing disease. CONCLUSION: Radiotherapy may result in acute severe
hypercortisolism in patients with a large corticotroph adenoma. This uncommon,
but clinically significant, acute adverse effect of radiotherapy suggests that
clinical observation and biochemical monitoring during or soon after radiotherapy
may be indicated.
PMID- 24936551
TI - How Short is Too Short According to Parents of Primary Care Patients.
AB - OBJECTIVE: Height is a physical trait on a continuum. The threshold between
normal and abnormal is arbitrarily set but can potentially influence medical
decision-making. We sought to examine parents' perceptions of adult heights and
associated demographic factors. METHODS: Parents of pediatric primary care
patients of various heights completed a one-time survey. Parents answered the
question "How short is too short?" for adult males and females. The results were
summarized as median [interquartile range]. Factors significantly associated with
height threshold by simple linear regression were included in a multivariable
mixed effects analysis of covariance model. RESULTS: A total of 1,820 surveys
were completed (83% response rate; 1,587 females, 231 males). The median
threshold height deemed too short for adult females was 56 inches [48, 59] among
male respondents and 57 inches [50, 60] among females (P<.05). The median
threshold height for adult males was 61 inches among males [60, 64] and females
[59, 66] (P<.05). The median of male minus female heights per respondent (delta
heights) was 5 [2, 7] inches. Factors found to be significant main effects in a
parsimonious model were sex of the adult considered, height of respondent, sex of
respondent, respondent race, primary care practice, income, and having concerns
about their child's height. CONCLUSION: Taller acceptable height thresholds were
perceived by respondents who were taller, wealthier, white, female, from nonurban
practices, or who had a personal concern about their child's height. Male heights
were expected to be taller than female heights. Such traits may influence who is
concerned and more likely to seek medical treatment for their children.
PMID- 24936554
TI - Visual vignette.
PMID- 24936555
TI - A 24-week, prospective, randomized, open-label, treat-to-target pilot study of
obese type 2 diabetes patients with severe insulin resistance to assess the
addition of exenatide on the efficacy of u-500 regular insulin plus metformin.
AB - OBJECTIVE: To compare the efficacy of 500 U/mL (U-500) regular insulin +
metformin with U-500 regular insulin + metformin + exenatide in improving
glycemic control in patients with severely insulin-resistant type 2 diabetes
mellitus (T2DM). METHODS: Thirty patients with T2DM and severe insulin resistance
were screened, and 28 were randomized to regular insulin U-500 + metformin or the
GLP-1 analog exenatide, U-500, and metformin. Glycated hemoglobin (HbA1c) levels,
body weight, and insulin doses were documented at baseline and at 3 and 6 months.
The number and severity hypoglycemic episodes were noted. RESULTS: There were 7
males and 7 females in each group (U-500 + metformin and U-500 + metformin +
exenatide). Overall, U-500 insulin + metformin, either alone or with the addition
of exenatide, resulted in a significant improvement in HbA1c in both groups, with
no significant difference between the 2 groups. There was no meaningful weight
change in those utilizing exenatide. Those on U-500 insulin and metformin alone
had a tendency toward some weight gain. No severe hypoglycemia occurred during
the study period. Symptomatic hypoglycemia was more common in the group on
exenatide, but this occurred in only 5 patients, and the clinical significance of
this is uncertain. Insulin dosage changes on U-500 regular insulin were variable
but tended to be lower in those subjects on exenatide. CONCLUSIONS: U-500 regular
insulin + metformin is effective for the treatment of T2DM patients with severe
insulin resistance. The addition of exenatide may ameliorate potential weight
gain but provides no additional improvement in glycemia.
PMID- 24936556
TI - Changes in body weight after treatment of primary hypothyroidism with
levothyroxine.
AB - OBJECTIVE: Surprisingly few studies have examined weight change in hypothyroid
patients after initiation of levothyroxine (LT4) therapy. Our study aimed to
investigate weight change after initiation of LT4 treatment for primary
hypothyroidism. METHODS: Using electronic medical records from Boston Medical
Center, Boston, Massachusetts, we performed a retrospective cohort study between
January 1, 2003, and February 1, 2011. Adults >=18 years of age with newly
diagnosed primary hypothyroidism with an initial thyroid-stimulating hormone
(TSH) level >=10 mIU/L were identified. Patients with postsurgical
hypothyroidism, thyroid cancer, and a history of radioactive iodine or head/neck
irradiation, congestive heart failure, anorexia nervosa, end-stage renal disease,
cirrhosis, pregnancy, or use of prescription weight-loss medications were
excluded. TSH and weight at diagnosis and up to 24 months after LT4 initiation
were collected. Weight change was assessed at the first posttreatment serum TSH
level <5 mIU/L. RESULTS: A total of 101 patients (mean age, 48 +/- 15 years; 71%
women) were included. Initial median TSH was 18.3 mIU/L (range, 10.1 to 710.5
mIU/L) and initial median weight was 79.6 kg (range 41.5 to 167.5 kg).
Posttreatment median TSH level was 2.3 mIU/L (range, 0.04 to 5 mIU/L), and weight
change at a median of 5 months (range, 1.1 to 25.6 months) was -0.1 kg (range,
20.6 to 7.7 kg). Initial median body mass index (BMI) of 95 of the patients was
29.3 kg/m2 (range, 19.5 to 56.1 kg/m2), and the median change in BMI was -0.1
kg/m2 (range, -7.1 to 3.3 kg/m2). Only 52% of patients lost weight, with a mean
weight loss of 3.8 +/- 4.4 kg. Gender, race, education, insurance type, age,
initial TSH level, time to normalization of TSH, and initial weight were not
associated with changes in weight or BMI. CONCLUSION: Contrary to popular belief,
our study of 101 patients with primary hypothyroidism showed that no significant
weight change occurs after initiation of LT4 treatment.
PMID- 24936557
TI - Silent renal stones in primary hyperparathyroidism: prevalence and clinical
features.
AB - OBJECTIVE: (1) To evaluate the prevalence of silent nephrolithiasis in patients
with primary hyperparathyroidism (PHPT) compared with controls, and (2) To
characterize clinically PHPT patients with silent renal stones. METHODS: We
reviewed clinical data for 141 patients with PHPT and without symptoms or history
of nephrolithiasis in whom renal ultrasonography was performed at diagnosis. A
total of 141 sex- and age- matched subjects with abdomen ultrasonography obtained
for reasons different from urinary symptoms served as controls. RESULTS: Silent
nephrolithiasis was more prevalent in PHPT patients than in controls (11.35% vs.
2.13%; P = .003). Among patients with PHPT, those with silent renal stones showed
higher serum calcium and parathyroid hormone levels and met surgical criteria,
regardless of nephrolithiasis, more frequently than those without renal stones.
CONCLUSION: The prevalence of silent nephrolithiasis is increased in patients
with PHPT as compared with controls. Moreover, it seems likely that silent renal
stone disease could identify a subset of PHPT patients with more severe disease.
Accordingly, we suggest ultrasonographic screening of nephrolithiasis in all PHPT
patients. Further studies are needed to better characterize this clinical entity.
PMID- 24936558
TI - Medication failure.
PMID- 24936559
TI - Malignant pheochromocytoma secreting vasoactive intestinal peptide and response
to sunitinib: a case report and literature review.
AB - OBJECTIVE: Malignant pheochromocytoma is rare and may be sporadic or have a
genetic basis. Vasoactive intestinal peptide (VIP)-secreting pheochromocytoma has
rarely been described in the literature, and treatment remains challenging in the
absence of well-controlled randomized trials. The hypoxia-inducible factor
vascular endothelial growth factor axis has been implicated in pheochromocytoma
when associated with germline Von-Hippel-Lindau (VHL) or succinate dehydrogenase
(SDH) mutations, suggesting potential clinical activity of sunitinib in this
setting. METHODS: We present a case report of a patient with a VIP-secreting
malignant pheochromocytoma manifested as severe watery diarrhea, with an
exquisite clinical response to sunitinib. We review this rare clinical entity and
the potential role of sunitinib in this context. RESULTS: A 51-year-old male
initially presented with a pheochromocytoma causing symptoms related to
norepinephrine excess. He underwent adrenalectomy, which resulted in complete
resolution of his symptoms. Three years later, he developed multifocal metastatic
disease from his primary tumor, showing immunohistochemical evidence of VIP
production accompanied by severe watery diarrhea and hypokalemia. The patient had
a rapid, complete, and durable clinical response to sunitinib, but with only a
minor radiological response and without significant toxicity. Genetic testing was
negative for germline mutations in VHL, SDHB, SDHC, SDHD, transmembrane protein
127 (TMEM127) and for neurofibromatosis type 1 (NF-1). CONCLUSION: To the best of
our knowledge, this is the first report of a case of malignant VIP-producing
pheochromocytoma that was responsive to sunitinib.
PMID- 24936560
TI - Apparent Mineralocorticoid Excess Caused by a Novel Mutation in 11-beta
Hydroxysteroid Dehydrogenase Type 2 Enzyme: Its Genetics and Response to Therapy.
AB - OBJECTIVE: To present a case of apparent mineralocorticoid excess (AME) due to a
novel mutation in the HSD11B2 gene and describe the patient's response to
therapy. METHODS: The clinical, biochemical, and genetic features of the proband
and his family are presented. For the genetic study, DNA was extracted from
peripheral leucocytes. The exons and exon-intron boundaries were polymerase chain
reaction (PCR)-amplified and directly sequenced. RESULTS: A 10-year-old male
presented with hypertension (HTN) and weakness and was found to have hypokalemia
of 2.6 mmol/L. Plasma renin was undetectable, and plasma and urinary aldosterone
were low. Serum cortisol and deoxycorticosterone were normal. Daily urinary
excretion of cortisol was normal, but urinary and serum cortisone levels were
undetectable. The patient was treated with spiranolactone with inadequate
response. A small dose of dexamethasone was added and led to excellent control of
HTN and hypokalemia. Genetic studies showed a novel missense biallelic mutation
changing guanine to adenine in exon 3 (c.G526A) of the HSD11B2. This mutation
changes the amino acid aspartic acid to asparagine at codon 176 (p.D176N). A
monoallelic form of the same mutation was found in the parents and 3 of his 4
healthy siblings but not in a healthy sister or 100 normal subjects. CONCLUSIONS:
A case of AME due to a novel mutation in HSD11B2 showed the usual features of AME
but exhibited an inadequate response to spironolactone. A small dose of
dexamethasone resulted in an excellent response.
PMID- 24936561
TI - Periarticular hand joint limitation syndromes in diabetes.
PMID- 24936563
TI - The right tool for the job.
PMID- 24936562
TI - Serum monocyte chemokine protein-1 levels before and after parathyroidectomy in
patients with primary hyperparathyroidism.
AB - OBJECTIVE: To investigate the effects of parathyroidectomy on serum monocyte
chemokine protein-1 (MCP-1) levels in patients with primary hyperparathyroidism
(PHPT). METHODS: Forty-three PHPT patients, age 56 +/- 12 years, underwent
minimally invasive parathyroidectomy. Serum samples were collected at 0 and 15 to
20 minutes after parathyroid adenoma removal. Serum samples were stored at -70
degrees C until time of assay. RESULTS: In 40 PHPT patients with a single
adenoma, MCP-1 levels decreased from 342 +/- 103 to 250 +/- 77 pg/mL (P<.001) 15
to 20 minutes after parathyroid adenoma removal. MCP-1 levels were positively
correlated with intact parathyroid hormone (PTH) levels (R = 0.47; P<.01). In 3
PHPT patients with double parathyroid adenoma, MCP-1 levels did not decrease
after removal of the first adenoma but decreased 15 to 20 minutes after second
adenoma removal. CONCLUSION: Our results provide evidence that the decrease in
serum intact PTH due to minimally invasive parathyroidectomy results in an
immediate decrease in serum MCP-1 levels.
PMID- 24936564
TI - Analysis of internet-based patient education materials related to pituitary
tumors.
AB - OBJECTIVE: The Internet has become a primary and ubiquitous information source
for patient education material (PEM); however, the information provided may not
be appropriate for the average patient to comprehend. Various national healthcare
organizations have recommended that PEM be written at or below the sixth-grade
level. The purpose of this study was to assess the readability of pituitary tumor
related PEMs available on the Internet. METHODS: Fifty-one PEMs on pituitary
tumors were downloaded from professional society and clinical practice websites.
Analysis of readability was performed using 4 different readability indices:
Flesch-Kincaid Grade Level (FKGL), Flesch Reading Ease Score (FRES), Simple
Measure of Gobbledygook (SMOG), and Gunning Frequency Measure of Gobbledygook
(Gunning FOG). RESULTS: Scores from the FKGL, SMOG, and Gunning FOG scales
correspond to reading grade levels. Therefore, a higher number corresponds to
higher difficulty and lower readability. The average grade level of the PEMs
according to the readability indices were as follows: FKGL = 11.71 (11th to 12th
grades), SMOG = 14.56 (college level), and Gunning FOG = 14.86 (college level).
For the FRES, higher scores imply easier readability. The average FRES was 40.19
(fairly difficult-between 10th and 11th grades). CONCLUSION: These findings
suggest that online pituitary tumor-related material may be too difficult for
comprehension by the majority of the targeted patient population. Keeping the
reading level of PEMs at or below the sixth grade may improve understanding of
this disease and its management for pituitary tumor patients.
PMID- 24936565
TI - Body weight changes in a large cohort of patients subjected to thyroidectomy for
a wide spectrum of thyroid diseases.
AB - OBJECTIVE: Patients undergoing thyroidectomy often complain of weight gain. The
aim of this study was to longitudinally evaluate body-weight changes in patients
thyroidectomized for euthyroid and hyperthyroid conditions in order to identify
predictive factors. METHODS: Anthropometric data and thyroid function parameters
were retrospectively reviewed for 267 thyroidectomized patients before and 40 to
60 days and 9 months after surgery. Presurgery diagnoses included benign (Graves
disease, nodular toxic goiter, nodular nontoxic goiter) and malignant
(differentiated thyroid cancer) conditions. RESULTS: Mean preintervention weight
of the entire study group significantly increased (P<.0001) 9-months after
thyroidectomy, from 70.8 +/- 16.0 to 72.5 +/- 16.4 kg. Body weight increased in
156 (58.4%) patients, decreased in 59 (22.1%) patients, and remained stable in 52
(19.5%) patients. A multiple regression model was constructed by entering the
percentage of body-weight change 9 months postsurgery as the dependent variable
and age, sex, presurgery body mass index, percentage of weight change 40 to 60
days postsurgery, presurgery thyroid-stimulating hormone (TSH) level, TSH level
40 to 60 days postsurgery, TSH level 9 months postsurgery, thyroid disease
driving thyroidectomy, and type of surgical intervention as the covariates. No
significant relationship was found for any of the covariates tested, with the
exception of percentage of body-weight change at 40 to 60 days postsurgery
(correlation coefficient, 0.869; [95% confidence interval, 0.692 to 1.046;
P<.0001]). CONCLUSION: Thyroidectomy is associated with a significant increase in
body weight, which is not limited to patients with Graves disease. Postsurgery
TSH levels do not account for subsequent body-weight changes. Short-term changes
(40 to 60 days postsurgery) in body weight are highly predictive of the outcome
at 9 months, suggesting that early factors related to thyroidectomy per se might
play a role.
PMID- 24936566
TI - Hyperparathyroid crisis due to asymmetric parathyroid hyperplasia with a massive
ectopic parathyroid gland.
AB - OBJECTIVE: To report a rare case of primary hyperparathyroidism presenting with
hyperparathyroid crisis due to parathyroid hyperplasia with ectopic glands.
METHODS: We present the initial clinical manifestations, laboratory results,
radiologic and surgical findings, and management in a patient who had
hyperparathyroid crisis. The pertinent literature and management options are also
reviewed. RESULTS: A 60-year-old female presented with hyperparathyroid crisis
requiring preoperative stabilization with rehydration, diuresis, bisphosphonate
therapy, and ultimately hemodialysis. Parathyroidectomy revealed asymmetric 4
gland hyperplasia, with a massive ectopic parathyroid gland in the
tracheoesophageal groove extending into the mediastinum. Her postoperative course
was complicated by hungry bone syndrome and hypocalcemia. CONCLUSION: This case
illustrates the rare occurrence of hyperparathyroid crises due to asymmetric
parathyroid hyperplasia with a massive ectopic parathyroid gland.
PMID- 24936567
TI - Utility of Adrenocorticotropic Hormone in Assessing the Response to
Transsphenoidal Surgery for Cushing's Disease.
AB - OBJECTIVES: To compare adrenocorticotrophic hormone (ACTH) and cortisol dynamics
in subjects with Cushing's disease (CD) following transsphenoidal surgery (TSS)
and to determine the value of early postoperative ACTH levels in predicting
subsequent hypocortisolemia. METHODS: Following TSS for CD, serum cortisol and
plasma ACTH were measured every 6 hours in the absence of empiric glucocorticoid
coverage. RESULTS: A total of 26 subjects (25 female) underwent 28 operations.
Hypocortisolemia was achieved in 21 (81%) subjects after the initial TSS. Repeat
TSS was performed in 2 subjects, resulting in hypocortisolemia in 1. Subjects who
achieved hypocortisolemia had significantly lower ACTH levels by 19 hours
postoperatively (P = .007). Plasma ACTH fell to <30 pg/mL in 86% and <20 pg/mL in
82% of subjects who subsequently achieved hypocortisolemia. Plasma ACTH declined
to <30 pg/mL by a mean of 10 hours and to <20 pg/mL by 13 hours prior to
hypocortisolemia. Follow-up data were available on 25 patients for a median of 23
months. Three subjects who achieved initial surgical remission had disease
recurrence at 19, 24, and 36 months; all of these subjects had a postoperative
nadir serum cortisol levels <3 MUg/dL and plasma ACTH <20 pg/mL. CONCLUSION:
Following TSS for CD, plasma ACTH declined prior to achievement of
hypocortisolemia in most subjects. In the majority, the ACTH level reached a
nadir of <20 pg/mL. Low early postoperative ACTH levels predict early
hypocortisolemia but may not accurately predict long-term remission.
PMID- 24936568
TI - Atypical femoral fracture in an osteogenesis imperfecta patient successfully
treated with teriparatide.
AB - OBJECTIVE: We report a case of a successfully healed atypical femoral fracture
(AFF) following treatment with teriparatide in a patient with osteogenesis
imperfecta (OI). To our knowledge, no successful treatment of AFFs with
teriparatide in this subpopulation has ever been described. METHODS: This is a
case report of an AFF treated with teriparatide. RESULTS: The patient was treated
with hormone replacement therapy for 18 years and bisphosphonates for 9 years
before suffering a spontaneous AFF in the form of a dislocated noncomminute
transverse fracture of the right femoral shaft, and an open reduction and
internal fixation (ORIF) with a T2 Femoral Nail was done. Due to nonunion and
another fracture distal to the nail, the patient was reoperated on with exchange
ORIF and off-label treatment with teriparatide 20 MUg/day was started. An X-ray 1
month later showed early signs of fracture healing. A subsequent X-ray 6 months
after the last operation showed a solid healing of both right femoral fractures.
CONCLUSION: This is a rare case that highly suggests a potential fracture healing
effect of teriparatide treatment and highlights a potential significant practical
therapeutic consideration in relation to the management of AFF with delayed
healing.
PMID- 24936569
TI - Online social networks that connect users to physical activity partners: a review
and descriptive analysis.
AB - BACKGROUND: The US Centers for Disease Control and Prevention have identified a
lack of encouragement, support, or companionship from family and friends as a
major barrier to physical activity. To overcome this barrier, online social
networks are now actively leveraging principles of companion social support in
novel ways. OBJECTIVE: The aim was to evaluate the functionality, features, and
usability of existing online social networks which seek to increase physical
activity and fitness among users by connecting them to physical activity
partners, not just online, but also face-to-face. METHODS: In September 2012, we
used 3 major databases to identify the website addresses for relevant online
social networks. We conducted a Google search using 8 unique keyword
combinations: the common keyword "find" coupled with 1 of 4 prefix terms
"health," "fitness," "workout," or "physical" coupled with 1 of 2 stem terms
"activity partners" or "activity buddies." We also searched 2 prominent
technology start-up news sites, TechCrunch and Y Combinator, using 2 unique
keyword combinations: the common keyword "find" coupled with 1 of 2 stem terms
"activity partners" and "activity buddies." Sites were defined as online social
health activity networks if they had the ability to (1) actively find physical
activity partners or activities for the user, (2) offer dynamic, real-time
tracking or sharing of social activities, and (3) provide virtual profiles to
users. We excluded from our analysis sites that were not Web-based, publicly
available, in English, or free. RESULTS: Of the 360 initial search results, we
identified 13 websites that met our complete criteria of an online social health
activity network. Features such as physical activity creation (13/13, 100%) and
private messaging (12/13, 92%) appeared almost universally among these websites.
However, integration with Web 2.0 technologies such as Facebook and Twitter
(9/13, 69%) and the option of direct event joining (8/13, 62%) were not as
universally present. Largely absent were more sophisticated features that would
enable greater usability, such as interactive engagement prompts (3/13, 23%) and
system-created best fit activities (3/13, 23%). CONCLUSIONS: Several major online
social networks that connect users to physical activity partners currently exist
and use standardized features to achieve their goals. Future research is needed
to better understand how users utilize these features and how helpful they truly
are.
PMID- 24936570
TI - The impact of rollator loading on gait and fall risk in neurorehabilitation - a
pilot study.
AB - Abstract Purpose: Rollator loading is an application used clinically sometimes to
improve functional integrity and security of the patients' gait. As empirical
evidence supporting this intervention is equivocal, the purpose of this study was
to examine the effects of rollator loading on several gait parameters and fall
risk. Methods: An explicatory experiment with a follow-up cohort study of falls
was conducted. In the experimental part of the study, participants (n = 25) were
evaluated three times by means of different gait and fall risk assessments,
whereby each trial was carried out with different rollator loading (0, 4.5 and 9
kg, respectively). Participants were blinded towards the applied load. In
addition, the odds ratio of falls with respect to rollator loading in all-day
rehabilitation life was determined. Results: No changes in spatio-temporal gait
parameters and fall risk in relation to a particular load could be identified by
clinical measures in the tested sample. A separate sub-group analysis
(Parkinson's disease, hemiparesis and ataxia) showed only little impact of the
load in each case. Rollator loading had no impact on the odds ratio of inpatient
fall risk. Conclusion: On the basis of our findings, weighting of rollators can
neither be discouraged nor recommended. Implications for Rehabilitation Unless
more research is has been conducted on this topic, rollator loading can neither
be recommended nor discouraged in individuals suffering from neurologic diseases.
There is more research needed to examine the impact on ambulation in distinct
conditions such as severe ataxia and fear of falling.
PMID- 24936571
TI - Providing assistive technology in Italy: the perceived delivery process quality
as affecting abandonment.
AB - PURPOSE: The study brings together three aspects rarely observed at once in
assistive technology (AT) surveys: (i) the assessment of user
interaction/satisfaction with AT and service delivery, (ii) the motivational
analysis of AT abandonment, and (iii) the management/design evaluation of AT
delivery services. METHODS: 15 health professionals and 4 AT experts were
involved in modelling and assessing four AT Local Health Delivery Service
(Centres) in Italy through a SWOT analysis and a Cognitive Walkthrough. In
addition 558 users of the same Centres were interviewed in a telephone survey to
rate their satisfaction and AT use. RESULTS: The overall AT abandonment was equal
to 19.09%. Different Centres' management strategies resulted in different
percentages of AT disuse, with a range from 12.61% to 24.26%. A significant
difference between the declared abandonment and the Centres' management
strategies (p = 0.012) was identified. A strong effect on abandonment was also
found due to professionals' procedures (p = 0.005) and follow-up systems (p =
0.002). CONCLUSIONS: The user experience of an AT is affected not only by the
quality of the interaction with the AT, but also by the perceived quality of the
Centres in support and follow-up. Implications for Rehabilitation AT abandonment
surveys provide useful information for modelling AT assessment and delivery
process. SWOT and Cognitive Walkthrough analyses have shown suitable methods for
exploring limits and advantages in AT service delivery systems. The study
confirms the relevance of person centredness for a successful AT assessment and
delivery process.
PMID- 24936572
TI - [Surgical options for reducing body weight].
AB - Obesity is a leading preventable cause of death worldwide, with increasing
prevalence in adults and children, and authorities view it as one of the most
serious public health problems of the 21st century. Sixty-two percent of the
Hungarian adult population has overweight and 27% is morbidly obese and,
therefore, it is a significant interest to treat this condition. The authors
review the diagnosis and the associated diseases of morbid obesity. The initial
enthusiasm with the gastric band has settled now, as the long-term outcome showed
only very limited reduction in the excess body weight and the complication rate
was as high as 50%. The sleeve gastrectomy may induce 60-70% of excess weight
loss, however, the long term follow-up data is very limited. The Roux-en-Y
gastric bypass is the gold-standard of the bariatric procedures, with proven 60
75% excess weight loss and 80% type 2 diabetes remission. The body image usually
changes with weight loss, and frequently a body contouring procedure is required
to improve it. Multi-disciplinary team of super-specialised doctors is required
to perform these procedures.
PMID- 24936573
TI - [Prevalence and possible causes of hypospadias].
AB - Hypospadias is the most common congenital malformation of the male external
genitalia. After the heart and circulatory system, it is the second most common
developmental disorder in males. It is due to a midline fusion defect of the male
urethra, which results in a misplaced urethral meatus. Hypospadias may be distal,
medial and proximal. It may occur as an isolated defect or it may develop
together with other genital disorders (retention of testes in one or both sides,
microphallus, bifid scrotum) or with malformation of other organs. In some cases
syndromic forms may also occur. Genetic factors play a crucial role in the
occurrence of early developmental defect, but endocrine and environmental factors
may also be important in the aetiology of hypospadias. It may be associated with
various sex and autosomal chromosomal abnormalities. Monogenic and chromosomal
causes of hypospadias accounts for about in 30% of all cases, while genetic
factors remain unknown in 70% of cases. The authors summarize the development of
the male external genitalia, the prevalence and possible causes of hypospadias.
They propose that better understanding of the pathogenesis of the disease may
contribute to the prevention and decreased prevalence of the disease.
PMID- 24936574
TI - [Experience with implanted ports for venous access between 2005 and 2012].
AB - INTRODUCTION: During chemotherapy of cancer patients, vein inflammation may
develop which may lead to pain and difficulty in blood sampling. The use of
implanted venous access ports may overcome these problems. With a correct use of
needles, venous port membrane may be pierced 2000-2500 times. AIM: The aim of the
authors was to analyze their experience with venous access ports and evaluate
whether insertion of venous ports occurred in an optimal time. METHOD: A
retrospective study of 63 patients who received venous access ports between 2005
and 2012 was carried out. Complication rate and the time of venous port insertion
were analysed. RESULTS: Of the 63 patients, the venous access port was removed in
22 patients because it was no longer required (n = 14) due to the development of
complications (n = 8). 24 patients died from the underlying disease and 17
patients are still alive with the venous port in place. CONCLUSIONS: In the
period studied patients with venous access ports had an improved quality of life.
However, the authors conclude that venous access ports were not inserted in an
optimal time.
PMID- 24936575
TI - [The health of female physicians in Hungary. A longitudinal perspective].
AB - INTRODUCTION: There is a worldwide rising tendency of women who decide to become
physician. One of the most remarkable fields of investigation is the well-being
of female doctors. AIM: To study the prevalence of somatic and reproductive
morbidity in a representative sample of Hungarian female physicians and compare
it with a control group of graduated women. METHOD: Data for this epidemiological
study were collected from 2515 female physicians in 2013. Graduated women from a
representative survey (Hungarostudy 2013) served as controls. The results were
compared to the previous (2003-2004) representative female physicians' survey.
RESULTS: We found that the prevalence of chronic somatic morbidity among female
physicians was significantly higher than that in the respective control groups. A
larger proportion of female medical doctors were characterized by time-to
pregnancy interval longer than one year, and undergoing infertility therapy and
miscarriages, compared to the control female population, while the same
prevalence of terminations of pregnancy was found. CONCLUSIONS: The longitudinal
perspective confirmed the existence of the "Hungarian female physicians'
paradox".
PMID- 24936578
TI - Permeation tests of glove and clothing materials against sensitizing chemicals
using diphenylmethane diisocyanate as an example.
AB - Diphenylmethane diisocyanate (MDI) is a sensitizing chemical that can cause
allergic contact dermatitis and asthma. Protective gloves and clothing are
necessary to prevent skin exposure. Breakthrough times are used for the selection
of chemical protective gloves and clothing. In the EN 374-3:2003 European
standard, breakthrough time is defined as the time in which the permeation
reaches the rate of 1.0 ug min(-1) cm(-2) through the material. Such breakthrough
times do not necessarily represent safe limits for sensitizing chemicals. We
studied the permeation of 4,4'-MDI through eight glove materials and one clothing
material. The test method was derived from the EN 374-3 and ASTM F 739 standards.
All measured permeation rates were below 0.1 ug min(-1) cm(-2), and thus, the
breakthrough times for all the tested materials were over 480min, when the
definitions of EN 374-3 and ASTM F 739 for the breakthrough time were used. Based
on the sensitizing capacity of MDI, we concluded that a cumulative permeation of
1.0 ug cm(-2) should be used as the end point of the breakthrough time
determination for materials used for protection against direct contact with MDI.
Using this criterion for the breakthrough time, seven tested materials were
permeated in <480min (range: 23-406min). Affordable chemical protective glove
materials that had a breakthrough time of over 75min were natural rubber, thick
polyvinylchloride, neoprene-natural rubber, and thin and thick nitrile rubber. We
suggest that the current definitions of breakthrough times in the standard
requirements for protective materials should be critically evaluated as regards
MDI and other sensitizing chemicals, or chemicals highly toxic via the skin.
PMID- 24936576
TI - [Autoimmune pancreatitis in a patient with ulcerative colitis simulating a
pancreatic tumor].
AB - Pancreatic endocrine and/or exocrine functional disorders can be commonly
detected in patients with inflammatory bowel diseases. Autoimmune pancreatitis is
a rare disease and its co-existence with inflammatory bowel disease has been
rarely reported. The diagnosis of autoimmune pancreatitis is difficult due to
variable nonspecific symptoms, and the high rate of asymptomatic cases. The
conventional imaging scans (ultrasonography, computed tomography, retrograde
cholangiography) are usually not sensitive enough and they are frequently not
able to differentiate between inflammatory and malignant tumorous diseases of the
pancreas. The authors present the case history of a patient who developed both
ulcerative colitis and autoimmune pancreatitis. The morphological changes of the
pancreas detected by ultrasonography suggested the presence of pancreatic cancer,
and this diagnosis was supported by the elevated level of serum CA19-9. Computed
tomography failed to identify abnormalities in the pancreas and, finally,
endoscopic ultrasound combined with fine needle aspiration cytology confirmed the
diagnosis of autoimmune pancreatitis.
PMID- 24936579
TI - Prognostic value of combined target-organ damage in patients with essential
hypertension.
AB - BACKGROUND: Whether the combination of chronic kidney disease (CKD) and left
ventricular hypertrophy (LVH) affects the cardiovascular (CV) risk in patients
with uncomplicated hypertension is poorly investigated. The aim of this study was
to assess the effects of LVH, CKD, and their combination on CV events in
hypertension. METHODS: This study analyzed 1,078 patients with essential
hypertension. RESULTS: LVH was present in 104 (9.6%) patients, CKD was present in
556 (51.5%) patients, and the combination of LVH and CKD was found in 174 (16.1%)
patients. During the follow-up (median = 84 months), 52 CV events were observed
(0.64 events/100 patient-years): 6 (2.4%) in patients without target-organ damage
(TOD), 6 (5.7%) in patients with LVH, 20 (3.6%) in patients with CKD, and 20
(11.4%) in patients with combined LVH+CKD. Adjusted hazard ratio (HR) for CV
events was 1.62 (P = 0.34) for LVH, 0.951 (P = 0.94) for CKD, and 2.45 (P = 0.03)
for LVH+CKD. After multivariable Cox proportional hazard analysis, the
combination of LVH+CKD was significantly associated with risk of CV events, when
the model was adjusted for sex and age (HR = 2.447; P = 0.03) and for the
presence of 1 CV risk factor (HR = 3.226; P = 0.02). In contrast, the association
of LVH+CKD was no longer significant when the model was adjusted for sex, age,
and the presence of >= 2 CV risk factors. CONCLUSIONS: The results of this study
highlight the relevance of the interactions between TODs and hemodynamic,
anthropometric, and metabolic abnormalities in the CV risk stratification of
patients with essential hypertension.
PMID- 24936580
TI - Potential effect of skull thickening on the associations between cognition and
brain atrophy in ageing.
AB - BACKGROUND: intracranial volume (ICV) is commonly used as a marker of premorbid
brain size in neuroimaging studies as it is thought to remain fixed throughout
adulthood. However, inner skull table thickening would encroach on ICV and could
mask actual brain atrophy. OBJECTIVE: we investigated the effect that thickening
might have on the associations between brain atrophy and cognition. METHODS: the
sample comprised 57 non-demented older adults who underwent structural brain MRI
at mean age 72.7 +/- 0.7 years and were assessed on cognitive ability at mean age
11 and 73 years. Principal component analysis was used to derive factors of
general cognitive ability (g), information processing speed and memory from the
recorded cognitive ability data. The total brain tissue volume and ICV with
(estimated original ICV) and without (current ICV) adjusting for the effects of
inner table skull thickening were measured. General linear modelling was used to
test for associations. RESULTS: all cognitive ability variables were
significantly (P < 0.01) associated with percentage total brain volume in ICV
measured without adjusting for skull thickening (g: eta(2) = 0.177, speed: eta(2)
= 0.264 and memory: eta(2) = 0.132). After accounting for skull thickening, only
speed was significantly associated with percentage total brain volume in ICV
(eta(2) = 0.085, P = 0.034), not g or memory. CONCLUSIONS: not accounting for
skull thickening when computing ICV can distort the association between brain
atrophy and cognitive ability in old age. Larger samples are required to
determine the true effect.
PMID- 24936581
TI - Screening tools for multidimensional health problems warranting a geriatric
assessment in older cancer patients: an update on SIOG recommendations?.
AB - BACKGROUND: Screening tools are proposed to identify those older cancer patients
in need of geriatric assessment (GA) and multidisciplinary approach. We aimed to
update the International Society of Geriatric Oncology (SIOG) 2005
recommendations on the use of screening tools. MATERIALS AND METHODS: SIOG
composed a task group to review, interpret and discuss evidence on the use of
screening tools in older cancer patients. A systematic review was carried out and
discussed by an expert panel, leading to a consensus statement on their use.
RESULTS: Forty-four studies reporting on the use of 17 different screening tools
in older cancer patients were identified. The tools most studied in older cancer
patients are G8, Flemish version of the Triage Risk Screening Tool (fTRST) and
Vulnerable Elders Survey-13 (VES-13). Across all studies, the highest sensitivity
was observed for: G8, fTRST, Oncogeriatric screen, Study of Osteoporotic
Fractures, Eastern Cooperative Oncology Group-Performance Status, Senior Adult
Oncology Program (SAOP) 2 screening and Gerhematolim. In 11 direct comparisons
for detecting problems on a full GA, the G8 was more or equally sensitive than
other instruments in all six comparisons, whereas results were mixed for the VES
13 in seven comparisons. In addition, different tools have demonstrated
associations with outcome measures, including G8 and VES-13. CONCLUSIONS:
Screening tools do not replace GA but are recommended in a busy practice in order
to identify those patients in need of full GA. If abnormal, screening should be
followed by GA and guided multidisciplinary interventions. Several tools are
available with different performance for various parameters (including
sensitivity for addressing the need for further GA). Further research should
focus on the ability of screening tools to build clinical pathways and to predict
different outcome parameters.
PMID- 24936583
TI - Long-term T2 and Qualitative MRI Morphology After First-Generation Knee
Autologous Chondrocyte Implantation: Cartilage Ultrastructure Is Not Correlated
to Clinical or Qualitative MRI Outcome.
AB - BACKGROUND: There are several reports on long-term clinical outcomes after
autologous chondrocyte implantation (ACI) for knee cartilage defect treatment.
Few published articles have evaluated defect quality using quantitative magnetic
resonance (MR) imaging techniques. PURPOSE: To evaluate clinical outcomes and the
quality of repair tissue (RT) after first-generation periosteum-covered ACI (ACI
P) using qualitative MR outcomes and T2-weighted relaxation times. STUDY DESIGN:
Case series; Level of evidence, 4. METHODS: All patients (n = 86) who underwent
knee joint ACI-P (from 1997 through 2001) with a postoperative follow-up of at
least 10 years were invited for clinical and MR evaluation. Clinical outcomes
analysis included pre- and postoperative Lysholm and numeric analog scale (NAS)
for pain (10 = worst, 0 = best). Radiographic analysis included postoperative T2
weighted mapping of the RT, RT-associated regions, and healthy control cartilage;
MOCART (magnetic resonance observation of cartilage repair tissue) score; a
modified Knee Osteoarthritis Scoring System (mKOSS; 0 = best, 15 = worst) score;
as well as numeric grading for subjective RT and whole knee joint evaluation (1 =
best, 6 = worst). RESULTS: A total of 70 patients (45 male, 25 female; mean age,
33.3 +/- 10.2 years; 81% follow-up rate) with 82 defects were available for
follow-up at an average 10.9 +/- 1.1 years postoperatively, with MR analysis for
59 patients with 71 transplant sites (average defect size, 6.5 +/- 4.0 cm(2)).
Final Lysholm (71.0 +/- 17.4) and NAS (7.2 +/- 1.9) scores improved significantly
when compared with preoperative scores (Lysholm: 42.0 +/- 22.5; NAS: 2.1 +/- 2.1;
P < .01 for both). Average transplant T2 was 35.2 +/- 11.3 ms and thereby
significantly lower (P = .005) when compared to the intraknee healthy femur T2
(39.7 +/- 6.8 ms). The MOCART was 44.9 +/- 23.6 and mKOSS was 4.8 +/- 3.2. RT
subjective grading was 3.3 +/- 1.4, while it was 2.3 +/- 0.7 for whole joint
evaluation. The RT T2 significantly correlated with postoperative NAS (P = .04; r
= -0.28); it also correlated with the healthy femur T2 (P = .004; r = 0.4). The
MOCART significantly correlated with the mKOSS (P < .001). CONCLUSION: The MRI
outcome is imperfect in this collective of patients. There is only weak
correlation of quantitative imaging data and clinical function. Qualitative
imaging data are much better correlated to functional outcomes.
PMID- 24936582
TI - Combined chemoradiotherapy with gemcitabine in patients with locally advanced
inoperable transitional cell carcinoma of the urinary bladder and/or in patients
ineligible for surgery: a phase I trial.
AB - BACKGROUND: We conducted a phase I trial of gemcitabine (gem) with concurrent
radiotherapy in patients with muscle-invasive bladder cancer (BC) ineligible for
surgery or cisplatin or refusing organ loss. PATIENTS AND METHODS: Patients with
urothelial cancer, cT2-T4, cN0-1, M0, ineligible for surgery due to local tumor
extension, PS, age or co-morbidities or who refused surgery were included. After
maximal transurethral resection, the treatment schedule included: twice-weekly
i.v. infusion of gem [dose levels (DL) 1-6: 20, 27, 30, 33, 50 and 40 mg/m(2),
respectively] for 30 min and concurrent radiotherapy (RT) to the bladder with
55.5 Gy. The primary end point was to determine the maximum-tolerated dose (MTD)
and the dose recommended (RD) for further studies of this gem schedule. The
secondary end point was late toxicity. The MTD was defined by dose-limiting
toxicity (DLT) in 2 or more of 6 patients, discontinuation of RT and/or gem for
>1 week in 2 or more of 6 patients due to grade (G) 3/4 acute and/or late
toxicity in more than 2 of 18 patients. RESULTS: Thirty-five of 44 patients were
assessable for toxicity and thus the primary end point. DLTs occurred in two of
five patients at dose level 5: one G3 alanine aminotransferase elevation and one
G3 fatigue. The MTD, therefore, was 50 mg/m(2) gem twice weekly. At DL 6 with 40
mg/m(2), the RD was established: only one of six patients developed G3 fatigue
and diarrhea. Late toxicity was rare and of low grade (only G1-2). The 2-year
locoregional failure rate was 32% (9/28); 10 of 28 patients (38%) were alive with
an intact bladder and no evidence of recurrent disease, 9 patients developed
distant metastases and 6 died of their disease. CONCLUSIONS: Gemcitabine in
combination with RT is well tolerated in BC patients ineligible for surgery
and/or cisplatin. The RD of gemcitabine for subsequent trials is 40 mg/m(2) twice
weekly with concurrent radiation.
PMID- 24936584
TI - Clinical Assessment of Physical Examination Maneuvers for Rotator Cuff Lesions.
AB - BACKGROUND: Shoulder pain and disability pose a diagnostic challenge for
clinicians owing to the numerous causes that exist. Unfortunately, the evidence
in support of most clinical tests is weak or absent. PURPOSE: To determine the
diagnostic validity of physical examination maneuvers for rotator cuff lesions.
STUDY DESIGN: Cohort study (diagnosis); Level of evidence, 1. METHODS:
Consecutive shoulder patients recruited for this study were referred to 2
tertiary orthopaedic clinics. A surgeon took a thorough history and indicated his
or her certainty about each possible diagnosis. A clinician performed the
physical examination for diagnoses where uncertainty remained. Arthroscopy was
considered the reference standard for patients who underwent surgery, and MRI
with arthrogram was considered the reference for patients who did not. The
sensitivity, specificity, and likelihood ratios were calculated to investigate
whether combinations of the top tests provided stronger predictions of the
presence or absence of disease. RESULTS: There were 139 participants. None of the
tests were highly sensitive for diagnosing rotator cuff tears or tendinosis.
Tests for subscapularis tears were all highly specific. No optimal combination of
tests improved the ability to correctly diagnose rotator cuff tears. Closer
analysis revealed the internal rotation and lateral rotation lag sign did not
improve the ability to diagnose subscapularis or supraspinatus tears,
respectively, although the lateral rotation lag sign demonstrated a
discriminatory ability for tear size. CONCLUSION: No test in isolation is
sufficient to diagnose a patient with rotator cuff damage. A combination of tests
improves the ability to diagnose damage to the rotator cuff. It is recommended
that the internal rotation and lateral rotation lag signs be removed from the
gamut of physical examination tests for supraspinatus and subscapularis tears.
PMID- 24936586
TI - SPP1 rs9138 variant contributes to the severity of radiological damage in anti
citrullinated protein autoantibody-negative rheumatoid arthritis.
AB - OBJECTIVE: We recently reported an association of the SPP1 rs9138 and rs11439060
functional variants with the risk of rheumatoid arthritis (RA), the association
being greater in anti-citrullinated protein autoantibody (ACPA)-negative
patients. We hypothesised that SPP1 may contribute to the severity of joint
destruction in RA, specifically in the ACPA-negative population. METHODS:
Patients with RA in the ESPOIR cohort underwent genotyping for SPP1 rs9138 and
rs11439060. Radiographs of the hands and feet were obtained at the first visit
and at 1- and 2-year follow-up. Association analyses were performed by ACPA
status. A replication study of the relevant subset of the Leiden Early Arthritis
Clinic (EAC) cohort was performed. RESULTS: In the ESPOIR cohort (652 patients),
rs9138 was significantly associated with radiological progression of joint
destruction at 2 years, the association being restricted to 358 ACPA-negative
patients (p=0.034). In the replication study with the Leiden EAC cohort (273 ACPA
negative patients), rs4754, which is in complete linkage disequilibrium with
rs9138, was significantly associated with joint damage progression in ACPA
negative patients at 2- and 7-year follow-up (p=0.019 and p=0.005, respectively).
Combined analysis of the two cohorts revealed a 0.95-fold rate of joint
destruction per year per minor allele (p=0.022). CONCLUSIONS: The SPP1 rs9138
variant contributes to joint damage progression in ACPA-negative RA.
PMID- 24936587
TI - A pharmaco-EEG-based assessment of the interaction between ethanol and
zonisamide.
AB - AIMS: Recent research suggests a potential role for a new generation of
anticonvulsant drugs, including zonisamide, in the treatment of alcohol
dependence. Some elements of the central mechanism of action that zonisamide has
in common with ethanol, give rise to the question of whether there is an
interaction between these two agents and whether there is any risk associated
with the enhanced depressive effect of these agents on the central nervous
system. METHODS: This study uses a pharmaco-EEG method to examine the interaction
of ethanol with zonisamide. The influence of zonisamide on the effect of ethanol
on EEG of rabbits (midbrain reticular formation, hippocampus, frontal cortex) was
determined. Zonisamide was administered p.o. as a single dose (20 or 60 mg/kg) or
repeatedly at a dose of 30 mg/kg/day for 14 days. Ethanol was injected i.v. at a
dose of 0.8 g/kg 180 min after the administration of zonisamide. RESULTS: Ethanol
caused an increase in the low frequencies (0.5-4 Hz) in the recording, as well as
a marked decrease in the higher frequencies (13-30 and 30-45 Hz). Changes in the
EEG recordings after zonisamide alone were more significant compared with these
after repeated doses. In the hippocampus after single dose of drug the proportion
of the low frequency (0.5-4 Hz) increased, whereas the proportion of high
frequencies decreased. Combined administration of ethanol and zonisamide (60
mg/kg) resulted in a markedly synergistic effect in the examined structures. A
beneficial effect of repeatedly administered zonisamide on ethanol-induced EEG
changes was observed, especially in the hippocampus. CONCLUSION: Zonisamide in
repeated doses decreases the sensitivity of the hippocampus to ethanol, an
observation that may be important in the treatment of alcohol addiction.
PMID- 24936585
TI - Preclinical characterisation of the GM-CSF receptor as a therapeutic target in
rheumatoid arthritis.
AB - OBJECTIVE: Previous work has suggested that the granulocyte macrophage colony
stimulating factor (GM-CSF)-GM-CSF receptor alpha axis (GM-CSFRalpha) may provide
a new therapeutic target for the treatment of rheumatoid arthritis (RA).
Therefore, we investigated the cellular expression of GM-CSFRalpha in RA synovial
tissue and investigated the effects of anti-GM-CSFRalpha antibody treatment in
vitro and in vivo in a preclinical model of RA. METHODS: We compared GM-CSFRalpha
expression on macrophages positive for CD68 or CD163 on synovial biopsy samples
from patients with RA or psoriatic arthritis (PsA) to disease controls. In
addition, we studied the effects of CAM-3003, an anti-GM-CSFR antibody in a
collagen induced arthritis model of RA in DBA/1 mice. The pharmacokinetic profile
of CAM-3003 was studied in naive CD1(ICR) mice (see online supplement) and used
to interpret the results of the pharmacodynamic studies in BALB/c mice. RESULTS:
GM-CSFRalpha was expressed by CD68 positive and CD163 positive macrophages in the
synovium, and there was a significant increase in GM-CSFRalpha positive cells in
patients in patients with RA as well as patients with PsA compared with patients
with osteoarthritis and healthy controls. In the collagen induced arthritis model
there was a dose dependent reduction of clinical arthritis scores and the number
of F4/80 positive macrophages in the inflamed synovium after CAM-3003 treatment.
In BALB/c mice CAM-3003 inhibited recombinant GM-CSF mediated margination of
peripheral blood monocytes and neutrophils. CONCLUSIONS: The findings support the
ongoing development of therapies aimed at interfering with GM-CSF or its receptor
in various forms of arthritis, such as RA and PsA.
PMID- 24936588
TI - Translating the semi-structured assessment for drug dependence and alcoholism in
the Western Pacific: rationale, study design and reliability of alcohol
dependence.
AB - AIMS: The aims of this study were to develop a bilingual version of the Semi
Structured Assessment for Drug Dependence and Alcoholism (SSADDA) in English and
Samoan and determine the reliability of assessments of alcohol dependence in
American Samoa. METHODS: The study consisted of development and reliability
testing phases. In the development phase, the SSADDA alcohol module was
translated and the translation was evaluated through cognitive interviews. In the
reliability-testing phase, the bilingual SSADDA was administered to 40 ethnic
Samoans, including a sub-sample of 26 individuals who were retested. RESULTS:
Cognitive interviews indicated the initial translation was culturally and
linguistically appropriate except items pertaining to alcohol tolerance, which
were modified to reflect Samoan concepts. SSADDA reliability testing indicated
diagnoses of DSM-III-R and DSM-IV alcohol dependence were reliable. Reliability
varied by language of administration. CONCLUSION: The English/Samoan version of
the SSADDA is appropriate for the diagnosis of DSM-III-R alcohol dependence,
which may be useful in advancing research and public health efforts to address
alcohol problems in American Samoa and the Western Pacific. The translation
methods may inform researchers translating diagnostic and assessment tools into
different languages and cultures.
PMID- 24936589
TI - Interactions between parental alcohol-specific rules and risk personalities in
the prediction of adolescent alcohol use.
AB - AIMS: To examine the impact of an important context variable (alcohol-specific
parental rules) and an important person variable (risky personality traits) and
their interaction on prospective adolescent drinking. METHODS: Participants were
252 adolescents, 67.9% female, between 13 and 16 years old. Data were collected
via online assessments during 2 years with four time points of assessments. We
examined membership of alcohol use trajectories as a function of parental alcohol
specific rules, moderated by risk-associated personality traits. RESULTS:
Permissive parental rules predicted early onset and trajectories of heavy
drinking. High scores on Sensation Seeking and Hopelessness also predicted early
onset and heavy drinking, but there was no evidence for moderation. CONCLUSION:
The influence of parental rule setting and risk personality was confirmed, but no
evidence was found that the impact of risk personality on adolescent drinking is
moderated by parental rules. Implications of these findings, and limitations of
the study, are discussed.
PMID- 24936590
TI - Sterilizing activity of thioridazine in combination with the first-line regimen
against acute murine tuberculosis.
AB - We recently reported that in lung tissue, thioridazine accumulates at high
concentrations relative to serum levels, displaying modest synergy with isoniazid
and reducing the emergence of isoniazid-resistant mutants in mouse lungs. In this
study, we sought to investigate the sterilizing activity of human-equivalent
doses of thioridazine when given in combination with the "Denver regimen" against
acute murine tuberculosis. We found a trend toward a positive impact of
thioridazine on the bacterial clearance and lowering relapse rates of the
combined standard TB chemotherapy.
PMID- 24936591
TI - Efficacy of fosfomycin compared to vancomycin in treatment of implant-associated
chronic methicillin-resistant Staphylococcus aureus osteomyelitis in rats.
AB - Fosfomycin monotherapy was compared to therapy with vancomycin for the treatment
of implant-associated methicillin-resistant Staphylococcus aureus (MRSA)
osteomyelitis in an experimental rat model. The proximal tibiae were inoculated
with 15 MUl of a suspension containing 1*10(8) to 5*10(8) CFU/ml of a clinical
isolate of MRSA with simultaneous insertion of a titanium wire. Four weeks later,
treatment was started for 28 days with either 50 mg/kg of body weight vancomycin
intraperitoneally twice daily (n=11) or 75 mg/kg fosfomycin intraperitoneally
once daily (n=10). Eleven animals were left untreated. After treatment,
quantitative cultures from bone were found to be positive for MRSA in all animals
in the untreated group (median, 3.29*10(6) CFU/g of bone) and the vancomycin
group (median, 3.03*10(5) CFU/g of bone). In the fosfomycin group, MRSA was
detectable in 2 out of 10 (20%) animals (3.42*10(2) and 1.51*10(3) CFU/g of
bone). Vancomycin was superior to the no-drug control (P=0.002), and fosfomycin
was superior to the no-drug control and vancomycin (P<0.001). The cultures from
the wires were positive in all untreated animals (median, 2.5*10(3) CFU/implant),
in 10 animals in the vancomycin group (median, 1.15*10(3) CFU/implant), and
negative in all animals in the fosfomycin group. Based on the bacterial counts
from the implants, vancomycin was not superior to the no-drug control (P=0.324),
and fosfomycin was superior to the no-drug control and vancomycin (P<0.001). No
emergence of resistance was observed. In conclusion, it was demonstrated that
fosfomycin monotherapy is highly effective for the treatment of experimental
implant-associated MRSA osteomyelitis.
PMID- 24936592
TI - Comparative mechanistic studies of brilacidin, daptomycin, and the antimicrobial
peptide LL16.
AB - Brilacidin (PMX30063) has shown potent bactericidal activity against drug
resistant and -susceptible strains of multiple Gram-negative and Gram-positive
pathogens. In this study, we demonstrate that brilacidin causes membrane
depolarization in the Gram-positive bacterium Staphylococcus aureus, to an extent
comparable to that caused by the lipopeptidic drug daptomycin. Transcriptional
profiling of Staphylococcus aureus by deep sequencing shows that the global
response to brilacidin treatment is well correlated to those of treatment with
daptomycin and the cationic antimicrobial peptide LL37 and mostly indicates
abrogation of cell wall and membrane functions. Furthermore, the upregulation of
various chaperones and proteases by brilacidin and daptomycin indicates that
cytoplasmic protein misfolding stress may be a contributor to the mechanism of
action of these drugs. These stress responses were orchestrated mainly by three
two-component systems, GraSR, VraSR, and NsaSR, which have been implicated in
virulence and drug resistance against other clinically available antibiotics.
PMID- 24936593
TI - SAGA/ADA complex subunit Ada2 is required for Cap1- but not Mrr1-mediated
upregulation of the Candida albicans multidrug efflux pump MDR1.
AB - Overexpression of the multidrug efflux pump MDR1 is one mechanism by which the
pathogenic yeast Candida albicans develops resistance to the antifungal drug
fluconazole. The constitutive upregulation of MDR1 in fluconazole-resistant,
clinical C. albicans isolates is caused by gain-of-function mutations in the zinc
cluster transcription factor Mrr1. It has been suggested that Mrr1 activates MDR1
transcription by recruiting Ada2, a subunit of the SAGA/ADA coactivator complex.
However, MDR1 expression is also regulated by the bZIP transcription factor Cap1,
which mediates the oxidative stress response in C. albicans. Here, we show that a
hyperactive Mrr1 containing a gain-of-function mutation promotes MDR1
overexpression independently of Ada2. In contrast, a C-terminally truncated,
hyperactive Cap1 caused MDR1 overexpression in a wild-type strain but only weakly
in mutants lacking ADA2. In the presence of benomyl or H2O2, compounds that
induce MDR1 expression in an Mrr1- and Cap1-dependent fashion, MDR1 was
upregulated with the same efficiency in wild-type and ada2Delta cells. These
results indicate that Cap1, but not Mrr1, recruits Ada2 to the MDR1 promoter to
induce the expression of this multidrug efflux pump and that Ada2 is not required
for MDR1 overexpression in fluconazole-resistant C. albicans strains containing
gain-of-function mutations in Mrr1.
PMID- 24936594
TI - Pharmacokinetics and preliminary safety study of pod-intravaginal rings
delivering antiretroviral combinations for HIV prophylaxis in a macaque model.
AB - Preexposure prophylaxis using oral regimens involving the HIV nucleoside reverse
transcriptase inhibitors tenofovir disoproxil fumarate (TDF) and emtricitabine
(FTC) demonstrated efficacy in three clinical trials. Adherence was determined to
be a key parameter for success. Incorporation of the TDF-FTC combination into
intravaginal rings (IVRs) for sustained mucosal delivery could increase product
adherence and efficacy compared with those of oral and vaginal gel formulations.
A novel pod-IVR technology capable of delivering multiple drugs is described;
this constitutes the first report of an IVR delivering TDF and FTC, as well as a
triple-combination IVR delivering TDF, FTC, and the entry inhibitor maraviroc
(MVC). The pharmacokinetics and preliminary local safety of the two combination
pod-IVRs were evaluated in the pig-tailed macaque model. The devices exhibited
sustained release at controlled rates over the 28-day study period. Median steady
state drug levels in vaginal tissues in the TDF-FTC group were 30 MUg g(-1)
(tenofovir [TFV], in vivo hydrolysis product of TDF) and 500 MUg g(-1) (FTC) and
in the TDF-FTC-MVC group were 10 MUg g(-1) (TFV), 150 MUg g(-1) (FTC), and 20 MUg
g(-1) (MVC). No adverse events were observed, and there were no toxicological
findings. Mild-to-moderate increases in inflammatory infiltrates were observed in
the vaginal tissues of some animals in both the presence and the absence of the
IVRs. The IVRs did not disturb the vaginal microbiota, and levels of
proinflammatory cytokines remained stable throughout the study. Pod-IVR
candidates based on the TDF-FTC combination have potential for the prevention of
vaginal HIV acquisition and merit clinical investigation.
PMID- 24936595
TI - First detection of TR46/Y121F/T289A and TR34/L98H alterations in Aspergillus
fumigatus isolates from azole-naive patients in Denmark despite negative findings
in the environment.
AB - Azole-resistant Aspergillus fumigatus harboring the TR34/L98H or TR46/Y121F/T289A
alterations is increasingly found in Europe and Asia. Here, we present the first
clinical cases of TR46/Y121/T289A and three cases of TR34/L98H outside the cystic
fibrosis (CF) population in Denmark and the results of environmental surveys.
Four patients (2012 to 2014) with 11 A. fumigatus and 4 Rhizomucor pusillus
isolates and 239 soil samples (spring 2010 and autumn 2013, respectively) with a
total of 113 A. fumigatus isolates were examined. Aspergillus isolates were
screened for azole resistance using azole-containing agar. Confirmatory
susceptibility testing was done using the EUCAST microbroth dilution EDEF 9.1
reference method. For relevant A. fumigatus isolates, CYP51A sequencing and
microsatellite genotyping were performed. Three patients harbored TR34/L98H
isolates. Two were azole naive at the time of acquisition and two were coinfected
with wild-type A. fumigatus or R. pusillus isolates, complicating and delaying
diagnosis. The TR46/Y121F/T289A strain was isolated in 2014 from a lung
transplant patient. Genotyping indicated that susceptible and resistant
Aspergillus isolates were unrelated and that no transmission between patients
occurred. Azole resistance was not detected in any of the 113 soil isolates.
TR34/L98H and TR46/Y121F/T289A alterations appear to be emerging in the clinical
setting in Denmark and now involve azole-naive patients. Two recent soil-sampling
surveys in Denmark were unable to indicate any increased prevalence of azole
resistant A. fumigatus in the environment. These findings further support the
demand for real-time susceptibility testing of all clinically relevant isolates
and for studies investigating the seasonal variation and ecological niches for
azole-resistant environmental A. fumigatus.
PMID- 24936596
TI - Comparison of cefazolin versus oxacillin for treatment of complicated bacteremia
caused by methicillin-susceptible Staphylococcus aureus.
AB - Contrary to prior case reports that described occasional clinical failures with
cefazolin for methicillin-susceptible Staphylococcus aureus (MSSA) infections,
recent studies have demonstrated no difference in outcomes between cefazolin and
antistaphylococcal penicillins for the treatment of MSSA bacteremia. While
promising, these studies described low frequencies of high-inoculum infections,
such as endocarditis. This retrospective study compares clinical outcomes of
cefazolin versus oxacillin for complicated MSSA bacteremia at two tertiary care
hospitals between January 2008 and June 2012. Fifty-nine patients treated with
cefazolin and 34 patients treated with oxacillin were included. Osteoarticular
(41%) and endovascular (20%) sources were the predominant sites of infection. The
rates of clinical cure at the end of therapy were similar between cefazolin and
oxacillin (95% versus 88%; P=0.25), but overall failure at 90 days was higher in
the oxacillin arm (47% versus 24%; P=0.04). Failures were more likely to have
received surgical interventions (63% versus 40%; P=0.05) and to have an
osteoarticular source (57% versus 33%; P=0.04). Failures also had a longer
duration of bacteremia (7 versus 3 days; P=0.0002), which was the only predictor
of failure. Antibiotic selection was not predictive of failure. Rates of adverse
drug events were higher in the oxacillin arm (30% versus 3%; P=0.0006), and
oxacillin was more frequently discontinued due to adverse drug events (21% versus
3%; P=0.01). Cefazolin appears similar to oxacillin for the treatment of
complicated MSSA bacteremia but with significantly improved safety. The higher
rates of failure with oxacillin may have been confounded by other patient factors
and warrant further investigation.
PMID- 24936597
TI - Decreasing Clostridium difficile infections by an antimicrobial stewardship
program that reduces moxifloxacin use.
AB - Clostridium difficile infections (CDI) in hospitalized patients are known to be
closely related to antibiotic exposure. Although several substances can cause
CDI, the risk differs between individual agents. In Vienna and other eastern
parts of Austria, CDI ribotype 027 is currently highly prevalent. This ribotype
has the characteristic of intrinsic moxifloxacin resistance. Therefore, we
hypothesized that moxifloxacin restriction can decrease the number of CDI cases
in hospitalized patients. Our antibiotic stewardship (ABS) group applied an
information campaign on CDI and formal restriction of moxifloxacin in
Wilhelminenspital (Vienna, Austria), a 1,000- bed tertiary care hospital. The
preintervention period (period 1) was January through May 2013, and the
intervention period (period 2) was June through December 2013. We recorded the
defined daily doses (DDD) of moxifloxacin and the number of CDI patients/month.
Moxifloxacin use was reduced from a mean (+/-standard error of the mean [SEM]) of
1,038+/-109 DDD per month (period 1) to 42+/-10 DDD per month (period 2)
(P=0.0045). Total antibiotic use was not affected. The mean (+/-SEM) numbers of
CDI cases in period 1 were 59+/-3 per month and in period 2 were 32+/-3 per month
(46% reduction; P=0.0044). Reducing moxifloxacin use in combination with
providing structured information on CDI was associated with an immediate decrease
in CDI rates in this large community teaching hospital.
PMID- 24936599
TI - The Pseudomonas aeruginosa CreBC two-component system plays a major role in the
response to beta-lactams, fitness, biofilm growth, and global regulation.
AB - Pseudomonas aeruginosa is a ubiquitous versatile environmental microorganism with
a remarkable ability to grow under diverse environmental conditions. Moreover, P.
aeruginosa is responsible for life-threatening infections in immunocompromised
and cystic fibrosis patients, as the extraordinary capacity of this pathogen to
develop antimicrobial resistance dramatically limits our therapeutic arsenal. Its
large genome carries an outstanding number of genes belonging to regulatory
systems, including multiple two-component sensor-regulator systems that modulate
the response to the different environmental stimuli. Here, we show that one of
two systems, designated CreBC (carbon source responsive) and BlrAB (beta-lactam
resistance), might be of particular relevance. We first identified the stimuli
triggering the activation of the CreBC system, which specifically responds to
penicillin-binding protein 4 (PBP4) inhibition by certain beta-lactam
antibiotics. Second, through an analysis of a large comprehensive collection of
mutants, we demonstrate an intricate interconnection between the CreBC system,
the peptidoglycan recycling pathway, and the expression of the concerning
chromosomal beta-lactamase AmpC. Third, we show that the CreBC system, and
particularly its effector inner membrane protein CreD, plays a major role in
bacterial fitness and biofilm development, especially in the presence of
subinhibitory concentrations of beta-lactams. Finally, global transcriptomics
reveals broad regulatory functions of CreBC in basic physiological aspects,
particularly anaerobic respiration, in both the presence and absence of
antibiotics. Therefore, the CreBC system is envisaged as a potentially
interesting target for improving the efficacy of beta-lactams against P.
aeruginosa infections.
PMID- 24936602
TI - News, views, & reviews: antimicrobial photodynamic therapy: applications beyond
skin cancer.
PMID- 24936598
TI - In vitro and in vivo effects of tamoxifen against larval stage Echinococcus
granulosus.
AB - Cystic echinococcosis is a zoonotic infection caused by the larval stage of the
cestode Echinococcus granulosus. Chemotherapy currently employs benzimidazoles;
however, 40% of cases do not respond favorably. With regard to these
difficulties, novel therapeutic tools are needed to optimize treatment in humans.
The aim of this work was to explore the in vitro and in vivo effects of tamoxifen
(TAM) against E. granulosus. In addition, possible mechanisms for the
susceptibility of TAM are discussed in relation to calcium homeostasis, P
glycoprotein inhibition, and antagonist effects on a putative steroid receptor.
After 24 h of treatment, TAM, at a low micromolar concentration range (10 to 50
MUM), inhibited the survival of E. granulosus protoscoleces and metacestodes.
Moreover, we demonstrated the chemotherapeutic and chemopreventive
pharmacological effects of the drug. At a dose rate of 20 mg/kg of body weight,
TAM induced protection against the infection in mice. In the clinical efficacy
studies, a reduction in cyst weight was observed after the administration of 20
mg/kg in mice with cysts developed during 3 or 6 months, compared to that of
those collected from control mice. Since the collateral effects of high TAM doses
have been largely documented in clinical trials, the use of low doses of this
drug as a short-term therapy may be a novel alternative approach for human cystic
echinococcosis treatment.
PMID- 24936603
TI - Preface. Pseudomonas methods and protocols.
PMID- 24936600
TI - Comparison of daclatasvir resistance barriers on NS5A from hepatitis C virus
genotypes 1 to 6: implications for cross-genotype activity.
AB - A comparison of the daclatasvir (DCV [BMS-790052]) resistance barrier on
authentic or hybrid replicons containing NS5A from hepatitis C virus (HCV)
genotypes 1 to 6 (GT-1 to -6) was completed using a replicon elimination assay.
The data indicated that genotype 1b (GT-1b) has the highest relative resistance
barrier and genotype 2a (GT-2a M31) has the lowest. The rank order of resistance
barriers to DCV was 1b>4a>=5a>6a?1a>2a JFH>3a>2a M31. Importantly, DCV in
combination with a protease inhibitor (PI) eliminated GT-2a M31 replicon RNA at a
clinically relevant concentration. Previously, we reported the antiviral activity
and resistance profiles of DCV on HCV genotypes 1 to 4 evaluated in the replicon
system. Here, we report the antiviral activity and resistance profiles of DCV
against hybrid replicons with NS5A sequences derived from HCV GT-5a and GT-6a
clinical isolates. DCV was effective against both GT-5a and -6a hybrid replicon
cell lines (50% effective concentrations [EC50s] ranging from 3 to 7 pM for GT
5a, and 74 pM for GT-6a). Resistance selection identified amino acid
substitutions in the N-terminal domain of NS5A. For GT-5a, L31F and L31V, alone
or in combination with K56R, were the major resistance variants (EC50s ranging
from 2 to 40 nM). In GT-6a, Q24H, L31M, P32L/S, and T58A/S were identified as
resistance variants (EC50s ranging from 2 to 250 nM). The in vitro data suggest
that DCV has the potential to be an effective agent for HCV genotypes 1 to 6 when
used in combination therapy.
PMID- 24936604
TI - Biocultural implications of oral pathology in an ancient Central California
population.
AB - Bioarchaeologists have long noted two unusual trends in the dentitions of
prehistoric Native Californian populations: high rates of wear and low prevalence
of caries. The Central California site of CA-CCO-548 offers a unique opportunity
to examine the relationship between oral pathology and extreme dental wear in a
large (n = 480), ancient (4,300-3,100 BP), and temporally well-defined population
sample. This study specifically examines three interrelated processes of the oral
cavity in this population: dental wear, dental caries, and periodontal disease.
The results show high levels of dental wear (average of 6.1, Smith system), low
frequencies of carious lesions (2.5%), low frequencies of periodontal disease
(17.8%), and high frequencies of periapical abscesses (10.7%). The pathological
processes examined here have complicated multifactorial etiologies. However, they
all share the common primary etiological agents of facultative pathogenic
bacteria proliferation in the oral biofilm. Integration of the current
etiological explanations for infections of the oral cavity, information from the
ethnographic record pertaining to subsistence and activity patterns in Native
Californian populations, and statistical analysis of specific disease and wear
patterns leads to a novel explanation for the observed pattern of oral pathology
in this population sample. Specifically, the introduction of antibacterial
compounds through dietary items and non-alimentary tooth use is suggested as the
most likely explanation for the unusually low prevalence of dental caries and
periodontal disease.
PMID- 24936606
TI - Health Is not always written in bone: using a modern comorbidity index to assess
disease load in paleopathology.
AB - Paleopathology has revealed much about disease in the past but is usually limited
to conditions with osteological manifestations; this often excludes acute soft
tissue infections and causes of death for most individuals in the past and
present. Our understanding of the evolution of disease is essential for
contextualizing and predicting the epidemiological shifts that are happening in
modern society, as high rates of infectious disease coexist alongside high rates
of chronic disease in rates unlike those observed previously in human history.
Moreover, many physiological states not previously classified as "disease"
(obesity) have become pathologized, influencing our conception of disease and
what defines health. By using the Galler Collection, a pre-antibiotic and pre
chemotherapeutic osteological series with modern autopsy records, our research
quantifies disease burden of the past using the Charlson Index (CI), a modern
comorbidity index of disease severity. Galler Collection remains and autopsy
records were scored with the Charlson Index to correlate bone findings with soft
tissue findings, and statistical analysis was performed for cumulative scores and
absolute diagnosis counts, with patients stratified by sex and cause of death
(pneumonia or cancer). Osteological diagnosis counts were more predictive of soft
tissue autopsy disease counts than were associated cumulative CI scores.
Diagnosis counts and CI scores for osteological data were more closely related to
associated soft tissue data for cancer patients than for pneumonia patients. This
research indicates how interdisciplinary paleopathological analysis assists in
making more reliable assessments of health and mortality in the past, with
implications for trending and predicting future epidemiological shifts.
PMID- 24936605
TI - Genetic influences on the development of grip strength in adolescence.
AB - Enhanced physical strength is a secondary sex characteristic in males. Sexual
dimorphism in physical strength far exceeds sex differences in stature or total
body mass, suggesting a legacy of intense sexual selection. Upper-body strength
is a particularly promising marker of intrasexual competitiveness in young men.
Consequently, it is assumed that sex-influenced gene expression contributes to
the development of physical strength. It is unclear, however, whether the
underlying sources of individual differences in strength development are
comparable across sex. We obtained three measurements of hand-grip strength (HGS)
over a six-year period spanning adolescence in male and female same-sex twins (N
= 2,513). Biometrical latent growth models were used to partition the HGS
variance at age 11 (intercept) and its growth over time (slope) into genetic and
environmental components. Results demonstrated that variance around the intercept
was highly heritable in both males and females (88% and 79%, respectively). In
males, variance around the slope exceeded that of the intercept, while the
reverse held for females. Additive genetic effects accounted for most (80%) of
the variance around the slope in males, but were of less importance in females
(heritability = 28%). Absolute genetic variance around the slope was nearly nine
fold higher in males. This striking disparity suggests that the developmental
processes shaping HGS growth are different between the sexes. We propose that
this might account for the sex-specific pattern of associations between HGS and
external measures (e.g., digit ratio and physical aggression) typically reported
in the literature. Our results underscore the role of endogenous androgenic
influences in the development of physical strength.
PMID- 24936607
TI - Developing a pig model for crypt fenestration-induced localized hypoplastic
enamel defects in humans.
AB - Hypoplastic pits on human deciduous canine teeth are attributed to nutritionally
induced thinning of the crypt wall prior to eruption, exposing ameloblasts to
unspecified physical trauma through the fenestration. Traditionally known as
localized hypoplasia of the primary canine (LHPC), this little-understood
condition is reported in fields ranging from public health to bioarchaeology. We
propose the defect be termed a 'crypt fenestration hypoplastic enamel defect'
(CFED) to reflect that an analogous lesion is created postnatally on maxillary
molars of pigs. Pigs are accepted as a suitable proxy for many studies in human
biology. We compare fenestration defects and CFEDs between 50 Sick Pen pigs, who
died naturally, and 20 Controls. Observations were made of the presence, number
and size of fenestrations in molar crypts. CFEDs were counted on erupted
deciduous last molars and permanent first molars. Signs of being underweight and
cranio-dental infection at death were recorded. Sick pen pigs show significantly
more fenestrations at death and CFEDs acquired before death. These conditions co
occur with infection and poor growth. The deep fibers of temporalis muscle lie
adjacent to the crypt wall of maxillary molars. We propose that contraction of
this muscle during suckling and chewing creates large compressive forces against
fenestrated bony surfaces sufficient to have physiological consequences for
physically unprotected ameloblasts. While we conclude that a pig model is
appropriate to study fenestration-induced enamel defects, this naturalistic
experiment leaves unresolved whether osteopenia in pigs, and by extension in
human infants, is due to disease and/or malnutrition.
PMID- 24936608
TI - In Memoriam. Obituary: Professor Andrea Mezzetti, MD (1949-2013).
PMID- 24936610
TI - Referential labeling can facilitate phonetic learning in infancy.
AB - All languages employ certain phonetic contrasts when distinguishing words. Infant
speech perception is rapidly attuned to these contrasts before many words are
learned, thus phonetic attunement is thought to proceed independently of lexical
and referential knowledge. Here, evidence to the contrary is provided. Ninety
eight 9-month-old English-learning infants were trained to perceive a non-native
Cantonese tone contrast.Two object-tone audiovisual pairings were consistently
presented, which highlighted the target contrast (Object A with Tone X; Object B
with Tone Y). Tone discrimination was then assessed. Results showed improved tone
discrimination if object-tone pairings were perceived as being referential word
labels, although this effect was modulated by vocabulary size. Results suggest
how lexical and referential knowledge could play a role in phonetic attunement.
PMID- 24936609
TI - Adult attachment states of mind: measurement invariance across ethnicity and
associations with maternal sensitivity.
AB - This study examined the developmental significance of mothers' adult attachment
representations assessed prenatally with the Adult Attachment Interview in
relation to observed maternal sensitivity at 6 months postpartum in an ethnically
diverse sample (N = 131 African American; N = 128 European American). Multiple
group confirmatory factor analyses provided evidence for partial measurement
invariance of a two-factor dismissing and preoccupied latent structure of adult
attachment across the two ethnic groups of women. African American women showed
modest elevations on the preoccupied factor relative to European American women.
Although the dismissing factor showed an empirically equivalent negative
association with maternal sensitivity in both ethnic groups, this effect was
reduced to marginal significance when controlling for maternal socioeconomic
status.
PMID- 24936611
TI - Judgments and reasoning about parental discipline involving induction and
psychological control in China and Canada.
AB - This study examined judgments and reasoning about four parental discipline
practices (induction or reasoning and three practices involving "psychological
control"; Barber, 1996; two forms of shaming and love withdrawal)among children
(7-14 years of age) from urban and rural China and Canada (N = 288) in response
to a moral transgression. Children from all settings critically evaluated love
withdrawal and preferred induction. Despite being perceived as more common in
China than in Canada, with age, parental discipline based on shaming or love
withdrawal was increasingly negatively evaluated and believed to have detrimental
effects on children's feelings of self-worth and psychological well-being. Some
cultural variations were found in evaluations of practices, perceptions of
psychological harm, and attribution of parental goals.
PMID- 24936612
TI - Children's social self-concept and internalizing problems: the influence of peers
and teachers.
AB - This study aimed to understand how relationships with peers and teachers
contribute to the development of internalizing problems via children's social
self-concept. The sample included 570 children aged 7 years 5 months (SD = 4.6
months). Peer nominations of peer rejection, child-reported social self-concept,
and teacher-reported internalizing problems were assessed longitudinally in the
fall and spring of Grades 2 and 3. Teacher reports of support to the child were
assessed in Grade 2. Results showed that peer rejection impeded children's social
self-concept, which in turn affected the development of internalizing problems.
Partial support was found for individual (but not classroom-level) teacher
support to buffer the adverse effects of peer problems on children's self
concept, thereby mitigating its indirect effects on internalizing problems.
PMID- 24936613
TI - Children's reasoning about the refusal to help: the role of need, costs, and
social perspective taking.
AB - Children (n = 133, aged 8-13) were interviewed about helping situations that
systematically varied in recipient's need for help and the costs for the helper.
In situations where helping a peer involved low costs, children perceived a moral
obligation to help that was independent of peer norms, parental authority, and
reciprocity considerations. When helping a peer involved high costs this over
powered the perceived obligation to help, but only in situations involving low
need and when in line with reciprocity. When both need and costs were high,
younger children expressed stronger moral indignation while older children were
less negative and reasoned in terms of other solutions. Furthermore, stronger
moral indignation was related to more advanced social perspective taking skills
when need and costs were high.
PMID- 24936615
TI - [Arthroplasty].
PMID- 24936614
TI - Dr Cai and colleagues respond.
PMID- 24936616
TI - Robo3.1A suppresses slit-mediated repulsion by triggering degradation of Robo2.
AB - Slits and Robos control the midline crossing of commissural axons, which are not
sensitive to the midline repellent Slit before crossing but gain Slit
responsiveness to exit the midline and avoid recrossing. Robo3.1A promotes
midline crossing of commissural axons by suppressing the axonal responsiveness to
the midline repellent Slit, but the underlying mechanism remains unclear. By
using a cell surface binding assay and immunoprecipitation, we observed that
Robo3.1A did not bind Slit on its own but prevented the specific binding of Slit
to the cell surface when it was coexpressed with its close homologue Robo1 or
Robo2 (Robo1/2), which are known to mediate the Slit repulsion. Cotransfection
with Robo3.1A significantly reduced the protein level of Robo2 in HEK293 cells,
and overexpression of Robo3.1A also significantly decreased Robo2 protein level
in cerebellar granule cells. Downregulation of endogenous Robo3 by specific small
interference RNA (siRNA) significantly increased Robo1 protein level, Slit
binding to the cell surface was significantly elevated, and Slit-triggered growth
cone collapse appeared after downregulation of Robo3 in cultured cortical
neurons. Immunocytochemical staining showed that Robo2 and Robo3 colocalized in
intracellular vesicles positive for the marker of late endosomes and lysosomes,
but not trans-Golgi apparatus and early endosomes. Thus Robo3.1A may prevent the
Slit responsiveness by recruiting Robo1/2 into a late endosome- and lysosome
dependent degradation pathway.
PMID- 24936618
TI - Multiferroic polymer composites with greatly enhanced magnetoelectric effect
under a low magnetic bias.
AB - Multiferroic laminate composites consisting of chain-end cross-linked
ferroelectric polymers and magnetostrictive Metglas are reported. The composites
exhibit a greatly enhanced multiferroic voltage coefficient and sensitivity
relative to analogous composites. These remarkable properties are attributed to
high piezoelectric and electromechanical coupling coefficients, because of the
formation of larger crystalline sizes and concurrent improvement in the
polarization ordering in the cross-linked polymers.
PMID- 24936617
TI - Stem cell factor-activated bone marrow ameliorates amyotrophic lateral sclerosis
by promoting protective microglial migration.
AB - Amyotrophic lateral sclerosis (ALS) is a progressive disease associated with
motor neuron death. Several experimental treatments, including cell therapy using
hematopoietic or neuronal stem cells, have been tested in ALS animal models, but
therapeutic benefits have been modest. Here we used a new therapeutic strategy,
bone marrow transplantation (BMT) with stem cell factor (SCF)- or FMS-like
tyrosine kinase 3 (flt3)-activated bone marrow (BM) cells for the treatment of
hSOD1(G93A) transgenic mice. Motor function and survival showed greater
improvement in the SCF group than in the group receiving BM cells that had not
been activated (BMT alone group), although no improvement was shown in the flt3
group. In addition, larger numbers of BM-derived cells that expressed the
microglia marker Iba1 migrated to the spinal cords of recipient mice compared
with the BMT alone group. Moreover, after SCF activation, but not flt3 activation
or no activation, the migrating microglia expressed glutamate transporter-1 (GLT
1). In spinal cords in the SCF group, inflammatory cytokines tumor necrosis
factor-alpha and interleukin-1beta were suppressed and the neuroprotective
molecule insulin-like growth factor-1 increased relative to nontreatment
hSOD1(G93A) transgenic mice. Therefore, SCF activation changed the character of
the migrating donor BM cells, which resulted in neuroprotective effects. These
studies have identified SCF-activated BM cells as a potential new therapeutic
agent for the treatment of ALS.
PMID- 24936619
TI - Low-dose total-body carbon-ion irradiations induce early transcriptional
alteration without late Alzheimer's disease-like pathogenesis and memory
impairment in mice.
AB - The cause and risk factors of Alzheimer's disease (AD) are largely unknown.
Studies on possible radiation-induced AD-like pathogenesis and behavioral
consequences are important because humans are exposed to ionizing radiation (IR)
from various sources. It was reported that total-body irradiations (TBI) at 10
cGy of low linear energy transfer (LET) X-rays to mice triggered acute
transcriptional alterations in genes associated with cognitive dysfunctions.
However, it was unknown whether low doses of IR could induce AD-like changes late
after exposure. We reported previously that 10 cGy X-rays induced early
transcriptional response of several AD-related genes in hippocampi without late
AD-like pathogenesis and memory impairment in mice. Here, further studies on two
low doses (5 or 10 cGy) of high LET carbonion irradiations are reported. On
expression of 84 AD-related genes in hippocampi, at 4 hr after TBI, 5 cGy induced
a significant upregulation of three genes (Abca1, Casp3, and Chat) and 10 cGy led
to a marked upregulation of one gene (Chat) and a downregulation of three genes
(Apoe, Ctsd, and Il1alpha), and, at 1 year after TBI, one gene (Il1alpha) was
significantly downregulated in 10 cGy-irradiated animals. Changes in spatial
learning ability and memory and induction of AD-like pathogenesis were not
detected by in vivo brain imaging for amyloid-beta peptide accumulation and by
immunohistochemical staining of amyloid precursor protein, amyloid-beta protein,
tau, and phosphorylated tau protein. These findings indicate that low doses of
carbon-ion irradiations did not cause behavioral impairment or AD-like
pathological change in mice.
PMID- 24936620
TI - Author response.
PMID- 24936621
TI - Author response.
PMID- 24936622
TI - America embraces treatment for opioid drug overdose.
PMID- 24936623
TI - Ending sexual violence in conflict and beyond.
PMID- 24936624
TI - Disease outbreaks predicted in flood-ravaged Balkans.
PMID- 24936625
TI - Responding to sexual violence in armed conflict.
PMID- 24936626
TI - Uganda takes "another step backward" with HIV bill.
PMID- 24936627
TI - The challenges of research on violence in post-conflict Bougainville.
PMID- 24936628
TI - Unnatural reactions.
PMID- 24936629
TI - Douglas Leonard Coleman.
PMID- 24936630
TI - Venezuela: violence, human rights, and health-care realities.
PMID- 24936631
TI - [Message from the Historian of the Interamerican Society of Cardiology].
PMID- 24936632
TI - [In memoriam of my friend Fause Attie].
PMID- 24936633
TI - [Fause Attie. On his transcendent performance in studying and managing congenital
cardiopathies in Mexico].
PMID- 24936634
TI - [Extended spectrum beta-lactamase-producing Enterobacteriaceae].
PMID- 24936635
TI - [Prevention of catheter-related sepsis].
PMID- 24936637
TI - 25 largest master's programs in health administration ranked by total full-time
enrollment in 2013-14 academic year.
PMID- 24936636
TI - Improving services for older people.
PMID- 24936638
TI - Be prepared for when surveyors come knocking.
PMID- 24936639
TI - Better data highlight: growing problem.
PMID- 24936640
TI - 'Be prepared to provide high value'.
PMID- 24936641
TI - Largest physician staffing firms: Ranked by number of physician recruiters as of
March 2014.
PMID- 24936643
TI - Paradoxical exploitation of protected fishes as bait for anglers: evaluating the
Lamprey bait market in Europe and developing sustainable and ethical solutions.
AB - A reoccurring conservation problem is the resolution of consumptive use of
threatened wildlife and is especially difficult to defend when it occurs for
recreational practices. We explored the commercial capture and supply of
threatened European river lamprey (Lampetra fluviatilis) to anglers, to determine
the extent of exploitation and seek opportunities for improved conservation. The
trade began in 1995 from England, but by 2012 involved sale of lamprey from
England, The Netherlands and Estonia, including from protected populations.
Lamprey are sold frozen for the capture of predatory fish, mostly in freshwater.
In the year 2011/2012 9 tonnes (>90,000 lampreys) of river lamprey were supplied,
almost exclusively to British anglers. Although annual catches in the main
English lamprey fishery (River Ouse) have varied widely since 1995, catch per
unit effort did not decline between 2000 and 2012. Conservation actions since
2011 have included a cap on fishing licenses, catch quotas and restricted fishing
seasons. Now, 86% of lamprey bait is imported to Britain. Most bait sellers
interviewed would not stock lamprey if they knew they were from threatened
populations; many felt their trade would not be impacted if lamprey were not
stocked. This facilitates opportunities to enter into dialogue with anglers over
alternative baits to threatened lamprey. The study emphasises the need to inform
stakeholders about conservation species subjected to market-driven exploitation.
PMID- 24936644
TI - Prevalence of the TP53 p.R337H mutation in breast cancer patients in Brazil.
AB - Germline TP53 mutations predispose individuals to multiple cancers and are
associated with Li-Fraumeni/Li-Fraumeni-Like Syndromes (LFS/LFL). The founder
mutation TP53 p.R337H is detected in 0.3% of the general population in southern
Brazil. This mutation is associated with an increased risk of childhood adrenal
cortical carcinoma (ACC) but is also common in Brazilian LFS/LFL families. Breast
Cancer (BC) is one of the most common cancers diagnosed in TP53 mutation
carriers. We have assessed the prevalence of p.R337H in two groups: (1) 59 BC
affected women with a familial history (FH) suggestive of hereditary cancer
syndrome but no LFS/LFL features; (2) 815 BC affected women unselected for cancer
FH, diagnosed with BC at or before age 45 or at age 55 or older. Among group 1
and group 2 patients, 2/59 (3.4%, CI95%: 0.4%-11.7%) and 70/815 (8.6%, CI95%:
6.8%-10.7%), respectively, were p.R337H carriers in the germline. The prevalence
of p.R337H was higher in women diagnosed with BC at or before age 45 (12.1%,
CI95%: 9.1%-15.8%) than at age 55 or older (5.1%, CI95%: 3.2%-7.7%), p<0.001).
The Brazilian founder p.R337H haplotype was detected in all carriers analysed.
These results suggest that inheritance of p.R337H may significantly contribute to
the high incidence of BC in Brazil, in addition to its recently demonstrated
impact on the risk of childhood ACC.
PMID- 24936645
TI - Association between DNMT3A mutations and prognosis of adults with de novo acute
myeloid leukemia: a systematic review and meta-analysis.
AB - BACKGROUND: DNA methyltransferase 3A (DNMT3A) mutations were considered to be
independently associated with unfavorable prognosis in adults with de novo acute
myeloid leukemia (AML), however, there are still debates on this topic. Here, we
aim to further investigate the association between DNMT3A mutations and prognosis
of patients with AML. METHODS: Eligible studies were identified from several data
bases including PubMed, Embase, Web of Science, ClinicalTrials and the Cochrane
Library (up to June 2013). The primary endpoint was overall survival (OS), while
relapse-free survival (RFS) and event-free survival (EFS) were chosen as
secondary endpoints. If possible, we would pool estimate effects (hazard ratio
[HR] with 95% confidence interval[CI]) of outcomes in random and fixed effects
models respectively. RESULTS: That twelve cohort studies with 6377 patients
exploring the potential significance of DNMT3A mutations on prognosis were
included. Patients with DNMT3A mutations had slightly shorter OS (HR = 1.60; 95%
CI, 1.31-1.95; P<0.001), as compared to wild-type carriers. Among the patients
younger than 60 years of age, DNMT3A mutations predicted a worse OS (HR = 1.84;
95% CI, 1.36-2.50; P<0.001). In addition, mutant DNMT3A predicted inferior OS (HR
= 2.30; 95% CI, 1.78-2.97; P = 0.862) in patients with unfavorable genotype
abnormalities. Similar results were also found in some other subgroups. However,
no significant prognostic value was found on OS (HR = 1.40; 95% CI, 0.98-1.99; P
= 0.798) in the favorable genotype subgroup. Similar results were found on RFS
and EFS under different conditions. CONCLUSIONS: DNMT3A mutations have slightly
but significantly poor prognostic impact on OS, RFS and EFS of adults with de
novo AML in total population and some specific subgroups.
PMID- 24936646
TI - Inflammatory biomarkers in atherosclerosis: pentraxin 3 can become a novel marker
of plaque vulnerability.
AB - Inflammation is crucially involved in the development of carotid plaques. We
examined the relationship between plaque vulnerability and inflammatory
biomarkers using intraoperative blood and tissue specimens. We examined 58
patients with carotid stenosis. Following carotid plaque magnetic resonance
imaging, 41 patients underwent carotid artery stenting (CAS) and 17 underwent
carotid endarterectomy (CEA). Blood samples were obtained from the femoral artery
(systemic) and common carotid artery immediately before and after CAS (local).
Seventeen resected CEA tissue samples were embedded in paraffin, and
histopathological and immunohistochemical analyses for IL-6, IL-10, E-selectin,
adiponectin, and pentraxin 3 (PTX3) were performed. Serum levels of IL-6, IL
1beta, IL-10, TNFalpha, E-selectin, VCAM-1, adiponectin, hs-CRP, and PTX3 were
measured by multiplex bead array system and ELISA. CAS-treated patients were
classified as stable plaques (n = 21) and vulnerable plaques (n = 20). The
vulnerable group showed upregulation of the proinflammatory cytokines (IL-6 and
TNFalpha), endothelial activation markers (E-selectin and VCAM-1), and
inflammation markers (hs-CRP and PTX3) and downregulation of the anti
inflammatory markers (adiponectin and IL-10). PTX3 levels in both systemic and
intracarotid samples before and after CAS were higher in the vulnerable group
than in the stable group. Immunohistochemical analysis demonstrated that IL-6 was
localized to inflammatory cells in the vulnerable plaques, and PTX3 was observed
in the endothelial and perivascular cells. Our findings reveal that carotid
plaque vulnerability is modulated by the upregulation and downregulation of
proinflammatory and anti-inflammatory factors, respectively. PTX3 may thus be a
potential predictive marker of plaque vulnerability.
PMID- 24936648
TI - Stressed yeast paint a picture of dorian gray.
PMID- 24936651
TI - Nitrogen-doped carbon-wrapped porous single-crystalline CoO nanocubes for high
performance lithium storage.
AB - Herein, we have designed and synthesized a novel type of nitrogen-doped carbon
supported CoO nanohybrids, i.e., nitrogen-doped carbon-wrapped porous single
crystalline CoO nanocubes (CoO@N-C nanocubes), by using Co3O4 nanocubes as
precursors. Owing to its unique structural features, the as-synthesized CoO@N-C
nanocubes demonstrate markedly enhanced anodic performance in terms of reversible
capacity, cycling stability, and rate capability, facilitating its application as
a high-capacity, long-life, and high-rate anode for advanced lithium-ion
batteries.
PMID- 24936649
TI - Novel mutations in BMPR2, ACVRL1 and KCNA5 genes and hemodynamic parameters in
patients with pulmonary arterial hypertension.
AB - BACKGROUND: Pulmonary arterial hypertension (PAH) is a rare and progressive
vascular disorder characterized by increased pulmonary vascular resistance and
right heart failure. The aim of this study was to analyze the Bone Morphogenetic
Protein Receptor 2 (BMPR2), Activin A type II receptor like kinase 1
(ALK1/ACVRL1) and potassium voltage-gated channel, shakerrelated subfamily,
member 5 (KCNA5) genes in patients with idiopathic and associated PAH.
Correlation among pathogenic mutations and clinical and functional parameters was
further analyzed. METHODS AND RESULTS: Forty one patients and fifty controls were
included in this study. Analysis of BMPR2, ACVRL1 and KCNA5 genes was performed
by polymerase chain reaction (PCR) and direct sequencing. Fifty one nucleotide
changes were detected in these genes in 40 of the 41 patients; only 22 of these
changes, which were classified as pathogenic, have been detected in 21 patients
(51.2%). Ten patients (62.5%) with idiopathic PAH and 10 (40%) with associated
PAH showed pathogenic mutations in some of the three genes. Several clinical and
hemodynamics parameters showed significant differences between carriers and non
carriers of mutations, being more severe in carriers: mean pulmonary artery
pressure (p = 0.043), pulmonary vascular resistence (p = 0.043), cardiac index (p
= 0.04) and 6 minute walking test (p = 0.02). This differences remained unchanged
after adjusting for PAH type (idiopathic vs non idiopathic). CONCLUSIONS:
Pathogenic mutations in BMPR2 gene are frequent in patients with idiopathic and
associated PAH group I. Mutations in ACVRL1 and KCNA5 are less frequent. The
presence of these mutations seems to increase the severity of the disease.
PMID- 24936650
TI - Association between tumor necrosis factor-alpha rs1800629 polymorphism and risk
of asthma: a meta-analysis.
AB - OBJECTIVE: The purpose of this study was to explore the association between the
TNF-alpha rs1800629 (also refers as -308G/A) polymorphism and asthma
susceptibility. METHODS: We searched the Pubmed, Embase, Cochrane Central
Register of Controlled Trials (CENTRAL) and Wanfang databases. Odds ratios (ORs)
with 95% confidence intervals (CIs) were used to calculate the strength of
association. RESULTS: A total of 34 studies involving 5477 asthma patients and
5962 controls were included in present study. The results indicated that TNF
alpha rs1800629 polymorphism was significantly associated with asthma risk in a
recessive genetic model (OR = 1.46, 95% CI 1.21-1.76, P<0.0001). Subgroup
analyses found that the TNF-alpha rs1800629 polymorphism was significantly
associated with asthma risk in West Asians and South Asians (OR = 2.47, 95% CI =
1.48-4.12, P = 0.0005; OR = 1.83, 95% CI = 1.42-2.36, P<0.00001), but not East
Asians and Caucasians. Furthermore, significant association also was observed in
allergic asthma (OR = 1.51, 95% CI = 1.24-1.83, P<0.0001), adults and children
(OR = 1.43, 95 CI% = 1.07-1.91, P = 0.02; OR = 1.57, 95% CI = 1.19-2.06, P =
0.001). CONCLUSIONS: This meta-analysis suggested that the rs1800629 polymorphism
in TNF-alpha was a risk factor for asthma.
PMID- 24936652
TI - Physiological and behavioural responses to noxious stimuli in the Atlantic cod
(Gadus morhua).
AB - In the present study, our aim was to compare physiological and behavioural
responses to different noxious stimuli to those of a standardized innocuous
stimulus, to possibly identify aversive responses indicative of injury detection
in a commercially important marine teleost fish, the Atlantic cod. Individual
fish were administered with a noxious stimulus to the lip under short-term
general anaesthesia (MS-222). The noxious treatments included injection of 0.1%
or 2% acetic acid, 0.005% or 0.1% capsaicin, or piercing the lip with a
commercial fishing hook. Counts of opercular beat rate (OBR) at 10, 30, 60, 90
and 120 min and observations of behaviour at 30 and 90 min post-treatment were
compared with pre-treatment values and with control fish injected with
physiological saline, an innocuous stimulus. Circulatory levels of physiological
stress indicators were determined in all fish at 120 minutes post-treatment. All
treatments evoked temporarily increased OBR that returned to pre-treatment levels
at 60 minutes (saline, 0.005% capsaicin, hook), 90 minutes (0.1% acetic acid,
0.1% capsaicin), or 120 minutes (2% acetic acid), but with no significant
differences from the control group at any time point. Fish treated with 0.1% and
2% acetic acid and 0.1% capsaicin displayed increased hovering close to the
bottom of the aquaria and fish given 2% acetic acid and 0.1% capsaicin also
displayed a reduced use of shelter. The only effect seen in hooked fish was brief
episodes of lateral head shaking which were not seen pre-treatment or in the
other groups, possibly reflecting a resiliency to tissue damage in the mouth area
related to the tough nature of the Atlantic cod diet. There were no differences
between groups in circulatory stress indicators two hours after treatment. This
study provides novel data on behavioural indicators that could be used to assess
potentially aversive events in Atlantic cod.
PMID- 24936654
TI - Effects of visual cortex activation on the nociceptive blink reflex in healthy
subjects.
AB - Bright light can cause excessive visual discomfort, referred to as photophobia.
The precise mechanisms linking luminance to the trigeminal nociceptive system
supposed to mediate this discomfort are not known. To address this issue in
healthy human subjects we modulated differentially visual cortex activity by
repetitive transcranial magnetic stimulation (rTMS) or flash light stimulation,
and studied the effect on supraorbital pain thresholds and the nociceptive
specific blink reflex (nBR). Low frequency rTMS that inhibits the underlying
cortex, significantly decreased pain thresholds, increased the 1st nBR block ipsi
and contralaterally and potentiated habituation contralaterally. After high
frequency or sham rTMS over the visual cortex, and rMS over the right greater
occipital nerve we found no significant change. By contrast, excitatory flash
light stimulation increased pain thresholds, decreased the 1st nBR block of ipsi-
and contralaterally and increased habituation contralaterally. Our data
demonstrate in healthy subjects a functional relation between the visual cortex
and the trigeminal nociceptive system, as assessed by the nociceptive blink
reflex. The results argue in favour of a top-down inhibitory pathway from the
visual areas to trigemino-cervical nociceptors. We postulate that in normal
conditions this visuo-trigeminal inhibitory pathway may avoid disturbance of
vision by too frequent blinking and that hypoactivity of the visual cortex for
pathological reasons may promote headache and photophobia.
PMID- 24936655
TI - Seroprevalence of HBV, HCV & HIV co-infection and risk factors analysis in
Tripoli-Libya.
AB - BACKGROUND: In 1998 Libya experienced a major outbreak of multiple blood borne
viral hepatitis and HIV infections. Since then, no studies have been done on the
epidemic features and risk factors of HBV, HCV, HIV and co-infection among the
general population. METHODS: A prospective study was carried out using a multi
centre clustering method to collect samples from the general population. The
participants were interviewed, and relevant information was collected, including
socio-demographic, ethnic, and geographic variables. This information was
correlated with the risk factors involved in the transmission of HBV, HCV and
HIV. Blood samples were collected and the sera were tested for HBsAg, anti-HCV
and anti-HIV using enzyme immunoassay. RESULTS: A total of 9,170 participants
from the nine districts of Tripoli were enrolled. The average prevalence of HBsAg
was 3.7%, anti-HCV 0.9%, anti-HIV 0.15% and co-infection 0.02%. The prevalence
varied from one district to another. HBV was more prevalent among those aged over
50 years and was associated with family history. Anti-HCV and anti-HIV were more
prevalent among those aged 20-40 years. Intravenous drug use and blood
transfusion were the main risk factors for HCV and HIV infection. CONCLUSION:
HBV, HCV, HIV and co-infection are relatively common in Libya. High prevalence
was associated with geographic, ethnic and socioeconomic variability within the
community. HCV and HIV infections among the younger age groups are becoming an
alarming issue. Regulations and health care education need to be implemented and
longer term follow-up should be planned.
PMID- 24936656
TI - Behavioural activation for depression; an update of meta-analysis of
effectiveness and sub group analysis.
AB - BACKGROUND: Depression is a common, disabling condition for which psychological
treatments are recommended. Behavioural activation has attracted increased
interest in recent years. It has been over 5 years since our meta-analyses
summarised the evidence supporting and this systematic review updates those
findings and examines moderators of treatment effect. METHOD: Randomised trials
of behavioural activation for depression versus controls or anti-depressant
medication were identified using electronic database searches, previous reviews
and reference lists. Data on symptom level and study level moderators were
extracted and analysed using meta-analysis, sub-group analysis and meta
regression respectively. RESULTS: Twenty six randomised controlled trials
including 1524 subjects were included in this meta-analysis. A random effects
meta-analysis of symptom level post treatment showed behavioural activation to be
superior to controls (SMD -0.74 CI -0.91 to -0.56, k = 25, N = 1088) and
medication (SMD -0.42 CI -0.83 to-0.00, k = 4, N = 283). Study quality was low in
the majority of studies and follow- up time periods short. There was no
indication of publication bias and subgroup analysis showed limited association
between moderators and effect size. CONCLUSIONS: The results in this meta
analysis support and strengthen the evidence base indicating Behavioural
Activation is an effective treatment for depression. Further high quality
research with longer term follow-up is needed to strengthen the evidence base.
PMID- 24936657
TI - Decreased expression of C10orf10 and its prognostic significance in human breast
cancer.
AB - Breast cancer is a common malignant tumor, which severely threatens the health of
women with an increasing incidence in many countries. Here, we identified
C10orf10 as a novel differentially expression gene using expression microarray
screening. The expression analysis indicated that C10orf10 was frequently
decreased in human breast cancers compared to noncancerous breast tissues (81/95,
P = 0.0063). Kaplan-Meier analysis indicated that patients with low C10orf10
expression showed a poorer prognosis both in mRNA (n = 1115, P = 0.0013) and
protein (n = 100, P = 0.003) levels. Univariate and multivariate analysis showed
that the C10orf10 expression was an independent prognostic factor for overall
survival of breast cancer patients. Further analysis revealed that low expression
of C10orf10 was an unfavorable factor for the prognosis of the patients who were
luminal A, luminal B, Her2+ subtypes, at histological grade 2, lymph node
negative and ER positive. Our data provided the first evidence that C10orf10
expression was frequently decreased in breast cancer tissues, and low expression
of C10orf10 may be an important prognostic factor for poorer survival time of
breast cancer patients.
PMID- 24936659
TI - Proteomic identification of pterostilbene-mediated anticancer activities in HepG2
cells.
AB - In the present study, we attempt to shed light on the underlying molecular
mechanism of the anticancer activity of pterostilbene (PTS) in HepG2 cells
through the proteomic approach. PTS was found to induce apoptosis by altering the
expression of apoptotic genes and the G2/M phase of cell cycle arrest. Further,
the 2-DE map showed the expression of 72 differentially regulated proteins in PTS
treated HepG2 cells, of which 8 spots with >2 fold up- or down-regulated level
were identified by MALDI-TOF analysis, which has a regulatory role in apoptosis.
These findings for the first time offer valuable insights into the mechanism of
apoptotis by PTS in HepG2 cells.
PMID- 24936660
TI - Prevalence, phenomenology and comorbidity of body dysmorphic disorder
(dysmorphophobia) in a clinical population.
AB - Dysmorphophobia (body dysmorphic disorder), defined as a preoccupation with an
imaginary or minimal defect in appearance, has been known for more than a century
but has received relatively little empirical study. The authors investigated the
demographics, phenomenology, course, associated psychopathology and family
history in a series of 58 patients (34 men and 24 women) with the disorder. The
patients were assessed with the Hopkins Symptom Checklist and two specially
constructed instruments developed by the authors. The mean age at onset of body
dysmorphic disorder was 17 (sd = 3.8) years and the average duration was 9 (sd =
5.3) years. The most common preoccupations were defects of the face, nose,
genitals and legs. Seventy-nine percent of the patients reported excessive mirror
checking and 53% reported attempts to camouflage their 'deformities'. As a result
of their symptoms, 89.6% avoided the usual social activities, 51.7% showed an
impairment of their academic or job performance, 45% experienced suicidal
ideation and 36% showed aggressive behaviour. Seventy-two percent of the patients
had an associated lifetime diagnosis of a major mood disorder and 74% of an
anxiety disorder. Body dysmorphic disorder has a generally chronic course, causes
considerable distress and a serious impairment in many areas, and shows strong
links with mood and obsessive-compulsive disorders.
PMID- 24936661
TI - Depression in North India comparison of symptoms and life events with ocher
patient groups.
AB - Cross-cultural studies of depression have raised interesting issues in terms of
symptoms and diagnosis in patients seeking treatment. To understand the symptom
patterns of depression in a clinic population in north India, we studied 75
consecutive patients diagnosed as suffering from depression. They were asked to
describe their symptoms, life events in the year before the onset of depression,
and family history. Sleep disturbances, low mood and irritability were common
symptoms. Suicidal intent was reported by 54% of the sample, and guilt by 56%.
Study of family history and life events suggests that in those with a negative
family history, the onset of depression was more likely to be related to a
significant life event the commonest being family conjunct. The symptoms of this
patient group are compared with the symptoms of depression reported from clinical
samples in south India, Bombay and the West. North Indian samples have low rates
of delusions, whereas Bombay samples reveal low levels of hypochondriasis,
agitation, guilt and suicidal thoughts. The roles of cultural factors, family
responsibilities and expectations are discussed in relation to depression across
cultures. These findings also have implications for the clinical management of
patients from the North Indian diaspora presenting with depression.
PMID- 24936658
TI - The human orphan nuclear receptor tailless (TLX, NR2E1) is druggable.
AB - Nuclear receptors (NRs) are an important group of ligand-dependent
transcriptional factors. Presently, no natural or synthetic ligand has been
identified for a large group of orphan NRs. Small molecules to target these
orphan NRs will provide unique resources for uncovering regulatory systems that
impact human health and to modulate these pathways with drugs. The orphan NR
tailless (TLX, NR2E1), a transcriptional repressor, is a major player in
neurogenesis and Neural Stem Cell (NSC) derived brain tumors. No chemical probes
that modulate TLX activity are available, and it is not clear whether TLX is
druggable. To assess TLX ligand binding capacity, we created homology models of
the TLX ligand binding domain (LBD). Results suggest that TLX belongs to an
emerging class of NRs that lack LBD helices alpha1 and alpha2 and that it has
potential to form a large open ligand binding pocket (LBP). Using a medium
throughput screening strategy, we investigated direct binding of 20,000 compounds
to purified human TLX protein and verified interactions with a secondary
(orthogonal) assay. We then assessed effects of verified binders on TLX activity
using luciferase assays. As a result, we report identification of three compounds
(ccrp1, ccrp2 and ccrp3) that bind to recombinant TLX protein with affinities in
the high nanomolar to low micromolar range and enhance TLX transcriptional
repressive activity. We conclude that TLX is druggable and propose that our lead
compounds could serve as scaffolds to derive more potent ligands. While our
ligands potentiate TLX repressive activity, the question of whether it is
possible to develop ligands to de-repress TLX activity remains open.
PMID- 24936662
TI - A comparison of patient-rated burden and incidence of side-effects: lithium
versus valproate.
AB - The purpose of the study was to determine if patients receiving valproate
perceived a lesser burden than patients receiving lithium, and to determine the
incidence of side-effects. Psychiatric inpatients with an affective component to
their illness, receiving either lithium or valproate, were asked to complete
several questionnaires, one of which was a newly developed visual-analogue scale
to assess patient-rated level of burden. The scores were analyzed by Student's t
test, and were also used to determine if any correlations existed. Twenty-nine
patients were analyzed, and the patient-rated level of burden was found to be
1.4+/- 1.3 for lithium and 1.2+/- 1.6 for valproate; (P=0.1, not significant).
The mean numbers of side-effects reported were 12.3 +/- 6.7 for lithium and 18.7
+/- 13.4 for valproate; (P=0.1). No correlation relationships were detected. We
concluded that there was no difference in level of burden, and that burden scores
could not be correlated with any variables. Similar studies should be conducted
to assess patient preferences, with the hopes of increasing compliance and
minimizing rehospitalizations.
PMID- 24936663
TI - Does case management influence the rate of violence and self-destructive
behaviours in the severely mentally ill?
AB - Case management is widely practised in the United States and Germany and has been
adopted as the optimal model of community working in the United Kingdom.
Randomized controlled trials suggest that case management is probably more
effective than standard care at reducing rehospitalization and improving
socialization and patients' satisfaction with services. Effects on
symptomatology, quality of life and costs remain unclear. Surprisingly little
research has focused on the effect of case management on reduction of violence
and self-destructive behaviours. We examine the published studies in this area
and suggest areas for further research.
PMID- 24936664
TI - Clinical applicability of therapeutic sleep deprivation.
AB - The short-term antidepressive effect of single sleep deprivation (SD) treatment
has been unanimously confirmed in a large number of studies. SD is most effective
in patients with a major depressive episode (with melancholic features) but is
also promising in other depressed patients suffering from vital symptoms and
exhibiting a typical diurnal variation in mood (with morning low) irrespective of
the type of depression. The SD modification of choice is partial SD in the second
half of the night. SD is an easily applied treatment measure that is free from
serious side effects. The tolerability can be improved further by performing SD
in groups. SD is to be administered repeatedly rather than once and combined with
pharmacotherapy. The mechanism of action underlying therapeutic SD has not yet
been discovered. However, for its favorable influence on the overall
antidepressive treatment outcome, SD should not only be applied in therapy
resistant depression but is to be looked upon as part of the standard
antidepressive somatotherapy.
PMID- 24936665
TI - Assessment and treatment of insomnia (including a case control study of patients
with Primary Insomnia).
AB - Insomnia is a subjective term describing the perception of disturbed or
inadequate sleep. Causes include medical diseases, psychiatric disorders, drugs,
behavioural factors, circadian dysrhythmias and primary sleep disorders. Insomnia
is common, affecting approximately one-third of the total population, and of
these about 10% consider it a chronic problem. Insomnia is more common in females
and increases with age. Many people with insomnia resort to ineffective or
dangerous self-treatment regimens and the combination of alcohol with non
prescription drugs is common. We have carried out a study on 20 patients with
Primary Insomnia and were able to demonstrate significant differences in both
descriptive and objective EEG data between those with Primary Insomnia and
controls. Careful evaluation of the sleep problem and accurate diagnosis are
essential in order to choose the right treatment for an individual patient. When
a specific problem is identified (psychiatric, physical, behavioural), then the
underlying cause needs to be treated. Insomnia can be treated by either non
pharmacological or pharmacological intervention, and often both are used
simultaneously. It is recommended that hypnotic treatments should be used for no
more than one month.
PMID- 24936666
TI - Neurosurgery for mental disorders (NMD) A clinical worldwide perspective: past,
present and future.
AB - The use of neurosurgery for mental disorders (NMD) is a clinical issue that
involves many ethical considerations. It is a treatment that offers hope for many
patients with severe and debilitating affective and anxiety disorders and should
be widely available. Its current use worldwide (UK, Sweden, US, Australasia,
Europe) is reviewed and the types of procedures currently used are explained
(stereotactic subcaudate tractotomy, anterior capsulotomy, cingulotomy, limbic
leucotomy). In addition, clinical strategies for the evaluation and management of
patients both prior to and following NMD are discussed.
PMID- 24936667
TI - Recognition of depression and prevention of suicide: the role of general
practitioners and general physicians.
AB - Suicide is a major health problem throughout the world. After briefly describing
the risk factors for suicide, the author focuses on depression, which is the
major cause of suicide. Depression is a common illness, treatable not only in
psychiatric settings, but also in primary care and general medicine.
Consequently, physicians other than psychiatrists (primarily general
practitioners) have an important role in suicide prevention.
PMID- 24936668
TI - A review of Huntington's disease.
AB - Huntington's disease is an autosomal dominant neuropsychiatric disorder which
usually afflicts people with dependents. Psychiatric disorders figure prominently
in the differential diagnosis, and may complicate the clinical picture, sometimes
even antedating the onset of neurological signs. Diagnosis is still usually
clinical, for which some knowledge of the disease is required. Positron emission
tomography, where available, can assist in early detection. Recent scientific
breakthroughs have considerably improved the accuracy of predictive genetics, and
the psychological outcome of such testing may be better than previously, but
considerable ethical dilemmas have yet to be fully addressed. Genetic treatment
is being considered. Drug treatments offer crude symptomatic improvement.
Counselling and support services are essential, as are voluntary self-help
organisations. Specific day and inpatient facilities are sparse.
PMID- 24936671
TI - Addendum.
PMID- 24936669
TI - A patient who changed my practice: Benzodiazepines in schizophrenia?
AB - The case of a 29-year-old man with catatonic symptoms is presented. After two
attempts at treatment were unsuccessful, the symptoms faded with the use of the
benxodiazepine lorazepam at an unusually high dose.
PMID- 24936672
TI - International calender.
PMID- 24936673
TI - Vitamins C and E for asthma and exercise-induced bronchoconstriction.
AB - BACKGROUND: The association between dietary antioxidants and asthma or exercise
induced bronchoconstriction (EIB) is not fully understood. Vitamin C and vitamin
E are natural antioxidants that are predominantly present in fruits and
vegetables; inadequate vitamin E intake is associated with airway inflammation.
It has been postulated that the combination may be more beneficial than either
single antioxidant for people with asthma and exercise-induced
bronchoconstriction. OBJECTIVES: To assess the effects of supplementation of
vitamins C and E versus placebo (or no vitamin C and E supplementation) on
exacerbations and health-related quality of life (HRQL) in adults and children
with chronic asthma. To also examine the potential effects of vitamins C and E on
exercise-induced bronchoconstriction in people with asthma and in people without
a diagnosis of asthma who experience symptoms only on exercise. SEARCH METHODS:
Trials were identified from the Cochrane Airways Review Group Specialised
Register and from trial registry websites. Searches were conducted in September
2013. SELECTION CRITERIA: We included randomised controlled trials of adults and
children with a diagnosis of asthma. We separately considered trials in which
participants had received a diagnosis of exercise-induced bronchoconstriction (or
exercise-induced asthma). Trials comparing vitamin C and E supplementation versus
placebo were included. We included trials in which asthma management for
treatment and control groups included similar background therapy. Short-term use
of vitamins C and E at the time of exacerbation or for cold symptoms in people
with asthma is outside the scope of this review. DATA COLLECTION AND ANALYSIS:
Two review authors independently screened the titles and abstracts of potential
studies and subsequently screened full-text study reports for inclusion. We used
standard methods as expected by The Cochrane Collaboration. MAIN RESULTS: It was
not possible to aggregate the five included studies (214 participants). Four
studies (206 participants) addressed the question of whether differences in
outcomes were seen when vitamin C and E supplementation versus placebo was
provided for participants with asthma, and only one of those studies (160
children) included a paediatric population; the remaining three studies included
a combined total of just 46 adults. An additional study considered the question
of whether differences in outcomes were noted when vitamin C and E
supplementation was compared with placebo for exercise-induced asthma; this trial
included only eight participants. The randomisation process of the trials were
unclear leading us to downgrade the quality of the evidence. Four of the studies
were double blind while the other study was single blind.None of these studies
provided data on our two prespecified primary outcome measures: exacerbations and
HRQL. Lung function data obtained from the studies were inconclusive. The only
studies that provided any suggestion of an effect, and only with some outcomes,
were the paediatric study, especially for children with moderate to severe
asthma, and the small study on exercise-induced asthma. Even so, this evidence
was judged to be at moderate/low quality. Only one study contributed data on
asthma symptoms and adverse events, reporting no evidence of an effect of the
intervention for symptoms and that one participant in the treatment group dropped
out due to cystitis. AUTHORS' CONCLUSIONS: It is not possible to draw firm
conclusions from this review with respect to the comparison of vitamin C and E
supplementation versus placebo in the management of asthma or exercise-induced
bronchoconstriction. We found only one study relevant to exercise-induced
bronchoconstriction; most included participants came from studies designed to
assess the effect of vitamin supplementation on the impact of atmospheric
pollutants (such as ozone). Evidence is lacking on the comparison of vitamin C
and E supplementation versus placebo for asthma with respect to outcomes such as
HRQL and exacerbations, which were not addressed by any of the included
studies.When compared with lung function tests alone, HRQL scores and
exacerbation frequency are better indicators of the severity of asthma, its
impact on daily activities and its response to treatment in a patient population.
These end points are well recognised in good quality studies of asthma
management. However, clinical studies of vitamins C and E in the management of
asthma using these important end points of exacerbations and effects on quality
of life are not available, and evidence is insufficient to support robust
conclusions on the role of vitamin C and E supplementation in asthma and exercise
induced breathlessness.
PMID- 24936674
TI - Acyloxylation of cyclic enones: synthesis of densely oxygenated guaianolides.
AB - The alpha'-acyloxylation of cyclic enones with linear carboxylic acids is
described. The reaction is promoted by KMnO4 in the presence of a carboxylic acid
and its corresponding carboxylic anhydride. The optimization of the reaction has
been carried out using the statistical methodology known as design of
experiments. The optimized reaction conditions have been evaluated in terms of
substrate scope and compatibility with different functional groups. The
methodology has been applied to the synthesis of densely oxygenated guaianes and
guaianolides.
PMID- 24936675
TI - Predicting future longevity: at long last, extrapolation is on the way out.
PMID- 24936676
TI - Immunohistochemical expression of MCM2 in nonmelanoma epithelial skin cancers.
AB - Cutaneous basal cell carcinoma (BCC) and squamous cell carcinoma (SCC) represent
45.5% and 37.02%, respectively, of total malignant skin cancer according to the
latest registry of Egyptian National Cancer Institute. Minichromosome maintenance
(MCM) proteins are essential replication initiation factors. The current study
examined the immunohistochemical expression of MCM2 in normal skin (10 cases),
some proliferative skin lesions (6 psoriasis, 2 keratoacanthoma, and 2 seborrheic
keratosis), and nonmelanoma epithelial skin cancers (20 BCC and 21 SCC). MCM2 was
expressed in basal layer of normal epidermis and upregulated in proliferative
skin lesions and nonmelanoma epithelial skin cancers without significant
differences between the latter groups (P > 0.05). Mean and median values of MCM2
percentage of expression in BCC were higher than that of SCC (P = 0.004). MCM2
promotes proliferative capacity of the cells manifested by its expression in
basal layer of epidermis, hyperproliferative skin lesions, and malignant
cutaneous tumors. Proliferative capacity of BCC may be higher than SCC and this
does not necessarily reflect aggressive behavior.
PMID- 24936677
TI - Eye movements disrupt episodic future thinking.
AB - Remembering the past and imagining the future both rely on complex mental
imagery. We considered the possibility that constructing a future scene might tap
a component of mental imagery that is not as critical for remembering past
scenes. Whereas visual imagery plays an important role in remembering the past,
we predicted that spatial imagery plays a crucial role in imagining the future.
For the purpose of teasing apart the different components underpinning scene
construction in the two experiences of recalling episodic memories and shaping
novel future events, we used a paradigm that might selectively affect one of
these components (i.e., the spatial). Participants performed concurrent eye
movements while remembering the past and imagining the future. These concurrent
eye movements selectively interfere with spatial imagery, while sparing visual
imagery. Eye movements prevented participants from imagining complex and detailed
future scenes, but had no comparable effect on the recollection of past scenes.
Similarities between remembering the past and imagining the future are coupled
with some differences. The present findings uncover another fundamental
divergence between the two processes.
PMID- 24936678
TI - Radioiodinated benzyloxybenzene derivatives: a class of flexible ligands target
to beta-amyloid plaques in Alzheimer's brains.
AB - Benzyloxybenzene, as a novel flexible scaffold without rigid planarity, was
synthesized and evaluated as ligand toward Abeta plaques. The binding site
calculated for these flexible ligands was the hydrophobic Val18_Phe20 channel on
the flat surface of Abeta fiber. Structure-activity relationship analysis
generated a common trend that binding affinities declined significantly from para
substituted ligands to ortho-substituted ones, which was also quantitatively
illustrated by 3D-QSAR modeling. Autoradiography in vitro further confirmed the
high affinities of radioiodinated ligands [125I]4, [125I]24, and [125I]22
(Ki=24.3, 49.4, and 17.6 nM, respectively). In biodistribution, [125I]4 exhibited
high initial uptake and rapid washout property in the brain with brain2
min/brain60 min ratio of 16.3. The excellent in vitro and in vivo biostability of
[125I]4 enhanced its potential for clinical application in SPECT imaging of Abeta
plaques. This approach could also allow the design of a new generation of Abeta
targeting ligands without rigid and planar framework.
PMID- 24936681
TI - Beyond fractional anisotropy: extraction of bundle-specific structural metrics
from crossing fiber models.
AB - Diffusion MRI (dMRI) measurements are used for inferring the microstructural
properties of white matter and to reconstruct fiber pathways. Very often voxels
contain complex fiber configurations comprising multiple bundles, rendering the
simple diffusion tensor model unsuitable. Multi-compartment models deliver a
convenient parameterization of the underlying complex fiber architecture, but
pose challenges for fitting and model selection. Spherical deconvolution, in
contrast, very economically produces a fiber orientation density function (fODF)
without any explicit model assumptions. Since, however, the fODF is represented
by spherical harmonics, a direct interpretation of the model parameters is
impossible. Based on the fact that the fODF can often be interpreted as
superposition of multiple peaks, each associated to one relatively coherent fiber
population (bundle), we offer a solution that seeks to combine the advantages of
both approaches: first the fiber configuration is modeled as fODF represented by
spherical harmonics and then each of the peaks is parameterized separately in
order to characterize the underlying bundle. In this work, the fODF peaks are
approximated by Bingham distributions, capturing first and second-order
statistics of the fiber orientations, from which we derive metrics for the
parametric quantification of fiber bundles. We propose meaningful relationships
between these measures and the underlying microstructural properties. We focus on
metrics derived directly from properties of the Bingham distribution, such as
peak length, peak direction, peak spread, integral over the peak, as well as a
metric derived from the comparison of the largest peaks, which probes the
complexity of the underlying microstructure. We compare these metrics to the
conventionally used fractional anisotropy (FA) and show how they may help to
increase the specificity of the characterization of microstructural properties.
While metrics relying on the first moments of the Bingham distributions provide
relatively robust results, second-order metrics representing the peak spread are
only meaningful, if the SNR is very high and no fiber crossings are present in
the voxel.
PMID- 24936679
TI - Total and free thyroxine and triiodothyronine: measurement discrepancies,
particularly in inpatients.
AB - OBJECTIVE: We compared the performance of tandem mass spectrometry versus
immunoassay for measuring thyroid hormones in a diverse group of inpatients and
outpatients. METHODS: Thyroxine (T4), triiodothyronine (T3), free thyroxine
(FT4), and free triiodothyronine (FT3) were measured by liquid chromatography
tandem mass spectrometry and immunoassay in 100 patients and the two assays were
compared. RESULTS: T4 and T3 values measured by the two different assays
correlated well with each other (r=0.91-0.95). However, the correlation was less
good at the extremes (r=0.51-0.75). FT4 and FT3 concentrations measured by the
two assays correlated less well with each other (r=0.75 and 0.50 respectively).
The studied analytes had poor inverse correlation with the log-transformed TSH
values (r=-0.22-0.51) in the population as a whole. The strongest correlations
were seen in the groups of outpatients (r=-0.25-0.61). The weakest degree of
correlation was noted in the inpatient group, with many correlations actually
being positive. CONCLUSION: The worst between-assay correlation was demonstrated
at low and high hormone concentrations, in the very concentration ranges where
accurate assay performance is typically most clinically important. Based on the
lesser susceptibility of mass spectrometry to interferences from conditions such
as binding protein abnormalities, we speculate that mass spectrometry better
reflects the clinical situation. In this mixed population of inpatients and
outpatients, we also note failure of assays to conform to the anticipated inverse
linear relationship between thyroid hormones and log-transformed TSH.
PMID- 24936680
TI - Sleep sharpens sensory stimulus coding in human visual cortex after fear
conditioning.
AB - Efficient perceptual identification of emotionally-relevant stimuli requires
optimized neural coding. Because sleep contributes to neural plasticity
mechanisms, we asked whether the perceptual representation of emotionally
relevant stimuli within sensory cortices is modified after a period of sleep. We
show combined effects of sleep and aversive conditioning on subsequent
discrimination of face identity information, with parallel plasticity in the
amygdala and visual cortex. After one night of sleep (but neither immediately nor
after an equal waking interval), a fear-conditioned face was better detected when
morphed with another identity. This behavioral change was accompanied by
increased selectivity of the amygdala and face-responsive fusiform regions.
Overnight neural changes can thus sharpen the representation of threat-related
stimuli in cortical sensory areas, in order to improve detection in impoverished
or ambiguous situations. These findings reveal an important role of sleep in
shaping cortical selectivity to emotionally-relevant cues and thus promoting
adaptive responses to new dangers.
PMID- 24936682
TI - Interoperable atlases of the human brain.
AB - The last two decades have seen an unprecedented development of human brain
mapping approaches at various spatial and temporal scales. Together, these have
provided a large fundus of information on many different aspects of the human
brain including micro- and macrostructural segregation, regional specialization
of function, connectivity, and temporal dynamics. Atlases are central in order to
integrate such diverse information in a topographically meaningful way. It is
noteworthy, that the brain mapping field has been developed along several major
lines such as structure vs. function, postmortem vs. in vivo, individual features
of the brain vs. population-based aspects, or slow vs. fast dynamics. In order to
understand human brain organization, however, it seems inevitable that these
different lines are integrated and combined into a multimodal human brain model.
To this aim, we held a workshop to determine the constraints of a multi-modal
human brain model that are needed to enable (i) an integration of different
spatial and temporal scales and data modalities into a common reference system,
and (ii) efficient data exchange and analysis. As detailed in this report, to
arrive at fully interoperable atlases of the human brain will still require much
work at the frontiers of data acquisition, analysis, and representation. Among
them, the latter may provide the most challenging task, in particular when it
comes to representing features of vastly different scales of space, time and
abstraction. The potential benefits of such endeavor, however, clearly outweigh
the problems, as only such kind of multi-modal human brain atlas may provide a
starting point from which the complex relationships between structure, function,
and connectivity may be explored.
PMID- 24936683
TI - Dynamic functional imaging of brain glucose utilization using fPET-FDG.
AB - Glucose is the principal source of energy for the brain and yet the dynamic
response of glucose utilization to changes in brain activity is still not fully
understood. Positron emission tomography (PET) allows quantitative measurement of
glucose metabolism using 2-[(18)F]-fluorodeoxyglucose (FDG). However, FDG PET in
its current form provides an integral (or average) of glucose consumption over
tens of minutes and lacks the temporal information to capture physiological
alterations associated with changes in brain activity induced by tasks or drug
challenges. Traditionally, changes in glucose utilization are inferred by
comparing two separate scans, which significantly limits the utility of the
method. We report a novel method to track changes in FDG metabolism dynamically,
with higher temporal resolution than exists to date and within a single session.
Using a constant infusion of FDG, we demonstrate that our technique (termed fPET
FDG) can be used in an analysis pipeline similar to fMRI to define within-session
differential metabolic responses. We use visual stimulation to demonstrate the
feasibility of this method. This new method has a great potential to be used in
research protocols and clinical settings since fPET-FDG imaging can be performed
with most PET scanners and data acquisition and analysis are straightforward.
fPET-FDG is a highly complementary technique to MRI and provides a rich new way
to observe functional changes in brain metabolism.
PMID- 24936684
TI - COMT Val158Met genotype is associated with fluctuations in working memory
performance: converging evidence from behavioural and single-trial P3b measures.
AB - Intra-subject variability in reaction times (ISV) is a promising endophenotype
for several psychiatric conditions, but its neural underpinnings are not yet
established. Converging evidence from neuroimaging, molecular genetics, and
psychopharmacology suggests that ISV could index catecholaminergically-mediated
neural noise. The fine-grained temporal resolution of electroencephalography is
ideal for investigating ISV, but only if potential neural correlates of ISV can
be assessed in single trials. Based on evidence that ISV is associated with
dopaminergic functioning, we apply a recently developed method of single-trial
P3b analysis to investigate the association of COMT Val(158)Met genotype with
measures of ISV on the behavioural and neural levels at different working memory
loads. Greater number of Met alleles was associated with poorer and more intra
individually variable performance on the tasks, and greater latency jitter in
single-trial P3bs. These converging results at the behavioural and
neurophysiological levels confirm previous observations that prefrontal dopamine
availability is associated with stability and accuracy of cognitive performance.
Together with previous studies, these data imply pleiotropic cognitive effects of
COMT genotype.
PMID- 24936686
TI - Intracerebral electrical stimulation of a face-selective area in the right
inferior occipital cortex impairs individual face discrimination.
AB - During intracerebral stimulation of the right inferior occipital cortex, a
patient with refractory epilepsy was transiently impaired at discriminating two
simultaneously presented photographs of unfamiliar faces. The critical electrode
contact was located in the most posterior face-selective brain area of the human
brain (right "occipital face area", rOFA) as shown both by low- (ERP) and high
frequency (gamma) electrophysiological responses as well as a face localizer in
fMRI. At this electrode contact, periodic visual presentation of 6 different
faces by second evoked a larger electrophysiological periodic response at 6 Hz
than when the same face identity was repeated at the same rate. This
intracerebral EEG repetition suppression effect was markedly reduced when face
stimuli were presented upside-down, a manipulation that impairs individual face
discrimination. These findings provide original evidence for a causal
relationship between the face-selective right inferior occipital cortex and
individual face discrimination, independently of long-term memory
representations. More generally, they support the functional value of
electrophysiological repetition suppression effects, indicating that these
effects can be used as an index of a necessary neural representation of the
changing stimulus property.
PMID- 24936685
TI - Axonal transport rate decreased at the onset of optic neuritis in EAE mice.
AB - Optic neuritis is frequently the first symptom of multiple sclerosis (MS), an
inflammatory demyelinating neurodegenerative disease. Impaired axonal transport
has been considered as an early event of neurodegenerative diseases. However, few
studies have assessed the integrity of axonal transport in MS or its animal
models. We hypothesize that axonal transport impairment occurs at the onset of
optic neuritis in experimental autoimmune encephalomyelitis (EAE) mice. In this
study, we employed manganese-enhanced MRI (MEMRI) to assess axonal transport in
optic nerves in EAE mice at the onset of optic neuritis. Axonal transport was
assessed as (a) optic nerve Mn(2+) accumulation rate (in % signal change/h) by
measuring the rate of increased total optic nerve signal enhancement, and (b)
Mn(2+) transport rate (in mm/h) by measuring the rate of change in optic nerve
length enhanced by Mn(2+). Compared to sham-treated healthy mice, Mn(2+)
accumulation rate was significantly decreased by 19% and 38% for EAE mice with
moderate and severe optic neuritis, respectively. The axonal transport rate of
Mn(2+) was significantly decreased by 43% and 65% for EAE mice with moderate and
severe optic neuritis, respectively. The degree of axonal transport deficit
correlated with the extent of impaired visual function and diminished microtubule
associated tubulins, as well as the severity of inflammation, demyelination, and
axonal injury at the onset of optic neuritis.
PMID- 24936687
TI - Synchronous brain activity across individuals underlies shared psychological
perspectives.
AB - For successful communication, we need to understand the external world
consistently with others. This task requires sufficiently similar cognitive
schemas or psychological perspectives that act as filters to guide the selection,
interpretation and storage of sensory information, perceptual objects and events.
Here we show that when individuals adopt a similar psychological perspective
during natural viewing, their brain activity becomes synchronized in specific
brain regions. We measured brain activity with functional magnetic resonance
imaging (fMRI) from 33 healthy participants who viewed a 10-min movie twice,
assuming once a 'social' (detective) and once a 'non-social' (interior decorator)
perspective to the movie events. Pearson's correlation coefficient was used to
derive multisubject voxelwise similarity measures (inter-subject correlations;
ISCs) of functional MRI data. We used k-nearest-neighbor and support vector
machine classifiers as well as a Mantel test on the ISC matrices to reveal brain
areas wherein ISC predicted the participants' current perspective. ISC was
stronger in several brain regions--most robustly in the parahippocampal gyrus,
posterior parietal cortex and lateral occipital cortex--when the participants
viewed the movie with similar rather than different perspectives. Synchronization
was not explained by differences in visual sampling of the movies, as estimated
by eye gaze. We propose that synchronous brain activity across individuals
adopting similar psychological perspectives could be an important neural
mechanism supporting shared understanding of the environment.
PMID- 24936688
TI - Ventral medial prefrontal cortex and person evaluation: forming impressions of
others varying in financial and moral status.
AB - The current study investigated ventromedial prefrontal cortex (VMPFC) activity
during impression formation of individuals varying on distinct dimensions of
social status. In a block-design functional magnetic resonance imaging (fMRI)
experiment, participants were presented with photographs of faces paired with a
colored background indicating their lower, same, or higher financial status, or
lower, same, or higher moral status. Participants were asked to form an
impression of the targets, but were not instructed to explicitly evaluate them
based on social status. Building on previous findings (Cloutier, Ambady, Meagher,
& Gabrieli, 2012), a region of interest analysis revealed the interaction of
status dimension and level in VMPFC, finding not only preferential response to
targets with higher compared to lower moral status as previously demonstrated,
but also greater response to targets with lower compared to higher financial
status. The implications of these results are discussed with an emphasis towards
better understanding the impact of social status on social cognition and
uncovering the neural substrates of person evaluation.
PMID- 24936689
TI - [Incidental maxillary sinus finding in a 16-year-old patient].
PMID- 24936690
TI - [Complications in children and adults with cochlear implant].
AB - BACKGROUND: Complications in Children and Adults with Cochlear Implant
Background: Cochlear Implants (CI) are developed in the last 20 years to a
regular therapy in congenital deaf children/newborns and deafened teens and
adults. The success of speech understanding with the CI in adults is so
extraordinary that meanwhile also unilateral deaf, contralateral normal hearing
persons are implanted. In case of early implanted children a nearly normal speech
development is possible in most of the cases. MATERIAL UND METHODS: Nevertheless
the surgical procedures is a challenge concerning the potential complications
combined with a foreign body, the CI, in a non-steril part of the ear. RESULTS:
This paper reports on the complications in a patients collective, implanted
within 21 years. Separated within the severity of the complications and their
therapeutic consequences (conservative and surgical) it could be shown that the
incidence of severe perioperative complications within the second decade could be
reduced from 3.92% (first decade) to 1.8%. Also the companies have learned to
construct the products more save. CONCLUSION: In summary the reliable and
complete postoperative control and the modification of therapy concepts leads to
a constant reduction of complications.
PMID- 24936691
TI - Evaluation of rigid bronchoscopy-guided percutaneous dilational tracheostomy. A
pilot study.
AB - RATIONALE: Rigid bronchoscopy-guided (RBG) percutaneous tracheostomy has been
used in patients with morbid obesity, prior neck surgery, distorted airway
anatomy, and uncorrected coagulopathy where standard percutaneous dilational
tracheostomy (PDT) is relatively contraindicated. OBJECTIVES: This study aims to
describe a standardized approach to incorporate RBG-PDT in clinical practice.
METHODS AND MEASUREMENTS: Retrospective case series of patients who underwent RBG
PDT from 2008 to 2012 at Beth Israel Deaconess Medical Center. Patient medical
records were reviewed for demographics, comorbid conditions, American Society of
Anesthesiologists classification, indication for tracheostomy, duration of
procedure, and periprocedural complications. MAIN RESULTS: A total of 35 patients
underwent RBG-PDT, including 24 men, with a mean age of 66 years (+/-11 yr;
range, 42-88 yr). The mean body mass index was 34 kg/m(2). The mean procedure
time was 32 (+/-10) minutes, with a median of 33 minutes. The most common
indication for tracheostomy was failure to wean from mechanical ventilation,
followed by tracheal stenosis and tracheobronchomalacia. The most common
indications for RBG-PDT were complex airway, obesity, and coagulopathy. There
were no periprocedural complications of consequence, or mortality associated with
the procedure. CONCLUSIONS: RBG-PDT is safe and effective in a population of high
risk patients who are otherwise not considered good candidates for standard PDT.
PMID- 24936692
TI - Only time will tell. Data-driven approaches to phenotyping critical illness.
PMID- 24936693
TI - Reducing the risk of rehospitalization in patients with chronic obstructive
pulmonary disease exacerbations. Fewer known unknowns.
PMID- 24936694
TI - Extracorporeal life support. A "breath-taking" technology?
PMID- 24936695
TI - My Journey through idiopathic pulmonary fibrosis.
PMID- 24936696
TI - Hypoxemia in a patient with pulmonary arterial hypertension: getting to the heart
of the matter.
PMID- 24936697
TI - No gravity in this cavity.
PMID- 24936698
TI - Don't waste your breath.
PMID- 24936699
TI - Severe sleep-disordered breathing in an adult with fusion of cervical spine.
PMID- 24936700
TI - Why do some of us still hyper-sedate mechanically ventilated patients?
PMID- 24936701
TI - Reply: why do some of us still hyper-sedate mechanically ventilated patients?
PMID- 24936702
TI - Diffuse alveolar hemorrhage induced by sevoflurane.
PMID- 24936703
TI - Guillain-Barre syndrome related to pulmonary tuberculosis.
PMID- 24936705
TI - Reversible photoswitching of triplet-triplet annihilation upconversion using
dithienylethene photochromic switches.
AB - Reversible photoswitched triplet-triplet annihilation upconversion (TTA UC) was
demonstrated with dithienylethene (DTE) derivatives as the photochromic units,
2,6-diiodoBodipy as the triplet photosensitizer, and perylene as the triplet
acceptor/emitter. The TTA UC is undisturbed by the open-form DTE but can be
switched OFF upon photoirradiation of the mixture of the three components at 254
nm, i.e., by the closed-form DTE. Subsequent visible light irradiation restores
the TTA UC. By studying the competitive triplet-state energy-transfer processes
with nanosecond time-resolved transient difference absorption and fluorescence
spectroscopy, we confirmed that the quenching of the perylene triplet excited
state by closed-form DTE is dominant among the four possible quenching processes.
PMID- 24936704
TI - Seamless metallic coating and surface adhesion of self-assembled bioinspired
nanostructures based on di-(3,4-dihydroxy-L-phenylalanine) peptide motif.
AB - The noncoded aromatic 3,4-dihydroxy-L-phenylalanine (DOPA) amino acid has a
pivotal role in the remarkable adhesive properties displayed by marine mussels.
These properties have inspired the design of adhesive chemical entities through
various synthetic approaches. DOPA-containing bioinspired polymers have a broad
functional appeal beyond adhesion due to the diverse chemical interactions
presented by the catechol moieties. Here, we harnessed the molecular self
assembly abilities of very short peptide motifs to develop analogous DOPA
containing supramolecular polymers. The DOPA-containing DOPA-DOPA and Fmoc-DOPA
DOPA building blocks were designed by substituting the phenylalanines in the well
studied diphenylalanine self-assembling motif and its 9-fluorenylmethoxycarbonyl
(Fmoc)-protected derivative. These peptides self-organized into fibrillar
nanoassemblies, displaying high density of catechol functional groups.
Furthermore, the Fmoc-DOPA-DOPA peptide was found to act as a low molecular
weight hydrogelator, forming self-supporting hydrogel which was rheologically
characterized. We studied these assemblies using electron microscopy and explored
their applicative potential by examining their ability to spontaneously reduce
metal cations into elementary metal. By applying ionic silver to the hydrogel, we
observed efficient reduction into silver nanoparticles and the remarkable
seamless metallic coating of the assemblies. Similar redox abilities were
observed with the DOPA-DOPA assemblies. In an effort to impart adhesiveness to
the obtained assemblies, we incorporated lysine (Lys) into the Fmoc-DOPA-DOPA
building block. The assemblies of Fmoc-DOPA-DOPA-Lys were capable of gluing
together glass surfaces, and their adhesion properties were investigated using
atomic force microscopy. Taken together, a class of DOPA-containing self
assembling peptides was designed. These nanoassemblies display unique properties
and can serve as multifunctional platforms for various biotechnological
applications.
PMID- 24936706
TI - Reduction of the nitro group to amine by hydroiodic acid to synthesize o
aminophenol derivatives as putative degradative markers of neuromelanin.
AB - Neuromelanin (NM) is produced in dopaminergic neurons of the substantia nigra
(SN) and in noradrenergic neurons of the locus coeruleus (LC). The synthesis of
NM in those neurons is a component of brain aging and there is the evidence that
this pigment can be involved in the pathogenesis of neurodegenerative diseases
such as Parkinson's disease. NM is believed to derive from the oxidative
polymerization of dopamine (DA) or norepinephrine (NE) with the participation of
cysteine, dolichols and proteins. However, there are still unknown aspects in the
chemical structure of NM from SN (SN-NM) and LC (LC-NM). In this study, we
designed a new method to synthesize o-aminophenol compounds as putative
degradation products of catecholamines and their metabolites which may be
incorporated into NM. Those compounds are aminohydroxyphenylethylamine (AHPEA)
isomers, aminohydroxyphenylacetic acid (AHPAA) isomers and
aminohydroxyethylbenzene (AHEB) isomers, which are expected to arise from DA or
NE, 3,4-dihydroxyphenylacetic acid (DOPAC) or 3,4-dihydroxyphenylmandelic acid
(DOMA) and 3,4-dihydroxyphenylethanol (DOPE) or 3,4-dihydroxyphenylethyleneglycol
(DOPEG), respectively. These o-aminophenol compounds were synthesized by the
nitration of phenol derivatives followed by reduction with hydroiodic acid (HI),
and they could be identified by HPLC in HI hydrolysates of SN-NM and LC-NM. This
degradative approach by HI hydrolysis allows the identification of catecholic
precursors unique to SN-NM and LC-NM, which are present in catecholaminergic
neurons.
PMID- 24936707
TI - A class of promising acaricidal tetrahydroisoquinoline derivatives: synthesis,
biological evaluation and structure-activity relationships.
AB - As part of our continuing research on isoquinoline acaricidal drugs, this paper
reports the preparation of a series of the 2-aryl-1-cyano-1,2,3,4
tetrahydroisoquinolines with various substituents on the N-phenyl ring, their in
vitro acaricidal activities against Psoroptes cuniculi, a mange mite, and
discusses their SAR as well. The structures of all compounds, including 12 new
ones, were elucidated by analysis of UV, IR, NMR, ESI-MS, HR-MS spectra and X-ray
diffraction experiments. All target compounds showed varying degrees of activity
at 0.4 mg/mL. Compound 1 showed the strongest activity, with a 50% lethal
concentration value (LC50) of 0.2421 MUg/mL and 50% lethal time value (LT50) of
7.79 h, comparable to the standard drug ivermectin (LC50 = 0.2474 MUg/mL; LT50 =
20.9 h). The SAR showed that the substitution pattern on the N-aromatic ring
exerted a significant effect on the activity. The substituents 2'-F, 3'-F, 2'-Cl,
2'-Br and 2'-CF3 remarkably enhanced the activity. Generally, for the isomers
with the same substituents at different positions, the order of the activity was
ortho > meta > para. It was concluded that the target compounds represent a class
of novel promising candidates or lead compounds for the development of new
tetrahydroisoquinoline acaricidal agents.
PMID- 24936708
TI - Bioresolution production of (2R,3S)-ethyl-3-phenylglycidate for chemoenzymatic
synthesis of the taxol C-13 side chain by Galactomyces geotrichum ZJUTZQ200, a
new epoxide-hydrolase-producing strain.
AB - A newly isolated Galactomyces geotrichum ZJUTZQ200 strain containing an epoxide
hydrolase was used to resolve racemic ethyl 3-phenylglycidate (rac-EPG) for
producing (2R,3S)-ethyl-3-phenylglycidate ((2R,3S)-EPG). G. geotrichum ZJUTZQ200
was verified to be able to afford high enantioselectivity in whole cell catalyzed
synthesis of this chiral phenylglycidate synthon. After the optimization of the
enzymatic production and bioresolution conditions, (2R,3S)-EPG was afforded with
high enantioselectivity (e.e.S > 99%, E > 49) after a 8 h reaction. The co
solvents, pH buffer solutions and substrate/cell ratio were found to have
significant influences on the bioresolution properties of G. geotrichum
ZJUTZQ200. Based on the bioresolution product (2R,3S)-EPG, taxol's side chain
ethyl (2R,3S)-3-benzoylamino-2-hydroxy-3-phenylpropionate was successfully
synthesized by a chemoenzymatic route with high enantioselectivity (e.e.S > 95%).
PMID- 24936709
TI - RutheniumII(eta6-arene) complexes of thiourea derivatives: synthesis,
characterization and urease inhibition.
AB - RuII(arene) complexes have emerged as a versatile class of compounds to design
metallodrugs as potential treatment for a wide range of diseases including cancer
and malaria. They feature modes of action that involve classic DNA binding like
platinum anticancer drugs, may covalent binding to proteins, or multimodal
biological activity. Herein, we report the synthesis and urease inhibition
activity of RuII(arene) complexes of the general formula [RuII(eta6-p
cymene)(L)Cl2] and [RuII(eta6-p-cymene)(PPh3)(L)Cl]PF6 with S-donor systems (L)
based on heterocyclic thiourea derivatives. The compounds were characterized by
1H-, 13C{1H}- and 31P{1H}-NMR spectroscopy, as well as elemental analysis. The
crystal structure of [chlorido(eta6-p-cymene)(imidazolidine-2
thione)(triphenylphosphine)ruthenium(II)] hexafluorophosphate 11 was determined
by X-ray diffraction analysis. A signal in the range 175-183 ppm in the 13C{1H}
NMR spectrum indicates the presence of a thione rather than a thiolate. This
observation was also confirmed in the solid state by X-ray diffraction analysis
of 11 which shows a C=S bond length of 1.720 A. The compounds were tested for
urease inhibitory activity and the thiourea-derived ligands exhibited moderate
activity, whereas their corresponding Ru(arene) complexes were not active.
PMID- 24936710
TI - Effect of two anti-fungal treatments (metrafenone and boscalid plus kresoxim
methyl) applied to vines on the color and phenol profile of different red wines.
AB - The effect of two anti-fungal treatments (metrafenone and boscalid + kresoxim
methyl) on the color and phenolic profile of Tempranillo and Graciano red wines
has been studied. To evaluate possible modifications in color and phenolic
composition of wines, control and wines elaborated with treated grapes under good
agricultural practices were analyzed. Color was assessed by Glories and CIELab
parameters. Color changes were observed for treated wines with boscalid +
kresoxim-methyl, leading to the production of wines with less color vividness.
Phenolic profile was characterized by HPLC analysis. Boscalid + kresoxim-methyl
treatment promoted the greatest decrease on the phenolic content in wines.
PMID- 24936711
TI - Article expression, purification, and characterization of Cu/ZnSOD from Panax
ginseng.
AB - Superoxide dismutase (SOD) has a strong antioxidant effect, but the traditional
SOD extraction method is not the most efficient method of SOD amplification. In
this study, we report the cloning of the Cu/ZnSOD gene from Panax ginseng into a
temperature-regulated expression plasmid, pBV220. Cu/ZnSOD inclusion bodies were
expressed in E. coli at a high level. Then, the inclusion bodies were purified by
ion-exchange chromatography and molecular sieve chromatography. Finally, we
obtained stable SOD in the bacterial broth, with a protein content of 965 mg/L
and enzyme specific activity of 9389.96 U/mg. These results provide a foundation
for future studies on the antioxidant mechanisms of ginseng and the development
and application of ginseng Cu/ZnSOD.
PMID- 24936713
TI - Cardiac risk factors: foreword.
PMID- 24936712
TI - Encapsulation kinetics and dynamics of carbon monoxide in clathrate hydrate.
AB - Carbon monoxide clathrate hydrate is a potentially important constituent in the
solar system. In contrast to the well-established relation between the size of
gaseous molecule and hydrate structure, previous work showed that carbon monoxide
molecules preferentially form structure-I rather than structure-II gas hydrate.
Resolving this discrepancy is fundamentally important to understanding clathrate
formation, structure stabilization and the role the dipole moment/molecular
polarizability plays in these processes. Here we report the synthesis of
structure-II carbon monoxide hydrate under moderate high-pressure/low-temperature
conditions. We demonstrate that the relative stability between structure-I and
structure-II hydrates is primarily determined by kinetically controlled cage
filling and associated binding energies. Within hexakaidecahedral cage, molecular
dynamic simulations of density distributions reveal eight low-energy wells
forming a cubic geometry in favour of the occupancy of carbon monoxide molecules,
suggesting that the carbon monoxide-water and carbon monoxide-carbon monoxide
interactions with adjacent cages provide a significant source of stability for
the structure-II clathrate framework.
PMID- 24936714
TI - Cardiac risk factors: biomarkers and genetic tests to determine cardiovascular
risk.
AB - Current guidelines recommend global risk assessment instruments as the primary
approach for determining risk of coronary heart disease (CHD). The Framingham
Risk Score (FRS) yields a 10-year risk of CHD, whereas the newer Pooled Cohort
Equations yield a 10-year risk of stroke or CHD. High-sensitivity C-reactive
protein, a biomarker for inflammation, along with family history of CHD, can be
added to the parameters of the FRS in the Reynolds Risk Score to yield a more
refined 10-year CHD risk. Various other biomarkers also can be used. Patients
with elevated urinary albumin have higher rates of CHD events, though the
incremental yield of adding urinary albumin provides only minor improvements in
risk assessment compared with the FRS. Elevated levels of lipoprotein (a) [Lp(a)]
also predict increased risk of CHD, and some guidelines recommend Lp(a) testing
for patients with strong family histories of premature CHD. Another biomarker is
platelet-activating factor acetylhydrolase (Lp-PLA2). Elevated levels indicate
increased risk, and some recommendations suggest a lower goal level for low
density lipoprotein with statin therapy when Lp-PLA2 levels are high. Finally,
genome-wide association studies for genetic risk of CHD currently are not
recommended, but such tests likely will be useful within the next few years.
PMID- 24936715
TI - Cardiac risk factors: environmental, sociodemographic, and behavioral
cardiovascular risk factors.
AB - Several environmental exposures are associated with increased risk of coronary
heart disease (CHD). Exposure to secondhand smoke may increase the risk by as
much as 25% to 30%. Exposure to third hand smoke, residual components of tobacco
smoke that remain in the environment after a cigarette is extinguished, also
appears to increase risk. These residual components can remain in rooms and
automobiles for up to 30 years and enter the body through the skin or via
inhalation or ingestion. Exposure to particulate matter air pollution from
automobile emissions, power plants, and other sources is yet another
environmental risk factor for CHD, resulting in tens of thousands of deaths
annually in the United States. Exposure to other environmental toxins,
particularly bisphenol A and phthalates, also has been linked to CHD. There are
sociodemographic risks for CHD, with numerous studies showing that lower
socioeconomic status is associated with higher risk. Behavioral risk factors
include poor diet, such as frequent consumption of fast food and processed meals;
sleep disturbance; and psychological stress, particularly related to marital or
work issues. Finally, although high alcohol consumption is associated with
increased CHD risk, moderate alcohol consumption (ie, less than 1 to 2
drinks/day), particularly of wine and possibly beer, appears to reduce the risk.
PMID- 24936716
TI - Cardiac risk factors: noninvasive testing to detect coronary heart disease.
AB - Patients with acute chest pain should be assessed first for the likelihood of
acute coronary syndrome using the Thrombolysis in Myocardial Infarction score or
the Agency for Health Care Policy and Research criteria. If assessment indicates
high risk, the patient should be admitted to the hospital. Low- and intermediate
risk patients whose chest pain has ceased and who have normal or unchanged
electrocardiograms and troponin levels can be monitored for 6 to 8 hours. If the
patient then develops recurrent chest pain, electrocardiographic changes, or
increasing biomarker levels, hospital admission is warranted. If not, further
testing is indicated to determine if coronary heart disease is present. Testing
can include exercise treadmill tests, nuclear imaging, or stress
echocardiography. Coronary computed tomographic angiography and magnetic
resonance angiography currently are not standard tools for this testing. Testing
also is sometimes obtained for asymptomatic outpatients with intermediate risk of
coronary heart disease, with the goal of reclassifying them in low- or high-risk
categories. Carotid intima-media thickness, ankle-brachial index, coronary artery
calcium scores, stress tests, coronary computed tomographic angiography, and
magnetic resonance angiography have been suggested for this purpose, but they
only result in reclassification of small percentages of patients and are not
recommended routinely.
PMID- 24936717
TI - Cardiac risk factors: new cholesterol and blood pressure management guidelines.
AB - The 2013 American College of Cardiology/American Heart Association cholesterol
guidelines depart from low-density lipoprotein (LDL) treatment targets and
recommend treating four specific patient groups with statins. Statins are the
only cholesterol-lowering drugs with randomized trial evidence of benefit for
preventing atherosclerotic cardiovascular disease (ASCVD). The groups are
patients with clinical ASCVD; patients ages 40 to 75 years with diabetes and LDL
of 70 to 189 mg/dL but no clinical ASCVD; patients 21 years or older with LDL
levels of 190 mg/dL or higher; and patients ages 40 to 75 years with LDL of 70 to
189 mg/dL without clinical ASCVD or diabetes but with 10-year ASCVD risk of 7.5%
or higher. Ten-year ASCVD risk may be calculated using the Pooled Cohort
Equations. The Eighth Joint National Committee (JNC 8) guidelines for blood
pressure management recommend a blood pressure goal of less than 140/90 mm Hg for
all adults except those 60 years or older. For the latter group, the JNC 8
recommends a systolic blood pressure goal of less than 150 mm Hg. In another
notable change from prior guidelines, the JNC 8 recommends relaxing the systolic
blood pressure goal for patients with diabetes and chronic kidney disease to less
than 140 mm Hg from less than 130 mm Hg.
PMID- 24936718
TI - High-power thulium laser vaporization of the prostate: short-term outcomes of
safety and effectiveness.
AB - INTRODUCTION: The thulium laser was introduced in 2005 for the treatment of
benign prostatic hyperplasia (BPH). Enucleation studies from outside North
America show comparable efficacy and lower morbidity to transurethral resection
of the prostate. A few studies exist describing outcomes of vaporization, the
most commonly used technique for urologists. We present our 3-month outcomes of
thulium laser vaporization of the prostate (ThuVP). MATERIALS AND METHODS: From
December 2010 to October 2013, 68 men underwent ThuVP using the 150 W
CyberTM((r)). Data were collected on demographics, comorbidities, intraoperative
measures, complications, serum parameters, maximum flow rate (Qmax), postvoid
residual (PVR), International Prostate Symptom Score (IPSS), quality-of-life
(QoL) score, and prostate-specific antigen. Patients were evaluated at 1 week, 1
month, and 3 months postoperatively. Nine patients were excluded for known
prostate cancer. RESULTS: The mean age was 66+/-10 years, with a mean prostate
size of 57+/-30 mL. At baseline, the mean IPSS was 19.9+/-8.0, QoL score was
4.5+/-1.1, Qmax was 5.2+/-4.5 mL/sec, and PVR was 220+/-397 mL. The mean laser
time was 35+/-18 minutes, and energy used was 234+/-139 kJ. Forty-seven (78%)
patients were discharged the day of surgery. No blood transfusions were
administered with a mean drop in hemoglobin of 0.7+/-0.8 g/dL (p<0.05). There
were no Clavien grade>=III complications within 30 days of surgery. Six (10%)
patients were diagnosed with urinary tract infection. Significant improvements
from baseline were seen in Qmax, PVR, IPSS, and QoL score. All 15 patients who
were in retention were voiding at the last follow-up. CONCLUSIONS: Thulium laser
vaporization of the prostate appears to be a safe and effective outpatient
technique for the treatment of BPH with durable outcomes at 3 months.
PMID- 24936720
TI - Evaluation of renal mass biopsy risk stratification algorithm for robotic partial
nephrectomy--could a biopsy have guided management?
AB - PURPOSE: We evaluated a published biopsy directed small renal mass management
algorithm using a large cohort of patients who underwent robotic partial
nephrectomy for tumors 4 cm or smaller. MATERIALS AND METHODS: A simplified
algorithm of biopsy directed small renal mass management previously reported
using risk stratified biopsies was applied to 1,175 robotic partial nephrectomy
cases from 5 academic centers. A theoretical assumption was made of perfect
biopsies that were feasible for all patients and had 100% concordance to final
pathology. Pathology risk groups were benign, favorable, unfavorable and
intermediate. The algorithm assigned favorable or intermediate tumors smaller
than 2 cm to active surveillance and unfavorable or intermediate 2 to 4 cm tumors
to treatment. Higher surgical risk patients were defined as ASA(r) 3 or greater
and age 70 years or older. RESULTS: Patients were assigned to the pathology risk
groups of benign (23%), favorable (13%), intermediate (51%) and unfavorable
(12%). Patients were also assigned to the management groups of benign pathology
(275, 23%), active surveillance (336, 29%) and treatment (564, 48%). Most of the
611 (52%) patients in the benign or active surveillance groups were low surgical
risk and had safe treatment (2.6% high grade complications). A biopsy may not
have been feasible or accurate in some tumors that were anterior (378, 32%),
hilar (93, 7.9%) or less than 2 cm (379, 32%). Of 129 (11%) high surgical risk
patients the biopsy algorithm assigned 70 (54%) to benign or active surveillance
groups. CONCLUSIONS: The theoretical application of a biopsy driven, risk
stratified small renal mass management algorithm to a large robotic partial
nephrectomy database suggests that about half of the patients might have avoided
surgery. Despite the obvious limitations of a theoretical assumption of all
patients receiving a perfect biopsy, the data support the emerging role of renal
mass biopsies to guide management, particularly in high surgical risk patients.
PMID- 24936721
TI - Open conversion during minimally invasive radical prostatectomy: impact on
perioperative complications and predictors from national data.
AB - PURPOSE: Despite the increased use of minimally invasive radical prostatectomy,
open conversion may occur due to surgical complications, surgeon inexperience or
failure to progress. We used nationally representative data to quantify the
impact of open conversion compared to nonconverted minimally invasive radical
prostatectomy and open radical prostatectomy, and identify predictors of open
conversion. MATERIALS AND METHODS: Years 2004 to 2010 of the Nationwide Inpatient
Sample were queried for patients who underwent radical prostatectomy to analyze
the association of open conversion during minimally invasive radical
prostatectomy with Clavien complications. Multivariate regression models yielded
significant predictors of open conversion. RESULTS: From 2004 to 2010, 134,398
(95% CI 111,509-157,287) minimally invasive radical prostatectomies were
performed with a 1.8% (95% CI 1.4-2.1) open conversion rate, translating to 2,360
(95% CI 2,001-2,720) conversions. Open conversion cases had a longer length of
stay (4.17 vs 1.71 days, p <0.001) and higher hospital charges ($51,049 vs
$37,418, p <0.001) than nonconverted cases. Of open conversion cases 45.2%
experienced a complication vs 7.2% and 12.9% of minimally invasive radical
prostatectomy and open radical prostatectomy cases, respectively (p <0.001).
After adjusting for age and comorbidities, open conversion was associated with
significantly increased odds of a Clavien grade 1, 2, 3 and 4 complication
compared to nonconverted minimally invasive radical prostatectomy and open
radical prostatectomy (OR range 2.913 to 15.670, p <0.001). Significant
multivariate predictors of open conversion were obesity (OR 1.916), adhesions (OR
3.060), anemia (OR 5.692) and surgeon volume for minimally invasive radical
prostatectomy less than 25 cases per year (OR 7.376) (all p <0.01). CONCLUSIONS:
Open conversion during minimally invasive radical prostatectomy is associated
with a higher than expected increase in complications compared to open radical
prostatectomy and minimally invasive radical prostatectomy after adjusting for
age and comorbidities. External validation of predictors of open conversion may
prove useful in minimizing open conversion during minimally invasive radical
prostatectomy.
PMID- 24936722
TI - Cystectomy for refractory hemorrhagic cystitis: contemporary etiology,
presentation and outcomes.
AB - PURPOSE: We evaluate the clinical presentation, management and outcomes of
patients undergoing cystectomy for refractory hemorrhagic cystitis. MATERIALS AND
METHODS: We identified 21 patients with refractory hematuria treated with
cystectomy at our institution between 2000 and 2012. Clot evacuation, bladder
fulguration and bladder irrigation had failed in all patients before cystectomy.
In addition, 45% of patients had received prior intravesical therapy
(aminocaproic acid, alum or formalin), hyperbaric oxygen therapy (25%),
nephrostomy tube placement for attempted urinary diversion (15%) and/or selective
bladder angioembolization (5%). RESULTS: Median patient age at surgery was 77
years (IQR 72, 80) and 81% (17 of 21) of patients were male. The most common
etiology for hemorrhagic cystitis was prior radiation therapy for prostate cancer
(17, 81%). Median time from receipt of radiation to cystectomy in these patients
was 91 months (IQR 73, 125). Median ASA(r) (American Society of
Anesthesiologists) score at cystectomy was 3 and median preoperative hemoglobin
was 10.2 gm/dl. Median length of stay after cystectomy was 10 days (IQR 7, 19).
Severe (Clavien grade III to V) complications were noted in 42% of patients (8 of
19) and the 90-day mortality rate in this cohort was 16% (3 of 19). With a median
postoperative followup of 13 months (IQR 4, 21), the 1 and 3-year overall
survival was 84% and 52%, respectively. CONCLUSIONS: Cystectomy for hemorrhagic
cystitis is associated with a high risk of perioperative complications and
mortality, consistent with the baseline clinical status of this patient cohort
and, as such, should remain a last resort to control bleeding after failure of
conservative measures.
PMID- 24936723
TI - Spin excitations of ferronematic order in underdoped cuprate superconductors.
AB - High-temperature superconductors exhibit a characteristic hourglass-shaped
spectrum of magnetic fluctuations which most likely contribute to the pairing
glue in the cuprates. Recent neutron scattering experiments in strongly
underdoped compounds have revealed a significant low energy anisotropy of these
fluctuations which we explain by a model in which topological defects of the
antiferromagnet clump to producing domain wall segments with ferronematic order.
This state does not invoke global charge order but breaks C4 rotational and
inversion symmetry. The incommensurability of the low doping charge-disordered
state is in good agreement with experiment and interpolates smoothly with the
incommensurability of the stripe phase at higher doping. Within linear spin-wave
theory the dynamic structure factor is in very good agreement with inelastic
neutron scattering data and can account for the observed energy dependent
anisotropy.
PMID- 24936724
TI - Time-resolved excited state energetics of the solvated electron in sodium-doped
water clusters.
AB - The energetics and dynamics of the first electronically excited state of solvated
electron in sodium-doped water clusters has been studied, by means of time
resolved electron spectra created in a pump-probe fs-laser experiment. The Na ...
(H2O)n clusters were excited by pulses at a wavelength of 795 nm, while
ionization was achieved at a wavelength of 398 nm, and the overall cross
correlation fwhm was about 50 fs. Mass-resolved electron spectra were taken using
photoelectron-photoion coincidence (PEPICO) spectroscopy for cluster sizes
ranging from n = 1 up to 22. The electron spectra give new insights into the
dynamics of the excited state of solvated electrons in Na ... (H2O)n clusters.
These dynamics are compared to known results for water cluster anions. In both
cases, the observed dynamics are a combination of solvent rearrangement and
internal energy conversion.
PMID- 24936725
TI - [Intestinal microbiota and cardiometabolic risk: mechanisms and diet modulation].
AB - The gut microbiota obtained after birth is composed of a large range of bacteria
that play different roles in the human host, such as nutrient uptake, protection
against pathogens and immune modulation. The intestinal bacterial content is not
completely known, but it is influenced by internal, and mainly by external
factors, which modulate its composition and function. Studies indicate that the
gut microbiota differs in lean and obese individuals, and in individuals with
different food habits. There is evidence that the relationship between diet,
inflammation, insulin resistance, and cardiometabolic risk are, in part, mediated
by the composition of intestinal bacteria. Knowledge about the gut microbiota may
result in different strategies to manipulate bacterial populations and promote
health. This review discusses the relevance of understanding the role of dietary
factors or patterns in the composition of the microbiota, as well as
pathophysiological mechanisms of chronic metabolic diseases, and the potential of
prebiotics and probiotics on the cardiometabolic risk profile.
PMID- 24936726
TI - [The use of FISH on buccal smear to investigate mosaicism with a 45,X cell line:
study on healthy men and patients with disorders of sex development].
AB - OBJECTIVE: To verify whether fluorescence in situ hybridization (FISH) of cells
from the buccal epithelium could be employed to detect cryptomosaicism with a
45,X lineage in 46,XY patients. SUBJECTS AND METHODS: Samples of nineteen 46,XY
healthy young men and five patients with disorders of sex development (DSD), four
45,X/46,XY and one 46,XY were used. FISH analysis with X and Y specific probes on
interphase nuclei from blood lymphocytes and buccal epithelium were analyzed to
investigate the proportion of nuclei containing only the signal of the X
chromosome. RESULTS: The frequency of nuclei containing only the X signal in the
two tissues of healthy men did not differ (p = 0.69). In all patients with DSD
this frequency was significantly higher, and there was no difference between the
two tissues (p = 0.38), either. CONCLUSIONS: Investigation of mosaicism with a
45,X cell line in patients with 46,XY DSD or sterility can be done by FISH
directly using cells from the buccal epithelium.
PMID- 24936727
TI - Effects of supplementation with omega-3 on insulin sensitivity and non-esterified
free fatty acid (NEFA) in type 2 diabetic patients.
AB - OBJECTIVE: The aim of this study was to determine the role of omega-3
supplementation on NEFA concentration, insulin sensitivity and resistance, and
glucose and lipid metabolism in type 2 diabetic patients. SUBJECTS AND METHODS:
Forty-four type 2 diabetic patients were randomly recruited into two groups.
Group A received 4 g/day omega-3 soft gels, and group B received a placebo for 10
wks. Blood samples were collected after 12-h fast. Physical activity records,
three-day food records, and anthropometric measurements were obtained from all
participants at the beginning and end of the study. RESULTS: Omega-3
supplementation caused a significant reduction in NEFA in the intervention group
compared with the placebo group (P = 0.009). Additionally, the administration of
omega-3 resulted in significantly greater changes (Diff) for the intervention
group in various parameters, such as insulin and Quicki indices compared with the
placebo group (P < 0.05). CONCLUSIONS: Omega-3 fatty acid supplementation in type
2 diabetic patients improved insulin sensitivity, probably due to the decrease in
NEFA concentrations.
PMID- 24936728
TI - [Accuracy of body fat and waist circumference in predicting metabolic
abnormalities indicating cardiovascular risk in adolescents].
AB - OBJECTIVE: To evaluate the performance of percent body fat (%BF) and waist
circumference (WC) in predicting metabolic abnormalities indicating
cardiovascular risk in adolescents. SUBJECTS AND METHODS: Cross-sectional study
with a probabilistic sample of 573 adolescents aged 12 to 19 years from state
public schools in Niteroi, RJ. The Receiver Operating Characteristic Curve was
used to evaluate the accuracy of WC and %BF in predicting alterations in blood
pressure, lipid profile, and blood glucose. RESULTS: The best %BF cutoff points
were 21% for boys, and 23% for girls, with sensitivity (SE) and specificity (SP)
of approximately 60%. The best WC cutoff points were 71 cm for boys, and 66 cm
for girls (SE: 55% to 70%; SP: 55% to 78%). CONCLUSION: Even the best cutoff
points misidentified high proportions of adolescents with metabolic
abnormalities. Caution is recommended in the use of these indicators for
cardiovascular risk screening in this age group.
PMID- 24936729
TI - Epicardial adipose tissue thickness and its association with adiponectin in
metabolic syndrome patients from Merida, Venezuela.
AB - OBJECTIVE: To study the relationship between epicardial adipose tissue (EAT)
thickness and plasma levels of adiponectin in Venezuelan patients. SUBJECTS AND
METHODS: Thirty-one patients diagnosed with metabolic syndrome (study group) and
27 controls were selected and tested for glycemia, lipids, and adiponectin. EAT
thickness, ejection fraction, diastolic function, left ventricular mass (LVM),
and left atrial volume (LAV) were determined by transthoracic echocardiography.
RESULTS: EAT thickness was greater in metabolic syndrome patients (5.69 +/- 1.12
vs. 3.52 +/- 0.80 mm; p = 0.0001), correlating positively with body mass index
(BMI) (r = 0.661; p = 0.0001); waist circumference (WC) (r = 0.664; p = 0.0001);
systolic (SBP) (r = 0.607; p = 0.0001), and diastolic blood pressure (DBP) (r =
0.447; p = 0.0001); insulin (r = 0.505; p = 0.0001); Tg/HDL-C ratio (r = 0.447; p
= 0.0001), non-HDL-C (r = 0.353; p = 0.007); LAV (r = 0.432; p = 0.001), and LVM
(r = 0.469; p = 0.0001). EAT thickness correlated negatively with adiponectin (r
= -0.499; p = 0.0001). CONCLUSION: A significant association exists between EAT
thickness and both metabolic syndrome components and adiponectin concentration, a
link that might be used as a biomarker for this disease.
PMID- 24936730
TI - Relation between ultra-sensitive C-reactive protein, diabetes and periodontal
disease in patients with and without myocardial infarction.
AB - OBJECTIVE: The purpose of this study was to evaluate the impact of diabetes and
periodontal disease in us-CRP, an inflammatory marker in patients with and
without acute myocardial infarction (AMI). SUBJECTS AND METHODS: A case-control
study was conducted in 401 subjects aged between 30 and 75 years, living in
Bogota D.C. (Colombia). Patients arriving at the emergency room of the San
Ignacio University Hospital with AMI were included into the case group. The
control group was defined as those subjects without AMI. The following blood
tests were performed: complete blood count (CBC), glycemia, total cholesterol,
triglycerides, cHDL, cLDL, and us-CRP. Patients with infections or antibiotic
treatment within the last three months, who had received periodontal treatment
within the six months prior to the study entry, had oral ulcerations, or less
than seven teeth were excluded from the study. Periodontal disease was diagnosed
based on the 1999 Armitage's classification. RESULTS: The mean us-CRP value found
in diabetic patients with severe chronic periodontitis was 5.31 mg/L (SD 6.82),
and 2.38 mg/L (SD 4.42) in non-diabetic patients, being statistically significant
(p = 0.000). CONCLUSION: Diabetes had an impact in periodontal disease and us
CRP. In patients with AMI, DM and PD considerably increased the us-CRP.
PMID- 24936732
TI - Fasting ghrelin but not PYY(3-36) is associated with insulin-resistance
independently of body weight in Wistar rats.
AB - OBJECTIVE: The objective of this study was to evaluate the association between
insulin-resistance and fasting levels of ghrelin and PYY in Wistar rats.
MATERIALS AND METHODS: A total of 25 male Wistar rats, weighing 200-300 g, was
included in this study. The animals were maintained in cages with a 12/12h light
dark cycle and fed standard chow and water ad libitum. After 12-h overnight
fasting, ghrelin, PYY, insulin and glucose values were determined. Insulin
resistance was assessed by means of the HOMA-IR, which was ranked and the median
was used as a cut-off value to categorize insulin-resistance. HOMA-IR values
equal and above 2.62 were considered insulin-resistant (IR) while values below
2.62 were considered insulin sensitive (IS). Differences between means were
determined using the Student t-test. Multiple regression and Pearson's
correlation test were used to evaluate the association between variables.
RESULTS: HOMA-IR median IQ range values for IS and IR groups were, respectively,
1.56 (0.89 - 2.16) vs. [4.06 (3.50 - 4.61); p < 0.001]. The IR group presented
increased levels of fasting ghrelin, PYY and insulin respectively: [50.35 (25.99
74.71) pg/mL vs. 12.33 (8.77 - 15.89) pg/mL; p = 0.001]; [54.38 (37.50 - 71.26)
pg/mL vs. 33.17 (22.34 - 43.99) pg/mL; p = 0.016]; [18.04 (14.48 - 21.60) uU/mL
vs. 7.09 (4.83 - 9.35) uU/mL; p = 0.001]. Ghrelin, but not PYY, correlated
linearly and positively with HOMA-IR: ghrelin vs. HOMA-IR (r = 0.52; p = 0.008),
and PYY vs. HOMA-IR (r = 0.22; p = 0.200). This correlation was independent of
body weight. CONCLUSION: Fasting ghrelin and PYY serum levels are increased in
lean, relatively insulin resistant Wistar rats, and this increase is independent
of weight.
PMID- 24936731
TI - Effect of treatment with sitagliptin on somatosensory-evoked potentials and
metabolic control in patients with type 2 diabetes mellitus.
AB - OBJECTIVE: To evaluate the effect of sitagliptin on somatosensory-evoked
potentials (SEPs) and metabolic control in patients with type 2 diabetes mellitus
without clinical diabetic neuropathy. MATERIALS AND METHODS: Interventional,
prospective, and open study. Patients with less than six months from the
diagnosis were included. Examinations of SEPs and laboratory tests at fasting and
after food stimulation were performed before and after three months of treatment
with sitagliptin (100 mg/day). RESULTS: There was a reduction in the mean levels
of HbA1c (P < 0.0001), fasting glucose (P = 0.001), total cholesterol (P =
0.019), and ALT (P = 0.022). An increase in active GLP-1 was found at the end of
the study (P = 0.0025). Several SEPs showed statistically significant differences
when analyzed before and after treatment with sitagliptin. CONCLUSION: The
results give a glimpse of the possible use of sitagliptin in the treatment of
some neurodegenerative conditions of the peripheral nervous system, in addition
to its already established role in glycemic control.
PMID- 24936733
TI - The rs1893217 (T/C) polymorphism in PTPN2 gene is not associated with type 1
diabetes mellitus in subjects from Southern Brazil.
AB - OBJECTIVE: To evaluate the association of the PTPN2 rs1893217 polymorphism with
T1DM and/or its clinical and laboratory characteristics in a Caucasian population
from Southern Brazil. SUBJECTS AND METHODS: Four hundred and eighty six patients
with T1DM and 484 non-diabetic subjects were included in the study. Genotyping of
the PTPN2 rs1893217 was performed by real-time PCR. RESULTS: Genotype frequencies
did not differ between T1DM patients and non-diabetic subjects (P = 0.265). The C
allele was observed in 14.5% of the T1DM sample and 12.2% of the non-diabetic
group (P = 0.152). Moreover, the frequencies of this variant did not differ
statistically between T1DM patients and non-diabetic subjects when assuming
recessive, dominant, or additive inheritance models. The clinical and laboratory
characteristics of T1DM patients did not differ significantly among the three
genotypes of the rs1893217 polymorphism, either. CONCLUSION: The PTPN2 rs1893217
polymorphism is not significantly associated with T1DM in Caucasian subjects from
Southern Brazil.
PMID- 24936734
TI - TSH reference range in older adults: a Brazilian study.
AB - OBJECTIVE: To establish serum TSH reference values for a population of Brazilian
elderly, and to compare them to those found in the adult population. SUBJECTS AND
METHODS: Healthy volunteers aged 70 to 85 years, without known thyroid disease or
risk factors for thyroid dysfunction, who did not use any medication that could
potentially interfere with TSH, were selected. Subjects with goiter, palpable
thyroid nodules, anti-thyroperoxidase antibodies, or altered free T4 were
excluded. The sample consisted of 360 older adults (180 per sex). RESULTS: TSH
values corresponding to the 2.5th and 97.5th percentile of the sample were 0.2
and 4.62 mIU/L, respectively. TSH > 2.5 mIU/L was seen in 25.26% of the
volunteers, > 3 mIU/L in 15.26%, and > 4 mIU/L in 6.1% of them. TSH values were
slightly higher than those previously reported for adults (18-60 years).
CONCLUSION: This study suggests an upper limit for normal TSH of approximately
4.6 mIU/L for the Brazilian elderly population.
PMID- 24936735
TI - [The importance of memory bias in obtaining age of menarche by recall method in
Brazilian adolescents].
AB - OBJECTIVE: To compare the age at menarche obtained by recall method according to
the time elapsed since the event, in order to verify the importance of the recall
bias. SUBJECTS AND METHODS: Were evaluated 1,671 girls (7-18 years) at schools in
Campinas-SP regarding the occurrence of menarche by the status quo method
(menarche: yes or no) and the recall method (date of menarche, for those who
mentioned it). The age at menarche obtained by the status quo method was
calculated by logit, which considers the whole group, and the age obtained by the
recall method was calculated as the average of the mentioned age at menarche. In
this group, the age at menarche was obtained by the difference between the date
of the event and the date of birth. Girls who reported menarche (883, 52.8%) were
divided into four groups according to the time elapsed since the event. To
analyze the results, we used ANOVA and logistic regression for the analysis, with
a significance level of 0.05. RESULTS: The age at menarche calculated by logit
was 12.14 y/o (95% CI 12.08 to 12.20). Mean ages obtained by recall were: for
those who experienced menarche within the previous year 12.26 y/o (+/-1.14),
between > 1-2 years before, 12.29 y (+/-1.22); between > 2-3 years before, 12.23
y/o (+/-1.27); and more than 3 years before, 11.55y/o (+/-1.24), p < 0.001.
CONCLUSION: The age at menarche obtained by the recall method was similar for
girls who menstruated within the previous 3 years (and approaches the age
calculated by logit); when more than 3 years have passed, the recall bias was
significant.
PMID- 24936736
TI - An uncommon case of Marine-Lenhart syndrome.
AB - The term Marine-Lenhart syndrome describes the association between Graves'
disease and autonomously functioning thyroid nodules (AFTN), such as toxic
adenoma or toxic multinodular goiter. The two diseases may coexist or may be
present at different moments in the same patient. In the literature, there are
many reports on the development of Graves' disease after radioiodine treatment
for AFTN, but very little information may be found on the occurrence of AFTN
after radioiodine therapy for Graves' disease. We describe here the case of a
female patient with Graves' disease who was successfully treated with radioiodine
for Graves' disease, returning to normal thyroid function. Three years later,
biochemical analysis and ultrasound examination identified a thyroid nodule that
progressively increased in size. The 99mTc-pertechnetate scintigraphy showed avid
uptake in the right lobule, which corresponded to a nodular lesion consistent
with AFTN.
PMID- 24936737
TI - Malignant solitary fibrous tumor of the thyroid: a case-report and review of the
literature.
AB - Solitary fibrous tumor (SFT) is an uncommon spindle-cell neoplasm that most often
involves the pleura, rarely occurring in extra-thoracic locations. Twenty-six
cases of SFT arising in the thyroid gland have been described. We report a case
of a 60-year-old woman presenting an 8-month history of enlargement of the neck
associated with dysphagia. The patient underwent a right hemithyroidectomy and
SFT of the thyroid was diagnosed. Immunohistochemistry showed positivity for CD34
marker, and the high number of mitoses and the presence of cellular atypia
suggested that the tumor was malignant. To our knowledge, this is the second case
of malignant SFT of the thyroid gland ever reported. Due to the rarity of these
tumors, the indication of adjuvant therapy and prognosis are uncertain. Long-term
follow-up after surgical resection seems to be advisable.
PMID- 24936738
TI - Sensor-augmented pump and Down syndrome: a new tool in tricky patients.
PMID- 24936739
TI - Differential regulation of MeCP2 and PP1 in passive or voluntary administration
of cocaine or food.
AB - Cocaine exposure induces changes in the expression of numerous genes, in part
through epigenetic modifications. We have initially shown that cocaine increases
the expression of the chromatin remodeling protein methyl-CpG binding protein 2
(MeCP2) and characterized the protein phosphatase-1Cbeta (PP1Cbeta) gene, as
repressed by passive i.p. cocaine injections through a Mecp2-mediated mechanism
involving de novo DNA methylation. Both proteins being involved in learning and
memory processes, we investigated whether voluntary cocaine administration would
similarly affect their expression using an operant self-administration paradigm.
Passive and voluntary i.v. cocaine intake was found to induce Mecp2 and to
repress PP1Cbeta in the prefrontal cortex and the caudate putamen. This
observation is consistent with the role of Mecp2 acting as a transcriptional
repressor of PP1Cbeta and shows that passive intake was sufficient to alter their
expression. Surprisingly, striking differences were observed under the same
conditions in food-restricted rats tested for food pellet delivery. In the
prefrontal cortex and throughout the striatum, both proteins were induced by food
operant conditioning, but remained unaffected by passive food delivery. Although
cocaine and food activate a common reward circuit, changes observed in the
expression of other genes such as reelin and GAD67 provide new insights into
molecular mechanisms differentiating neuroadaptations triggered by each
reinforcer. The identification of hitherto unknown genes differentially regulated
by drugs of abuse and a natural reinforcer should improve our understanding of
how two rewarding stimuli differ in their ability to drive behavior.
PMID- 24936740
TI - Prioritising in situ conservation of crop resources: a case study of African
cowpea (Vigna unguiculata).
AB - Conserving crop wild relatives (CWR) is critical for maintaining food security.
However, CWR-focused conservation plans are lacking, and are often based on the
entire genus, even though only a few taxa are useful for crop improvement. We
used taxonomic and geographic prioritisation to identify the best locations for
in situ conservation of the most important (priority) CWR, using African cowpea
(Vigna unguiculata (L.) Walp.) as a case study. Cowpea is an important crop for
subsistence farmers in sub-Saharan Africa, yet its CWR are under-collected, under
conserved and under-utilised in breeding. We identified the most efficient sites
to focus in situ cowpea CWR conservation and assessed whether priority CWR would
be adequately represented in a genus-based conservation plan. We also
investigated whether priority cowpea CWR are likely to be found in existing
conservation areas and in areas important for mammal conservation. The genus
based method captured most priority CWR, and the distributions of many priority
CWR overlapped with established conservation reserves and targets. These results
suggest that priority cowpea CWR can be conserved by building on conservation
initiatives established for other species.
PMID- 24936741
TI - Age-related toxicity in patients with rhabdomyosarcoma: a report from the
children's oncology group.
AB - On the Fourth Intergroup Rhabdomyosarcoma study, older children experienced
excessive neurotoxicity, whereas younger children had increased myelosuppression.
The purpose of this study was to determine whether the same pattern of toxicity
was seen on the successor study when use of growth factor was required and dosing
of chemotherapy was different by performing a retrospective cohort analysis on
patients treated on Children's Oncology Group protocol D9803. Toxicity data were
analyzed by stratifying children into 4 age groups. The frequency of grade 3/4
neurotoxicity, myelosuppression, infection, and mucositis was predicted for each
age group. The cumulative doses of vincristine and cyclophosphamide administered
were measured as percent of protocol-prescribed dose. Adolescents (aged 15+) were
more likely to experience neurotoxicity compared with younger patients (odds
ratio, 3.6; P<0.0001). There was no difference in myelosuppression, infection, or
mucositis. The mean percent protocol-prescribed doses administered for
vincristine and cyclophosphamide did not differ much by age group. Adolescents
experienced more neurotoxicity with vincristine compared with younger patients.
No differences in other toxicities were observed between age groups. As
adolescents received at least 85% of protocol-prescribed doses of vincristine, it
is difficult to attribute the poorer survival in this age group to inadequate
protocol-delivered therapy.
PMID- 24936742
TI - Health status and quality of life of long-term survivors of childhood acute
leukemia: the impact of central nervous system irradiation.
AB - We evaluated the impact of central nervous system irradiation (CNSI) on long-term
health status and quality of life (QoL) of childhood lymphoblastic leukemia
survivors included in the French L.E.A. (Childhood and Adolescent Leukemia)
multicentric cohort. QoL was self-reported in adults and assessed by parents in
children and adolescents, using adapted questionnaires. From 2004 to 2009, 630
nongrafted patients were assessed after 11.8+/-6.3 years from diagnosis. Patients
receiving CNSI (18.6%) or chemotherapy alone (81.4%) were compared. The risk of
having long-term physical effects was increased with CNSI (odds ratio=3.3; 95%
confidence interval, 1.8-5.9), especially regarding growth failure, second tumor,
cataract, and overweight. QoL did not differ significantly according to the
treatment received, despite a tendency toward lower scores with CNSI in children
and adolescents (summary score 63.6+/-13.3 vs. 71.7+/-12.4, P=0.14). Compared
with French norms, adult survivors had an impaired QoL, especially in mental
domains (mental composite score 45.2+/-9.8 vs. 47.9+/-2.1, P<0.001). In pediatric
survivors, QoL was not impaired and even tended to be higher than population
norms (summary score 71.7+/-12.4 vs. 70.0+/-4.2, P=0.054), mainly in social and
relational domains. In conclusion, QoL seems to be impaired by the trauma of a
life-threatening illness in childhood, as well as by the treatment received.
PMID- 24936743
TI - Leukapheresis in management of hyperleukocytosis in children's leukemias.
AB - We describe 16 leukapheresis (LK) procedures performed in 7 children with
different types of leukemia and hyperleukocytosis. We also provide an analysis of
previously published experiences of pediatric LK. Median age and body weight of
patients were 12.3 years (range, 0.2 to 16.7 y) and 49 kg (range, 5 to 61 kg).
Immediate pre-first-LK median white blood cell count was 478*10/L (108*10/L to
988*10/L). All cytoreduction were performed on Cobe Spectra cell separator. Sixty
eight percent of procedures were performed with peripheral veins. Extracorporeal
line had been primed with red blood cell for 31% of LK. The median decrease in
white blood cell count after each LK was 33% (0% to 69%), and overall decrease
after completion of LK procedures was 62% (11% to 94%). Only minor clinical
adverse events and no metabolic complication were attributable to LK. No more
clinical symptom of hyperleukocytosis was observed after completion of LK
procedures. Our findings are consistent with reported results in other pediatric
series: LK is a well-tolerated procedure that can be safely performed with an
experienced pediatric team even on the smallest children.
PMID- 24936745
TI - Membrane Interaction and Protein Kinase C-C1 Domain Binding Properties of 4
Hydroxy-3-(hydroxymethyl) Phenyl Ester Analogues.
AB - Protein kinase C (PKC)-C1 domain targeted regulator development is considered as
a potential therapeutic strategy for the treatment of cancer and immunological
and other diseases. Efforts are underway to synthesize small molecules to achieve
higher specificity for the C1-domain than the natural activator, diacylglycerols
(DAGs). In this regard, we conveniently synthesized 4-hydroxy-3-(hydroxymethyl)
phenyl ester analogues and measured in vitro C1-domain binding properties. We
also investigated different physicochemical properties of the synthesized
molecules, including aggregation behavior in aqueous solution and interaction
with lipid bilayers, and others with an aim for better understanding of their C1
domain binding properties. The results showed that the membrane-active compounds
aggregate in aqueous solution at a reasonably lower concentration and strongly
interact with the lipid bilayer. The hydrophilic part of the compounds localize
at the bilayer/water interface and accessible for C1-domain binding. Biophysical
studies revealed that the hydroxyl, hydroxymethyl, and carbonyl groups and acyl
chain length are important for their interaction with the C1-domain. The potent
compound showed more than 10-fold stronger binding affinity for the C1-domains
than DAG under similar experimental conditions. Therefore, our findings reveal
that these ester analogues represent an attractive group of C1-domain ligands
that can be further structurally modified to improve their binding and activity.
PMID- 24936746
TI - Publishing data from electronic health records while preserving privacy: a survey
of algorithms.
AB - The dissemination of Electronic Health Records (EHRs) can be highly beneficial
for a range of medical studies, spanning from clinical trials to epidemic control
studies, but it must be performed in a way that preserves patients' privacy. This
is not straightforward, because the disseminated data need to be protected
against several privacy threats, while remaining useful for subsequent analysis
tasks. In this work, we present a survey of algorithms that have been proposed
for publishing structured patient data, in a privacy-preserving way. We review
more than 45 algorithms, derive insights on their operation, and highlight their
advantages and disadvantages. We also provide a discussion of some promising
directions for future research in this area.
PMID- 24936747
TI - Analysis of in situ electric field and specific absorption rate in human models
for wireless power transfer system with induction coupling.
AB - This study investigates the specific absorption rate (SAR) and the in situ
electric field in anatomically based human models for the magnetic field from an
inductive wireless power transfer system developed on the basis of the
specifications of the wireless power consortium. The transfer system consists of
two induction coils covered by magnetic sheets. Both the waiting and charging
conditions are considered. The transfer frequency considered in this study is 140
kHz, which is within the range where the magneto-quasi-static approximation is
valid. The SAR and in situ electric field in the chest and arm of the models are
calculated by numerically solving the scalar potential finite difference
equation. The electromagnetic modelling of the coils in the wireless power
transfer system is verified by comparing the computed and measured magnetic field
distributions. The results indicate that the peak value of the SAR averaged over
a 10 g of tissue and that of the in situ electric field are 72 nW kg(-1) and 91
mV m(-1) for a transmitted power of 1 W, Consequently, the maximum allowable
transmitted powers satisfying the exposure limits of the SAR (2 W kg(-1)) and the
in situ electric field (18.9 V m(-1)) are found to be 28 MW and 43 kW. The
computational results show that the in situ electric field in the chest is the
most restrictive factor when compliance with the wireless power transfer system
is evaluated according to international guidelines.
PMID- 24936749
TI - Organic magnetic diradicals (radical-coupler-radical): standardization of
couplers for strong ferromagnetism.
AB - The intramolecular magnetic coupling constant (J) values of sets of diradicals
linked to bis-DTDA, OVER, and NN radicals (DTDA, OVER, and NN groups) through an
aromatic coupler were studied by unrestricted density functional theory
calculations (UB3LYP/6-311++G(d,p)). Among 15 aromatic couplers, 9 compounds with
an odd number of carbon atoms along its spin coupling path were found to interact
ferromagnetically upon coupling with bisradicals while the other 6 couplers with
an even number of carbon atoms along its spin coupling path give rise to
antiferromagnetic coupling. The overall trends in the strength of magnetic
interactions of aromatic couplers were preserved for DTDA, OVER, and NN groups so
that the trend can be utilized as an index for the magnetic strength of a given
coupler. It was found that the differences in the nucleus-independent chemical
shift (NICS), bond order of connecting bonds, and Mulliken atomic spin density at
connected atoms between triplet and BS states are closely related to the
intramolecular magnetic behavior. 2,4- and 2,5-phosphole couplers exhibit the
strongest intramolecular ferromagnetic and antiferromagnetic interactions among
15 aromatic couplers when linked to diverse bisradicals.
PMID- 24936750
TI - Symptom assessment in pediatric oncology: how should concordance between
children's and parents' reports be evaluated?
AB - BACKGROUND: Clinical evaluations in pediatric oncology are often triadic,
involving children or adolescents, parents, and clinicians. However, few studies
have evaluated the concordance between children's and parents' reports of symptom
occurrence. OBJECTIVES: The purposes of this study were to evaluate the
concordance between children's and parents' symptom reports during the week of
chemotherapy administration using 5 statistical approaches and determine which
factors are associated with higher levels of dyadic concordance. METHODS:
Independent assessments of symptom occurrence were obtained from children and
adolescents with cancer (n = 107) and their parents using the Memorial Symptom
Assessment Scale 10-18. Concordance was assessed using (1) percentage of overall
agreement, (2) Cohen kappa coefficients, (3) McNemar tests, (4) positive
percentage agreement, and (5) negative percentage agreement. RESULTS: For each
dyad, an average of 20 of the 31 symptom reports were concordant. Using
children's reports as the "gold standard," parents rarely underestimated the
children's symptoms. However, compared with children's reports, parents
overestimated 7 symptoms. Advantages and disadvantages of each of the statistical
approaches used to evaluate concordance are described in this article.
CONCLUSIONS: A variety of statistical approaches are needed to obtain a thorough
evaluation of the concordance between symptom reports. Discordance was most
common for symptoms that children refuted, particularly psychosocial symptoms.
IMPLICATIONS FOR PRACTICE: Clinicians need to interview children and adolescents
along with their parents about the occurrence of symptoms and evaluate discrepant
reports. Effective approaches are needed to improve communication between
children and parents to improve symptom assessment and management.
PMID- 24936751
TI - Psychological distress between young adult female survivors of childhood cancer
and matched female cohorts surveyed in the adolescent health study.
AB - BACKGROUND: The psychological health of childhood cancer survivors is an
essential treatment outcome. Female survivors are a subgroup of survivors with an
increased risk for poor psychological outcomes. OBJECTIVE: This study compared
psychological distress in young adult female survivors of childhood cancer with
that in young adult females in the general population with no history of
childhood cancer. Psychological distress was measured by assessing respondents'
subjective degree of depressive symptoms, anxiety, and somatization. METHODS: The
study was a secondary data analysis. The sample was drawn from the National
Longitudinal Study of Adolescent Health, which used a representative, probability
based sampling technique. The sample included 66 young adult female survivors of
childhood cancer and 8186 young adult females with no history of cancer.
Randomized, nonparametric testing was used to construct 10 000 different age
matched female cohort samples from the general population. RESULTS: Young adult
female survivors of childhood cancer had more depressive symptoms (P < .05) as
compared with matched cohorts with 589 of the 10,000 matched samples. There were
no statistically significant differences between the cancer survivors and the
matched cohort groups on anxiety and somatization symptoms. CONCLUSION: Young
adult female survivors of childhood cancer are at an increased risk of
experiencing depression, which can decrease their psychological functioning and
emotional well-being. IMPLICATIONS FOR PRACTICE: Healthcare professionals who
care for these cancer survivors need to be aware that female survivors are at
risk for depression and should screen and refer accordingly.
PMID- 24936744
TI - Mercaptopurine/Methotrexate maintenance therapy of childhood acute lymphoblastic
leukemia: clinical facts and fiction.
AB - The antileukemic mechanisms of 6-mercaptopurine (6MP) and methotrexate (MTX)
maintenance therapy are poorly understood, but the benefits of several years of
myelosuppressive maintenance therapy for acute lymphoblastic leukemia are well
proven. Currently, there is no international consensus on drug dosing. Because of
significant interindividual and intraindividual variations in drug disposition
and pharmacodynamics, vigorous dose adjustments are needed to obtain a target
degree of myelosuppression. As the normal white blood cell counts vary by
patients' ages and ethnicity, and also within age groups, identical white blood
cell levels for 2 patients may not reflect the same treatment intensity.
Measurements of intracellular levels of cytotoxic metabolites of 6MP and MTX can
identify nonadherent patients, but therapeutic target levels remains to be
established. A rise in serum aminotransferase levels during maintenance therapy
is common and often related to high levels of methylated 6MP metabolites.
However, except for episodes of hypoglycemia, serious liver dysfunction is rare,
the risk of permanent liver damage is low, and aminotransferase levels usually
normalize within a few weeks after discontinuation of therapy. 6MP and MTX dose
increments should lead to either leukopenia or a rise in aminotransferases, and
if neither is experienced, poor treatment adherence should be considered. The
many genetic polymorphisms that determine 6MP and MTX disposition, efficacy, and
toxicity have precluded implementation of pharmacogenomics into treatment, the
sole exception being dramatic 6MP dose reductions in patients who are homozygous
deficient for thiopurine methyltransferase, the enzyme that methylates 6MP and
several of its metabolites. In conclusion, maintenance therapy is as important as
the more intensive and toxic earlier treatment phases, and often more
challenging. Ongoing research address the applicability of drug metabolite
measurements for dose adjustments, extensive host genome profiling to understand
diversity in treatment efficacy and toxicity, and alternative thiopurine dosing
regimens to improve therapy for the individual patient.
PMID- 24936753
TI - [Contributions of Psychiatric Association of Turkey to psychiatric training].
PMID- 24936752
TI - A review of supportive care interventions to manage distress in young children
with cancer and parents.
AB - BACKGROUND: There is a positive relationship between parent and young child
distress during cancer treatment. Dimensions of parent/child distress are
multifaceted and associated with family function and quality of life outcomes. A
critical examination of intervention research is needed to identify how
dimensions of parent/child distress and related outcomes are being addressed.
OBJECTIVE: The aims of this study were to summarize and describe supportive care
intervention research for young children with cancer and parents and to discuss
implications for family-based intervention research. METHODS: This systematic
review examined supportive care intervention studies with randomized and
nonrandomized designs for young children with cancer (aged 3-8 years) and/or
their parents published between 1991 and 2011. Twenty-two studies that met
specific inclusion criteria were reviewed to determine intervention type,
intervention components, targeted outcomes and findings, and whether
interventions addressed child, parent, or parent/child needs. RESULTS: Most
interventions focused primarily on procedural support, followed by parent
education/counseling. Most studies targeted the child or the parent alone; very
few targeted parent/child dyads. Outcomes focused primarily on child distress,
anxiety, and pain. Quality of life and coping were rarely measured, and no
studies examined family function. This body of research is emerging, with most
interventions in the developmental pilot phase and few efficacy trials.
CONCLUSIONS: Findings confirm underrepresentation of young children in supportive
care intervention research and the need for more complex, family-based
interventions to advance young child intervention research beyond acute,
procedural distress. IMPLICATIONS FOR PRACTICE: The authors discuss the
implications of review findings for clinical practice.
PMID- 24936754
TI - [Prefrontal cortex neurochemical metabolite levels in major depression and the
effects of treatment: an HMRS study].
AB - OBJECTIVE: Neuronal degeneration in the prefrontal cortex during depression
results in altered production of neurochemical metabolites. The aim of the
present study is to examine changes in neurochemical metabolites in the
prefrontal cortex and evaluate the effects of psychodrama group therapy and
pharmacotherapy on neurochemical metabolism in the first episode depression using
1HMRS methodology. METHOD: Eighteen drug-free female patients with diagnosed
first-episode major depression according to DSM-IV criteria and 10 healthy female
subjects were enrolled in the study. The Hamilton Rating of Depression Scale (HAM
D) was used to asses the severity of depression in each of the study
participants. Proton magnetic resonance spectroscopy (1HMRS) was applied to the
right prefrontal cortex both before and after treatment and the concentration of
N-Asetil Aspartate (NAA), choline (Cho), and creatine (Cr) were measured. All
patients were prescribed ant-depressant medication at the time of the evaluation
(essitalopram 10-20 mg/g). In addition, a psychodrama group therapy session was
conducted in which 10 patients participated in one 3-hour session each week. HAM
D and 1HMRS were repeated after 16 weeks. RESULTS: Prior to treatment, the HAM-D
score in the patient group was 14.55+/-4.55 while the HAM-D score was 3.88+/-2.47
after 16 weeks of treatment. The severity of symptoms among the patient group was
determined to be mild/moderate. No neurochemical abnormalities were identified in
the right prefrontal cortex of depressed patients compared to the healthy
subjects in the baseline measurements and no significant change was observed in
neurochemical metabolites following treatment with pharmacotherapy or
pharmacotherapy with group psychotherapy. CONCLUSION: Our results identified no
neurodegeneration, cell membrane dysfunction, alterations in energy metabolism,
or altered neurochemical metabolite levels in patients undergoing a first episode
of mild/moderate depression. Further studies will be needed to evaluate the
effects of alternate treatments and the presence or absence of neuronal damage
during follow-up of patients with depression.
PMID- 24936755
TI - [ADHD in adult psychiatric outpatients: prevalence and comorbidity].
AB - AIM: This study aimed to investigate the prevalence of attention
deficit/hyperactivity disorder (ADHD) in adult psychiatric outpatients. Moreover,
comorbid psychiatric diagnoses in adults with ADHD were determined. Patients with
and without ADHD were compared regarding DSM Axis I-II comorbidity and
sociodemographic characteristics. MATERIALS AND METHODS: The study included
patients that presented for the first time to a psychiatric outpatient clinic
during a 3-month period and were evaluated for adult ADHD. A sociodemographic
form, Wender Utah Rating Scale, Turgay's Adult ADD/ADHD Evaluation Scale,
Structured Clinical Interview I and II, Symptom Check List-90-R, and Beck
Depression Inventory were administered. RESULTS: The study included 246 patients.
Among the 39 patients diagnosed with ADHD, 25 were female (64.1%) and 14 were
male (35.9%), and the mean age was 27.38 +/- 8.3 years. The prevalence of ADHD in
adult psychiatric patients was 15.9%. Adults with ADHD usually presented due to
comorbid psychiatric problems; major depression (43%), generalized anxiety
disorder (23%), and obsessive-compulsive disorder (17%) were the most common
comorbid diagnoses. Substance abuse (58.9%) and attempted suicide (38.5%) were
among the most prevalent psychiatric problems. CONCLUSION: The present findings
show that ADHD is an important comorbidity in adult patients that present to
psychiatric clinics, and may cause serious mental health problems or complicate
mental illness.
PMID- 24936756
TI - [The relationship between the duration of drug use and the bipolar disorder
patients' sociodemographic and clinical characteristics].
AB - OBJECTIVE: The purpose of this study was to determine the duration of
psychotropic drug use in the long-term follow-up of bipolar disorder (BD)
patients. In addition, this study aimed to investigate their role in the daily
clinical practice in association with patient sociodemographic and clinical
characteristics. The overarching goal for this study was to produce results that
enlighten the development of new treatment strategies. METHOD: Follow-up data
acquired from the Psychiatry Department of Uludag University Faculty of Medicine
was used to retrospectively evaluate 151 patients diagnosed with BD. Socio
demographic data of the patients and information regarding the disease and the
drugs used were analyzed. RESULTS: Of the patients studied, 57.0% were female
with a mean age of 41.5+/-12.8. The mean duration of follow-up was 1985.3+/-1933
[median 1291 (15-9135)] days; euthymic period accounted for 86.0% of this
duration. Interestingly, incompliance with the treatment triggered the switch to
mania and ineffective treatment triggered the switch to depression. Medication
distribution was as follows: 95.4% of the patients received antipsychotic and
mood stabilizer treatments, 3.3% received only mood stabilizer treatment, and
1.30% received only antipsychotic treatment. The major findings of this study was
that many sociodemographic as well as clinical manifestations including, early
onset (aged <=18 years), unmarried, first episode of mania, those with disease
not showing seasonal features, psychotic symptoms, history of hospitalization,
and higher number of manic or hypomanic episodes resulted in increased patient
prescribed antipsychotic drugs CONCLUSION: Our data suggests that antipsychotic
drugs are being used more frequently and for longer durations in the treatment of
BD.
PMID- 24936757
TI - [Irritability associated with major depressive episodes: its relationship with
mood disorders and temperament].
AB - OBJECTIVE: Irritability is a well-known feature of some mood states. Within the
framework of major depressive episodes (MDEs) irritability has been associated
with subtypes of depressive mood, personality traits, depressive mixed states,
and a bipolar diathesis. This study aimed to assess the symptomatology of
irritable depression and the effect of personality. MATERIALS AND METHODS: The
study included 93 patients with MDEs, with and without other diagnoses. The
characteristics and severity of depressive, manic, and anxious symptoms were
assessed. Irritability was determined using the Irritability, Depression and
Anxiety Scale outwardly directed irritability subscale (IDA-out). The patients
were also administered the Multidimensional Assessment of Thymic States and the
Irritability Questionnaire. Personality was assessed using the Temperament and
Character Inventory-125 (TCI-125) and the Temperament Evaluation of Memphis,
Pisa, Paris, and San Diego (TEMPS-A). RESULTS: More of the MDE patients with
irritability (32.3%) had atypical features (i.e. weight gain and rejection
sensitivity), guilt, hypomanic symptoms, depressive mixed states, and a personal
and family history of bipolar disorder than the MDE patients without
irritability. Irritability was moderately correlated with depression, hypomania,
anxiety, and emotional reactivity. More of the irritable MDE patients exhibited
increased novelty seeking, irritable and hyperthymic temperament scores, and had
lower TCI-125 and TEMPS-A cooperation and harm avoidance scores than those that
were not irritable. Forward logistic regression analysis showed that there was a
strong independent association between irritability, and weight gain, irritable
temperament, depressive mixed states, novelty seeking, and depression.
CONCLUSIONS: MDE-related irritability was strongly associated with atypical and
mixed features, which may be indicative of a bipolar diathesis, as well as
specific temperament characteristics that may be indicative of trait activation.
PMID- 24936758
TI - [The impact of disease course and type of episodes in bipolar disorder on
caregiver burden].
AB - OBJECTIVE: The aim of this study was to determine how the disease course and type
of episodes in patients with bipolar I disorder (BPD-I) affect caregiver burden.
MATERIALS AND METHODS: The study was conducted between February and July 2010,
and included 89 euthymic-state BPD-I patients (55 with a natural course and 34
with >=1 mixed episode or a rapid cycling course) diagnosed according to DSM-IV
TR criteria and 89 of their caregivers. The patients were evaluated using a
sociodemographic clinical form, the Hamilton Depression Rating Scale (HAM-D),
Young Mania Rating Scale (YMRS), and Global Assessment of Functionality Scale
(GAFS). The caregivers were evaluated using a sociodemographic form and the Zarit
Caregiver Burden Interview (ZCBI). RESULTS: In all, 44% of the BPD-I patients'
caregivers had moderate to severe burden. More of the caregivers of patients with
rapid cycling or mixed episodes had social relationships negatively affected by
caregiver burden (P < 0.01). The mean YMRS score was higher in the caregivers
with moderate to severe burden (P< 0.01). As patient age, YMRS score, number of
manic episodes, duration of illness, and duration of caregiving during the
euthymic period increased (P < 0.05) the level of caregiver-perceived dependency
also increased; as caregiver age and the duration of caregiving increased, the
perception of economic burden decreased (P< 0.05). CONCLUSION: BPD-I patient
caregiving, even when patients are in a euthymic state, results in considerable
caregiver burden. Mixed episodes or rapid cycling increases the severity of
caregiver burden, as does the number of manic episodes and the presence of
subsyndromal manic features.
PMID- 24936759
TI - [The validity and reliability of the Brief-Hypomanic Attitudes and Positive
Predictions Inventory-Turkish version].
AB - OBJECTIVE: There has been a great deal of interest in specific dysfunctional
beliefs that may be associated with susceptibility to mania. The Hypomanic
Attitudes and Positive Predictions Inventory (HAPPI) was developed by Mansell
(2006) to identify such beliefs. The present study aimed to measure the
psychometric properties of the Turkish version of the brief version of the HAPPI
(Brief-HAPPI-TR). METHOD: The study sample consisted of 115 outpatients with
bipolar disorder (BD) and 103 healthy controls. Participants were administered
the Brief-HAPPI-TR, Mood Disorder Questionnaire (MDQ), and Dysfunctional
Attitudes Scale (DAS). RESULTS: The reverse-scored HAPPI items lowered the alpha
coefficient and were therefore excluded from the total score. The remaining items
had high internal consistency for the entire sample (r = 0.84), for the BD group
(r = 0.83), and for the control group (r = 0.86). The test-retest reliability
coefficient was moderately high (r = 0.41). Brief-HAPPI-TR scores were
significantly correlated with MDQ and DAS scores. Finally, Brief-HAPPI-TR was
able to differentiate between the BD patients and controls. CONCLUSION: Brief
HAPPI-TR was observed to be valid and reliable for assessing hypomanic attitudes
in Turkish BD patients in remission. In addition, we think that within the
cognitive-behavioral paradigm this scale can be used to identify and treat
dysfunctional cognitions in Turkish BD patients.
PMID- 24936760
TI - [The concept of mental health deterioration in light of decisions by higher
judicial bodies].
AB - Important arrangements were made to protect an individuals' sexual safety in the
Turkish Penal Code. During judgments of sexual crimes, the witnesses of medical
experts are usually used for evidence collection and for researching whether the
crimes were aggravated. Due to this, reports are frequently requested from all
physicians in all fields of medicine in their daily clinical practices by
judicial authorities. Following implementation of the new Turkish Penal Code, the
concept of mental health deterioration was frequently discussed and is still a
discussed topic in the fields of both law and medicine in terms of crimes against
sexual immunity. It is believed that subjects discussed in this article will
provide important information for both adult, child and adolescent mental health
professionals in terms of drawing attention to the importance of the medicolegal
evaluations which are frequently requested from psychiatrists in their daily
clinical practice and in terms of providing an evaluation of the concept of
mental health deterioration in light of judicial decisions. Regarding the process
from the beginning of application to the present, prejudications reduce questions
about how the concept must be evaluated and what the meaning of the concept is.
In this study, the decisions of Higher Judicial Bodies were researched and
situations relating to how concepts must be evaluated and the meaning of the
concept of mental health deterioration today in accordance with the
prejudications were presented.
PMID- 24936761
TI - [Idiopathic bilateral basal ganglia calcification (Fahr's disease) presenting
with psychotic depression and criminal violence: a case report with forensic
aspect].
AB - Fahr's disease is a rare neuropsychiatric disease characterized by bilateral
intracranial calcification, primarily in the basal ganglia. The more general
term, Fahr's syndrome, is used for primary and secondary basal ganglia
calcification, regardless of the etiology, but the term Fahr's disease is used to
describe primary, idiopathic cases. Fahr's disease may present with neurological
symptoms, such as parkinsonism and extrapyramidal symptoms, dysarthria, paresis,
convulsion, and syncope. Psychiatric disorders, including behavioral disorders,
psychosis, and mood disorders, as well as cognitive disorders can occur. CT is
useful for the diagnosis of Fahr's disease. Herein we present a patient diagnosed
as Fahr's disease that presented with symptoms of depression, delusions, and
auditory hallucinations. The 47-year-old male patient was hospitalized in a
forensic psychiatry inpatient clinic due to aggressive behavior and was
subsequently diagnosed with major depressive disorder with psychotic features.
While hospitalized he was treated with antidepressant and antipsychotic drugs, as
well as electroconvulsive therapy, resulting in significant improvement in his
symptoms. As bilateral basal ganglia calcification was observed via CT, the
patient was diagnosed as Fahr's disease. This case report emphasizes the
importance of cranial imaging and detailed laboratory examination when evaluating
patients with psychosis and affective symptoms. Pathologies such as Fahr's
disease must be included in the differential diagnosis, especially in cases with
neurological symptoms and cranial imaging findings.
PMID- 24936762
TI - Genome-wide analysis of Sphingomonas wittichii RW1 behaviour during inoculation
and growth in contaminated sand.
AB - The efficacy of inoculation of single pure bacterial cultures into complex
microbiomes, for example, in order to achieve increased pollutant degradation
rates in contaminated material (that is, bioaugmentation), has been frustrated by
insufficient knowledge on the behaviour of the inoculated bacteria under the
specific abiotic and biotic boundary conditions. Here we present a comprehensive
analysis of genome-wide gene expression of the bacterium Sphingomonas wittichii
RW1 in contaminated non-sterile sand, compared with regular suspended batch
growth in liquid culture. RW1 is a well-known bacterium capable of mineralizing
dibenzodioxins and dibenzofurans. We tested the reactions of the cells both
during the immediate transition phase from liquid culture to sand with or without
dibenzofuran, as well as during growth and stationary phase in sand. Cells during
transition show stationary phase characteristics, evidence for stress and for
nutrient scavenging, and adjust their primary metabolism if they were not
precultured on the same contaminant as found in the soil. Cells growing and
surviving in sand degrade dibenzofuran but display a very different transcriptome
signature as in liquid or in liquid culture exposed to chemicals inducing drought
stress, and we obtain evidence for numerous 'soil-specific' expressed genes.
Studies focusing on inoculation efficacy should test behaviour under conditions
as closely as possible mimicking the intended microbiome conditions.
PMID- 24936763
TI - Quorum sensing enhancement of the stress response promotes resistance to quorum
quenching and prevents social cheating.
AB - Quorum sensing (QS) coordinates the expression of virulence factors and allows
bacteria to counteract the immune response, partly by increasing their tolerance
to the oxidative stress generated by immune cells. Despite the recognized role of
QS in enhancing the oxidative stress response, the consequences of this
relationship for the bacterial ecology remain unexplored. Here we demonstrate
that QS increases resistance also to osmotic, thermal and heavy metal stress.
Furthermore a QS-deficient lasR rhlR mutant is unable to exert a robust response
against H2O2 as it has less induction of catalase and NADPH-producing
dehydrogenases. Phenotypic microarrays revealed that the mutant is very sensitive
to several toxic compounds. As the anti-oxidative enzymes are private goods not
shared by the population, only the individuals that produce them benefit from
their action. Based on this premise, we show that in mixed populations of wild
type and the mexR mutant (resistant to the QS inhibitor furanone C-30), treatment
with C-30 and H2O2 increases the proportion of mexR mutants; hence, oxidative
stress selects resistance to QS compounds. In addition, oxidative stress alone
strongly selects for strains with active QS systems that are able to exert a
robust anti oxidative response and thereby decreases the proportion of QS
cheaters in cultures that are otherwise prone to invasion by cheats. As in
natural environments stress is omnipresent, it is likely that this QS enhancement
of stress tolerance allows cells to counteract QS inhibition and invasions by
social cheaters, therefore having a broad impact in bacterial ecology.
PMID- 24936764
TI - Modulation of gut microbiota during probiotic-mediated attenuation of metabolic
syndrome in high fat diet-fed mice.
AB - Structural disruption of gut microbiota and associated inflammation are
considered important etiological factors in high fat diet (HFD)-induced metabolic
syndrome (MS). Three candidate probiotic strains, Lactobacillus paracasei CNCM I
4270 (LC), L. rhamnosus I-3690 (LR) and Bifidobacterium animalis subsp. lactis I
2494 (BA), were individually administered to HFD-fed mice (10(8) cells day(-1))
for 12 weeks. Each strain attenuated weight gain and macrophage infiltration into
epididymal adipose tissue and markedly improved glucose-insulin homeostasis and
hepatic steatosis. Weighted UniFrac principal coordinate analysis based on 454
pyrosequencing of fecal bacterial 16S rRNA genes showed that the probiotic
strains shifted the overall structure of the HFD-disrupted gut microbiota toward
that of lean mice fed a normal (chow) diet. Redundancy analysis revealed that
abundances of 83 operational taxonomic units (OTUs) were altered by probiotics.
Forty-nine altered OTUs were significantly correlated with one or more host MS
parameters and were designated 'functionally relevant phylotypes'. Thirteen of
the 15 functionally relevant OTUs that were negatively correlated with MS
phenotypes were promoted, and 26 of the 34 functionally relevant OTUs that were
positively correlated with MS were reduced by at least one of the probiotics, but
each strain changed a distinct set of functionally relevant OTUs. LC and LR
increased cecal acetate but did not affect circulating lipopolysaccharide-binding
protein; in contrast, BA did not increase acetate but significantly decreased
adipose and hepatic tumor necrosis factor-alpha gene expression. These results
suggest that Lactobacillus and Bifidobacterium differentially attenuate obesity
comorbidities in part through strain-specific impacts on MS-associated phylotypes
of gut microbiota in mice.
PMID- 24936767
TI - Long-term macrolide antibiotic therapy may prevent the development of pneumonia
in the elderly.
PMID- 24936765
TI - A single genus in the gut microbiome reflects host preference and specificity.
AB - Delineating differences in gut microbiomes of human and animal hosts contributes
towards understanding human health and enables new strategies for detecting
reservoirs of waterborne human pathogens. We focused upon Blautia, a single
microbial genus that is important for nutrient assimilation as preliminary work
suggested host-related patterns within members of this genus. In our dataset of
57 M sequence reads of the V6 region of the 16S ribosomal RNA gene in samples
collected from seven host species, we identified 200 high-resolution taxonomic
units within Blautia using oligotyping. Our analysis revealed 13 host-specific
oligotypes that occurred exclusively in fecal samples of humans (three
oligotypes), swine (six oligotypes), cows (one oligotype), deer (one oligotype),
or chickens (two oligotypes). We identified an additional 171 oligotypes that
exhibited differential abundance patterns among all the host species. Blautia
oligotypes in the human population obtained from sewage and fecal samples
displayed remarkable continuity. Oligotypes from only 10 Brazilian human fecal
samples collected from individuals in a rural village encompassed 97% of all
Blautia oligotypes found in a Brazilian sewage sample from a city of three
million people. Further, 75% of the oligotypes in Brazilian human fecal samples
matched those in US sewage samples, implying that a universal set of Blautia
strains may be shared among culturally and geographically distinct human
populations. Such strains can serve as universal markers to assess human fecal
contamination in environmental samples. Our results indicate that host
specificity and host-preference patterns of organisms within this genus are
driven by host physiology more than dietary habits.
PMID- 24936766
TI - High prevalence of biofilm synergy among bacterial soil isolates in cocultures
indicates bacterial interspecific cooperation.
AB - Biofilms that form on roots, litter and soil particles typically contain multiple
bacterial species. Currently, little is known about multispecies biofilm
interactions and few studies have been based on environmental isolates. Here, the
prevalence of synergistic effects in biofilm formation among seven different soil
isolates, cocultured in combinations of four species, was investigated. We
observed greater biofilm biomass production in 63% of the four-species culture
combinations tested than in biofilm formed by single-species cultures,
demonstrating a high prevalence of synergism in multispecies biofilm formation.
One four-species consortium, composed of Stenotrophomonas rhizophila, Xanthomonas
retroflexus, Microbacterium oxydans and Paenibacillus amylolyticus, exhibited
strong synergy in biofilm formation and was selected for further study. Of the
four strains, X. retroflexus was the only one capable of forming abundant biofilm
in isolation, under the in vitro conditions investigated. In accordance, strain
specific quantitative PCR revealed that X. retroflexus was predominant within the
four-species consortium (>97% of total biofilm cell number). Despite low relative
abundance of all the remaining strains, all were indispensable for the strong
synergistic effect to occur within the four-species biofilm. Moreover, absolute
individual strain cell numbers were significantly enhanced when compared with
those of single-species biofilms, indicating that all the individual strains
benefit from inclusion in the multispecies community. Our results show a high
prevalence of synergy in biofilm formation in multispecies consortia isolated
from a natural bacterial habitat and suggest that interspecific cooperation
occurs.
PMID- 24936768
TI - Citrullus colocynthis (L.) Schrad (bitter apple fruit): a review of its
phytochemistry, pharmacology, traditional uses and nutritional potential.
AB - ETHNOPHARMACOLOGICAL RELEVANCE: Citrullus colocynthis (L.) Schrad is a valuable
cucurbit plant, widely distributed in the desert areas of the world. Citrullus
colocynthis fruits are usually recognized for its wide range of medicinal uses as
well as pharmaceutical and nutraceutical potential. This review aims to appraise
the published information on the ethnobotanical knowledge, phytochemistry,
ethnopharmacology, nutraceutical potential and safety studies of Citrullus
colocynthis (bitter apple) fruit, with critical analysis on the gaps and
potential for future studies. MATERIAL AND METHODS: A literature survey was
performed by searching the scientific databases including PubMed, Scopus,
SciFinder, Google Scholar, Web of Science, ACS as well as published books.
RESULTS: The plant has been reported to possess a wide range of traditional
medicinal uses including in diabetes, leprosy, common cold, cough, asthma,
bronchitis, jaundice, joint pain, cancer, toothache, wound, mastitis, and in
gastrointestinal disorders such as indigestion, constipation, dysentery,
gastroenteritis, colic pain and different microbial infections. Several bioactive
chemical constituents from fruits were recorded, such as, glycosides, flavonoids,
alkaloids, fatty acids and essential oils. The isolation and identification of
curcurbitacins A, B, C, D, E, I, J, K, and L and Colocynthosides A, and B were
also reported. The fruit of Citrullus colocynthis has been studied extensively
for its wide range of biological activities, which include antioxidant,
cytotoxic, antidiabetic, antilipidemic, insecticide, antimicrobial and anti
inflammatory. The plant was also shown to be rich in nutritional value with high
protein contents and important minerals as well as edible quality of seed oil.
CONCLUSION: It is evident from the literature that Citrullus colocynthis
possesses a wide range of medicinal uses and has been well studied for its
antidiabetic, anticancer, antioxidant, antimicrobial and anti-inflammatory
activities, while its therapeutic potential for gut, airways and cardiovascular
disorders remains to be explored. Critical analysis revealed that the plant has
the huge potential for pharmaceutical and nutraceutical application, with some
indications for the presence of synergistic and /or side effects neutralizing
combinations of activities.
PMID- 24936769
TI - Multi-wavelength photoacoustic imaging of inducible tyrosinase reporter gene
expression in xenograft tumors.
AB - Photoacoustic imaging is an emerging hybrid imaging technology capable of
breaking through resolution limits of pure optical imaging technologies imposed
by optical-scattering to provide fine-resolution optical contrast information in
deep tissues. We demonstrate the ability of multi-wavelength photoacoustic
imaging to estimate relative gene expression distributions using an inducible
expression system and co-register images with hemoglobin oxygen saturation
estimates and micro-ultrasound data. Tyrosinase, the rate-limiting enzyme in
melanin production, is used as a reporter gene owing to its strong optical
absorption and enzymatic amplification mechanism. Tetracycline-inducible melanin
expression is turned on via doxycycline treatment in vivo. Serial multi
wavelength imaging reveals very low estimated melanin expression in tumors prior
to doxycycline treatment or in tumors with no tyrosinase gene present, but strong
signals after melanin induction in tumors tagged with the tyrosinase reporter.
The combination of new inducible reporters and high-resolution photoacoustic and
micro-ultrasound technology is poised to bring a new dimension to the study of
gene expression in vivo.
PMID- 24936771
TI - PEGylated recombinant human interferon-omega as a long-acting antiviral agent:
structure, antiviral activity and pharmacokinetics.
AB - Recombinant human interferon-omega (rhIFN-omega) exhibits a potent antiviral
activity. Because of poor pharmacokinetics (PK) of rhIFN-omega, frequent dosing
of rhIFN-omega is necessitated to achieve the sustained antiviral efficacy.
PEGylation can efficiently improve the PK of rhIFN-omega while substantially
decrease its bioactivity. The structure, antiviral activity and PK of the
PEGylated rhIFN-omega were measured to establish their relationship with
PEGylation sites, polyethylene glycol (PEG) mass and PEG structure. Accordingly,
N-terminus and the lysine residues were selected as the PEGylation sites. PEGs
with Mw of 20kDa and 40kDa were used to investigate the effect of PEG mass.
Linear and branched PEGs were used to investigate the effect of PEG structure.
PEGylation decreased the antiviral activity of rhIFN-omega and improved its PK.
The PEGylation sites determine the bioactivity of the PEGylated rhIFN-omega and
the conjugated PEG mass determines the PK. N-terminally PEGylated rhIFN-omega
with 40kDa linear PEG maintains 21.7% of the rhIFN-omega antiviral activity with
a half-life of 139.6h. Thus, N-terminally PEGylated rhIFN-omega with linear 40kDa
PEG is a potential antiviral agent for long-acting treatment of the viral
diseases.
PMID- 24936772
TI - The antidepressant-like effect of 7-fluoro-1,3-diphenylisoquinoline-1-amine in
the mouse forced swimming test is mediated by serotonergic and dopaminergic
systems.
AB - The aim of the present study was to investigate the role of monoaminergic system
in the antidepressant-like action of 7-fluoro-1,3-diphenylisoquinoline-1-amine
(FDPI), a derivative of isoquinoline class, in Swiss mice. The antidepressant
like effect of FDPI was characterized in the modified forced swimming test (FST)
and the possible mechanism of action was investigated by using serotonergic,
dopaminergic and noradrenergic antagonists. Monoamine oxidase (MAO) activity and
[(3)H]serotonin (5-HT) uptake were determined in prefrontal cortices of mice. The
results showed that FDPI (1, 10 and 20mg/kg, i.g.) reduced the immobility time
and increased the swimming time but did not alter climbing time in the modified
FST. These effects were similar to those of paroxetine (8mg/kg, i.p.), a positive
control. Pretreatments with p-chlorophenylalanine (100mg/kg, i.p., an inhibitor
of 5-HT synthesis), WAY100635 (0.1mg/kg, s.c., 5-HT1A antagonist), ondansetron
(1mg/kg, i.p., a 5-HT3 receptor antagonist), haloperidol (0.2mg/kg, i.p., a non
selective D2 receptor antagonist) and SCH23390 (0.05mg/kg, s.c., a D1 receptor
antagonist) were effective to block the antidepressant-like effect of FDPI at a
dose of 1mg/kg in the FST. Ritanserin (1mg/kg, i.p., a 5-HT2A/2C receptor
antagonist), sulpiride (50mg/kg, i.p., a D2 and D3 receptor antagonist), prazosin
(1mg/kg, i.p., an alpha1 receptor antagonist), yohimbine (1mg/kg, i.p., an alpha2
receptor antagonist) and propranolol (2mg/kg, i.p., a beta receptor antagonist)
did not modify the effect of FDPI in the FST. FDPI did not change synaptosomal
[(3)H]5-HT uptake. At doses of 10 and 20mg/kg FDPI inhibited MAO-A and MAO-B
activities. These results suggest that antidepressant-like effect of FDPI is
mediated mostly by serotonergic and dopaminergic systems.
PMID- 24936773
TI - Anxiolytic effects of diphenyl diselenide on adult zebrafish in a novelty
paradigm.
AB - Anxiety-related disorders are frequently observed in the population. Because the
available pharmacotherapies for anxiety can cause side effects, new anxiolytic
compounds have been screened using behavioral tasks. For example, diphenyl
diselenide (PhSe)2, a simple organoselenium compound with neuroprotective
effects, has demonstrated anxiolytic effects in rodents. However, this compound
has not yet been tested in a novelty-based paradigm in non-mammalian animal
models. In this study, we assessed the potential anxiolytic effects of (PhSe)2 on
the behavior of adult zebrafish under novelty-induced stress. The animals were
pretreated with 0.1, 0.25, 0.5, and 1MUM (PhSe)2 in the aquarium water for 30min.
The fish were then exposed to a novel tank, and their behavior was quantified
during a 6-min trial. (PhSe)2 treatment altered fish behavior in a concentration
dependent manner. At 0.01 and 0.25MUM, (PhSe)2 did not elicit effects on fish
behavior. At 0.5MUM, moderate behavioral side effects (e.g., lethargy and short
episodic immobility) were noted. At the highest concentration tested (1MUM),
dramatic side effects were observed, such as burst behavior and longer periods of
immobility. The results were confirmed by spatiotemporal analysis of each group.
Occupancy plot data showed dispersed homebase formation in the 0.25MUM (PhSe)2
treated group compared with the control group (treated with 0.04% DMSO).
Furthermore, animals treated with 0.25MUM (PhSe)2 showed a reduction in latency
to enter the top and spent more time in the upper area of the tank. These data
suggest that (PhSe)2 may induce an anxiolytic-like effect in situations of
anxiety evoked by novelty.
PMID- 24936774
TI - Gaining control through frustration: two-fold approach for Liquid Crystal three
dimensional command layers.
AB - The alignment of Liquid Crystal (LC) molecules, essential for their applications
in optical devices such as displays, is usually controlled by functionalizing
their confining surfaces by either patterning or by specific surfactants that
induce either parallel or perpendicular molecular arrangement. Inducing a
bistable alignment, such as in the new zenithal bistable displays, offers new
opportunities in terms of new functionalities and lower energy consumption but a
full understanding of such bistable alignment appears still complicated. Here we
present a simple phenomenological model that includes surface topography and
chemistry. The predicted orientational transitions and bistable states are in
excellent agreement with experiments, thus making this a proper tool to design
multistable 3D command layers.
PMID- 24936777
TI - Management of mastoid and related disorders.
PMID- 24936776
TI - Evidence for morphological alterations in prefrontal white matter glia in
schizophrenia and bipolar disorder.
AB - BACKGROUND: Brain imaging studies suggest that volume reductions and compromised
white matter integrity occur in schizophrenia and bipolar disorder (BD). However,
the cellular correlates have not yet been identified. To address this issue we
assessed oligodendrocyte, astrocyte and microglial populations in postmortem
white matter from schizophrenia, BD and nonpsychiatric control samples. METHODS:
The density, areal fraction and spatial distribution of glial fibrillary acidic
protein (GFAP)-expressing astrocytes and ionized calcium-binding adaptor molecule
1 (IBA-1)-expressing microglia as well as the density, nuclear size and spatial
distribution of Nissl-stained oligodendrocytes were quantified in postmortem
white matter adjacent to the dorsolateral prefrontal cortex (Brodmann area 9) in
schizophrenia, BD and control samples (n = 20). In addition, the oligodendrocyte
associated proteins myelin basic protein and 2,3-cyclic-nucleotide 3
phosphodiesterase (CNPase) were quantified in the same samples by enzyme-linked
immunosorbent assay and immunoblotting. RESULTS: Oligodendrocyte density (p =
0.012) and CNPase protein levels (p = 0.038) differed between groups, being
increased in BD compared with control samples. The GFAP area fraction (p = 0.05)
and astrocyte spatial distribution (p = 0.040) also differed between groups,
reflecting decreased area fraction and increased cell clustering in both
schizophrenia and BD samples. LIMITATIONS: Oligodendrocytes were identified using
morphological criteria. CONCLUSION: This study provides evidence for glial
pathology in prefrontal white matter in schizophrenia and BD. Changes in
oligodendrocyte and astrocyte populations in white matter in the major
psychiatric disorders may reflect disruptions in structural or metabolic support
of axons.
PMID- 24936778
TI - Chronic otitis media with cholesteatoma with canal fistula and bone conduction
threshold after tympanoplasty with mastoidectomy.
AB - OBJECTIVE: To understand the third mobile window effect of chronic otitis media
with cholesteatoma with inner ear fistula on the bone conduction threshold, we
examined changes in the bone conduction audiogram after tympanoplasty with
mastoidectomy for chronic otitis media with cholesteatoma with canal fistula.
STUDY DESIGN: Retrospective case review. SETTING: Tertiary referral center.
PATIENTS: According to the intraoperative classification of Dornhoffer and
Milewski, we focused especially on Type IIa (anatomic bony fistula with no
perilymph leak). We checked the bone conduction threshold at least 3 times: just
before, just after, and 6 months after surgery in 20 ears with Type IIa lateral
semicircular canal fistula. INTERVENTION: Tympanoplasty with mastoidectomy. MAIN
OUTCOME MEASURE: Bone conduction thresholds before and after tympanoplasty with
mastoidectomy. RESULTS: Compared with the preoperative bone conduction threshold,
6 cases were better, 12 cases were unchanged, and 2 cases were worse within the
first postoperative week. Finally, 1 case was better, 15 cases were unchanged,
and 4 cases were worse at the sixth postoperative month. Patients with a better
bone conduction threshold in the low-tone frequencies immediately after surgery
had a tendency to show no preoperative fistula symptoms. Postoperative
spontaneous nystagmus had a tendency to be observed in patients with a worse bone
conduction threshold in the high-tone frequencies. CONCLUSION: The better bone
conduction threshold at low-tone frequencies immediately after tympanoplasty with
mastoidectomy and no preoperative fistula symptoms might imply the third mobile
window theory. The worse bone conduction threshold in high-tone frequencies with
spontaneous nystagmus after surgery might indicate inner ear damage.
PMID- 24936775
TI - Investigation of the involvement of MIR185 and its target genes in the
development of schizophrenia.
AB - BACKGROUND: Schizophrenia is a complex neuropsychiatric disorder of unclear
etiology. The strongest known genetic risk factor is the 22q11.2 microdeletion.
Research has yet to confirm which genes within the deletion region are implicated
in schizophrenia. The minimal 1.5 megabase deletion contains MIR185, which
encodes microRNA 185. METHODS: We determined miR-185 expression in embryonic and
adult mouse brains. Common and rare variants at this locus were then investigated
using a human genetics approach. First, we performed gene-based analyses for
MIR185 common variants and target genes using Psychiatric Genomics Consortium
genome-wide association data. Second, MIR185 was resequenced in German patients
(n = 1000) and controls (n = 500). We followed up promising variants by
genotyping an additional European sample (patients, n = 3598; controls, n =
4082). RESULTS: In situ hybridization in mice revealed miR-185 expression in
brain regions implicated in schizophrenia. Gene-based tests revealed association
between common variants in 3 MIR185 target genes (ATAT1, SH3PXD2A, NTRK3) and
schizophrenia. Further analyses in mice revealed overlapping expression patterns
for these target genes and miR-185. Resequencing identified 2 rare patient
specific novel variants flanking MIR185. However, follow-up genotyping provided
no further evidence of their involvement in schizophrenia. LIMITATIONS: Power to
detect rare variant associations was limited. CONCLUSION: Human genetic analyses
generated no evidence of the involvement of MIR185 in schizophrenia. However, the
expression patterns of miR-185 and its target genes in mice, and the genetic
association results for the 3 target genes, suggest that further research into
the involvement of miR-185 and its downstream pathways in schizophrenia is
warranted.
PMID- 24936779
TI - Neuregulin-3 regulates epithelial progenitor cell positioning and specifies
mammary phenotype.
AB - Mutation of Neuregulin-3 (Nrg3) results in defective embryonic mammary gland
development. Here, we investigate functions of Nrg3 signaling in embryonic
mammary morphogenesis. Nrg3 regulates the distribution of epithelial progenitor
cells within the presumptive mammary-forming region during early mammary
morphogenesis. Basal and suprabasal epithelial cells are significantly smaller
within the hypoplastic mammary primordium (MP) that forms in Nrg3 mutants,
indicative of failure to acquire mammary epithelial cell (MEC) morphological
phenotype. Activation of Erbb4 JM-a CYT-1, an Erbb4 isoform expressed in the
developing MP, leads to MEC spreading and migration. Nrg3 promotes the
accumulation of epithelial progenitor cells at the MP site in embryo explant
cultures. Our results implicate Nrg3 signaling in mediating key events of mammary
mesenchyme specification, including mesenchymal condensation, mitosis, and
induction of mammary marker expression. Taken together, our results show Nrg3 has
a major role in conferring specification of the mammary phenotype to both
epithelial and mesenchymal progenitor cells.
PMID- 24936780
TI - Nutritional recommendations for divers.
AB - Competitive diving involves grace, power, balance, and flexibility, which all
require satisfying daily energy and nutrient needs. Divers are short, well
muscled, and lean, giving them a distinct biomechanical advantage. Although
little diving-specific nutrition research on performance and health outcomes
exists, there is concern that divers are excessively focused on body weight and
composition, which may result in reduced dietary intake to achieve desired
physique goals. This will result in low energy availability, which may have a
negative impact on their power-to-weight ratio and health risks. Evidence is
increasing that restrictive dietary practices leading to low energy availability
also result in micronutrient deficiencies, premature fatigue, frequent injuries,
and poor athletic performance. On the basis of daily training demands, estimated
energy requirements for male and female divers are 3,500 kcal and 2,650 kcal,
respectively. Divers should consume a diet that provides 3-8 g/kg/day of
carbohydrate, with the higher values accommodating growth and development. Total
daily protein intake (1.2-1.7 g/kg) should be spread evenly throughout the day in
20 to 30 g amounts and timed appropriately after training sessions. Divers should
consume nutrient-dense foods and fluids and, with medical supervision, certain
dietary supplements (i.e., calcium and iron) may be advisable. Although sweat
loss during indoor training is relatively low, divers should follow appropriate
fluid-intake strategies to accommodate anticipated sweat losses in hot and humid
outdoor settings. A multidisciplinary sports medicine team should be integral to
the daily training environment, and suitable foods and fluids should be made
available during prolonged practices and competitions.
PMID- 24936781
TI - Revealing the structure-property relationship of covalent organic frameworks for
CO2 capture from postcombustion gas: a multi-scale computational study.
AB - With the aid of multi-scale computational methods, a diverse set of 46 covalent
organic frameworks (COFs), covering the most typical COFs synthesized to date,
were collected to study the structure-property relationship of COFs for CO2
capture. For this purpose, CO2 capture from postcombustion gas (CO2-N2 mixture)
under industrial vacuum swing adsorption (VSA) conditions was considered as an
example. This work shows that adsorption selectivity, CO2 working capacity and
the sorbent selection parameter of COFs all exhibit strong correlation with the
difference in the adsorbility of adsorbates (DeltaAD), highlighting that
realization of large DeltaAD can be regarded as an important starting point for
designing COFs with improved separation performance. Furthermore, it was revealed
that the separation performance of 2D-layered COFs can be greatly enhanced by
generating "splint effects", which can be achieved through structural realignment
to form slit-like pores with suitable size in the structures. Such "splint
effects" in 2D-COFs can find their similar counterpart of "catenation effects" in
3D-COFs or MOFs. On the basis of these observations, a new design strategy was
proposed to strengthen the separation performance of COFs. It could be expected
that the information obtained in this work not only will enrich the knowledge of
the structure-property relationship of COFs for separation, but also will largely
facilitate their future applications to the fields related to energy and
environmental science, such as natural gas purification, CO2, NO(x) and SO(x)
capture, etc.
PMID- 24936783
TI - Toll-like receptors expressed by synovial fibroblasts perpetuate Th1 and th17
cell responses in rheumatoid arthritis.
AB - Rheumatoid arthritis (RA) is a chronic inflammatory disease characterized by
synovial fibroblast hyperplasia and bone and cartilage erosion. Synovial
fibroblast- and T cell-mediated inflammation plays crucial roles in the
pathogenesis of RA. However how this inflammation is initiated, propagated, and
maintained remains controversial. Here, we systemically examined the contribution
of toll-like receptors (TLRs) to the inflammatory mediator production as well as
Th1 and Th17 cell hyperactivity in RA. Our results show that rheumatoid arthritis
synovial fibroblasts (RASF) express a series of TLRs, including TLR2, TLR3, TLR4,
and TLR9, with the predominant expression of TLR3. Moreover, the expression
levels of these TLRs were higher than those in osteoarthritis synovial
fibroblasts (OASF). Ligation of TLR3, as well as TLR2 and TLR4, resulted in
vigorous production of inflammatory cytokines, matrix metalloproteinases (MMPs),
and vascular endothelial growth factor (VEGF) in RASF, with activation of the NF
kappaB, MAPK, and IRF3 pathways. More important, activation of these TLRs
expressed by RASF exacerbated inflammatory Th1 and Th17 cell expansion both in
cell-cell contact-dependent and inflammatory cytokine-dependent manners, which
induced more IFN-gamma and IL-17 accumulation. Targeting TLRs may modulate the
inflammation in RA and provide new therapeutic strategies for overcoming this
persistent disease.
PMID- 24936784
TI - Pre- and post-operative nomograms to predict recurrence-free probability in
korean men with clinically localized prostate cancer.
AB - OBJECTIVES: Although the incidence of prostate cancer (PCa) is rapidly increasing
in Korea, there are few suitable prediction models for disease recurrence after
radical prostatectomy (RP). We established pre- and post-operative nomograms
estimating biochemical recurrence (BCR)-free probability after RP in Korean men
with clinically localized PCa. PATIENTS AND METHODS: Our sampling frame included
3,034 consecutive men with clinically localized PCa who underwent RP at our
tertiary centers from June 2004 through July 2011. After inappropriate data
exclusion, we evaluated 2,867 patients for the development of nomograms. The Cox
proportional hazards regression model was used to develop pre- and post-operative
nomograms that predict BCR-free probability. Finally, we resampled from our study
cohort 200 times to determine the accuracy of our nomograms on internal
validation, which were designated with concordance index (c-index) and further
represented by calibration plots. RESULTS: Over a median of 47 months of follow
up, the estimated BCR-free rate was 87.8% (1 year), 83.8% (2 year), and 72.5% (5
year). In the pre-operative model, Prostate-Specific Antigen (PSA), the
proportion of positive biopsy cores, clinical T3a and biopsy Gleason score (GS)
were independent predictive factors for BCR, while all relevant predictive
factors (PSA, extra-prostatic extension, seminal vesicle invasion, lymph node
metastasis, surgical margin, and pathologic GS) were associated with BCR in the
post-operative model. The c-index representing predictive accuracy was 0.792 (pre
) and 0.821 (post-operative), showing good fit in the calibration plots.
CONCLUSIONS: In summary, we developed pre- and post-operative nomograms
predicting BCR-free probability after RP in a large Korean cohort with clinically
localized PCa. These nomograms will be provided as the mobile application-based
SNUH Prostate Cancer Calculator. Our nomograms can determine patients at high
risk of disease recurrence after RP who will benefit from adjuvant therapy.
PMID- 24936785
TI - Post-training scopolamine treatment induced maladaptive behavior in open field
habituation task in rats.
AB - The effects of scopolamine on memory consolidation are controversial and depend
on several factors (i.e. site of administration, time of administration and
testing, dose, cognitive task, experimental protocol, specie, strain, etc.).
Generally, the range dose of systemic administered scopolamine, used in memory
consolidation studies, has varied from 0.05 to 50 mg/kg. However, according to
the literature, the most frequently used doses of scopolamine efficient on memory
consolidation, are 1 and 30 mg/kg, low and high doses, respectively. In open
field habituation studies only lower doses of scopolamine were used to test
memory consolidation. Therefore, in the present study we compared the effects of
low (1 mg/kg) and high (30 mg/kg) scopolamine dose, on the open field habituation
task, in male Wistar rats. Scopolamine was administered immediately after the
acquisition task and animals were retested 48 h later on. On the retested day,
the ambulation and rearing in the open field decreased in the same manner in all
tested groups. In saline- and 1 mg/kg scopolamine-treated animals, the time spent
in grooming significantly decreased in the habituation task, while the same
parameter significantly increased in animals treated with 30 mg/kg of
scopolamine. The defecation rate significantly decreased (control group),
maintained (1 mg/kg of scopolamine treated animals) or significantly increased
(30 mg/kg of scopolamine treated group) on retention test. In conclusion, the
present data suggest that post-training scopolamine administration does not
affect locomotion neither exploration in the habituation to a novel environment,
but increases defecation and grooming, two behaviours associated with fearful and
stressful situations.
PMID- 24936786
TI - Characterizing the phylogenetic tree community structure of a protected tropical
rain forest area in Cameroon.
AB - Tropical rain forests, the richest terrestrial ecosystems in biodiversity on
Earth are highly threatened by global changes. This paper aims to infer the
mechanisms governing species tree assemblages by characterizing the phylogenetic
structure of a tropical rain forest in a protected area of the Congo Basin, the
Dja Faunal Reserve (Cameroon). We re-analyzed a dataset of 11538 individuals
belonging to 372 taxa found along nine transects spanning five habitat types. We
generated a dated phylogenetic tree including all sampled taxa to partition the
phylogenetic diversity of the nine transects into alpha and beta components at
the level of the transects and of the habitat types. The variation in
phylogenetic composition among transects did not deviate from a random pattern at
the scale of the Dja Faunal Reserve, probably due to a common history and weak
environmental variation across the park. This lack of phylogenetic structure
combined with an isolation-by-distance pattern of taxonomic diversity suggests
that neutral dispersal limitation is a major driver of community assembly in the
Dja. To assess any lack of sensitivity to the variation in habitat types, we
restricted the analyses of transects to the terra firme primary forest and found
results consistent with those of the whole dataset at the level of the transects.
Additionally to previous analyses, we detected a weak but significant
phylogenetic turnover among habitat types, suggesting that species sort in
varying environments, even though it is not predominating on the overall
phylogenetic structure. Finer analyses of clades indicated a signal of clustering
for species from the Annonaceae family, while species from the Apocynaceae family
indicated overdispersion. These results can contribute to the conservation of the
park by improving our understanding of the processes dictating community assembly
in these hyperdiverse but threatened regions of the world.
PMID- 24936787
TI - Inflammation induces irreversible biophysical changes in isolated nucleus
pulposus cells.
AB - Intervertebral disc degeneration is accompanied by elevated levels of
inflammatory cytokines that have been implicated in disease etiology and matrix
degradation. While the effects of inflammatory stimulation on disc cell
metabolism have been well-studied, their effects on cell biophysical properties
have not been investigated. The hypothesis of this study is that inflammatory
stimulation alters the biomechanical properties of isolated disc cells and volume
responses to step osmotic loading. Cells from the nucleus pulposus (NP) of bovine
discs were isolated and treated with either lipopolysaccharide (LPS), an
inflammatory ligand, or with the recombinant cytokine TNF-alpha for 24 hours. We
measured cellular volume regulation responses to osmotic loading either
immediately after stimulation or after a 1 week recovery period from the
inflammatory stimuli. Cells from each group were tested under step osmotic
loading and the transient volume-response was captured via time-lapse microscopy.
Volume-responses were analyzed using mixture theory framework to investigate two
biomechanical properties of the cell, the intracellular water content and the
hydraulic permeability. Intracellular water content did not vary between
treatment groups, but hydraulic permeability increased significantly with
inflammatory treatment. In the 1 week recovery group, hydraulic permeability
remained elevated relative to the untreated recovery control. Cell radius was
also significantly increased both after 24 hours of treatment and after 1 week
recovery. A significant linear correlation was observed between hydraulic
permeability and cell radius in untreated cells at 24 hours and at 1-week
recovery, though not in the inflammatory stimulated groups at either time point.
This loss of correlation between cell size and hydraulic permeability suggests
that regulation of volume change is disrupted irreversibly due to inflammatory
stimulation. Inflammatory treated cells exhibited altered F-actin cytoskeleton
expression relative to untreated cells. We also found a significant decrease in
the expression of aquaporin-1, the predominant water channel in disc NP cells,
with inflammatory stimulation. To our knowledge, this is the first study
providing evidence that inflammatory stimulation directly alters the
mechanobiology of NP cells. The cellular biophysical changes observed in this
study are coincident with documented changes in the extracellular matrix induced
by inflammation, and may be important in disease etiology.
PMID- 24936788
TI - Pharmacokinetics of BPA in gliomas with ultrasound induced blood-brain barrier
disruption as measured by microdialysis.
AB - The blood-brain barrier (BBB) can be transiently disrupted by focused ultrasound
(FUS) in the presence of microbubbles for targeted drug delivery. Previous
studies have illustrated the pharmacokinetics of drug delivery across the BBB
after sonication using indirect visualization techniques. In this study, we
investigated the in vivo extracellular kinetics of boronophenylalanine-fructose
(BPA-f) in glioma-bearing rats with FUS-induced BBB disruption by microdialysis.
After simultaneous intravenous administration of BPA and FUS exposure, the boron
concentration in the treated brains was quantified by inductively coupled plasma
mass spectroscopy. With FUS, the mean peak concentration of BPA-f in the glioma
dialysate was 3.6 times greater than without FUS, and the area under the
concentration-time curve was 2.1 times greater. This study demonstrates that
intracerebral microdialysis can be used to assess local BBB transport profiles of
drugs in a sonicated site. Applying microdialysis to the study of metabolism and
pharmacokinetics is useful for obtaining selective information within a specific
brain site after FUS-induced BBB disruption.
PMID- 24936789
TI - Flying-fox species density--a spatial risk factor for Hendra virus infection in
horses in eastern Australia.
AB - Hendra virus causes sporadic but typically fatal infection in horses and humans
in eastern Australia. Fruit-bats of the genus Pteropus (commonly known as flying
foxes) are the natural host of the virus, and the putative source of infection in
horses; infected horses are the source of human infection. Effective treatment is
lacking in both horses and humans, and notwithstanding the recent availability of
a vaccine for horses, exposure risk mitigation remains an important infection
control strategy. This study sought to inform risk mitigation by identifying
spatial and environmental risk factors for equine infection using multiple
analytical approaches to investigate the relationship between plausible variables
and reported Hendra virus infection in horses. Spatial autocorrelation (Global
Moran's I) showed significant clustering of equine cases at a distance of 40 km,
a distance consistent with the foraging 'footprint' of a flying-fox roost,
suggesting the latter as a biologically plausible basis for the clustering. Getis
Ord Gi* analysis identified multiple equine infection hot spots along the eastern
Australia coast from far north Queensland to central New South Wales, with the
largest extending for nearly 300 km from southern Queensland to northern New
South Wales. Geographically weighted regression (GWR) showed the density of P.
alecto and P. conspicillatus to have the strongest positive correlation with
equine case locations, suggesting these species are more likely a source of
infection of Hendra virus for horses than P. poliocephalus or P. scapulatus. The
density of horses, climate variables and vegetation variables were not found to
be a significant risk factors, but the residuals from the GWR suggest that
additional unidentified risk factors exist at the property level. Further
investigations and comparisons between case and control properties are needed to
identify these local risk factors.
PMID- 24936790
TI - A stable and reproducible human blood-brain barrier model derived from
hematopoietic stem cells.
AB - The human blood brain barrier (BBB) is a selective barrier formed by human brain
endothelial cells (hBECs), which is important to ensure adequate neuronal
function and protect the central nervous system (CNS) from disease. The
development of human in vitro BBB models is thus of utmost importance for drug
discovery programs related to CNS diseases. Here, we describe a method to
generate a human BBB model using cord blood-derived hematopoietic stem cells. The
cells were initially differentiated into ECs followed by the induction of BBB
properties by co-culture with pericytes. The brain-like endothelial cells (BLECs)
express tight junctions and transporters typically observed in brain endothelium
and maintain expression of most in vivo BBB properties for at least 20 days. The
model is very reproducible since it can be generated from stem cells isolated
from different donors and in different laboratories, and could be used to predict
CNS distribution of compounds in human. Finally, we provide evidence that
Wnt/beta-catenin signaling pathway mediates in part the BBB inductive properties
of pericytes.
PMID- 24936791
TI - A new F131V mutation in Chlamydomonas phytoene desaturase locates a cluster of
norflurazon resistance mutations near the FAD-binding site in 3D protein models.
AB - The green alga Chlamydomonas reinhardtii provides a tractable genetic model to
study herbicide mode of action using forward genetics. The herbicide norflurazon
inhibits phytoene desaturase, which is required for carotenoid synthesis.
Locating amino acid substitutions in mutant phytoene desaturases conferring
norflurazon resistance provides a genetic approach to map the herbicide binding
site. We isolated a UV-induced mutant able to grow in very high concentrations of
norflurazon (150 uM). The phytoene desaturase gene in the mutant strain contained
the first resistance mutation to be localised to the dinucleotide-binding
Rossmann-likedomain. A highly conserved phenylalanine amino acid at position 131
of the 564 amino acid precursor protein was changed to a valine in the mutant
protein. F131, and two other amino acids whose substitution confers norflurazon
resistance in homologous phytoene desaturase proteins, map to distant regions in
the primary sequence of the C. reinhardtii protein (V472, L505) but in tertiary
models these residues cluster together to a region close to the predicted FAD
binding site. The mutant gene allowed direct 5 uM norflurazon based selection of
transformants, which were tolerant to other bleaching herbicides including
fluridone, flurtamone, and diflufenican but were more sensitive to beflubutamid
than wild type cells. Norflurazon resistance and beflubutamid sensitivity allow
either positive or negative selection against transformants expressing the mutant
phytoene desaturase gene.
PMID- 24936792
TI - Enzymatic treatment of specimens before DNA extraction directly influences
molecular detection of infectious agents.
AB - INTRODUCTION: Biological samples, pharmaceuticals or food contain proteins,
lipids, polymers, ammoniums and macromolecules that alter the detection of
infectious agents by DNA amplification techniques (PCR). Moreover the targeted
DNA has to be released from the complex cell walls and the compact nucleoprotein
matrixes and cleared from potential inhibitors. The goal of the present work was
to assess the efficiency of enzymatic pretreatments on infectious agents to make
DNA available for further extraction and amplification. METHODS: Staphylococcus
epidermidis, Streptococcus mitis, Propionibacterium acnes, Escherichia coli,
Pseudomonas aeruginosa, Candida albicans, Aspergillus niger and Fusarium solani
were mixed with an internal control virus and treated with: 1) proteinase K; 2)
lyticase and 3) lyticase followed by proteinase K. DNAs was manually extracted
using the QIAmp DNA Mini kit or the MagNA Pure Compact automate. DNA extraction
yields and the inhibitors were assessed with a phocid Herpesvirus. Bacterial
detection was performed using TaqMan real-time PCR and yeasts and filamentous
Fungi with HRM (real-time PCR followed by high-resolution melting analysis).
RESULTS: Viral DNA was released, extracted and detected using manual and
automatic methods without pre enzymatic treatments. Either the manual or the
automatic DNA extraction systems did not meet the sensitivity expectations if
enzymatic treatments were not performed before: lyticase for Fungi and Proteinase
K for Bacteria. The addition of lyticase and proteinase K did not improve
results. For Fungi the detection after lyticase was higher than for Proteinase K,
for which melting analysis did not allow fungal specification. DISCUSSION:
Columns and magnetic beads allowed collecting DNA and separate PCR inhibitors.
Detection rates cannot be related to DNA-avidity of beads or to elution but to
the lack of proteolysis.
PMID- 24936793
TI - Fusion of protein aggregates facilitates asymmetric damage segregation.
AB - Asymmetric segregation of damaged proteins at cell division generates a cell that
retains damage and a clean cell that supports population survival. In cells that
divide asymmetrically, such as Saccharomyces cerevisiae, segregation of damaged
proteins is achieved by retention and active transport. We have previously shown
that in the symmetrically dividing Schizosaccharomyces pombe there is a
transition between symmetric and asymmetric segregation of damaged proteins. Yet
how this transition and generation of damage-free cells are achieved remained
unknown. Here, by combining in vivo imaging of Hsp104-associated aggregates, a
form of damage, with mathematical modeling, we find that fusion of protein
aggregates facilitates asymmetric segregation. Our model predicts that, after
stress, the increased number of aggregates fuse into a single large unit, which
is inherited asymmetrically by one daughter cell, whereas the other one is born
clean. We experimentally confirmed that fusion increases segregation asymmetry,
for a range of stresses, and identified Hsp16 as a fusion factor. Our work shows
that fusion of protein aggregates promotes the formation of damage-free cells.
Fusion of cellular factors may represent a general mechanism for their asymmetric
segregation at division.
PMID- 24936794
TI - Regulation and regulatory role of WNT signaling in potentiating FSH action during
bovine dominant follicle selection.
AB - Follicular development occurs in wave like patterns in monotocous species such as
cattle and humans and is regulated by a complex interaction of gonadotropins with
local intrafollicular regulatory molecules. To further elucidate potential
mechanisms controlling dominant follicle selection, granulosa cell RNA harvested
from F1 (largest) and F2 (second largest) follicles isolated at predeviation (PD)
and onset of diameter deviation (OD) stages of the first follicular wave was
subjected to preliminary RNA transcriptome analysis. Expression of numerous WNT
system components was observed. Hence experiments were performed to test the
hypothesis that WNT signaling modulates FSH action on granulosa cells during
follicular waves. Abundance of mRNA for WNT pathway members was evaluated in
granulosa cells harvested from follicles at emergence (EM), PD, OD and early
dominance (ED) stages of the first follicular wave. In F1 follicles, abundance of
CTNNB1 and DVL1 mRNAs was higher and AXIN2 mRNA was lower at ED versus EM stages
and DVL1 and FZD6 mRNAs were higher and AXIN2 mRNA was lower in F1 versus F2
follicle at the ED stage. Bovine granulosa cells were treated in vitro with
increasing doses of the WNT inhibitor IWR-1+/- maximal stimulatory dose of FSH.
IWR-1 treatment blocked the FSH-induced increase in granulosa cell numbers and
reduced the FSH-induced increase in estradiol. Granulosa cells were also cultured
in the presence or absence of FSH +/- IWR-1 and hormonal regulation of mRNA for
WNT pathway members and known FSH targets determined. FSH treatment increased
CYP19A1, CCND2, CTNNB1, AXIN2 and FZD6 mRNAs and the stimulatory effect on
CYP19A1 mRNA was reduced by IWR-1. In contrast, FSH reduced CARTPT mRNA and IWR-1
partially reversed the inhibitory effect of FSH. Results support temporal and
hormonal regulation and a potential role for WNT signaling in potentiating FSH
action during dominant follicle selection.
PMID- 24936795
TI - CCL25/CCR9 interactions regulate the function of iNKT cells in oxazolone-induced
colitis in mice.
AB - BACKGROUND: Natural killer T (NKT) cells share phenotypic and functional
properties with both conventional natural killer cells and T cells. These cells
might have an important role in the pathogenesis of ulcerative colitis (UC). The
interaction of chemokine ligand 25 (CCL25) with chemokine receptor 9 (CCR9) is
involved in gut-specific migration of leukocytes and induces regulatory T cells
(Tregs) to migrate to the intestine in chronic ileitis. METHODOLOGY/FINDINGS: In
UC patients, NKT receptor CD161, CCL25, and CCR9 expression levels were evaluated
by qRT-PCR. A murine model of oxazolone-induced colitis was induced in BALB/c
mice. The mRNA levels of NK1.1, CCL25 and CCR9, and pro-inflammatory cytokines in
mice were evaluated. The CCR9 expression on Type I or invariant NKT (iNKT) cells,
and the iNKT cells chemotaxis are observed according to flow cytometry. NKT
receptor CD161, CCL25 and CCR9 expression levels were significantly increased in
UC patients. And, the mRNA expression levels of NK1.1, CCL25 and CCR9 were
increased in oxazolone-induced colitis in mice. The production of pro
inflammatory cytokines was significantly increased, especially interleukin 4 (IL
4), IL-10 and IL-13. We observed significantly increased CCR9 expression on iNKT
cells. Furthermore, we found an increased iNKT population and enhanced chemotaxis
during oxazolone-induced colitis. CONCLUSIONS/SIGNIFICANCE: Our study suggests
that CCL25/CCR9 interactions may promote the induction and function of iNKT cells
during oxazolone-induced colitis. These findings may have important implications
for UC treatment and suggest a role for CCR9 inhibitors.
PMID- 24936796
TI - Analysis and comparison of somatic mutations in paired primary and recurrent
epithelial ovarian cancer samples.
AB - The TP53 mutations have been proved to be predominated in ovarian cancer in a
study from The Cancer Genome Atlas (TCGA). However, the molecular characteristics
of recurrent ovarian cancers following initial treatment have been poorly
estimated. This study was to investigate the pattern of somatic point mutations
in matched paired samples of primary and recurrent epithelial ovarian cancers,
using the OncoMap mutation detection protocol. We have adapted a high-throughput
genotyping platform to determine the mutation status of a large panel of known
cancer genes. OncoMap v.4.4 was used to evaluate genomic DNA isolated from a set
of 92 formalin-fixed, paraffin-embedded (FFPE) tumors, consisting of matched
paired samples of initially diagnosed and recurrent tumors from 46 epithelial
ovarian cancer (EOC) patients. Mutations were observed in 33.7% of the samples,
with 29.3% of these samples having a single mutation and the remaining 4.3%
having two or more mutations. Among the 41 genes analyzed, 35 mutations were
found in four genes, namely, CDKN2A (2.2%), KRAS (6.5%), MLH1 (8.2%) and TP53
(20.7%). TP53 was the most frequently mutated gene, but there was no correlation
between the presence of mutation in any gene and clinical prognosis. Furthermore,
somatic mutations did not differ between primary and recurrent ovarian
carcinomas. Every mutation present in recurrent samples was detected in the
corresponding primary sample. In conclusion, these OncoMap data of Korean EOC
samples provide that somatic mutations were found in CDKN2A, KRAS, MLH1, and
TP53. No differences in mutational status between primary and recurrent samples
were detected. To understand the biology of tumor recurrence in epithelial
ovarian cancer, more studies are necessary, including epigenetic modifications or
additional mutations in other genes.
PMID- 24936797
TI - An integrated approach to the taxonomic identification of prehistoric shell
ornaments.
AB - Shell beads appear to have been one of the earliest examples of personal
adornments. Marine shells identified far from the shore evidence long-distance
transport and imply networks of exchange and negotiation. However, worked beads
lose taxonomic clues to identification, and this may be compounded by taphonomic
alteration. Consequently, the significance of this key early artefact may be
underestimated. We report the use of bulk amino acid composition of the stable
intra-crystalline proteins preserved in shell biominerals and the application of
pattern recognition methods to a large dataset (777 samples) to demonstrate that
taxonomic identification can be achieved at genus level. Amino acid analyses are
fast (<2 hours per sample) and micro-destructive (sample size <2 mg). Their
integration with non-destructive techniques provides a valuable and affordable
tool, which can be used by archaeologists and museum curators to gain insight
into early exploitation of natural resources by humans. Here we combine amino
acid analyses, macro- and microstructural observations (by light microscopy and
scanning electron microscopy) and Raman spectroscopy to try to identify the raw
material used for beads discovered at the Early Bronze Age site of Great Cornard
(UK). Our results show that at least two shell taxa were used and we hypothesise
that these were sourced locally.
PMID- 24936798
TI - A label-free fluorescent probe for Hg2+ and biothiols based on graphene oxide and
Ru-complex.
AB - A novel, selective and sensitive switch-on fluorescent sensor for Hg(2+) and
switch-off fluorescent probe for biothiols was developed by using
[Ru(bpy)2(pip)](2+) as the signal reporter and graphene oxide (GO) as the
quencher. Due to the affinity of GO towards single-stranded DNA (ss-DNA) and
[Ru(bpy)2(pip)](2+), the three components assembled, resulting in fluorescence
quenching. Upon addition of Hg(2+), a double-stranded DNA (ds-DNA) via T-Hg(2+)-T
base pairs was formed, and [Ru(bpy)2(pip)](2+) intercalated into the newly formed
ds-DNA. Then, [Ru(bpy)2(pip)](2+) and ds-DNA were removed from the surface of GO,
resulting in the restoration of fluorescence. Subsequently, upon addition of
biothiols, Hg(2+) was released from ds-DNA, due to the higher affinity of Hg(2+)
to the sulfur atoms of biothiols, which could induce ds-DNA unwinding to form ss
DNA. Then ss-DNA and [Ru(bpy)2(pip)](2+) were adsorbed on the surface of GO, the
fluorescence of [Ru(bpy)2(pip)](2+) was quenched again. Therefore, the changes in
emission intensity of [Ru(bpy)2(pip)](2+) directly correlated to the amount of
detection target (Hg(2+) or biothiols) in solution. The assay exhibited high
sensitivity and selectivity, with the limits of detection for Hg(2+), cysteine
(Cys) and glutathione (GSH) to be 2.34 nM, 6.20 nM and 4.60 nM, respectively.
PMID- 24936799
TI - Quality of life in Alzheimer disease: a comparison of patients' and caregivers'
points of view.
AB - Unlike in other chronic diseases, the Quality of Life (QoL) of patients affected
by Alzheimer Disease (AD) has not been well established, primarily because of the
difficulties stemming from the study of patients with cognitive disorders.
Because no cure is currently available for AD, the optimization of QoL represents
the best possible outcome attainable in all stages of disease, making QoL
assessment mandatory. This study identified variables related to patients' QoL
and examined the agreement between patients' and caregivers' QoL ratings. A total
of 135 dyads (patient and principal caregiver) were enrolled in the study.
Patients' QoL evaluations showed a negative relationship with depressive mood and
a positive relationship with Activities of Daily Living (ADL), whereas
caregivers' QoL ratings showed a negative relationship with patients' depressive
mood and behavioral disturbances. Caregivers tended to underestimate patients'
QoL compared with the patients' own self-evaluations, with patients' dependency
in performing ADL and behavioral disorders as well as caregivers' burdens and
depression being the main factors associated with the discrepancy in these
evaluations. These findings suggest that the use of proxies as a substitute for
the self-report of QoL data should be treated with caution, always accounting for
the presence of potential bias.
PMID- 24936801
TI - Visible-frequency asymmetric transmission devices incorporating a hyperbolic
metamaterial.
AB - Asymmetric electromagnetic transmission has been recently demonstrated using
Lorentz-reciprocal devices, which exploit a variety of patterned structures of
linear materials to break spatial inversion symmetry. However, nanofabrication
challenges have so far precluded the fabrication of passive transmission
structures with highly asymmetric responses at visible frequencies. Here we show
that high-contrast asymmetric transmission of visible light can be provided by a
planar device of wavelength-scale thickness incorporating a pair of nonsymmetric
subwavelength gratings and a passive hyperbolic metamaterial engineered to
display a transmission window centred at a lateral spatial frequency
substantially exceeding the diffraction limit. Fabricated devices designed for
operation at central wavelengths of 532 and 633 nm, respectively, display
broadband, efficient asymmetric optical transmission with contrast ratios
exceeding 14 dB. Owing to its planar configuration, small footprint and passive
operation, this reciprocal transmission approach holds promise for integration
within compact optical systems operating at visible frequencies.
PMID- 24936800
TI - The role of FADS1/2 polymorphisms on cardiometabolic markers and fatty acid
profiles in young adults consuming fish oil supplements.
AB - Eicosapentaenoic acid (EPA) and docosahexaenoic acid (DHA) are omega-3 (n-3)
fatty acids (FAs) known to influence cardiometabolic markers of health. Evidence
suggests that single nucleotide polymorphisms (SNPs) in the fatty acid desaturase
1 and 2 (FADS1/2) gene cluster may influence an individual's response to n-3 FAs.
This study examined the impact of a moderate daily dose of EPA and DHA fish oil
supplements on cardiometabolic markers, FA levels in serum and red blood cells
(RBC), and whether these endpoints were influenced by SNPs in FADS1/2. Young
adults consumed fish oil supplements (1.8 g total EPA/DHA per day) for 12 weeks
followed by an 8-week washout period. Serum and RBC FA profiles were analyzed
every two weeks by gas chromatography. Two SNPs were genotyped: rs174537 in FADS1
and rs174576 in FADS2. Participants had significantly reduced levels of blood
triglycerides (-13%) and glucose (-11%) by week 12; however, these benefits were
lost during the washout period. EPA and DHA levels increased significantly in
serum (+250% and +51%, respectively) and RBCs (+132% and +18%, respectively)
within the first two weeks of supplementation and remained elevated throughout
the 12-week period. EPA and DHA levels in RBCs only (not serum) remained
significantly elevated (+37% and +24%, respectively) after the washout period.
Minor allele carriers for both SNPs experienced greater increases in RBC EPA
levels during supplementation; suggesting that genetic variation at this locus
can influence an individual's response to fish oil supplements.
PMID- 24936802
TI - Variation in local health department primary care services as a function of
health center availability.
AB - OBJECTIVES: We aim to gain a clearer understanding of the effect of colocated
federally qualified health centers (FQHCs) on the delivery of primary care and
preventive services by local health departments (LHDs). DESIGN: We collected data
from the 2010 National Association of County & City Health Officials Profile of
Local Health Departments, the 2010 Uniform Data System, and the 2011 Area
Resource File. SETTING: Forty-eight states, excluding Rhode Island and Hawaii.
PARTICIPANTS: The analytic sample contained data on 2107 LHDs across the country.
MAIN OUTCOME MEASURES: We modeled 4 measures of primary care activity for each
LHD: provision of screening for (1) heart disease, (2) diabetes, and (3)
hypertension, and (4) provision of comprehensive primary care. RESULTS: Local
health departments in counties with an FQHC grantee have, on average, 32% lower
odds of providing hypertension screening, but having an FQHC grantee in the
county does not influence LHDs' primary care provision or screening for heart
disease or diabetes. However, in an alternate model examining FQHC delivery sites
per capita, each site is associated with a decrease in the odds of LHDs providing
primary care (4% lower odds) or screening for heart disease (2% lower odds),
diabetes, or hypertension (both 1% lower odds). CONCLUSION: Local health
departments are more involved in disease screening than the provision of primary
care. However, larger LHDs are more likely to provide both screening and primary
care. Our current study provides evidence that the availability of an FQHC in the
same county as an LHD partially explains the variation in LHDs' provision of
primary care services. Local health departments with colocated FQHCs can focus on
more traditional public health activities, while LHDs in areas without an FQHC
may find that ensuring access to care is more challenging, possibly leading LHDs
to directly provide primary care services.
PMID- 24936803
TI - Hypothalamic-pituitary-adrenal axis response to oral naltrexone in alcoholics
during early withdrawal.
AB - INTRODUCTION: It is thought that naltrexone may play a significant role in the
regulation of the hypothalamic-pituitary-adrenal (HPA) axis response to stress.
We investigated the HPA axis response following single-dose oral naltrexone
administration in the early phase of alcohol withdrawal. METHODS: Cortisol and
adrenocorticotrophic hormone (ACTH) responses to naltrexone were measured in
alcohol-dependent males (n=23) and in healthy males (n=20). Blood samples were
collected for cortisol and ACTH measurements before administering (0 min) 50 mg
naltrexone at 08.00 in the morning, and at 60, 90, 120 and 180 min after
administering naltrexone. RESULTS: Naltrexone administration resulted in a
significant ACTH response in the patients while cortisol and ACTH responses were
found to be significant in the controls. Cortisol response was not large enough
to reach significance in the patients. ACTH level changes as a response to
naltrexone in the patients were lower than that in the controls. DISCUSSION: The
study revealed blunted cortisol and attenuated ACTH responses to naltrexone in
early alcohol withdrawal. This study may have shown impairment in adrenal and
pituitary levels during alcohol withdrawal.
PMID- 24936804
TI - Continuing weight-loss effect after topiramate discontinuation in obese persons
with schizophrenia: a pilot open-label study.
AB - INTRODUCTION: Few studies have investigated the likelihood of weight maintenance
in obese persons with schizophrenia after their initial successful weight loss.
This pilot open-label study examined the efficacy of topiramate in weight loss
and the trajectory of weight changes after topiramate discontinuation. METHODS:
This study enrolled 10 obese persons with schizophrenia. A 4-month treatment
phase was started, followed by a 12-month discontinuation phase. Body weight was
measured as the primary outcome every month. Secondary outcomes included leptin
levels, fasting glucose, lipid profiles, and insulin resistance index. RESULTS:
After the 4-month addition of topiramate, participants lost 1.79 kg of their body
weight (95% CI=-3.03 to -0.56, p=0.005). The maximum weight reduction was 4.32
kg, occurring when topiramate had been discontinued for 12 months (95% CI=-6.41
to -2.24, p<0.001). DISCUSSION: The continuing weight-loss effect after
topiramate discontinuation might have resulted from topiramate's potential to
improve leptin functioning. These findings demonstrate that topiramate's weight
loss effect could not only persist during its administration, but also continue
to improve after its discontinuation.
PMID- 24936805
TI - Changes in cognitive function during psychogeriatric treatment in relation to
benzodiazepine cessation.
AB - INTRODUCTION: The aim of this study was to investigate cognitive functions after
admission to a geriatric psychiatric hospital, and to study the short-term
effects of cessation of benzodiazepine use on cognitive functions. METHODS:
Details of benzodiazepine use and serum concentration measurements were recorded
on admission. The Hopkins verbal learning test, the Stroop test, Digit Vigilance
Test and the Mini Mental Status Examination were performed on admission, and
after 4 weeks of hospitalization. Test results were compared for the total group
of patients, as well as for benzodiazepine "continuers" and the "quitters".
RESULTS: For all patients (n=224), improved performances were observed in 10 out
of 12 cognitive tests. Significant improvements were seen in 4 out of 12 tests.
Benzodiazepine "quitters" improved significantly more than the "continuers"
(p=0.027) only on the Hopkins verbal learning test, delayed recall performance.
DISCUSSION: Among elderly psychiatric patients, cognitive function improved
slightly during the 4 weeks of hospital treatment, but only for one of the memory
tests, the improvement was related to the cessation of benzodiazepine treatment.
PMID- 24936806
TI - Serum levels of PYY(1-36) peptide in patients with schizophrenia on clozapine
monotherapy.
AB - INTRODUCTION: The present study was undertaken to determine if patients with
schizophrenia on clozapine monotherapy have lower serum levels of peptide YY
[PYY(1-36)], which is an endogenous inhibitor of food intake, comparing to
healthy controls. METHODS: Data for 24 patients (mean age 38.8 years) with
paranoid schizophrenia on clozapine monotherapy and 24 healthy subjects (gender-
and age-matched; mean age 39.9 years) were analyzed. RESULTS: Fasting serum
levels of PYY(1-36) were lower in the clozapine group (178.4+/-138.4 vs. 277.4+/
218.1 pg/mL, p=0.034). In the whole study sample PYY(1-36) levels were lower in
subjects with body mass index>=25 kg/m(2) (p=0.03) and in subjects with abdominal
obesity defined using International Diabetes Foundation criteria (p=0.04). There
were no significant differences for metabolic syndrome, smoking, impaired fasting
glucose, dyslipidemia, and homeostatic model assessment (HOMA) defined insulin
resistance. DISCUSSION: RESULTS suggest that weight is asso-ciated with levels of
PYY. Patients on clozapine had higher body mass index, but not fat mass index or
body weight, therefore lower levels of PYY(1-36) in patients taking clozapine may
result from clozapine-induced weight gain and central -obesity.
PMID- 24936807
TI - Down with cut & paste culture.
PMID- 24936808
TI - Assuring access to quality antiretroviral medicines: the WHO Prequalification of
Medicines Program and access to HIV treatment.
PMID- 24936809
TI - [Road traffic injuries in Mexico: evidences to strengthen the Mexican road safety
strategy].
AB - Based on a review of secondary data and the scientific literature and an analysis
of the ENSANut-2012 database, the current study provides a comprehensive overview
of the current burden of road traffic injuries (RTI) in Mexico and analyzes the
country's social response to RTI. The high collision, injury, mortality, and
disability rates associated with this public health problem represent a high cost
for Mexican society, especially for families. The paper argues that the Mexican
response has focused on vehicle occupants while overlooking vulnerable road users
and has prioritized strategies with limited effectiveness. Although the country's
existing legislation addresses the main risk factors, enforcement has been
limited. Finally, the paper makes some recommendations for strengthening the
Mexican strategy to prevent RTI, such as safe, equitable, healthy, and
sustainable mobility for all types of road users. Despite some strides in RTI
prevention, there are still challenges and opportunities to be addressed in the
future.
PMID- 24936810
TI - Assessing construct structural validity of epidemiological measurement tools: a
seven-step roadmap.
AB - Guidelines have been proposed for assessing the quality of clinical trials,
observational studies and validation studies of diagnostic tests. More recently,
the COSMIN (COnsensus-based Standards for the selection of health Measurement
INstruments) initiative extended those in regards to epidemiological measurement
tools in general. Among various facets proposed for assessment is the validity of
an instrument's dimensional structure (or structural validity). The purpose of
this article is to extend these guidelines. A seven-step roadmap is proposed to
examine (1) the hypothesized dimensional structure; (2) strength of component
indicators regarding loading patterns and measurement errors; (3) measurement
error correlations; (4) factor-based convergent and discriminant validity of
scales; (5) item discrimination and intensity vis-a-vis the latent trait
spectrum; and (6) the properties of raw scores; and (7) factorial invariance. The
paper also holds that the suggested steps still require debate and are open to
refinements.
PMID- 24936811
TI - Physical activity, psychosocial and perceived environmental factors in
adolescents from Northeast Brazil.
AB - The aim of this study was to evaluate the association between levels of physical
activity, psychosocial and perceived environmental factors in adolescents from
Northeastern Brazil. A cross-sectional epidemiologic study was conducted with
2,859 adolescents enrolled in secondary schools (57.8% females; mean = 16.5
years; SD = 1.2) in the city of Joao Pessoa, Paraiba State, Brazil. The following
physical activity correlates were measured: attitude, self-efficacy, social
support from friends and parents, and perceived environmental characteristics.
Physical activity was measured using a questionnaire. Multivariable ordinal
logistic regression with proportional odds model analysis showed that the
following factors are positively related to physical activity levels in
adolescents: attitude, self-efficacy, as well as social support from parents and
friends. Physical activity intervention programs should increase self-efficacy
and social support from parents and friends, as well as a positive attitude
toward physical activity.
PMID- 24936812
TI - [Health protection for rural workers: the need to standardize techniques for
quantifying dermal exposure to pesticides].
AB - Quantification of dermal exposure to pesticides in rural workers, used in risk
assessment, can be performed with different techniques such as patches or whole
body evaluation. However, the wide variety of methods can jeopardize the process
by producing disparate results, depending on the principles in sample collection.
A critical review was thus performed on the main techniques for quantifying
dermal exposure, calling attention to this issue and the need to establish a
single methodology for quantification of dermal exposure in rural workers. Such
harmonization of different techniques should help achieve safer and healthier
working conditions. Techniques that can provide reliable exposure data are an
essential first step towards avoiding harm to workers' health.
PMID- 24936813
TI - Dietary patterns and hypertension: a population-based study with women from
Southern Brazil.
AB - This study investigated the association between dietary pattern and hypertension
using the rank reduced regression (RRR). It was a cross-sectional population
based study with a representative sample of 1,026 women living in the city of Sao
Leopoldo, Rio Grande do Sul State, Brazil. In order to identify dietary patterns,
dietary variables from a dietary frequency questionnaire were used as predictors
and sodium, potassium and saturated fat consumption were selected as response
variables. The RRR identified three dietary patterns: Factor 1, Factor 2 and
Factor 3. The association between hypertension and factors 1, 2 and 3, after
adjustment for socio-demographic, behavioral and obesity variables, was not
significant. After stratification by age, the association between hypertension
and factor 2 was present in 40-60 year-old women (tertile 2 compared to 3 RP =
0.62, 95%CI: 0.43-0.91; p = 0,05). The new statistical method (RRR), proved to be
a useful tool for identifying dietary patterns. In this study, healthier dietary
pattern was directly associated with hypertension in women between 40 and 60
years of age.
PMID- 24936814
TI - [Complaints by private health insurance policy-holders to the Consumer Protection
Bureau in Argentina, 2000-2008].
AB - This paper analyzes problems experienced by policy-holders of voluntary private
health insurance plans in Argentina when insurance companies fail to comply with
the Consumer Protection Code. The sample consisted of consumer complaints filed
with the Consumer Protection Bureau and rulings by the Bureau from 2000 to 2008.
One striking issue was recurrent non-compliance with services included in the
Mandatory Medical Program and the companies' attempts to blame policy-holders.
According to the study, the lack of an information system hinders scientific
studies to adequately address the problem. Thus, a comparison with studies on
health insurance in other Latin American countries highlighted the importance of
such research, the relationship to health systems, constraints on use and denial
of citizens' rights to healthcare, and the increasing judicialization of
healthcare provision.
PMID- 24936815
TI - [Specific 5-year oral cancer survival and associated factors in cancer
outpatients in the Brazilian Unified National Health System].
AB - This study aimed to analyze the specific five-year oral cancer survival rate and
associated factors in Brazil. This was a retrospective cohort study using the
Oncological Database as the source, with probabilistic-deterministic linkage of
all the records for authorization of radiotherapy and/or chemotherapy in the
Unified National Health System from 2000 to 2006, generating a single record for
each patient. The current study included patients diagnosed with oral cancer from
2002 to 2003 (except for cancer of lip), and ranging from 19 to 100 years of age
(N = 6,180). Specific five-year survival was 60%. Decreased specific survival was
associated with: age > 40 years; stages III or IV; location on the tongue, floor
of the mouth, or base of the tongue; not performing surgical treatment,
performing only chemotherapy or radiotherapy and chemotherapy; and residence in
certain States of Brazil. The results emphasize the need to include assessment of
regional disparities as a possibility for increasing health interventions and
improving survival.
PMID- 24936816
TI - [Factors affecting young mothers' social and family relations after pregnancy].
AB - This study aimed to analyze factors affecting social and family relations of
young mothers in the two-year postpartum period. This was a cross-sectional study
of 464 young mothers in Teresina, Piaui State, Brazil, who gave birth during the
first four months of 2006 in six maternity hospitals. Data were collected from
May to December 2008 after identifying the young women in the maternity hospital
records. Multivariate analysis used multinomial logistic regression. Married
young women (including those in common-law marriages) were 80% less likely to
have negative relations with their partners. Participants 20 to 22 years of age
related 2.4 times better with their mothers than those 17 to 19 years of age.
Young women not attending school showed 97% higher odds of negative changes in
relations with friends, and Catholics were 50% less likely to have worse
relations with friends following childbirth. Measures are needed to orient
individuals living with young mothers (especially their partners and mothers)
concerning the importance of support in this phase of life, particularly
encouraging them to stay in school.
PMID- 24936817
TI - [Overbooking in an outpatient healthcare facility in the Brazilian Unified
National Health System].
AB - Management issues like resource optimization, cost cuts, quality gains, and
improvements in care have become increasingly important and are essential to the
sustainability of healthcare organizations. This study analyzed the efficiency of
public outpatient care, where the high rate of patient no-show leads to low use
of resources, while paradoxically the demand for medical care clearly exceeds the
supply. A quantitative and descriptive approach analyzed the use of "overbooking"
to reduce the effects of patient no-show in a public outpatient clinic. Using
statistical and mathematical methods and based on patient and appointment data
collected from a survey, an interesting comparison was made between levels of
overbooking and the respective resource utilization rates and service levels for
each scenario. The findings point to important gains in efficiency, thus
demonstrating the potential of increasing the number of services in the same
asset base.
PMID- 24936818
TI - Functional performance and social relations among the elderly in Greater
Metropolitan Belo Horizonte, Minas Gerais State, Brazil: a population-based
epidemiological study.
AB - This study was conducted in a probabilistic sam- ple of 2,055 elderly in Greater
Metropolitan Belo Horizonte, Minas Gerais State, Brazil, to examine components of
social network (conjugal status and visits by the children, other relatives, and
friends) and social support (satisfaction with personal relations and having
persons on whom to rely) associated with limitations in performing basic
activities of daily living (ADL). Multivariate analysis used the Hurdle model.
Performance of ADL showed independent and statistically significant associations
with social network (fewer meetings with friends and not having children) and
personal support (dissatisfaction/indifference towards personal relations). These
associations remained after adjusting for social and demographic characteristics,
health status, and other indicators of social relations. Our results emphasize
the need for greater attention to social network and social support for elderly
with functional limitations and those with weak social networks and social
support.
PMID- 24936819
TI - [Relative validity of dietary indicators from the Brazilian National School-Based
Health Survey among adolescents in Rio de Janeiro, Brazil].
AB - This study evaluated the relative validity of the dietary indicators from the
questionnaire used in the Brazilian National School-Based Health Survey (PeNSE)
in the city of Rio de Janeiro, Brazil. The sample included 174 students. The
following indicators were evaluated: regular consumption (>= 5 times a week) of
markers for healthy eating, markers for unhealthy eating, and routine eating
habits (having meals with parents or guardians (MEAL), having breakfast
(breakfast), and eating while studying or watching TV. The results of the
questionnaire were compared with three 24-hour recalls. For all the markers of
healthy eating, there was no difference in the proportion of regular consumption
when comparing the two methods. The rates detected by the questionnaire were
higher for packaged snacks and crackers and lower for cold cuts and MEAL. The
indicators for regular consumption of markers for healthy eating and that
referring to BREAKFAST were those with the highest accuracy. The dietary
indicators used by the PeNSE survey showed satisfactory validity.
PMID- 24936820
TI - [Factors associated with use of medication during first pregnancies in Rio
Branco, Acre State, Brazil].
AB - This cross-sectional study in a sample of 887 primigravidae in Rio Branco, Acre
State, Brazil aimed to analyze factors associated with the use of medicines
during the first pregnancy. Information was obtained from interviews and prenatal
cards. Medicines were classified according to the Anatomical Therapeutic Chemical
(ATC) Classification System of the World Health Organization and risk categories
according to the U.S. Food and Drug Administration. Mean age was 21 years and
mean number of medicines used was 2.42. The most frequently consumed medicines
were antianemics (47.5%), supplements and vitamins (18.7%), analgesics (13.8%),
and antibiotics (10.5%). In the risk categorization, 69.3% belonged to category
A, 22.3% to B, 7.6% to C, and 0.8% to D. The odds of having used risky medicines
were higher among primigravidae in unit B (OR = 2.10; 95%CI: 1.26-3.50), in the
19 to 24-year age bracket (OR = 2.79; 95%CI: 1.58-4.93), and in the presence of a
medical prescription (OR = 1.86; 95%CI: 1.18-2.95). Essential drugs were less
used by women with higher family income (OR = 0.63; 95%CI: 0.42-0.96) and those
who had received private prenatal care (OR = 0.53; 95%CI: 0.38-0.74).
PMID- 24936821
TI - [Suicide and suicide attempts by exogenous poisoning in Rio de Janeiro, Brazil:
information analysis through probabilistic linkage].
AB - Poisoning is one of the three main means used in suicide and suicides attempts.
In order to improve the quality of such information, a cross-sectional
descriptive study was conducted on case records in the State of Rio de Janeiro,
Brazil, from 2006 to 2008, in the databases of the Information System on Diseases
of Notification (SINAN), the Mortality Information System (SIM), and the Poison
Control Center in Niteroi (CCIn-Niteroi). Probabilistic linkage was used to
develop a model for monitoring suicides and suicide attempts by poisoning.
Although 68.8% of the 948 records at CCIn had been reported by health
professionals, only 2.6% were included in the other databases. Linking CCIn and
SIM showed 61.3% underreporting. Information is important for surveillance,
public policy-making, and decision-making. Probabilistic linkage allowed
identifying problems in each system and provided better data quality and a more
accurate diagnosis of the real situation in a complex and serious problem like
suicidal behavior.
PMID- 24936822
TI - [Food insecurity in Brazilian families with children under five years of age].
AB - This article analyzes food insecurity and hunger in Brazilian families with
children under five years of age. This was a nationally representative cross
sectional study using data from the National Demographic and Health Survey on
Women and Children (PNDS-2006), in which the outcome variable was moderate to
severe food insecurity, measured by the Brazilian Food Insecurity Scale (EBIA).
Prevalence estimates and prevalence ratios were generated with 95% confidence
intervals. The results showed a high prevalence of moderate to severe food
insecurity, concentrated in the North and Northeast regions (30.7%), in economic
classes D and E (34%), and in beneficiaries of conditional cash transfer programs
(36.5%). Multivariate analysis showed that the socioeconomic relative risks
(beneficiaries of conditional cash transfers), regional relative risks (North and
Northeast regions), and economic relative risks (classes D and E) were 1.8, 2.0
and 2.4, respectively. Aggregation of the three risks showed 48% of families with
moderate to severe food insecurity, meaning that adults and children were going
hungry during the three months preceding the survey.
PMID- 24936823
TI - Individual and local level factors and antenatal care use in Colombia: a
multilevel analysis.
AB - This paper examined the association between individual and local level factors
and the number of antenatal care visits completed by women in Colombia using data
from the 2010 Colombian Demographic and Health Survey and multilevel logistic
regression models. Our findings suggest that, in addition to maternal
socioeconomic status, contextual factors influence whether pregnant women
complete the minimum recommended number of antenatal care visits. These factors
include: level of women's autonomy in the community, regional inequalities and
access barriers caused by distance (OR = 0.057), costs of services (OR = 0.035),
and/or a lack of confidence in doctors (OR = 0.036). Our results highlight the
existence of inequalities in access to antenatal care and the importance of
considering the local context in the design of effective maternal care policies
in Colombia. Furthermore, our findings regarding individual factors corroborate
the evidence from other countries and offer new insights into the association
between local level factors and number of antenatal care visits.
PMID- 24936824
TI - Average years of life lost due to breast and cervical cancer and the association
with the marginalization index in Mexico in 2000 and 2010.
AB - The objective of this study was to calculate average years of life lost due to
breast and cervical cancer in Mexico in 2000 and 2010. Data on mortality in women
aged between 20 and 84 years was obtained from the National Institute for
Statistics and Geography. Age-specific mortality rates and average years of life
lost, which is an estimate of the number of years that a person would have lived
if he or she had not died prematurely, were estimated for both diseases. Data was
disaggregated into five-year age groups and socioeconomic status based on the
2010 marginalization index obtained from the National Population Council. A
decrease in average years of life lost due to cervical cancer (37.4%) and an
increase in average years of life lost due breast cancer (8.9%) was observed
during the period studied. Average years of life lost due to cervical cancer was
greater among women living in areas with a high marginalization index, while
average years of life lost due to breast cancer was greater in women from areas
with a low marginalization index.
PMID- 24936825
TI - [Portuguese-language translation and cross-cultural adaptation of the Fatigue
domain of Patient-Reported-Outcomes Measurement Information System (PROMIS)].
AB - The items bank of the Fatigue domain is part of an American system developed for
evaluation of results reported by patients, called Patient-Reported-Outcomes
Measurement Information System (PROMIS). This study aimed to translate and cross
culturally adapt this item bank for the Brazilian population, as a promising new
tool for evaluating health-related quality of life. The items in this bank were
translated using rigorous translation and back-translation protocols. The
translated version was pre-tested in twenty Brazilians with a brief cognitive and
retrospective interview in order to test the items' conceptual, cultural, and
semantic equivalences. In the translation and back-translation process, only
three of the 82 items had to be reworded due to the culturally inadequate
content. In the pretest, only four items needed to be reworded, but without
conceptual and semantic alterations. The results showed that the translated
version of this item bank is conceptually, culturally, and semantically
equivalent to the original version.
PMID- 24936826
TI - [Cross-cultural adaptation of the instrument Appraisal of Guidelines For Research
& Evaluation II (AGREE II) for assessment of clinical guidelines].
AB - This article describes the adaptation of the Appraisal of Guidelines for Research
& Evaluation II (AGREE II) instrument into Portuguese. A cross-cultural
adaptation followed internationally accepted standards with the stages of
translation, synthesis, back-translation, evaluation by an expert committee, and
external evaluation. The translated instrument was published on the official
AGREE websites.
PMID- 24936827
TI - [Prevalence of human papillomavirus (HPV) in Belem, Para State, Brazil, in the
oral cavity of individuals without clinically diagnosable injuries].
AB - This cross-sectional study aimed to determine HPV prevalence in the oral cavity
of individuals without clinically diagnosable lesions and to identify the
respective HPV types. A total of 166 samples were analyzed from patients 18 years
or older in the State of Para, Brazil. Samples were collected by sterile brush
scraping in the oral cavity. HPV detection used polymerase chain reaction (PCR).
Infected samples were typed as HPV 6, 11, 16, 18, 31, 33, 35, 52, and 58. HPV was
present in 40 samples (24.1%). Three samples (7.5%) were positive for HPV 6, five
(12.5%) for HPV 18, and one (2.5%) for HPV 58.
PMID- 24936828
TI - [Letter to the Editors regarding the editorial published in volume 30, number 1].
PMID- 24936831
TI - [The author replies].
PMID- 24936832
TI - Efficient genetic manipulation of the NOD-Rag1-/-IL2RgammaC-null mouse by
combining in vitro fertilization and CRISPR/Cas9 technology.
AB - Humanized mouse models have become increasingly important and widely used in
modeling human diseases in biomedical research. Immunodeficient mice such as NOD
Rag1-/-IL2RgammaC-null (NRG) or NOD-SCID-IL2RgammaC-null (NSG) mice are critical
for efficient engraftment of human cells or tissues. However, their genetic
modification remains challenging due to a lack of embryonic stem cells and
difficulty in the collection of timed embryos after superovulation. Here, we
report the generation of gene knockout NRG mice by combining in vitro
fertilization (IVF) and CRISPR/Cas9 technology. Sufficient numbers of fertilized
embryos were produced through IVF, and a high rate of Fah gene targeting was
achieved with microinjection of Cas9 mRNA, gRNA and single strand oligonucleotide
DNA (ssDNA) into the embryos. The technology paves the way to construct NRG or
NSG mutant mice to facilitate new humanized mouse models. The technology can also
be readily adapted to introduce mutations in other species such as swine and non
human primates.
PMID- 24936833
TI - An actin-binding protein espin is a growth regulator for melanoma.
PMID- 24936834
TI - DC-HIL-expressing myelomonocytic cells are critical promoters of melanoma growth.
AB - A major barrier to successful cancer immunotherapy is the tumor's ability to
induce T-cell tolerance by exploiting host regulatory mechanisms. Having
discovered the DC-HIL receptor, which inhibits T-cell responses by binding to
syndecan-4 on effector T cells, we posited the DC-HIL/syndecan-4 pathway to have
an important role in cancer promotion. Among DC-HIL(+) myelomonocytic cells,
during growth of implanted mouse melanoma, CD11b(+)Gr1(+) cells were the most
expanded population and the most potent at suppressing T-cell activation.
Deletion of the DC-HIL gene or infusion of anti-DC-HIL mAb abrogated these cells'
suppressor function and expansion, and markedly diminished melanoma growth and
metastasis. IL-1beta and IFN-gamma were elevated in mice bearing melanoma, and
concurrent exposure to both cytokines optimally induced DC-HIL expression by
tumor-infiltrating CD11b(+)Gr1(+) cells. Ligation of DC-HIL transduced
phosphorylation of its intracellular immunoreceptor tyrosine-based activation
motif, which in turn induced intracellular expression of IFN-gamma and inducible
nitric oxide synthase (iNOS), known to mediate T-cell suppression by
CD11b(+)Gr1(+) cells. Thus, DC-HIL is the critical mediator of these cells'
suppressor function in melanoma-bearing mice and a potential target for improving
melanoma immunotherapy.
PMID- 24936835
TI - Captopril protects against burn-induced cardiopulmonary injury in rats.
AB - BACKGROUND: This study was designed to determine the possible protective effect
of captopril treatment against oxidative damage in heart and lung tissues induced
by burn injury. METHODS: Under ether anesthesia, the shaved dorsum of Wistar
albino rats was exposed to 90 degrees C water bath for 10 seconds. Captopril was
administered intraperitoneally (10 mg/kg) after the burn injury and repeated
twice daily. In the sham group, the dorsum was dipped in a 25 degrees C water
bath for 10 seconds. At the end of the 24 hours, echocardiographic recordings
were performed, then animals were decapitated and heart and lung tissue samples
were taken for the determination of tumor necrosis factor-alpha (TNF-alpha) as a
pro-inflammatory cytokine, malondialdehyde and glutathione levels and
myeloperoxidase, caspase-3, and Na+,K+-ATPase activity in addition to the
histological analysis. RESULTS: Burn injury caused significant alterations in
left ventricular function. In heart and lung tissues, TNF-alpha and
malondialdehyde levels and myeloperoxidase and caspase-3 activities were found to
be increased, while glutathione levels and Na+, K+-ATPase activity were decreased
due to burn injury. Captopril treatment significantly elevated the reduced
glutathione level and Na+, K+-ATPase activity, and decreased cytokine and
malondialdehyde levels and myeloperoxidase and caspase-3 activities. CONCLUSION:
Captopril prevents burn-induced damage in heart and lung tissues and protects
against oxidative organ damage.
PMID- 24936836
TI - The effect of hyperbaric oxygen therapy on fracture healing in nicotinized rats.
AB - BACKGROUND: The aim of the present study was to investigate the effect of
hyperbaric oxygen therapy on fracture healing in nicotinized rats. METHODS:
Thirty-two rats were divided as follows: nicotinized group (1), hyperbaric oxygen
group (2), nicotinized + hyperbaric oxygen group (3), and control group (4). For
28 days, nicotine was administered in Groups 1 and 3. Then, a standard shaft
fracture was induced in the left femur of rats. Groups 2 and 3 underwent
hyperbaric oxygen therapy for 21 days. At the end of the experiment, fracture
site, left femur and whole body bone mineral content and density were measured.
RESULTS: The radiological and histopathological scores of Group 1 were
statistically significantly lower compared to Groups 2, 3 and 4, and there was no
statistically significant difference between the Groups 2, 3 and 4. In a
comparison between the groups, no statistically significant difference was found
in terms of bone mineral content and density values measured at the fracture
site, left femur and whole body. CONCLUSION: The negative effects of nicotine on
fracture healing are eliminated with hyperbaric oxygen therapy, but hyperbaric
oxygen alone does not cause significant changes in healing (radiologically and
histopathologically).
PMID- 24936837
TI - Effectiveness of hyperbaric oxygen and ozone applications in tissue healing in
generated soft tissue trauma model in rats: an experimental study.
AB - BACKGROUND: Soft tissue trauma is a type of acute traumatic ischemia. We
investigated in this study whether the edema, inflammation and ischemia caused by
the trauma could be affected positively by hyperbaric oxygen (HBO) and ozone
therapy. METHODS: Soft tissue trauma was generated in a total of 63 adult male
Sprague-Dawley rats. Subsequently, rats were divided into three groups. The first
group was treated with ozone, the second group with HBO, and the third group
served as controls. Tissue and blood samples were taken at the end of the
procedures. Tissue lipid peroxidation (LPO), superoxide dismutase (SOD),
glutathione peroxidase (GSH-Px), inducible nitric oxide synthase (iNOS), heme
oxygenase (HO)-1, and hypoxia-inducible factor (HIF)-1 levels were detected.
Hematoxylin-eosin staining was used to determine the inflammation and edema
histopathologically. RESULTS: We also detected HIF-1 activity, which decreases
when the oxygen concentration increases, HO-1 activity, which has anti
inflammatory effects, and iNOS activity, which releases in any type of acute
case. We determined a statistically significant reduction in iNOS and LPO levels
in both the HBO and Ozone groups. A significant decrease in inflammation was
detected in both the Ozone and HBO groups compared with the Control group, and a
significant decrease in edema was detected in all three groups. CONCLUSION: We
think that HBO and Ozone therapy have beneficial effects on biochemical and
histopathological findings. Related clinical trials will be helpful in clarifying
the effects.
PMID- 24936838
TI - Psychiatric disorders and their association with burn-related factors in children
with burn injury.
AB - BACKGROUND: The aim of this study was to assess psychiatric disorders and their
association with burn-related factors in a population of Turkish children with
burns. METHODS: Thirty-one children admitted to the Cerrahpasa Medical Faculty
Burn Unit between January 2013 and August 2013 were first assessed by the plastic
surgeon, and then those with psychological symptoms were referred to a child
psychiatrist, and the records were analyzed retrospectively. RESULTS: The
percentage of burned area to Total Body Surface Area (TBSA) ranged between 2-60%
(mean, 17.3%). Nineteen patients (61.3%) had a psychiatric diagnosis, which
included acute stress disorder (ASD) (n=15), depression (n=3), posttraumatic
stress disorder (n=2, comorbid with depression), and delirium (n=1). The
percentage of burned area to TBSA was associated with the presence of
psychopathology and ASD. Further, psychopathology was associated with the number
of burned major body regions. CONCLUSION: Pediatric burn patients are at risk of
developing psychopathology. The children with a greater percentage of burned area
to TBSA and more burned body regions have the greatest risk of psychopathology.
Surgeons have an important role in patient referral for psychiatric
interventions, so that psychiatric disorders can be prevented as early as
possible.
PMID- 24936839
TI - Traumatic wound dehiscence after penetrating keratoplasty.
AB - BACKGROUND: We aimed to evaluate the risk factors, clinical features and outcomes
of surgery for traumatic wound dehiscence (TWD) following penetrating
keratoplasty (PK). METHODS: Twenty-six patients with TWD following PK were
evaluated retrospectively in terms of factors related to the trauma, types of
reconstructive surgery, final graft clarity, and visual acuity. RESULTS: There
were 26 patients with a mean age of 40.7+/-19.6 years. In 12 (46.1%) patients,
the better eye was affected by the trauma. The most frequent type of trauma was
blunt trauma by various objects (9). In all cases, the dehiscence was at the
graft host junction. The mean extent of detachment was 135.4 degrees +/-57.6
degrees . Crystalline or intraocular lens damage was present in 42.3% of cases.
Median follow-up time after the reconstructive surgery was 36 months. The graft
remained clear in 13 (50%) patients, whereas graft insufficiency/graft rejection
developed in 13 (50%) patients. Final visual acuity was over 20/200 in 13 (50%)
patients. CONCLUSION: TWD may occur at any time after PK, most frequently within
the first postoperative year. Low visual acuity in the other eye seems to be a
major risk factor. In patients without major complications such as posterior
segment damage, visual outcomes and graft survival can be favorable.
PMID- 24936840
TI - Comparison of intramedullary nail and plate fixation in distal tibia diaphyseal
fractures close to the mortise.
AB - BACKGROUND: In this study, we aimed to compare the functional and radiological
results of intramedullary nailing and plate fixation techniques in the surgical
treatment of distal tibia diaphyseal fractures close to the ankle joint. METHODS:
Between 2005 and 2011, 55 patients (32 males, 23 females; mean age 42 years;
range 15 to 72 years) who were treated with intramedullary nailing (21 patients)
or plate fixation (34 patients) due to distal tibia diaphyseal fracture were
included in the study. The average follow-up period was 27.6 months (range, 12-82
months). The patients were evaluated with regard to nonunion, malunion,
infection, and implant irritation. The AOFAS (American Orthopaedic Foot and Ankle
Society) scale was used for the clinical evaluation. RESULTS: No statistically
significant difference was found between the two surgical methods with respect to
unification time, AOFAS score, accompanying fibula fracture, material irritation,
and malunion. Nine patients had open fractures, and these patients were treated
with plate fixation (p=0.100). Nonunion developed in three patients who were
treated with plates. Infection occurred in one patient. Anterior knee pain was
significantly higher in patients who were treated with intramedullary nails.
There was no malunion in any patient. CONCLUSION: As the distal fragment is not
long enough, plate fixation technique is usually preferred in the treatment of
distal tibia diaphyseal fractures. In this study, we observed that if the
surgical guidelines are followed carefully, intramedullary nailing is an
appropriate technique in this kind of fracture. The malunion rates are not
significantly increased, and it also has the advantages of being a minimally
invasive surgery with fewer wound problems.
PMID- 24936841
TI - A new, simple technique for gradual primary closure of fasciotomy wounds.
AB - BACKGROUND: The aim of this study was to demonstrate a new, easy and safe
technique, which has not been defined in the literature previously, that enables
the gradual primary closure of fasciotomy wounds using barbed sutures. METHODS:
The technique was performed on five patients who presented with fasciotomy wounds
on both upper and lower extremities, varying in size, observed after compartment
syndrome due to different causes. The average width of the defects for which
primary closure was planned was 8.8 cm. Following the fasciotomy incision,
absorbable barbed sutures were inserted through the dermal tissue around the
wound similar to that of a subcuticular closure, but left loose, after which
closed dressing was applied. During the clinical follow-up, with the decrease in
tissue edema and tightness around the wound, the barbed suture was tightened at
bedside every 48-72 hours. RESULTS: At the end of this gradual closure, all the
fasciotomy defects were primarily closed within an average of 8.6 days. All the
patients had complete and uncomplicated primary closure with the exception of one
with high-voltage electrical burn injury, who developed necrosis in the distal
part of the defect, and was treated by secondary healing. CONCLUSION: The gradual
fasciotomy closure technique with barbed suture seems to be an easy, rapid and
effective method.
PMID- 24936843
TI - [Results of arthroscopic repair of triangular fibrocartilage complex peripheral
tears (Palmer type 1B)].
AB - BACKGROUND: Triangular fibrocartilage complex (TFCC) injury is the major cause of
wrist pain on the ulnar side. In this study, treatment outcomes of
arthroscopically repaired peripheral TFCC tears (Palmer type 1B) were evaluated
retrospectively. METHODS: Thirty-eight patients (30 males, 8 females; mean age
27.6; range 19 to 42 years) with TFCC tears (Palmer type 1B) who were treated
arthroscopically between February 2007-July 2012 were evaluated retrospectively.
The data were collected by Mayo wrist evaluation form and by preoperative and
postoperative visual analogue scale (VAS). RESULTS: The results of the data
collected by the Mayo wrist evaluation forms were perfect in 30 patients and good
in 8 patients. Preoperative VAS was 6.53 (range: 4.5-8.2) and postoperative VAS
was 1.48 (range: 0.3-3.1). DISCUSSION: With the arthroscopic technique, TFCC
tears can be repaired with minimal harm and better visualization, and evaluation
of all the structures of the wrist can be done. Outside-to-inside suturing
technique, which is performed through the portal opened 1 cm inferior to the 6R
portal, is the least traumatic technique and does not carry the risk of injury to
the superficial branch of the ulnar nerve. With this technique, the complaints of
preoperative pain can be eliminated significantly.
PMID- 24936842
TI - [The results of autologous bone graft and titanium headless cannulated
compression screw for treatment of scaphoid nonunion].
AB - BACKGROUND: We aimed to present the clinical and radiological results of patients
treated with debridement, iliac bone graft and titanium headless compression
screw for scaphoid nonunion. METHODS: We retrospectively evaluated 24 patients
(23 males, 1 female) who underwent this technique between 2009 and 2012, with a
minimum of 12 months' follow-up. Nonunion was determined as no union evidence
within eight weeks on radiological view. Scaphoid fracture was classified
according to Herbert classification and anatomical location. Functional
evaluation was performed using the Mayo wrist scoring system. RESULTS: According
to the Herbert classification system, there were 20 D1 and 4 D2 fractures.
Anatomical location included 1 distal, 6 proximal and 17 corpus. Fracture union
was achieved in all but 2 patients, with a mean union time of 9.5 weeks (6-15).
Scapholunate angle and radiolunate angle were measured as a mean 32 degrees (39
degrees -50 degrees ) and 7 degrees (4 degrees -10 degrees ) at the latest
follow-up radiographic examination. There was a statistically significant
correlation between the length of the pseudoarthrosis period and union time
(p=0.003). Union time of proximal fractures was longer than of the others
(p=0.004). Mayo wrist score was 86 (80-95). DISCUSSION: Autologous iliac bone
graft and titanium headless cannulated compression screw combination via volar
approach is safe and effective for scaphoid nonunion.
PMID- 24936844
TI - Supraventricular tachycardia due to blunt chest trauma in an adolescent.
AB - Blunt chest trauma and its associated complications represent a rare cause of
cardiac arrest in a healthy child, although an increasing number of these events
have been reported. Victims are most often diagnosed in ventricular fibrillation
or tachycardia. However, cardiac conduction abnormalities are also reported. In
this report, a healthy adolescent with supraventricular tachycardia associated
with blunt chest trauma due to a football is presented. This is the first report
in the literature of atrial arrhythmia in these cases with chest trauma.
PMID- 24936845
TI - Are blank cartridge guns really harmless?
AB - Blank cartridge guns are devices that discharge sound and gas, but no bullet or
shot. These devices are very similar to real guns in the form of their external
design and the sound generated during their firing. Although it is widely held in
society that these devices are harmless, reports from Turkey and the world have
shown that these guns are not entirely innocent. Herein, we present a 26-year-old
male with a head injury due to gunshot from a blank cartridge. The purpose of
this presentation is to emphasize that these devices are not harmless, contrary
to common public opinion.
PMID- 24936846
TI - Successful emergency department thoracotomy for traumatic cardiac rupture:
effective utilization of a fret sternum saw.
AB - Mortality following blunt chest injury and cardiac rupture remains high despite
advances in the care of traumatic injuries. Indeed, most patients succumb to
these injuries even prior to reaching a hospital. However, timely recognition and
surgical intervention can save lives. We present the case of a 40-year-old woman
who presented to our emergency department in cardiac arrest due to rupture of her
left atrium following a major motor vehicle collision. The patient underwent
emergency department thoracotomy with successful repair of the cardiac rupture.
Emergency department thoracotomy, when indicated and performed by trained
surgeons, can be the only life-saving procedure available. Rapid median
sternotomy using a cost-effective fret sternum saw does not require significantly
more time than a left lateral thoracotomy or clamshell incision in an emergency
situation. It can be an effective and alternative method of thoracic entry in the
emergency department. Prognosis of cardiac rupture depends largely on the
mechanism of injury, location of injury, signs of life: vital signs, and
availability of timely intervention. When indicated, hesitation should be
avoided. Expedient cardiac exposure is essential and leads to better results with
improved survival rates in patients with blunt cardiac rupture.
PMID- 24936847
TI - An unusual entry site for a nasal foreign body: a neglected trauma patient.
AB - Foreign body (FB) in the nose is a frequent situation seen generally among
children. A variety of objects left in different sites of the nose has been
reported in the literature. Insertion of a FB to the nose is generally via the
anterior nares. In this report, an unusual entry site for a nasal FB in a
neglected trauma patient is presented. FB should be suspected and investigated in
children after penetrating facial injury.
PMID- 24936848
TI - [Occipital condyle fractures: a case report].
AB - Occipital condyle fractures are rare, and conservative treatment is sufficient
for many cases. Surgical treatment may be required if the condyle fracture is
accompanied by atlantooccipital dislocation. Unfortunately, condyle fracture
generally cannot be diagnosed with X-ray in the emergency department. Recently,
computed tomography scans have been used more frequently, and enable easier
diagnosis of these types of fractures. In this report, we describe a patient who
admitted to our emergency department after a major trauma. She complained of neck
pain, and maxillofacial trauma was more evident. Her cervical X-rays were normal,
but cervical computed tomography revealed unilateral occipital condyle fracture.
PMID- 24936849
TI - Biologic agents and the therapy of chronic spontaneous urticaria.
AB - PURPOSE OF REVIEW: Chronic spontaneous urticaria (CSU) has been traditionally
managed with antihistamines. Over the years, studies using different formulations
and dosing regimens have delineated the limits of their effectiveness, thus
emphasizing the need for alternative therapeutic approaches. Data keep
accumulating that the monoclonal anti-immunoglobulin E antibody omalizumab, until
recently reserved for the treatment of severe atopic asthma, may have a
beneficial therapeutic and safety profile for CSU cases resistant to the effect
of antihistamines. RECENT FINDINGS: Since the beginning of 2013, a host of
studies have been published paving the way for licensing of omalizumab for the
treatment of CSU. Dose-finding studies have indicated 300 mg monthly as a
possible optimal treatment regimen. Efficacy proving randomized controlled trials
were further supported by open-label studies confirming its effectiveness in real
life. One report has been published so far presenting positive data about the use
of another biologic agent, rituximab, depleting the blood stream of B cells, in
patients with CSU. SUMMARY: New convincing evidence in support of the efficacy
and safety of omalizumab in the treatment of CSU has accumulated over the past
year, providing another tool for coping with the antihistamine-resistant cases.
PMID- 24936850
TI - The importance of hapten-protein complex formation in the development of drug
allergy.
AB - PURPOSE OF REVIEW: Drug allergy is an adverse drug reaction that is immune
mediated. Immune activation can occur when drugs or haptens bind covalently to
proteins and then act as antigens. The purpose of this review is to summarize the
recent data on the formation of hapten-protein complexes and to assess the
importance of these complexes in the generation of drug allergy. RECENT FINDINGS:
The formation of hapten-protein complexes by drugs and their reactive metabolites
has largely been investigated using model proteins such as human serum albumin.
Precise identification of the structure of the hapten and the resulting modified
residue(s) in the protein has been undertaken for a small number of drugs, such
as p-phenylenediamine, nevirapine, carbamazepine, beta-lactams and abacavir. Some
progress has also been made in identifying hapten-protein complexes in the serum
of patients with allergy. SUMMARY: Drug-specific T cells have been isolated from
different patients with allergy. Formation of hapten-protein complexes, their
processing and antigen presentation have been implicated in the development of
drug allergy to p-phenylenediamine, sulfonamides and beta-lactams. However,
evidence also supports the pi mechanism of immune activation wherein drugs
interact directly with immune receptors. Thus, multiple mechanisms of immune
activation may occur for the same drug.
PMID- 24936851
TI - Allergy immunotherapy: what is the evidence for cost saving?
AB - PURPOSE OF REVIEW: Given the widespread prevalence of allergic disease, its
substantially associated clinical and economic burden, the unique disease
modifying benefits of allergy immunotherapy (AIT), and increased availability of
sublingual immunotherapy (SLIT), a critical update of the evidence for AIT
related cost savings [for both subcutaneous immunotherapy (SCIT) and SLIT] is
particularly relevant and timely. The present article reviews the evidence for
SCIT-related and SLIT-related cost savings derived from a systematic review of
the published literature. RECENT FINDINGS: Examined were 24 publications
pertaining to the health economics of AIT. Except for one early study comparing
the costs of AIT to symptomatic drug treatment (SDT), the remainder provide
compelling evidence for AIT cost savings (whether SCIT or SLIT) over SDT.
Furthermore, of the six studies comparing cost outcomes of SLIT to SCIT, four
reported cost savings favoring SLIT. SUMMARY: This review, spanning research from
Southern Europe, Scandinavia, Northern Europe, North America, and the Czech
Republic, encompasses a range of perennial and seasonal allergic conditions,
including allergic asthma, allergic rhinitis with or without asthma, and
rhinoconjunctivitis with or without allergic rhinitis due to house dust mite,
grass or ragweed pollen, or a mixture of various allergens. All but one study
compellingly demonstrate cost savings conferred by AIT over SDT.
PMID- 24936852
TI - Update on the understanding, diagnosis and tailored management of anaphylaxis:
making progress.
PMID- 24936853
TI - Supporting health in the Hyogo Framework for Action-2.
PMID- 24936854
TI - An extended phenacene-type molecule, [8]phenacene: synthesis and transistor
application.
AB - A new phenacene-type molecule, [8]phenacene, which is an extended zigzag chain of
coplanar fused benzene rings, has been synthesised for use in an organic field
effect transistor (FET). The molecule consists of a phenacene core of eight
benzene rings, which has a lengthy pi-conjugated system. The structure was
verified by elemental analysis, solid-state NMR, X-ray diffraction (XRD) pattern,
absorption spectrum and photoelectron yield spectroscopy (PYS). This type of
molecule is quite interesting, not only as pure chemistry but also for its
potential electronics applications. Here we report the physical properties of
[8]phenacene and its FET application. An [8]phenacene thin-film FET fabricated
with an SiO2 gate dielectric showed clear p-channel characteristics. The highest
MU achieved in an [8]phenacene thin-film FET with an SiO2 gate dielectric is 1.74
cm(2) V(-1) s(-1), demonstrating excellent FET characteristics; the average MU
was evaluated as 1.2(3) cm(2) V(-1) s(-1). The MU value in the [8]phenacene
electric-double-layer FET reached 16.4 cm(2) V(-1) s(-1), which is the highest
reported in EDL FETs based on phenacene-type molecules; the average MU was
evaluated as 8(5) cm(2) V(-1) s(-1). The MU values recorded in this study show
that [8]phenacene is a promising molecule for transistor applications.
PMID- 24936855
TI - Experimental verification of ion range calculation in a treatment planning system
using a flat-panel detector.
AB - Heavy ion-beam therapy is a highly precise radiation therapy exploiting the
characteristic interaction of ions with matter. The steep dose gradient of the
Bragg curve allows the irradiation of targets with high-dose and a narrow dose
penumbra around the target, in contrast to photon irradiation. This, however,
makes heavy ion-beam therapy very sensitive to minor changes in the range
calculation of the treatment planning system, as it has a direct influence on the
outcome of the treatment. Our previous study has shown that ion radiography with
an amorphous silicon flat-panel detector allows the measurement of the water
equivalent thickness (WET) of an imaging object with good accuracy and high
spatial resolution. In this study, the developed imaging technique is used to
measure the WET distribution of a patient-like phantom, and these results are
compared to the WET calculation of the treatment planning system. To do so, a
measured two-dimensional map of the WET of an anthropomorphic phantom was
compared to WET distributions based on x-ray computed tomography images as used
in the treatment planning system. It was found that the WET maps agree well in
the overall shape and two-dimensional distribution of WET values. Quantitatively,
the ratio of the two-dimensional WET maps shows a mean of 1.004 with a standard
deviation of 0.022. Differences were found to be concentrated at high WET
gradients. This could be explained by the Bragg-peak degradation, which is
measured in detail by ion radiography with the flat-panel detector, but is not
taken into account in the treatment planning system. Excluding pixels exhibiting
significant Bragg-peak degradation, the mean value of the ratio was found to be
1.000 with a standard deviation of 0.012. Employment of the amorphous silicon
flat-panel detector for WET measurements allows us to detect uncertainties of the
WET determination in the treatment planning process. This makes the investigated
technique a very helpful tool to study the WET determination of critical and
complex phantom cases.
PMID- 24936857
TI - The nexus of food, energy, and water.
AB - The Earth's population is expected to exceed 9 billion by 2050, posing
significant challenges in meeting human needs while minimally affecting the
environment. To support this population, we will need secure and safe sources of
food, energy, and water. The nexus of food, energy, and water is one of the most
complex, yet critical, issues that face society. There is no more land to
exploit, and the supply of fresh water in some areas of the world limits the use
of land for food. All solutions must also deal with the overlay of global climate
change. Meeting current and future populations needs will require security in
food, energy, and water supplies. A nexus approach is needed to improve food,
energy, and water security integrating the management of the limited resources
while transitioning to a more "green" economy, which provides adequate food,
energy, and water for the expanding human population.
PMID- 24936859
TI - Pt skin on AuCu intermetallic substrate: a strategy to maximize Pt utilization
for fuel cells.
AB - The dependence on Pt catalysts has been a major issue of proton-exchange membrane
(PEM) fuel cells. Strategies to maximize the Pt utilization in catalysts include
two main approaches: to put Pt atoms only at the catalyst surface and to further
enhance the surface-specific catalytic activity (SA) of Pt. Thus far there has
been no practical design that combines these two features into one single
catalyst. Here we report a combined computational and experimental study on the
design and implementation of Pt-skin catalysts with significantly improved SA
toward the oxygen reduction reaction (ORR). Through screening, using density
functional theory (DFT) calculations, a Pt-skin structure on AuCu(111) substrate,
consisting of 1.5 monolayers of Pt, is found to have an appropriately weakened
oxygen affinity, in comparison to that on Pt(111), which would be ideal for ORR
catalysis. Such a structure is then realized by substituting the Cu atoms in
three surface layers of AuCu intermetallic nanoparticles (AuCu iNPs) with Pt. The
resulting Pt-skinned catalyst (denoted as Pt(S)AuCu iNPs) has been characterized
in depth using synchrotron XRD, XPS, HRTEM, and HAADF-STEM/EDX, such that the Pt
skin structure is unambiguously identified. The thickness of the Pt skin was
determined to be less than two atomic layers. Finally the catalytic activity of
Pt(S)AuCu iNPs toward the ORR was measured via rotating disk electrode (RDE)
voltammetry through which it was established that the SA was more than 2 times
that of a commercial Pt/C catalyst. Taking into account the ultralow Pt loading
in Pt(S)AuCu iNPs, the mass-specific catalytic activity (MA) was determined to be
0.56 A/mg(Pt)@0.9 V, a value that is well beyond the DOE 2017 target for ORR
catalysts (0.44 A/mg(Pt)@0.9 V). These findings provide a strategic design and a
realizable approach to high-performance and Pt-efficient catalysts for fuel
cells.
PMID- 24936858
TI - Fetal growth cessation in late pregnancy: its impact on predicted size parameters
used to classify small for gestational age neonates.
AB - OBJECTIVE: To evaluate the impact of late 3rd trimester fetal growth cessation on
anatomical birth characteristic predictions used in classifying SGA neonates.
METHODS: A prospective longitudinal study was performed in 119 pregnancies with
normal neonatal growth outcomes. Seven biometric parameters were measured at 3-4
weeks intervals using 3D ultrasonography. Rossavik size models were determined to
predict birth characteristics at different ages. Percent Differences (% Diff)
were calculated from predicted and measured birth characteristics. Growth
Cessation Ages (GCA) were identified when no systematic change in % Diff values
occurred after specified prediction ages. Systematic and random prediction errors
were compared using different assumptions about the GCA. Predicted and measured
size parameters were used to determine six new Growth Potential Realization Index
(GPRI) reference ranges. Five were used to sub-classify 34 SGA neonates (weight <
10th percentile) based on the number of abnormal GPRI values. RESULTS: Growth
cessation ages were 38 weeks for HC, AC, mid-thigh circumference, estimated
weight and mid-arm circumference. Crown-heel length GCA was 38.5 weeks. At GCA,
birth characteristics had prediction errors that varied from 0.08 +/- 3.4% to
15.7 +/- 9.1% and zero % Diff slopes after 38 weeks. Assuming growth to delivery
gave increased systematic and random prediction errors as well as positive % Diff
slopes after 38 weeks, MA. Seventeen of the SGA neonates had 0 or 1 abnormal GPRI
values [Subgroup 1] and 17 others had 2 or more abnormal values [Subgroup 2]. In
Subgroup 1, 4/85 (4.7%) of GPRI's were abnormal while in Subgroup 2, 43/85
(50.6%) were abnormal. Use of only one type of GPRI for SGA subclassification
resulted in substantial false negative and some false positive rates when
compared to subclassification based on all five GPRI values. CONCLUSIONS: Growth
cessation occurred at approximately 38 weeks for all six birth characteristics
studied. SGA neonates can be separated into normal and growth restricted
subgroups based on the frequency of abnormal GPRI values (GPRI Profile
Classification).
PMID- 24936860
TI - Nocardia brasiliensis induces formation of foamy macrophages and dendritic cells
in vitro and in vivo.
AB - Foamy cells have been described in various infectious diseases, for example in
actinomycetoma induced by Nocardia brasiliensis. These cells are generally
considered to be macrophages, although they present dendritic cell (DC)-specific
surface markers. In this study, we determined and confirmed the lineage of
possible precursors of foamy cells in vitro and in vivo using an experimental
actinomycetoma model in BALB/c mice. Bone marrow-derived macrophages (BMDM) or DC
(BMDC) were infected in vitro with N. brasiliensis or labeled with
carboxyfluorescein diacetate succinimidyl ester (CFSE). Both, macrophages and DC,
differentiated into foamy cells after in vitro infection. CFSE-labeled BMDM or
BMDC were tested for phagocytosis and CD11c/CD11b receptors markers expression
before being transferred into the actinomycetoma lesion site of infected mice. In
vivo studies showed that BMDM and BMDC were traced at the site where foamy cells
are present in the experimental actinomycetoma. Interestingly, many of the
transferred BMDM and BMDC were stained with the lipid-droplet fluorophore Nile
Red. In conclusion, macrophages and DC cells can be differentiated into foamy
cells in vitro and in vivo during N. brasiliensis infection.
PMID- 24936861
TI - Oral administration of heat-inactivated Lactobacillus plantarum K37 modulated
airway hyperresponsiveness in ovalbumin-sensitized BALB/c mice.
AB - This study aimed to investigate the anti-allergic effects of Lactobacillus
plantarum K37 (K37) on airway hyperresponsiveness (AHR) and systemic allergic
responses in ovalbumin (OVA)-sensitized and -challenged BALB/c mice. Heat
inactivated K37 (105, 107, and 109 CFU/mouse, day) were orally administered to
OVA-sensitized BALB/c mice to investigate their effects on AHR, immunoglobulin
(Ig) and cytokine production. The results showed that K37 dose-dependently
lowered the serum levels of IgE, OVA-specific IgE and OVA-specific IgG1,
ameliorated AHR induced by methacholine and suppressed eosinophil infiltration in
bronchoalveolar lavage fluid (BALF). The cytokine production in spleen cells
culture and BALF showed that K37 drove the immune responses toward T-helper cell
type 1 (Th1) responses, elevated levels of IL-2 and IFN-gamma, and reduced of IL
4, IL-5 and IL-13. K37 also improved cell infiltration in lung sections. Our
results demonstrated that oral administration of K37 alleviated effectively the
allergic responses in vivo. Thus, K37 can be a good source material and a
promising candidate for prophylactic and therapeutic treatments of allergic
diseases, like asthma.
PMID- 24936863
TI - Interactions of seedborne bacterial pathogens with host and non-host plants in
relation to seed infestation and seedling transmission.
AB - The ability of seed-borne bacterial pathogens (Acidovorax citrulli, Clavibacter
michiganensis subsp. michiganensis, Pseudomonas syringae pv. tomato, Xanthomonas
euvesicatoria, and Pseudomonas syringae pv. glycinea) to infest seeds of host and
non-host plants (watermelon, tomato, pepper, and soybean) and subsequent pathogen
transmission to seedlings was investigated. A non-pathogenic, pigmented strain of
Serratia marcescens was also included to assess a null-interacting situation with
the same plant species. Flowers of host and non-host plants were inoculated with
1 * 10(6) colony forming units (CFUs)/flower for each bacterial species and
allowed to develop into fruits or umbels (in case of onion). Seeds harvested from
each host/non-host bacterial species combination were assayed for respective
bacteria by plating on semi-selective media. Additionally, seedlots for each
host/non-host bacterial species combination were also assayed for pathogen
transmission by seedling grow-out (SGO) assays under greenhouse conditions. The
mean percentage of seedlots infested with compatible and incompatible pathogens
was 31.7 and 30.9% (by plating), respectively and they were not significantly
different (P = 0.67). The percentage of seedlots infested with null-interacting
bacterial species was 16.8% (by plating) and it was significantly lower than the
infested lots generated with compatible and incompatible bacterial pathogens (P =
0.03). None of the seedlots with incompatible/null-interacting bacteria developed
symptoms on seedlings; however, when seedlings were assayed for epiphytic
bacterial presence, 19.5 and 9.4% of the lots were positive, respectively. These
results indicate that the seeds of non-host plants can become infested with
incompatible and null-interacting bacterial species through flower colonization
and they can be transmitted via epiphytic colonization of seedlings. In addition,
it was also observed that flowers and seeds of non-host plants can be colonized
by compatible/incompatible/null-interacting bacteria to higher populations;
however, the level of colonization differed significantly depending on the type
of bacterial species used.
PMID- 24936864
TI - Patterns of recombination in HIV-1M are influenced by selection disfavouring the
survival of recombinants with disrupted genomic RNA and protein structures.
AB - Genetic recombination is a major contributor to the ongoing diversification of
HIV. It is clearly apparent that across the HIV-genome there are defined
recombination hot and cold spots which tend to co-localise both with genomic
secondary structures and with either inter-gene boundaries or intra-gene domain
boundaries. There is also good evidence that most recombination breakpoints that
are detectable within the genes of natural HIV recombinants are likely to be
minimally disruptive of intra-protein amino acid contacts and that these
breakpoints should therefore have little impact on protein folding. Here we
further investigate the impact on patterns of genetic recombination in HIV of
selection favouring the maintenance of functional RNA and protein structures. We
confirm that chimaeric Gag p24, reverse transcriptase, integrase, gp120 and Nef
proteins that are expressed by natural HIV-1 recombinants have significantly
lower degrees of predicted folding disruption than randomly generated
recombinants. Similarly, we use a novel single-stranded RNA folding disruption
test to show that there is significant, albeit weak, evidence that natural HIV
recombinants tend to have genomic secondary structures that more closely resemble
parental structures than do randomly generated recombinants. These results are
consistent with the hypothesis that natural selection has acted both in the short
term to purge recombinants with disrupted RNA and protein folds, and in the
longer term to modify the genome architecture of HIV to ensure that recombination
prone sites correspond with those where recombination will be minimally
deleterious.
PMID- 24936865
TI - RNA-Seq analysis implicates detoxification pathways in ovine mycotoxin
resistance.
AB - Mycotoxin induced hepatoxocity has been linked to oxidative stress, resulting
from either an increase in levels of reactive oxygen species (ROS) above normal
levels and/or the suppression of antioxidant protective pathways. However, few
detailed molecular studies of mycotoxicoses in animals have been carried out.
This study use current RNA-seq based approaches to investigate the effects of
mycotoxin exposure in a ruminant model. Having first assembled a de novo
reference transcriptome, we use RNA-Seq technology to define in vivo hepatic gene
expression changes resulting from mycotoxin exposure in relationship to
pathological effect. As expected, characteristic oxidative stress related gene
expression is markedly different in animals exhibiting poorer outcomes. However,
expression of multiple genes critical for detoxification, particularly members of
the cytochrome P450 gene family, was significantly higher in animals exhibiting
mycotoxin tolerance ('resistance'). Further, we present novel evidence for the
amplification of Wnt signalling pathway activity in 'resistant' animals,
resulting from the marked suppression of multiple key Wnt inhibitor genes.
Notably, 'resistance' may be determined primarily by the ability of an individual
to detoxify secondary metabolites generated by the metabolism of mycotoxins and
the potentiation of Wnt signalling may be pivotal to achieving a favourable
outcome upon challenge.
PMID- 24936866
TI - Nuclear NF-kappaB p65 in peripheral blood mononuclear cells correlates with
urinary MCP-1, RANTES and the severity of type 2 diabetic nephropathy.
AB - AIMS: To investigate if nuclear NF-kappaB p65 expression in ex vivo isolated
peripheral blood mononuclear cells correlates with urinary MCP-1 or RANTES and
the severity of type 2 diabetic nephropathy. METHODS: According to their urinary
albumin-to-creatinine ratio (uACR), 107 patients with type 2 diabetes (eGFR >60
ml/min) were divided into normal albuminuria group (DN0 group, 38 cases),
microalbuminuria group (DN1 group, 38 cases), and macroalbuminuria group (DN2
group, 31 cases), compared with matched healthy normal control group (NC group,
30 cases). Nuclear NF-kappaB p65 protein expression levels in peripheral blood
mononuclear cells were detected by western blotting. Real-time quantitative
polymerase chain reaction was used to detect NF-kappaB p65 mRNA expression and
ELISA assay was used to detect the levels of urinary MCP-1 and RANTES. RESULTS:
Nuclear NF-kappaB p65 protein and NF-kappaB p65 mRNA expression levels in
peripheral blood mononuclear cells, urinary MCP-1/Cr and RANTES/Cr were all
significantly higher in all diabetes groups as compared with NC group. In
particular, the increase of nuclear NF-kappaB p65 protein and NF-kappaB p65 mRNA
expressions, urinary MCP-1/Cr and RANTES/Cr all correlated with the severity of
type 2 diabetic nephropathy as indicated by the increase in uACR. Pearson
correlation analysis indicated that both urinary MCP-1/Cr and RANTES/Cr were
positively correlated with nuclear NF-kappaB p65 protein or NF-kappaB p65 mRNA
levels. Stepwise multiple regression analysis showed that nuclear NF-kappaB p65
protein or NF-kappaB p65 mRNA was an independent variable for urinary MCP-1/Cr,
and MCP-1/Cr and RANTES/Cr were two independent variables for uACR. CONCLUSION:
Our research demonstrates that nuclear NF-kappaB p65 protein and mRNA expressions
in ex vivo isolated peripheral blood mononuclear cells well correlate with
urinary MCP-1/Cr, RANTES/Cr and the severity of type 2 diabetic nephropathy.
PMID- 24936867
TI - A toll-like receptor 9 antagonist improves bladder function and white matter
sparing in spinal cord injury.
AB - Spinal cord injury (SCI) affects motor, sensory, and autonomic functions. As
current therapies do not adequately alleviate functional deficits, the
development of new and more effective approaches is of critical importance. Our
earlier investigations indicated that intrathecal administration of a toll-like
receptor 9 (TLR9) antagonist, cytidine-phosphate-guanosine oligodeoxynucleotide
2088 (CpG ODN 2088), to mice sustaining a severe, mid-thoracic contusion injury
diminished neuropathic pain but did not alter locomotor deficits. These changes
were paralleled by a decrease in the pro-inflammatory response at the injury
epicenter. Using the same SCI paradigm and treatment regimen, the current studies
investigated the effects of the TLR9 antagonist on bladder function. We report
that the TLR9 antagonist decreases SCI-elicited urinary retention and ameliorates
bladder morphopathology without affecting kidney function. A significant
improvement in white matter sparing was also observed, most likely due to
alterations in the inflammatory milieu. These findings indicate that the TLR9
antagonist has beneficial effects not only in reducing sensory deficits, but also
on bladder dysfunction and tissue preservation. Thus, modulation of innate immune
receptor signaling in the spinal cord can impact the effects of SCI.
PMID- 24936868
TI - The use of mixed generalized additive modeling to assess the effect of
temperature on the usage of emergency electrocardiography examination among the
elderly in Shanghai.
AB - BACKGROUND: Acute coronary artery diseases have been observed to be associated
with some meteorological variables. But few of the previous studies considered
autocorrelated outcomes. Electrocardiography is a widely used tool in the initial
diagnosis of acute cardiovascular events, and emergency electrocardiography
counts were shown to be highly correlated with acute myocardial infarction in our
pilot study, hence a good index of prediction for acute cardiovascular events
morbidity among the elderly. To indirectly assess the impact of temperature on
the number of acute cardiovascular events, we studied the association between
temperature and emergency electrocardiography counts while considering
autocorrelated nature of the response variables. METHODS: We collected daily
emergency electrocardiography counts for elderly females and males in Shanghai
from 2007 to middle 2012, and studied temperature and other effects on these data
using Mixed Generalized Additive Modelling methods. Delayed temperature effect
distribution was described as the weighted average of the temperatures within 3
days before the counts was recorded. Autoregressive random effects were used in
the model to describe the autocorrelation of the response variables. MAIN
RESULTS: Temperature effect was observed to be piecewise linearly associated with
the logarithm of emergency electrocardiography counts. The optimal weights of the
delayed temperature effect distribution were obtained from the model estimation.
The weights of lag-1 were the maximums, significantly greater than the weights of
lag-2 and lag-3 for both females and males. The model showed good fit with R2
values of 0.860 for females and 0.856 for males. CONCLUSION: From the mixed
generalized additive model, we infer that during cold and mild days, the number
of emergency electrocardiography counts increase as temperature effect decreases,
while during hot days, counts increase as temperature effect increases. Similar
properties could be inferred for the occurrence of cardiovascular events.
PMID- 24936869
TI - Antarctica's protected areas are inadequate, unrepresentative, and at risk.
AB - Antarctica is widely regarded as one of the planet's last true wildernesses,
insulated from threat by its remoteness and declaration as a natural reserve
dedicated to peace and science. However, rapidly growing human activity is
accelerating threats to biodiversity. We determined how well the existing
protected-area system represents terrestrial biodiversity and assessed the risk
to protected areas from biological invasions, the region's most significant
conservation threat. We found that Antarctica is one of the planet's least
protected regions, with only 1.5% of its ice-free area formally designated as
specially protected areas. Five of the distinct ice-free ecoregions have no
specially designated areas for the protection of biodiversity. Every one of the
55 designated areas that protect Antarctica's biodiversity lies closer to sites
of high human activity than expected by chance, and seven lie in high-risk areas
for biological invasions. By any measure, including Aichi Target 11 under the
Convention on Biological Diversity, Antarctic biodiversity is poorly protected by
reserves, and those reserves are threatened.
PMID- 24936871
TI - Effect of a reduced-CHO diet on the rate of perceived exertion curve during an
incremental test.
AB - The objective of this study was to evaluate the effect of a reduced-carbohydrate
(reduced-CHO) diet on the rate of perceived exertion (RPE) curve during an
incremental test. Nine physically active men performed a progressive incremental
test on a cycle ergometer (25 W . 2 min(-1)) after 72 hr on either a control diet
(60% CHO) or a reduced-CHO diet (30% CHO). Lactate and RPE thresholds were
identified using the Dmax method (DmaxLa and DmaxRPE, respectively). Power
output, heart rate and RPE scores in DmaxLa and DmaxRPE were similar between the
diets and were not different from each other, regardless of the diet. Lactate
values were consistently higher (p < .05) in the control diet compared with the
reduced-CHO diet during power output after the lactate breakpoint; however, they
were not accompanied by a proportional increase in RPE scores. These results
suggest that DmaxRPE and DmaxLa are not dissociated after a short-period reduced
CHO diet, whereas the lactate values after the lactate threshold are reduced with
a reduced-CHO diet, although they are not accompanied by alterations in RPE.
PMID- 24936872
TI - Prognostic value of isocitrate dehydrogenase mutations in myelodysplastic
syndromes: a retrospective cohort study and meta-analysis.
AB - BACKGROUND: Recent genomic sequencing efforts have identified a number of
recurrent mutations in myelodysplastic syndromes (MDS) that may contribute to
disease progression and overall survival, including mutations in isocitrate
dehydrogenases 1 and 2 (IDH1 and IDH2). METHODS: Pretreatment bone marrow (BM)
samples were acquired from mononuclear cells in 146 adult patients with de novo
MDS from January 2006 to June 2013. Polymerase chain reaction (PCR) and direct
sequencing were performed on exon 4 of IDH1/2 genes and mutation status was
correlated with overall survival (OS) and leukemia-free survival (LFS). We then
performed a meta-analysis combining previously published and current studies to
explore the effect of IDH mutations on OS and LFS in MDS. RESULTS: In our study,
somatic mutations of either IDH gene were discovered in 11 MDS patients (7.53%)
and were significantly correlated with poorer OS (P = 0.007). IDH mutations were
specifically associated with a poorer OS in the intermediate-1 risk group by the
International Prognostic Scoring System (IPSS) (P = 0.039). In addition, we
discovered decitabine achieved a better therapeutic effect compared to other
treatments in IDH mutation-positive patients (P = 0.023). We identified six
previous studies of IDH mutations in MDS. A meta-analysis of these studies
included 111 MDS patients IDH mutations and 1671 MDS patients with wild-type
IDH1/2. The hazard ratios (HRs) of OS and LFS for patients with IDH mutations
were 1.62 (95% CI, 1.27-2.09) and 2.21 (95% CI, 1.48-3.30), respectively.
CONCLUSION: The results from our study and the meta-analysis provide firm
evidence that IDH mutations are significantly associated with poorer clinical
outcomes in MDS. Identification of IDH mutations may be pivotal for better risk
stratification in MDS patients and improving IPSS score. Additionally,
hypomethylating agents may be an effective treatment option for MDS patients with
IDH mutations.
PMID- 24936870
TI - Repair of oxidative DNA damage, cell-cycle regulation and neuronal death may
influence the clinical manifestation of Alzheimer's disease.
AB - Alzheimer's disease (AD) is characterized by progressive cognitive decline
associated with a featured neuropathology (neuritic plaques and neurofibrillary
tangles). Several studies have implicated oxidative damage to DNA, DNA repair,
and altered cell-cycle regulation in addition to cell death in AD post-mitotic
neurons. However, there is a lack of studies that systematically assess those
biological processes in patients with AD neuropathology but with no evidence of
cognitive impairment. We evaluated markers of oxidative DNA damage (8-OHdG,
H2AX), DNA repair (p53, BRCA1, PTEN), and cell-cycle (Cdk1, Cdk4, Cdk5, Cyclin
B1, Cyclin D1, p27Kip1, phospho-Rb and E2F1) through immunohistochemistry and
cell death through TUNEL in autopsy hippocampal tissue samples arrayed in a
tissue microarray (TMA) composed of three groups: I) "clinical-pathological AD"
(CP-AD)--subjects with neuropathological AD (Braak >= IV and CERAD = B or C) and
clinical dementia (CDR >= 2, IQCODE>3.8); II) "pathological AD" (P-AD)--subjects
with neuropathological AD (Braak >= IV and CERAD = B or C) and without cognitive
impairment (CDR 0, IQCODE<3.2); and III) "normal aging" (N)--subjects without
neuropathological AD (Braak <= II and CERAD 0 or A) and with normal cognitive
function (CDR 0, IQCODE<3.2). Our results show that high levels of oxidative DNA
damage are present in all groups. However, significant reductions in DNA repair
and cell-cycle inhibition markers and increases in cell-cycle progression and
cell death markers in subjects with CP-AD were detected when compared to both P
AD and N groups, whereas there were no significant differences in the studied
markers between P-AD individuals and N subjects. This study indicates that, even
in the setting of pathological AD, healthy cognition may be associated with a
preserved repair to DNA damage, cell-cycle regulation, and cell death in post
mitotic neurons.
PMID- 24936873
TI - An in vitro study on the effect of free amino acids alone or in combination with
nisin on biofilms as well as on planktonic bacteria of Streptococcus mutans.
AB - Free D-amino acids (D-AAs) are one of the most striking features of the
peptidoglycan composition in bacteria and play a key role in regulating and
disassembling bacterial biofilms. Previous studies have indicated that the
antimicrobial peptide nisin can inhibit the growth of the cariogenic bacteria
Streptococcus mutans. The present study investigated the effect of free amino
acids either alone or in combination with nisin on biofilm and on planktonic S.
mutans bacteria. The results of the MIC and MBC analyses showed that D-cysteine
(Cys), D- or L-aspartic acid (Asp), and D- or L-glutamic acid (Glu) significantly
improve the antibacterial activity of nisin against S. mutans and that the
mixture of D-Cys, D-Asp, and D-Glu (3D-AAs) and the mixture of L-Cys, L-Asp, and
L-Glu (3L-AAs) at a concentration of 40 mM can prevent S. mutans growth. Crystal
violet staining showed that the D- or L-enantiomers of Cys, Asp, and Glu at a
concentration of 40 mM can inhibit the formation of S. mutans biofilms, and their
mixture generated a stronger inhibition than the components alone. Furthermore,
the mixture of the three D-AAs or L-AAs may improve the antibacterial activity of
nisin against S. mutans biofilms. This study underscores the potential of free
amino acids for the enhancement of the antibacterial activity of nisin and the
inhibition of the cariogenic bacteria S. mutans and biofilms.
PMID- 24936875
TI - OccuPeak: ChIP-Seq peak calling based on internal background modelling.
AB - ChIP-seq has become a major tool for the genome-wide identification of
transcription factor binding or histone modification sites. Most peak-calling
algorithms require input control datasets to model the occurrence of background
reads to account for local sequencing and GC bias. However, the GC-content of
reads in Input-seq datasets deviates significantly from that in ChIP-seq
datasets. Moreover, we observed that a commonly used peak calling program
performed equally well when the use of a simulated uniform background set was
compared to an Input-seq dataset. This contradicts the assumption that input
control datasets are necessary to fatefully reflect the background read
distribution. Because the GC-content of the abundant single reads in ChIP-seq
datasets is similar to those of randomly sampled regions we designed a peak
calling algorithm with a background model based on overlapping single reads. The
application, OccuPeak, uses the abundant low frequency tags present in each ChIP
seq dataset to model the background, thereby avoiding the need for additional
datasets. Analysis of the performance of OccuPeak showed robust model parameters.
Its measure of peak significance, the excess ratio, is only dependent on the tag
density of a peak and the global noise levels. Compared to the commonly used peak
calling applications MACS and CisGenome, OccuPeak had the highest sensitivity in
an enhancer identification benchmark test, and performed similar in an overlap
tests of transcription factor occupation with DNase I hypersensitive sites and
H3K27ac sites. Moreover, peaks called by OccuPeak were significantly enriched
with cardiac disease-associated SNPs. OccuPeak runs as a standalone application
and does not require extensive tweaking of parameters, making its use
straightforward and user friendly. AVAILABILITY: http://occupeak.hfrc.nl.
PMID- 24936876
TI - Immune response varies with rate of dispersal in invasive cane toads (Rhinella
marina).
AB - What level of immunocompetence should an animal maintain while undertaking long
distance dispersal? Immune function (surveillance and response) might be down
regulated during prolonged physical exertion due to energy depletion, and/or to
avoid autoimmune reactions arising from damaged tissue. On the other hand,
heightened immune vigilance might be favored if the organism encounters novel
pathogens as it enters novel environments. We assessed the links between immune
defense and long-distance movement in a population of invasive cane toads
(Rhinella marina) in Australia. Toads were radio-tracked for seven days to
measure their activity levels and were then captured and subjected to a suite of
immune assays. Toads that moved further showed decreased bacteria-killing ability
in their plasma and decreased phagocytic activity in their whole blood, but a
heightened skin-swelling response to phytohemagglutinin. Baseline and post-stress
corticosterone levels were unrelated to distance moved. Thus, long-distance
movement in cane toads is associated with a dampened response in some systems and
enhanced response in another. This pattern suggests that sustained activity is
accompanied by trade-offs among immune components rather than an overall down or
up-regulation. The finding that high mobility is accompanied by modification of
the immune system has important implications for animal invasions.
PMID- 24936877
TI - Engineering bacterial phenylalanine 4-hydroxylase for microbial synthesis of
human neurotransmitter precursor 5-hydroxytryptophan.
AB - 5-Hydroxytryptophan (5-HTP) is a drug that is clinically effective against
depression, insomnia, obesity, chronic headaches, etc. It is only commercially
produced by the extraction from the seeds of Griffonia simplicifolia because of a
lack of synthetic methods. Here, we report the efficient microbial production of
5-HTP via combinatorial protein and metabolic engineering approaches. First, we
reconstituted and screened prokaryotic phenylalanine 4-hydroxylase activity in
Escherichia coli. Then, sequence- and structure-based protein engineering
dramatically shifted its substrate preference, allowing for efficient conversion
of tryptophan to 5-HTP. Importantly, E. coli endogenous tetrahydromonapterin
(MH4) could be utilized as the coenzyme, when a foreign MH4 recycling mechanism
was introduced. Whole-cell bioconversion allowed the high-level production of 5
HTP (1.1-1.2 g/L) from tryptophan in shake flasks. On this basis, metabolic
engineering efforts were further made to achieve the de novo 5-HTP biosynthesis
from glucose. This work not only holds great scale-up potential but also
demonstrates a strategy for expanding the native metabolism of microorganisms.
PMID- 24936878
TI - An integrative review of guidelines for anal cancer screening in HIV-infected
persons.
AB - HIV-infected individuals are 28 times more likely than the general population to
be diagnosed with anal cancer. An integrative review of recommendations and
guidelines for anal cancer screening was performed to provide a succinct guide to
inform healthcare clinicians. The review excluded studies that were of non-HIV
populations, redundant articles or publications, non-English manuscripts, or
nonclinical trials. The review found no formal national or international
guidelines exist for routine screening of anal cancer for HIV-infected
individuals. To date, no randomized control trial provides strong evidence
supporting efficaciousness and effectiveness of an anal cancer screening program.
The screening recommendations from seven international-, national-, and state
based reports were reviewed and synthesized in this review. These guidelines
suggest anal cancer screening, albeit unproven, may be beneficial at decreasing
the incidence of anal cancer. This review highlights the paucity of screening
related research and is an area of need to provide clear direction and to define
standard of care for anal cancer screening in HIV-infected persons.
PMID- 24936879
TI - A new affinity gel for the purification of alpha-carbonic anhdrases.
AB - The new affinity gel reported in this study was prepared using EUPERGIT C250L as
a chromatographic bed material, to which etylenediamine spacer arms were attached
to prevent steric hindrance between the matrix and ligand, and to facilitate
effective binding of the CA-specific ligand, of the aromatic sulfonamide type for
the purification of alpha-carbonic anhydrases (Cas; EC 4.2.1.1). Indeed, the
aminoethyl moieties of the affinity gel were derivatized by reaction with 4
isothiocyanatobenzenesulfonamide, with the formation of a thiourea-based gel,
having inhibitory effects against CAs. Both bovine erythrocyte carbonic anhydrase
BCA and human (h) erythrocyte CA isoforms I, II (hCA I and II) have been purified
from hemolysates, by using this affinity gel. The greatest purification fold and
column yields for BCA and for cytosolic (hCA I + II) enzymes were of 181-fold
(21.07%) and 184-fold (9.49%), respectively. Maximum binding was achieved at 15
degrees C and I = 0.3 ionic strength for alpha-carbonic anhydrases.
PMID- 24936880
TI - Superior cathode of sodium-ion batteries: orthorhombic V2O5 nanoparticles
generated in nanoporous carbon by ambient hydrolysis deposition.
AB - For the first time, we demonstrate that orthorhombic V2O5 can exhibit superior
electrochemical performance in sodium ion batteries when uniformly coated inside
nanoporous carbon. The encapsulated V2O5 shows a specific capacity as high as 276
mAh/g, while the whole nanocomposite exhibits a capacity of 170 mAh/g. The V2O5/C
composite was fabricated by a novel ambient hydrolysis deposition that features
sequential water vapor adsorption in nanoporous carbon, followed by a hydrolysis
reaction, exclusively inside the nanopores. The unique structure of the
nanocomposite significantly enhances the capacity as well as the rate performance
of orthorhombic V2O5 where the composite retains a capacity of over 90 mAh/g at a
current rate of 640 mA/g. Furthermore, by calculating, we also revealed that a
large portion of the sodium-ion storage, particularly at high current rates, is
due to the V2O5 pseudocapacitance.
PMID- 24936881
TI - First european meeting for diseases algorithms on the psychopharmacology of
psychiatric.
PMID- 24936882
TI - Treatment of panic disorder: Algorithms for pharmacotherapy.
PMID- 24936883
TI - Treatment of obsessive compulsive disorder: Algorithms for pharmacotherapy.
PMID- 24936885
TI - International psychopharmacology algorithm project: algorithms in
psychopharmacology.
PMID- 24936884
TI - Treatment of schizophrenic disorders: Algorithms for acute pharmacotherapy.
PMID- 24936886
TI - Treatment of unipolar major depression: Algorithms for pharmacotherapy.
PMID- 24936887
TI - Treatment of bipolar depressive mood disorders: Algorithms for pharmacotherapy.
PMID- 24936888
TI - Growth and body composition in Brazilian female rhythmic gymnastics athletes.
AB - The aim was to analyse the physical growth and body composition of rhythmic
gymnastics athletes relative to their level of somatic maturation. This was a
cross-sectional study of 136 athletes on 23 teams from Brazil. Mass, standing
height and sitting height were measured. Fat-free and fat masses, body fat
percentages and ages of the predicted peak height velocity (PHV) were calculated.
The z scores for mass were negative during all ages according to both WHO and
Brazilian references, and that for standing height were also negative for all
ages according to WHO reference but only until 12 years old according to
Brazilian reference. The mean age of the predicted PHV was 12.1 years. The mean
mass, standing and sitting heights, body fat percentage, fat-free mass and fat
mass increased significantly until 4 to 5 years after the age of the PHV.
Menarche was reached in only 26% of these athletes and mean age was 13.2 years.
The mass was below the national reference standards, and the standing height was
below only for the international reference, but they also had late recovery of
mass and standing height during puberty. In conclusion, these athletes had a
potential to gain mass and standing height several years after PHV, indicating
late maturation.
PMID- 24936889
TI - Tumaini: reframing hope at a place called Kimbilio.
PMID- 24936890
TI - Description and evaluation of the first national patient and public involvement
day for thyroid eye disease in the United Kingdom.
AB - BACKGROUND: To ensure appropriate patient-focused outcomes, the National
Institute for Health Research (NIHR) in the United Kingdom has made consultation
with patients, caregivers, and the public a prerequisite to providing research
funding. One method of encouraging engagement with research is through patient
and public involvement (PPI) events. We describe the planning and implementation
of a PPI day for thyroid eye disease (TED) and evaluate our own event using
feedback from delegates. METHODS: The Moorfields NIHR Biomedical Research Centre,
in partnership with TED charities, arranged the first national PPI day for TED in
the United Kingdom. The event included didactic lectures; pre-event and postevent
questionnaires; an exhibition with stalls, posters, and an interactive voting
wall to determine research priorities; focus group sessions to explore patient
experiences and perceptions of research; and one-on-one interviews recording
individual patient stories. RESULTS: Of 100 attendees, 70 completed
questionnaires. When asked whether the day had provided what they wanted, 48 of
52 (92%) said yes; 3 of 52 (6%) said no. Overall 6 of 52 (12%) rated the event as
good; 28 of 52 (54%), very good; and 18 of 52 (34%), excellent. Thirty-six
patients registered to participate in further research, identifying "finding the
cause for TED," "improving psychological support," and "achieving a better
cosmetic outcome" as key priorities. A poor understanding of TED among medical
professionals was a common complaint. CONCLUSIONS: The event received positive
feedback and achieved its key objective of engaging patients, researchers, and
clinicians in a two-way discussion about research priorities and improved study
design. An invaluable insight was gained into patients' needs for a better
quality of life, and we have demonstrated that important data can be captured
from such events, providing an evidential basis consistent with the NIHR
principles of patient-centered research.
PMID- 24936891
TI - Raman imaging providing insights into chemical composition of lipid droplets of
different size and origin: in hepatocytes and endothelium.
AB - In this work, 3D linear Raman spectroscopy was used to study lipid droplets (LDs)
ex vivo in liver tissue and also in vitro in a single endothelial cell.
Spectroscopic measurements combined with fluorescence microscopy and/or
histochemical staining gave complex chemical information about LD composition and
enabled detailed investigations of the changes occurring in various pathological
states. Lipid analysis in fatty liver tissue was performed using a dietary mouse
model of liver steatosis, induced by a high fat diet (HFD). HFD is characterized
by a high percentage of calories from saturated fat (60%) and reflects closely
the detrimental effects of dietary habits responsible for increased morbidity due
to obesity and its complications in well-developed Western societies. Such diets
lead to obesity, hyperlipidemia, insulin resistance, and steatosis that may also
be linked to endothelial dysfunction. In the present work, Raman spectroscopy was
applied to characterized chemical composition of lipid droplets in hepatocytes
from mice fed HFD and in the endothelium treated with exogenous unsaturated free
fatty acid (arachidonic acid). The results demonstrate the usefulness of Raman
spectroscopy to characterize intracellular lipid distribution in 2D and 3D images
and can be used to determine the degree of saturation. Raman spectroscopy shows
the potential to be a valuable tool for studying the role of LDs in physiology
and pathology. The method is generally applicable for the determination of LDs of
different size, origin, and composition. Moreover, for the first time, the
process of LD formation in the endothelium was detected and visualized in 3D.
PMID- 24936894
TI - Relationship between midweek training measures of testosterone and cortisol
concentrations and game outcome in professional rugby union matches.
AB - The aim of this study was to assess the response of salivary-free testosterone
and cortisol concentrations across selected midweek skill-based training sessions
and their association with subsequent match outcome 3 days later. Twenty-two
rugby union players were assessed for salivary-free testosterone and cortisol
concentrations before and after a midweek training session over 6 consecutive
weeks. The relative percentage change (response) in the testosterone and cortisol
concentration and the testosterone to cortisol (T/C) ratio was also determined.
Game-day analysis consisted of prematch testosterone concentrations and match
outcome. Data were pooled across the winning (n = 3) and losing (n = 3) outcomes.
The midweek pretraining T/C ratio was significantly lower (p < 0.01) before a win
than a loss and the increase in the pre- to post-T/C ratio before a win was
significant (p < 0.001). The increase in the pre- to post-testosterone
concentration before a win was also shown to be significant (p < 0.01). However,
the relative changes in testosterone before games that were won were not
statistically different to that of games lost (p > 0.01). Significant
relationships were also demonstrated between game-day pre-testosterone
concentrations and the midweek cortisol response (r = -0.90, p = 0.01) and
midweek T/C ratio response (r = 0.90, p = 0.01). In conclusion, a midweek
measurement of the T/C ratio against a skill-based training session seems to show
some potential as an early indicator of subsequent successfully executed
performances in competitive rugby union. If this work is subsequently validated,
further monitoring of midweek hormone concentrations in response to a mixed
psychological-physical training session may assist with assessing competitive
readiness leading up to competition.
PMID- 24936893
TI - Prevalence of and risk factors for age-related and anterior polar cataracts in a
Korean population.
AB - OBJECTIVE: To investigate the potential risk factors associated with nuclear,
cortical, posterior subcapsular, and anterior polar cataracts (APC) in the Korean
population. RESEARCH DESIGN AND METHODS: This was a population-based, cross
sectional study of 7992 adults (over 40 years of age) from the data of the fourth
annual Korea National Health and Nutrition Examination Survey, performed from
2007 to 2009. The presence of lens opacity was examined by slit-lamp
biomicroscopy and evaluated according to LOCS II standard photographs. The
subtype of cataract present, including nuclear, cortical, posterior subcapsular,
and anterior polar cataracts, was noted. Multivariable adjusted logistic
regression analysis was conducted to examine the odds ratio (OR) and 95%
confidence interval (CI) for association of each specific type of cataract with
age, sex, health examination, and medical history. RESULTS: The prevalence of
nuclear, cortical, and posterior subcapsular cataract increased gradually with
increasing age. However, the prevalence of APC peaked in the 50- to 59-year-old
subjects. All types of cataract except for APCs were more prevalent in women.
Oral steroid use was associated with a lower risk of APC. CONCLUSIONS: These
findings showed the unique characteristics of APC in the Korean population.
PMID- 24936895
TI - Drive for muscularity and social physique anxiety mediate the perceived ideal
physique muscle dysmorphia relationship.
AB - This study examined the mediating role of drive for muscularity and social
physique anxiety (SPA) in the perceived muscular male ideal physique and muscle
dysmorphia relationship in weight training men. Men (N = 146, mean +/- SD; age,
22.8 +/- 5.0 years; weight, 82.0 +/- 11.1 kg; height, 1.80 +/- 0.07 m; body mass
index, 25.1 +/- 3.0) who participated in weight training completed validated
questionnaires measuring drive for muscularity, SPA, perceived muscular male
ideal physique, global muscle dysmorphia, and several characteristics of muscle
dysmorphia (exercise dependence, diet manipulation, concerns about size/symmetry,
physique protection behavior, and supplementation). Perceived ideal physique was
an independent predictor of muscle dysmorphia measures except physique protection
(coefficients = 0.113-0.149, p <= 0.05). Perceived ideal physique also predicted
muscle dysmorphia characteristics (except physique protection and diet) through
the indirect drive for muscularity pathway (coefficients = 0.055-0.116, p <=
0.05). Perceived ideal physique also predicted size/symmetry concerns and
physique protection through the indirect drive for muscularity and SPA pathway
(coefficients = 0.080-0.025, p <= 0.05). These results extend current research by
providing insights into the way correlates of muscle dysmorphia interact to
predict the condition. The results also highlight signs (e.g., anxiety about
muscularity) that strength and conditioning coaches can use to identify at-risk
people who may benefit from being referred for psychological assistance.
PMID- 24936896
TI - Verification criteria for the determination of Vo2 MAX in the field.
AB - The purpose of this study was to evaluate if a verification test (VT) performed
in the field offers more confident results than do traditional criteria in the
determination of maximal oxygen uptake ((Equation is included in full-text
article.)). Twelve amateur runners (age, 36.6 +/- 6.6 years) performed a maximal
graded field test and after 15 minutes of passive recovery a supramaximal test to
exhaustion at 105% of their velocity associated with (Equation is included in
full-text article.)(v(Equation is included in full-text article.)). Traditional
criteria and 2 different verification criteria were evaluated. Verification
criteria were (a) maximal oxygen uptake achieved in the VT ((Equation is included
in full-text article.)) must be <=5% higher than (Equation is included in full
text article.), and (b) no significant differences of means between tests. All
participants met the first verification criterion although significant
differences were found between (Equation is included in full-text article.)and
(Equation is included in full-text article.)(59.4 +/- 5.1 vs. 56.2 +/- 4.7
ml.kg.min, p < 0.01). The criteria for the plateau, peak heart rate (HRpeak),
maximum respiratory exchange ratio (RERmax), and maximum blood lactate
concentration ([La]max) were satisfied by 75, 66, 92, and 66 of the participants,
respectively. Kappa coefficients gave a significant and substantial agreement
beyond chance between traditional criteria (p < 0.001). Despite the substantial
agreement, traditional criteria induced the rejection of participants that might
have achieved a true (Equation is included in full-text article.)with HRpeak and
[La]max being the more stringent criteria for amateur runners. A verification
protocol in the field using the criterion based on individual analysis is
recommended.
PMID- 24936897
TI - Differential effects of 30- vs. 60-second static muscle stretching on vertical
jump performance.
AB - It has been proposed that pre-exercise static stretching may reduce muscle force
and power. Recent systematic and meta-analytical reviews have proposed a
threshold regarding the effect of short (<45 seconds) and moderate (>=60 seconds)
stretching durations on subsequent performance in a multi-joint task (e.g., jump
performance), although its effect on power output remains less clear.
Furthermore, no single experimental study has explicitly compared the effect of
short (e.g., 30 seconds) and moderate (60 seconds) durations of continuous static
stretching on multi-joint performance. Therefore, the aim of the present study
was determine the effect of acute short- and moderate-duration continuous
stretching interventions on vertical jump performance and power output. Sixteen
physically active men (21.0 +/- 1.9 years; 1.7 +/- 0.1 m; 78.4 +/- 12.1 kg)
volunteered for the study. After familiarization, subjects attended the
laboratory for 3 testing sessions. In the nonstretching (NS) condition, subjects
performed a countermovement jump (CMJ) test without a preceding stretching bout.
In the other 2 conditions, subjects performed 30-second (30SS; 4 minutes) or 60
second (60SS; 8 minutes) static stretching bouts in calf muscles, hamstrings,
gluteus maximus, and quadriceps, respectively, followed by the CMJ test. Results
were compared by repeated-measures analysis of variance. In comparison with NS,
60SS resulted in a lower CMJ height (-3.4%, p <= 0.05) and average (-2.7%, p <=
0.05) and peak power output (-2.0%, p <= 0.05), but no difference was observed
between 30SS and the other conditions (p > 0.05). These data suggest a dose
dependent effect of stretching on muscular performance, which is in accordance
with previous studies. The present results suggest a threshold of continuous
static stretching in which muscular power output in a multi-joint task may be
impaired immediately following moderate-duration (60 seconds; 8 minutes) static
stretching while short-duration (30 seconds; 4 minutes) stretching has a
negligible influence.
PMID- 24936898
TI - Shoulder proprioception is not related to throwing speed or accuracy in elite
adolescent male baseball players.
AB - Understanding factors that influence throwing speed and accuracy is critical to
performance in baseball. Shoulder proprioception has been implicated in the
injury risk of throwing athletes, but no such link has been established with
performance outcomes. The purpose of this study was to describe any relationship
between shoulder proprioception acuity and throwing speed or accuracy. Twenty
healthy elite adolescent male baseball players (age, 19.6 +/- 2.6 years), who had
represented the state of New South Wales in the past 18 months, were assessed for
bilateral active shoulder proprioception (shoulder rotation in 90 degrees of arm
abduction moving toward external rotation using the active movement extent
discrimination apparatus), maximal throwing speed (MTS, meters per second
measured via a radar gun), and accuracy (total error in centimeters determined by
video analysis) at 80 and 100% of MTS. Although proprioception in the dominant
and nondominant arms was significantly correlated with each other (r = 0.54, p <
0.01), no relationship was found between shoulder proprioception and performance.
Shoulder proprioception was not a significant determinant of throwing performance
such that high levels of speed and accuracy were achieved without a high degree
of proprioception. There is no evidence to suggest therefore that this particular
method of shoulder proprioception measurement should be implemented in clinical
practice. Consequently, clinicians are encouraged to consider proprioception
throughout the entire kinetic chain rather than the shoulder joint in isolation
as a determining factor of performance in throwing athletes.
PMID- 24936899
TI - Effects of G-trainer, cycle ergometry, and stretching on physiological and
psychological recovery from endurance exercise.
AB - The purpose of this study was to compare the effectiveness of 3 treatment modes
(Anti-Gravity Treadmill [G-trainer], stationary cycling [CompuTrainer], and
static stretching) on the physiological and psychological recovery after an acute
bout of exhaustive exercise. In a crossover design, 12 aerobically trained men
(21.3 +/- 2.3 years, 72.1 +/- 8.1 kg, 178.4 +/- 6.3 cm, (Equation is included in
full-text article.): 53.7 +/- 6.3 ml.kg.min) completed a 29-km stationary cycling
time trial. Immediately after the time trial, subjects completed 30 minutes of G
trainer or CompuTrainer (40% (Equation is included in full-text article.)) or
static stretching exercises. A significant time effect was detected for plasma
lactate (p = 0.010) and serum cortisol (p = 0.039) after exercise. No treatment
or treatment by time interaction was identified for lactate or cortisol,
respectively. No main effects for time, treatment, or treatment by time
interaction were identified for interleukin 6 (IL-6) and tumor necrosis factor
alpha (TNF-alpha). No differences were observed among treatments in skeletal
muscle peak power output, mean power output, time to peak power, and rate to
fatigue at 24 hours postexercise bout. Finally, no significant changes in mood
status were observed after exercise and between treatment groups. When compared
with stationary cycling and static stretching, exercise recovery performed on the
G-trainer was unable to reduce systemic markers of stress and inflammation, blood
lactate, or improve anaerobic performance and psychological mood states after an
exhaustive bout of endurance exercise. Further research is warranted that
includes individualized recovery modalities to create balances between the
stresses of training and competition.
PMID- 24936900
TI - Physical and decision-making demands of Australian football umpires during
competitive matches.
AB - This study examined the physical and decision-making requirements of elite
Australian football (AF) umpires during match play. Twenty-nine field umpires
were assessed across 20 AF League matches. Physical demands were monitored using
global positioning system devices to record the total distance covered and high
speed running (HSR; >14.4 km.h) demands across each quarter. Decision-making
performance was assessed through video by 3 elite umpire coaches who reviewed
free-kick accuracy during each match. These data were further analyzed according
to the position (mid-zone or end-zone) of the umpire when each decision was made.
The average distance covered was 10,563 +/- 608 m, of which 1,952 +/- 494 m was
HSR. Significant reductions in distance covered were observed during the third (p
= 0.006) and fourth (p = 0.001) quarters, compared with the first. An average of
44 +/- 8 free kicks awarded per match with a decision accuracy of 84 +/- 6%;
however, there were no significant differences (p > 0.05) in these measures
across a match. Significantly (p <= 0.05) higher physical (HSR; relative
distance) and decision-making requirements were observed within the mid-zone. The
current data quantify the physical and decision-making demands of AF umpiring and
demonstrated that despite a high physical workload, free-kick accuracy is
maintained across a match. This suggests that decision making may not be directly
compromised by the intermittent running demands of AF umpires. Positional
rotations between the mid-zone and end-zone position allow for the demands to be
shared among all field umpires during a match.
PMID- 24936901
TI - Effects of load on wingate test performances and reliability.
AB - The purpose of this study was to examine the effects of 2 braking forces (8.7 and
11% of body mass, BM) on Wingate test performance, peak lactate ([La]pk), peak
heart rate (HRpk), and rate of perceived exertion (RPE). Sixteen male physical
education students (age: 22.7 +/- 1.3 years, height: 1.81 +/- 0.07 m, BM: 74.3 +/
9.6 kg) performed, in a randomized order, 2 Wingate tests at 8.7% BM and 2
Wingate tests at 11% BM on a Monark cycle ergometer on 4 separate sessions. The
results showed that the reliability level of mechanical measures was not affected
by the braking force and was relatively similar for each variable in both braking
forces (0.886 < ICC < 0.985). In addition, peak power, mean power, fatigue slope,
and RPE were significantly higher (8.2, 7.0, 11.9, and 4.1%, respectively, all <
0.05) using a braking force of 11% BM compared with 8.7% BM, whereas there was no
significant effect of braking force on [La]pk and HRpk. In conclusion, the
results of this study suggested that the reliability of the Wingate test does not
depend on the used load, and a braking force of 11% BM is more optimal for power
output during Wingate test in active adults.
PMID- 24936902
TI - Progressive-overload whole-body vibration training as part of periodized, off
season strength training in trained women athletes.
AB - The purpose was to examine the effects of progressive-overload, whole-body
vibration (WBV) training on strength and power as part of a 15-week periodized,
strength training (ST) program. Eighteen collegiate women athletes with >=1 year
of ST and no prior WBV training participated in the crossover design. Random
assignment to 1 of the 2 groups followed pretests of seated medicine ball throw
(SMBT), single-leg hop for distance (LSLH, RSLH), countermovement jump (CMJ), 3
repetition maximum (3RM) front squat (FS), pull-up (PU), and 3RM bench press
(BP). Whole-body vibration was two 3-week phases of dynamic and static hold body
weight exercises administered 2 d.wk in ST sessions throughout the 15-week off
season program. Total WBV exposure was 6 minutes broken into 30-second bouts with
60-second rest (1:2 work-to-relief ratio). Exercises, frequency, and amplitude
progressed in intensity from the first 3-week WBV training to the second 3-week
phase. Repeated-measures analysis of variances were used to analyze the SMBT,
CMJ, LSLH, RSLH, FS, PU, and BP tests. Alpha level was p <= 0.05. Front squat,
LSLH, and RSLH increased (p = 0.001) from pre- to posttest; FS increased from mid
to posttest. Pull-up increased (p = 0.008) from pre- to posttest. Seated
medicine ball throw and BP showed a trend of increased performance from pre- to
posttest (p = 0.11). Two 3-week phases of periodized, progressive-overload WBV +
ST training elicited gains in strength and power during a 15-week off-season
program. Greatest improvements in performance tests occurred in the initial WBV
phase. Implementing WBV in conjunction with ST appears to be more effective in
the early phases of training.
PMID- 24936903
TI - Effects of BOSU ball(s) during sit-ups with body weight and added resistance on
core muscle activation.
AB - The objective of this study was to assess the electromyographic activity of the
rectus abdominis (upper and lower part) and external oblique during sit-ups
performed on BOSU ball(s). Twenty-four men participated in a familiarization
session, and in the next session, they performed the experimental tests in
randomized order. The sit-ups were performed with 10 repetitions with body weight
and with 10 repetition maximum (10RM) using elastic bands as external resistance
under 4 different conditions: (a) on a stable surface, (b) with the BOSU ball
under their feet (dome side down, lower-body instability), (c) BOSU ball under
the low back (dome side up, upper-body instability), and (d) with BOSU balls
under both feet and the low back (dual instability). The feet were not attached
to the surface. We observed that with body weight, external oblique activation
was decreased by upper-body instability and dual instability by 22-24% (p = 0.002
0.006), whereas the rectus abdominis was not affected by the surface. Using 10RM
loads, the upper and lower rectus abdominis activities were increased by upper
body and dual instability by 21-24% compared with that for a stable surface (P <=
0.001-0.036). Further, lower-body instability did not affect muscle activities
significantly with either load for any condition. Hence, BOSU balls under the low
back can increase and decrease abdominal muscle activation depending on the load,
whereas placing a BOSU ball under the feet with the dome side down had little
impact.
PMID- 24936904
TI - The effects of tapering on power-force-velocity profiling and jump performance in
professional rugby league players.
AB - The purpose of this study was to investigate the effects of a preseason taper on
individual power-force-velocity profiles and jump performance in professional
National Rugby League players. Seven professional rugby league players performed
concentric squat jumps using ascending loads of 25, 50, 75, 100% body mass before
and after a 21-day step taper leading into the in-season. Linear force-velocity
relationships were derived, and the following variables were obtained: maximum
theoretical velocity (V0), maximum theoretical force (F0), and maximum power
(Pmax). The players showed likely-to-very likely increases in F0 (effect size
[ES] = 0.45) and Pmax (ES = 0.85) from pre to posttaper. Loaded squat jump height
also showed likely-to-most likely increases at each load (ES = 0.83-1.04). The 21
day taper was effective at enhancing maximal power output and jump height
performance in professional rugby players, possibly as a result of a recovery
from fatigue and thus increased strength capability after a prolonged preseason
training period. Rugby league strength and conditioning coaches should consider
reducing training volume while maintaining intensity and aerobic conditioning
(e.g., step taper) leading into the in-season.
PMID- 24936905
TI - A simple video-based timing system for on-ice team testing in ice hockey: a
technical report.
AB - The purpose of this study was to describe and evaluate a newly developed on-ice
timing system for team evaluation in the sport of ice hockey. We hypothesized
that this new, simple, inexpensive, timing system would prove to be highly
accurate and reliable. Six adult subjects (age 30.4 +/- 6.2 years) performed on
ice tests of acceleration and conditioning. The performance times of the subjects
were recorded using a handheld stopwatch, photocell, and high-speed (240 frames
per second) video. These results were then compared to allow for accuracy
calculations of the stopwatch and video as compared with filtered photocell
timing that was used as the "gold standard." Accuracy was evaluated using maximal
differences, typical error/coefficient of variation (CV), and intraclass
correlation coefficients (ICCs) between the timing methods. The reliability of
the video method was evaluated using the same variables in a test-retest analysis
both within and between evaluators. The video timing method proved to be both
highly accurate (ICC: 0.96-0.99 and CV: 0.1-0.6% as compared with the photocell
method) and reliable (ICC and CV within and between evaluators: 0.99 and 0.08%,
respectively). This video-based timing method provides a very rapid means of
collecting a high volume of very accurate and reliable on-ice measures of skating
speed and conditioning, and can easily be adapted to other testing surfaces and
parameters.
PMID- 24936907
TI - Shifts in the microbial community, nitrifiers and denitrifiers in the biofilm in
a full-scale rotating biological contactor.
AB - The objective of this study was to investigate the microbial community shifts,
especially nitrifiers and denitrifiers, in the biofilm of two rotating biological
contactor (RBC) trains with different running times along the plug flowpath. The
microbial consortia were profiled using multiple approaches, including 454 high
throughput sequencing of the V3-V4 region of 16S rRNA gene, clone libraries, and
quantitative polymerase chain reaction (qPCR). The results demonstrated that (1)
the overall microbial community at different locations had distinct patterns,
that is, there were similar microbial communities at the beginnings of the two
RBC trains and completely different populations at the ends of the two RBC
trains; (2) nitrifiers, including ammonia-oxidizing archaea (AOA), ammonia
oxidizing bacteria (AOB, Nitrosomonas) and nitrite-oxidizing bacteria (NOB,
Nitrospira), increased in relative abundance in the biofilm along the flowpath,
whereas denitrifiers (Rhodanobacter, Paracoccus, Thauera, and Azoarcus) markedly
decreased; (3) the AOA were subdominant to the AOB in all sampled sections; and
(4) strong ecological associations were shown among different bacteria. Overall,
the results of this study provided more comprehensive information regarding the
biofilm community composition and assemblies in full-scale RBCs.
PMID- 24936906
TI - Structure of local interactions in complex financial dynamics.
AB - With the network methods and random matrix theory, we investigate the interaction
structure of communities in financial markets. In particular, based on the random
matrix decomposition, we clarify that the local interactions between the business
sectors (subsectors) are mainly contained in the sector mode. In the sector mode,
the average correlation inside the sectors is positive, while that between the
sectors is negative. Further, we explore the time evolution of the interaction
structure of the business sectors, and observe that the local interaction
structure changes dramatically during a financial bubble or crisis.
PMID- 24936908
TI - Brightly luminescent Pt(II) pincer complexes with a sterically demanding
carboranyl-phenylpyridine ligand: a new material class for diverse optoelectronic
applications.
AB - A series of three Pt(II) complexes with a doubly cyclometalating terdentate
ligand L1, L1H2 = 3,6-bis(p-anizolyl)-2-carboranyl-pyridine, and diethyl sulfide
(1), triphenylphosphine (2), and t-butylisonitrile (3) as ancillary ligands were
synthesized. X-ray diffraction studies of 1 and 2 show a coordination of the L1
ligand in a C-N-C mode in which the bulky and rigid o-carborane fragment is
cyclometalated via a C atom. Importantly, no close intermolecular Pt-Pt contacts
occur with this ligand type. The new Pt(II) pincer complexes display very high
luminescence quantum yields at decay times of several tens of MUs even in
solution under ambient conditions. On the basis of the low-temperature (T = 1.3
K) emission decay behavior, the emission is assigned to a ligand centered triplet
excited state (3)LC with small (1,3)MLCT admixtures. Because the phosphorescence
is effectively quenched by molecular oxygen, optical sensors operating in a wide
range of oxygen pressure can be developed. Owing to the very high luminescence
quantum yields, the new materials might also become attractive as emitter
materials for diverse optoelectronic applications.
PMID- 24936909
TI - Oxidative stress enhances the expression of sulfur assimilation genes:
preliminary insights on the Enterococcus faecalis iron-sulfur cluster machinery
regulation.
AB - The Firmicutes bacteria participate extensively in virulence and pathological
processes. Enterococcus faecalis is a commensal microorganism; however, it is
also a pathogenic bacterium mainly associated with nosocomial infections in
immunocompromised patients. Iron-sulfur [Fe-S] clusters are inorganic prosthetic
groups involved in diverse biological processes, whose in vivo formation requires
several specific protein machineries. Escherichia coli is one of the most
frequently studied microorganisms regarding [Fe-S] cluster biogenesis and encodes
the iron-sulfur cluster and sulfur assimilation systems. In Firmicutes species, a
unique operon composed of the sufCDSUB genes is responsible for [Fe-S] cluster
biogenesis. The aim of this study was to investigate the potential of the E.
faecalis sufCDSUB system in the [Fe-S] cluster assembly using oxidative stress
and iron depletion as adverse growth conditions. Quantitative real-time
polymerase chain reaction demonstrated, for the first time, that Gram-positive
bacteria possess an OxyR component responsive to oxidative stress conditions, as
fully described for E. coli models. Likewise, strong expression of the sufCDSUB
genes was observed in low concentrations of hydrogen peroxide, indicating that
the lowest concentration of oxygen free radicals inside cells, known to be highly
damaging to [Fe-S] clusters, is sufficient to trigger the transcriptional
machinery for prompt replacement of [Fe-S] clusters.
PMID- 24936911
TI - Limits of a rapid identification of common Mediterranean sandflies using
polymerase chain reaction-restriction fragment length polymorphism.
AB - A total of 131 phlebotomine Algerian sandflies have been processed in the present
study. They belong to the species Phlebotomus bergeroti, Phlebotomus alexandri,
Phlebotomus sergenti, Phlebotomus chabaudi, Phlebotomus riouxi, Phlebotomus
perniciosus, Phlebotomus longicuspis, Phlebotomus perfiliewi, Phlebotomus ariasi,
Phlebotomus chadlii, Sergentomyia fallax, Sergentomyia minuta, Sergentomyia
antennata, Sergentomyia schwetzi, Sergentomyia clydei, Sergentomyia christophersi
and Grassomyia dreyfussi. They have been characterised by sequencing of a part of
the cytochrome b (cyt b), t RNA serine and NADH1 on the one hand and of the
cytochrome C oxidase I of the mitochondrial DNA (mtDNA) on the other hand. Our
study highlights two sympatric populations within P. sergenti in the area of its
type-locality and new haplotypes of P. perniciosus and P. longicuspis without
recording the specimens called lcx previously found in North Africa. We tried to
use a polymerase chain reaction-restriction fragment length polymorphism method
based on a combined double digestion of each marker. These method is not
interesting to identify sandflies all over the Mediterranean Basin.
PMID- 24936912
TI - Knockout confirmation for Hurries: rapid genotype identification of Trypanosoma
cruzi transfectants by polymerase chain reaction directly from liquid culture.
AB - Gene knockout is a widely used approach to evaluate loss-of-function phenotypes
and it can be facilitated by the incorporation of a DNA cassette having a drug
selectable marker. Confirmation of the correct knockout cassette insertion is an
important step in gene removal validation and has generally been performed by
polymerase chain reaction (PCR) assays following a time-consuming DNA extraction
step. Here, we show a rapid procedure for the identification of Trypanosoma cruzi
transfectants by PCR directly from liquid culture - without prior DNA extraction.
This simple approach enabled us to generate PCR amplifications from different
cultures varying from 106-108 cells/mL. We also show that it is possible to
combine different primer pairs in a multiplex detection reaction and even to
achieve knockout confirmation with an extremely simple interpretation of a real
time PCR result. Using the "culture PCR" approach, we show for the first time
that we can assess different DNA sequence combinations by PCR directly from
liquid culture, saving time in several tasks for T. cruzi genotype interrogation.
PMID- 24936913
TI - Progesterone.
AB - Progestogens are a promising treatment in the prevention of spontaneous preterm
birth in high-risk women. In women with a prior history of spontaneous preterm
delivery and in women with a sonographic shortened cervix, there is considerable
evidence supporting a benefit of progestogen therapy in the reduction of preterm
delivery. In women with multifetal gestations, progestogen therapy has not been
shown to be beneficial. Data are inconclusive in women with arrested preterm
labor. Questions remain about the mechanism of progestogen action, the optimal
type of progestogen, the best mode of administration, and the ideal dosing
regimen.
PMID- 24936914
TI - The effect of maternal obesity on the offspring.
AB - Maternal obesity is inextricably linked to adverse health outcomes for the mother
and her children. The peripartum period is a critical period of risk. In this
chapter, we examine the importance of maternal prepregnancy weight status,
gestational weight gain, breastfeeding, and postpartum weight loss in relation to
subsequent risk for maternal obesity and obesity in the offspring. Promoting
optimal maternal weight during the preconception, pregnancy, and postpartum
periods will provide lifelong benefits for maternal health and the health of her
progeny.
PMID- 24936915
TI - Faculty development initiatives to advance research literacy and evidence-based
practice at CAM academic institutions.
AB - OBJECTIVES: To present the varied approaches of 9 complementary and alternative
medicine (CAM) institutions (all grantees of the National Center for
Complementary and Alternative Medicine) used to develop faculty expertise in
research literacy and evidence-based practice (EBP) in order to integrate these
concepts into CAM curricula. DESIGN: A survey to elicit information on the
faculty development initiatives was administered via e-mail to the 9 program
directors. All 9 completed the survey, and 8 grantees provided narrative
summaries of faculty training outcomes. RESULTS: The grantees found the following
strategies for implementing their programs most useful: assess needs, develop and
adopt research literacy and EBP competencies, target early adopters and change
leaders, employ best practices in teaching and education, provide meaningful
incentives, capitalize on resources provided by grant partners, provide external
training opportunities, and garner support from institutional leadership.
Instructional approaches varied considerably across grantees. The most common
were workshops, online resources, in-person short courses, and in-depth seminar
series developed by the grantees. Many also sent faculty to intensive multiday
extramural training programs. Program evaluation included measuring participation
rates and satisfaction and the integration of research literacy and EBP learning
objectives throughout the academic curricula. Most grantees measured longitudinal
changes in beliefs, attitudes, opinions, and competencies with repeated faculty
surveys. CONCLUSIONS: A common need across all 9 CAM grantee institutions was
foundational training for faculty in research literacy and EBP. Therefore, each
grantee institution developed and implemented a faculty development program. In
developing the framework for their programs, grantees used strategies that were
viewed critical for success, including making them multifaceted and unique to
their specific institutional needs. These strategies, in conjunction with the
grantees' instructional approaches, can be of practical use in other CAM and non
CAM academic environments considering the introduction of research literacy and
EBP competencies into their curricula.
PMID- 24936917
TI - Untangling the triple low: causal inference in anesthesia research.
PMID- 24936918
TI - Guaranteed by gas: Neiman's advertising bookmark.
PMID- 24936916
TI - Cell-type-specific, Aptamer-functionalized Agents for Targeted Disease Therapy.
AB - One hundred years ago, Dr. Paul Ehrlich popularized the "magic bullet" concept
for cancer therapy in which an ideal therapeutic agent would only kill the
specific tumor cells it targeted. Since then, "targeted therapy" that
specifically targets the molecular defects responsible for a patient's condition
has become a long-standing goal for treating human disease. However, safe and
efficient drug delivery during the treatment of cancer and infectious disease
remains a major challenge for clinical translation and the development of new
therapies. The advent of SELEX technology has inspired many groundbreaking
studies that successfully adapted cell-specific aptamers for targeted delivery of
active drug substances in both in vitro and in vivo models. By covalently linking
or physically functionalizing the cell-specific aptamers with therapeutic agents,
such as siRNA, microRNA, chemotherapeutics or toxins, or delivery vehicles, such
as organic or inorganic nanocarriers, the targeted cells and tissues can be
specifically recognized and the therapeutic compounds internalized, thereby
improving the local concentration of the drug and its therapeutic efficacy.
Currently, many cell-type-specific aptamers have been developed that can target
distinct diseases or tissues in a cell-type-specific manner. In this review, we
discuss recent advances in the use of cell-specific aptamers for targeted disease
therapy, as well as conjugation strategies and challenges.
PMID- 24936919
TI - Ketamine metabolomics in the treatment of major depression.
PMID- 24936920
TI - Cumulative duration of "triple low" state of low blood pressure, low bispectral
index, and low minimum alveolar concentration of volatile anesthesia is not
associated with increased mortality.
AB - BACKGROUND: Mortality after noncardiac surgery has been associated with the
"triple low state," a combination of low mean arterial blood pressure (<75 mmHg),
low bispectral index (<45), and low minimum alveolar concentration of volatile
anesthesia (<0.70). The authors set out to determine whether duration of a triple
low state and aggregate risk associated with individual diagnostic and procedure
codes are independently associated with perioperative and intermediate-term
mortality. METHODS: The authors studied 16,263 patients (53 +/- 16 yr) who
underwent noncardiac surgery at Duke University Medical Center between January
2006 and December 2009. Multivariable logistic and Cox regression analyses were
used to determine whether perioperative factors were independently associated
with perioperative and intermediate-term all-cause mortality. RESULTS: The 30-day
mortality rate was 0.8%. There were statistically significant associations
between 30-day mortality and various perioperative risk factors including age,
American Society of Anesthesiologists Physical Status, emergency surgery, higher
Cleveland Clinic Risk Index score, and year of surgery. Cumulative duration of
triple low state was not associated with 30-day mortality (multivariable odds
ratio, 0.99; 95% CI, 0.92 to 1.07). The clinical risk factors for 30-day
mortality remained predictors of intermediate-term mortality, whereas cumulative
duration of triple low was not associated with intermediate-term mortality
(multivariable hazard ratio, 0.98; 95% CI, 0.97 to 1.01). The multivariable
logistic regression (c-index = 0.932) and Cox regression (c-index = 0.860) models
showed excellent discriminative abilities. CONCLUSION: The authors found no
association between cumulative duration of triple low state and perioperative or
intermediate-term mortality in noncardiac surgery patients.
PMID- 24936921
TI - "Hands-on" teaching by Chevalier Jackson.
PMID- 24936923
TI - Wrenching experiences from the Heidbrink Company.
PMID- 24936922
TI - (R,S)-Ketamine metabolites (R,S)-norketamine and (2S,6S)-hydroxynorketamine
increase the mammalian target of rapamycin function.
AB - BACKGROUND: Subanesthetic doses of (R,S)-ketamine are used in the treatment of
neuropathic pain and depression. In the rat, the antidepressant effects of (R,S)
ketamine are associated with increased activity and function of mammalian target
of rapamycin (mTOR); however, (R,S)-ketamine is extensively metabolized and the
contribution of its metabolites to increased mTOR signaling is unknown. METHODS:
Rats (n = 3 per time point) were given (R,S)-ketamine, (R,S)-norketamine, and
(2S,6S)-hydroxynorketamine and their effect on the mTOR pathway determined after
20, 30, and 60 min. PC-12 pheochromocytoma cells (n = 3 per experiment) were
treated with escalating concentrations of each compound and the impact on the
mTOR pathway was determined. RESULTS: The phosphorylation of mTOR and its
downstream targets was significantly increased in rat prefrontal cortex tissue by
more than ~2.5-, ~25-, and ~2-fold, respectively, in response to a 60-min
postadministration of (R,S)-ketamine, (R,S)-norketamine, and (2S,6S)
hydroxynorketamine (P < 0.05, ANOVA analysis). In PC-12 pheochromocytoma cells,
the test compounds activated the mTOR pathway in a concentration-dependent
manner, which resulted in a significantly higher expression of serine racemase
with ~2-fold increases at 0.05 nM (2S,6S)-hydroxynorketamine, 10 nM (R,S)
norketamine, and 1,000 nM (R,S)-ketamine. The potency of the effect reflected
antagonistic activity of the test compounds at the alpha7-nicotinic acetylcholine
receptor. CONCLUSIONS: The data demonstrate that (R,S)-norketamine and (2S,6S)
hydroxynorketamine have potent pharmacological activity both in vitro and in vivo
and contribute to the molecular effects produced by subanesthetic doses of (R,S)
ketamine. The results suggest that the determination of the mechanisms underlying
the antidepressant and analgesic effects of (R,S)-ketamine requires a full study
of the parent compound and its metabolites.
PMID- 24936924
TI - Factors affecting survival and neurologic outcome of patient with perioperative
cardiac arrest.
PMID- 24936925
TI - Data on the anesthetic procedure or surgical risk are still necessary.
PMID- 24936926
TI - In reply.
PMID- 24936927
TI - "Big data" has not come to pediatric anesthesia.
PMID- 24936928
TI - In reply.
PMID- 24936933
TI - Ketamine as an antidepresessant: a brief research history.
PMID- 24936934
TI - Comprehensive chirality sensing: development of stereodynamic probes with a dual
(chir)optical response.
AB - The attachment of a salicylaldehyde ring and a cofacial aryl or heteroaryl N
oxide chromophore onto a naphthalene scaffold affords stereodynamic probes
designed to rapidly bind amines, amino alcohols, or amino acids and to translate
this binding event via substrate-to-receptor chirality amplification into a dual
(chir)optical response. 1-(3'-Formyl-4'-hydroxyphenyl)-8-(9'-anthryl)naphthalene
(1) was prepared via two consecutive Suzuki cross-coupling reactions, and the
three-dimensional structure and racemization kinetics were studied by
crystallography and dynamic HPLC. This probe proved successful for chirality
sensing of several compounds, but in situ IR monitoring of the condensation
reaction between the salicylaldehyde moiety in 1 and phenylglycinol showed that
the imine formation takes 2 h. Optimization of the substrate binding rate and the
circular dichroism (CD) and fluorescence readouts led to the replacement of
anthracene with smaller fluorophores capable of intramolecular hydrogen bonding.
1-(3'-Formyl-4'-methoxyphenyl)-8-(4'-isoquinolyl)naphthalene N-oxide (2) and its
pyridyl analogue 3 combine fast substrate binding with distinctive chiral
amplification. This asymmetric transformation of the first kind prompts CD and
fluorescence responses that can be used for in situ determination of the absolute
configuration, ee, and total concentration of many compounds. The general utility
of the three chemosensors was successfully tested on 18 substrates.
PMID- 24936935
TI - Direct formation of reduced graphene oxide and 3D lightweight nickel network
composite foam by hydrohalic acids and its application for high-performance
supercapacitors.
AB - Here, a novel graphene composite foam with 3D lightweight continuous and
interconnected nickel network was successfully synthesized by hydroiodic (HI)
acid using nickel foam as substrate template. The graphene had closely coated on
the backbone of the 3D nickel conductive network to form nickel network supported
composite foam without any polymeric binder during the HI reduction of GO
process, and the nickel conductive network can be maintained even in only a small
amount of nickel with 1.1 mg/cm(2) and had replaced the traditional current
collector nickel foam (35 mg/cm(2)). In the electrochemical measurement, a
supercapacitor device based on the 3D nickel network and graphene composite foam
exhibited high rate capability of 100 F/g at 0.5 A/g and 86.7 F/g at 62.5 A/g,
good cycle stability with capacitance retention of 95% after 2000 cycles, low
internal resistance (1.68 Omega), and excellent flexible properties. Furthermore,
the gravimetric capacitance (calculated using the total mass of the electrode)
was high up to 40.9 F/g. Our work not only demonstrates high-quality
graphene/nickel composite foam, but also provides a universal route for the
rational design of high performance of supercapacitors.
PMID- 24936936
TI - Sequential laser surgery for twin-twin transfusion syndrome.
AB - Treatment of twin-twin transfusion syndrome (TTTS) via the sequential selective
laser photocoagulation of communicating vessels (SQLPCV) technique involves
lasering the arteriovenous communications from the donor twin to the recipient
twin first, followed by the arteriovenous communications from the recipient twin
to the donor. Occluding the vascular communications in this particular order may
result in transient intraoperative net transfusion to the donor twin and
facilitate hemodynamic equilibrium, particularly to the volume depleted donor
twin. Preliminary evidence suggests that the SQLPCV technique may result in
improved perinatal outcomes compared with the standard selective (SLPCV) laser
surgical approach. In this article, we describe the pathophysiological basis for
this surgical approach, delineate the surgical technique, review the literature,
and elaborate on the outstanding questions of the SQLPCV treatment of TTTS.
PMID- 24936938
TI - Socioeconomic disparities in diagnostic testing after positive aneuploidy
screening.
AB - OBJECTIVE: The objective of this study was to investigate the association between
type of health insurance (Medicaid vs. private) and uptake of diagnostic testing
for fetal aneuploidy after a positive screening test result. METHODS: We
performed a retrospective cohort study of pregnant women who underwent aneuploidy
screening in the first and/or second trimesters of pregnancy and received
positive results. The characteristics of and outcomes for women with Medicaid
were compared with those of women with private insurance in both univariable and
multivariable analyses. RESULTS: In this study, 75 women with Medicaid and 75
with private insurance were analyzed. Those with Medicaid were younger (33.8 vs.
36.9 years, p < 0.01), and more likely to be of non-white race/ethnicity (88 vs.
27%, p < 0.01), unmarried (65 vs. 19%, p < 0.01), non-English speaking (12 vs.
0%, p < 0.01), and multiparous (76 vs. 59%, p = 0.02). They also were less likely
to undergo diagnostic testing after a positive aneuploidy screen (35 vs. 57%, p <
0.01). In multivariable analysis, those with Medicaid remained significantly less
likely to undergo diagnostic testing (odds ratio, 0.26; 95% confidence interval,
0.09-0.80). CONCLUSION: Women with Medicaid are less likely than women with
private insurance to undergo diagnostic testing after positive screening for
aneuploidy. These results emphasize the potential importance of improved
counseling for low-income women.
PMID- 24936939
TI - Electronic dynamics by ultrafast pump photoelectron detachment probed by
ionization: a dynamical simulation of negative-neutral-positive in LiH(-).
AB - The control of electronic dynamics in the neutral electronic states of LiH before
the onset of significant nuclei motion is investigated using a negative-neutral
positive (NeNePo) ultrafast IR pump-attoescond pulse train (APT) probe scheme.
Starting from the ground state of the anion (LiH(-)), multiphoton ultrafast
electron detachment and subsequent excitation of the neutral by a few femtosecond
intense IR pulse produces a non-equilibrium electronic density in neutral LiH.
The coherent electronic wave packet is then probed by angularly resolved
photoionization to the cation by an APT generated from a replica of the pump IR
pulse at several time delays. Realistic parameters for the pump and the APT are
used. Several NeNePo schemes are simulated using different IR carrier
frequencies, showing that the delay between the successive attosecond pulses in
the train can be used as a filter to probe the different pairs of states present
in the coherent electronic wave packet produced by the pump pulse. The dynamical
simulations include the pump and the probe pulses to all orders by solving the
time-dependent Schrodinger equation using a coupled equation scheme for the
manifolds of the anion, neutral, and cation subspaces. We show that an incomplete
molecular orientation of the molecule in the laboratory frame does not prevent
probing the electronic density localization by angularly resolved photoelectron
maps.
PMID- 24936937
TI - The association of cord serum cytokines with neurodevelopmental outcomes.
AB - OBJECTIVE: To test whether elevated umbilical cord serum inflammatory cytokine
levels predicted subsequent cerebral palsy (CP) or neurodevelopmental delay
(NDD). STUDY DESIGN: Nested case-control analysis within a clinical trial of
antenatal magnesium sulfate (MgSO4) before anticipated preterm birth (PTB) for
prevention of CP, with evaluation of surviving children at the age of 2. NDD was
defined as a Bayley psychomotor developmental index (PDI) and/or mental
developmental index (MDI) < 70. Controls, defined as surviving children without
CP and with Bayley PDI and MDI >= 85, were matched by race and gestational age.
Cord serum was analyzed for interleukin-8 (IL-8) interleukin-1 beta (IL-1beta),
and tumor necrosis factor-alpha (TNF-alpha) levels. Elevated cytokine levels were
defined as >= 75th percentile in placebo-exposed controls. Analyses compared
case/control cytokine levels, adjusting for MgSO4 exposure, gestational age,
race/ethnicity, and sociodemographic differences. RESULTS: Logistic regression
analysis with 339 cases and 276 controls showed that elevated IL-8 and IL-1beta
were more common in cord blood serum from infants with subsequent low MDI as
compared with controls. After adjusting for additional confounders, the
significant differences were no longer evident. Cytokine levels (IL-8, IL-1beta,
and TNF-alpha) were not elevated with CP or low PDI. CONCLUSION: Cord serum IL-8,
IL-1beta, and TNF-alpha levels in preterm infants are not associated with
subsequent CP or NDD.
PMID- 24936940
TI - Myelinated nerve fiber-associated local scleral excavation and induced axial
myopia.
AB - PURPOSE: To investigate the association between the distributions of local
scleral excavation and myelinated nerve fibers (MNF) and to elucidate the
pathogenic mechanism of axial myopia in eyes with MNF. METHODS: In six eyes of
six pediatric patients with MNF, the distribution of the MNF on fundus
photography was compared with the regional excavation of the sclera on linear
scans across the fovea and a peripapillary circular scan of spectral domain
optical coherence tomography. RESULTS: The tilting of Bruch membrane on vertical
scan of spectral domain optical coherence tomography was associated with the
major distribution of MNF (kappa = 1, Cohen's kappa coefficient). The area of MNF
of 12 clock-hour segments and focal scleral excavation on circular scan of
spectral domain optical coherence tomography were significantly correlated (P <
0.001, generalized estimating equation). The deepest direction of the circular
scan was correlated with the direction of MNF vector defined as the average of
the MNF direction weighted by the MNF area along 12 clock-hour segment (P <
0.036, Spearman correlation test). CONCLUSION: Localized scleral excavation was
strongly associated with the distribution of the MNF. This result indicates that
the retinal areas deprived of visual stimulation by the MNF contribute to the
development of axial myopia through local effects on the underlying sclera in
early life.
PMID- 24936941
TI - Outcomes of anti-vascular endothelial growth factor therapy in the management of
choroidal neovascularization associated with choroidal osteoma.
AB - PURPOSE: To investigate the efficacy of serial anti-vascular endothelial growth
factor injections for choroidal neovascularization associated with choroidal
osteoma. METHODS: In this retrospective interventional case series, eyes with
choroidal osteoma and associated choroidal neovascularization were treated with
intravitreal ranibizumab or bevacizumab with or without photodynamic therapy
consolidation. Resolution of subretinal fluid on optical coherence tomography and
best-corrected visual acuity were assessed. RESULTS: Eight eyes in eight patients
were treated with monthly intravitreal anti-vascular endothelial growth factor
injections with or without photodynamic therapy. Resolution of subretinal fluid
on optical coherence tomography was achieved in 7 of 8 eyes (87%) with a mean of
12.3 +/- 12.6 injections (range, 1-40). Four eyes (50%) experienced at least 1
recurrence of exudation at a mean interval of 10 months (median, 7.5; range, 5-21
months), necessitating further therapy. The mean Snellen visual acuity
improvement was 1 +/- 4 lines (range, loss 3 to gain 7 lines) at a mean of 32
months follow-up. CONCLUSION: Serial anti-vascular endothelial growth factor
therapy alone or with photodynamic therapy consolidation for choroidal
neovascularization due to choroidal osteoma resulted in anatomical improvement
and modest visual gain.
PMID- 24936942
TI - Fibrovascular pigment epithelial detachment is a risk factor for long-term visual
decay in neovascular age-related macular degeneretion.
AB - BACKGROUND: The individual outcome of anti-vascular endothelial growth factor
treatment in neovascular age-related macular degeneration is variable. To
investigate the prognostic value of spectral domain optical coherence tomography
structures for best-corrected visual acuity (BCVA) outcome, volumetric analysis
of spectral domain optical coherence tomography structures was performed in
neovascular age-related macular degeneration correlated with BCVA after 24
months. METHODS: At the Department of Ophthalmology, University of Cologne,
Germany, 75 patients with neovascular age-related macular degeneration were
analyzed prospectively over 24 months. Patients received three initial
consecutive monthly intravitreal ranibizumab injections followed by monthly
spectral domain optical coherence tomography controls. Therapy was continued as a
pro re nata regimen. Volumetric analysis of spectral domain optical coherence
tomography images was performed using commercially available software (3D
Doctor). RESULTS: Subretinal tissue, subretinal fluid, serous pigment epithelial
detachment, and fibrovascular pigment epithelial detachment (FPED) were
identified. By contrast to all other structures, FPED did not respond to
ranibizumab therapy. Volume of FPED at baseline and after the loading phase
correlated most with impaired BCVA after 24 months (r = -0.0215, P = 0.9263
[subretinal tissue]; r = -0.3120, P = 0.0216 [subretinal fluid]; r = -0.0757, P =
0.6470 [serous pigment epithelial detachment]; r = -0.4182, P = 0.0111 (FPED
baseline); r = -0.4768; P = 0.0002 [FPED after loading phase]). CONCLUSION: Of
all identified structures, FPED was most deleterious for BCVA after 24 months.
The knowledge about possible BCVA course can influence the decision for more
intense treatment regimens.
PMID- 24936943
TI - Choroidal thickness after intraarterial chemotherapy for retinoblastoma.
AB - PURPOSE: To measure the choroidal thickness (CT) and analyze the morphologic
features of chorioretinal structures using a portable handheld spectral domain
optical coherence tomography in patients with retinoblastoma after intraarterial
chemotherapy. METHODS: This was a case-control study. Eighteen eyes of 9 patients
with unilateral retinoblastoma treated with intraarterial chemotherapy were
assessed by spectral-domain optical coherence tomography. Submacular CT was
measured at the foveola and at points located 500 MUm and 2 mm from the foveola.
The treated eye was compared with the untreated (control) eye. RESULTS: Mean
submacular CT was 174 +/- 111.1 MUm in the treated eyes and 259 +/- 42.2 MUm in
the control eyes (P = 0.054). Several point locations showed statistically
significant differences comparing CT (treated eye vs. control eye), including
subfoveolar (P = 0.030), nasal 0.5 mm (P = 0.037), nasal 2 mm (P = 0.049), and
temporal 2 mm (P = 0.031). In 4 patients with ophthalmoscopically visible
choroidal atrophy, submacular CT was reduced by 73.3 +/- 14.1% compared with the
control eye. In 5 patients with no ophthalmoscopically visible choroidal atrophy,
submacular CT was reduced by 0.5 +/- 11.9% compared with the control eye.
CONCLUSION: Intraarterial chemotherapy for retinoblastoma can cause reduction in
subfoveolar CT. Spectral-domain optical coherence tomography confirmed choroid to
be thinned in eyes with or without clinical evidence of choroidal atrophy.
PMID- 24936944
TI - Ocular findings at initial pan retinal photocoagulation for proliferative
diabetic retinopathy predict the need for future pars plana vitrectomy.
AB - PURPOSE: To determine the 1-year and 2-year likelihood of vitrectomy in diabetic
patients undergoing initial pan retinal photocoagulation (PRP). METHODS: Diabetic
eyes receiving initial PRP for proliferative diabetic retinopathy (PDR) were
analyzed to determine their risk for vitrectomy based on clinical findings.
RESULTS: In total, 374 eyes of 272 patients were analyzed. The percentage of eyes
undergoing vitrectomy 1 year and 2 years following initial PRP was 19.1% and
26.2%, respectively. Of the eyes in Group 1 (PDR alone), Group 2 (PDR and
vitreous hemorrhage), and Group 3 (PDR and iris neovascularization, vitreous
hemorrhage with traction or fibrosis, or fibrosis alone), the percentage
receiving pars plana vitrectomy at 1 year and 2 years was 9.73% (18/185) and
15.7% (29/185), 26.9% (43/160) and 34.4% (55/160), and 37.9% (11/29) and 48.3%
(14/29), respectively. Eyes in Group 2 had 2.78 times greater likelihood (P <
0.0001) and eyes in Group 3 had 3.54 times higher likelihood (P < 0.0001) of
requiring pars plana vitrectomy within 2 years than those with PDR alone.
CONCLUSION: Eyes receiving PRP for PDR with associated hemorrhage or traction
were more likely to undergo pars plana vitrectomy within 1 year and 2 years
following initial PRP compared with eyes with only PDR, providing important
prognostic information for PRP-naive patients.
PMID- 24936945
TI - Optical properties of single plasmonic holes probed with local electron beam
excitation.
AB - Similar to nanoparticles, nanoscale holes form a basic building block in a wide
array of nanophotonic devices. Here we study the spectral and angular
cathodoluminescence response of individual nanoholes with diameters ranging from
50 to 180 nm. Taking advantage of the deep-subwavelength excitation resolution,
we find that the holes can be excited efficiently at the edge of the hole and
that the response becomes stronger in the near-infrared part of the spectrum for
larger holes. Using finite-difference time-domain simulations, we characterize
the resonant modes inside the holes. We measure the angle-resolved
cathodoluminescence response and observe strong beaming toward the side of
electron beam excitation, complementary to what was shown for nanoparticles. The
angular response can be explained by assuming a coherent superposition of
radiating dipole moments, where the contribution of in-plane magnetic and
electric dipole components increases for larger diameters.
PMID- 24936946
TI - A framework for a context-aware elderly entertainment support system.
AB - Elderly people constitute a major portion of world's population. Many of them are
physically and mentally vulnerable and need continuous support for their health
and well-being. There is a growing trend that these elderly people are placed in
an ambient assisted living environment (AAL) with an aim to receive better care
and support. In such settings, a lot of attention has been given to continuous
health monitoring for maintaining physical health status. However, much less
attention has been given toward understanding the entertainment needs of the
elderly people, which is an important factor relevant to their mental health and
joyful living. This paper thus addresses the entertainment needs of the elderly
and proposes a framework of an elderly entertainment support system. The proposed
framework enables different categories of residents (e.g., elderly people and
caregivers) to access various media services in both implicit and explicit manner
in order to enhance the quality of their living experience in different contexts.
Our experimental results demonstrate the viability of the proposed framework. We
believe that the proposed approach will establish the need to develop
entertainment systems and services for the elderly people and allow us to
sensibly address the problems associated with their independent, happy and active
living.
PMID- 24936947
TI - Diffusion maps for multimodal registration.
AB - Multimodal image registration is a difficult task, due to the significant
intensity variations between the images. A common approach is to use
sophisticated similarity measures, such as mutual information, that are robust to
those intensity variations. However, these similarity measures are
computationally expensive and, moreover, often fail to capture the geometry and
the associated dynamics linked with the images. Another approach is the
transformation of the images into a common space where modalities can be directly
compared. Within this approach, we propose to register multimodal images by using
diffusion maps to describe the geometric and spectral properties of the data.
Through diffusion maps, the multimodal data is transformed into a new set of
canonical coordinates that reflect its geometry uniformly across modalities, so
that meaningful correspondences can be established between them. Images in this
new representation can then be registered using a simple Euclidean distance as a
similarity measure. Registration accuracy was evaluated on both real and
simulated brain images with known ground-truth for both rigid and non-rigid
registration. Results showed that the proposed approach achieved higher accuracy
than the conventional approach using mutual information.
PMID- 24936948
TI - Railway crossing risk area detection using linear regression and terrain drop
compensation techniques.
AB - Most railway accidents happen at railway crossings. Therefore, how to detect
humans or objects present in the risk area of a railway crossing and thus prevent
accidents are important tasks. In this paper, three strategies are used to detect
the risk area of a railway crossing: (1) we use a terrain drop compensation (TDC)
technique to solve the problem of the concavity of railway crossings; (2) we use
a linear regression technique to predict the position and length of an object
from image processing; (3) we have developed a novel strategy called calculating
local maximum Y-coordinate object points (CLMYOP) to obtain the ground points of
the object. In addition, image preprocessing is also applied to filter out the
noise and successfully improve the object detection. From the experimental
results, it is demonstrated that our scheme is an effective and corrective method
for the detection of railway crossing risk areas.
PMID- 24936949
TI - Vibration sensor-based bearing fault diagnosis using ellipsoid-ARTMAP and
differential evolution algorithms.
AB - Effective fault classification of rolling element bearings provides an important
basis for ensuring safe operation of rotating machinery. In this paper, a novel
vibration sensor-based fault diagnosis method using an Ellipsoid-ARTMAP network
(EAM) and a differential evolution (DE) algorithm is proposed. The original
features are firstly extracted from vibration signals based on wavelet packet
decomposition. Then, a minimum-redundancy maximum-relevancy algorithm is
introduced to select the most prominent features so as to decrease feature
dimensions. Finally, a DE-based EAM (DE-EAM) classifier is constructed to realize
the fault diagnosis. The major characteristic of EAM is that the sample
distribution of each category is realized by using a hyper-ellipsoid node and
smoothing operation algorithm. Therefore, it can depict the decision boundary of
disperse samples accurately and effectively avoid over-fitting phenomena. To
optimize EAM network parameters, the DE algorithm is presented and two
objectives, including both classification accuracy and nodes number, are
simultaneously introduced as the fitness functions. Meanwhile, an exponential
criterion is proposed to realize final selection of the optimal parameters. To
prove the effectiveness of the proposed method, the vibration signals of four
types of rolling element bearings under different loads were collected. Moreover,
to improve the robustness of the classifier evaluation, a two-fold cross
validation scheme is adopted and the order of feature samples is randomly
arranged ten times within each fold. The results show that DE-EAM classifier can
recognize the fault categories of the rolling element bearings reliably and
accurately.
PMID- 24936950
TI - Electrochemical mechanism of ion current rectification of polyelectrolyte gel
diodes.
AB - Polyelectrolyte gel diodes that are double layers of two oppositely charged
polyelectrolyte gels, sandwiched by two symmetric electrodes, are emergent ionic
devices. These diodes are designed to rectify ion currents with a physical
mechanism that is analogous to conventional semiconductor diodes-the asymmetry in
the permeability of ions across the interfaces between the two oppositely charged
gels. Here we show that polyelectrolyte gel diodes indeed rectify steady currents
with a physical mechanism that is very different from conventional diodes by
using a simple electrochemical model; electric currents are limited by
electrochemical reactions that are driven by potential drops at electrodes and
these potential drops markedly change with changing the direction of applied
voltages due to the redistribution of non-reactive counterions, leading to
rectified ion currents. This concept is relatively generic and thus may provide
insight in the physics of analogous ionic and biomimetic systems that show
electrochemical reactions.
PMID- 24936952
TI - PIN architecture for ultrasensitive organic thin film photoconductors.
AB - Organic thin film photoconductors (OTFPs) are expected to have wide applications
in the field of optical communications, artificial vision and biomedical sensing
due to their great advantages of high flexibility and low-cost large-area
fabrication. However, their performances are not satisfactory at present: the
value of responsivity (R), the parameter that measures the sensitivity of a
photoconductor to light, is below 1 AW(-1). We believe such poor performance is
resulted from an intrinsic self-limited effect of present bare blend based device
structure. Here we designed a PIN architecture for OTFPs, the PIN device exhibits
a significantly improved high R value of 96.5 AW(-1). The PIN architecture and
the performance the PIN device shows here should represent an important step in
the development of OTFPs.
PMID- 24936954
TI - Effects of self-referencing on feeling-of-knowing accuracy and recollective
experience.
AB - The current research investigated the impact of self-referencing (SR) on feeling
of-knowing (FOK) judgements to improve our understanding of the mechanisms
underlying these metamemory judgements and specifically test the relationship
between recollective experiences and FOK accuracy within the accessibility
framework FOK judgements are thought to be by-products of the retrieval process
and are therefore closely related to memory performance. Because relating
information to one's self is one of the factors enhancing memory performance, we
investigated the effect of self-related encoding on FOK accuracy and recollective
experience. We compared performance on this condition to a separate deep
processing condition in which participants reported the frequency of occurrence
of pairs of words. Participants encoded pairs of words incidentally, and
following a delay interval, they attempted at retrieving each target prompted by
its cue. Then, they were re-presented with all cues and asked to provide FOK
ratings regarding their likelihood of recognising the targets amongst
distractors. Finally, they were given a surprise recognition task in which
following each response they identified whether the response was remembered,
known or just guessed. Our results showed that only SR at encoding resulted in
better memory, higher FOK accuracy and increased recollective experience.
PMID- 24936953
TI - Targeting the androgen receptor with steroid conjugates.
AB - The androgen receptor (AR) is a major therapeutic target in prostate cancer
pharmacology. Progression of prostate cancer has been linked to elevated
expression of AR in malignant tissue, suggesting that AR plays a central role in
prostate cancer cell biology. Potent therapeutic agents can be precisely crafted
to specifically target AR, potentially averting systemic toxicities associated
with nonspecific chemotherapies. In this review, we describe various strategies
to generate steroid conjugates that can selectively engage AR with high potency.
Analogies to recent developments in nonsteroidal conjugates targeting AR are also
evaluated. Particular focus is placed on potential applications in AR
pharmacology. The review culminates with a description of future prospects for
targeting AR.
PMID- 24936955
TI - Parity and pancreatic cancer risk: evidence from a meta-analysis of twenty
epidemiologic studies.
AB - Multiple studies have hypothesized parity is associated with pancreatic cancer
risk but obtained conflicting results. We conducted a meta-analysis (including a
dose-response approach) of current available epidemiologic studies to investigate
the association between parity and risk of pancreatic cancer. Ten cohort studies
and ten case-control studies including 8205 cases were eligible for inclusion.
The combined RR (relative risk) of pancreatic cancer for the parous vs.
nulliparous was 0.91 (95% CI, confidence interval = 0.85-0.97, I(2) = 39.0%, P(h)
= 0.01). We observed an inverse association between giving birth to two children
pancreatic cancer risk with RR of 0.86 (95% CI = 0.80-0.93, I(2) = 8.7%, P(h) =
0.36). And no evidence supported there was non-linear (P = 0.33) or linear
relationship (P = 0.14) between number of parity and risk of pancreatic cancer.
Findings from this meta-analysis indicate that giving birth to two children has
the lowest pancreatic cancer risk, mechanism of this protective effect needs
further investigation.
PMID- 24936956
TI - Influence of image slice thickness on rectal dose-response relationships
following radiotherapy of prostate cancer.
AB - When pooling retrospective data from different cohorts, slice thicknesses of
acquired computed tomography (CT) images used for treatment planning may vary
between cohorts. It is, however, not known if varying slice thickness influences
derived dose-response relationships. We investigated this for rectal bleeding
using dose-volume histograms (DVHs) of the rectum and rectal wall for dose
distributions superimposed on images with varying CT slice thicknesses. We used
dose and endpoint data from two prostate cancer cohorts treated with three
dimensional conformal radiotherapy to either 74 Gy (N = 159) or 78 Gy (N = 159)
at 2 Gy per fraction. The rectum was defined as the whole organ with content, and
the morbidity cut-off was Grade >=2 late rectal bleeding. Rectal walls were
defined as 3 mm inner margins added to the rectum. DVHs for simulated slice
thicknesses from 3 to 13 mm were compared to DVHs for the originally acquired
slice thicknesses at 3 and 5 mm. Volumes, mean, and maximum doses were assessed
from the DVHs, and generalized equivalent uniform dose (gEUD) values were
calculated. For each organ and each of the simulated slice thicknesses, we
performed predictive modeling of late rectal bleeding using the Lyman-Kutcher
Burman (LKB) model. For the most coarse slice thickness, rectal volumes increased
(<=18%), whereas maximum and mean doses decreased (<=0.8 and <=4.2 Gy,
respectively). For all a values, the gEUD for the simulated DVHs were <=1.9 Gy
different than the gEUD for the original DVHs. The best-fitting LKB model
parameter values with 95% CIs were consistent between all DVHs. In conclusion, we
found that the investigated slice thickness variations had minimal impact on
rectal dose-response estimations. From the perspective of predictive modeling,
our results suggest that variations within 10 mm in slice thickness between
cohorts are unlikely to be a limiting factor when pooling multi-institutional
rectal dose data that include slice thickness variations within this range.
PMID- 24936957
TI - Protein delivery using Cys2-His2 zinc-finger domains.
AB - The development of new methods for delivering proteins into cells is a central
challenge for advancing both basic research and therapeutic applications. We
previously reported that zinc-finger nuclease proteins are intrinsically cell
permeable due to the cell-penetrating activity of the Cys2-His2 zinc-finger
domain. Here, we demonstrate that genetically fused zinc-finger motifs can
transport proteins and enzymes into a wide range of primary and transformed
mammalian cell types. We show that zinc-finger domains mediate protein uptake at
efficiencies that exceed conventional protein transduction systems and do so
without compromising enzyme activity. In addition, we demonstrate that zinc
finger proteins enter cells primarily through macropinocytosis and facilitate
high levels of cytosolic delivery. These findings establish zinc-finger proteins
as not only useful tools for targeted genome engineering but also effective
reagents for protein delivery.
PMID- 24936959
TI - Cytotoxic coumarins from Toddalia asiatica.
AB - Three new coumarins and 13 known compounds were isolated from the stem bark of
Toddalia asiatica. Compounds 1, 3, 8, and 9 showed cytotoxicity against the NCI
H187 cell line with IC50 values ranging from 6 to 9 ug/mL. Compounds 4 and 9
exhibited cytotoxicity against the MCF-7 cell line with IC50 values of 3.17 and
9.79 ug/mL, respectively. Compound 9 also displayed cytotoxic activity against KB
cells with an IC50 value of 8.63 ug/mL. In addition, compound 14 showed
antimalarial activity against Plasmodium falciparum with an IC50 value of 3.66
ug/mL. Compounds 5, 9, and 16 exhibited antituberculosis activity against
Mycobacterium tuberculosis with MIC values of 50, 50, and 25 ug/mL, respectively.
PMID- 24936960
TI - Thermally activated delayed fluorescence of fluorescein derivative for time
resolved and confocal fluorescence imaging.
AB - Compared with fluorescence imaging utilizing fluorophores whose lifetimes are in
the order of nanoseconds, time-resolved fluorescence microscopy has more
advantages in monitoring target fluorescence. In this work, compound DCF-MPYM,
which is based on a fluorescein derivative, showed long-lived luminescence (22.11
MUs in deaerated ethanol) and was used in time-resolved fluorescence imaging in
living cells. Both nanosecond time-resolved transient difference absorption
spectra and time-correlated single-photon counting (TCSPC) were employed to
explain the long lifetime of the compound, which is rare in pure organic
fluorophores without rare earth metals and heavy atoms. A mechanism of thermally
activated delayed fluorescence (TADF) that considers the long wavelength
fluorescence, large Stokes shift, and long-lived triplet state of DCF-MPYM was
proposed. The energy gap (DeltaEST) of DCF-MPYM between the singlet and triplet
state was determined to be 28.36 meV by the decay rate of DF as a function of
temperature. The DeltaE(ST) was small enough to allow efficient intersystem
crossing (ISC) and reverse ISC, leading to efficient TADF at room temperature.
The straightforward synthesis of DCF-MPYM and wide availability of its starting
materials contribute to the excellent potential of the compound to replace
luminescent lanthanide complexes in future time-resolved imaging technologies.
PMID- 24936961
TI - A state-of-the-art review on the evolution of urinary sphincter devices for the
treatment of post-prostatectomy urinary incontinence: past, present and future
innovations.
AB - An artificial urinary sphincter (AUS) is the only mechanical device that closely
simulates the function of a biological urinary sphincter. Over the past four
decades, advances in mechanical design, applications of new technology and
lessons learned from clinical experience have made AMS 800 device the standard of
care in post-prostatectomy urinary incontinence. While the current AMS 800 device
provides an effective, safe and durable option, it is not without its limitations
and complications. Newer and innovative AUS-like devices are designed to rectify
some of AMS 800 device problems, but, like any mechanical device, they too have
their own shortcomings. Emerging novel therapies such as nanotechnology-driven
device and stem cell therapy are attractive, but are not commercially available
or have no proven long-term outcome. The following article provides a critical
review on the past, present and future innovations in designing the ideal urinary
sphincter to treat post-prostatectomy urinary incontinence.
PMID- 24936962
TI - A novel method to estimate changes in stress-induced salivary alpha-amylase using
heart rate variability and respiratory rate, as measured in a non-contact manner
using a single radar attached to the back of a chair.
AB - The authors have developed a non-contact system which estimates changes in
salivary alpha-amylase (sAA ratio) induced by stress. Before and after stressful
sound exposure, a single 24 GHz compact radar which is attached to the back of a
chair measures the low frequency (LF) component of heart rate variability and
respiratory rate, alpha-amylase in the subjects' buccal secretions was measured
by using an alpha-amylase assay kit. Using multiple regression analysis, sAA
ratio was estimated using stress-induced LF change (LF ratio) and stress-induced
respiratory rate change (respiratory rate ratio). Twelve healthy subjects were
tested (12 males, 22 +/- 2 years), who were exposed to audio stimuli with a
composite tone of 2120 Hz and 2130 Hz sine waves at a sound pressure level of 95
dB after a silent period through a headphone. The result showed that sAA ratio
estimated using multiple regression analysis significantly correlated with
measured sAA ratio (R = 0.76, p < 0.01). This indicates that the system may serve
for a stress management in the future.
PMID- 24936963
TI - A new approach based on the median filter to T-wave detection in ECG signal.
AB - The electrocardiogram (ECG) is one of the most used signals in the diagnosis of
heart disease. It contains different waves which directly correlate to heart
activity. Different methods have been used in order to detect these waves and
consequently lead to heart activity diagnosis. This paper is interested more
particularly to the detection of the T-wave. Such a wave represents the re
polarization state of the heart activity. The proposed approach is based on the
algorithm procedure which allows the detection of the T-wave using a lot of
filter including mean and median filter. The proposed algorithm is implemented
and tested on a set of ECG recordings taken from, respectively, the European STT,
MITBIH and MITBIH ST databases. The results are found to be very satisfactory in
terms of sensitivity, predictivity and error compared to other works in the
field.
PMID- 24936965
TI - Physiotherapy for Parkinson's disease: a comparison of techniques.
AB - BACKGROUND: Despite medical therapies and surgical interventions for Parkinson's
disease (PD), patients develop progressive disability. The role of physiotherapy
is to maximise functional ability and minimise secondary complications through
movement rehabilitation within a context of education and support for the whole
person. The overall aim is to optimise independence, safety and wellbeing,
thereby enhancing quality of life. Trials have shown that physiotherapy has short
term benefits in PD. However, which physiotherapy intervention is most effective
remains unclear. OBJECTIVES: To assess the effectiveness of one physiotherapy
intervention compared with a second approach in patients with PD. SEARCH METHODS:
Relevant trials were identified by electronic searches of numerous literature
databases (for example MEDLINE, EMBASE) and trial registers, plus handsearching
of major journals, abstract books, conference proceedings and reference lists of
retrieved publications. The literature search included trials published up to the
end of January 2012. SELECTION CRITERIA: Randomised controlled trials of one
physiotherapy intervention versus another physiotherapy intervention in patients
with PD. DATA COLLECTION AND ANALYSIS: Data were abstracted independently from
each paper by two authors. Trials were classified into the following intervention
comparisons: general physiotherapy, exercise, treadmill training, cueing, dance
and martial arts. MAIN RESULTS: A total of 43 trials were identified with 1673
participants. All trials used small patient numbers (average trial size of 39
participants); the methods of randomisation and concealment of allocation were
poor or not stated in most trials. Blinded assessors were used in just over half
of the trials and only 10 stated that they used intention-to-treat analysis.A
wide variety of validated and customised outcome measures were used to assess the
effectiveness of physiotherapy interventions. The most frequently reported
physiotherapy outcomes were gait speed and timed up and go, in 19 and 15 trials
respectively. Only five of the 43 trials reported data on falls (12%). The motor
subscales of the Unified Parkinson's Disease Rating Scale and Parkinson's Disease
Questionnaire-39 were the most commonly reported clinician-rated disability and
patient-rated quality of life outcome measures, used in 22 and 13 trials
respectively. The content and delivery of the physiotherapy interventions varied
widely in the trials included within this review, so no quantitative meta
analysis could be performed. AUTHORS' CONCLUSIONS: Considering the small number
of participants examined, the methodological flaws in many of the studies, the
possibility of publication bias, and the variety of interventions, formal
comparison of the different physiotherapy techniques could not be performed.
There is insufficient evidence to support or refute the effectiveness of one
physiotherapy intervention over another in PD.This review shows that a wide range
of physiotherapy interventions to treat PD have been tested . There is a need for
more specific trials with improved treatment strategies to underpin the most
appropriate choice of physiotherapy intervention and the outcomes measured.
PMID- 24936966
TI - Music therapy for people with autism spectrum disorder.
AB - BACKGROUND: The central impairments of people with autism spectrum disorder (ASD)
affect social interaction and communication. Music therapy uses musical
experiences and the relationships that develop through them to enable
communication and expression, thus attempting to address some of the core
problems of people with ASD. The present version of this review on music therapy
for ASD is an update of the original Cochrane review published in 2006.
OBJECTIVES: To assess the effects of music therapy for individuals with ASD.
SEARCH METHODS: We searched the following databases in July 2013: CENTRAL, Ovid
MEDLINE, EMBASE, LILACS, PsycINFO, CINAHL, ERIC, ASSIA, Sociological Abstracts,
and Dissertation Abstracts International. We also checked the reference lists of
relevant studies and contacted investigators in person. SELECTION CRITERIA: All
randomised controlled trials (RCTs) or controlled clinical trials comparing music
therapy or music therapy added to standard care to 'placebo' therapy, no
treatment, or standard care for individuals with ASD were considered for
inclusion. DATA COLLECTION AND ANALYSIS: Two authors independently selected
studies, assessed risk of bias, and extracted data from all included studies. We
calculated the pooled standardised mean difference (SMD) and corresponding 95%
confidence interval (CI) for continuous outcomes to allow the combination data
from different scales and to facilitate the interpretation of effect sizes.
Heterogeneity was assessed using the I2 statistic. In cases of statistical
heterogeneity within outcome subgroups, we examined clients' age, intensity of
therapy (number and frequency of therapy sessions), and treatment approach as
possible sources of heterogeneity. MAIN RESULTS: We included 10 studies (165
participants) that examined the short- and medium-term effect of music therapy
interventions (one week to seven months) for children with ASD. Music was
superior to 'placebo' therapy or standard care with respect to the primary
outcomes social interaction within the therapy context (SMD 1.06, 95% CI 0.02 to
2.10, 1 RCT, n = 10); generalised social interaction outside of the therapy
context (SMD 0.71, 95% CI 0.18 to 1.25, 3 RCTs, n = 57, moderate quality
evidence), non-verbal communicative skills within the therapy context (SMD 0.57,
95% CI 0.29 to 0.85, 3 RCTs, n = 30), verbal communicative skills (SMD 0.33, 95%
CI 0.16 to 0.49, 6 RCTs, n = 139), initiating behaviour (SMD 0.73, 95% CI 0.36 to
1.11, 3 RCTs, n = 22, moderate quality evidence), and social-emotional
reciprocity (SMD 2.28, 95% CI 0.73 to 3.83, 1 RCT, n = 10, low quality evidence).
There was no statistically significant difference in non-verbal communicative
skills outside of the therapy context (SMD 0.48, 95% CI -0.02 to 0.98, 3 RCTs, n
= 57, low quality evidence). Music therapy was also superior to 'placebo' therapy
or standard care in secondary outcome areas, including social adaptation (SMD
0.41, 95% CI 0.21 to 0.60, 4 RCTs, n = 26), joy (SMD 0.96, 95% CI 0.04 to 1.88, 1
RCT, n = 10), and quality of parent-child relationships (SMD 0.82, 95% CI 0.13 to
1.52, 2 RCTs, n = 33, moderate quality evidence). None of the included studies
reported any adverse effects. The small sample sizes of the studies limit the
methodological strength of these findings. AUTHORS' CONCLUSIONS: The findings of
this updated review provide evidence that music therapy may help children with
ASD to improve their skills in primary outcome areas that constitute the core of
the condition including social interaction, verbal communication, initiating
behaviour, and social-emotional reciprocity. Music therapy may also help to
enhance non-verbal communication skills within the therapy context. Furthermore,
in secondary outcome areas, music therapy may contribute to increasing social
adaptation skills in children with ASD and to promoting the quality of parent
child relationships. In contrast to the studies included in an earlier version of
this review published in 2006, the new studies included in this update enhanced
the applicability of findings to clinical practice. More research using larger
samples and generalised outcome measures is needed to corroborate these findings
and to examine whether the effects of music therapy are enduring. When applying
the results of this review to practice, it is important to note that the
application of music therapy requires specialised academic and clinical training.
PMID- 24936969
TI - Molecular mechanism of selective binding of peptides to silicon surface.
AB - Despite extensive recent research efforts on material-specific peptides, the
fundamental problem to be explored yet is the molecular interactions between
peptides and inorganic surfaces. Here we used computer simulations (density
functional theory and classical molecular dynamics) to investigate the adsorption
mechanism of silicon-binding peptides and the role of individual amino acids in
the affinity of peptides for an n-type silicon (n(+)-Si) semiconductor. Three
silicon binding 12-mer peptides previously elaborated using phage display
technology have been studied. The peptides' conformations close to the surface
have been determined and the best-binding amino acids have been identified.
Adsorption energy calculations explain the experimentally observed different
degrees of affinity of the peptides for n(+)-Si. Our residual scanning analysis
demonstrates that the binding affinity relies on both the identity of the amino
acid and its location in the peptide sequence.
PMID- 24936970
TI - FINA-Yakult consensus statement on nutrition for the aquatic sports.
PMID- 24936972
TI - Alkoxyamines: toward a new family of theranostic agents against cancer.
AB - Theranostics combines therapeutic and diagnostic or drug deposition monitoring
abilities of suitable molecules. Here we describe the first steps of building an
alkoxyamine-based theranostic agent against cancer. The labile alkoxyamine ALK-1
(t(1/2) = 50 min at 37 degrees C) cleaves spontaneously to generate (1) a highly
reactive free alkyl radical used as therapeutic agents to induce cell damages
leading to cell death and (2) a stable nitroxide used as contrast agent for
Overhauser-enhanced magnetic resonance imaging (OMRI). The ALK-1 toxicity was
studied extensively in vitro on the glioblastoma cell line U87-MG. Cell viability
appeared to be dependent on ALK-1 concentration and on the time of the
observation following alkoxyamine treatment. For instance, the LC50 at 72 h was
250 MUM. Data showed that cell toxicity was specifically due to the in situ
released alkyl radical. This radical induced oxidative stress, mitochondrial
changes, and ultimately the U87 cell apoptosis. The nitroxide production, during
the alkoxyamine homolysis, was monitored by OMRI, showing a progressive MRI
signal enhancement to 6-fold concomitant to the ALK-1 homolysis. In conclusion,
we have demonstrated for the first time that the alkoxyamines are promising
molecules to build theranostic tools against solid tumors.
PMID- 24936971
TI - Involvement of Rho-family GTPases in axon branching.
AB - Development of the nervous system requires efficient extension and guidance of
axons and dendrites culminating in synapse formation. Axonal growth and
navigation during embryogenesis are controlled by extracellular cues. Many of the
same extracellular signals also regulate axonal branching. The emergence of
collateral branches from the axon augments the complexity of nervous system
innervation and provides an additional mechanism for target selection. Rho-family
GTPases play an important role in regulating intracellular cytoskeletal and
signaling pathways that facilitate axonal morphological changes. RhoA/G and Rac1
GTPase functions are complex and they can induce or inhibit branch formation,
depending on neuronal type, cell context or signaling mechanisms. Evidence of a
role of Cdc42 in axon branching is mostly lacking. In contrast, Rac3 has thus far
been implicated in the regulation of axon branching. Future analysis of the
upstream regulators and downstream effectors mediating the effects of Rho-family
GTPase will provide insights into the cellular processes effected, and shed light
on the sometimes opposing roles of these GTPases in the regulation of axon
branching.
PMID- 24936973
TI - Present-day vegetation helps quantifying past land cover in selected regions of
the Czech Republic.
AB - The REVEALS model is a tool for recalculating pollen data into vegetation
abundances on a regional scale. We explored the general effect of selected
parameters by performing simulations and ascertained the best model setting for
the Czech Republic using the shallowest samples from 120 fossil sites and data on
actual regional vegetation (60 km radius). Vegetation proportions of 17 taxa were
obtained by combining the CORINE Land Cover map with forest inventories,
agricultural statistics and habitat mapping data. Our simulation shows that
changing the site radius for all taxa substantially affects REVEALS estimates of
taxa with heavy or light pollen grains. Decreasing the site radius has a similar
effect as increasing the wind speed parameter. However, adjusting the site radius
to 1 m for local taxa only (even taxa with light pollen) yields lower, more
correct estimates despite their high pollen signal. Increasing the background
radius does not affect the estimates significantly. Our comparison of estimates
with actual vegetation in seven regions shows that the most accurate relative
pollen productivity estimates (PPEs) come from Central Europe and Southern
Sweden. The initial simulation and pollen data yielded unrealistic estimates for
Abies under the default setting of the wind speed parameter (3 m/s). We therefore
propose the setting of 4 m/s, which corresponds to the spring average in most
regions of the Czech Republic studied. Ad hoc adjustment of PPEs with this
setting improves the match 3-4-fold. We consider these values (apart from four
exceptions) to be appropriate, because they are within the ranges of standard
errors, so they are related to original PPEs. Setting a 1 m radius for local taxa
(Alnus, Salix, Poaceae) significantly improves the match between estimates and
actual vegetation. However, further adjustments to PPEs exceed the ranges of
original values, so their relevance is uncertain.
PMID- 24936974
TI - Effects of spatial and feature attention on disparity-rendered structure-from
motion stimuli in the human visual cortex.
AB - An important advance in the study of visual attention has been the identification
of a non-spatial component of attention that enhances the response to similar
features or objects across the visual field. Here we test whether this non
spatial component can co-select individual features that are perceptually bound
into a coherent object. We combined human psychophysics and functional magnetic
resonance imaging (fMRI) to demonstrate the ability to co-select individual
features from perceptually coherent objects. Our study used binocular disparity
and visual motion to define disparity structure-from-motion (dSFM) stimuli.
Although the spatial attention system induced strong modulations of the fMRI
response in visual regions, the non-spatial system's ability to co-select
features of the dSFM stimulus was less pronounced and variable across subjects.
Our results demonstrate that feature and global feature attention effects are
variable across participants, suggesting that the feature attention system may be
limited in its ability to automatically select features within the attended
object. Careful comparison of the task design suggests that even minor
differences in the perceptual task may be critical in revealing the presence of
global feature attention.
PMID- 24936975
TI - Extracting the globally and locally adaptive backbone of complex networks.
AB - A complex network is a useful tool for representing and analyzing complex
systems, such as the world-wide web and transportation systems. However, the
growing size of complex networks is becoming an obstacle to the understanding of
the topological structure and their characteristics. In this study, a globally
and locally adaptive network backbone (GLANB) extraction method is proposed. The
GLANB method uses the involvement of links in shortest paths and a statistical
hypothesis to evaluate the statistical importance of the links; then it extracts
the backbone, based on the statistical importance, from the network by filtering
the less important links and preserving the more important links; the result is
an extracted subnetwork with fewer links and nodes. The GLANB determines the
importance of the links by synthetically considering the topological structure,
the weights of the links and the degrees of the nodes. The links that have a
small weight but are important from the view of topological structure are not
belittled. The GLANB method can be applied to all types of networks regardless of
whether they are weighted or unweighted and regardless of whether they are
directed or undirected. The experiments on four real networks show that the link
importance distribution given by the GLANB method has a bimodal shape, which
gives a robust classification of the links; moreover, the GLANB method tends to
put the nodes that are identified as the core of the network by the k-shell
algorithm into the backbone. This method can help us to understand the structure
of the networks better, to determine what links are important for transferring
information, and to express the network by a backbone easily.
PMID- 24936977
TI - Overexpression of SMPX in adult skeletal muscle does not change skeletal muscle
fiber type or size.
AB - Mechanical factors such as stretch are thought to be important in the regulation
of muscle phenotype. Small muscle protein X-linked (SMPX) is upregulated by
stretch in skeletal muscle and has been suggested to serve both as a
transcription factor and a mechanosensor, possibly giving rise to changes in both
fiber size and fiber type. We have used in vivo confocal imaging to study the
subcellular localization of SMPX in skeletal muscle fibers of adult rats using a
SMPX-EGFP fusion protein. The fusion protein was localized predominantly in
repetitive double stripes flanking the Z-disc, and was excluded from all nuclei.
This localization would be consistent with SMPX being a mechanoreceptor, but not
with SMPX playing a role as a transcription factor. In vivo overexpression of
ectopic SMPX in skeletal muscle of adult mice gave no significant changes in
fiber type distribution or cross sectional area, thus a role of SMPX in
regulating muscle phenotype remains unclear.
PMID- 24936978
TI - Identifying emerging motif in growing networks.
AB - As function units, network motifs have been detected to reveal evolutionary
mechanisms of complex systems, such as biological networks, food webs,
engineering networks and social networks. However, emergence of motifs in growing
networks may be problematic due to large fluctuation of subgraph frequency in the
initial stage. This paper contributes to present a method which can identify the
emergence of motif in growing networks. Based on the Erdos-Renyi(E-R) random null
model, the variation rate of expected frequency of subgraph at adjacent time
points was used to define the suitable detection range for motif identification.
Upper and lower boundaries of the range were obtained in analytical form
according to a chosen risk level. Then, the statistical metric Z-score was
extended to a new one, Z(continuous), which effectively reveals the statistical
significance of subgraph in a continuous period of time. In this paper, a novel
research framework of motif identification was proposed, defining critical
boundaries for the evolutionary process of networks and a significance metric of
time scale. Finally, an industrial ecosystem at Kalundborg was adopted as a case
study to illustrate the effectiveness and convenience of the proposed
methodology.
PMID- 24936976
TI - Standardized metadata for human pathogen/vector genomic sequences.
AB - High throughput sequencing has accelerated the determination of genome sequences
for thousands of human infectious disease pathogens and dozens of their vectors.
The scale and scope of these data are enabling genotype-phenotype association
studies to identify genetic determinants of pathogen virulence and
drug/insecticide resistance, and phylogenetic studies to track the origin and
spread of disease outbreaks. To maximize the utility of genomic sequences for
these purposes, it is essential that metadata about the pathogen/vector isolate
characteristics be collected and made available in organized, clear, and
consistent formats. Here we report the development of the GSCID/BRC Project and
Sample Application Standard, developed by representatives of the Genome
Sequencing Centers for Infectious Diseases (GSCIDs), the Bioinformatics Resource
Centers (BRCs) for Infectious Diseases, and the U.S. National Institute of
Allergy and Infectious Diseases (NIAID), part of the National Institutes of
Health (NIH), informed by interactions with numerous collaborating scientists. It
includes mapping to terms from other data standards initiatives, including the
Genomic Standards Consortium's minimal information (MIxS) and NCBI's
BioSample/BioProjects checklists and the Ontology for Biomedical Investigations
(OBI). The standard includes data fields about characteristics of the organism or
environmental source of the specimen, spatial-temporal information about the
specimen isolation event, phenotypic characteristics of the pathogen/vector
isolated, and project leadership and support. By modeling metadata fields into an
ontology-based semantic framework and reusing existing ontologies and minimum
information checklists, the application standard can be extended to support
additional project-specific data fields and integrated with other data
represented with comparable standards. The use of this metadata standard by all
ongoing and future GSCID sequencing projects will provide a consistent
representation of these data in the BRC resources and other repositories that
leverage these data, allowing investigators to identify relevant genomic
sequences and perform comparative genomics analyses that are both statistically
meaningful and biologically relevant.
PMID- 24936979
TI - Retinal imaging as a source of biomarkers for diagnosis, characterization and
prognosis of chronic illness or long-term conditions.
AB - The black void behind the pupil was optically impenetrable before the invention
of the ophthalmoscope by von Helmholtz over 150 years ago. Advances in retinal
imaging and image processing, especially over the past decade, have opened a
route to another unexplored landscape, the retinal neurovascular architecture and
the retinal ganglion pathways linking to the central nervous system beyond.
Exploiting these research opportunities requires multidisciplinary teams to
explore the interface sitting at the border between ophthalmology, neurology and
computing science. It is from the detail and depth of retinal phenotyping that
novel metrics and candidate biomarkers are likely to emerge. Confirmation that in
vivo retinal neurovascular measures are predictive of microvascular change in the
brain and other organs is likely to be a major area of research activity over the
next decade. Unlocking this hidden potential within the retina requires
integration of structural and functional data sets, that is, multimodal mapping
and longitudinal studies spanning the natural history of the disease process. And
with further advances in imaging, it is likely that this area of retinal research
will remain active and clinically relevant for many years to come. Accordingly,
this review looks at state-of-the-art retinal imaging and its application to
diagnosis, characterization and prognosis of chronic illness or long-term
conditions.
PMID- 24936981
TI - The emergence densities of annual cicadas (Hemiptera: Cicadidae) increase with
sapling density and are greater near edges in a bottomland hardwood forest.
AB - The emergence densities of cicadas tend to be patchy at multiple spatial scales.
While studies have identified habitat conditions related to these patchy
distributions, their interpretation has been based primarily on periodical cicada
species; habitat factors associated with densities of nonperiodical (i.e.,
annual) cicadas have remained under studied. This is despite their widespread
distribution, diversity, and role as an important trophic resource for many other
organisms, particularly within riparian areas. We studied habitat factors
associated with the emergence densities of Tibicen spp. in a bottomland hardwood
forest in east-central Arkansas. We found emergence densities were greatest in
areas of high sapling densities and increased toward forest edges, although
sapling density was a much stronger predictor of emergence density. Emergence
densities also differed among sample areas within our study system. The habitat
features predicting nymph densities were likely driven by a combination of
factors affecting female selection of oviposition sites and the effects of
habitat conditions on nymph survival. The differences in nymph densities between
areas of our system were likely a result of the differential effects of flooding
in these areas. Interestingly, our findings were similar to observations of
periodical species, suggesting that both types of cicadas select similar habitat
characteristics for ovipositing or are under comparable selective pressures
during development. Our findings also imply that changes in habitat
characteristics because of anthropogenically altered disturbance regimes (e.g.,
flooding) have the potential to negatively impact both periodical and annual
species, which could have dramatic consequences for organisms at numerous trophic
levels.
PMID- 24936980
TI - Imaging of acute stroke prior to treatment: current practice and evolving
techniques.
AB - Standard imaging in acute stroke is undertaken with the aim of diagnosing the
underlying cause and excluding stroke mimics. In the presence of ischaemic
stroke, imaging is also needed to assess patient suitability for treatment with
intravenous thrombolysis. Non-contrast CT is predominantly used, but MRI can also
exclude any contraindications to thrombolysis treatment. Advanced stroke imaging
such as CT and MR angiography and perfusion imaging are increasingly used in an
acute setting. In this review, we discuss the evidence for the application of
these advanced techniques in the imaging of acute stroke.
PMID- 24936982
TI - Stable isotopes reveal rail-associated behavior in a threatened carnivore.
AB - Human-wildlife conflict is a leading cause of adult mortality for large
carnivores worldwide. Train collision is the primary cause of mortality for
threatened grizzly bears (Ursus arctos) in Banff National Park. We investigated
the use of stable isotope analysis as a tool for identifying bears that use the
railway in Banff. Rail-associated bears had higher delta(15)N and delta(34)S
values than bears sampled away from the rail, but similar delta(13)C values.
Because elevated delta(15)N values are indicative of higher animal protein
consumption, rail-associated bears likely preyed on ungulates that foraged along
the rail or scavenged on train-killed animals. The higher delta(34)S values in
bear hair could have resulted from bears consuming sulfur pellets spilled on the
rail or through the uptake of sulfur in the plants bears or animals consumed.
Similar delta(13)C values suggest that the two types of bears had generally
similar plant-based diets. Results from this study suggest that stable isotopes
analysis could be used as a non-invasive, affordable, and efficient technique to
identify and monitor bears that forage on the railway in Banff and potentially
other transportation corridors worldwide.
PMID- 24936985
TI - Editorial.
PMID- 24936984
TI - Pediatric oncology as the next global child health priority: the need for
national childhood cancer strategies in low- and middle-income countries.
PMID- 24936983
TI - Antibody quantum dot conjugates developed via copper-free click chemistry for
rapid analysis of biological samples using a microfluidic microsphere array
system.
AB - Antibody-based proteomics is an enabling technology that has significant
implications for cancer biomarker discovery, diagnostic screening, prognostic and
pharmacodynamic evaluation of disease state, and targeted therapeutics. Quantum
dot based fluoro-immunoconjugates possess promising features toward realization
of this goal such as high photostability, brightness, and multispectral
tunability. However, current strategies to generate such conjugates are riddled
with complications such as improper orientation of antigen binding sites of the
antibody, aggregation, and stability issues. We report a facile yet effective
strategy to conjugate anti-epidermal growth factor receptor (EGFR) antibody to
quantum dots using copper-free click reaction, and compared them to similar
constructs prepared using traditional strategies such as succinimidyl-4-(N
maleimidomethyl) cyclohexane-1-carboxylate (SMCC) and biotin-streptavidin
schemes. The Fc and Fab regions of the conjugates retain their binding potential,
compared to those generated through the traditional schemes. We further applied
the conjugates in testing a novel microsphere array device designed to carry out
sensitive detection of cancer biomarkers through fluoroimmunoassays. Using
purified EGFR, we determined the limit of detection of the microscopy centric
system to be 12.5 ng/mL. The biological assay, in silico, was successfully tested
and validated by using tumor cell lysates, as well as human serum from breast
cancer patients, and the results were compared to normal serum. A pattern
consistent with established clinical data was observed, which further validates
the effectiveness of the developed conjugates and its successful implementation
both in vitro as well as in silico fluoroimmunoassays. The results suggest the
potential development of a high throughput in silico paradigm for predicting the
class of patient cancer based on EGFR expression levels relative to normal
reference levels in blood.
PMID- 24936986
TI - Guest Editor's Introduction.
PMID- 24936987
TI - Depression comorbid with anxiety or medical illness: The role of paroxetine.
AB - Depression is a common illness, frequently comorbid with other psychiatric
disorders or medical illness. Comorbidity is associated with a poorer outcome for
the patient, more severe symptoms and risk of suicide. The selective serotonin re
uptake inhibitor paroxetine has proven efficacy in the treatment of depression
and anxiety disorders, including panic disorder, obsessive compulsive disorder
and social anxiety disorder. The paper examines the role of paroxetine
monotherapy in the treatment of depressed patients with comorbid anxiety, and
reviews the use of paroxetine in the treatment of depression in patients
suffering concurrent medical illnesses. ( Int J Psych Clin Pract 2001; 5:3-10).
PMID- 24936988
TI - Improved ability to identify symptoms of major depressive disorder (MDD) in
general practice.
AB - The diagnosis and treatment of major depressive disorder (MDD) is a primary care
responsibility in most countries, yet although most people with MDD present to
their primary care team at some time during the course of their illness, the
majority remain unrecognized and fewer still are effectively treated. Large-scale
epidemiological studies have helped to identify several factors that influence
recognition rates of MDD in primary care. Patients who present with psychological
symptoms and clearly communicate their disability are more likely to be
recognized than those who present with somatic symptoms or concurrent physical
illnesses. Fatigue, loss of energy and poor motivation are core symptoms of
depression in most patients, but are rarely interpreted as psychological symptoms
and frequently mislead the primary care physician into searching for a somatic
cause. If primary care recognition rates for MDD are to improve substantially,
patients must be encouraged to seek help if they are suffering from these three
core symptoms, and primary care physicians must increase their index of suspicion
for MDD if a patient presents with them. Simple screening tools such as the Mini
International Neuropsychiatric Interview (MINI) can help to improve recognition
rates significantly and should be applied routinely in primary care. ( Int J
Psych Clin Pract 2001; 5 (Suppl 1): S3 - S10).
PMID- 24936989
TI - Managing major depression: improving the interface between psychiatrists and
primary care.
AB - Responsibility for identifying and managing most people with major depressive
disorder (MDD) rests firmly within the primary care setting. Unfortunately,
circumstances continue to have a negative impact on depression outcomes, with low
recognition rates, inadequate levels of treatment and poor follow-up all
contributing to the provision of a less than optimal service for patients. The
Hampshire Depression Project confirmed that improved primary care education, in
isolation, does not have any long-term benefits for patients with MDD. However,
many other studies have shown that stronger collaboration between psychiatrists
and primary care can significantly improve the quality of care provided in the
primary care setting, and ensure that most patients are managed effectively and
in accordance with international and national guidelines. Simple collaborative
care models, which encourage mental health specialists to work within the primary
care system, have had a dramatic impact on the outcomes for patients, and
significantly enhanced the satisfaction of both patients and physicians with
treatment. These interventions could easily and cost-effectively be applied more
broadly and would ensure that effective management of MDD in primary care becomes
the rule and not just the exception. ( Int J Psych Clin Pract 2001; 5 (Suppl 1):
S11-S18).
PMID- 24936990
TI - Post-traumatic stress disorder: A review for the general psychiatrist.
AB - Post-traumatic stress disorder affects about one in every hundred of the
population at any particular time. Aetiological factors include genetics,
personality, a background of psychiatric problems, the personal meaning of the
traumatic event, issues of proximity or intensity, childhood abuse, and the
initial emotional response to stress. Many precipitants are known, ranging from
combat through rape or torture to difficult parturition. Core symptoms are
reflective of the traumatic precipitant. Prolonged symptoms are associated with
prior psychiatric or physical problems, poverty, repeated assault, alcohol or
drug abuse, and cultural factors. Co-morbidity is high, especially for
depression, alcohol and drug misuse. Reduced hippocampal volume, corticosteroid
abnormalities, and adrenergic overactivity have been described. The use of
official criteria and specific measuring instruments help in differential
diagnosis. Management needs to be tailored to the needs of the individual and the
stage of the disorder, and includes psychotherapy, antidepressants, and the newer
eye-movement desensitization and reprocessing approach. ( Int J Psych Clin Pract
2001; 5:11-18).
PMID- 24936991
TI - Antidepressants: pharmacological profile and clinical consequences.
AB - Three neurotransmitter systems are implicated in the biological basis of
depression: the serotonergic system is thought to be a major component in the
development of depression and in the efficacy of antidepressant drugs, while the
noradrenergic and dopaminergic systems play lesser roles, but are important in
the development of antidepressant side-effects. Selective serotonin re-uptake
inhibitors (SSRIs) are still the drug treatments of choice in major depressive
disorder, but each has a subtly different pharmacological profile, which has
implications for pharmacodynamic actions and clinical efficacy and side-effect
profiles. Although the precise mechanisms responsible for specific depressive
symptoms are not yet well defined, evidence is emerging that some SSRIs may be
more effective in combating certain symptoms than others. Fluoxetine appears to
be particularly effective in overcoming symptoms of fatigue and low energy,
whereas paroxetine or sertraline may be more appropriately used for depressed
patients experiencing anxiety. A growing understanding of molecular mechanisms in
depression and the unique clinical consequences of each pharmacological agent
brings us one step closer to being able to individualize antidepressant treatment
on the basis of core presenting symptoms and the needs of the individual patient.
( Int J Psych Clin Pract 2001; 5 (Suppl 1): S19-S28).
PMID- 24936992
TI - Quality of life in schizophrenia: Impact of psychopathology, patients' gender and
antipsychotic treatment.
AB - Quality of life (QoL) was evaluated in 100 schizophrenic patients treated with
classic or atypical neuroleptics in a day hospital. The severity of schizophrenia
was evaluated with the PANSS scale and QoL with the SF36 questionnaire. Both the
patients' global QoL and several QoL domains improved after treatment. The QoL of
female and male patients did not differ at baseline, but the improvement after
treatment was greater in female patients, and in female patients the QoL
improvement correlated positively with the improvement in PANSS general symptoms.
The severity of depressive symptoms of schizophrenic patients correlated
inversely with the total QoL, at both the start and end of the study. The
improvement of general schizophrenic and depressive symptoms correlated
positively with the improvement in QoL. The improvement in QoL in patients
treated with classic neuroleptics differed only insignificantly after treatment,
in comparison to that in patients receiving 'old' atypical drugs. However, the
negative correlation between QoL improvement and improvement in PANSS total
score, as well as in PANSS depressive symptoms, was found only among patients
treated with classic neuroleptics. Neuroleptic-induced extrapyramidal symptoms
were more severe in patients receiving classic neuroleptics but these did not
have an impact on patients' QoL. ( Int J Psych Clin Pract 2001; 5:19-26).
PMID- 24936993
TI - Cardiovascular reflexes during treatment of social phobia with moclobemide.
AB - INTRODUCTION: Cardiovascular side-effects are less frequent with moclobemide than
with tricyclic or monoamine oxidase inhibitor antidepressants. We performed a
detailed assessment of cardiovascular reflexes in 15 patients meeting DSM-III-R
criteria for social phobia, before treatment and whilst taking a stable dose of
moclobemide for a median of 7 weeks. METHOD: Cardiovascular reflex responses to
standing, deep breathing and the Valsalva manoeuvre were assessed using beat-by
beat blood pressure and heart rate recording. RESULTS: Moclobemide produced a
statistically significant, but clinically modest, degree of improvement in social
phobia symptoms. Only the maximum change in heart rate from supine to standing
showed a change from before to after treatment, which was not statistically
significant after Bonferroni correction, and there was no consistent pattern of
altered sympathetic or parasympathetic function. CONCLUSION: Moclobemide is
relatively devoid of cardiovascular autonomic effects in physically healthy
subjects with social phobia. ( Int J Psych Clin Pract 2001; 5:27-31).
PMID- 24936994
TI - Compliance and acceptance in antidepressant treatment.
AB - Poor compliance with antidepressant medication is widespread in both primary care
and psychiatric practice, and is a major obstacle to the effective management of
depression. It is often believed that adverse events and a lack of efficacy
associated with inappropriate prescribing of older antidepressants are common
reasons why patients discontinue treatment prematurely. However, appropriate
prescribing of effective and well-tolerated antidepressants does not necessarily
guarantee compliance. A patient's core beliefs and attitudes to treatment also
influence the chances of successful management, and studies have shown that
patients harbour many unfounded beliefs relating to antidepressant medications
and their use. The prescribing physician is therefore duty bound not only to
ensure that they chose an effective antidepressant with minimal side-effects and
prescribe it according to treatment guidelines, but that they actively explore
the patient's beliefs and attitudes at the time of treatment. Addressing common
misconceptions about antidepressant medications, and undertaking a structured
follow-up, have been shown both to enhance compliance and improve treatment
outcomes. The choice of newer, more selective antidepressants results in a higher
number of patients achieving at least one month of treatment. The choice of a
drug with a once-daily treatment regimen (drugs with a longer half-life) and with
a low risk for discontinuation symptoms if doses are occasionally missed is also
warranted. ( Int J Psych Clin Pract 2001; 5 (Suppl 1): S29-S35).
PMID- 24936995
TI - In vivo cardiovascular effects of the new atypical neuroleptic sertindole.
AB - INTRODUCTION: The goal of this open clinical study was to perform a detailed
investigation of the cardiovascular effects of the novel atypical neuroleptic
sertindole. METHOD: Thirty initially untreated schizophrenic inpatients
(diagnosed according to DSM-III-R) underwent a total of 103 serial recordings of
standardized autonomic tests during a sertindole dose titration programme (4-16
mg/d). The autonomic test battery included: (1) conventional ECGs with 12
channels, (2) computer-assisted analysis of the 5-min resting heart rate
variability (HRV); (3) HRV during standard autonomic reflex tests (deep breathing
test, 30:15 ratio); and (4) blood pressure measurement under resting conditions
and during orthostatic stress (Schellong test). Reference values for the HRV
study were obtained from healthy controls ( n =80). RESULTS: The most important
findings were: (1) sertindole significantly increased the resting heart rate; (2)
sertindole induced a significant and probably dose-dependent prolongation of the
frequency-corrected QT-times, while mean PQ-conduction times remained unchanged;
(3) sertindole had no significant effects on autonomic parasympathetic tone, both
in the resting study and following the autonomic reflex tests; and (4) sertindole
at doses between 4 and 16 mg/d did not significantly influence blood pressure
either under resting conditions or during orthostatic stress. CONCLUSION: The
implications of these findings for the cardiovascular safety and tolerance of
sertindole are discussed comprehensively, while considering the
pathophysiologically relevant mechanisms underlying the appearance of life
threatening cardiac arrhythmias and sudden cardiac death. ( Int J Psych Clin
Pract 2001; 5:33-40).
PMID- 24936996
TI - Major depressive disorder (MDD) from the patient's perspective: overcoming
barriers to appropriate care.
AB - Major depressive disorder is a highly stigmatized condition which, despite its
prevalence in primary care, is broadly unrecognized and consistently poorly
treated. Epidemiological studies reveal a disconcerting lack of understanding
about depression within the general population, and this invariably affects
patients' attitudes to treatment. Public opinion polls have found a widespread
distrust of antidepressant medications, and most members of the public would
prefer a psychosocial or alternative approach to treatment to a pharmacological
one. Public awareness initiatives such as the UK's Defeat Depression Campaign
have helped to positively shift public attitudes towards depression and its
treatment, but misconceptions about antidepressant medications appear especially
entrenched. The landmark DEPRES study found that over 40% of patients did not
consult their doctors for their depression, and only 30% of consulters received
an antidepressant. Six clearly differentiated patient clusters were also
identified in this study, suggesting that treatment might be selected on the
basis of individual symptom profiles and other key differentiating factors. By
understanding our patients' perspectives on depression and its management, it
should be possible to improve rates of consultation and overcome resistance to
antidepressant treatment. Only by achieving both of these goals in parallel will
we really be making progress towards optimal management of major depression. (
Int J Psych Clin Pract 2001; 5 (Suppl 1): S37-S42).
PMID- 24936997
TI - Preliminary validation of a UK-modified version of the BASIS-32.
AB - INTRODUCTION: The authors examined the reliability and validity of a UK-modified
version of the Behavioral and Symptom Identification Scale (BASIS-32). METHOD:
Data from two samples of patients from acute psychiatric inpatient settings were
used in the analyses ( n =303, n =92). The factor structure of the scale differed
from that of the original BASIS-32. RESULTS: Five factors emerged: (i) depression
and anxiety, (ii) lability, (iii) psychosis, (iv) substance misuse and (v)
functioning. The full scale of the modified BASIS demonstrated high internal
consistency (Cronbach's alpha=0.93). Internal consistency for the subscales
ranged from 0.86 to 0.45. The depression and anxiety subscale discriminated
patients with a diagnosis of unipolar depression from those with other diagnoses
(median score=3.11 (Inter quartile range (IQR)=2.67,3.33) versus median
score=2.44 (1.67,3.11), P < 0.001). The substance misuse subscale discriminated
patients with a diagnosis of alcohol or opiate dependence from those with other
diagnoses (median score=2.33 (2, 3) versus median score=1.33 (0.67, 2), P <
0.001). However, the psychosis subscale did not differentiate patients with a
psychotic illness from those with a nonpsychotic diagnosis (median score=1.4
(0.6,2.4) versus median score=1.2, (0.6,2), P = 0.16). CONCLUSION: The total
scale appeared to be at least as good as the Brief Symptom Inventory (BSI) in its
responsiveness to change. The effect size for the BASIS=1.17 versus 0.91 for the
BSI. Convergent validity was partially demonstrated between the modified BASIS
and the BSI. ( Int J Psych Clin Pract 2001; 5:41-48).
PMID- 24936998
TI - Prevalence of clinical (major) depression in general practice using the DSM-IV
version of PRIME-MD.
AB - INTRODUCTION: PRIME-MD (Primary Care Evaluation of Mental Disorder) has been
developed to detect the most frequent mental disorders in general practice.
METHOD: A prevalence study with the Danish PRIME-MD's module for major depression
was carried out by general practitioners. In total, 16 practices and 2501
patients were involved in the study. RESULTS: The patient questionnaire part of
the PRIME-MD was completed by more than 95% of the patients, indicating a high
applicability. Around 8% of all patients included suffered from major depression.
Of these, the ratio of females to males was 2:1. Three factors were identified in
the questionnaire: depression, psychosomatic distress, and alcohol use. Compared
to the non-depressed patients, the patients with major depression evaluated their
health condition as being considerably impaired. CONCLUSION: PRIME-MD is highly
applicable in general practice. ( Int J Psych Clin Pract 2001; 5:49-54).
PMID- 24936999
TI - Investigation of 'borderline' dementia, particularly of Alzheimer's type,
compared with non-demented patients with similar neuropsychological profiles.
AB - INTRODUCTION: The aim of the study was to test the fine ability of the Cambridge
Cognitive Examination (CAMCOG) to differentiate between subjects with mild
dementia and non-demented subjects. MATERIAL AND METHODS: Ninety-four subjects
took part in the study (56 non-demented and 38 mildly demented). Diagnosis was
reached according to DSM-IV and NINCDS-ADRDA criteria. RESULTS: Items 160, 146,
171 and 178 seemed to be particularly useful for the diagnosis for subjects under
75 years of age, and items 139, 143, 146, 148, 159, 175, 177, 181, 183 and 186
for the diagnosis for subjects over 74. DISCUSSION: Further study of these items
may increase ability to detect dementia in epidemiological surveys and advance
knowledge about the role of factors like education or culture in interaction with
advanced age. Currently, it is not possible to identify dementia at an early
stage by neuropsychological methods alone. ( Int J Psych Clin Pract 2001; 5:55
61).
PMID- 24937000
TI - Clinical experience with olanzapine in the course of post-operative delirium
associated with psychosis in geriatric patients: A report of three cases.
AB - Olanzapine treatment was initiated with three geriatric patients who manifested
symptoms of psychosis associated with post-operative delirium. The patients'
response was assessed by the clinical observation of their behavior. Since post
operative delirium is time-limited, and can spontaneously remit, no conclusions
regarding the efficacy of olanzapine in reversing delirium can be made. However
it is speculated that olanzapine may have shortened the duration of the
associated psychosis. These case reports offered the opportunity to illustrate
the pharmacological actions, the convenient dosing schedule and the side-effects
profile of this atypical antipsychotic. ( Int J Psych Clin Pract 2001; 5:63-66).
PMID- 24937001
TI - Late-onset schizophrenia with epileptiform discharge.
AB - We report the case of a 51-year-old woman with no history of psychosis or
epilepsy, presenting with abrupt late-onset psychosis with prominent epileptiform
discharges with a diffuse sharp and slow wave complex. She had auditory and
somatic hallucinations, delusions and loosening of associations, but epileptic
seizures such as disturbance of consciousness and convulsion were not observed.
Other laboratory studies, including CT, magnetic resonance imaging, single photon
emission computed tomography, and cerebrospinal fluid were normal. The
epileptiform discharge disappeared within 10 days after starting treatment with
sodium valproate. Clinical improvement commenced after the EEG improvement, and
was complete. The cause remains obscure, but it was suggested that there were
some organic factors in the etiology of this late-onset psychosis. ( Int J Psych
Clin Pract 2001; 5:67-70).
PMID- 24937002
TI - Clozapine-induced concordant agranulocytosis in monozygotic twins.
AB - Two monozygotic twin sisters were admitted to a psychiatric hospital and
diagnosed as having first-episode schizophrenia. Clozapine treatment led to the
complete remission of psychotic symptoms within a short time. In both twins the
low leukocyte count was detected after 9 weeks of clozapine. Serological typing
of the HLA system was performed and an identical pattern was detected in both
twins: HLA-A: 28, 26; HLA-B: 49, 63; DR: 2 (vs 16), 12, 52; DQ:1. It is the first
report of concordant manifestation of clozapine-induced agranulocytosis in
monozygotic twins. Our case report of twins afflicted synchronously with
schizophrenia and later with agranulocytosis after clozapine is of interest
because it suggests that genetic factors may participate not only in timing of
onset of schizophrenia, but also in the emergence and timing of agranulocytosis
in response to clozapine treatment. ( Int J Psych Clin Pract 2001; 5:71-73).
PMID- 24937003
TI - Coexisting Diogenes and Capgras syndromes.
AB - The co-occurrence of Diogenes and Capgras syndromes, both unusual in themselves,
would be expected to be rare. A MEDLINE search using the terms Diogenes, Capgras,
self-neglect and domestic squalor revealed no previous reports of this
combination of disorders. Both conditions are somewhat dubiously named as ¤
syndromes' when in fact they are probably no more than symptoms with different
causes. Of particular interest in this case is the exacerbation of the self
neglect, characteristic of the Diogenes syndrome, by the delusional
misidentification which marks the Capgras syndrome; as well as the reinforcement
of the previously recognised association with frontal lobe pathology. The role of
medication, though limited, is described. ( Int J Psych Clin Pract 2001; 5:75
76).
PMID- 24937004
TI - Topics in contemporary psychiatric practice: Neuroimaging.
PMID- 24937006
TI - A method for detecting long non-coding RNAs with tiled RNA expression
microarrays.
AB - Long non-coding ribonucleic acids (lncRNAs) have been proposed as biomarkers in
prostate cancer. This paper proposes a selection method which uses data from
tiled microarrays to identify relatively long regions of moderate expression
independent of the microarray platform and probe design. The method is used to
search for candidate long non-coding ribonucleic acids (lncRNAs) at locus 8q24
and is run on three independent experiments which all use samples from prostate
cancer patients. The robustness of the method is tested by utilizing repeated
copies of tiled probes. The method shows high consistency between experiments
that used the same samples, but different probe layout. There also is
statistically significant consistency when comparing experiments with different
samples. The method selected the long non-coding ribonucleic acid PCNCR1 in all
three experiments.
PMID- 24937007
TI - Peginterferon alfa-2a is associated with elevations in alanine aminotransferase
at the end of treatment in chronic hepatitis C patients with sustained virologic
response.
AB - BACKGROUND: The purpose of this study was to investigate the incidence and
demographic/clinical factors of alanine aminotransferase (ALT) abnormalities at
the end of treatment (EOT) in chronic hepatitis C (CHC) patients with sustained
virologic response (SVR). METHODS AND FINDINGS: Seven hundred naive CHC patients
who underwent combination treatment between January 2003 and December 2010 were
included in the study. The patients with SVR and serum ALT>upper limit of normal
(ULN) at the EOT were further analyzed. The effects of clinical characteristics,
treatment regimen, and virologic variables were evaluated by logistic regression.
Of the 700 included patients, 488 (69.7%) achieved an SVR after treatment, and
235 (33.6%) had serum ALT levels>ULN at the EOT. Of those 488 patients, 137
(28.1%) had abnormal ALT values at the EOT. A multivariate analysis showed that
the occurrence of ALT abnormalities at the EOT was significantly associated with
pegylated interferon (PEG-IFN) alfa-2a (odds ratio [OR], 2.24; 95% confidence
interval [CI], 1.45-3.45; P<0.001), baseline fatty liver (OR, 1.76; 95% CI, 1.16
2.76; P = 0.007), and baseline liver cirrhosis (OR, 2.35; 95% CI, 1.35-4.09; P =
0.002). CONCLUSIONS: Use of PEG-IFN-alfa-2a, fatty liver, and cirrhosis are
important factors associated with EOT-ALT abnormality in CHC patients receiving
combination therapy that achieve an SVR. PEG-IFN-alfa-2a-related EOT-ALT
elevation will become normal at the end of follow-up, but fatty liver and
cirrhosis-related ALT elevation will not be resolved.
PMID- 24937009
TI - Rhodium(III)-catalyzed cross-coupling of alkenylboronic acids and N
pivaloyloxylamides.
AB - Rh(III)-catalyzed umpolung amidation of alkenylboronic acids for the synthesis of
enamides is reported. This reaction proceeds readily at room temperature and
displays an extremely wide spectrum of functional group tolerance. With
cooperation of hydroboration, it enables the formal anti-Markovnikov
hydroamidation of terminal alkynes, stereospecifically affording the trans
enamides in excellent yields.
PMID- 24937010
TI - A hard goodbye.
PMID- 24937008
TI - Functional reorganization of the locomotor network in Parkinson patients with
freezing of gait.
AB - Freezing of gait (FoG) is a transient inability to initiate or maintain stepping
that often accompanies advanced Parkinson's disease (PD) and significantly
impairs mobility. The current study uses a multimodal neuroimaging approach to
assess differences in the functional and structural locomotor neural network in
PD patients with and without FoG and relates these findings to measures of FoG
severity. Twenty-six PD patients and fifteen age-matched controls underwent
resting-state functional magnetic resonance imaging and diffusion tensor imaging
along with self-reported and clinical assessments of FoG. After stringent
movement correction, fifteen PD patients and fourteen control participants were
available for analysis. We assessed functional connectivity strength between the
supplementary motor area (SMA) and the following locomotor hubs: 1) subthalamic
nucleus (STN), 2) mesencephalic and 3) cerebellar locomotor region (MLR and CLR,
respectively) within each hemisphere. Additionally, we quantified structural
connectivity strength between locomotor hubs and assessed relationships with
metrics of FoG. FoG+ patients showed greater functional connectivity between the
SMA and bilateral MLR and between the SMA and left CLR compared to both FoG- and
controls. Importantly, greater functional connectivity between the SMA and MLR
was positively correlated with i) clinical, ii) self-reported and iii) objective
ratings of freezing severity in FoG+, potentially reflecting a maladaptive neural
compensation. The current findings demonstrate a re-organization of functional
communication within the locomotor network in FoG+ patients whereby the higher
order motor cortex (SMA) responsible for gait initiation communicates with the
MLR and CLR to a greater extent than in FoG- patients and controls. The observed
pattern of altered connectivity in FoG+ may indicate a failed attempt by the CNS
to compensate for the loss of connectivity between the STN and SMA and may
reflect a loss of lower-order, automatic control of gait by the basal ganglia.
PMID- 24937011
TI - Polydispersity analysis of Taylor dispersion data: the cumulant method.
AB - Taylor dispersion analysis is an increasingly popular characterization method
that measures the diffusion coefficient, and hence the hydrodynamic radius, of
(bio)polymers, nanoparticles, or even small molecules. In this work, we describe
an extension to current data analysis schemes that allows size polydispersity to
be quantified for an arbitrary sample, thereby significantly enhancing the
potentiality of Taylor dispersion analysis. The method is based on a cumulant
development similar to that used for the analysis of dynamic light scattering
data. Specific challenges posed by the cumulant analysis of Taylor dispersion
data are discussed, and practical ways to address them are proposed. We
successfully test this new method by analyzing both simulated and experimental
data for solutions of moderately polydisperse polymers and polymer mixtures.
PMID- 24937012
TI - Effect of creatine and pioglitazone on Hk-2 cell line cisplatin nephrotoxicity.
AB - Cisplatin is a chemotherapeutic agent, which is used in the treatment of various
solid organ cancers, and its main dose limiting side effect of cisplatin is
nephrotoxicity. The aim of this study is to investigate the role of pioglitazone
and creatine on cisplatin nephrotoxicity in vitro. Real-time cell analyzer system
(RTCA) was used for real-time and time-dependent analysis of the cellular
response of HK-2 cells following incubation with cisplatin and combination with
creatine or pioglitazone hydrochloride. First, half-maximal inhibitory
concentrations (IC50) of cisplatin, creatine and pioglitazone were calculated by
RTCA system. Afterwards creatine and pioglitazone was administered with serial
dilutions under RTCA system. IC50 dose for cisplatin was 7.69 M * 10(-5) at 24th
hour and 3.93 M * 10(-6) at 48th hour. IC50 dose for pioglitazone was 1.61 M *
10(-3) at 24th hour and 2.85 M * 10(-4) at 48th hour. Although cells were treated
the dose of 40,225 mM creatine, IC50 dose could not been reached. Neither
pioglitazone nor creatine had additional protective effect in any dose.
Consequently, beneficial effect of creatine and pioglitazone on cisplatin-induced
cell death could not be found. Further studies and clinical trials are needed to
evaluate the effect of different doses of these drugs in cisplatin-induced
nephrotoxicity.
PMID- 24937013
TI - Dynamic functional brain networks involved in simple visual discrimination
learning.
AB - Visual discrimination tasks have been widely used to evaluate many types of
learning and memory processes. However, little is known about the brain regions
involved at different stages of visual discrimination learning. We used
cytochrome c oxidase histochemistry to evaluate changes in regional brain
oxidative metabolism during visual discrimination learning in a water-T maze at
different time points during training. As compared with control groups, the
results of the present study reveal the gradual activation of cortical
(prefrontal and temporal cortices) and subcortical brain regions (including the
striatum and the hippocampus) associated to the mastery of a simple visual
discrimination task. On the other hand, the brain regions involved and their
functional interactions changed progressively over days of training. Regions
associated with novelty, emotion, visuo-spatial orientation and motor aspects of
the behavioral task seem to be relevant during the earlier phase of training,
whereas a brain network comprising the prefrontal cortex was found along the
whole learning process. This study highlights the relevance of functional
interactions among brain regions to investigate learning and memory processes.
PMID- 24937014
TI - Selective role for DNMT3a in learning and memory.
AB - Methylation of cytosine nucleotides is governed by DNA methyltransferases (DNMTs)
that establish de novo DNA methylation patterns in early embryonic development
(e.g., DNMT3a and DNMT3b) or maintain those patterns on hemimethylated DNA in
dividing cells (e.g., DNMT1). DNMTs continue to be expressed at high levels in
mature neurons, however their impact on neuronal function and behavior are
unclear. To address this issue we examined DNMT1 and DNMT3a expression following
associative learning. We also generated forebrain specific conditional Dnmt1 or
Dnmt3a knockout mice and characterized them in learning and memory paradigms as
well as for alterations in long-term potentiation (LTP) and synaptic plasticity.
Here, we report that experience in an associative learning task impacts
expression of Dnmt3a, but not Dnmt1, in brain areas that mediate learning of this
task. We also found that Dnmt3a knockout mice, and not Dnmt1 knockouts have
synaptic alterations as well as learning deficits on several associative and
episodic memory tasks. These findings indicate that the de novo DNA methylating
enzyme DNMT3a in postmitotic neurons is necessary for normal memory formation and
its function cannot be substituted by the maintenance DNA methylating enzyme
DNMT1.
PMID- 24937016
TI - Tailored weight loss intervention in obese adults within primary care practice:
rationale, design, and methods of Choose to Lose.
AB - Although there are efficacious weight loss interventions that can improve health
and delay onset of diabetes and hypertension, these interventions have not been
translated into clinical practice. The primary objective of this study is to
evaluate the effectiveness and cost effectiveness of a tailored lifestyle
intervention in primary care patients. Patients were recruited by their primary
care physicians and eligible participants were randomized to an enhanced
intervention or standard intervention. All participants met with a lifestyle
counselor to set calorie and physical activity goals and to discuss behavioral
strategies at baseline, 6 and 12 months. During the first year, enhanced
intervention participants receive monthly counseling phone calls to assist in
attaining and maintaining their goals. Enhanced intervention participants also
receive weekly mailings consisting of tailored and non-tailored print materials
and videos focusing on weight loss, physical activity promotion and healthy
eating. The second year focuses on maintenance with enhanced intervention
participants receiving tailored and non-tailored print materials and videos
regularly throughout the year. Standard intervention participants receive five
informational handouts on weight loss across the two years. This enhanced
intervention that consists of multiple modalities of print, telephone, and video
with limited face-to-face counseling holds promise for being effective for
encouraging weight loss, increasing physical activity and healthy eating, and
also for being cost effective and generalizable for wide clinical use. This study
will fill an important gap in our knowledge regarding the translation and
dissemination of research from efficacy studies to best practices in clinical
settings.
PMID- 24937019
TI - Conducting qualitative research within Clinical Trials Units: avoiding potential
pitfalls.
AB - The value of using qualitative research within or alongside randomised controlled
trials (RCTs) is becoming more widely accepted. Qualitative research may be
conducted concurrently with pilot or full RCTs to understand the feasibility and
acceptability of the interventions being tested, or to improve trial conduct.
Clinical Trials Units (CTUs) in the United Kingdom (UK) manage large numbers of
RCTs and, increasingly, manage the qualitative research or collaborate with
qualitative researchers external to the CTU. CTUs are beginning to explicitly
manage the process, for example, through the use of standard operating procedures
for designing and implementing qualitative research with trials. We reviewed the
experiences of two UK Clinical Research Collaboration (UKCRC) registered CTUs of
conducting qualitative research concurrently with RCTs. Drawing on experiences
gained from 15 studies, we identify the potential for the qualitative research to
undermine the successful completion or scientific integrity of RCTs. We show that
potential problems can arise from feedback of interim or final qualitative
findings to members of the trial team or beyond, in particular reporting
qualitative findings whilst the trial is on-going. The problems include: We make
recommendations for improving the management of qualitative research within CTUs.
PMID- 24937017
TI - Strategies and Opportunities to STOP Colon Cancer in Priority Populations: design
of a cluster-randomized pragmatic trial.
AB - BACKGROUND: Colorectal cancer is the second-leading cause of cancer deaths in the
United States. The Strategies and Opportunities to Stop Colorectal Cancer (STOP
CRC) in Priority Populations study is a pragmatic trial and a collaboration
between two research institutions and a network of more than 200 safety net
clinics. The study will assess the effectiveness of a system-based intervention
designed to improve the rates of colorectal-cancer screening using fecal
immunochemical testing (FIT) in federally qualified health centers in Oregon and
Northern California. MATERIAL AND METHODS: STOP CRC is a cluster-randomized
comparative-effectiveness pragmatic trial enrolling 26 clinics. Clinics will be
randomized to one of two arms. Clinics in the intervention arm (1) will use an
automated, data-driven, electronic health record-embedded program to identify
patients due for colorectal screening and mail FIT kits (with pictographic
instructions) to them; (2) will conduct an improvement process (e.g. Plan-Do
Study-Act) to enhance the adoption, reach, and effectiveness of the program.
Clinics in the control arm will provide opportunistic colorectal-cancer screening
to patients at clinic visits. The primary outcomes are: proportion of age- and
screening-eligible patients completing a FIT within 12months; and cost, cost
effectiveness, and return on investment of the intervention. CONCLUSIONS: This
large-scale pragmatic trial will leverage electronic health record information
and existing clinic staff to enroll a broad range of patients, including many
with historically low colorectal-cancer screening rates. If successful, the
program will provide a model for a cost-effective and scalable method to raise
colorectal-cancer screening rates.
PMID- 24937018
TI - Testing the efficacy of yoga as a complementary therapy for smoking cessation:
design and methods of the BreathEasy trial.
AB - INTRODUCTION: Smokers trying to quit encounter many challenges including nicotine
withdrawal symptoms, cigarette craving, increased stress and negative mood and
concern regarding weight gain. These phenomena make it difficult to successfully
quit smoking. Studies in non-smoking populations show that yoga reduces stress
and negative mood and improves weight control. By increasing mindfulness we
anticipate that yoga may also improve smokers' ability to cope with the negative
symptoms associated with quitting. Yoga may also improve cognitive deliberation
which is needed to make effective choices and avoid smoking in tempting
situations. METHODS/DESIGN: The BreathEasy study is a rigorous, randomized
controlled clinical trial examining the efficacy of Iyengar yoga as a
complementary therapy to cognitive-behavioral therapy for smoking cessation. All
participants are given an 8-week program of smoking cessation classes, and are
randomized to either twice weekly yoga (Yoga) or twice-weekly health and wellness
classes which serve as a control for contact and participant burden (CTL).
Assessments are conducted at baseline, 8 weeks, 3, 6, and 12 months of follow-up.
The primary outcome is prolonged abstinence using an intention-to-treat approach.
Multiple internal and external audits using blind data collection are employed to
ensure treatment fidelity and reliability of study results. To understand why
yoga may be more effective than CTL, we will examine the mechanisms of action
(i.e., mediators) underlying intervention efficacy. We will examine the
maintenance of yoga practice and smoking status at each follow-up. Focus groups
and interviews will be used to enrich our understanding of the relationship of
yoga practice and smoking abstinence. CONCLUSIONS: This study will provide a
stringent test of the relative efficacy of yoga compared to a condition that
controls for contact time and attention. The use of mixed methodology also
provides the opportunity to validate existing knowledge about yoga and helps to
explore new themes for future mindfulness and yoga research.
PMID- 24937020
TI - Treatment with baicalein attenuates methionine-choline deficient diet-induced non
alcoholic steatohepatitis in rats.
AB - Baicalein, a naturally occurring flavone, has been proved as a promising
chemopreventive compound for many chronic human diseases. The aim of this work
was to investigate whether treatment with baicalein prevented nonalcoholic
steatohepatitis (NASH) induced by methionine-choline-deficient (MCD) diet. Rats
were divided into four experimental groups and fed for 8 weeks as follows: (1)
control rats; (2) control rats treated with baicalein (intraperitoneal injection
of 10mg/kg); (3) MCD-diet-fed rats; (4) MCD-diet-fed rats treated with baicalein.
Treatment with baicalein prevented MCD-diet-induced NASH, as evidenced by reduced
histological scores, apoptosis, activities of ALT and AST, and hepatic fat
accumulation in rats. Treatment with baicalein abated MCD-diet-induced oxidative
stress through enhancing Nrf2/HO-1 pathway and activities of SOD and catalase in
livers. Treatment with baicalein preserved hepatic mitochondrial function in MCD
diet fed rats. Treatment with baicalein reduced hepatic NO formation through
suppressing MCD-diet-induced iNOS activation, and suppressed MCD-diet-induced
inflammation through suppressing NFkappaB activation and reducing IL-6 and
TNFalpha expressions in livers. Treatment of MCD-diet fed rats with baicalein had
a beneficial modulation on expression profiles of fatty acid metabolism genes in
livers. The results support the investigation of baicalein as a therapeutic
candidate for NASH induced by MCD diet in rats.
PMID- 24937021
TI - Benzyl butyl phthalate exposure impairs learning and memory and attenuates
neurotransmission and CREB phosphorylation in mice.
AB - The existing data are insufficient to evaluate the neurotoxicity of benzyl butyl
phthalate (BBP) exposure on the brain, and the underlying mechanisms to explain
these effects remain unclear. Kun Ming (KM) mice were exposed to BBP (0, 50, 250,
1250 mg/kg) via gavage for 14 days. Employing the Morris water maze (MWM) test,
we observed that mice demonstrated poorer learning and memory performance than
the control group at doses of 250 and 1250 mg/kg/day. Reduction of locomotor
activity in mice and depression were indicated by a long "dead time" in the
Forced Swim Test and tail suspension test. Pathological alterations and oxidative
damage to the hippocampus were found. To study the molecular mechanisms
underlying BBP toxicity, the neurotransmitter serotonin (5-hydroxytryptamine, 5
HT) and pCREB were tested. The modified levels of 5-HT in the hippocampus and the
decreased levels of CREB phosphorylation after BBP exposure suggested a potential
mechanism underlying BBP toxicity. We hypothesize that BBP exposure causes a
decrease in the number of neurotransmitters, which in turn down regulates the
levels of CREB phosphorylation by the cAMP/protein kinase A (PKA)-mediated
signaling. The results are an attenuation of the effects of CREB downstream,
oxidative damage and impaired behavioral performance.
PMID- 24937022
TI - Iron oxide nanoparticles mediated cytotoxicity via PI3K/AKT pathway: role of
quercetin.
AB - Recently Fe2O3 NPs (iron oxide nanoparticles) have been extensively used in
medical imaging and in industry also. As a result, people are increasingly
exposed day by day to those nanoparticles. The adverse effect of Fe2O3 NPs is not
so significant at lower doses but at higher doses Fe2O3 NPs causes significant
damage to cells. The present study investigates the cell signaling mechanism of
Fe2O3 NPs induced oxidative stress and cytotoxicity in vitro using murine
hepatocytes as the working model. In addition, the cytoprotective action of
quercetin in this pathophysiology has also been investigated. Dose-dependent
studies suggest that incubation of hepatocytes with 250 MUg/ml Fe2O3 NPs for 4h
significantly decreased the cell viability and intra-cellular antioxidant
ability. This study also showed that exposure to Fe2O3 NPs caused hepatocytes
death via apoptotic pathway. Incubation of hepatocytes with quercetin (50
MUmol/L) prior to 1h of Fe2O3 NPs exposure protects the cells from the altering
activities of antioxidant indices, cytotoxicity and apoptotic death. Results
suggest that Fe2O3 NPs induced cellular damage and quercetin plays a protective
role in Fe2O3 NPs induced cytotoxicity and apoptotic death.
PMID- 24937023
TI - Electrochemical stimulation of microbial roxarsone degradation under anaerobic
conditions.
AB - Roxarsone (4-hydroxy-3-nitrophenylarsonic acid) has been commonly used in animal
feed as an organoarsenic additive, most of which is excreted in manure. Roxarsone
is easily biodegraded to 4-hydroxy-3-aminophenylarsonic acid (HAPA) under
anaerobic conditions, but HAPA persists for long periods in the environment,
increasing the risk of arsenic contamination through diffusion. We investigated
the electrochemical stimulation of the microbial degradation of roxarsone under
anaerobic conditions. After the carbon sources in the substrate were depleted,
HAPA was slowly degraded to form arsenite under anaerobic conditions. The
degradation rate of HAPA was significantly increased when 0.5 V was applied
without adding a carbon source. The two-cell membrane reactor assays reveal that
the HAPA was degraded in the anode chambers, confirming that the anode enhanced
the electron transfer process by acting as an electron acceptor. The degradation
product formed with electrochemical stimulation was arsenate, which facilitates
the removal of arsenic from wastewater. Based on the high performance liquid
chromatography-ultraviolet-hydride generation-atomic fluorescence spectrometry
(HPLC-UV-HG-AFS) and gas chromatography-mass spectrometry (GC-MS) data, the
pathway for the biodegradation of roxarsone and the mechanisms for the
electrochemically stimulated degradation are proposed. This method provides a
potential solution for the removal of arsenic from organoarsenic-contaminated
wastewater.
PMID- 24937024
TI - Effects of physical exercise on health-related quality of life and blood lipids
in perimenopausal women: a randomized placebo-controlled trial.
AB - OBJECTIVE: This study aims to evaluate the treatment effects of physical exercise
on menopausal symptoms in middle-aged female medical staff experiencing
perimenopausal syndrome. METHODS: A total of 157 female medical staff aged 40 to
55 years and with a Kupperman index score of 15 points or higher were randomized
1:1 into an intervention group (n = 78) or a control group (n = 79). Women in the
intervention group were asked to perform aerobic physical exercise (walking with
strides) three times a week or more, whereas those in the control group continued
as normal. Measurements were taken at baseline and on weeks 4, 8, and 12, with
total Kupperman index score, scores on individual elements of the scale, weight,
and waist circumference recorded. In addition, fasting blood glucose,
triglycerides, total cholesterol, high-density lipoprotein cholesterol, and low
density lipoprotein cholesterol were recorded at baseline and on week 12. The
effects of physical exercise therapy on perimenopausal syndrome were evaluated by
comparing changes in these parameters between the control group and the
intervention group. RESULTS: Fifty-four and 57 women completed all three follow
ups in the intervention and control groups, respectively. On week 12, the mean
(SD) change in total Kupperman index score (-9.23 [6.23]) from baseline to week
12; the mean (SD) changes in individual scores for paresthesia (-1.08 [1.51]),
insomnia (-1.00 [1.46]), irritability (-1.00 [1.34]), joint or muscle pain (-0.75
[0.74]), fatigue (-0.56 [0.75]), headache (-0.54 [0.75]), formication (-0.38
[0.66]), and sexual life (-0.62 [1.71]); and the mean (SD) changes in total
cholesterol (-0.76 [0.63] mmol/L) and triglycerides (-0.20 [0.50] mmol/L) were
significantly higher in the intervention group than in the control group (P <
0.05). In the intervention group, total Kupperman index score, weight, body mass
index, waist circumference, triglycerides, and total cholesterol were
significantly lower on week 12 compared with baseline (P < 0.05). CONCLUSIONS:
Physical exercise can substantially reduce menopausal symptoms and improve blood
lipid status and body weight.
PMID- 24937026
TI - Complication of a 2-mg estradiol vaginal ring: fibrotic vaginal adhesion.
AB - OBJECTIVE: This work aims to review a novel case of a retained 2-mg estradiol
vaginal ring used to treat postmenopausal urogenital atrophy. The ring was found
adhered to the posterior fornix by a fibrotic band. This is the first reported
case in the medical literature. METHODS: We describe the case of a postmenopausal
woman experiencing symptoms of urogenital atrophy. Factors predisposing her to
this complication (such as inconsistent use of other forms of vaginal estradiol,
initial incorrect use of the ring with two rings in place, and subsequent vaginal
stenosis and irritation requiring vaginal dilator therapy at one point in her
treatment course) were analyzed. A review of the medical literature was performed
to examine the safety profile of estradiol vaginal rings used to treat urogenital
atrophy and to investigate the incidence of complications. RESULTS: Two-milligram
estradiol vaginal rings treat symptoms of urogenital atrophy by delivering a
constant supply of estradiol to the vaginal epithelium. The ring has been shown
to be as safe and effective as other forms of vaginal estrogen. Vaginal
irritation is a known complication of 2-mg estradiol vaginal rings and other
vaginal implants; however, none of the randomized controlled trials that have
compared the ring to other vaginal estrogen forms have reported adherence of the
ring to the vaginal epithelium. CONCLUSIONS: Providers should be aware of the
possibility of ring adherence to the vaginal epithelium and should exercise
caution in using the 2-mg estradiol vaginal ring in women with significant
vaginal stenosis or irritation.
PMID- 24937025
TI - Incidence of hypercalciuria and hypercalcemia during vitamin D and calcium
supplementation in older women.
AB - OBJECTIVE: This study aims to prospectively assess the incidence of
hypercalciuria and hypercalcemia with different doses of vitamin D and with a
calcium intake of approximately 1,200 mg/day. METHODS: This was a 1-year
randomized placebo-controlled study of vitamin D (400-4,800 IU/d) in 163 white
women aged 57 to 90 years. Calcium citrate tablets (200 mg) were added to the
diet to achieve a total calcium intake of approximately 1,200 mg/day in all
groups. All women had vitamin D insufficiency at baseline, with serum 25
hydroxyvitaminD levels lower than 20 ng/mL (50 nmol/L). Serum and 24-hour urine
calcium were collected every 3 months on supplementation, any test result above
the upper reference range represented an episode of hypercalcemia or
hypercalciuria. Mixed-effects models and multivariate logistic regression were
used in the analysis. RESULTS: Hypercalcemia (>10.2 mg/dL [2.55 mmol/L]) occurred
in 8.8% of white women. Hypercalciuria (>300 mg/d [7.5 mmol]) occurred in 30.6%
of white women. Episodes of hypercalciuria were transient in half of the group
and recurrent in the other half. No relationship between hypercalcemia or
hypercalciuria and vitamin D dose was found, and hypercalciuria was equally
common in the placebo group. CONCLUSIONS: Hypercalciuria and hypercalcemia
commonly occur with vitamin D and calcium supplements. Whether hypercalciuria and
hypercalcemia are caused by calcium, vitamin D, or both is unclear. These
findings may have relevance to the reported increase in kidney stones in the
Women's Health Initiative trial. Because calcium 1,200 mg and vitamin D 800
IU/day are widely recommended in postmenopausal women, systematic evaluation of
the safety of supplements is warranted in clinical management and in future
studies.
PMID- 24937027
TI - Concurrent use of statins and hormone therapy and risk of venous thromboembolism
in postmenopausal women: a population-based case-control study.
AB - OBJECTIVE: Statins and hormone therapy (HT), often used concurrently in
postmenopausal women, have antagonist effects on the risk of venous
thromboembolism (VTE). This study aims to determine whether statins attenuate the
increased VTE risk associated with HT. METHODS: We conducted a nested case
control study within a population-based cohort of women aged 50 to 79 years
between January 1, 1987 and March 1, 2008, who were identified from the UK
General Practice Research Database. Cases of VTE occurring during follow-up were
identified and each matched with up to 10 controls from the cohort. Odds ratios
(ORs) for the effects of concurrent HT and statin use on the risk of VTE were
estimated using conditional logistic regression with interaction terms. RESULTS:
The cohort included 955,582 postmenopausal women, with 23,505 cases of VTE
matched with 231,562 controls. Regardless of any HT use, current use of statins
was associated with a decreased risk of VTE (OR, 0.83; 95% CI, 0.78-0.87). The
interaction between statin use and HT use was of borderline significance (P =
0.053). Consequently, among nonusers of statins, the risk of VTE was elevated
with current use of oral estrogen and progestogen combinations (OR, 1.55; 95% CI,
1.45-1.66) but this risk was not elevated among users of statins (OR, 0.98; 95%
CI, 0.56-1.73). There was no such modification of the OR with statins and other
HT types and formulations. CONCLUSIONS: Statins could potentially attenuate the
increased risk associated with HT combinations of oral estrogens and
progestogens. This observation needs further confirmation in other large cohorts.
PMID- 24937028
TI - Response to letter to the editor.
PMID- 24937029
TI - Letter to the editor.
PMID- 24937030
TI - Hormone therapy and hemostasis among postmenopausal women: a review.
AB - OBJECTIVE: Postmenopausal hormone therapy (HT), which consists of exogenous
estrogens with or without combined progestogens, remains the most effective
treatment of climacteric symptoms. Depending on its characteristics, it may
nevertheless increase the risk of venous thromboembolism, and its effects on
hemostasis have been studied for several decades. The aim of this review was to
summarize current knowledge on the effects of HT on hemostasis, taking into
account the route of estrogen administration, the daily dose and chemical
structure of estrogens, and the pharmacologic class of progestogens. METHODS:
Data from randomized controlled trials that included a control group (either
placebo or no treatment) were selected, and analysis was conducted on different
generations of biomarkers. RESULTS: Overall, studies showed a hemostasis
imbalance among oral estrogen users with a decrease in coagulation inhibitors and
an increase in markers of activation coagulation, leading to global enhanced
thrombin generation. By contrast, transdermal estrogen use was associated with
less change in hemostasis variables and did not activate coagulation and
fibrinolysis. No clear difference in HT effects on hemostasis was highlighted
between daily doses of estrogens, between estrogen compounds, and between
pharmacologic classes of progestogens. CONCLUSIONS: Changes in hemostasis are in
accordance with clinical results showing an increased thrombotic risk with oral-
but not transdermal--estrogen use.
PMID- 24937031
TI - Positive endometrial cultures: pathologic or incidental?
PMID- 24937033
TI - Association of Connexin37 C1019T with myocardial infarction and coronary artery
disease: a meta-analysis.
AB - BACKGROUND: Several studies have reported that Connexin37 (Cx37) gene C1019T
polymorphism is associated with myocardial infarction (MI) and coronary artery
disease (CAD). However, the results remain contradictory. METHODS AND RESULTS:
Pubmed, Embase, and Cochrane library databases were systemically searched. Data
were extracted by two independent reviewers and pooled odds ratio (OR) with 95%
confidence interval (CI) was calculated. A total of 3498 MI cases and 3986
controls, as well as 1808 CAD cases and 1197 controls were enrolled in this meta
analysis. For MI, the overall ORs and 95% CIs of 1019T were 1.04, 0.95-1.15; and
1.02, 0.85-1.22 in dominant and recessive models, respectively. For CAD, the
overall ORs and 95% CIs of 1019T were 0.61, 0.51-0.72; and 0.52, 0.43-0.62 in
dominant and recessive models, respectively. No publication bias was found in
this meta-analysis. CONCLUSIONS: This meta-analysis showed that Cx37 C1019T was a
risk factor for MI and a protective factor for CAD.
PMID- 24937032
TI - Optimized cryopreservation of mixed microbial communities for conserved
functionality and diversity.
AB - The use of mixed microbial communities (microbiomes) for biotechnological
applications has steadily increased over the past decades. However, these
microbiomes are not readily available from public culture collections, hampering
their potential for widespread use. The main reason for this lack of availability
is the lack of an effective cryopreservation protocol. Due to this critical need,
we evaluated the functionality as well as the community structure of three
different types of microbiomes before and after cryopreservation with two
cryoprotective agents (CPA). Microbiomes were selected based upon relevance
towards applications: (1) a methanotrophic co-culture (MOB), with potential for
mitigation of greenhouse gas emissions, environmental pollutants removal and
bioplastics production; (2) an oxygen limited autotrophic
nitrification/denitrification (OLAND) biofilm, with enhanced economic and
ecological benefits for wastewater treatment, and (3) fecal material from a human
donor, with potential applications for fecal transplants and pre/probiotics
research. After three months of cryopreservation at -80 degrees C, we found that
metabolic activity, in terms of the specific activity recovery of MOB, aerobic
ammonium oxidizing bacteria (AerAOB) and anaerobic AOB (AnAOB, anammox) in the
OLAND mixed culture, resumes sooner when one of our selected CPA [dimethyl
sulfoxide (DMSO) and DMSO plus trehalose and tryptic soy broth (DMSO+TT)] was
added. However, the activity of the fecal community was not influenced by the CPA
addition, although the preservation of the community structure (as determined by
16S rRNA gene sequencing) was enhanced by addition of CPA. In summary, we have
evaluated a cryopreservation protocol that succeeded in preserving both community
structure and functionality of value-added microbiomes. This will allow
individual laboratories and culture collections to boost the use of microbiomes
in biotechnological applications.
PMID- 24937035
TI - Ordered mesoporous black TiO(2) as highly efficient hydrogen evolution
photocatalyst.
AB - Mesoporous TiO2 has gained increasing interest because of its outstanding
properties and promising applications in a wide range of fields. Herein, we
report the facile synthesis of ordered mesoporous black TiO2 (OMBT) materials,
which exhibit excellent photocatalytic hydrogen evolution performances. In this
case, the employment of a thermally stable and high-surface-area mesoporous TiO2
as the hydrogenation precursor is the key for fabricating the OMBT materials,
which not only facilitate H2 gas diffusion into TiO2 and interaction with their
structures but also maintain the ordered mesoporous structures as well as inhibit
the phase transformation (from anatase to rutile) and crystal growth during
hydrogenation at 500 degrees C. The resultant OMBT materials possess a
relatively high surface area of ~124 m(2) g(-1) and a large pore size and pore
volume of ~9.6 nm and 0.24 cm(3) g(-1), respectively. More importantly, the OMBT
materials can extend the photoresponse from ultraviolet to visible and infrared
light regions and exhibit a high solar-driven hydrogen production rate (136.2
MUmol h(-1)), which is almost two times as high as that of pristine mesoporous
TiO2 (76.6 MUmol h(-1)).
PMID- 24937034
TI - The assessment of cognitive impairment suspected of dementia in Polish elderly
people: results of the population-based PolSenior Study.
AB - The growing incidence of dementia in ageing societies is a major concern of
health care organizations. Because of its detrimental influence on the mental and
functional statuses of elderly people, it leads to increased economic burdens
caused by the social and financial needs of patients with dementia and their
caregivers. There has been no data concerning the prevalence of dementia in the
elderly in the general Polish community so far. The main aim of the study was to
assess the prevalence of cognitive impairment suspected of dementia among the
Polish elderly and the relationships between cognitive performance and age,
gender, place of residence and educational status. The presented data was the
result of nationwide, multicentre PolSenior Study conducted from 2007 to 2011 in
the Polish elderly population. Cognitive functions were evaluated using Mini
Mental State Examination (MMSE) performed by pre-trained nurses. The result of
MMSE lower than 24 points was classified as cognitive impairment suspected of
dementia and divided according to its severity into three stages: mild, moderate
and severe dementia. The results were analysed in two ways: raw MMSE and MMSE
scores after Mungas adjustment (MMSEadj), that is, corrected for age and
educational level, and these were compared. To verify the suspicion of dementia
an assessment was complemented by an interview of carers for the occurrence and
course of memory disorders, treatment of dementia and by functional status
assessment. In order to assess the prevalence of suspicion of dementia in the
general Polish population, statistical analyses based on weighting were done. The
suspicion of dementia on the basis of raw MMSE was made in 20.4% of respondents
aged 65years and more, and after Mungas adjustment in 12.1% of older subjects.
The prevalence of cognitive impairment grew with increasing age, as well as
depending on the educational status of elderly respondents in both types of
analyses; raw MMSE and MMSEadj. There was no significant difference in the
prevalence of cognitive impairment according to gender in the general population
(raw MMSE); however in analyses including MMSEadj results, the suspicion of
dementia was made more often among men. Suspicion of dementia based on raw MMSE
and MMSEadj results was made significantly more often among men than women at the
age of 65-69years, and significantly more often among the oldest women, aged
90years and more. Suspicion of dementia was diagnosed more often in respondents
living in rural communities (based on raw MMSE, but not on MMSEadj), which might
be related to the differences in their educational status.
PMID- 24937036
TI - Hantaviruses induce antiviral and pro-inflammatory innate immune responses in
astrocytic cells and the brain.
AB - Although hantaviruses are not generally considered neurotropic, neurological
complications have been reported occasionally in patients with hemorrhagic fever
renal syndrome (HFRS). In this study, we analyzed innate immune responses to
hantavirus infection in vitro in human astrocytic cells (A172) and in vivo in
suckling ICR mice. Infection of A172 cells with pathogenic Hantaan virus (HTNV)
or a novel shrew-borne hantavirus, known as Imjin virus (MJNV), induced
activation of antiviral genes and pro-inflammatory cytokines/chemokines. MicroRNA
expression profiles of HTNV- and MJNV-infected A172 cells showed distinct changes
in a set of miRNAs. Following intraperitoneal inoculation with HTNV or MJNV,
suckling ICR mice developed rapidly progressive, fatal central nervous system
associated disease. Immunohistochemical staining of virus-infected mouse brains
confirmed the detection of viral antigens within astrocytes. Taken together,
these findings suggest that the neurological findings in HFRS patients may be
associated with hantavirus-directed modulation of innate immune responses in the
brain.
PMID- 24937038
TI - Artificial pancreas: fuzzy logic and control of glycemia.
AB - PURPOSE OF REVIEW: This review describes the latest efforts, challenges, and
experience of using automated insulin delivery systems at outpatient settings and
home studies. This is an important step in getting recognition of these systems
as a routine therapy for patients with type 1 diabetes. RECENT FINDINGS: Almost 3
years elapsed since the first description of closed-loop use outside the
protecting environment of the hospital, at a diabetes camp. In this period,
several different approaches of closed-loop systems were used at outpatient
settings. The low-glucose suspend feature on the pump showed a reduction in the
risk of nocturnal hypoglycemia. Closed-loop systems with diverse control
algorithms with a single or bihormonal approach showed an improvement in glycemic
control. The improvement was demonstrated during the overnight use and during the
24-h use. The outpatient studies with closed-loop systems, especially overnight
at home, demonstrated that the current configurations are already safe and
efficient for daily use. Technological advancement should undoubtedly lead to
even better performance. SUMMARY: Studies using closed-loop systems at patients'
home are currently being carried out. The preliminary results of these
experiments are encouraging and enhance our confidence in this tool as suitable
for use in clinical daily practice.
PMID- 24937037
TI - Biomarkers in type 1 diabetes: application to the clinical trial setting.
AB - PURPOSE OF REVIEW: Biomarkers of type 1 diabetes (T1D) are important for
assessing risk of developing disease, monitoring disease progression, and
determining responses to clinical treatments. Here we review recent advances in
the development of biomarkers of T1D with a focus on their utility in clinical
trials. RECENT FINDINGS: Measurements of autoantibodies and metabolic outcomes
have been the foundation of monitoring T1D for the past 20 years. Recent
advancements have led to improvements in T-cell-specific assays that have been
used in large-scale clinical trials to measure antigen-specific T cell responses.
Additionally, new tools are being developed for the measurement of beta cell mass
and death that will allow for more direct measurement of disease activity.
Lastly, recent studies have used both immunologic and nonimmunologic biomarkers
to identify responders to treatments in clinical trials. SUMMARY: Use of
biomarkers in the study of T1D has largely not changed over the past 20 years;
however, recent advancements in the field are establishing new techniques that
allow for more precise monitoring of disease progression. These new tools will
ultimately lead to an improvement in understanding of disease and will be
utilized in clinical trials.
PMID- 24937040
TI - Unresolved controversies in gestational diabetes: implications on maternal and
infant health.
AB - PURPOSE OF REVIEW: Gestational diabetes mellitus (GDM) is a major public health
concern because of rising rates and offspring consequences; yet, expert panels
are in complete disagreement on how to diagnose and optimally treat GDM. This
review underscores why there remains no diagnostic standard, no agreement on
whether excess dietary carbohydrate or fat should be reduced, and whether oral
hypoglycemic therapy is safe given the unknown offspring effects on hepatic,
pancreatic, or fat development. RECENT FINDINGS: New diagnostic criteria proposed
by the American Diabetes Association would triple the prevalence of GDM (~18%).
Whether the treatment of women with these milder degrees of hyperglycemia will
improve pregnancy outcomes is unknown given the powerful effect of obesity alone
on excess fetal growth. There are data that restricting carbohydrate in the diet
by substituting fat to blunt postprandial glucose levels may worsen maternal
insulin resistance and that metformin may increase offspring subcutaneous fat.
SUMMARY: The adoption of the new American Diabetes Association diagnostic
criteria for GDM was rejected by ACOG and not endorsed by the NIH. Yet, varying
criteria are used by different centers resulting in confusion for both patient
care and research. Both maternal diet and agents that cross the placenta could
potentially modify offspring gene expression. Better identification and treatment
of mothers and fetuses at risk may have far-reaching implications for maternal
and child health.
PMID- 24937039
TI - Changing perspectives in pre-existing diabetes and obesity in pregnancy: maternal
and infant short- and long-term outcomes.
AB - PURPOSE OF REVIEW: Climbing obesity rates in women have propelled the increasing
prevalence of type 2 diabetes mellitus (T2DM) in pregnancy, and an increasing
number of women with type 1 diabetes mellitus (T1DM) are also affected by
obesity. Increasing recognition that an intrauterine environment characterized by
obesity, insulin resistance, nutrient excess, and diabetes may be fueling the
obesity epidemic in children has created enormous pressure to re-examine the
conventional wisdom of our current approaches. RECENT FINDINGS: Compelling data
in pregnancies complicated by diabetes, in particular those accompanied by
insulin resistance and obesity, support a fetal programming effect resulting in
increased susceptibility to metabolic disease for the offspring later in life.
Recent data also underscore the contribution of obesity, lipids, and lesser
degrees of hyperglycemia on fetal fat accretion, challenging the wisdom of
current gestational weight gain recommendations with and without diabetes. The
risks of adverse pregnancy outcomes in T2DM are at least as high as in T1DM and
there remains controversy about the ideal glucose treatment targets, the benefit
of different insulin analogues, and the role of continuous glucose monitoring in
T1DM and T2DM. SUMMARY: It has become unmistakably evident that achieving optimal
outcomes in mothers with diabetes is clearly impacted by ideal glycemic control
but goes far beyond it. The intrauterine metabolic environment seems to have long
term implications on the future health of the offspring so that the effectiveness
of our current approaches can no longer be simply measured by whether or not
maternal glucose values are at goal.
PMID- 24937041
TI - Metabolic effects of sleep disruption, links to obesity and diabetes.
AB - PURPOSE OF REVIEW: To highlight the adverse metabolic effects of sleep disruption
and to open ground for research aimed at preventive measures. This area of
research is especially relevant given the increasing prevalence of voluntary
sleep curtailment, sleep disorders, diabetes, and obesity. RECENT FINDINGS:
Epidemiological studies have established an association between decreased self
reported sleep duration and an increased incidence of type 2 diabetes (T2D),
obesity, and cardiovascular disease. Experimental laboratory studies have
demonstrated that decreasing either the amount or quality of sleep decreases
insulin sensitivity and decreases glucose tolerance. Experimental sleep
restriction also causes physiological and behavioral changes that promote a
positive energy balance. Although sleep restriction increases energy expenditure
because of increased wakefulness, it can lead to a disproportionate increase in
food intake, decrease in physical activity, and weight gain. SUMMARY: Sleep
disruption has detrimental effects on metabolic health. These insights may help
in the development of new preventive and therapeutic approaches against obesity
and T2D based on increasing the quality and/or quantity of sleep.
PMID- 24937042
TI - A new silver nanorod SPR probe for detection of trace benzoyl peroxide.
AB - The stable silver nanorod (AgNR) sol in red was prepared by the two-step
procedure of NaBH4-H2O2 and citrate heating reduction. The AgNR had a transverse
and a longitudinal surface plasmon resonance (SPR) absorption peak at 338 nm and
480 nm. Meanwhile, two transverse and longitudinal SPR Rayleigh scattering (SPR
RS) peaks at 340 nm and 500 nm were observed firstly using common fluorescence
spectrometer. The SPR absorption, RS, surface enhanced Raman scattering (SERS)
and electron microscope technology were used to study the formation mechanism of
red silver nanorods and the SERS enhancement mechanism of nano-aggregation. The
AgNR-BPO SPR absorption and AgNR-NaCl-BPO SPR-RS analytical systems were studied
to develop two new simple, rapid, and low-cost SPR methods for the detection of
trace BPO.
PMID- 24937043
TI - Optimal dielectric and cavity configurations for improving the efficiency of
electron paramagnetic resonance probes.
AB - An electron paramagnetic resonance (EPR) spectrometer's lambda efficiency
parameter (Lambda) is one of the most important parameters that govern its
sensitivity. It is studied for an EPR probe consisting of a dielectric resonator
(DR) in a cavity (CV). Expressions for Lambda are derived in terms of the probe's
individual DR and CV components, Lambda1 and Lambda2 respectively. Two important
cases are considered. In the first, a probe consisting of a CV is improved by
incorporating a DR. The sensitivity enhancement depends on the relative rather
than the absolute values of the individual components. This renders the analysis
general. The optimal configuration occurs when the CV and DR modes are nearly
degenerate. This configuration guarantees that the probe can be easily coupled to
the microwave bridge while maintaining a large Lambda. It is shown that for a
lossy CV with a small quality factor Q2, one chooses a DR that has the highest
filling factor, eta1, regardless of its Lambda1 and Q1. On the other hand, if the
CV has a large Q2, the optimum DR is the one which has the highest Lambda1. This
is regardless of its eta1 and relative dielectric constant, Er. When the quality
factors of both the CV and DR are comparable, the lambda efficiency is reduced by
a factor of 2. Thus the signal intensity for an unsaturated sample is cut in
half. The second case is the design of an optimum shield to house a DR. Besides
preventing radiation leakage, it is shown that for a high loss DR, the shield can
actually boost Lambda above the DR value. This can also be very helpful for
relatively low efficiency dielectrics as well as lossy samples, such as polar
liquids.
PMID- 24937044
TI - Effects of ageing and soil properties on the oral bioavailability of
benzo[a]pyrene using a swine model.
AB - Oral bioavailability of benzo[a]pyrene (B[a]P) was studied in a swine model using
eight spiked soil samples after incubation for 50 and/or 90 days. Silica sand was
used as a reference material and the relative bioavailability (RB) of B[a]P in
soils was calculated as the quotient of the area under the plasma B[a]P curve
(AUC) for soil and AUC for the silica sand. Significantly reduced RB was observed
in all study soils after 90 days ageing, ranging from 22.1+/-0.4% to 62.7+/
10.1%, except for one very sandy soil (sand content 87.6%) where RB was unchanged
(108.1+/-8.0%). Apart from this, bioavailability decreased during ageing with the
decrease (from day 50 to day 90) being only significant for a clayey soil
containing expandable clay minerals. Statistical analyses of B[a]P RB at day 90
(eight soils) and soil properties showed no direct correlation between RB and
specific soil properties such as total organic carbon (TOC) and clay content
which were commonly linked to organic contaminant sequestration. However,
strongly significant relationships (p<0.001) were found between RB and the fine
particle associated carbon (FPAC) defined as (Silt+Clay)/TOC, and between RB and
the soil mesopore (<6nm; p<0.001) fraction, after two samples with high pH and
high EC being excluded from the analyses. The bioaccessibility estimated by four
in vitro extraction methods: dichloromethane/acetone sonication (DCM/Ace),
butanol vortex (BuOH), hydroxypropyl-beta-cyclodextrin extraction (HPCD) and
Milli Q water leaching methods at different sampling time (1 day, 50 days and 90
days after spiking) also showed a decreasing trend. Significant correlations were
found between B[a]P RB and DCM/Ace (R(2)=0.67, p<0.05) extractable fraction and
BuOH (R(2)=0.75, p<0.01) extractable fraction.
PMID- 24937045
TI - The fatty acid amide hydrolase inhibitor PF-3845 promotes neuronal survival,
attenuates inflammation and improves functional recovery in mice with traumatic
brain injury.
AB - Traumatic brain injury (TBI) is the leading cause of death in young adults in the
United States, but there is still no effective agent for treatment. N
arachidonoylethanolamine (anandamide, AEA) is a major endocannabinoid in the
brain. Its increase after brain injury is believed to be protective. However, the
compensatory role of AEA is transient due to its rapid hydrolysis by the fatty
acid amide hydrolase (FAAH). Thus, inhibition of FAAH can boost the endogenous
levels of AEA and prolong its protective effect. Using a TBI mouse model, we
found that post-injury chronic treatment with PF3845, a selective and potent FAAH
inhibitor, reversed TBI-induced impairments in fine motor movement, hippocampus
dependent working memory and anxiety-like behavior. Treatment with PF3845
inactivated FAAH activity and enhanced the AEA levels in the brain. It reduced
neurodegeneration in the dentate gyrus, and up-regulated the expression of Bcl-2
and Hsp70/72 in both cortex and hippocampus. PF3845 also suppressed the increased
production of amyloid precursor protein, prevented dendritic loss and restored
the levels of synaptophysin in the ipsilateral dentate gyrus. Furthermore, PF3845
suppressed the expression of inducible nitric oxide synthase and cyclooxygenase-2
and enhanced the expression of arginase-1 post-TBI, suggesting a shift of
microglia/macrophages from M1 to M2 phenotype. The effects of PF3845 on TBI
induced behavioral deficits and neurodegeneration were mediated by activation of
cannabinoid type 1 and 2 receptors and might be attributable to the
phosphorylation of ERK1/2 and AKT. These results suggest that selective
inhibition of FAAH is likely to be beneficial for TBI treatment.
PMID- 24937046
TI - Neuropathic plasticity in the opioid and non-opioid actions of dynorphin A
fragments and their interactions with bradykinin B2 receptors on neuronal
activity in the rat spinal cord.
AB - Dynorphin A is an endogenous opioid peptide derived from the precursor
prodynorphin. The proteolytic fragment dynorphin A (1-17) exhibits inhibitory
effects via opioid receptors. Paradoxically, the activity of the dynorphin system
increases with chronic pain and neuropathy is associated with the up-regulation
of dynorphin biosynthesis. Dynorphin A (1-17) is cleaved in vivo to produce a non
opioid fragment, dynorphin A (2-17). Previously, a mechanism by which the non
opioid fragment promotes pain through agonist action at bradykinin receptors was
revealed. Bradykinin receptor expression is up-regulated after nerve injury and
both a truncated version of non-opioid fragment dynorphin A (2-17), referred to
as 'Ligand 10', and novel bradykinin receptor antagonist 'Ligand 14', are known
to bind to the bradykinin receptor. Here we show that Ligand 10 facilitates the
response of wide dynamic range (WDR) neurons to innocuous and noxious mechanical
stimuli in neuropathic, but not naive, animals, while Ligand 14 exhibits
inhibitory effects in neuropathic animals only. Furthermore, we reveal an
inhibitory effect of Ligand 14 in naive animals by pre-dosing with either Ligand
10 or a 5-HT3 receptor agonist to reflect activation of descending excitatory
drives. Thus remarkably, by mimicking pro-excitatory pharmacological changes that
occur after nerve injury in a naive animal, we induce a state whereby the
inhibitory actions of Ligand 14 are now effective. Ultimately our data support an
increasing number of studies that suggest that blocking spinal bradykinin
receptors may have a therapeutic potential in chronic pain states, here, in
particular, in neuropathic pain.
PMID- 24937047
TI - Beneficial effects of thymosin beta4 on spinal cord injury in the rat.
AB - Thymosin beta4 (Tbeta4) has many physiological functions that are highly relevant
to spinal cord injury (SCI), including neuronal survival, anti-inflammation,
wound repair promotion, and angiogenesis. The present study investigated the
therapeutic value of Tbeta4 in SCI, with a focus on its neuroprotective, anti
inflammatory, and vasculoprotective properties. Tbeta4 or a saline control was
administered by intraperitoneal injection 30 min, 3 days, or 5 days after SCI
with mild compression in rat. Locomotor recovery was tested with the Basso
Beattie-Bresnahan scale and a footprint analysis. All behavioral assessments were
markedly improved with Tbeta4 treatment. Histological examination at 7 days post
injury showed that the numbers of surviving neurons and oligodendrocytes were
significantly increased in Tbeta4-treated animals compared to saline-treated
controls. Levels of myelin basic protein, a marker of mature oligodendrocytes, in
Tbeta4-treated rats were 57.8% greater than those in saline-treated controls. The
expression of ED1, a marker of activated microglia/macrophages, was reduced by
36.9% in the Tbeta4-treated group compared to that of the saline-treated group.
Tbeta4 treatment after SCI was also associated with a significant decrease in pro
inflammatory cytokine gene expression and a significant increase in the mRNA
levels of IL-10 compared to the control. Moreover, the size of lesion cavity
delineated by astrocyte scar in the injured spinal cord was markedly reduced in
Tbeta4-treated animals compared to saline-treated controls. Given the known
safety of Tbeta4 in clinical trials and its beneficial effects on SCI recovery,
the results of this study suggested that Tbeta4 is a good candidate for SCI
treatment in humans.
PMID- 24937048
TI - Severity of chronic experimental Chagas' heart disease parallels tumour necrosis
factor and nitric oxide levels in the serum: models of mild and severe disease.
AB - Heart tissue inflammation, progressive fibrosis and electrocardiographic
alterations occur in approximately 30% of patients infected by Trypanosoma cruzi,
10-30 years after infection. Further, plasma levels of tumour necrosis factor
(TNF) and nitric oxide (NO) are associated with the degree of heart dysfunction
in chronic chagasic cardiomyopathy (CCC). Thus, our aim was to establish
experimental models that mimic a range of parasitological, pathological and
cardiac alterations described in patients with chronic Chagas' heart disease and
evaluate whether heart disease severity was associated with increased TNF and NO
levels in the serum. Our results show that C3H/He mice chronically infected with
the Colombian T. cruzi strain have more severe cardiac parasitism and
inflammation than C57BL/6 mice. In addition, connexin 43 disorganisation and
fibronectin deposition in the heart tissue, increased levels of creatine kinase
cardiac MB isoenzyme activity in the serum and more severe electrical
abnormalities were observed in T. cruzi-infected C3H/He mice compared to C57BL/6
mice. Therefore, T. cruzi-infected C3H/He and C57BL/6 mice represent severe and
mild models of CCC, respectively. Moreover, the CCC severity paralleled the TNF
and NO levels in the serum. Therefore, these models are appropriate for studying
the pathophysiology and biomarkers of CCC progression, as well as for testing
therapeutic agents for patients with Chagas' heart disease.
PMID- 24937049
TI - Tuberculin skin test and interferon-gamma release assay values are associated
with antimicrobial peptides expression in polymorphonuclear cells during latent
tuberculous infection.
AB - It has been reported that patients with progressive tuberculosis (TB) express
abundant amounts of the antimicrobial peptides (AMPs) cathelicidin (LL-37) and
human neutrophil peptide-1 (HNP-1) in circulating cells, whereas latent TB
infected donors showed no differences when compared with purified protein
derivative (PPD) and QuantiFERON(r)-TB Gold (QFT)-healthy individuals. The aim of
this study was to determine whether LL-37 and HNP-1 production correlates with
higher tuberculin skin test (TST) and QFT values in TB household contacts. Twenty
six TB household contact individuals between 26-58 years old TST and QFT positive
with at last two years of latent TB infection were recruited. AMPs production by
polymorphonuclear cells was determined by flow cytometry and correlation between
TST and QFT values was analysed. Our results showed that there is a positive
correlation between levels of HNP-1 and LL-37 production with reactivity to TST
and/or QFT levels. This preliminary study suggests the potential use of the
expression levels of these peptides as biomarkers for progression in latent
infected individuals.
PMID- 24937050
TI - Why do forward maskers affect auditory intensity discrimination? Evidence from
"molecular psychophysics".
AB - Nonsimultaneous maskers can strongly impair performance in an auditory intensity
discrimination task. Using methods of molecular psychophysics, we quantified the
extent to which (1) a masker-induced impairment of the representation of target
intensity (i.e., increase in internal noise) and (2) a systematic influence of
the masker intensities on the decision variable contribute to these effects. In a
two-interval intensity discrimination procedure, targets were presented in quiet,
and combined with forward maskers. The lateralization of the maskers relative to
the targets was varied via the interaural time difference. Intensity difference
limens (DLs) were strongly elevated under forward masking but less with
contralateral than with ipsilateral maskers. For most listeners and conditions,
perceptual weights measuring the relation between the target and masker levels
and the response in the intensity discrimination task were positive and
significant. Higher perceptual weights assigned to the maskers corresponded to
stronger elevations of the intensity DL. The maskers caused only a weak increase
in internal noise, unrelated to target level and masker lateralization. The
results indicate that the effects of forward masking on intensity discrimination
are determined by an inclusion of the masker intensities in the decision
variable, compatible with the hypothesis that the impairment in performance is to
a large part caused by difficulties in directing selective attention to the
targets. The effects of masker lateralization are evidence for top-down
influences, and the observed positive signs of the masker weights suggest that
the relevant mechanisms are located at higher processing stages rather than in
the auditory periphery.
PMID- 24937051
TI - Dorsomedial hypothalamus CRF type 1 receptors selectively modulate inhibitory
avoidance responses in the elevated T-maze.
AB - Corticotropin-releasing factor (CRF) plays a critical role in the mediation of
physiological and behavioral responses to stressors. In the present study, we
investigated the role played by the CRF system within the dorsomedial
hypothalamus (DMH) in the modulation of anxiety- and panic-related responses.
Male Wistar rats were administered into the DMH with CRF (125 and 250 ng/0.2 MUl,
experiment 1) or with the CRFR1 antagonist antalarmin (25 ng/0.2 MUl, experiment
2) and 10 min later tested in the elevated T-maze (ETM) for inhibitory avoidance
and escape measurements. In clinical terms, these responses have been
respectively related to generalized anxiety and panic disorder. To further verify
if the anxiogenic effects of CRF were mediated by CRFR1 activation, we also
investigated the effects of the combined treatment with CRF (250 ng/0.2 MUl) and
antalarmin (25 ng/0.2 MUl) (experiment 3). All animals were tested in an open
field, immediately after the ETM, for locomotor activity assessment. Results
showed that 250 ng/0.2MUl of CRF facilitated ETM avoidance, an anxiogenic
response. Antalarmin significantly decreased avoidance latencies, an anxiolytic
effect, and was able to counteract the anxiogenic effects of CRF. None of the
compounds administered altered escape responses or locomotor activity
measurements. These results suggest that CRF in the DMH exerts anxiogenic effects
by activating type 1 receptors, which might be of relevance to the
physiopathology of generalized anxiety disorder.
PMID- 24937052
TI - The effect of genetic background on behavioral manifestation of Grid2(Lc)
mutation.
AB - Mutant mice are commonly used models of hereditary diseases. Nevertheless, these
mice have phenotypic traits of the original strain, which could interfere with
the manifestation of the mutation of interest. Lurcher mice represent a model of
olivocerebellar degeneration, which is caused by the Grid2(Lc) mutation. Lurchers
show ataxia and various cognitive and behavioral abnormalities. The most commonly
used strains of Lurcher mice are B6CBA and C3H, but there is no information about
the role of genetic background on the Grid2(Lc) manifestation. The aim of this
work was to compare spatial navigation in the Morris water maze, spontaneous
activity in the open field and motor skills on the horizontal wire, slanted
ladder and rotarod in B6CBA and C3H Lurcher mutant and wild type mice. The study
showed impaired motor skills and water maze performance in both strains of
Lurcher mice. Both C3H Lurcher and C3H wild type mice had poorer performances in
the water maze task than their B6CBA counterparts. In the open field test, C3H
mice showed higher activity and lower thigmotaxis. The study showed that genetic
backgrounds can modify manifestations of the Lurcher mutation. In this case,
B6CBA Lurcher mice models probably have more validity when studying the
behavioral aspects of cerebellar degeneration than C3H Lurcher mice, since they
do not combine abnormalities related to the Grid2(Lc) mutation with strain
specific problems.
PMID- 24937053
TI - Lack of synaptic vesicle protein SV2B protects against amyloid-beta25-35-induced
oxidative stress, cholinergic deficit and cognitive impairment in mice.
AB - SV2B is a synaptic protein widely distributed throughout the brain, which is part
of the complex vesicle protein machinery involved in the regulation of synaptic
vesicle endocytosis and exocytosis, and therefore in neurotransmitters release.
The aims of the present work were twofold: (1) phenotype SV2B knockout mice (SV2B
KO) in a battery of cognitive tests; and (2) examine their vulnerability to
amyloid-beta25-35 (Abeta25-35) peptide-induced toxicity. SV2B KO mice showed
normal learning and memory abilities in absence of Abeta25-35 injection. SV2B KO
mice were protected against the learning deficits induced after icv injection of
an oligomeric preparation of amyloid-beta25-35 peptide, as compared to wild-type
littermates (SV2B WT). These mice failed to show Abeta25-35-induced impairments
in a number of cognitive domains: working memory measured by a spontaneous
alternation procedure, recognition memory measured by a novel object recognition
task, spatial reference memory assessed in a Morris water-maze, and long-term
contextual memory assessed in a inhibitory avoidance task. In addition, SV2B KO
mice were protected against Abeta25-35-induced oxidative stress and decrease in
ChAT activity in the hippocampus. These data suggest that SV2B could be a key
modulator of amyloid toxicity at the synaptic site.
PMID- 24937054
TI - alpha,epsilon-Hybrid foldamers with 1,2,3-triazole rings: order versus disorder.
AB - Two epimeric series of foldamers characterized by the presence of a repeating
alpha,epsilon-dipeptide unit have been prepared and characterized by (1)H NMR and
ECD spectroscopies together with X-ray diffraction. The first series contains L
Ala and D-4-carboxy-5-methyl-oxazolidin-2-one (D-Oxd). The other series contains
L-Ala and L-Oxd. The L,D series of oligomers forms ordered beta-turn foldamers,
characterized by a 311 pattern. The L,L series is not ordered. Simulations show
that an ordered L,L trimer lies more than 2 kcal/mol higher than the more stable
nonfolded extended conformations. Cu(2+) forms complexes with both series but is
not able to order the L,L series. Analysis of the EPR spectra shows that the L,D
foldamers bear two types of complexation sites that are assigned as a nitrogen
donor of the triazole ring and a carboxylate ligand. The L-Ala-D-Oxd-Tri-CO motif
may be introduced in any peptide sequence requiring the presence of a stable beta
turn conformations, like in the study of protein-protein interactions.
PMID- 24937055
TI - The light fantastic.
PMID- 24937064
TI - DNA metabolism: bases of DNA repair and regulation.
PMID- 24937065
TI - Neuronal differentiation: 5-HT6R can do it alone.
PMID- 24937066
TI - Drug monitoring: bright lights yield drug readout.
PMID- 24937067
TI - Natural strategies for photosynthetic light harvesting.
AB - Photosynthetic organisms are crucial for life on Earth as they provide food and
oxygen and are at the basis of most energy resources. They have a large variety
of light-harvesting strategies that allow them to live nearly everywhere where
sunlight can penetrate. They have adapted their pigmentation to the spectral
composition of light in their habitat, they acclimate to slowly varying light
intensities and they rapidly respond to fast changes in light quality and
quantity. This is particularly important for oxygen-producing organisms because
an overdose of light in combination with oxygen can be lethal. Rapid progress is
being made in understanding how different organisms maximize light harvesting and
minimize deleterious effects. Here we summarize the latest findings and explain
the main design principles used in nature. The available knowledge can be used
for optimizing light harvesting in both natural and artificial photosynthesis to
improve light-driven production processes.
PMID- 24937068
TI - Optogenetic characterization methods overcome key challenges in synthetic and
systems biology.
AB - Systems biologists aim to understand how organism-level processes, such as
differentiation and multicellular development, are encoded in DNA. Conversely,
synthetic biologists aim to program systems-level biological processes, such as
engineered tissue growth, by writing artificial DNA sequences. To achieve their
goals, these groups have adapted a hierarchical electrical engineering framework
that can be applied in the forward direction to design complex biological systems
or in the reverse direction to analyze evolved networks. Despite much progress,
this framework has been limited by an inability to directly and dynamically
characterize biological components in the varied contexts of living cells.
Recently, two optogenetic methods for programming custom gene expression and
protein localization signals have been developed and used to reveal fundamentally
new information about biological components that respond to those signals. This
basic dynamic characterization approach will be a major enabling technology in
synthetic and systems biology.
PMID- 24937069
TI - Advances in fluorescence labeling strategies for dynamic cellular imaging.
AB - Synergistic advances in optical physics, probe design, molecular biology,
labeling techniques and computational analysis have propelled fluorescence
imaging into new realms of spatiotemporal resolution and sensitivity. This review
aims to discuss advances in fluorescent probes and live-cell labeling strategies,
two areas that remain pivotal for future advances in imaging technology.
Fluorescent protein- and bio-orthogonal-based methods for protein and RNA imaging
are discussed as well as emerging bioengineering techniques that enable their
expression at specific genomic loci (for example, CRISPR and TALENs). Important
attributes that contribute to the success of each technique are emphasized,
providing a guideline for future advances in dynamic live-cell imaging.
PMID- 24937070
TI - Tracking single molecules at work in living cells.
AB - Methods for imaging and tracking single molecules conjugated with fluorescent
probes, called single-molecule tracking (SMT), are now providing researchers with
the unprecedented ability to directly observe molecular behaviors and
interactions in living cells. Current SMT methods are achieving almost the
ultimate spatial precision and time resolution for tracking single molecules,
determined by the currently available dyes. In cells, various molecular
interactions and reactions occur as stochastic and probabilistic processes. SMT
provides an ideal way to directly track these processes by observing individual
molecules at work in living cells, leading to totally new views of the
biochemical and molecular processes used by cells whether in signal transduction,
gene regulation or formation and disintegration of macromolecular complexes. Here
we review SMT methods, summarize the recent results obtained by SMT, including
related superresolution microscopy data, and describe the special concerns when
SMT applications are shifted from the in vitro paradigms to living cells.
PMID- 24937071
TI - How to control proteins with light in living systems.
AB - The possibility offered by photocontrolling the activity of biomolecules in vivo
while recording physiological parameters is opening up new opportunities for the
study of physiological processes at the single-cell level in a living organism.
For the last decade, such tools have been mainly used in neuroscience, and their
application in freely moving animals has revolutionized this field. New
photochemical approaches enable the control of various cellular processes by
manipulating a wide range of protein functions in a noninvasive way and with
unprecedented spatiotemporal resolution. We are at a pivotal moment where
biologists can adapt these cutting-edge technologies to their system of study.
This user-oriented review presents the state of the art and highlights technical
issues to be resolved in the near future for wide and easy use of these powerful
approaches.
PMID- 24937072
TI - Multifaceted pathways protect human skin from UV radiation.
AB - The recurrent interaction of skin with sunlight is an intrinsic constituent of
human life, and exhibits both beneficial and detrimental effects. The apparent
robust architectural framework of skin conceals remarkable mechanisms that
operate at the interface between the surface and environment. In this Review, we
discuss three distinct protective mechanisms and response pathways that safeguard
skin from deleterious effects of ultraviolet (UV) radiation. The unique
stratified epithelial architecture of human skin along with the antioxidant
response pathways constitutes the important defense mechanisms against UV
radiation. The intricate pigmentary system and its intersection with the immune
system cytokine axis delicately balance tissue homeostasis. We discuss the
relationship among these networks in the context of an unusual depigmenting
disorder, vitiligo. The elaborate tunable mechanisms, elegant multilayered
architecture and evolutionary selection pressures involved in skin and sunlight
interaction makes this a compelling model to understand biological complexity.
PMID- 24937073
TI - Von Willebrand factor regulation in patients with acute and chronic
cerebrovascular disease: a pilot, case-control study.
AB - BACKGROUND AND PURPOSE: In animal models, von Willebrand factor (VWF) is involved
in thrombus formation and propagation of ischemic stroke. However, the
pathophysiological relevance of this molecule in humans, and its potential use as
a biomarker for the risk and severity of ischemic stroke remains unclear. This
study had two aims: to identify predictors of altered VWF levels and to examine
whether VWF levels differ between acute cerebrovascular events and chronic
cerebrovascular disease (CCD). METHODS: A case-control study was undertaken
between 2010 and 2013 at our University clinic. In total, 116 patients with acute
ischemic stroke (AIS) or transitory ischemic attack (TIA), 117 patients with CCD,
and 104 healthy volunteers (HV) were included. Blood was taken at days 0, 1, and
3 in patients with AIS or TIA, and once in CCD patients and HV. VWF serum levels
were measured and correlated with demographic and clinical parameters by
multivariate linear regression and ANOVA. RESULTS: Patients with CCD (158 +/-
46%) had significantly higher VWF levels than HV (113 +/- 36%, P<0.001), but
lower levels than AIS/TIA patients (200 +/- 95%, P<0.001). Age, sex, and stroke
severity influenced VWF levels (P<0.05). CONCLUSIONS: VWF levels differed across
disease subtypes and patient characteristics. Our study confirms increased VWF
levels as a risk factor for cerebrovascular disease and, moreover, suggests that
it may represent a potential biomarker for stroke severity, warranting further
investigation.
PMID- 24937074
TI - Toll-like receptor 4 is essential to preserving cardiac function and survival in
low-grade polymicrobial sepsis.
AB - BACKGROUND: Toll-like receptor 4 (TLR4), the receptor for endotoxin, mediates
hyperinflammatory response and contributes to high mortality during both
endotoxin shock and severe sepsis. However, little is known about the role of
TLR4 in the pathogenesis of low-grade polymicrobial sepsis, which is often
associated with immunosuppression. METHODS: Low-grade polymicrobial sepsis was
generated by cecum ligation and puncture. Mortality was monitored in wild- type
(C57BL/10ScSn) and TLR4def (C57BL/10ScCr) mice. Ex vivo heart and individual
cardiomyocyte function were assessed in Langendorff (Hugo Sachs Elektronik;
Harvard Apparatus, Holliston, MA) and IonOptix systems (IonOptix, Milton, MA),
respectively. Serum chemistry was tested for liver and kidney injury. Cytokines
were examined using a multiplex immunoassay. Neutrophil migratory and phagocytic
functions were assessed using flow cytometry. Reactive oxygen species were
measured using redox-sensitive dichlorodihydrofluorescein dye. RESULTS: Following
cecum ligation and puncture, wild-type mice developed bacterial peritonitis with
mild cardiac dysfunction (n=3 in sham and n=8 in cecum ligation and puncture) and
a mortality of 23% within 14 days (n=22). In comparison, septic TLR4def mice had
deleterious cardiac dysfunction (n=6 in sham and n=10 in cecum ligation and
puncture), kidney and liver injury (n=7), and much higher mortality at 81%
(n=21). The deleterious effects observed in septic TLR4def mice were associated
with increased local and systemic cytokine response, reduced neutrophil migratory
and phagocytic function, increased reactive oxygen species generation in
leukocytes, and impaired bacterial clearance. CONCLUSION: TLR4 plays an essential
role in host defense against low-grade polymicrobial sepsis by mediating
neutrophil migratory/phagocytic functions, attenuating inflammation, reducing
reactive oxygen species generation, and enhanced bacterial clearance.
PMID- 24937075
TI - Thoracic epidural anesthesia with ropivacaine does not compromise the tolerance
of acute normovolemic anemia in pigs.
AB - BACKGROUND: The initial treatment of an acute blood loss with acellular fluids
leads to the dilution of the red cell mass remaining in the vasculature, that is,
to acute normovolemic anemia. Whether the compensation and, thus, the tolerance
of acute anemia, are affected by sympathetic block induced by thoracic epidural
anesthesia has not yet been investigated. METHODS: Eighteen anesthetized and
mechanically ventilated pigs were instrumented with thoracic epidural catheters
and randomly assigned to receive an epidural injection of either 5-ml ropivacaine
0.2% (n = 9) aiming for a Th5-Th10 block or saline (n = 9) followed by continuous
epidural infusion of 5 ml/h of either fluid. Subsequently, acute normovolemic
anemia was induced by replacement of whole blood with 6% hydroxyethyl starch
solution until a "critical" limitation of oxygen transport capacity was reached
as indicated by a sudden decrease in oxygen consumption. The critical hemoglobin
concentration quantified at this time point was the primary endpoint; secondary
endpoints were hemodynamic and oxygen transport parameters. RESULTS: Thoracic
epidural anesthesia elicited only a moderate decrease in mean arterial pressure
and cardiac index and a transient decrease in oxygen extraction ratio. During
progressive anemia, the compensatory increases in cardiac index and oxygen
extraction ratio were not compromised by thoracic epidural anesthesia. Critical
hemoglobin concentration was reached at identical levels in both groups
(ropivacaine group: 2.5 +/- 0.6 g/dl, saline group: 2.5 +/- 0.6 g/dl).
CONCLUSION: Thoracic epidural anesthesia with ropivacaine 0.2% does not decrease
the tolerance to acute normovolemic anemia in healthy pigs. The hemodynamic
compensation of acute anemia is fully preserved despite sympathetic block, and
the critical hemoglobin concentration remains unaffected.
PMID- 24937076
TI - [Physical capacity as an indicator of mortality?--Physical capacity tests help to
predict mortality].
PMID- 24937077
TI - [Efficacy and sustainability of a smoking prevention program for pupils-
"ohnekippe"].
AB - BACKGROUND: Since 2000 the Thoraxklinik Heidelberg offers the primary smoking
prevention program "ohnekippe" for children aged 12-14 years. This program was
scientifically evaluated to test its efficacy and sustainability. METHODS: All
pupils participating in this prevention program (n=1427) were asked to complete a
written survey regarding their smoking behaviour at the time of intervention
(baseline) and after one year. A control group (n=1412) without intervention from
comparable schools and grades were questioned in parallel. Afterwards the program
was modified with active involvement of schools and then data regarding smoking
prevalence of young people were compared based on the microcensus 2005 and 2009.
RESULTS: 187 (13,4 %) pupils in the intervention and 215 (15,4 %) pupils in the
control group were smokers at baseline. One year after, the number of regular and
occasional smokers had increased from 11.2 % to 21.2 % in both groups without
significant differences. Besides age and initial smoking status the "peer group"
had important influence on smoking behaviour of young people. After modifying the
program the number of smoking young people in the catchment area of "ohnekippe"
has decreased significantly (7.8 %). Overall smoking prevalence in this age group
was much lower (11,8 %) than in the rest of Baden-Wurttemberg (16.0 %) and of
Germany (17.5 %). CONCLUSION: Smoking prevention programs for young people can be
effective if they are appropriately designed. Not only one prevention event, but
intensive preparation and follow-up in schools as well as involvement of the
"peer group" is essential for a successful intervention. After appropriate
modification the smoking prevention program "ohnekippe" shows highly promising
success.
PMID- 24937078
TI - [74-year-old woman with mass in the right lung hilus].
PMID- 24937079
TI - [Tularemia in Germany].
AB - The bacterium Francisella tularensis is known for more than 100 years by now as
the etiological agent of the disease tularemia, a zoonotic infection with a
worldwide distribution in the Northern Hemisphere. The prevalence of tularemia
shows a wide geographic variation, being comparably infrequent in Germany.
Tularemia can present itself with multiple clinical manifestations including
ulceroglandular, glandular, oropharyngeal, oculoglandular, respiratory and
typhoidal forms. Due to the low prevalence and the unspecific symptomatology, a
rapid diagnosis and early start of an effective therapy are rarely obtained.
Thus, in this article we summarize important aspects concerning etiology, ecology
and routes of transmission, recent epidemiologic situation, clinical picture,
diagnostics and treatment of tularemia, focusing on the situation in Germany.
PMID- 24937080
TI - [Dietary supplements and cardiovascular diseases].
AB - Dietary supplements and so-called "functional foods" are advertised for primary
and secondary prevention of cardiovascular diseases. However, there are no
studies available that show that these products reduce "hard cardiovascular
outcomes" such as myocardial infarction or stroke. Moreover, some studies have
shown that dietary supplementation might do more harm than good. Therefore, prior
to a general recommendation more data on safety and effectiveness are necessary.
PMID- 24937081
TI - [Development and importance of outpatient cardiac rehabilitation in German
speaking countries].
AB - Cardiac rehabilitation is a coordinated treatment approach. The interdisciplinary
team aims to provide the best possible physical and psychological outcomes for
patients with cardiac diseases. Patients should be enable to independently resume
work and and social life. Furthermore cardiac rehabilitation wants to limit or
reverse the progress of cardiac disease through sustained health-related life
habits. Cardiac rehabilitation significantly contributes to long-term success
based on comprehensive care of cardiac patients. Outpatient cardiac
rehabilitation has shown to be effective after myocardial infarction, coronary
artery bypass grafting and chronic heart failure. However randomized controlled
trials were performed only in Anglo-American countries. In these trials effects
were observed mainly for exercise-based cardiac rehabilitation programs. Because
the results have been derived from different program settings they cannot simply
be translated to German-speaking countries. However, several cohort studies
predominantly performed in Germany also revealed effects of inpatient and
outpatient cardiac rehabilitation programs for German-speaking countries. The
most recent results demonstrated a significant reduction of recurrent events in
patients after inpatient cardiac rehabilitation. Following the current evidence
concerning the effect of inpatient and outpatient cardiac rehabilitation programs
particularly after myocardial infarction international guidelines provide Class I
(USA) and IIa (Europe) recommendation for this treatment intervention.In contrast
to Anglo-American countries cardiac rehabilitation in Austria, Germany and
Switzerland was established at its beginning exclusively for inpatient programs.
In addition more and more outpatient programs were introduced in the last
decades. Nevertheless inpatient cardiac rehabilitation is still the most common
program in German-speaking countries.Future challenges of cardiac rehabilitation
should not address the competition between inpatient and outpatient programs but
provide answers to the question "which patient needs which program?" Future
cardiac rehabilitation will offer patient-tailored programs. In German-speaking
countries inpatient cardiac rehabilitation has long been well established whereas
treatment potential of outpatient programs have increased. Outpatient cardiac
rehabilitation is more flexible, close to home and it can be done part-time.
Furhtermore, outpatient cardiac rehabilitation programs can take on important
tasks in long-term cardiac care and thus provide relief to inpatient programs.
The initiation of such programs is a challenge and can add to better future
development of comprehensive cardiac rehabilitation.
PMID- 24937082
TI - [Membranous nephropathy--crucial developments for diagnostic and treatment].
PMID- 24937083
TI - Facile preparation of hierarchical TiO2 nano structures: growth mechanism and
enhanced photocatalytic H2 production from water splitting using methanol as a
sacrificial reagent.
AB - Owing to unique features, hierarchical nanostructure of TiO2 has superior
photocatalytic activity. In this work a facile hydrothermal route has been
explored to prepare 3D hierarchical TiO2 (3D-HTiO2), 1D/3D hybrid hierarchical
TiO2 composite (HHC), and 3D hierarchical protonated titanate microspheres
H2Ti2O5.H2O (3DHPTMS) at the expense of free-standing titania nanotube membrane
(TiO2-Memb). It proceeded through the formation of peroxotitanium complex, a
water-soluble Ti complex as an intermediate. Mechanism of formation, role of
membrane crystallinity, and reaction parameters giving fine control on tuning
morphology and crystal structure have been investigated systematically.
Photocatalytic activities were determined by measuring the amount hydrogen
generated from water splitting under UV irradiation in the presence of methanol
as a sacrificial reagent. Self-assembled hierarchical titania nanostructures
exhibited much superior photocatalytic activity compared to that of starting
material, i.e., TiO2-Memb. Enhanced photocatalytic activity is due to
characteristic morphology, increased surface area, and enhanced production of
photogenerated charge carriers.
PMID- 24937084
TI - Toward a more complete understanding of noncovalent interactions involving
aromatic rings.
AB - Noncovalent interactions involving aromatic rings, which include pi-stacking
interactions, anion-pi interactions, and XH-pi interactions, among others, are
ubiquitous in chemical and biochemical systems. Despite dramatic advances in our
understanding of these interactions over the past decade, many aspects of these
noncovalent interactions have only recently been uncovered, with many questions
remaining. We summarize our computational studies aimed at understanding the
impact of substituents and heteroatoms on these noncovalent interactions. In
particular, we discuss our local, direct interaction model of substituent effects
in pi-stacking interactions. In this model, substituent effects are dominated by
electrostatic interactions of the local dipoles associated with the substituents
and the electric field of the other ring. The implications of the local nature of
substituent effects on pi-stacking interactions in larger systems are discussed,
with examples given for complexes with carbon nanotubes and a small graphene
model, as well as model stacked discotic systems. We also discuss related issues
involving the interpretation of electrostatic potential (ESP) maps. Although ESP
maps are widely used in discussions of noncovalent interactions, they are often
misinterpreted. Next, we provide an alternative explanation for the origin of
anion-pi interactions involving substituted benzenes and N-heterocycles, and show
that these interactions are well-described by simple models based solely on
charge-dipole interactions. Finally, we summarize our recent work on the physical
nature of substituent effects in XH-pi interactions. Together, these results
paint a more complete picture of noncovalent interactions involving aromatic
rings and provide a firm conceptual foundation for the rational exploitation of
these interactions in a myriad of chemical contexts.
PMID- 24937085
TI - Effect of smoking on the pharmacokinetics of inhaled loxapine.
AB - BACKGROUND: Loxapine inhalation powder delivered by a hand-held device as a
thermally generated aerosol (ADASUVE) was recently approved in the United States
and European Union for use in the acute treatment of agitation in patients with
bipolar disorder or schizophrenia. As smokers comprise a large subpopulation of
these patients, and many antipsychotic drugs require dose adjustments for
smokers, the objective of this study was to compare the pharmacokinetics of
inhaled loxapine administered to smokers and nonsmokers. METHODS:
Pharmacokinetics and sedation pharmacodynamics using a visual analog scale were
studied in 35 male and female adult subjects (18 nonsmokers and 17 smokers)
following a single dose of 10 mg of inhaled loxapine. Blood samples were drawn at
predose, 30 seconds, 1, 2, 3, 10, 30, and 60 minutes, and 2, 6, 12, and 24 hours
after dosing. Loxapine and 8-OH-loxapine were analyzed using reverse-phase liquid
chromatography coupled with a tandem mass spectrometer. Pharmacokinetic
parameters assessed included Cmax, Tmax, AUCinf, and T1/2 for loxapine and 8-OH
loxapine. Geometric mean ratios (GMRs) were determined for smokers to nonsmokers.
RESULTS: Loxapine Cmax was similar in smokers and nonsmokers with a GMR of 99.0%.
The median loxapine Tmax was 1.88 and 1.01 minutes for nonsmokers and smokers,
respectively. Loxapine AUCinf and AUClast values in nonsmokers were comparable
with smokers (GMRs of 85.3% and 86.7%, respectively). A slight decrease in the
observed mean terminal half-life values was observed for smokers (6.52 hours for
smokers and 7.30 hours for nonsmokers). CONCLUSIONS: Sedation profiles and visual
analog scale scores at each time point were similar for nonsmokers and smokers.
It was concluded that inhaled loxapine does not require dosage adjustment based
on smoking behavior.
PMID- 24937086
TI - Large-area atomically thin MoS2 nanosheets prepared using electrochemical
exfoliation.
AB - Molybdenum disulfide (MoS2) is an extremely intriguing material because of its
unique electrical and optical properties. The preparation of large-area and high
quality MoS2 nanosheets is an important step in a wide range of applications.
This study demonstrates that monolayer and few-layer MoS2 nanosheets can be
obtained from electrochemical exfoliation of bulk MoS2 crystals. The lateral size
of the exfoliated MoS2 nanosheets is in the 5-50 MUm range, which is much larger
than that of chemically or liquid-phase exfoliated MoS2 nanosheets. The MoS2
nanosheets undergo low levels of oxidation during electrochemical exfoliation. In
addition, microscopic and spectroscopic characterizations indicate that the
exfoliated MoS2 nanosheets are of high quality and have an intrinsic structure. A
back-gate field-effect transistor was fabricated using an exfoliated monolayer
MoS2 nanosheet. The on/off current ratio is over 10(6), and the field-effect
mobility is approximately 1.2 cm(2) V(-1) s(-1); these values are comparable to
the results for micromechanically exfoliated MoS2 nanosheets. The electrochemical
exfoliation method is simple and scalable, and it can be applied to exfoliate
other transition metal dichalcogenides.
PMID- 24937087
TI - Facile synthesis of enzyme-inorganic hybrid nanoflowers and its application as a
colorimetric platform for visual detection of hydrogen peroxide and phenol.
AB - This study reports a facile approach for the synthesis of horseradish peroxidise
(HRP)-inorganic hybrid nanoflowers by self-assembly of HRP and copper phosphate
(Cu3(PO4)2.3H2O) in aqueous solution. Several reaction parameters that affect the
formation of the hybrid nanoflowers were investigated and a hierarchical
flowerlike spherical structure with hundreds of nanopetals was obtained under the
optimum synthetic conditions. The enzymatic activity of HRP embedded in hybrid
naonflowers was evaluated based on the principle of HRP catalyzing the oxidation
of o-phenylenediamine (OPD) in the presence of hydrogen peroxide (H2O2). The
results showed that 506% enhancement of enzymatic activity in the hybrid
nanoflowers could be achieved compared with the free HRP in solution. Taking
advantages of the structural feature with catalytic property, a nanoflower-based
colorimetric platform was newly designed and applied for fast and sensitive
visual detection of H2O2 and phenol. The limits of detection (LODs) for H2O2 and
phenol were as low as 0.5 MUM and 1.0 MUM by the naked-eye visualization, which
meet the requirements of detection of both analytes in clinical diagnosis and
environmental water. The proposed method has been successfully applied to the
analysis of low-level H2O2 in spiked human serum and phenol in sewage,
respectively. The recoveries for all the determinations were higher than 92.6%.
In addition, the hybrid nanoflowers exhibited excellent reusability and
reproducibility in cycle analysis. These primary results demonstrate that the
hybrid nanoflowers have a great potential for applications in biomedical and
environmental chemistry.
PMID- 24937088
TI - Solution structure of the 2A protease from a common cold agent, human rhinovirus
C2, strain W12.
AB - Human rhinovirus strains differ greatly in their virulence, and this has been
correlated with the differing substrate specificity of the respective 2A protease
(2Apro). Rhinoviruses use their 2Apro to cleave a spectrum of cellular proteins
important to virus replication and anti-host activities. These enzymes share a
chymotrypsin-like fold stabilized by a tetra-coordinated zinc ion. The catalytic
triad consists of conserved Cys (C105), His (H34), and Asp (D18) residues. We
used a semi-automated NMR protocol developed at NMRFAM to determine the solution
structure of 2Apro (C105A variant) from an isolate of the clinically important
rhinovirus C species (RV-C). The backbone of C2 2Apro superimposed closely (1.41
1.81 A rmsd) with those of orthologs from RV-A2, coxsackie B4 (CB4), and
enterovirus 71 (EV71) having sequence identities between 40% and 60%. Comparison
of the structures suggest that the differential functional properties of C2 2Apro
stem from its unique surface charge, high proportion of surface aromatics, and
sequence surrounding the di-tyrosine flap.
PMID- 24937089
TI - Alphavirus-based vaccines.
AB - Alphavirus vectors have demonstrated high levels of transient heterologous gene
expression both in vitro and in vivo and, therefore, possess attractive features
for vaccine development. The most commonly used delivery vectors are based on
three single-stranded encapsulated alphaviruses, namely Semliki Forest virus,
Sindbis virus and Venezuelan equine encephalitis virus. Alphavirus vectors have
been applied as replication-deficient recombinant viral particles and, more
recently, as replication-proficient particles. Moreover, in vitro transcribed
RNA, as well as layered DNA vectors have been applied for immunization. A large
number of highly immunogenic viral structural proteins expressed from alphavirus
vectors have elicited strong neutralizing antibody responses in multispecies
animal models. Furthermore, immunization studies have demonstrated robust
protection against challenges with lethal doses of virus in rodents and primates.
Similarly, vaccination with alphavirus vectors expressing tumor antigens resulted
in prophylactic protection against challenges with tumor-inducing cancerous
cells. As certain alphaviruses, such as Chikungunya virus, have been associated
with epidemics in animals and humans, attention has also been paid to the
development of vaccines against alphaviruses themselves. Recent progress in
alphavirus vector development and vaccine technology has allowed conducting
clinical trials in humans.
PMID- 24937090
TI - Decreased fixation stability of the preferred retinal location in juvenile
macular degeneration.
AB - Macular degeneration is the main cause for diminished visual acuity in the
elderly. The juvenile form of macular degeneration has equally detrimental
consequences on foveal vision. To compensate for loss of foveal vision most
patients with macular degeneration adopt an eccentric preferred retinal location
that takes over tasks normally performed by the healthy fovea. It is unclear
however, whether the preferred retinal locus also develops properties typical for
foveal vision. Here, we investigated whether the fixation characteristics of the
preferred retinal locus resemble those of the healthy fovea. For this purpose, we
used the fixation-offset paradigm and tracked eye-position using a high spatial
and temporal resolution infrared eye-tracker. The fixation-offset paradigm
measures release from fixation under different fixation conditions and has been
shown useful to distinguish between foveal and non-foveal fixation. We measured
eye-movements in nine healthy age-matched controls and five patients with
juvenile macular degeneration. In addition, we performed a simulation with the
same task in a group of five healthy controls. Our results show that the
preferred retinal locus does not adopt a foveal type of fixation but instead
drifts further away from its original fixation and has overall increased fixation
instability. Furthermore, the fixation instability is most pronounced in low
frequency eye-movements representing a slow drift from fixation. We argue that
the increased fixation instability cannot be attributed to fixation under an
unnatural angle. Instead, diminished visual acuity in the periphery causes
reduced oculomotor control and results in increased fixation instability.
PMID- 24937092
TI - Surface modification of cellulose nanocrystals.
AB - Chemical modification of cellulose nanocrystals is an increasingly popular topic
in the literature. This review analyses the type of cellulose nanocrystal
modification reactions that have been published in the literature thus far and
looks at the steps that have been taken towards analysing the products of the
nanocrystal modifications. The main categories of reactions carried out on
cellulose nanocrystals are oxidations, esterifications, amidations, carbamations
and etherifications. More recently nucleophilic substitutions have been used to
introduce more complex functionality to cellulose nanocrystals. Multi-step
modifications are also considered. This review emphasizes quantification of
modification at the nanocrystal surface in terms of degree of substitution and
the validity of conclusions drawn from different analysis techniques in this
area. The mechanisms of the modification reactions are presented and considered
with respect to the effect on the outcome of the reactions. While great strides
have been made in the quality of analytical data published in the field of
cellulose nanocrystal modification, there is still vast scope for improvement,
both in data quality and the quality of analysis of data. Given the difficulty of
surface analysis, cross-checking of results from different analysis techniques is
fundamental for the development of reliable cellulose nanocrystal modification
techniques.
PMID- 24937091
TI - Evidence for an electrostatic mechanism of force generation by the bacteriophage
T4 DNA packaging motor.
AB - How viral packaging motors generate enormous forces to translocate DNA into viral
capsids remains unknown. Recent structural studies of the bacteriophage T4
packaging motor have led to a proposed mechanism wherein the gp17 motor protein
translocates DNA by transitioning between extended and compact states,
orchestrated by electrostatic interactions between complimentarily charged
residues across the interface between the N- and C-terminal subdomains. Here we
show that site-directed alterations in these residues cause force dependent
impairments of motor function including lower translocation velocity, lower stall
force and higher frequency of pauses and slips. We further show that the measured
impairments correlate with computed changes in free-energy differences between
the two states. These findings support the proposed structural mechanism and
further suggest an energy landscape model of motor activity that couples the free
energy profile of motor conformational states with that of the ATP hydrolysis
cycle.
PMID- 24937093
TI - Deformable image registration with local rigidity constraints for cone-beam CT
guided spine surgery.
AB - Image-guided spine surgery (IGSS) is associated with reduced co-morbidity and
improved surgical outcome. However, precise localization of target anatomy and
adjacent nerves and vessels relative to planning information (e.g., device
trajectories) can be challenged by anatomical deformation. Rigid registration
alone fails to account for deformation associated with changes in spine
curvature, and conventional deformable registration fails to account for rigidity
of the vertebrae, causing unrealistic distortions in the registered image that
can confound high-precision surgery. We developed and evaluated a deformable
registration method capable of preserving rigidity of bones while resolving the
deformation of surrounding soft tissue. The method aligns preoperative CT to
intraoperative cone-beam CT (CBCT) using free-form deformation (FFD) with
constraints on rigid body motion imposed according to a simple intensity
threshold of bone intensities. The constraints enforced three properties of a
rigid transformation-namely, constraints on affinity (AC), orthogonality (OC),
and properness (PC). The method also incorporated an injectivity constraint (IC)
to preserve topology. Physical experiments involving phantoms, an ovine spine,
and a human cadaver as well as digital simulations were performed to evaluate the
sensitivity to registration parameters, preservation of rigid body morphology,
and overall registration accuracy of constrained FFD in comparison to
conventional unconstrained FFD (uFFD) and Demons registration. FFD with
orthogonality and injectivity constraints (denoted FFD+OC+IC) demonstrated
improved performance compared to uFFD and Demons. Affinity and properness
constraints offered little or no additional improvement. The FFD+OC+IC method
preserved rigid body morphology at near-ideal values of zero dilatation (D =
0.05, compared to 0.39 and 0.56 for uFFD and Demons, respectively) and shear (S =
0.08, compared to 0.36 and 0.44 for uFFD and Demons, respectively). Target
registration error (TRE) was similarly improved for FFD+OC+IC (0.7 mm), compared
to 1.4 and 1.8 mm for uFFD and Demons. Results were validated in human cadaver
studies using CT and CBCT images, with FFD+OC+IC providing excellent preservation
of rigid morphology and equivalent or improved TRE. The approach therefore
overcomes distortions intrinsic to uFFD and could better facilitate high
precision IGSS.
PMID- 24937095
TI - Implication of dopamine D3 receptor activation in the reversion of Parkinson's
disease-related motivational deficits.
AB - In addition to the classical motor symptoms, motivational and affective deficits
are core impairments of Parkinson's disease (PD). We recently demonstrated, by
lesional approaches in rats, that degeneration of the substantia nigra pars
compacta (SNc) dopaminergic (DA) neurons is likely to have a crucial role in the
development of these neuropsychiatry symptoms. We have also shown that, as in
clinical investigations, chronic treatment with levodopa or the DA D2/D3 receptor
(D2/D3R) agonist ropinirole specifically reverses these PD-related motivational
deficits. The roles of specific DA receptor subtypes in such reversal effects
remain, however, unknown. We therefore investigated here the precise involvement
of D1, D2 and D3R in the reversal of the motivational and affective deficits
related to SNc DA neuronal loss. Three weeks after bilateral and partial 6
hydroxydopamine (6-OHDA) SNc lesions, rats received 14 daily intraperitoneal
administrations of the selective D1R agonist SKF-38393 (2.5 or 3.5 mg kg(-1)),
the selective D2R agonist sumanirole (0.1 or 0.15 mg kg(-1)), or the preferring
D3R gonist PD-128907 (0.1 or 0.15 mg kg(-1)). Anxiety-, depressive-like and
motivated behaviors were assessed in an elevated-plus maze, a forced-swim test,
and an operant sucrose self-administration procedure, respectively. All DA
agonists attenuated anxiety- and depressive-like behaviors. However, only PD
128907 reversed the motivational deficits induced by 6-OHDA SNc lesions. This
effect was blocked by a selective D3R (SB-277011A, 10 mg kg(-1)), but not D2R (L
741,626, 1.5 mg kg(-1)), antagonist. These data provide strong evidence for the
role of D3R in motivational processes and identify this receptor as a potentially
valuable target for the treatment of PD-related neuropsychiatric symptoms.
PMID- 24937094
TI - Reversal of autism-like behaviors and metabolism in adult mice with single-dose
antipurinergic therapy.
AB - Autism spectrum disorders (ASDs) now affect 1-2% of the children born in the
United States. Hundreds of genetic, metabolic and environmental factors are known
to increase the risk of ASD. Similar factors are known to influence the risk of
schizophrenia and bipolar disorder; however, a unifying mechanistic explanation
has remained elusive. Here we used the maternal immune activation (MIA) mouse
model of neurodevelopmental and neuropsychiatric disorders to study the effects
of a single dose of the antipurinergic drug suramin on the behavior and
metabolism of adult animals. We found that disturbances in social behavior,
novelty preference and metabolism are not permanent but are treatable with
antipurinergic therapy (APT) in this model of ASD and schizophrenia. A single
dose of suramin (20 mg kg(-1) intraperitoneally (i.p.)) given to 6-month-old
adults restored normal social behavior, novelty preference and metabolism.
Comprehensive metabolomic analysis identified purine metabolism as the key
regulatory pathway. Correction of purine metabolism normalized 17 of 18 metabolic
pathways that were disturbed in the MIA model. Two days after treatment, the
suramin concentration in the plasma and brainstem was 7.64 MUM pmol MUl(-1) (+/
0.50) and 5.15 pmol mg(-1) (+/-0.49), respectively. These data show good uptake
of suramin into the central nervous system at the level of the brainstem. Most of
the improvements associated with APT were lost after 5 weeks of drug washout,
consistent with the 1-week plasma half-life of suramin in mice. Our results show
that purine metabolism is a master regulator of behavior and metabolism in the
MIA model, and that single-dose APT with suramin acutely reverses these
abnormalities, even in adults.
PMID- 24937097
TI - Hemostatic agents for bleeding: recombinant-activated factor VII and beyond.
AB - A rapid restoration of hemostasis should be regarded as a primary goal for
management of critical bleeding, which often represents a life-threatening
condition. Among the various therapeutic strategies available in this clinical
setting, we aim to summarize in this narrative review the current status on the
use of recombinant-activated factor VII and prothrombin complex concentrates. The
safety and effectiveness of these hemostatic agents in reversal of the
anticoagulant effects of vitamin K antagonists will be also explored. In
addition, their role in the management of acute bleeding associated with the
newer direct oral anticoagulants dabigatran, rivaroxaban, and apixaban will be
analyzed in a dedicated section.
PMID- 24937096
TI - Effects of genetic and early environmental risk factors for depression on
serotonin transporter expression and methylation profiles.
AB - The serotonin transporter (SERT) gene-linked polymorphic region (5-HTTLPR) has
been implicated in moderating the link between life stress and depression.
However, respective molecular pathways of gene-environment (GxE) interaction are
largely unknown. Sustained alterations in SERT gene expression profiles, possibly
mediated by epigenetic modifications, are a frequent correlate of depression and
may thus constitute a putative mediator of GxE interaction. Here, we aimed to
investigate joint effects of 5-HTTLPR and self-reported environmental adversity
throughout the lifespan (prenatal, early and recent stress/trauma) on in vivo
SERT mRNA expression in peripheral blood cells. To further evaluate whether
environmentally induced changes in SERT expression are mediated by epigenetic
modifications, we analyzed 83 CpG sites within a 799-bp promoter-associated CpG
island of the SERT gene using the highly sensitive method of bisulfite
pyrosequencing. Participants were 133 healthy young adults. Our findings show
that both the 5-HTTLPR S allele and maternal prenatal stress/child maltreatment
are associated with reduced in vivo SERT mRNA expression in an additive manner.
Remarkably, individuals carrying both the genetic and the environmental risk
factors exhibited 32.8% (prenatal stress) and 56.3% (child maltreatment) lower
SERT mRNA levels compared with those without any risk factor. Our data further
indicated that changes in SERT mRNA levels were unlikely to be mediated by DNA
methylation profiles within the SERT CpG island. It is thus conceivable that the
persistent changes in SERT expression may in turn relate to altered serotonergic
functioning and possibly convey differential disease vulnerability associated
with 5-HTTLPR and early adversity.
PMID- 24937098
TI - Neonatal sepsis is mediated by maternal fever in labour epidural analgesia.
AB - Women delivering with EA (EA group) were matched on parity with 453 women with
deliveries without EA (non-EA group). Significantly more neonates born in the EA
group had fever >= 38.0 degrees C (11.6% vs 1.8%, p < 0.001) at birth. The
overall incidence of neonatal sepsis, based on clinical symptoms and defined as
proven (by a positive blood culture) or suspected (no positive blood culture),
was significantly higher in the EA group (6.0% vs 2.2%; p = 0.002), but the
incidence of proven neonatal sepsis alone was not (0.4% vs 0%; p = 0.250). EA
turned out to be an independent risk factor for neonatal sepsis (adjusted OR
2.43, 95% CI 1.15-5.13; p = 0.020). However, in the EA group as well as the non
EA group, the incidence of neonatal sepsis was significantly higher in mothers
with intrapartum fever compared with afebrile mothers (11.0% vs 2.9% in the EA
group; p = 0.004; 8.2% vs 1.3% in the non-EA group; p = 0.006). Therefore we
conclude, that the positive association between neonatal sepsis and labour EA is
possibly mediated by maternal intrapartum fever.
PMID- 24937099
TI - Bronchodilators for bronchiolitis.
AB - BACKGROUND: Bronchiolitis is an acute, viral lower respiratory tract infection
affecting infants and is sometimes treated with bronchodilators. OBJECTIVES: To
assess the effects of bronchodilators on clinical outcomes in infants (0 to 12
months) with acute bronchiolitis. SEARCH METHODS: We searched CENTRAL 2013, Issue
12, MEDLINE (1966 to January Week 2, 2014) and EMBASE (1998 to January 2014).
SELECTION CRITERIA: Randomized controlled trials (RCTs) comparing bronchodilators
(other than epinephrine) with placebo for bronchiolitis. DATA COLLECTION AND
ANALYSIS: Two authors assessed trial quality and extracted data. We obtained
unpublished data from trial authors. MAIN RESULTS: We included 30 trials (35 data
sets) representing 1992 infants with bronchiolitis. In 11 inpatient and 10
outpatient studies, oxygen saturation did not improve with bronchodilators (mean
difference (MD) -0.43, 95% confidence interval (CI) -0.92 to 0.06, n = 1242).
Outpatient bronchodilator treatment did not reduce the rate of hospitalization
(11.9% in bronchodilator group versus 15.9% in placebo group, odds ratio (OR)
0.75, 95% CI 0.46 to 1.21, n = 710). Inpatient bronchodilator treatment did not
reduce the duration of hospitalization (MD 0.06, 95% CI -0.27 to 0.39, n =
349).Effect estimates for inpatients (MD -0.62, 95% CI -1.40 to 0.16) were
slightly larger than for outpatients (MD -0.25, 95% CI -0.61 to 0.11) for
oximetry. Oximetry outcomes showed significant heterogeneity (I(2) statistic =
81%). Including only studies with low risk of bias had little impact on the
overall effect size of oximetry (MD -0.38, 95% CI -0.75 to 0.00) but results were
close to statistical significance.In eight inpatient studies, there was no change
in average clinical score (standardized MD (SMD) -0.14, 95% CI -0.41 to 0.12)
with bronchodilators. In nine outpatient studies, the average clinical score
decreased slightly with bronchodilators (SMD -0.42, 95% CI -0.79 to -0.06), a
statistically significant finding of questionable clinical importance. The
clinical score outcome showed significant heterogeneity (I(2) statistic = 73%).
Including only studies with low risk of bias reduced the heterogeneity but had
little impact on the overall effect size of average clinical score (SMD -0.22,
95% CI -0.41 to -0.03).Sub-analyses limited to nebulized albuterol or salbutamol
among outpatients (nine studies) showed no effect on oxygen saturation (MD -0.19,
95% CI -0.59 to 0.21, n = 572), average clinical score (SMD -0.36, 95% CI -0.83
to 0.11, n = 532) or hospital admission after treatment (OR 0.77, 95% CI 0.44 to
1.33, n = 404).Adverse effects included tachycardia, oxygen desaturation and
tremors. AUTHORS' CONCLUSIONS: Bronchodilators such as albuterol or salbutamol do
not improve oxygen saturation, do not reduce hospital admission after outpatient
treatment, do not shorten the duration of hospitalization and do not reduce the
time to resolution of illness at home. Given the adverse side effects and the
expense associated with these treatments, bronchodilators are not effective in
the routine management of bronchiolitis. This meta-analysis continues to be
limited by the small sample sizes and the lack of standardized study design and
validated outcomes across the studies. Future trials with large sample sizes,
standardized methodology across clinical sites and consistent assessment methods
are needed to answer completely the question of efficacy.
PMID- 24937100
TI - Excimer laser refractive surgery versus phakic intraocular lenses for the
correction of moderate to high myopia.
AB - BACKGROUND: Myopia is a condition in which the focusing power (refraction) of the
eye is greater than that required for clear distance vision. There are two main
types of surgical correction for moderate to high myopia; excimer laser and
phakic intraocular lenses (IOLs). Excimer laser refractive surgery for myopia
works by removing corneal stroma to lessen the refractive power of the cornea and
to bring the image of a viewed object into focus onto the retina rather than in
front of it. Phakic IOLs for the treatment of myopia work by diverging light rays
so that the image of a viewed object is brought into focus onto the retina rather
than in front of the retina. They can be placed either in the anterior chamber of
the eye in front of the iris or in the posterior chamber of the eye between the
iris and the natural lens. OBJECTIVES: To compare excimer laser refractive
surgery and phakic IOLs for the correction of moderate to high myopia by
evaluating postoperative uncorrected visual acuity, refractive outcome, potential
loss of best spectacle corrected visual acuity (BSCVA) and the incidence of
adverse outcomes. SEARCH METHODS: We searched CENTRAL (which contains the
Cochrane Eyes and Vision Group Trials Register) (2014, Issue 1), Ovid MEDLINE,
Ovid MEDLINE In-Process and Other Non-Indexed Citations, Ovid MEDLINE Daily, Ovid
OLDMEDLINE (January 1946 to February 2014), EMBASE (January 1980 to February
2014), the metaRegister of Controlled Trials (mRCT) (www.controlled-trials.com),
ClinicalTrials.gov (www.clinicaltrials.gov) and the World Health Organization
(WHO) International Clinical Trials Registry Platform (ICTRP)
(www.who.int/ictrp/search/en). We did not use any date or language restrictions
in the electronic searches for trials. We last searched the electronic databases
on 11 February 2014. SELECTION CRITERIA: We included randomised controlled trials
(RCTs) comparing excimer laser refractive surgery and phakic IOLs for the
correction of myopia greater than 6.0 diopters (D) spherical equivalent. DATA
COLLECTION AND ANALYSIS: Two authors independently assessed trial quality and
extracted data. We performed data analysis. We summarised data for outcomes using
odds ratios. We used a fixed-effect model as only three trials were included in
the review. MAIN RESULTS: This review included three RCTs with a total of 228
eyes. The range of myopia of included patients was -6.0 D to -20.0 D of myopia
with up to 4.0 D of myopic astigmatism. The percentage of eyes with uncorrected
visual acuity (UCVA) of 20/20 or better at 12 months postoperative was not
significantly different between the two groups. Phakic IOL surgery was safer than
excimer laser surgical correction for moderate to high myopia as it results in
significantly less loss of best spectacle corrected visual acuity (BSCVA) at 12
months postoperatively. However there is a low risk of developing early cataract
with phakic IOLs. Phakic IOL surgery appears to result in better contrast
sensitivity than excimer laser correction for moderate to high myopia. Phakic IOL
surgery also scored more highly on patient satisfaction/preference
questionnaires. AUTHORS' CONCLUSIONS: The results of this review suggest that, at
one year post surgery, phakic IOLs are safer than excimer laser surgical
correction for moderate to high myopia in the range of -6.0 to -20.0 D and phakic
IOLs are preferred by patients. While phakic IOLs might be accepted clinical
practice for higher levels of myopia (greater than or equal to 7.0 D of myopic
spherical equivalent with or without astigmatism), it may be worth considering
phakic IOL treatment over excimer laser correction for more moderate levels of
myopia (less than or equal to 7.0 D of myopic spherical equivalent with or
without astigmatism). Further RCTs adequately powered for subgroup analysis are
necessary to further elucidate the ideal range of myopia for phakic IOLs. This
data should be considered alongside comparative data addressing long-term safety
as it emerges.
PMID- 24937101
TI - Nutrition, illness, and injury in aquatic sports.
AB - In this review, we outline key principles for prevention of injury and illness in
aquatic sports, detail the epidemiology of injury and illness in aquatic athletes
at major international competitions and in training, and examine the relevant
scientific evidence on nutrients for reducing the risk of illness and injury.
Aquatic athletes are encouraged to consume a well-planned diet with sufficient
calories, macronutrients (particularly carbohydrate and protein), and
micronutrients (particularly iron, zinc, and vitamins A, D, E, B6, and B12) to
maintain health and performance. Ingesting carbohydrate via sports drinks, gels,
or sports foods during prolonged training sessions is beneficial in maintaining
energy availability. Studies of foods or supplements containing plant polyphenols
and selected strains of probiotic species are promising, but further research is
required. In terms of injury, intake of vitamin D, protein, and total caloric
intake, in combination with treatment and resistance training, promotes recovery
back to full health and training.
PMID- 24937103
TI - Missing lead and high 3He/4He in ancient sulfides associated with continental
crust formation.
AB - Major terrestrial reservoirs have Pb isotopes more radiogenic than the bulk
silicate Earth. This requires a missing unradiogenic Pb reservoir, which has been
argued to reside in the lower continental crust or dissolved in the core.
Chalcophile element studies indicate that continent formation requires the
formation of sulfide-bearing mafic cumulates in arcs. Because Pb, but not U,
partitions into sulfides, we show that continent formation must have
simultaneously generated time-integrated unradiogenic Pb reservoirs composed of
sulfide-bearing cumulates, now recycled back into the mantle or stored deep in
the continental lithosphere. The generation of such cumulates could also lead to
coupled He-Pb isotopic systematics because (4)He is also produced during U-Th-Pb
decay. Here, we show that He may be soluble in sulfide melts, such that sulfide
bearing cumulates would be enriched in both Pb and He relative to U and Th,
"freezing" in He and Pb isotopes of the ambient mantle at the time of sulfide
formation. This implies that ancient sulfide-bearing cumulates would be
characterized by unradiogenic Pb and He isotopes (high-(3)He/(4)He). These
primitive signatures are usually attributed to primordial, undifferentiated
mantle, but in this case, they are the very imprint of mantle differentiation via
continent formation.
PMID- 24937104
TI - GPR103 antagonists demonstrating anorexigenic activity in vivo: design and
development of pyrrolo[2,3-c]pyridines that mimic the C-terminal Arg-Phe motif of
QRFP26.
AB - GPR103, a G-protein coupled receptor, has been reported to have orexigenic
properties through activation by the endogenous neuropeptide ligands QRFP26 and
QRFP43. Recognizing that central administration of QRFP26 and QRFP43 increases
high fat food intake in rats, we decided to investigate if antagonists of GPR103
could play a role in managing feeding behaviors. Here we present the development
of a new series of pyrrolo[2,3-c]pyridines as GPR103 small molecule antagonists
with GPR103 affinity, drug metabolism and pharmacokinetics and safety parameters
suitable for drug development. In a preclinical obesity model measuring food
intake, the anorexigenic effect of a pyrrolo[2,3-c]pyridine GPR103 antagonist was
demonstrated. In addition, the dynamic 3D solution structure of the C-terminal
heptapeptide of the endogenous agonist QRFP26(20-26) was determined using NMR.
The synthetic pyrrolo[2,3-c]pyridine antagonists were compared to this
experimental structure, which displayed a possible overlay of pharmacophore
features supportive for further design of GPR103 antagonists.
PMID- 24937102
TI - Alterations in energy/redox metabolism induced by mitochondrial and environmental
toxins: a specific role for glucose-6-phosphate-dehydrogenase and the pentose
phosphate pathway in paraquat toxicity.
AB - Parkinson's disease (PD) is a multifactorial disorder with a complex etiology
including genetic risk factors, environmental exposures, and aging. While energy
failure and oxidative stress have largely been associated with the loss of
dopaminergic cells in PD and the toxicity induced by mitochondrial/environmental
toxins, very little is known regarding the alterations in energy metabolism
associated with mitochondrial dysfunction and their causative role in cell death
progression. In this study, we investigated the alterations in the energy/redox
metabolome in dopaminergic cells exposed to environmental/mitochondrial toxins
(paraquat, rotenone, 1-methyl-4-phenylpyridinium [MPP+], and 6-hydroxydopamine [6
OHDA]) in order to identify common and/or different mechanisms of toxicity. A
combined metabolomics approach using nuclear magnetic resonance (NMR) and direct
infusion electrospray ionization mass spectrometry (DI-ESI-MS) was used to
identify unique metabolic profile changes in response to these neurotoxins.
Paraquat exposure induced the most profound alterations in the pentose phosphate
pathway (PPP) metabolome. 13C-glucose flux analysis corroborated that PPP
metabolites such as glucose-6-phosphate, fructose-6-phosphate, glucono-1,5
lactone, and erythrose-4-phosphate were increased by paraquat treatment, which
was paralleled by inhibition of glycolysis and the TCA cycle. Proteomic analysis
also found an increase in the expression of glucose-6-phosphate dehydrogenase
(G6PD), which supplies reducing equivalents by regenerating nicotinamide adenine
dinucleotide phosphate (NADPH) levels. Overexpression of G6PD selectively
increased paraquat toxicity, while its inhibition with 6-aminonicotinamide
inhibited paraquat-induced oxidative stress and cell death. These results suggest
that paraquat "hijacks" the PPP to increase NADPH reducing equivalents and
stimulate paraquat redox cycling, oxidative stress, and cell death. Our study
clearly demonstrates that alterations in energy metabolism, which are specific
for distinct mitochondiral/environmental toxins, are not bystanders to energy
failure but also contribute significant to cell death progression.
PMID- 24937106
TI - Molecular and electronic structure of dinuclear uranium bis-MU-oxo complexes with
diamond core structural motifs.
AB - In a multiple-bond metathesis reaction, the triazacyclononane (tacn)-anchored
methyl- and neopentyl (nP)-substituted tris(aryloxide) U(III) complex
[(((nP,Me)ArO)3tacn)U(III)] (1) reacts with mesityl azide and CO2 to form mesityl
isocyanate and the dinuclear bis(MU-oxo)-bridged U(V)/U(V) complex
[{(((nP,Me)ArO)3tacn)U(V)}2(MU-O)2] (3). This reaction proceeds via the
mononuclear U(V) imido intermediate [(((nP,Me)ArO)3tacn)U(V)(NMes)] (2), which
has been synthesized and fully characterized independently. The dimeric U(V) oxo
species shows rich redox behavior: complex 3 can be reduced by one and two
electrons, respectively, yielding the mixed-valent U(IV)/U(V) bis(MU-oxo) complex
[K(crypt)][{(((nP,Me)ArO)3tacn)U(IV/V)}2(MU-O)2] (7) and the U(IV)/U(IV) bis(MU
oxo) complex K2[{(((nP,Me)ArO)3tacn)U(IV)}2(MU-O)2] (6). In addition, complex 3
can be oxidized to provide the mononuclear uranium(VI) oxo complexes
[(((nP,Me)ArO)3tacn)U(VI)(O)eq(OTf)ax] (8) and
[(((nP,Me)ArO)3tacn)U(VI)(O)eq]SbF6 (9). The unique series of bis(MU-oxo)
complexes also shows notable magnetic behavior, which was investigated in detail
by UV/vis/NIR and EPR spectroscopy as well as SQUID magnetization studies. In
order to understand possible magnetic exchange phenomena, the mononuclear
terminal oxo complexes [(((nP,Me)ArO)3tacn)U(V)(O)(O-pyridine)] (4) and
[(((nP,Me)ArO)3tacn)U(V)(O)(O-NMe3)] (5) were synthesized and fully
characterized. The magnetic study revealed an unusually strong antiferromagnetic
exchange coupling between the two U(V) ions in 3. Examination of the (18)O
labeled bis(MU-oxo)-bridged dinuclear complexes 3, 6, and 7 allowed for the first
time the unambiguous assignment of the vibrational signature of the [U(MU-O)2U]
diamond core structural motif.
PMID- 24937110
TI - Editorial.
PMID- 24937108
TI - In vivo tumor vasculature targeted PET/NIRF imaging with TRC105(Fab)-conjugated,
dual-labeled mesoporous silica nanoparticles.
AB - Multifunctional mesoporous silica nanoparticles (MSN) with well-integrated
multimodality imaging properties have generated increasing research interest in
the past decade. However, limited progress has been made in developing MSN-based
multimodality imaging agents to image tumors. We describe the successful
conjugation of, copper-64 ((64)Cu, t1/2 = 12.7 h), 800CW (a near-infrared
fluorescence [NIRF] dye), and TRC105 (a human/murine chimeric IgG1 monoclonal
antibody) to the surface of MSN via well-developed surface engineering
procedures, resulting in a dual-labeled MSN for in vivo targeted positron
emission tomography (PET) imaging/NIRF imaging of the tumor vasculature.
Pharmacokinetics and tumor targeting efficacy/specificity in 4T1 murine breast
tumor-bearing mice were thoroughly investigated through various in vitro, in
vivo, and ex vivo experiments. Dual-labeled MSN is an attractive candidate for
future cancer theranostics.
PMID- 24937109
TI - Advances to improve the eating and cooking qualities of rice by marker-assisted
breeding.
AB - The eating and cooking qualities of rice are heavily emphasized in breeding
programs because they determine market values and they are the appealing
attributes sought by consumers. Conventional breeding has developed traditional
varieties with improved eating and cooking qualities. Recently, intensive genetic
studies have pinpointed the genes that control eating and cooking quality traits.
Advances in genetic studies have developed molecular techniques, thereby allowing
marker-assisted breeding (MAB) for improved eating and cooking qualities in rice.
MAB has gained the attention of rice breeders for the advantages it can offer
that conventional breeding cannot. There have been successful cases of using MAB
to improve the eating and cooking qualities in rice over the years. Nevertheless,
MAB should be applied cautiously given the intensive effort needed for
genotyping. Perspectives from conventional breeding to marker-assisted breeding
will be discussed in this review for the advancement of the eating and cooking
qualities of fragrance, amylose content (AC), gel consistency (GC) and
gelatinization temperature (GT) in rice. These four parameters are associated
with eating and cooking qualities in rice. The genetic basis of these four
parameters is also included in this review. MAB is another approach to rice
variety improvement and development in addition to being an alternative to
genetic engineering. The MAB approach shortens the varietal development time, and
is therefore able to deliver improved rice varieties to farmers within a shorter
period of time.
PMID- 24937111
TI - Efficacy and safety of long-term risperidone treatment.
AB - INTRODUCTION: While efficacy in treatment of the acute phase of schizophrenia is
well established for so-called atypical antipsychotics, more data regarding long
term treatment are needed. METHOD: In a naturalistic, open-label study, 32
patients were included in order to investigate the efficacy and safety of
risperidone over 3 years of treatment; 26 of them were treatment-resistant to the
previously used antipsychotic drugs (except clozapine). RESULTS: At the end of
the first year, 20 of these 26 patients showed at least partial improvement. At
the end of the third year, 17 patients were still being treated with risperidone.
While eight patients were lost to follow-up, six relapsed in spite of good
compliance. All the adverse events which occurred during treatment were strictly
dose-dependent and disappeared when the dose was lowered to 4 mg daily.
CONCLUSIONS: Within the limits of naturalistic studies, it can be concluded that
risperidone seemed to be an effective and safe drug for long-term treatment in
the majority of our patients. (Int J Psych Clin Pract 2002; 6: 193-197 ).
PMID- 24937107
TI - Brain proteomics of Anopheles gambiae.
AB - Anopheles gambiae has a well-adapted system for host localization, feeding, and
mating behavior, which are all governed by neuronal processes in the brain.
However, there are no published reports characterizing the brain proteome to
elucidate neuronal signaling mechanisms in the vector. To this end, a large-scale
mapping of the brain proteome of An. gambiae was carried out using high
resolution tandem mass spectrometry, revealing a repertoire of >1800 proteins, of
which 15% could not be assigned any function. A large proportion of the
identified proteins were predicted to be involved in diverse biological processes
including metabolism, transport, protein synthesis, and olfaction. This study
also led to the identification of 10 GPCR classes of proteins, which could govern
sensory pathways in mosquitoes. Proteins involved in metabolic and neural
processes, chromatin modeling, and synaptic vesicle transport associated with
neuronal transmission were predominantly expressed in the brain. Proteogenomic
analysis expanded our findings with the identification of 15 novel genes and 71
cases of gene refinements, a subset of which were validated by RT-PCR and
sequencing. Overall, our study offers valuable insights into the brain physiology
of the vector that could possibly open avenues for intervention strategies for
malaria in the future.
PMID- 24937112
TI - The long-term follow-up of women treated for postnatal depression at a specialist
day hospital compared to routine primary care.
AB - BACKGROUND: Postnatal depression affects approximately 13% of childbearing women.
There are very few specialist treatment centres, despite emerging evidence that
these units are superior to routine primary care in the short term. We
investigated the long-term benefits of treatment for postnatal depression at a
specialist day unit, compared to routine primary care. METHODS: Women who took
part in an earlier study of postnatal depression were invited to participate in
this follow-up. Self-report questionnaires (the Work, Leisure and Family Life
Questionnaire - Modified (WLFQ-M) and the Dyadic Adjustment Scale (DAS)) were
administered, together with the revised Clinical Interview Schedule (CIS-R).
Information was also obtained regarding subsequent children and depressive
episodes since the initial study. RESULTS: Of the original cohort of 60 women, 23
agreed to participate in the follow-up. There were no significant differences
between DAS and WLFLQ-M scores or ICD-10 diagnoses of depressive episode between
the women who had previously received specialist care. However, the numbers were
small and make conclusions difficult. Qualitative analysis suggests that
treatment at a specialist unit is beneficial in the long term. CONCLUSION:
Further, larger studies of the long-term benefits of specialist treatment need to
be carried out. (Int J Psych Clin Pract 2002; 6: 199-203 ).
PMID- 24937113
TI - Psychological distress of family members with cancer patients in Japan.
AB - BACKGROUND: There were no previous studies in Japan on the psychological distress
of members of families with cancer patients which focussed on the disclosure of
the diagnosis of cancer. This study was designed to investigate factors that may
have an effect on the psychological distress of family members. METHODS: The
subjects were 95 members of families of cancer patients in the surgical ward; one
member was recruited from each patient's family. The psychiatrist investigated
the demographic factors of both the patient and the family member: for the
patient - gender, age, occupation, cancer site, disclosure (or not) of cancer
diagnosis, cancer stage and performance status (PS); for the family member -
gender, age, occupation, relationship to the patient, physical illness, frequency
of visiting the ward, the period from when the family member was informed of the
diagnosis, and any past experience of the loss of close relatives due to cancer.
Furthermore, we conducted a survey on the family member's anxiety and depression
by using the Spielberger State - Trait Anxiety Inventory (STAI) and the Center
for Epidemiological Studies Depression Scale (CES-D). RESULTS: A multiple
regression analysis indicated that the factors which were associated with the
STAI scores independently were the lack of disclosure of the diagnosis to the
patient (P=0.01), and advanced or recurrent cancer (P=0.01). The factors which
were associated with the CES-D scores independently were the lack of disclosure
of the diagnosis to the patient (P=0.03), advanced or recurrent cancer (P=0.01),
and the family member's past history of psychiatric disorders (P=0.01).
CONCLUSIONS: The results suggested that the psychological distress of a family
member increases when the patient is not informed of the cancer diagnosis, when
the cancer is advanced or recurrent, and when the family member has a past
history of psychiatric disorders. (Int J Psych Clin Pract 2002; 6: 205-210 ).
PMID- 24937114
TI - Eight-year follow-up of olanzapine therapy in a previous treatment-refractory
schizophrenic patient: a case report.
AB - The introduction of atypical antipsychotic drugs during the 1990s represented a
great step forward in the treatment of schizophrenia and other psychoses. These
drugs might more effectively prevent relapse because of their effectiveness
against a wider range of schizophrenic symptoms, as well as their improved
tolerability, which leads to improved medication compliance. Olanzapine, a
thienobenzodiazapine, is an antipsychotic drug with high affinity for the
serotonergic receptors 5-HT 2 and 5-HT 6 and high affinity for dopaminergic
receptors, mainly D2, D3 and D4, and with a lower propensity to cause
extrapyramidal symptoms or increasing prolactin levels. The long-term efficacy
and safety of olanzapine for treating treatment-refractory schizophrenia is still
being investigated. The authors present a case of a 43-year-old man suffering
from chronic treatment-resistant schizophrenia with both positive and negative
symptoms, who was successfully treated with olanzapine for 8 years. (Int J Psych
Clin Pract 2002; 6: 211-214 ).
PMID- 24937115
TI - A case of myclonus, resembling epileptic seizure, induced by short-term sulpiride
treatment.
AB - An 86-year-old woman with delusional disorder was treated with oral sulpiride at
a dose of 200 mg/day. On the seventh day of treatment, she suddenly developed
abrupt brief rhythmical contractions every 5 s in her neck muscles, orofacial
muscles, muscles of upper limbs and lower legs bilaterally. Although there was no
impairment of consciousness, her speech was interrupted by the myoclonic
episodes. We suspected that the epileptic seizure-like myoclonus might be a drug
induced acute extrapyramidal symptom. We therefore injected 5 mg of biperiden
(i.m.), and the myoclonus ceased shortly thereafter. This myoclonus, resembling
an epileptic seizure, did not recur subsequently after sulpiride treatment was
discontinued. The present case shows that myoclonus can occur after even brief
sulpiride treatment in certain elderly patients. (Int J Psych Clin Pract 2002; 6:
215-216 ).
PMID- 24937116
TI - A zolpidem and cocaine abuse case report.
AB - A case is presented of a 30-year-old man, prescribed zolpidem for insomnia
arising from cocaine abuse, who sought to use this hypnotic to reduce his craving
for cocaine. However, after taking cocaine and up to 300 mg/day zolpidem, he
became euphoric and hyperactive. It is suggested that at high doses, zolpidem,
like cocaine, has a stimulatory effect on the brain dopaminergic reward pathway.
(Int J Psych Clin Pract 2002; 6: 217-219 ).
PMID- 24937118
TI - Abstracts from the 3rd international forum on mood and anxiety disorders,
november 27-30, 2002, monte-carlo.
PMID- 24937120
TI - Palladium-catalyzed alpha-arylation of sultams with aryl and heteroaryl iodides.
AB - Palladium(0)-catalyzed conditions for the alpha-arylation of sultams with aryl
and heteroaryl iodides have been developed. Arylation of 3-substituted 1,3
propanesultams gave rise to high yields and high diastereomeric ratios, leading
to the thermodynamically favored cis product. The arylation was broadly
applicable to various electron-rich and electron-poor (hetero)aromatic iodides.
PMID- 24937121
TI - Association of low muscle mass and combined low muscle mass and visceral obesity
with low cardiorespiratory fitness.
AB - OBJECTIVE: Previous studies have shown that low cardiorespiratory fitness (CRF),
visceral obesity and low muscle mass may share pathophysiological mechanisms,
such as insulin resistance and chronic inflammation. In this study, we
investigated whether low CRF is associated with low muscle mass, visceral
obesity, and visceral obesity combined with low muscle mass. RESEARCH DESIGN AND
METHODS: The associations between CRF and low muscle mass and combined low muscle
mass and visceral obesity were examined in 298 apparently healthy adults aged 20
70 years. Low muscle mass was defined using a skeletal muscle mass index (SMI)
that was calculated using dual energy X-ray absorptiometry. Visceral obesity was
defined as a visceral fat area (VFA) exceeding 100 cm2 in women and 130 cm2 in
men. We classified the participants into 4 low muscle mass/visceral obesity
groups according to SMI and VFA. CRF was measured using a cycle ergometer test.
RESULTS: CRF level correlated positively with SMI and negatively with VFA.
Individuals with low muscle mass had lower CRF values than those without low
muscle mass. After adjustment for age, sex, lifestyle factors, and markers for
insulin resistance and inflammation, participants in the lowest quartile of CRF
had an odds ratio (OR) for low muscle mass of 4.98 compared with those in the
highest quartile (95% confidence interval (CI) = 1.19-12.99; P for trend = 0.001)
and an OR for combined low muscle mass and visceral obesity of 31.46 (95% CI =
4.31-229.68; P for trend = 0.001). CONCLUSIONS: Individuals with lower CRF
exhibited increased risk of low muscle mass and combined low muscle mass and
visceral obesity. These results suggest that low CRF may be a potential indicator
for low muscle mass and combined low muscle mass and visceral obesity in Korean
adults.
PMID- 24937122
TI - Changes in the diagnosis and treatment of patients with low grade lymphoma in
Germany: years 2006-2009.
AB - Today's treatment options for indolent lymphoma and chronic lymphocytic leukemia
(CLL) range from watch & wait, immunochemotherapy up to allogeneic
transplantation. We describe changes in the diagnosis and treatment of indolent
lymphoma and CLL in Germany between 2006 and 2009. Two nation-wide surveys in the
fourth quarter of 2006 and 2009 included patients with indolent lymphoma and CLL.
Data from 576 patients from 46 centers in Q4/2006 were compared with data from
521 patients from 57 centers in Q4/2009. The subpopulation of patients >= 70
years of age and the number of patients with comorbidities increased from 39% to
55% and 47% to 55%, respectively. Both in indolent lymphoma and CLL, Rituximab
and R-based immunochemotherapy (50.6% vs. 64.4%) as well as bendamustine (4.8 %
vs. 24%) were much more frequently applied. In contrast, high dose chemotherapy
consolidation was almost abandoned in first line treatment. Supportive care is
given more frequently, with exception of erythropoietin and immunoglobulins. Our
national survey confirmed that scientific results were rapidly transferred into
clinical care of indolent lymphoma.
PMID- 24937124
TI - Effect of prey limitation on competitive interactions between a native lady
beetle, Coccinella novemnotata, and an invasive lady beetle, Coccinella
septempunctata (Coleoptera: Coccinellidae).
AB - The size and geographic distribution of Coccinella novemnotata Herbst populations
have been decreasing rapidly across North America closely following the
establishment, spread, and population growth of the invasive seven-spotted lady
beetle, Coccinella septempunctata L. To determine whether intraguild predation
and competition for prey may be partially responsible for the decline, we paired
first-instar larvae of two populations of C. novemnotata (eastern and western)
with first-instar C. septempunctata at low or high aphid densities. Survival of
both C. novemnotata populations was significantly lower when larvae were paired
with C. septempunctata, and western C. novemnotata exhibited significantly lower
survival compared with the eastern population. This relationship depended on
aphid density with the greatest survival of both C. novemnotata populations
occurring at the high aphid density. Both male and female C. novemnotata weighed
less on the day of eclosion when paired with C. septempunctata as compared with
pairings with conspecifics. In a second test, C. septempunctata and C.
novemnotata instars were varied at the start of the trial and C. novemnotata
survival to adulthood in the presence of C. septempunctata was dependent of the
instar of C. novemnotata used to initiate the experiment. C. novemnotata
exhibited higher rates of survival and weighed significantly more on the day of
eclosion when C. novemnotata was older than its C. septempunctata partner. These
results suggest that interspecific competition including intraguild predation by
C. septempunctata may contribute to C. novemnotata population declines, but that
the intensity of this impact may vary across C. novemnotata populations.
PMID- 24937123
TI - Screening for streptomycin resistance-conferring mutations in Mycobacterium
tuberculosis clinical isolates from Poland.
AB - Currently, mutations in three genes, namely rrs, rpsL, and gidB, encoding 16S
rRNA, ribosomal protein S12, and 16S rRNA-specific methyltransferase,
respectively, are considered to be involved in conferring resistance to
streptomycin (STR) in Mycobacterium tuberculosis. The aim of this study was to
investigate the spectrum and frequency of these mutations in M. tuberculosis
clinical isolates, both resistant and susceptible to STR. Sixty-four M.
tuberculosis isolates recovered from as many TB patients from Poland in 2004 were
included in the study. Within the sample were 50 multidrug-resistant (32 STR
resistant and 18 STR-susceptible) and 14 pan-susceptible isolates. Preliminary
testing for STR resistance was performed with the 1% proportion method. The MICs
of STR were determined by the Etest method. Mutation profiling was carried out by
amplifying and sequencing the entire rrs, rpsL, and gidB genes. Non-synonymous
mutations in either rrs or rpsL gene were detected in 23 (71.9%) of the STR
resistant and none of the STR-susceptible isolates. Mutations in the gidB gene
were distributed among 12 (37.5%) STR-resistant and 13 (40.6%) STR-susceptible
isolates. Four (12.5%) STR-resistant isolates were wild-type at all three loci
examined. None of the rrs, rpsL or gidB mutations could be linked to low,
intermediate or high level of STR resistance. In accordance with previous
findings, the gidB 47T->G (L16R) mutation was associated with the Latin American
Mediterranean genotype family, whereas 276A->C (E92D) and 615A->G (A205A)
mutations of the gidB gene were associated with the Beijing lineage. The study
underlines the usefulness of rrs and rpsL mutations as molecular markers for STR
resistance yet not indicative of its level. The gidB polymorphisms can serve as
phylogenetic markers.
PMID- 24937125
TI - Point-of-care multiplexed assays of nucleic acids using microcapillary-based loop
mediated isothermal amplification.
AB - This report demonstrates a straightforward, robust, multiplexed and point-of-care
microcapillary-based loop-mediated isothermal amplification (cLAMP) for assaying
nucleic acids. This assay integrates capillaries (glass or plastic) to introduce
and house sample/reagents, segments of water droplets to prevent contamination,
pocket warmers to provide heat, and a hand-held flashlight for a visual readout
of the fluorescent signal. The cLAMP system allows the simultaneous detection of
two RNA targets of human immunodeficiency virus (HIV) from multiple plasma
samples, and achieves a high sensitivity of two copies of standard plasmid. As
few nucleic acid detection methods can be wholly independent of external power
supply and equipment, our cLAMP holds great promise for point-of-care
applications in resource-poor settings.
PMID- 24937126
TI - Availability and temporal heterogeneity of water supply affect the vertical
distribution and mortality of a belowground herbivore and consequently plant
growth.
AB - We examined how the volume and temporal heterogeneity of water supply changed the
vertical distribution and mortality of a belowground herbivore, and consequently
affected plant biomass. Plantago lanceolata (Plantaginaceae) seedlings were grown
at one per pot under different combinations of water volume (large or small
volume) and heterogeneity (homogeneous water conditions, watered every day;
heterogeneous conditions, watered every 4 days) in the presence or absence of a
larva of the belowground herbivorous insect, Anomala cuprea (Coleoptera:
Scarabaeidae). The larva was confined in different vertical distributions to top
feeding zone (top treatment), middle feeding zone (middle treatment), or bottom
feeding zone (bottom treatment); alternatively no larva was introduced (control
treatment) or larval movement was not confined (free treatment). Three-way
interaction between water volume, heterogeneity, and the herbivore significantly
affected plant biomass. With a large water volume, plant biomass was lower in
free treatment than in control treatment regardless of heterogeneity. Plant
biomass in free treatment was as low as in top treatment. With a small water
volume and in free treatment, plant biomass was low (similar to that under top
treatment) under homogeneous water conditions but high under heterogeneous ones
(similar to that under middle or bottom treatment). Therefore, there was little
effect of belowground herbivory on plant growth under heterogeneous water
conditions. In other watering regimes, herbivores would be distributed in the
shallow soil and reduced root biomass. Herbivore mortality was high with
homogeneous application of a large volume or heterogeneous application of a small
water volume. Under the large water volume, plant biomass was high in pots in
which the herbivore had died. Thus, the combinations of water volume and
heterogeneity affected plant growth via the change of a belowground herbivore.
PMID- 24937128
TI - Available for a limited time only: Regulating gene expression through mRNA
turnover.
PMID- 24937127
TI - Expression of orphan receptors GPR22 and GPR162 in streptozotocin-induced
diabetic rats.
AB - AIMS/INTRODUCTION: Diabetes mellitus is a chronic degenerative disease
characterized by high blood glucose levels as a result of problems in the action
or insulin secretion. Although there are many treatments for this pathology, it
has been associated with a high mortality rate. For this reason, it is important
to try to identify new pathways that could be involved in diabetic complications.
Recently, a new class of receptors has been reported, called orphan receptors
because the associated ligand and signaling pathways are unknown, these receptors
have been associated with certain pathologies. Therefore, the aim of this work
was to study the expression of the orphan receptors GPR22 and GPR162 in heart,
aorta, brain and kidney of diabetic rats. MATERIALS AND METHODS: We used Wistar
male rats with 10-12 weeks of age. Diabetes was induced by a single dose of
streptozotocin (60 mg/kg i.p.). After four weeks, the tissue was obtained and the
expression of the mRNA was measured by RT-PCR. RESULTS: Our results showed that
the orphan receptors are expressed in a different way in heart, kidney, brain and
aorta of diabetic and non-diabetic rats. CONCLUSIONS: We conclude that orphan
receptors could be involved in the development of diabetes complications.
PMID- 24937129
TI - Sexual modulation of neural circuits and behavior in Caenorhabditis elegans.
AB - Sex differences in behavior-both sex-specific and shared behaviors-are
fundamental to nearly all animal species. One often overlooked mechanism by which
these behavioral differences can be generated is through sex-specific modulation
of shared circuitry (i.e., circuits present in both sexes). In vertebrates this
modulation is likely regulated by hormone-dependent mechanisms as well as by
somatic sex itself; invertebrate models have particular promise for understanding
the latter of these. Here we review molecular and behavioral evidence of sexual
modulation of shared circuitry in the nematode Caenorhabditis elegans. Multiple
behaviors in this species, both copulatory and not, are modulated by the genetic
sex of shared neurons and circuit. These studies are close to uncovering the
molecular mechanisms by which somatic sex modulates neural function in the worm,
mechanisms which may be well conserved in more complex organisms. Improving our
understanding of the modulation of neural circuit development and function by
somatic sex may lend important insight into sex differences in the mammalian
nervous system which, in turn, may have important implications for sex biases in
disease.
PMID- 24937130
TI - ATM regulates insulin-like growth factor 1-secretory clusterin (IGF-1-sCLU)
expression that protects cells against senescence.
AB - Downstream factors that regulate the decision between senescence and cell death
have not been elucidated. Cells undergo senescence through three pathways,
replicative senescence (RS), stress-induced premature senescence (SIPS) and
oncogene-induced senescence. Recent studies suggest that the ataxia
telangiectasia mutant (ATM) kinase is not only a key protein mediating cellular
responses to DNA damage, but also regulates cellular senescence induced by
telomere end exposure (in RS) or persistent DNA damage (in SIPS). Here, we show
that expression of secretory clusterin (sCLU), a known pro-survival extracellular
chaperone, is transcriptionally up-regulated during both RS and SIPS, but not in
oncogene-induced senescence, consistent with a DNA damage-inducible mechanism. We
demonstrate that ATM plays an important role in insulin-like growth factor 1 (IGF
1) expression, that in turn, regulates downstream sCLU induction during
senescence. Loss of ATM activity, either by genomic mutation (ATM-deficient
fibroblasts from an ataxia telangiectasia patient) or by administration of a
chemical inhibitor (AAI, an inhibitor of ATM and ATR), blocks IGF-1-sCLU
expression in senescent cells. Downstream, sCLU induction during senescence is
mediated by IGF-1R/MAPK/Egr-1 signaling, identical to its induction after DNA
damage. In contrast, administration of an IGF-1 inhibitor caused apoptosis of
senescent cells. Thus, IGF-1 signaling is required for survival, whereas sCLU
appears to protect cells from premature senescence, as IMR-90 cells with sCLU
knockdown undergo senescence faster than control cells. Thus, the ATM-IGF-1-sCLU
pathway protects cells from lethality and suspends senescence.
PMID- 24937131
TI - The VMAT-2 inhibitor tetrabenazine affects effort-related decision making in a
progressive ratio/chow feeding choice task: reversal with antidepressant drugs.
AB - Behavioral activation is a fundamental feature of motivation, and organisms
frequently make effort-related decisions based upon evaluations of reinforcement
value and response costs. Furthermore, people with major depression and other
disorders often show anergia, psychomotor retardation, fatigue, and alterations
in effort-related decision making. Tasks measuring effort-based decision making
can be used as animal models of the motivational symptoms of depression, and the
present studies characterized the effort-related effects of the vesicular
monoamine transport (VMAT-2) inhibitor tetrabenazine. Tetrabenazine induces
depressive symptoms in humans, and also preferentially depletes dopamine (DA).
Rats were assessed using a concurrent progressive ratio (PROG)/chow feeding task,
in which they can either lever press on a PROG schedule for preferred high
carbohydrate food, or approach and consume a less-preferred lab chow that is
freely available in the chamber. Previous work has shown that the DA antagonist
haloperidol reduced PROG work output on this task, but did not reduce chow
intake, effects that differed substantially from those of reinforcer devaluation
or appetite suppressant drugs. The present work demonstrated that tetrabenazine
produced an effort-related shift in responding on the PROG/chow procedure,
reducing lever presses, highest ratio achieved and time spent responding, but not
reducing chow intake. Similar effects were produced by administration of the
subtype selective DA antagonists ecopipam (D1) and eticlopride (D2), but not by
the cannabinoid CB1 receptor neutral antagonist and putative appetite suppressant
AM 4413, which suppressed both lever pressing and chow intake. The adenosine A2A
antagonist MSX-3, the antidepressant and catecholamine uptake inhibitor
bupropion, and the MAO-B inhibitor deprenyl, all reversed the impairments induced
by tetrabenazine. This work demonstrates the potential utility of the PROG/chow
procedure as a rodent model of the effort-related deficits observed in depressed
patients.
PMID- 24937133
TI - Bactericidal effect of polyethyleneimine capped ZnO nanoparticles on multiple
antibiotic resistant bacteria harboring genes of high-pathogenicity island.
AB - Zinc oxide nanoparticles (ZnO-NP) were synthesized by alcoholic route using zinc
acetate as the precursor material and lithium hydroxide as hydrolyzing agent.
Further ZnO-PEI NP (derivative of ZnO-NP) was made in aqueous medium using the
capping agent polyethyleneimine (PEI). The nanoparticles were characterized by
XRD measurements, TEM and other techniques; the weight % of coating shell in the
polymer-capped particles was determined by TGA. ZnO-PEI NP is more soluble in
water than the uncapped ZnO-NP, and forms a colloidal suspension in water. PEI
capped ZnO-NP exhibited better antibacterial activity when compared with that of
uncapped ZnO-NP against a range of multiple-antibiotic-resistant (MAR) Gram
negative bacterial strains harboring genes of high-pathogenicity island. ZnO-NP
effectively killed these microorganisms by generating reactive oxygen species
(ROS) and damaging bacterial membrane. ZnO-PEI NP at LD50 dose in combination
with tetracycline showed synergistic effect to inhibit tetracycline-resistant
Escherichia coli MREC33 growth by 80%. These results open up a new vista in
therapeutics to use antibiotics (which have otherwise been rendered useless
against MAR bacteria) in combination with minimized dosage of nanoparticles for
the more effective control of MAR pathogenic bacteria.
PMID- 24937132
TI - Structure analysis and conformational transitions of the cell penetrating peptide
transportan 10 in the membrane-bound state.
AB - Structure analysis of the cell-penetrating peptide transportan 10 (TP10) revealed
an exemplary range of different conformations in the membrane-bound state. The
bipartite peptide (derived N-terminally from galanin and C-terminally from
mastoparan) was found to exhibit prominent characteristics of (i) amphiphilic
alpha-helices, (ii) intrinsically disordered peptides, as well as (iii) beta
pleated amyloid fibrils, and these conformational states become interconverted as
a function of concentration. We used a complementary approach of solid-state
(19)F-NMR and circular dichroism in oriented membrane samples to characterize the
structural and dynamical behaviour of TP10 in its monomeric and aggregated forms.
Nine different positions in the peptide were selectively substituted with either
the L- or D-enantiomer of 3-(trifluoromethyl)-bicyclopent-[1.1.1]-1-ylglycine
(CF3-Bpg) as a reporter group for (19)F-NMR. Using the L-epimeric analogs, a
comprehensive three-dimensional structure analysis was carried out in lipid
bilayers at low peptide concentration, where TP10 is monomeric. While the N
terminal region is flexible and intrinsically unstructured within the plane of
the lipid bilayer, the C-terminal alpha-helix is embedded in the membrane with an
oblique tilt angle of ~ 55 degrees and in accordance with its amphiphilic
profile. Incorporation of the sterically obstructive D-CF3-Bpg reporter group
into the helical region leads to a local unfolding of the membrane-bound peptide.
At high concentration, these helix-destabilizing C-terminal substitutions promote
aggregation into immobile beta-sheets, which resemble amyloid fibrils. On the
other hand, the obstructive D-CF3-Bpg substitutions can be accommodated in the
flexible N-terminus of TP10 where they do not promote aggregation at high
concentration. The cross-talk between the two regions of TP10 thus exerts a
delicate balance on its conformational switch, as the presence of the alpha-helix
counteracts the tendency of the unfolded N-terminus to self-assemble into beta
pleated fibrils.
PMID- 24937134
TI - Facilitating neural stem/progenitor cell niche calibration for neural lineage
differentiation by polyelectrolyte multilayer films.
AB - Neural stem/progenitor cells (NSPCs) are a possible candidate for advancing
development and lineage control in neural engineering. Differentiated protocols
have been developed in this field to generate neural progeny and to establish
neural networks. However, continued refinement is required to enhance
differentiation specificity and prevent the generation of unwanted cell types. In
this study, we fabricated a niche-modulated system to investigate surface effects
on NSPC differentiation by the formation of polyelectrolyte multilayer (PEM)
films governed by electrostatic interactions of poly-l-glutamine acid as a
polyanion and poly-l-lysine as a polycation. The serum- and chemical agent-free
system provided a clean and clear platform to observe in isolation the
interaction between surface niche and stem cell differentiation. We found that
NSPCs were inducible on PEM films of up to eight alternating layers. In addition,
neurite outgrowth, neuron percentage, and synaptic function were regulated by
layer number and the surface charge of the terminal layer. The average process
outgrowth length was over 500MUm on PLL/PLGA(n=7.5) only after 3 days of culture.
Moreover, the quantity and quality of the differentiated neurons were enhanced as
the number of layers increased, especially when the terminal layer was poly-l
lysine. Our results achieve important targets of neural engineering, including
long processes, large neural network size, and large amounts of functional
neurons. Our methodology for nanoscale control of material deposition can be
successfully applied for surface modification, neural niche modulation, and
neural engineering applications.
PMID- 24937135
TI - Itraconazole-hydroxypropyl-beta-cyclodextrin loaded deformable liposomes: in
vitro skin penetration studies and antifungal efficacy using Candida albicans as
model.
AB - The study aimed to develop novel ITZ-loaded deformable liposomes (DL) in presence
of hydroxypropyl-beta-cyclodextrin (HPbetaCD) (DL-CD) to enhance antifungal
activity. These formulations have been reported as conceivable vesicles to
deliver drug molecules to the skin layers. The efficiency of the prepared systems
was compared with conventional liposomes (CL) and ITZ solution. The developed
liposomes were characterized for particle size, entrapment efficiency (EE %),
deformability, stability, and morphology of the vesicles. In addition, ex vivo
penetration and antifungal activity were evaluated. It was found that the
presence of HPbetaCD played a significant role in reducing the vesicle size to
nano range. The deformability study and TEM images revealed that membrane
deformability of DL and DL-CD was much higher than that of CL. Moreover, DL-CD
enhanced the amount of ITZ in SC and deeper skin layers compared to DL and CL.
The antifungal activity of ITZ-loaded deformable liposomes remained intact
compared to ITZ solution. It can be concluded that deformable liposomes in the
presence of HPbetaCD may be a promising carrier for effective cutaneous delivery
of ITZ.
PMID- 24937137
TI - Evaluation of skeletal tissue repair, part 1: assessment of novel growth-factor
releasing hydrogels in an ex vivo chick femur defect model.
AB - Current clinical treatments for skeletal conditions resulting in large-scale bone
loss include autograft or allograft, both of which have limited effectiveness. In
seeking to address bone regeneration, several tissue engineering strategies have
come to the fore, including the development of growth factor releasing
technologies and appropriate animal models to evaluate repair. Ex vivo models
represent a promising alternative to simple in vitro systems or complex,
ethically challenging in vivo models. We have developed an ex vivo culture system
of whole embryonic chick femora, adapted in this study as a critical size defect
model to investigate the effects of novel bone extracellular matrix (bECM)
hydrogel scaffolds containing spatio-temporal growth factor-releasing
microparticles and skeletal stem cells on bone regeneration, to develop a viable
alternative treatment for skeletal degeneration. Alginate/bECM hydrogels combined
with poly (d,l-lactic-co-glycolic acid) (PDLLGA)/triblock copolymer (10-30%
PDLLGA-PEG-PDLLGA) microparticles releasing VEGF, TGF-beta3 or BMP-2 were placed,
with human adult Stro-1+ bone marrow stromal cells, into 2mm central segmental
defects in embryonic chick femurs. Alginate/bECM hydrogels loaded with HSA/VEGF
or HSA/TGF-beta3 demonstrated a cartilage-like phenotype, with minimal collagen I
deposition, comparable to HSA-only control hydrogels. The addition of BMP-2
releasing microparticles resulted in enhanced structured bone matrix formation,
evidenced by increased Sirius red-stained matrix and collagen expression within
hydrogels. This study demonstrates delivery of bioactive growth factors from a
novel alginate/bECM hydrogel to augment skeletal tissue formation and the use of
an organotypic chick femur defect culture system as a high-throughput test model
for scaffold/cell/growth factor therapies for regenerative medicine.
PMID- 24937138
TI - Tailored order: the mesocrystalline nature of sea urchin teeth.
AB - We investigated the pattern of crystal co-orientation at different length scales,
together with variations in chemical composition and nanomechanical properties in
the teeth of the modern sea urchin Paracentrotus lividus with electron
backscatter diffraction (EBSD), electron probe microanalysis, energy-dispersive X
ray spectroscopy and nanoindentation testing. Modern sea urchin teeth are Mg
dominated calcite composite materials. They are distinctly harder than
inorganically precipitated calcite. Some parts exceed even the hardness of
dolomite. The teeth show a structuring of their mechanical properties that can be
correlated to variations in major element chemical composition, such that their
hardness is positively correlated to their magnesium contents. Mg/Ca ratio in
Paracentrotus lividus varies between 10 and 26mol.%. Nanohardness of the tooth
scatters between 3.5 and >8GPa compared to values of 3.0+/-0.2, 7.3+/-0.1 and
9.2+/-0.9GPa measured on the (104) planes of inorganic calcite, dolomite and
magnesite, respectively. High-resolution EBSD shows that major structural units
and subunits of the tooth of Paracentrotus lividus are tilted to each other by ~3
5 degrees and 1-2 degrees , respectively. This indicates that the tooth is not a
single crystal. With EBSD we can show that the tooth of the sea urchin
Paracentrotus lividus is a hierarchically assembled biological mesocrystal with a
mosaic texture. In comparison to the misorientation spread of 0.5 degrees of
calcite grown from solution, misorientation in the tooth varies between 2 degrees
and 4 degrees . Thus, the self-sharpening feature of the tooth is enabled by a
close interplay of its highly evolved micro- to nanostructure, structural unit
size variations with a varying degree of crystal orientation, chemical
structuring of the mineral component and a gradation of incorporated organic
polymers.
PMID- 24937136
TI - HIV among people who inject drugs in the Middle East and North Africa: systematic
review and data synthesis.
AB - BACKGROUND: It is perceived that little is known about the epidemiology of HIV
infection among people who inject drugs (PWID) in the Middle East and North
Africa (MENA). The primary objective of this study was to assess the status of
the HIV epidemic among PWID in MENA by describing HIV prevalence and incidence.
Secondary objectives were to describe the risk behavior environment and the HIV
epidemic potential among PWID, and to estimate the prevalence of injecting drug
use in MENA. METHODS AND FINDINGS: This was a systematic review following the
PRISMA guidelines and covering 23 MENA countries. PubMed, Embase, regional and
international databases, as well as country-level reports were searched up to
December 16, 2013. Primary studies reporting (1) the prevalence/incidence of HIV,
other sexually transmitted infections, or hepatitis C virus (HCV) among PWIDs; or
(2) the prevalence of injecting or sexual risk behaviors, or HIV knowledge among
PWID; or (3) the number/proportion of PWID in MENA countries, were eligible for
inclusion. The quality, quantity, and geographic coverage of the data were
assessed at country level. Risk of bias in predefined quality domains was
described to assess the quality of available HIV prevalence measures. After
multiple level screening, 192 eligible reports were included in the review. There
were 197 HIV prevalence measures on a total of 58,241 PWID extracted from
reports, and an additional 226 HIV prevalence measures extracted from the
databases. We estimated that there are 626,000 PWID in MENA (range: 335,000
1,635,000, prevalence of 0.24 per 100 adults). We found evidence of HIV epidemics
among PWID in at least one-third of MENA countries, most of which are emerging
concentrated epidemics and with HIV prevalence overall in the range of 10%-15%.
Some of the epidemics have however already reached considerable levels including
some of the highest HIV prevalence among PWID globally (87.1% in Tripoli, Libya).
The relatively high prevalence of sharing needles/syringes (18%-28% in the last
injection), the low levels of condom use (20%-54% ever condom use), the high
levels of having sex with sex workers and of men having sex with men (15%-30% and
2%-10% in the last year, respectively), and of selling sex (5%-29% in the last
year), indicate a high injecting and sexual risk environment. The prevalence of
HCV (31%-64%) and of sexually transmitted infections suggest high levels of risk
behavior indicative of the potential for more and larger HIV epidemics.
CONCLUSIONS: Our study identified a large volume of HIV-related biological and
behavioral data among PWID in the MENA region. The coverage and quality of the
data varied between countries. There is robust evidence for HIV epidemics among
PWID in multiple countries, most of which have emerged within the last decade and
continue to grow. The lack of sufficient evidence in some MENA countries does not
preclude the possibility of hidden epidemics among PWID in these settings. With
the HIV epidemic among PWID in overall a relatively early phase, there is a
window of opportunity for prevention that should not be missed through the
provision of comprehensive programs, including scale-up of harm reduction
services and expansion of surveillance systems.
PMID- 24937139
TI - Bioinspired superamphiphobic surfaces as a tool for polymer- and solvent
independent preparation of drug-loaded spherical particles.
AB - Superamphiphobic surfaces were evaluated as a tool to prepare spherical particles
from polymers and solvents of very diverse nature, under mild conditions and with
100% drug encapsulation yield. Different from bioinspired superhydrophobic
surfaces suitable only for aqueous dispersions, the superamphiphobic platforms
allowed the formation of spherical droplets when solvents of any polarity were
deposited onto them. Spherical poly(d,l-lactide-co-glycolide) (PLGA) particles
were synthesized by placing drops of PLGA/ciprofloxacin suspensions in dioxane on
a superamphiphobic surface followed by solvent evaporation. The particles
prepared covering a wide range of PLGA/ciprofloxacin weight ratios delivered a
20% dose in the first 24h and then sustained the release of the remaining drug
for more than 1month. The particles, both freshly prepared and after being 26days
in the release medium, showed efficiency against different types of
microorganisms. The developed polymer- and solvent-independent approach could be
useful for microencapsulation with very high efficiency of active substances of
varied nature into size-tunable particles for a wide range of applications in an
affordable and cost-effective manner.
PMID- 24937140
TI - Oldest medical description of a near death experience (NDE), France, 18th
century.
PMID- 24937141
TI - X-chromosome inactivation in development and cancer.
AB - X-chromosome inactivation represents an epigenetics paradigm and a powerful model
system of facultative heterochromatin formation triggered by a non-coding RNA,
Xist, during development. Once established, the inactive state of the Xi is
highly stable in somatic cells, thanks to a combination of chromatin associated
proteins, DNA methylation and nuclear organization. However, sporadic
reactivation of X-linked genes has been reported during ageing and in transformed
cells and disappearance of the Barr body is frequently observed in cancer cells.
In this review we summarise current knowledge on the epigenetic changes that
accompany X inactivation and discuss the extent to which the inactive X
chromosome may be epigenetically or genetically perturbed in breast cancer.
PMID- 24937143
TI - Surface topology assisted alignment of Min protein waves.
AB - Self-organization of proteins into large-scale structures is of pivotal
importance for the organization of cells. The Min protein system of the bacterium
Escherichia coli is a prime example of how pattern formation occurs via reaction
diffusion. We have previously demonstrated how Min protein patterns are
influenced by compartment geometry. Here we probe the influence of membrane
surface topology, as an additional regulatory element. Using microstructured
membrane-clad soft polymer substrates, Min protein patterns can be aligned. We
demonstrate that Min pattern alignment starts early during pattern formation and
show that macroscopic millimeter-sized areas of protein patterns of well-defined
orientation can be generated.
PMID- 24937144
TI - Arabidopsis thaliana plastoglobule-associated fibrillin 1a interacts with
fibrillin 1b in vivo.
AB - Plant fibrillins are a well-conserved protein family found in the plastids of all
photosynthetic organisms, where they perform a wide range of functions. A number
of these proteins have been suggested to be involved in the maintenance of
thylakoids and the formation of plastoglobules, preventing their coalescence and
favoring their clustering via an as-yet unidentified cross-linking mechanism. In
this work we show that two members of this group, namely fibrillin 1a and 1b,
interact with each other via a head-to-tail mechanism, thus raising the
possibility that they form homo- or hetero-oligomers and providing a mechanism to
understand the function of these proteins.
PMID- 24937142
TI - "RAF" neighborhood: protein-protein interaction in the Raf/Mek/Erk pathway.
AB - The Raf/Mek/Erk signaling pathway, activated downstream of Ras primarily to
promote proliferation, represents the best studied of the evolutionary conserved
MAPK cascades. The investigation of the pathway has continued unabated since its
discovery roughly 30 years ago. In the last decade, however, the identification
of unexpected in vivo functions of pathway components, as well as the discovery
of Raf mutations in human cancer, the ensuing quest for inhibitors, and the
efforts to understand their mechanism of action, have boosted interest
tremendously. From this large body of work, protein-protein interaction has
emerged as a recurrent, crucial theme. This review focuses on the role of protein
complexes in the regulation of the Raf/Mek/Erk pathway and in its cross-talk with
other signaling cascades. Mapping these interactions and finding a way of
exploiting them for therapeutic purposes is one of the challenges of future
molecule-targeted therapy.
PMID- 24937145
TI - High-speed atomic force microscopy: imaging and force spectroscopy.
AB - Atomic force microscopy (AFM) is the type of scanning probe microscopy that is
probably best adapted for imaging biological samples in physiological conditions
with submolecular lateral and vertical resolution. In addition, AFM is a method
of choice to study the mechanical unfolding of proteins or for cellular force
spectroscopy. In spite of 28 years of successful use in biological sciences, AFM
is far from enjoying the same popularity as electron and fluorescence microscopy.
The advent of high-speed atomic force microscopy (HS-AFM), about 10 years ago,
has provided unprecedented insights into the dynamics of membrane proteins and
molecular machines from the single-molecule to the cellular level. HS-AFM imaging
at nanometer-resolution and sub-second frame rate may open novel research fields
depicting dynamic events at the single bio-molecule level. As such, HS-AFM is
complementary to other structural and cellular biology techniques, and hopefully
will gain acceptance from researchers from various fields. In this review we
describe some of the most recent reports of dynamic bio-molecular imaging by HS
AFM, as well as the advent of high-speed force spectroscopy (HS-FS) for single
protein unfolding.
PMID- 24937147
TI - Treatment progress indicator: application of a new assessment tool to objectively
monitor the therapeutic progress of patients with depression, anxiety, or
behavioral health impairment.
AB - Depression, anxiety, and behavioral health impairment are common in the US.
Efforts to treat patients with depression, anxiety, and chemical dependency are
surpassed by the great demand for psychiatrist and therapist appointments. Unlike
other specialties, psychiatry lacks a vital sign or tests (eg, blood pressure for
hypertension and hemoglobin A1c for diabetes) to objectively measure a patient's
response to therapy. A new tool called the Treatment Progress Indicator (TPI)
appears to offer clinicians an objective approach to assess patients and to
monitor therapy over time. The TPI report shows an Expected Treatment Response
that allows clinicians to compare their patients' responses to therapy with those
of a cohort of patients with a similar diagnosis and severity. The TPI allows for
objective population-level management of disease. This should result in sharing
of best practices that will lead to more effective and efficient care plans.
Widespread implementation of the TPI has the potential to improve the time
required to diagnose conditions and triage patients. As a result, implementation
of the tool has the potential to improve behavioral health access and
affordability.
PMID- 24937146
TI - Targeting Alp7/TACC to the spindle pole body is essential for mitotic spindle
assembly in fission yeast.
AB - The conserved TACC protein family localises to the centrosome (the spindle pole
body, SPB in fungi) and mitotic spindles, thereby playing a crucial role in
bipolar spindle assembly. However, it remains elusive how TACC proteins are
recruited to the centrosome/SPB. Here, using fission yeast Alp7/TACC, we have
determined clustered five amino acid residues within the TACC domain required for
SPB localisation. Critically, these sequences are essential for the functions of
Alp7, including proper spindle formation and mitotic progression. Moreover, we
have identified pericentrin-like Pcp1 as a loading factor to the mitotic SPB,
although Pcp1 is not a sole platform.
PMID- 24937148
TI - An adaptation of family-based behavioral pediatric obesity treatment for a
primary care setting: group health family wellness program pilot.
AB - OBJECTIVE: To assess the feasibility and acceptability of family-based group
pediatric obesity treatment in a primary care setting, to obtain an estimate of
its effectiveness, and to describe participating parents' experiences of social
support for healthy lifestyle changes. METHODS: We adapted an evidence-based
intervention to a group format and completed six 12- to 16-week groups over 3
years. We assessed program attendance and completion, changes in child and parent
body mass index (BMI; calculated as weight in kilograms divided by height in
meters squared), and changes in child quality of life in a single-arm before-and
after trial. Qualitative interviews explored social support for implementing
healthy lifestyle changes. RESULTS: Thirty-eight parent-child pairs enrolled (28%
of the 134 pairs invited). Of those, 24 (63%) completed the program and another 6
(16%) attended at least 4 sessions but did not complete the program. Children who
completed the program achieved a mean change in BMI Z-scores (Z-BMI) of -0.1
(0.1) (p < 0.001) and significant improvement in parent-reported child quality of
life (mean change = 8.5; p = 0.002). Mean BMI of parents changed by -0.9 (p =
0.003). Parents reported receiving a wide range of social support for healthy
lifestyle changes and placed importance on the absence or presence of support.
CONCLUSIONS: A pilot group program for family-based treatment of pediatric
obesity is feasible and acceptable in a primary care setting. Change in child and
parent BMI outcomes and child quality of life among completers were promising
despite the pilot's low intensity. Parent experiences with lack of social support
suggest possible ways to improve retention and adherence.
PMID- 24937149
TI - Successful practices in the use of secure e-mail.
AB - Physician use of secure e-mail with patients is anticipated to increase under
Stage 2 Meaningful Use requirements, but little is known about how physicians can
successfully incorporate it into daily work. We interviewed 27 "super user"
physicians at Kaiser Permanente and Group Health who were identified by leaders
as being technologically, operationally, and clinically adept and as having high
levels of secure e-mail use with patients. They highly valued the use of secure e
mail with patients, despite concerns about a lack of adequate time to respond,
and provided tips for using it successfully. They identified benefits that
included better care and improved relationships with their patients.
PMID- 24937151
TI - Improving care for older adults: a model to segment the senior population.
AB - CONTEXT: Risk stratification and tailored interventions are key population-level
care management strategies among older adults, whose needs range from screening
and prevention to end-of-life care. OBJECTIVE: To validate the Senior
Segmentation Algorithm, a tool using administrative and clinical data from the
electronic health record to identify each member aged 65 years and older as
belonging to 1 of 4 Care Groups with similar needs: those without chronic
conditions, with one or more chronic conditions, with advanced illness or end
organ failure, or with extreme frailty or nearing the end of life. DESIGN:
Multiple validation methods. MAIN OUTCOME MEASURES: Concordance with physician
judgment, stability of segmentation over time, convergence with mortality,
hospitalization, and readmission rates, and costs of care. RESULTS: Concordance
of the algorithm with physician-assessed segmentation of 1615 Medicare recipients
was 85%. After 1 year, approximately 85% of 86,140 surviving seniors remained in
the same care group; 3.9% moved to a lower need group; and 11% moved to a higher
need group. Six-month and 12-month mortality rates varied substantially across
care groups. The algorithm performed similarly to the likelihood of
hospitalization score in predicting hospitalization and readmissions.
CONCLUSIONS: The Senior Segmentation Algorithm accurately identifies older adults
in care groups with similar needs, trajectories, and utilization patterns. It is
being implemented in all Kaiser Permanente Regions, with the goal of determining
key elements of care for members in each group. In addition, future efforts will
aim to slow progression to higher need care groups and to identify necessary
improvements in delivery system design.
PMID- 24937152
TI - A commentary on "comparative health systems research among Kaiser Permanente and
other integrated delivery systems: a systematic literature review".
PMID- 24937150
TI - Comparative health systems research among Kaiser Permanente and other integrated
delivery systems: a systematic literature review.
AB - CONTEXT: Because of rising health care costs, wide variations in quality, and
increased patient complexity, the US health care system is undergoing rapid
changes that include payment reform and movement toward integrated delivery
systems. Well-established integrated delivery systems, such as Kaiser Permanente
(KP), should work to identify the specific system-level factors that result in
superior patient outcomes in response to policymakers' concerns. Comparative
health systems research can provide insights into which particular aspects of the
integrated delivery system result in improved care delivery. OBJECTIVE: To
provide a baseline understanding of comparative health systems research related
to integrated delivery systems and KP. DESIGN: Systematic literature review.
METHODS: We conducted a literature search on PubMed and the KP Publications
Library. Studies that compared KP as a system or organization with other health
care systems or across KP facilities internally were included. The literature
search identified 1605 articles, of which 65 met the study inclusion criteria and
were examined by 3 reviewers. RESULTS: Most comparative health systems studies
focused on intra-KP comparisons (n = 42). Fewer studies compared KP with other US
(n = 15) or international (n = 12) health care systems. Several themes emerged
from the literature as possible factors that may contribute to improved care
delivery in integrated delivery systems. CONCLUSIONS: Of all studies published by
or about KP, only a small proportion of articles (4%) was identified as being
comparative health systems research. Additional empirical studies that compare
the specific factors of the integrated delivery system model with other systems
of care are needed to better understand the "system-level" factors that result in
improved and/or diminished care delivery.
PMID- 24937153
TI - TERT promoter mutations lead to high transcriptional activity under hypoxia and
temozolomide treatment and predict poor prognosis in gliomas.
AB - OBJECTIVE: This study explored the effects of telomerase reverse transcriptase
(TERT) promoter mutations on transcriptional activity of the TERT gene under
hypoxic and temozolomide (TMZ) treatment conditions, and investigated the status
and prognostic value of these mutations in gliomas. METHODS: The effect of TERT
promoter mutations on the transcriptional activity of the TERT gene under hypoxic
and TMZ treatment conditions was investigated in glioma cells using the
luciferase assay. TERT promoter mutations were detected in 101 glioma samples
(grades I-IV) and 49 other brain tumors by sequencing. TERT mRNA expression in
gliomas was examined by real-time PCR. Hazard ratios from survival analysis of
glioma patients were determined relative to the presence of TERT promoter
mutations. RESULTS: Mutations in the TERT promoter enhanced gene transcription
even under hypoxic and TMZ treatment conditions, inducing upregulation of TERT
mRNA expression. Mutations were detected in gliomas, but not in meningiomas,
pituitary adenomas, cavernomas, intracranial metastases, normal brain tissues, or
peripheral blood of glioma patients. Patients with TERT promoter mutations had
lower survival rates, even after adjusting for other known or potential risk
factors, and the incidence of mutation was correlated with patient age.
CONCLUSION: TERT promoter mutations were specific to gliomas. TERT promoter
mutations maintained its ability of inducing high transcriptional activity even
under hypoxic and TMZ treatment conditions, and the presence of mutations was
associated with poor prognosis in glioma patients. These findings demonstrate
that TERT promoter mutations are novel prognostic markers for gliomas that can
inform prospective therapeutic strategies.
PMID- 24937154
TI - Genetic stability developed for beta-carotene synthesis in BR29 rice line using
dihaploid homozygosity.
AB - Obtaining transgenic crop lines with stable levels of carotenoids is highly
desirable. We addressed this issue by employing the anther culture technique to
develop dihaploid lines containing genes involved in beta-carotene metabolism.
First, we used Agrobacterium- mediated transformation to develop primary
transgenic plants containing the beta-carotene biosynthetic genes, phytoene
synthase (psy) and phytoene desaturase (crtI), which were engineered for
expression and accumulation in the endosperm. Transgenic plants were recovered by
selecting for the expression of the phosphomannose isomerase (pmi) gene.
Dihaploid plants in addition to haploid and tetraploid plant were generated from
anther cultures of these primary transgenic plants. In addition to anatomical
features of stomata, pollen of different ploidy-plants, molecular analyses
confirmed the stable integration of the genes in the anther culture-derived
dihaploid plants, and the yellow color of the polished seeds indicated the
accumulation of carotenoids in the endosperm. High performance liquid
chromatography (HPLC) analysis of the carotenoid extract further confirmed the
levels of beta-carotene accumulation in the endosperms of the transgenic
dihaploid rice seeds.
PMID- 24937155
TI - Effects of road dust suppressants on PM levels in a Mediterranean urban area.
AB - The abatement of road dust emissions is currently a major challenge for
sustainable transportation, causing exceedances of limits on particulate matter
(PM) and high population exposures to mineral dust and metals. Mitigation
measures have been proposed such as improved street cleaning and the use of dust
suppressants. This study evaluated, for the first time, the effectiveness of
calcium-magnesium acetate (CMA) and MgCl2 in reducing road dust emissions in a
Mediterranean city. During a two-month campaign, a typical urban road in the city
of Barcelona was sprayed, and changes in PMx levels and components were monitored
at four traffic sites and one background monitoring sites. The integrated results
indicate no statistically significant effectiveness of dust suppressants on PM10
and PM2.5-10 levels. Episodic reductions of Al, K, Mg, Cr, Li, Cu, and Zn were
observed during CMA applications, but they were not systematically statistically
significant over different stations and spreading days. MgCl2 days showed lower
PM10 mean concentrations, but these reductions were not statistically significant
and were not supported by significant drops in mineral and brake-wear metals.
Based on our literature review, it can be postulated that the higher the road
dust loading, the higher the dust suppressant effectiveness.
PMID- 24937156
TI - Misconceptions and facts about 'diastolic' heart failure.
AB - Heart failure with preserved ejection fraction has become a fashionable
diagnosis. An increasing number of elderly patients with dyspnea carry this
diagnosis. Evaluation and management of these patients typically labeled as
having "diastolic" heart failure are challenging, and misconceptions are common.
No drug class has been shown to consistently provide outcome benefit. Therapeutic
strategies based on the predominant pathophysiologic mechanism and stage of the
disease currently remain the best option in tackling the perplexing syndrome of
heart failure with preserved ejection fraction.
PMID- 24937157
TI - Hemoconcentration-guided diuresis in heart failure.
AB - One quarter of patients hospitalized for heart failure are readmitted within 30
days, perhaps related to ineffective decongestion. Limited data exist guiding the
extent and duration of diuresis in patients hospitalized for heart failure. The
objective of this review was to determine the prognostic value of
hemoconcentration, or the relative increase in the cellular elements in blood, in
patients hospitalized for heart failure and to clarify its role in guiding
inpatient diuretic practices. Six post hoc retrospective studies from 2010 to
2013 were available for review. Hemoconcentration was consistently associated
with markers of aggressive fluid removal, including higher diuretic dosing and
reduced body weight, but increased risk of in-hospital worsening renal function.
Despite this, hemoconcentration was associated with improved short-term mortality
and rehospitalization. Hemoconcentration is a practical, readily available,
noninvasive, economically feasible strategy to help guide diuresis and monitor
congestion relief in patients hospitalized for worsening heart failure.
Clinicians should strongly consider using changes in hemoglobin and hematocrit as
an adjunct to other available measures of decongestion and clinical acumen in
inpatient heart failure care.
PMID- 24937159
TI - Summertime acute heat illness in U.S. emergency departments from 2006 through
2010: analysis of a nationally representative sample.
AB - BACKGROUND: Patients with acute heat illness present primarily to emergency
departments (EDs), yet little is known regarding these visits. OBJECTIVE: We
aimed to describe acute heat illness visits to U.S. EDs from 2006 through 2010
and identify factors associated with hospital admission or with death in the ED.
METHODS: We extracted ED case-level data from the Nationwide Emergency Department
Sample (NEDS) for 2006-2010, defining cases as ED visits from May through
September with any heat illness diagnosis (ICD-9-CM 992.0-992.9). We correlated
visit rates and temperature anomalies, analyzed demographics and ED disposition,
identified risk factors for adverse outcomes, and examined ED case fatality rates
(CFR). RESULTS: There were 326,497 (95% CI: 308,372, 344,658) cases, with 287,875
(88.2%) treated and released, 38,392 (11.8%) admitted, and 230 (0.07%) died in
the ED. Heat illness diagnoses were first-listed in 68%. 74.7% had heat
exhaustion, 5.4% heat stroke. Visit rates were highly correlated with annual
temperature anomalies (Pearson correlation coefficient 0.882, p = 0.005). Treat
and-release rates were highest for younger adults (26.2/100,000/year), whereas
hospitalization and death-in-the-ED rates were highest for older adults (6.7 and
0.03/100,000/year, respectively); all rates were highest in rural areas. Heat
stroke had an ED CFR of 99.4/10,000 (95% CI: 78.7, 120.1) visits and was
diagnosed in 77.0% of deaths. Adjusted odds of hospital admission or death in the
ED were higher among elders, males, urban and low-income residents, and those
with chronic conditions. CONCLUSIONS: Heat illness presented to the ED
frequently, with highest rates in rural areas. Case definitions should include
all diagnoses. Visit rates were correlated with temperature anomalies. Heat
stroke had a high ED CFR. Males, elders, and the chronically ill were at greatest
risk of admission or death in the ED. Chronic disease burden exponentially
increased this risk.
PMID- 24937160
TI - Clarification of P-glycoprotein inhibition-related drug-drug interaction risks
based on a literature search of the clinical information.
AB - 1. Recently, the Food and Drug Administration (FDA) and European Medicines Agency
have shown decision trees to determine whether a drug candidate is an inhibitor
of P-glycoprotein (P-gp). However, there has been no clear information on whether
P-gp inhibition can be significant in clinical drug-drug interactions (DDIs). The
purpose of this study was to confirm the effect of P-gp inhibition through
comprehensive analysis of the clinical DDI studies. 2. Clinical information on P
gp inhibition was collected using the University of Washington Metabolism and
Transport Drug Interaction DatabaseTM. The risks of P-gp inhibition-related DDI
were qualitatively evaluated in terms of the contribution of CYP3A inhibition.
The degrees of DDI risk were categorized using the area under the plasma
concentration-time curve increase ratio (AUCR), according to the FDA DDI
criteria. 3. When both P-gp and CYP3A were inhibited, the DDI risks were potent
in 25% of the studies. When CYP3A inhibition did not contribute to the DDI, no
study was categorized as potent DDI risk, and the detailed analysis revealed that
AUCRs were basically <3.0. The DDI risk caused by P-gp inhibition solely would be
limited, although the use of P-gp substrates with narrow therapeutic range should
be carefully controlled.
PMID- 24937161
TI - Patterns of use of medical cannabis among Israeli cancer patients: a single
institution experience.
AB - CONTEXT: The use of the cannabis plant (Cannabis sativa L.) for the palliative
treatment of cancer patients has been legalized in multiple jurisdictions
including Israel. Yet, not much is currently known regarding the efficacy and
patterns of use of cannabis in this setting. OBJECTIVES: To analyze the
indications for the administration of cannabis among adult Israeli cancer
patients and evaluate its efficacy. METHODS: Efficacy and patterns of use of
cannabis were evaluated using physician-completed application forms, medical
files, and a detailed questionnaire in adult cancer patients treated at a single
institution. RESULTS: Of approximately 17,000 cancer patients seen, 279 (<1.7%)
received a permit for cannabis from an authorized institutional oncologist. The
median age of cannabis users was 60 years (range 19-93 years), 160 (57%) were
female, and 234 (84%) had metastatic disease. Of 151 (54%) patients alive at six
months, 70 (46%) renewed their cannabis permit. Renewal was more common among
younger patients and those with metastatic disease. Of 113 patients alive and
using cannabis at one month, 69 (61%) responded to the detailed questionnaire.
Improvement in pain, general well-being, appetite, and nausea were reported by
70%, 70%, 60%, and 50%, respectively. Side effects were mild and consisted mostly
of fatigue and dizziness. CONCLUSION: Cannabis use is perceived as highly
effective by some patients with advanced cancer and its administration can be
regulated, even by local authorities. Additional studies are required to evaluate
the efficacy of cannabis as part of the palliative treatment of cancer patients.
PMID- 24937158
TI - Package of NDV-pseudotyped HIV-Luc virus and its application in the
neutralization assay for NDV infection.
AB - Newcastle disease virus (NDV) is a member of the Paramyxovirinae subfamily and
can infect most species of birds. It has been a great threat for the poultry
industry all around the world. In this report, we successfully produced
infectious pseudotyped pNL4-3-Luc-R(-)E(-) (HIV-Luc) viruses with the HN and F
envelope proteins of NDV. Further investigation revealed the cytoplasmic domains
of HN and F, especially HN, plays a significant role in the infection efficiency
of these pseudotyped HIV-Luc viruses. Replacement of, or direct fusion to the
cytoplasmic domain of the HN protein by that of vesicular stomatitis virus G (VSV
G) could greatly enhance or destroy the infective potential of HN and F
pseudotyped (NDV-pseudotyped) HIV-Luc virus. We further established a novel
neutralization assay to evaluate neutralizing antibodies against NDV with the NDV
pseudotyped HIV-Luc viruses. Comparative neutralization data indicate that the
results determined by using the NDV-pseudotyped HIV-Luc viruses are as reliable
as those by the conventional virus-neutralization assay (VN test) with native
NDV. Moreover, the results show that the novel neutralization assay is more
sensitive than the VN test.
PMID- 24937162
TI - Propensity scores: a practical method for assessing treatment effects in pain and
symptom management research.
AB - When conducting research on pain and symptom management interventions for
seriously ill individuals, randomized controlled trials are not always feasible
or ethical to conduct. Secondary analyses of observational data sets that include
information on treatments experienced and outcomes for individuals who did and
did not receive a given treatment can be conducted, but confounding because of
selection bias can obscure the treatment effect in which one is interested.
Propensity scores provide a way to adjust for observable characteristics that
differ between treatment and comparison groups. This article provides conceptual
guidance in addition to an empirical example to illustrate two areas of
propensity score analysis that often lead to confusion in practice: covariate
selection and interpretation of resultant treatment effects.
PMID- 24937163
TI - Thalidomide therapy for pruritus in the palliative setting--a distinct subset of
patients in whom the benefit may outweigh the risk.
PMID- 24937164
TI - Meaning in life experience at the end of life: validation of the Hindi version of
the Schedule for Meaning in Life Evaluation and a cross-cultural comparison
between Indian and German palliative care patients.
AB - CONTEXT: The experience of Meaning in Life (MiL) is a major protective factor
against feelings of hopelessness and wishes for hastened death in palliative care
(PC) patients. However, most instruments for MiL assessment have been developed
only in Western countries so far. Little is known about MiL experience in Asian
PC patients. OBJECTIVES: This study aimed to provide a Hindi version of the
Schedule for Meaning in Life Evaluation (SMiLE), test its feasibility and
validity in Indian PC patients, and compare the results with previous studies in
Germany. METHODS: Indian PC patients in a hospice for the destitute were eligible
to participate in this cross-sectional study. In the SMiLE instrument,
respondents individually listed MiL-giving areas before rating their satisfaction
with and importance of these areas. Overall indices of satisfaction (IoS, range 0
100), weighting (IoW, range 0-100), and weighted satisfaction (IoWS, range 0-100)
were calculated. RESULTS: A Hindi forward-backward translation of the SMiLE was
made. Two hundred fifty-eight Indian PC patients took part in the study (response
rate 93.5%). Convergent validity of the SMiLE was found with the World Health
Organization Quality of Life-Brief version (r = 0.17; P = 0.008) and the Idler
Index of Religiosity (public religiousness: r = 0.25, P < 0.001 and private
religiousness: r = 0.29, P < 0.001). Indian PC patients' IoW was 65.8 +/- 22.1,
IoS 68.6 +/- 17.4, and IoWS 70.2 +/- 17.0. In multivariate analyses of
covariance, they differed significantly from German PC patients only in IoW (IoW:
84.8 +/- 11.5, P < 0.001; IoS: 70.2 +/- 19.7; IoWS: 72.0 +/- 19.4). Compared with
Germans, Indians more often listed spirituality (P < 0.001) and social commitment
(P < 0.001) and less often social relations (P = 0.008). CONCLUSION: Preliminary
results indicate good feasibility and validity of the Hindi version of the SMiLE.
MiL experience also seems to be a coping resource for Indian PC patients.
PMID- 24937165
TI - Normal vital signs as death approaches: commentary on Bruera et al.
PMID- 24937166
TI - An exceptionally fast homogeneous carbon-free cobalt-based water oxidation
catalyst.
AB - An all-inorganic, oxidatively and thermally stable, homogeneous water oxidation
catalyst based on redox-active (vanadate(V)-centered) polyoxometalate ligands,
Na10[Co4(H2O)2(VW9O34)2].35H2O (Na101-V2, sodium salt of the polyanion 1-V2), was
synthesized, thoroughly characterized and shown to catalyze water oxidation in
dark and visible-light-driven conditions. This synthetic catalyst is
exceptionally fast under mild conditions (TOF > 1 * 10(3) s(-1)). Under light
driven conditions using [Ru(bpy)3](2+) as a photosensitizer and persulfate as a
sacrificial electron acceptor, 1-V2 exhibits higher selectivity for water
oxidation versus bpy ligand oxidation, the final O2 yield by 1-V2 is twice as
high as that of using [Co4(H2O)2(PW9O34)2](10-) (1-P2), and the quantum
efficiency of O2 formation at 6.0 MUM 1-V2 reaches ~68%. Multiple experimental
results (e.g., UV-vis absorption, FT-IR, (51)V NMR, dynamic light scattering,
tetra-n-heptylammonium nitrate-toluene extraction, effect of pH, buffer, and
buffer concentration, etc.) confirm that the polyanion unit (1-V2) itself is the
dominant active catalyst and not Co(2+)(aq) or cobalt oxide.
PMID- 24937167
TI - Accelerated (9-mW/cm2) corneal collagen crosslinking for keratoconus-A 1-year
follow-up.
AB - PURPOSE: The aim of this study was to assess the efficacy of accelerated
crosslinking (irradiance of 9 mW/cm; 10 minutes) in keratoconus-affected eyes
through topographical, visual, and refractive end points. METHODS: Mild-moderate
keratoconus-affected eyes that underwent accelerated corneal collagen
crosslinking (CXL) treatment and had 6 and 12 months of follow-up were reviewed
retrospectively. Data regarding uncorrected distance visual acuity (UDVA),
manifest refraction, corrected distance visual acuity (CDVA), and computerized
corneal topography data before surgery and post-CXL treatment were extracted and
analyzed. RESULTS: Sixteen eyes of 14 patients were included in the study. The
mean patient age was 24.9 +/- 5.8 years (range: 17.1-38.3 years). No
statistically significant changes were found in the mean CDVA, mean refractive
cylinder, or mean manifest refraction spherical equivalent at either time point.
There was a gain of 0.13 logarithm of the minimum angle of resolution lines in
the mean UDVA (P = 0.012) at 12 months. All corneal parameters including Ksteep,
Kflat, average K (Km), corneal astigmatism (Kcyl), and maximal curvature reading
at the corneal apex (Kmax) were stable at 6 and 12 months in all patients. No
complications were observed during the follow-up period. CONCLUSIONS: Accelerated
corneal CXL is effective in stabilizing topographic parameters after 12 months of
follow-up in mild-moderate keratoconus-affected corneas. Improvement in the UDVA
and stabilization of all tested corneal parameters were noted after the
treatment. However, a longer follow-up with larger cohorts is necessary to
validate these findings.
PMID- 24937168
TI - Polymicrobial sclerokeratitis caused by Scedosporium apiospermum and Aspergillus
cibarius.
AB - PURPOSE: The aim of this study was to report the first case of polymicrobial
fungal sclerokeratitis caused by infection with both Scedosporium apiospermum and
Aspergillus cibarius, and notify the medical community of the possibility of
infection caused by A. cibarius in humans. METHODS: A 78-year-old woman presented
to a medical practitioner with epiphora and a purulent discharge in her left eye.
After concurrent administration of topical antibiotics and systemic steroids, her
symptoms worsened, and she was referred to the Tokushima University Hospital.
Because of suspected fungal infection, microscopic examination and cultivation of
both corneal and scleral scrapings were performed. RESULTS: Fungi were observed
on microscopic examination, and S. apiospermum was isolated only from the sclera
in the early stage of the clinical course. Although administration of an adequate
medication regimen comprising topical and systemic antifungal drugs resulted in
an improvement in the sclera, keratitis persisted, and the infected sclera was
melted. After scleral transplantation, administration of systemic caspofungin and
high concentrations of voriconazole solution eye drops resulted in a gradual
improvement in keratitis. A strain of filamentous fungus was isolated from the
cornea 6 weeks after the cultivation on a Sabouraud agar plate, and it was
identified as A. cibarius. CONCLUSIONS: A. cibarius may infect human tissue.
Coinfection of the cornea and the sclera with 2 different species of fungi is
likely to follow a complex clinical course.
PMID- 24937169
TI - Combined topical application of a regenerative agent with a bandage contact lens
for the treatment of persistent epithelial defects.
AB - PURPOSE: The aim of this study was to report 3 cases of persistent epithelial
defects (PEDs) successfully treated with the combined topical application of a
regenerative agent (RGTA; Cacicol20, OTR3, Paris, France) with a bandage contact
lens (BCL). METHODS: This is a case series. RESULTS: Three patients suffering
from a PED for 4-8 weeks and unresponsive to conventional therapy were treated
with the combined application of an RGTA (Cacicol20) and a silicone hydrogel BCL.
The PED healed in all patients after 4-21 days, and no side effects were noted.
CONCLUSIONS: The combination of an RGTA (Cacicol20) with a BCL seems to be an
effective treatment for PED.
PMID- 24937170
TI - Chromosome instability in mouse embryonic stem cells.
AB - Embryonic Stem Cells (ESCs) are expected to show a stable euploid karyotype, but
in the last decade (sub)chromosomal aberrations have been systematically
described in these cell lines when maintained in vitro. Culture conditions and
long-term culture have been traditionally proposed as possible factors involved
in the acquisition of chromosomal abnormalities. Thus, we analyzed the chromosome
constitution, the undifferentiated state and the functional pluripotency of three
different mouse ESCs grown under the same culture conditions. Two cell lines were
unstable from early passages, whereas the third one retained its chromosome
integrity after long-term culture despite using enzymatic methods for cell
disaggregation. Trisomy 8 and 11 were clonally selected in both unstable cell
lines, which also showed a higher growth rate than our normal cell line and
suffered morphological changes in colony shape with increasing passage number.
Regardless of the length of culture or the chromosome instability, all cell lines
preserved their differentiation potential. These results confirm that double
trisomy 8 and 11 confers a growth advantage to the abnormal cells, but not at the
expense of cell differentiation. The presence of chromosome instability, widely
related to tumor development and cancer disease, highlights the risk of using
pluripotent cells in regenerative medicine.
PMID- 24937172
TI - Prediabetes, diabetes, and risk of breast cancer: a case-control study.
AB - BACKGROUND AND AIMS: Although underlying mechanisms have been described to
account for the association between prediabetes and diabetes with breast cancer,
reported results have been inconsistent. We undertook this study to determine
whether prediabetes and diabetes are risk factors for breast cancer in Mexican
women with no family history of breast cancer in the mother, daughters, or
sisters. METHODS: A case-control study was carried out during 2011-2013. "Case"
referred to patients with a histopathological diagnosis of breast cancer
(incident and primary cases) (n = 240); "controls" were those with a BI-RADS 1 or
2 mammography result (n = 406). Categorization of prediabetes and diabetes was
based on self-reporting or fasting glucose and glycated hemoglobin blood sampling
results. Reproductive and sociodemographic data were collected by interview. Odds
ratios (ORs) and 95% confidence intervals (CI) were calculated using multivariate
unconditional binary logistic regression analysis. RESULTS: Prediabetes increased
the risk of breast cancer in postmenopausal women (adjusted OR 2.08, 95% CI 1.10
3.96) as did diabetes (adjusted OR 2.85, 95% CI 1.55-5.26). A history of diabetes
preceding breast cancer by >=7 years and <7 years were both associated with an
increased risk for breast cancer (adjusted OR 2.80, 95% CI 1.40-5.60 and 3.00,
95% CI 1.50-5.90, respectively). CONCLUSIONS: This is the first study in Mexico
evaluating prediabetes and diabetes as breast cancer risk factors in women with
no first-degree relatives with breast cancer. Our findings suggest that women
with prediabetes and diabetes should be considered a more vulnerable population
for early breast cancer detection.
PMID- 24937171
TI - ERBB2 increases metastatic potentials specifically in androgen-insensitive
prostate cancer cells.
AB - Despite all the blood-based biomarkers used to monitor prostate cancer patients,
prostate cancer remains as the second common cause of cancer mortality in men in
the United States. This is largely due to a lack of understanding of the
molecular pathways that are responsible for the aggressive forms of prostate
cancers, the castrate-resistant prostate cancer and the metastatic prostate
cancer. Cell signaling pathways activated by the ERBB2 oncogene or the RAS
oncogene are frequently found to be altered in metastatic prostate cancers. To
evaluate and define the role of the ERBB2/RAS pathway in prostate cancer
metastasis, we have evaluated the impact of ERBB2- or RAS-overexpression on the
metastatic potentials for four prostate cancer cell lines derived from tumors
with different androgen sensitivities. To do so, we transfected the human DU145,
LnCaP, and PC3 prostate cancer cells and the murine Myc-CaP prostate cancer cells
with the activated form of ERBB2 or H-RAS and assessed their metastatic
potentials by three complementary assays, a wound healing assay, a transwell
motility assay, and a transwell invasion assay. We showed that while
overexpression of ERBB2 increased the metastatic potential of the androgen
insensitive prostate cancer cells (i.e. PC3 and DU145), it did not affect
metastatic potentials of the androgen-sensitive prostate cancer cells (i.e. LnCaP
and Myc-CaP). In contrast, overexpression of H-RAS only increased the cell
motility of Myc-CaP cells, which overexpress the human c-MYC oncogene. Our data
suggest that ERBB2 collaborates with androgen signaling to promote prostate
cancer metastasis, and that although RAS is one of the critical downstream
effectors of ERBB2, it does not phenocopy ERBB2 for its impact on the metastatic
potentials of prostate cancer cell lines.
PMID- 24937173
TI - Prevalence and prognostic significance of Epstein-Barr virus infection in
classical Hodgkin's lymphoma: a meta-analysis.
AB - BACKGROUND AND AIMS: The prevalence and prognostic significance of Epstein-Barr
virus (EBV) infection in classical Hodgkin's lymphomas (cHLs) remain elusive. To
examine the epidemiological and prognostic differences between EBV-positive and
negative cHLs, we conducted a meta-analysis of 119 published studies including
13,045 cases. METHODS: We pooled the results of relevant published studies
identified using the PubMed and Embase. The effect sizes of outcome parameters
were calculated by prevalence, odds ratio (OR), or hazard ratio using a random
effects model. RESULTS: The pooled prevalence of EBV infection in cHL was 47.9%,
which was significantly higher in Africa and Central and South America than other
regions. EBV-positive cHL showed higher incidence in children than in adults
(69.7 vs. 41.1%). EBV-positive cHL was significantly related to male (OR = 1.8,
95% CI: 1.510-2.038; p <0.001), mixed cellularity subtype (OR = 3.8, 95% CI:
3.243-4.451; p <0.001), and advanced clinical stages (OR = 1.2, 95% CI: 1.072
1.369; p = 0.002). However, the presence of EBV in cHL was not associated with
overall or event-free survival. CONCLUSIONS: The prevalence of EBV differs
according to age, sex, region, histologic subtype, and clinical stage of cHL.
However, the presence of EBV has little effects on cHL patient's survival.
PMID- 24937174
TI - Reply: Regarding the article: Hepatic ischemia/reperfusion injury is diminished
by atorvastatin in Wistar rats.
PMID- 24937175
TI - Regarding the article: Hepatic ischemia/reperfusion injury is diminished by
atorvastatin in Wistar rats.
PMID- 24937176
TI - Investigating the binding preferences of small molecule inhibitors of human
protein arginine methyltransferase 1 using molecular modelling.
AB - Protein arginine methyltransferases (PRMTs) catalyse the methylation of arginine
residues of target proteins. PRMTs utilise S-adenosyl methionine (SAM) as the
methyl group donor, leading to S-adenosyl homocysteine (SAH) and
monomethylarginine (mMA). A combination of homology modelling, molecular docking,
Active Site Pressurisation, molecular dynamic simulations and MM-PBSA free energy
calculations is used to investigate the binding poses of three PRMT1 inhibitors
(ligands 1-3), which target both SAM and substrate arginine binding sites by
containing a guanidine group joined by short linkers with the SAM derivative. It
was assumed initially that the adenine moieties of the inhibitors would bind in
sub-site 1 (PHE44, GLU137, VAL136 and GLU108), the guanidine side chain would
occupy sub-site 2 (GLU 161, TYR160, TYR156 and TRP302), with the amino acid side
chain occupying sub-site 3 (GLU152, ARG62, GLY86 and ASP84; pose 1). However, the
SAH homocysteine moiety does not fully occupy sub-site 3, suggesting another
binding pose may exist (pose 2), whereby the adenine moiety binds in sub-site 1,
the guanidine side chain occupies sub-site 3, and the amino acid side chain
occupies sub-site 2. Our results indicate that ligand 1 (pose 1 or 2), ligand 2
(pose 2) and ligand 3 (pose 1) are the predominant binding poses and we
demonstrate for the first time that sub-site 3 contains a large space that could
be exploited in the future to develop novel inhibitors with higher binding
affinities.
PMID- 24937178
TI - MicroRNA-155 induction by Mycobacterium bovis BCG enhances ROS production through
targeting SHIP1.
AB - Macrophages play a critical role in the host immune response against
mycobacterial infection. Our previous study has demonstrated that microRNA-155
(miR-155), one of the most important small non-coding RNAs in the immune system,
promotes oxygen-independent mycobacterial killing in macrophages. However, little
is known regarding the role of miR-155 in modulating oxygen-dependent
mycobactericidal response in macrophages, including the production of reactive
oxygen species (ROS) and reactive nitrogen species (RNS). In the present study,
we demonstrated that miR-155 was increased in macrophages after Mycobacterium
bovis bacille Calmette-Guerin (BCG) infection. Moreover, the BCG-induced
upregulation of miR-155 in macrophages was dependent on TLR2, NF-kappaB and JNK
signaling pathways. More importantly, our study explored that miR-155
significantly elevated ROS production in macrophages, although miR-155 had no
influence on the inducible nitric oxide synthase (iNOS) expression or nitric
oxide (NO) production. In addition, our study demonstrated that miR-155 repressed
the expression of src homology 2 (SH2) containing inositol 5-phosphatase1
(SHIP1), and knockdown of SHIP1 greatly increased ROS production in BCG-infected
macrophages. Collectively, these data indicate that miR-155 modulates ROS but not
RNS production by targeting SHIP1, which may provide a better understanding of
the host anti-mycobacterial response.
PMID- 24937177
TI - Pseudomonas aeruginosa in vitro phenotypes distinguish cystic fibrosis infection
stages and outcomes.
AB - RATIONALE: Pseudomonas aeruginosa undergoes phenotypic changes during cystic
fibrosis (CF) lung infection. Although mucoidy is traditionally associated with
transition to chronic infection, we hypothesized that additional in vitro
phenotypes correlate with this transition and contribute to disease. OBJECTIVES:
To characterize the relationships between in vitro P. aeruginosa phenotypes,
infection stage, and clinical outcomes. METHODS: A total of 649 children with CF
and newly identified P. aeruginosa were followed for a median 5.4 years during
which a total of 2,594 P. aeruginosa isolates were collected. Twenty-six in vitro
bacterial phenotypes were assessed among the isolates, including measures of
motility, exoproduct production, colony morphology, growth, and metabolism.
MEASUREMENTS AND MAIN RESULTS: P. aeruginosa phenotypes present at the time of
culture were associated with both stage of infection (new onset, intermittent, or
chronic) and the primary clinical outcome, occurrence of a pulmonary exacerbation
(PE) in the subsequent 2 years. Two in vitro P. aeruginosa phenotypes best
distinguished infection stages: pyoverdine production (31% of new-onset cultures,
48% of intermittent, 69% of chronic) and reduced protease production (31%, 39%,
and 65%, respectively). The best P. aeruginosa phenotypic predictors of
subsequent occurrence of a PE were mucoidy (odds ratio, 1.75; 95% confidence
interval, 1.19-2.57) and reduced twitching motility (odds ratio, 1.43; 95%
confidence interval, 1.11-1.84). CONCLUSIONS: In this large epidemiologic study
of CF P. aeruginosa adaptation, P. aeruginosa isolates exhibited two in vitro
phenotypes that best distinguished early and later infection stages. Among the
many phenotypes tested, mucoidy and reduced twitching best predicted subsequent
PE. These phenotypes indicate potentially useful prognostic markers of transition
to chronic infection and advancing lung disease.
PMID- 24937179
TI - Eosinophil peroxidase induces expression of cholinergic genes via cell surface
neural interactions.
AB - Eosinophils localize to and release their granule proteins in close association
with nerves in patients with asthma and rhinitis. These conditions are associated
with increased neural function. In this study the effect of the individual
granule proteins on cholinergic neurotransmitter expression was investigated.
Eosinophil peroxidase (EPO) upregulated choline acetyltransferase (ChAT) and
vesicular acetylcholine transporter (VAChT) gene expression. Fluorescently
labeled EPO was seen to bind to the IMR-32 cell surface. Both Poly-L-Glutamate
(PLG) and Heparinase-1 reversed the up-regulatory effect of EPO on ChAT and VAChT
expression and prevented EPO adhesion to the cell surface. Poly-L-arginine (PLA)
had no effect on expression of either gene, suggesting that charge is necessary
but insufficient to alter gene expression. EPO induced its effects via the
activation of NF-kappaB. MEK inhibition led to reversal of all up-regulatory
effects of EPO. These data indicate a preferential role of EPO signaling via a
specific surface receptor that leads to neural plasticity.
PMID- 24937181
TI - Detection of amines with extended distyrylbenzenes by strip assays.
AB - We herein describe the synthesis and property evaluation of three novel aldehyde
substituted pentameric phenylenevinylenes carrying branched oligo(ethylene
glycol) (swallowtail, Sw) substituents. The targets were synthesized by a
combination of Heck coupling and Wittig or Horner reactions of suitable precursor
modules. If the pentameric phenylenevinylene carries only two of these Sw
substituents, it is no longer water-soluble. When six of the Sw substituents are
attached, regardless of their position, the pentameric phenylenevinylenes are
well water-soluble. The dialdehydes were investigated with respect to their amine
sensing capabilities both in water as well as in the solid state, sprayed onto
thin layer chromatography (TLC) plates (alox, silica gel, reversed phase silica
gel). The recognition of amine vapors using the sprayed-on phenylenevinylene
dialdehydes is superb and allows the identification of different amines on
regular silica TLC plates via color changes, analyzed by a statistical tool, the
multivariate analysis of variance (MANOVA) protocol.
PMID- 24937180
TI - Pharmacologic therapy for pulmonary arterial hypertension in adults: CHEST
guideline and expert panel report.
AB - OBJECTIVE: Choices of pharmacologic therapies for pulmonary arterial hypertension
(PAH) are ideally guided by high-level evidence. The objective of this guideline
is to provide clinicians advice regarding pharmacologic therapy for adult
patients with PAH as informed by available evidence. METHODS: This guideline was
based on systematic reviews of English language evidence published between 1990
and November 2013, identified using the MEDLINE and Cochrane Library databases.
The strength of available evidence was graded using the Grades of
Recommendations, Assessment, Development, and Evaluation methodology. Guideline
recommendations, or consensus statements when available evidence was insufficient
to support recommendations, were developed using a modified Delphi technique to
achieve consensus. RESULTS: Available evidence is limited in its ability to
support high-level recommendations. Therefore, we drafted consensus statements to
address many clinical questions regarding pharmacotherapy for patients with PAH.
A total of 79 recommendations or consensus statements were adopted and graded.
CONCLUSIONS: Clinical decisions regarding pharmacotherapy for PAH should be
guided by high-level recommendations when sufficient evidence is available.
Absent higher level evidence, consensus statements based upon available
information must be used. Further studies are needed to address the gaps in
available knowledge regarding optimal pharmacotherapy for PAH.
PMID- 24937183
TI - Synthesis and cytotoxic effect on cancer cell lines and macrophages of novel
progesterone derivatives having an ester or a carbamate function at C-3 and C-17.
AB - In this study we report the cytotoxic effect on human cancer cells of two series
of novel progesterone derivatives; the first containing an aromatic ester (8a-e)
or a carbamate functions both linked to C-3 (9a-e) on the pregn-4,16-diene-6,20
dione skeleton. In the second series, both functional groups (ester and
carbamate) are bound to C-17 on the pregn-4,6-diene-3,20-dione scaffold (13a-e
and 14a-e). The panel cancer cell lines used in this study were the following: PC
3 (human prostate cancer cell line), MCF-7 (human breast cancer cell line), HCT
15 (human colon cancer cell line) and J774 (noncancerous murine macrophages) for
comparison. The results from this study showed that steroid 14a, having a
carbamate function at C-17, was the most potent against PC-3 cell line (96.6%)
while 8c and 8e showed much higher cytotoxic activity (100%) for MCF-7 cell line.
Finally, compounds 8c and 14a displayed selective properties towards tumor cell
lines than noncancerous murine macrophages.
PMID- 24937184
TI - Design, synthesis and structure-activity relationship of novel semi-synthetic
flavonoids as antiproliferative agents.
AB - Various flavonoid scaffold based derivatives viz furochalcones (3a-e, 6a-d and 9a
d), furoflavones (10a-d, 11a-d, 12a-d, 18a&b), flavones (21a-d), furoaurones
(13a,b, 14a-d and 15a-d) and 7-styrylfurochromones (22a-d and 25a-e) were
designed and synthesized. The novel compounds were evaluated for their
antiproliferative activity against a panel of 60 cancer cell lines comprising 9
types of tumors. Ten compounds belonging to the major subgroups of flavonoids viz
furochalcones (3a, 3d, 6b, 9a and 9b), furoflavones (12a and 12c), furoaurones
(15d), styrylfurochromones (25b and 25e) showed very promising activity. These
active compounds were also evaluated in vitro as kinase inhibitors against
CDK2/cyclin E1, CDK4/cyclin D1 and GSK-3beta and the best inhibition was
displayed against GSK-3beta with the allylfurochalcone derivative 9b exhibiting
80% decrease in GSK-3beta catalytic activity. On the other hand, the
styrylfurochromone 25e interestingly showed a 13% enhancement of GSK-3beta
catalytic power and a 12% reduction in CDK4/cyclin D1 activity. Finally, the in
vivo anti-tumor activity of 25e was evaluated against breast cancer induced in
mice. The results showed a profound anti-tumor effect of 25e that accompanies a
significant increase and decrease in the levels of GSK-3beta and cyclin D1,
respectively.
PMID- 24937185
TI - Development of second generation EP2 antagonists with high selectivity.
AB - EP2 receptor has emerged as an important biological target for therapeutic
intervention. In particular, it has been shown to exacerbate disease progression
of a variety of CNS and peripheral diseases. Deletion of the EP2 receptor in
mouse models recapitulates several features of the COX-2 inhibition, thus
presenting a new avenue for anti-inflammatory therapy which could bypass some of
the adverse side effects observed by the COX-2 inhibition therapy. We have
recently reported a cinnamic amide class of EP2 antagonists with high potency,
but these compounds exhibited a moderate selectivity against prostanoid receptor
DP1. Moreover they possess acrylamide moiety in the structure, which may result
in liver toxicity over longer period of use in a chronic disease model. Thus, we
now developed a second generation compounds that devoid of the acrylamide
functionality and possess high potency and improved (>1000-fold) selectivity to
EP2 over other prostanoid receptors.
PMID- 24937186
TI - Design, synthesis and biological activity of piperlongumine derivatives as
selective anticancer agents.
AB - In an effort to expand the structure-activity relationship of the natural
anticancer compound piperlongumine, we have prepared sixteen novel piperlongumine
derivatives with halogen or morpholine substituents at C2 and alkyl substituents
at C7. Most of 2-halogenated piperlongumines showed potent in vitro activity
against four cancer cells and modest selectivity for lung normal cells. The
highly active anticancer compound 11h exhibited obvious ROS elevation and
excellent in vivo antitumor potency with suppressed tumor growth by 48.58% at the
dose of 2 mg/kg. The results indicated that halogen substituents as electrophilic
group at C2 played an important role in increasing cytotoxicity.
PMID- 24937187
TI - Change deafness and object encoding with recognizable and unrecognizable sounds.
AB - Change deafness is the failure to notice changes in an auditory scene. In this
study, we sought to determine if change deafness is a perceptual error, rather
than only a reflection of verbal memory limitations. We also examined how
successful encoding of objects within a scene is related to successful detection
of changes. Event-related potentials (ERPs) were recorded while listeners
completed a change-detection and an object-encoding task with scenes composed of
recognizable sounds or unrecognizable temporally scrambled versions of the
recognizable sounds. More change deafness occurred for the unrecognizable,
compared to recognizable sounds, indicating that change deafness is a perceptual
error and not solely a product of verbal memory. ERPs from both the recognizable
and unrecognizable scenes revealed an enhanced P3b (at PZ/1/2, POZ/3/4 from 350
to 750ms) to detected changes, a marker that conscious change detection has
occurred. Recognizable scenes resulted in an enhanced T400 (at T8/TP8, C6/CP6
from 315 to 660ms) to detected changes, possibly indicating activation of
established memory representations. Unrecognizable scenes elicited an enhanced
P3a (at FCZ/1/2 from 280 to 600ms) to detected changes, indicating enhanced
orienting to acoustic change. Performance on the object-encoding task revealed
that change deafness was reduced, but not eliminated, when performance on the
object-encoding task was accurate.
PMID- 24937182
TI - 2q36.3 is associated with prognosis for oestrogen receptor-negative breast cancer
patients treated with chemotherapy.
AB - Large population-based registry studies have shown that breast cancer prognosis
is inherited. Here we analyse single-nucleotide polymorphisms (SNPs) of genes
implicated in human immunology and inflammation as candidates for prognostic
markers of breast cancer survival involving 1,804 oestrogen receptor (ER)
negative patients treated with chemotherapy (279 events) from 14 European studies
in a prior large-scale genotyping experiment, which is part of the Collaborative
Oncological Gene-environment Study (COGS) initiative. We carry out replication
using Asian COGS samples (n=522, 53 events) and the Prospective Study of Outcomes
in Sporadic versus Hereditary breast cancer (POSH) study (n=315, 108 events).
Rs4458204_A near CCL20 (2q36.3) is found to be associated with breast cancer
specific death at a genome-wide significant level (n=2,641, 440 events, combined
allelic hazard ratio (HR)=1.81 (1.49-2.19); P for trend=1.90 * 10(-9)). Such
survival-associated variants can represent ideal targets for tailored
therapeutics, and may also enhance our current prognostic prediction
capabilities.
PMID- 24937189
TI - Heparanase is a key player in renal fibrosis by regulating TGF-beta expression
and activity.
AB - Epithelial-mesenchymal transition (EMT) of tubular cells is one of the mechanisms
which contribute to renal fibrosis and transforming growth factor-beta (TGF-beta)
is one of the main triggers. Heparanase (HPSE) is an endo-beta-D-glucuronidase
that cleaves heparan-sulfate thus regulating the bioavailability of growth
factors (FGF-2, TGF-beta). HPSE controls FGF-2-induced EMT in tubular cells and
is necessary for the development of diabetic nephropathy in mice. The aim of this
study was to investigate whether HPSE can modulate the expression and the effects
of TGF-beta in tubular cells. First we proved that the lack of HPSE or its
inhibition prevents the increased synthesis of TGF-beta by tubular cells in
response to pro-fibrotic stimuli such as FGF-2, advanced glycosylation end
products (AGE) and albumin overload. Second, since TGF-beta may derive from
sources different from tubular cells, we investigated whether HPSE modulates
tubular cell response to exogenous TGF-beta. HPSE does not prevent EMT induced by
TGF-beta although it slows its onset; indeed in HPSE-silenced cells the
acquisition of a mesenchymal phenotype does not develop as quickly as in wt
cells. Additionally, TGF-beta induces an autocrine loop to sustain its signal,
whereas the lack of HPSE partially interferes with this autocrine loop. Overall
these data confirm that HPSE is a key player in renal fibrosis since it interacts
with the regulation and the effects of TGF-beta. HPSE is needed for pathological
TGF-beta overexpression in response to pro-fibrotic factors. Furthermore, HPSE
modulates TGF-beta-induced EMT: the lack of HPSE delays tubular cell
transdifferentiation, and impairs the TGF-beta autocrine loop.
PMID- 24937190
TI - miR-141-3p inhibits human stromal (mesenchymal) stem cell proliferation and
differentiation.
AB - Wnt signaling determines human stromal (mesenchymal) stem cell (hMSC)
differentiation fate into the osteoblast or adipocyte lineage. microRNAs (miRNAs)
are small RNA molecules of 21-25 nucleotides that regulate many aspects of
osteoblast biology. Thus, we examined miRNAs regulated by Wnt signaling in hMSC.
We identified miRNA (miR)-141-3p as a Wnt target which in turn inhibited Wnt
signaling. Moreover, miR-141-3p inhibited hMSC proliferation by arresting cells
at the G1 phase of the cell cycle. miR-141-3p inhibited osteoblast
differentiation of hMSC as evidenced by reduced alkaline phosphatase activity,
gene expression and in vitro mineralized matrix formation. Bioinformatic studies,
Western blot analysis and 3'UTR reporter assay demonstrated that cell division
cycle 25A (CDC25A) is a direct target of miR-141-3p. siRNA-mediated knock-down of
CDC25A inhibited hMSC proliferation and osteoblast differentiation. In summary,
miR-141-3p acts as a negative regulator of hMSC proliferation and osteoblast
differentiation. Targeting miR-141-3p could be used as an anabolic therapy of low
bone mass diseases, e.g. osteoporosis.
PMID- 24937191
TI - When the Patient Is Sicker Than His Liver.
PMID- 24937188
TI - Beyond the FFA: The role of the ventral anterior temporal lobes in face
processing.
AB - Extensive research has supported the existence of a specialized face-processing
network that is distinct from the visual processing areas used for general object
recognition. The majority of this work has been aimed at characterizing the
response properties of the fusiform face area (FFA) and the occipital face area
(OFA), which together are thought to constitute the core network of brain areas
responsible for facial identification. Although accruing evidence has shown that
face-selective patches in the ventral anterior temporal lobes (vATLs) are
interconnected with the FFA and OFA, and that they play a role in facial
identification, the relative contribution of these brain areas to the core face
processing network has remained unarticulated. Here we review recent research
critically implicating the vATLs in face perception and memory. We propose that
current models of face processing should be revised such that the ventral
anterior temporal lobes serve a centralized role in the visual face-processing
network. We speculate that a hierarchically organized system of face processing
areas extends bilaterally from the inferior occipital gyri to the vATLs, with
facial representations becoming increasingly complex and abstracted from low
level perceptual features as they move forward along this network. The anterior
temporal face areas may serve as the apex of this hierarchy, instantiating the
final stages of face recognition. We further argue that the anterior temporal
face areas are ideally suited to serve as an interface between face perception
and face memory, linking perceptual representations of individual identity with
person-specific semantic knowledge.
PMID- 24937192
TI - Coronary plaque burden, as determined by cardiac computed tomography, in patients
with myocardial infarction and angiographically normal coronary arteries compared
to healthy volunteers: a prospective multicenter observational study.
AB - OBJECTIVES: Patients presenting with acute myocardial infarction and
angiographically normal coronary arteries (MINCA) represent a diagnostic and a
therapeutic challenge. Cardiac computed tomography (CT) allows detection of
coronary artery disease (CAD) even in the absence of significant stenosis. We
aimed to investigate whether patients suffering from MINCA had a greater coronary
plaque burden, as determined by cardiac CT, than a matched group of healthy
volunteers. METHODS: Consecutive patients, aged 45 to 70, with MINCA were
enrolled in the Stockholm metropolitan area. Patients with myocarditis were
excluded using cardiovascular magnetic resonance imaging. Remaining patients
underwent cardiac CT, as did a reference group of healthy volunteers matched by
age and gender, with no known cardiovascular disease. Plaque burden was evaluated
semi-quantitatively on a per patient and a per segment level. RESULTS: Despite a
higher prevalence of smoking and hypertension, patients with MINCA did not have
more CAD than healthy volunteers. Among 57 MINCA patients and 58 volunteers no
signs of CAD were found in 24 (42%) and 25 (43%) respectively. On a per segment
level, MINCA patients had less segments with stenosis >= 20% (2% vs. 5%, p<0.01),
as well as a smaller proportion of large (2% vs. 4%, p<0.05) and mixed type
plaques (1% vs. 4%, p<0.01). The median coronary calcium score did not differ
between MINCA patients and healthy volunteers (6 vs. 8, ns). CONCLUSIONS: MINCA
patients with no or minimal angiographic stenosis do not have more coronary
atherosclerosis than healthy volunteers, and a large proportion of these patients
do not have any signs of CAD, as determined by cardiac CT. The MINCA patient
group is probably heterogeneous, with a variety of different underlying
mechanisms. Non-obstructive CAD is most likely not the most prevalent cause of
myocardial infarction in this patient group.
PMID- 24937194
TI - Vibrational frequencies of fullerenes C60 and C70 under pressure studied with a
quantum chemical model including spatial confinement effects.
AB - The equilibrium geometry structural and vibrational spectroscopic properties of
fullerenes C60 and C70 under high pressure have been studied with a quantum
chemical computational approach in which ab initio calculations on a single
fullerene molecule have been carried out within the polarizable continuum model
framework to mimic pressure effects. The adopted approach has been revealed
effective to explain the geometry variations and the frequency shifts observed
experimentally.
PMID- 24937193
TI - Medical and regenerative solutions for congenital diaphragmatic hernia: a
perinatal perspective.
AB - In the EU-27, 2,100 babies with congenital diaphragmatic hernia (CDH) are born
annually. CDH is fatal in 30% of them. Experimental fetal surgery in severe cases
results in a survival rate of 50 to 60% at its best. Failure is due to
insufficient lung growth, persistent pulmonary hypertension or prematurity
induced by the procedure. For nonsurvivors alternative strategies are required.
Survivors undergo anatomical repair, but large diaphragmatic defects are closed
using a patch. At present the used materials are less than ideal, mainly because
of recurrence and chest deformation. To overcome the above limitations,
alternative medical therapies (pharmacologic or cell therapy) that are more
potent and less invasive are needed. Also a more functional postnatal repair may
be possible when using novel scaffolds or engineered constructs. We see a
prominent place for autologous amniotic fluid-derived stem cells for these novel
strategies, which could be prenatally harvested following appropriate patient
selection by noninvasive imaging.
PMID- 24937196
TI - Designing hollow nano gold golf balls.
AB - Hollow/porous nanoparticles, including nanocarriers, nanoshells, and mesoporous
materials have applications in catalysis, photonics, biosensing, and delivery of
theranostic agents. Using a hierarchical template synthesis scheme, we have
synthesized a nanocarrier mimicking a golf ball, consisting of (i) solid silica
core with a pitted gold surface and (ii) a hollow/porous gold shell without
silica. The template consisted of 100 nm polystyrene beads attached to a larger
silica core. Selective gold plating of the core followed by removal of the
polystyrene beads produced a golf ball-like nanostructure with 100 nm pits.
Dissolution of the silica core produced a hollow/porous golf ball-like
nanostructure.
PMID- 24937195
TI - Gd(III)-labeled peptide nanofibers for reporting on biomaterial localization in
vivo.
AB - Bioactive supramolecular nanostructures are of great importance in regenerative
medicine and the development of novel targeted therapies. In order to use
supramolecular chemistry to design such nanostructures, it is extremely important
to track their fate in vivo through the use of molecular imaging strategies.
Peptide amphiphiles (PAs) are known to generate a wide array of supramolecular
nanostructures, and there is extensive literature on their use in areas such as
tissue regeneration and therapies for disease. We report here on a series of PA
molecules based on the well-established beta-sheet amino acid sequence V3A3
conjugated to macrocyclic Gd(III) labels for magnetic resonance imaging (MRI).
These conjugates were shown to form cylindrical supramolecular assemblies using
cryogenic transmission electron microscopy and small-angle X-ray scattering.
Using nuclear magnetic relaxation dispersion analysis, we observed that thermal
annealing of the nanostructures led to a decrease in water exchange lifetime
(taum) of hundreds of nanoseconds only for molecules that self-assemble into
nanofibers of high aspect ratio. We interpret this decrease to indicate more
solvent exposure to the paramagnetic moiety on annealing, resulting in faster
water exchange within angstroms of the macrocycle. We hypothesize that faster
water exchange in the nanofiber-forming PAs arises from the dehydration and
increase in packing density on annealing. Two of the self-assembling conjugates
were selected for imaging PAs after intramuscular injections of the PA C16V3A3E3
NH2 in the tibialis anterior muscle of a murine model. Needle tracts were clearly
discernible with MRI at 4 days postinjection. This work establishes Gd(III)
macrocycle-conjugated peptide amphiphiles as effective tracking agents for
peptide amphiphile materials in vivo over the timescale of days.
PMID- 24937197
TI - Low-temperature solution-processed flexible solar cells based on (In,Ga)N
nanocubes.
AB - Indium gallium nitride nanocubes were syntheized via a low-temperature chemical
route. Energy-dispersive X-ray spectroscopy and X-ray diffraction analyses
confirmed the successful fabrication of (In,Ga)N with various indium mole
fractions. The bandgap of the material was tunded as a function of the indium
content. The fabricated nanocubes showed a deep level photoluminescence emission
at 734 nm as well as in the visible region at 435-520 nm. The Hall effect
measurements showed the hole concentration to constantly increase from 6.2 *
10(16) to 2.3 * 10(18) cm(-3), while the hole mobility to decrease from 0.92 to
0.1 cm(2) /(V s) as the doping ratio increases from 0.005 to 0.025 cm(-3). The
solar cell device made of nanocubes film containing 0.4 indium on flexible
substrates showed a short-circuit current density of 12.47 mA/cm(2) and an open
circuit voltage (Voc) of 0.48 V with 54% fill factor. The relationship between
Voc and indium content in the fabricated films was also investigated.
PMID- 24937198
TI - Late antibody-mediated rejection in renal allografts: outcome after conventional
and novel therapies.
AB - BACKGROUND: Although several strategies for treating early antibody-mediated
rejection (AMR) in kidney transplants have been investigated, evidence on
treatment of late AMR manifesting after 6 months is sparse. In this single-center
series, we present data on 23 consecutive patients treated for late AMR. METHODS:
Late AMR was diagnosed using Banff 2007 criteria along with presence of donor
specific antibodies (DSA) and acute rise in serum creatinine (SCr). Response to
therapy was assessed by improvement in SCr, histologic improvement, and decline
in DSA strength. RESULTS: Overall, 17% (4/23) had documented nonadherence while
69% (16/23) had physician-recommended reduction in immunosuppression before AMR.
Eighteen patients (78%) were treated with plasmapheresis or low-dose
IVIg+rituximab; 11 (49%) with refractory AMR also received one to three cycles of
bortezomib. While there was an improvement (P=0.02) in mean SCr (2.4 mg/dL) at
the end of therapy compared with SCr at the time of diagnosis (2.9 mg/dL), this
improvement was not sustained at most recent follow-up. Eleven (48%) patients had
no histologic resolution on follow-up biopsy. Lack of histologic response was
associated with older patients (odds ratio [OR]=3.17; P=0.04), presence of
cytotoxic DSA at time of diagnosis (OR=200; P=0.04), and severe chronic
vasculopathy (cv>=2) on index biopsy (OR=50; P=0.06). CONCLUSIONS: A major
setting in which late AMR occurred in our cohort was reduction or change in
immunosuppression. Our data demonstrate an inadequate response of late AMR to
current and novel (bortezomib) therapies. The benefits of therapy need to be
counterweighed with potential adverse effects especially in older patients, large
antibody loads, and chronic allograft vasculopathy.
PMID- 24937199
TI - High dose intravenous immunoglobulin therapy for donor-specific antibodies in
kidney transplant recipients with acute and chronic graft dysfunction.
AB - BACKGROUND: Postkidney transplant donor-specific antibodies (DSA) have been
identified as important contributors to graft loss. Few therapeutic options exist
and have been met with limited success. We report outcomes in patients with de
novo DSA and graft damage treated with a protocol of high-dose intravenous
immunoglobulin (IVIG). METHODS: Retrospective analysis of 28 kidney transplant
recipients with de novo DSA and graft damage in the form of either chronic graft
dysfunction (group 1, n=20) or a recent previous acute antibody-mediated
rejection (AMR) episode (group 2, n=8) prescribed a standard regimen of high-dose
(5 g/kg) IVIG dosed over 6 months. RESULTS: Mean fluorescence intensity (MFI) of
70 total DSA decreased by 12%at the end of treatment (T1, P=0.14) and by 18%at
last follow up (T2, P=0.035) compared with treatment initiation (T0) MFI. The
most robust effect was seen in class I DSA (37% decrease at T2 versus T0, P=0.05)
and in DSA from patients in group 2 (52% decrease at T2 versus T0, P=0.008).
Graft function stabilized in patients in group 2 but continued to decline in
those in group 1. CONCLUSION: High-dose IVIG resulted in modest DSA MFI
reductions in patients with previous graft damage, with a larger effect occurring
in class I DSA in patients with a previous acute AMR. There was no clinical
treatment benefit in patients with ongoing chronic graft damage, whereas high
dose IVIG may reduce the risk of chronic graft dysfunction in those with an acute
AMR event.
PMID- 24937200
TI - The contribution of DNA metabarcoding to fungal conservation: diversity
assessment, habitat partitioning and mapping red-listed fungi in protected
coastal Salix repens communities in the Netherlands.
AB - Western European coastal sand dunes are highly important for nature conservation.
Communities of the creeping willow (Salix repens) represent one of the most
characteristic and diverse vegetation types in the dunes. We report here the
results of the first kingdom-wide fungal diversity assessment in S. repens
coastal dune vegetation. We carried out massively parallel pyrosequencing of ITS
rDNA from soil samples taken at ten sites in an extended area of joined nature
reserves located along the North Sea coast of the Netherlands, representing
habitats with varying soil pH and moisture levels. Fungal communities in Salix
repens beds are highly diverse and we detected 1211 non-singleton fungal 97%
sequence similarity OTUs after analyzing 688,434 ITS2 rDNA sequences. Our
comparison along a north-south transect indicated strong correlation between soil
pH and fungal community composition. The total fungal richness and the number
OTUs of most fungal taxonomic groups negatively correlated with higher soil pH,
with some exceptions. With regard to ecological groups, dark-septate endophytic
fungi were more diverse in acidic soils, ectomycorrhizal fungi were represented
by more OTUs in calcareous sites, while detected arbuscular mycorrhizal genera
fungi showed opposing trends regarding pH. Furthermore, we detected numerous red
listed species in our samples often from previously unknown locations, indicating
that some of the fungal species currently considered rare may be more abundant in
Dutch S. repens communities than previously thought.
PMID- 24937201
TI - Comparative analysis of the quality of life for patients prior to and after heart
transplantation.
AB - BACKGROUND: The aim of this study was to assess the quality of life of patients
before and after heart transplantation (HTX). MATERIAL AND METHODS: We included
63 patients after a heart transplant under the care of the Transplantation
Clinic. The authors' questionnaire was used, which consisted of 2 parts:
questions concerning the life of patients before and after a heart transplant.
The significance level was p<0.05. RESULTS: In the group before the heart
transplant, average quality of life (on a 10-point scale) was 3.16 +/- 1.47 and
in the group after the heart transplant this factor increased to 7.60 +/- 1.21
(p<0.00001). Our study shows that after the heart transplant people consider
their physical health to be better. In the group before the heart transplant, the
average assessment of physical health on a scale from 1 to 5 was 2.079 +/- 0.79
and after the heart transplant it was 4.10 +/- 0.39 (p<0.0001). No statistically
significant correlations were indicated between the quality of life after the
heart transplant and the quality of life before the transplant, age, sex, and
time elapsed after the heart transplant. There was a positive correlation between
the assessment of quality of life and that of physical (r=0.53; p<0.05) and
mental health (r=0.45; p<0.05). CONCLUSIONS: The study shows that the quality of
life of patients after the heart transplant was significantly improved in all
spheres of life under analysis: physical, mental, social, and family. The results
of the study indicate that patients associated the quality of life with their
physical and mental health status.
PMID- 24937202
TI - Evidence for global cooling in the Late Cretaceous.
AB - The Late Cretaceous 'greenhouse' world witnessed a transition from one of the
warmest climates of the past 140 million years to cooler conditions, yet still
without significant continental ice. Low-latitude sea surface temperature (SST)
records are a vital piece of evidence required to unravel the cause of Late
Cretaceous cooling, but high-quality data remain illusive. Here, using an organic
geochemical palaeothermometer (TEX86), we present a record of SSTs for the
Campanian-Maastrichtian interval (~83-66 Ma) from hemipelagic sediments deposited
on the western North Atlantic shelf. Our record reveals that the North Atlantic
at 35 degrees N was relatively warm in the earliest Campanian, with maximum SSTs
of ~35 degrees C, but experienced significant cooling (~7 degrees C) after this
to <~28 degrees C during the Maastrichtian. The overall stratigraphic trend is
remarkably similar to records of high-latitude SSTs and bottom-water
temperatures, suggesting that the cooling pattern was global rather than regional
and, therefore, driven predominantly by declining atmospheric pCO2 levels.
PMID- 24937203
TI - Rhodium(III)-catalyzed regioselective C2-amidation of indoles with N-(2,4,6
trichlorobenzoyloxy)amides and its synthetic application to the development of a
novel potential PPARgamma modulator.
AB - A new and efficient method for the direct regioselective C2-amidation of various
functionalized indoles with several N-(2,4,6-trichlorobenzoyloxy)amides via
Rh(iii)-catalyzed C-H activation/N-O cleavage/C-N formation using the pyrimidyl
group as a readily installable and removable directing group has been developed.
With this method, a variety of valuable 2-amido indoles can be easily prepared
under mild conditions with broad functional group tolerance and excellent region
/site-specificities. Application of this strategy to the synthesis of target
compound as a novel PPARgamma modulator was also demonstrated. The results from
biological evaluation showed that compound had a partial PPARgamma agonistic
activity and a strong PPARgamma binding affinity with an IC50 value of 120.0 nM,
along with a less pronounced adipocyte differentiation ability compared to the
currently marketed anti-diabetic drug rosiglitazone, suggesting that further
development of such a compound might be of great interest.
PMID- 24937204
TI - Central nervous system penetration and effectiveness of darunavir/ritonavir
monotherapy.
AB - Darunavir/ritonavir monotherapy is an experimental switching strategy for
virologically suppressed patients without protease inhibitor resistance to avoid
nucleos(t)ide-related toxicities. This therapy maintains virological suppression
in most patients, but at slightly lower rates than standard therapy that includes
two nucleos(t)ides. Patients experiencing virological failure are generally re
suppressed without emergence of resistance with the resumption of two
nucleos(t)ides. Reports of cerebrospinal fluid viral escape has been observed in
patients receiving protease inhibitor monotherapy, and concerns exist regarding
the capacity of protease inhibitor monotherapy to control HIV infection in the
brain and to prevent neurocognitive decline. In the current report we have pooled
together available evidence regarding the capacity of darunavir/ritonavir
monotherapy to control HIV replication in cerebrospinal fluid and to prevent
neurocognitive decline.
PMID- 24937205
TI - Cycling time trial performance may be impaired by whey protein and L-alanine
intake during prolonged exercise.
AB - Previous studies reported that adding protein (PRO) to carbohydrate (CHO)
solutions enhances endurance performance. The ergogenic effect may be a function
of additional protein/amino acid calories, but this has not been examined. In
addition, although supplemental L-alanine (ALA) is readily oxidized during
exercise, the subsequent impact on metabolism and prolonged endurance performance
is unknown. The purpose of this investigation was to independently gauge the
impact of whey PRO hydrolysate and ALA supplementation on performance and various
physiological parameters. Eight cyclists (age: 22.3 +/- 5.6 yr, weight: 70.0 +/-
8.0 kg, VO2max: 59.4 +/- 4.9 ml . kg(-1) . min(-1)) performed 120 min of constant
load cycling (55% of peak power) followed by a 30-km time trial (TT) under
placebo (PLA), PRO, and ALA conditions. Magnitude-based qualitative inferences
were applied to evaluate treatment differences and data are presented as percent
difference between treatments +/- 90% confidence limit. Both ALA (2.1 +/- 2.7%)
and PRO intake (-2.1 +/- 2.2%) possibly harmed performance compared with PLA. Of
interest, heart rate was possibly lower with ALA than PLA at 20- (-2.7 +/- 3.4%)
and 120-min (-1.7 +/- 2.9%) of constant-load cycling and the serum interleukin-6
(IL-6) response to 120 min of cycling was likely attenuated with PRO compared
with PLA (PLA, 6.6 +/- 3.7 fold vs. PRO, 2.9 +/- 1.8 fold). In addition, blood
glucose levels were lower with PRO than PLA at 20- (-8.8 +/- 2.3%; very likely)
and 120-min (-4.9 +/- 4.6%; likely) of constant-load cycling. Although ALA intake
appears to lower HR and PRO ingestion dampens the IL-6 response to exercise, the
ingestion of PRO (without CHO) or ALA does not enhance, and may actually impair,
performance following prolonged cycling.
PMID- 24937206
TI - Recent advances toward a general purpose linear-scaling quantum force field.
AB - Conspectus There is need in the molecular simulation community to develop new
quantum mechanical (QM) methods that can be routinely applied to the simulation
of large molecular systems in complex, heterogeneous condensed phase
environments. Although conventional methods, such as the hybrid quantum
mechanical/molecular mechanical (QM/MM) method, are adequate for many problems,
there remain other applications that demand a fully quantum mechanical approach.
QM methods are generally required in applications that involve changes in
electronic structure, such as when chemical bond formation or cleavage occurs,
when molecules respond to one another through polarization or charge transfer, or
when matter interacts with electromagnetic fields. A full QM treatment, rather
than QM/MM, is necessary when these features present themselves over a wide
spatial range that, in some cases, may span the entire system. Specific examples
include the study of catalytic events that involve delocalized changes in
chemical bonds, charge transfer, or extensive polarization of the macromolecular
environment; drug discovery applications, where the wide range of nonstandard
residues and protonation states are challenging to model with purely empirical MM
force fields; and the interpretation of spectroscopic observables. Unfortunately,
the enormous computational cost of conventional QM methods limit their practical
application to small systems. Linear-scaling electronic structure methods (LSQMs)
make possible the calculation of large systems but are still too computationally
intensive to be applied with the degree of configurational sampling often
required to make meaningful comparison with experiment. In this work, we present
advances in the development of a quantum mechanical force field (QMFF) suitable
for application to biological macromolecules and condensed phase simulations.
QMFFs leverage the benefits provided by the LSQM and QM/MM approaches to produce
a fully QM method that is able to simultaneously achieve very high accuracy and
efficiency. The efficiency of the QMFF is made possible by partitioning the
system into fragments and self-consistently solving for the fragment-localized
molecular orbitals in the presence of the other fragment's electron densities.
Unlike a LSQM, the QMFF introduces empirical parameters that are tuned to obtain
very accurate intermolecular forces. The speed and accuracy of our QMFF is
demonstrated through a series of examples ranging from small molecule clusters to
condensed phase simulation, and applications to drug docking and protein-protein
interactions. In these examples, comparisons are made to conventional molecular
mechanical models, semiempirical methods, ab initio Hamiltonians, and a hybrid
QM/MM method. The comparisons demonstrate the superior accuracy of our QMFF
relative to the other models; nonetheless, we stress that the overarching role of
QMFFs is not to supplant these established computational methods for problems
where their use is appropriate. The role of QMFFs within the toolbox of
multiscale modeling methods is to extend the range of applications to include
problems that demand a fully quantum mechanical treatment of a large system with
extensive configurational sampling.
PMID- 24937207
TI - Short-term effects of different genetically modified maize varieties on arthropod
food web properties: an experimental field assessment.
AB - There is concern that genetically modified (GM) plants may have adverse affects
on the arthropod biodiversity comprising agricultural landscapes. The present
study report on a two year field experimental test of whether four different
genotypic lines, some are novel with no previous field tests, of GM maize hybrids
alter the structure of arthropod food webs that they harbour, relative to non-GM
maize (control) that is widely used in agriculture. The different GM genotypes
produced either Bt toxins, conferred glyphosate tolerance or a combination of the
two traits. Quantitative food web analysis, based on short-term assessment
assigning a total of 243,896 arthropod individuals collected from the treatments
to their positions in food webs, revealed that complex and stable food webs
persisted in each maize treatment. Moreover, food web structure remained
relatively unchanged by the GM-genotype. The results suggest that at least in
short-term period these particular GM maize genotypes will not have adverse
effects on arthropod biota of agricultural landscapes.
PMID- 24937208
TI - Carex diaoluoshanica (Carex sect. Lageniformes, Cyperaceae), a new species from
Hainan, China.
AB - Carex diaoluoshanica, a new species of Carex sect. Lageniformes from Hainan,
China, is described and illustrated. The new species is similar to C. breviscapa
but differs in having wider leaves with the leaf base gradually narrowed, 5-10 cm
long and petiolelike, culms subfiliform, with only two spikes, the lateral female
spikes from near the culm base.
PMID- 24937212
TI - Intermolecular zirconium-catalyzed hydrophosphination of alkenes and dienes with
primary phosphines.
AB - Catalytic hydrophosphination of terminal alkenes and dienes with primary
phosphines (RPH2; R = Cy, Ph) under mild conditions has been demonstrated using a
zirconium complex, [kappa(5)-N,N,N,N,C-(Me3SiNCH2CH2)2NCH2CH2NSiMe2CH]Zr (1).
Exclusively anti-Markovnikov functionalized products were observed, and the
catalysis is selective for either the secondary or tertiary phosphine (i.e.,
double hydrophosphination) products, depending on reaction conditions. The
utility of the secondary phosphine products as substrates for further elaboration
was demonstrated with a platinum-catalyzed asymmetric alkylation reaction.
PMID- 24937209
TI - Potent cytotoxic arylnaphthalene lignan lactones from Phyllanthus poilanei.
AB - Two new (1 and 2) and four known arylnaphthalene lignan lactones (3-6) were
isolated from different plant parts of Phyllanthus poilanei collected in Vietnam,
with two further known analogues (7 and 8) being prepared from phyllanthusmin C
(4). The structures of the new compounds were determined by interpretation of
their spectroscopic data and by chemical methods, and the structure of
phyllanthusmin D (1) was confirmed by single-crystal X-ray diffraction analysis.
Several of these arylnaphthalene lignan lactones were cytotoxic toward HT-29
human colon cancer cells, with compounds 1 and 7-O-[(2,3,4-tri-O-acetyl)-alpha-L
arabinopyranosyl)]diphyllin (7) found to be the most potent, exhibiting IC50
values of 170 and 110 nM, respectively. Compound 1 showed activity when tested in
an in vivo hollow fiber assay using HT-29 cells implanted in immunodeficient NCr
nu/nu mice. Mechanistic studies showed that this compound mediated its cytotoxic
effects by inducing tumor cell apoptosis through activation of caspase-3, but it
did not inhibit DNA topoisomerase IIalpha activity.
PMID- 24937211
TI - Drift rather than selection dominates MHC class II allelic diversity patterns at
the biogeographical range scale in natterjack toads Bufo calamita.
AB - Study of major histocompatibility complex (MHC) loci has gained great popularity
in recent years, partly due to their function in protecting vertebrates from
infections. This is of particular interest in amphibians on account of major
threats many species face from emergent diseases such as chytridiomycosis. In
this study we compare levels of diversity in an expressed MHC class II locus with
neutral genetic diversity at microsatellite loci in natterjack toad (Bufo
(Epidalea) calamita) populations across the whole of the species' biogeographical
range. Variation at both classes of loci was high in the glacial refugium areas
(REF) and much lower in postglacial expansion areas (PGE), especially in range
edge populations. Although there was clear evidence that the MHC locus was
influenced by positive selection in the past, congruence with the neutral markers
suggested that historical demographic events were the main force shaping MHC
variation in the PGE area. Both neutral and adaptive genetic variation declined
with distance from glacial refugia. Nevertheless, there were also some
indications from differential isolation by distance and allele abundance patterns
that weak effects of selection have been superimposed on the main drift effect in
the PGE zone.
PMID- 24937213
TI - Mid-ATR-FTIR spectroscopic profiling of HIV/AIDS sera for novel systems
diagnostics in global health.
AB - Global health, whether in developed or developing countries, is in need of robust
systems diagnostics for major diseases, such as HIV/AIDS, impacting the world
populations. Fourier transform Infrared (FTIR) spectroscopy of serum is a quick
and reagent-free methodology with which to analyze metabolic alterations such as
those caused by disease or treatment. In this study, Attenuated Total Reflectance
Fourier-Transform (ATR-FTIR) Spectroscopy was investigated as a means of
distinguishing HIV-infected treatment-experienced (HIV(pos) ART(pos), n=39) and
HIV-infected-treatment-naive (HIV(pos) ART(neg), n=16) subjects from uninfected
control subjects (n=30). Multivariate pattern recognition techniques, including
partial least squares discriminant analysis (PLS-DA) and orthogonal partial least
squares discriminant analysis (OPLS-DA), successfully distinguished sample
classes, while univariate approaches identified significant differences (p<0.05)
after Benjamini-Hochberg corrections. OPLS-DA discriminated between all groups
with sensitivity, specificity, and accuracy of >90%. Compared to uninfected
controls, HIV(pos) ART(pos) and HIV(pos) ART(neg) subjects displayed significant
differences in spectral regions linked to lipids/fatty acids (3010 cm(-1)),
carbohydrates (1299 cm(-1); 1498 cm(-1)), glucose (1035 cm(-1)), and proteins
(1600 cm(-1); 1652 cm(-1)). These are all molecules shown by conventional
biochemical analysis to be affected by HIV/ART interference. The biofluid
metabolomics approach applied here successfully differentiated global metabolic
profiles of HIV-infected patients and uninfected controls and detected potential
biomarkers for development into indicators of host response to treatment and/or
disease progression. Our findings therefore contribute to ongoing efforts for
capacity-building in global health for robust omics science and systems
diagnostics towards major diseases impacting population health.
PMID- 24937215
TI - Direct detection of Mycobacterium avium in environmental water and scale samples
by loop-mediated isothermal amplification.
AB - We previously demonstrated the colonization of Mycobacterium avium complex in
bathrooms by the conventional culture method. In the present study, we aimed to
directly detect M. avium organisms in the environment using loop-mediated
isothermal amplification (LAMP), and to demonstrate the efficacy of LAMP by
comparing the results with those obtained by culture. Our data showed that LAMP
analysis has detection limits of 100 fg DNA/reaction for M. avium. Using an
FTA((r)) elute card, DNA templates were extracted from environmental samples from
bathrooms in the residences of 29 patients with pulmonary M. avium disease. Of
the 162 environmental samples examined, 143 (88%) showed identical results by
both methods; 20 (12%) and 123 (76%) samples were positive and negative,
respectively, for M. avium. Of the remaining 19 samples (12%), seven (5%) and 12
(7%) samples were positive by the LAMP and culture methods, respectively. All
samples that contained over 20 colony forming units/primary isolation plate, as
measured by the culture method, were also positive by the LAMP method. Our data
demonstrate that the combination of the FTA elute card and LAMP can facilitate
prompt detection of M. avium in the environment.
PMID- 24937214
TI - Self-folding single cell grippers.
AB - Given the heterogeneous nature of cultures, tumors, and tissues, the ability to
capture, contain, and analyze single cells is important for genomics, proteomics,
diagnostics, therapeutics, and surgery. Moreover, for surgical applications in
small conduits in the body such as in the cardiovascular system, there is a need
for tiny tools that approach the size of the single red blood cells that traverse
the blood vessels and capillaries. We describe the fabrication of arrayed or
untethered single cell grippers composed of biocompatible and bioresorbable
silicon monoxide and silicon dioxide. The energy required to actuate these
grippers is derived from the release of residual stress in 3-27 nm thick films,
did not require any wires, tethers, or batteries, and resulted in folding angles
over 100 degrees with folding radii as small as 765 nm. We developed and applied
a finite element model to predict these folding angles. Finally, we demonstrated
the capture of live mouse fibroblast cells in an array of grippers and individual
red blood cells in untethered grippers which could be released from the substrate
to illustrate the potential utility for in vivo operations.
PMID- 24937216
TI - Prospective epidemiological pilot study on the morbidity of bathers exposed to
tropical recreational waters and sand.
AB - A prospective cohort epidemiological pilot study was performed at three tropical
beaches with point- and non-point-sources of fecal pollution to characterize the
risk of illness among swimmers and non-swimmers. There was an increased risk of
illness in swimmers as compared to non-swimmers, even when waters met current
microbial standards for recreational water quality. Illnesses included
gastrointestinal (GI), skin and respiratory symptoms, earache and fever. Odds
ratios (ORs) ranged from 0.32 to 42.35 (GI illness), 0.69 to 3.12 (skin
infections), 0.71 to 3.21 (respiratory symptoms), 0.52 to 15.32 (earache) and
0.80 to 1.68 (fever), depending on the beach sampled. The indicators that better
predicted the risks of symptoms (respiratory) in tropical recreational waters
were total (somatic and male-specific) coliphages (OR = 1.56, p < 0.10, R(2) =
3.79%) and Escherichia coli (OR = 1.38, p < 0.10, R(2) = 1.97%). The present
study supports the potential of coliphages as good predictors of risks of
respiratory illness in tropical recreational waters. This is the first study that
has determined risks of illness after exposure to tropical recreational waters
with point- and non-point sources of fecal contamination. The results give an
opportunity to perform epidemiological studies in tropical recreational waters in
Puerto Rico which can include more participants and other indicators and
detection techniques.
PMID- 24937217
TI - Drinking water quality monitoring using trend analysis.
AB - One of the common quality parameters for drinking water is residual aluminium.
High doses of residual aluminium in drinking water or water used in the food
industry have been proved to be at least a minor health risk or even to increase
the risk of more serious health effects, and cause economic losses to the water
treatment plant. In this study, the trend index is developed from scaled
measurement data to detect a warning of changes in residual aluminium level in
drinking water. The scaling is based on monotonously increasing, non-linear
functions, which are generated with generalized norms and moments. Triangular
episodes are classified with the trend index and its derivative. The severity of
the situations is evaluated by deviation indices. The trend episodes and the
deviation indices provide good tools for detecting changes in water quality and
for process control.
PMID- 24937218
TI - Proteomic regulation during Legionella pneumophila biofilm development: decrease
of virulence factors and enhancement of response to oxidative stress.
AB - Legionella pneumophila (L. pneumophila) is a Gram-negative bacterium, which can
be found worldwide in aquatic environments. It tends to persist because it is
often protected within biofilms or amoebae. L. pneumophila biofilms have a major
impact on water systems, making the understanding of the bacterial physiological
adaptation in biofilms a fundamental step towards their eradication. In this
study, we report for the first time the influence of the biofilm mode of growth
on the proteome of L. pneumophila. We compared the protein patterns of
microorganisms grown as suspensions, cultured as colonies on agar plates or
recovered with biofilms formed on stainless steel coupons. Statistical analyses
of the protein expression data set confirmed the biofilm phenotype specificity
which had been previously observed. It also identified dozens of proteins whose
abundance was modified in biofilms. Proteins corresponding to virulence factors
(macrophage infectivity potentiator protein, secreted proteases) were largely
repressed in adherent cells. In contrast, a peptidoglycan-associated lipoprotein
(Lpg2043) and a peroxynitrite reductase (Lpg2965) were accumulated by biofilm
cells. Remarkably, hypothetical proteins, that appear to be unique to the
Legionella genus (Lpg0563, Lpg1111 and Lpg1809), were over-expressed by sessile
bacteria.
PMID- 24937219
TI - The water environment as a source of potentially pathogenic mycobacteria.
AB - Nontuberculous mycobacteria (NTM) are ubiquitous organisms of a wide variety of
environmental reservoirs, including natural and municipal water, soil, aerosols,
protozoans, animals and humans. Several of these species are potential pathogens
which affect human health. The aim of this study was to determine the occurrence
of NTM in the water environment. Samples were taken from 13 water-related
facilities including fish ponds, storage ponds, drinking water reservoirs and an
experimental recirculation system. Altogether, 396 samples of water, sediment and
aquatic plants were collected and analysed. All samples were examined using
conventional culture methods. Suspected microbial isolates were subjected to
polymerase chain reaction analysis and identified using partial sequence analysis
of the 16S rDNA gene. The culture revealed 94/396 samples (23.7%) that contained
mycobacteria. Among known NTM we identified potentially pathogenic mycobacteria
isolated from the fresh water environment for the first time: Mycobacterium
asiaticum, M. chimaera, M. interjectum, M. kumamotonense, M. lentiflavum, M.
montefiorense, M. nebraskense, M. paraffinicum and M. simiae. Epidemiologic
studies suggest that the natural water environment is the principal source of
human exposure. Our results indicate that besides the well-known potentially
pathogenic mycobacteria it is important to observe occurrence, proliferation and
persistence of newly discovered mycobacterial species.
PMID- 24937220
TI - The effect of sodium azide concentration on the recovery of enterococci from
water.
AB - The ability of Slanetz and Bartley medium to recover chlorine-stressed
enterococci has been studied. Results showed that chlorine injury significantly
affected the ability of Slanetz and Bartley medium to recover enterococci while
lower concentrations of sodium azide in the same basal medium allowed their
recovery. However, reducing the concentration of sodium azide considerably
reduced the specificity making it unsuitable for use in the routine examination
of water. A non-azide-containing medium, Enterolert((r))-DW appeared to be able
to recover injured and non-injured enterococci with similar efficiency. The data
presented here suggest that further work is required to improve the recovery of
chlorine-injured enterococci by Slanetz and Bartley medium.
PMID- 24937222
TI - Relating the bivalve shellfish harvesting area classification criteria in the
United States and European Union programmes.
AB - Estimation of the level of risk of faecal contamination of shellfish harvesting
areas is undertaken by monitoring faecal indicator bacteria in seawater samples
under the United States programme and shellfish flesh samples under the European
Union (EU) programme. Determining the relationship between the two approaches is
important for assessing the relative level of public health protection and
regulating international trade. The relationship was investigated using both
statistical modelling and simple compliance assessment on large international
data sets of paired seawater and shellfish samples. The two approaches yielded
the same conclusions: EU class A is more stringent than the US Approved category
for all species; the US Restrictive standard is more restrictive than EU class B
for some bivalve species. Therefore, the classifications under the two programmes
are not exactly equivalent.
PMID- 24937221
TI - Assessment of swimmer behaviors on pool water ingestion.
AB - Enteric pathogens in pool water can be unintentionally ingested during swimming,
increasing the likelihood of acute gastrointestinal illness (AGI). AGI cases in
outbreaks are more likely to submerge heads than non-cases, but an association is
unknown since outbreak data are self-reported and prone to bias. In the present
study, head submersion frequency and duration were observed and analyzed for
associations with pool water ingestion measured using ultra high pressure liquid
chromatography - tandem mass spectrometry. Frequency of splashes to the face was
also quantified. Reliable tools that assess activities associated with pool water
ingestion are needed to identify ingestion risk factors and at-risk populations.
Objectives were to determine if the observed activities were associated with
ingestion, and to test environmental sensor and videography assessment tools.
Greater frequency and duration of head submersion were not associated with
ingestion, but frequency of splashes to the face, leisurely swimming, and being
<=18 were. Videography was validated for assessing swimmer head submersion
frequency. Results demonstrate ingestion risk factors can be identified using
videography and urine analysis techniques. Expanding surveys to include questions
on leisure swimming participation and frequency of splashes to the face is
recommended to improve exposure assessment during outbreak investigations.
PMID- 24937224
TI - Quantitative microbial risk assessment related to urban wastewater and lagoon
water reuse in Abidjan, Cote d'Ivoire.
AB - We assessed the infection risks related to the use of wastewater in Abidjan, Cote
d'Ivoire, by using quantitative microbial risk assessment (QMRA). Giardia lamblia
and Escherichia coli were isolated and identified in wastewater samples from the
canal and lagoon. The exposure assessment was conducted using a cross-sectional
survey by questionnaire with 150 individuals who were in contact with the
wastewater during their daily activities of swimming, fishing, washing, and
collecting materials for reuse. Risk was characterised using the Monte Carlo
simulation with 10,000 iterations. Results showed high contamination of water by
G. lamblia and E. coli (12.8 CFU/100 mL to 2.97 * 10(4)CFU/100 mL and from 0
cyst/L to 18.5 cysts/L, respectively). Estimates of yearly average infection
risks for E. coli (90.07-99.90%, assuming that 8% of E. coli were E. coli
O157:H7) and G. lamblia (9.4-34.78%) were much higher than the acceptable risk
(10(-4)). These results suggest the need for wastewater treatment plants, raising
awareness in the population in contact with urban wastewater and lagoon water.
Our study also showed that QMRA is appropriate to study health risks in settings
with limited data and budget resources.
PMID- 24937223
TI - Ceramic water filters impregnated with silver nanoparticles as a point-of-use
water-treatment intervention for HIV-positive individuals in Limpopo Province,
South Africa: a pilot study of technological performance and human health
benefits.
AB - Waterborne pathogens present a significant threat to people living with the human
immunodeficiency virus (PLWH). This study presents a randomized, controlled trial
that evaluates whether a household-level ceramic water filter (CWF) intervention
can improve drinking water quality and decrease days of diarrhea in PLWH in rural
South Africa. Seventy-four participants were randomized in an intervention group
with CWFs and a control group without filters. Participants in the CWF arm
received CWFs impregnated with silver nanoparticles and associated safe-storage
containers. Water and stool samples were collected at baseline and 12 months.
Diarrhea incidence was self-reported weekly for 12 months. The average diarrhea
rate in the control group was 0.064 days/week compared to 0.015 days/week in the
intervention group (p < 0.001, Mann-Whitney). Median reduction of total coliform
bacteria was 100% at enrollment and final collection. CWFs are an acceptable
technology that can significantly improve the quality of household water and
decrease days of diarrhea for PLWH in rural South Africa.
PMID- 24937225
TI - Microbiological assessment of private groundwater-derived potable water supplies
in the Mid-West Region of Ireland.
AB - Determining the likelihood that groundwater contains faecal coliforms can aid
water resource management in facilitating the protection of drinking water
supplies. This study assesses the incidence of the faecal indicator organism
Escherichia coli in 125 private water supplies (PWSs) serving individual houses
in the Mid-West Region of Ireland. Two factors, aquifer type and rainfall (mm),
were chosen as independent variables that can affect the vulnerability of a
groundwater body. Using a geographical information system, the relative
hydrogeological and climatological features unique to each sampling location were
derived. Utilising this information, a logistic regression (LR) model was used to
predict the probability of contamination of PWSs with E. coli. The model
contained two independent variables: rainfall (mm; p < 0.001) and aquifer
characteristics (p = 0.001). The full model, containing both predictors, was
statistically significant at p < 0.001, indicating that the model distinguished
between the independent variables' relationship to the incidence of
contamination. The likelihood of E. coli contamination is greater with increased
rainfall and in areas where a bedrock aquifer is dominant. The LR model explained
between 27.4% (Cox and Snell R squared) and 36.8% (Nagelkerke R squared) of the
variance in contamination and correctly classified 75.2% of cases.
PMID- 24937226
TI - Factors influencing householders' access to improved water in low-income urban
areas of Accra, Ghana.
AB - We analysed householders' access to improved water for drinking and other
domestic uses in five selected low-income urban areas of Accra, Ghana using a
survey of 1,500 households. Our definitions of improved water were different from
those suggested by the World Health Organization (WHO). The results revealed that
only 4.4% of the respondents had access to improved drinking water compared to
40.7% using the WHO definition. However, 88.7% of respondents had access to
improved water for domestic uses compared to 98.3% using the WHO definition.
Using logistic regression analysis, we established that the significant
determinant of householders' access to improved drinking water was income.
However, for access to improved water for other domestic uses, the significant
factors were education, income and location of the household. Compared to
migrants, indigenous people and people from mixed areas were less likely to have
access to improved water for other domestic purposes. For the analysis using the
WHO definitions, most of the independent variables were not statistically
significant in determining householders' access, and those variables that were
significant generated parameter estimates inconsistent with evidence from the
literature and anecdotal evidence from officials of public health and water
supply companies in Ghana.
PMID- 24937228
TI - Safety of packaged water distribution limited by household recontamination in
rural Cambodia.
AB - Packaged water treatment schemes represent a growing model for providing safer
water in low-income settings, yet post-distribution recontamination of treated
water may limit this approach. This study evaluates drinking water quality and
household water handling practices in a floating village in Tonle Sap Lake,
Cambodia, through a pilot cross-sectional study of 108 households, approximately
half of which used packaged water as the main household drinking water source. We
hypothesized that households purchasing drinking water from local packaged water
treatment plants would have microbiologically improved drinking water at the
point of consumption. We found no meaningful difference in microbiological
drinking water quality between households using packaged, treated water and those
collecting water from other sources, including untreated surface water, however.
Households' water storage and handling practices and home hygiene may have
contributed to recontamination of drinking water. Further measures to protect
water quality at the point-of-use may be required even if water is treated and
packaged in narrow-mouthed containers.
PMID- 24937227
TI - Removal of indigenous coliphages and enteric viruses during riverbank filtration
from highly polluted river water in Delhi (India).
AB - Emerging countries frequently afflicted by waterborne diseases require safe and
cost-efficient production of drinking water, a task that is becoming more
challenging as many rivers carry a high degree of pollution. A study was
conducted on the banks of the Yamuna River, Delhi, India, to ascertain if
riverbank filtration (RBF) can significantly improve the quality of the highly
polluted surface water in terms of virus removal (coliphages, enteric viruses).
Human adenoviruses and noroviruses, both present in the Yamuna River in the range
of 10(5) genomes/100 mL, were undetectable after 50 m infiltration and
approximately 119 days of underground passage. Indigenous somatic coliphages,
used as surrogates of human pathogenic viruses, underwent approximately 5 log10
removal after only 3.8 m of RBF. The initial removal after 1 m was 3.3 log10, and
the removal between 1 and 2.4 m and between 2.4 and 3.8 m was 0.7 log10 each. RBF
is therefore an excellent candidate to improve the water situation in emerging
countries with respect to virus removal.
PMID- 24937229
TI - Microbial source tracking and spatial analysis of E. coli contaminated private
well waters in southeastern Ontario.
AB - Private water supplies, which are the primary source of drinking water for rural
communities in developed countries, are at risk of becoming fecally contaminated.
It is important to identify the source of contamination in order to better
understand and address this human health risk. Microbial source tracking methods
using human, bovine and general Bacteroidales markers were performed on 716 well
water samples from southeastern Ontario, which had previously tested positive for
Escherichia coli. The results were then geospatially analyzed in order to
elucidate contamination patterns. Markers for human feces were found in nearly
half (49%) of all samples tested, and a statistically significant spatial cluster
was observed. A quarter of the samples tested positive for only general
Bacteroidales markers (25.7%) and relatively few bovine specific marker positives
(12.6%) were found. These findings are fundamental to the understanding of
pathogen dynamics and risk in the context of drinking well water and will inform
future research regarding host-specific pathogens in private well water samples.
PMID- 24937230
TI - Asynchronous stochastic Boolean networks as gene network models.
AB - Logical models have widely been used to gain insights into the biological
behavior of gene regulatory networks (GRNs). Most logical models assume a
synchronous update of the genes' states in a GRN. However, this may not be
appropriate, because each gene may require a different period of time for
changing its state. In this article, asynchronous stochastic Boolean networks
(ASBNs) are proposed for investigating various asynchronous state-updating
strategies in a GRN. As in stochastic computation, ASBNs use randomly permutated
stochastic sequences to encode probability. Investigated by several stochasticity
models, a GRN is considered to be subject to noise and external perturbation.
Hence, both stochasticity and asynchronicity are considered in the state
evolution of a GRN. As a case study, ASBNs are utilized to investigate the
dynamic behavior of a T helper network. It is shown that ASBNs are efficient in
evaluating the steady-state distributions (SSDs) of the network with random gene
perturbation. The SSDs found by using ASBNs show the robustness of the attractors
of the T helper network, when various stochasticity and asynchronicity models are
considered to investigate its dynamic behavior.
PMID- 24937232
TI - Analysis of the structural and functional roles of coupling helices in the ATP
binding cassette transporter MsbA through enzyme assays and molecular dynamics
simulations.
AB - ATP-binding cassette (ABC) transporters are constructed from some common
structural units: the highly conserved nucleotide-binding domains (NBDs), which
work as a nucleotide-dependent engine for driving substrate transport, the
diverse transmembrane domains (TMDs), which create the translocation pathway, and
the coupling helices (CHs), which are located at the NBD-TMD interface. Although
the CHs are believed to be essential for NBD-TMD communication, their roles
remain unclear. In this study, we performed enzyme assays and molecular dynamics
(MD) simulations of the ABC transporter MsbA and two MsbA mutants in which the
amino acid residues of one of the CHs were mutated to alanines: (i) wild type
(Wt), (ii) CH1 mutant (Mt1), and (iii) CH2 mutant (Mt2). The experiments show
that the CH2 mutation decreases the ATPase activity (kcat) compared with that of
the Wt (a decrease of 32%), and a nearly equal degree of decrease in the ATP
binding affinity (Km) was observed for both Mt1 and Mt2. The MD simulations
successfully accounted for several structural and dynamical origins for these
experimental observations. In addition, on the basis of collective motion and
morphing analyses, we propose that the reverse-rotational motions and noddinglike
motions between the NBDs and TMDs are indispensable for the conformational
transition between the inward- and outward-facing conformations. In particular,
CH2 is significantly important for the occurrence of the noddinglike motion.
These findings provide important insights into the structure-function
relationship of ABC transporters.
PMID- 24937231
TI - Modeling promising nonmyeloablative conditioning regimens in nonhuman primates.
AB - Minimal conditioning or even no conditioning would be the preferred preparation
for most gene therapy applications for nonmalignant diseases. However, reduced
intensity conditioning (RIC) regimens in patients with nonhematologic
malignancies have not led to long-term engraftment unless a selective advantage
was present for the transplanted donor cells. Similar findings have also been
observed in a number of large animal studies. Inadequate myelosuppression levels
were thought to be responsible for the outcomes. To address this issue several
innovative protocols in small animals have been presented with selective
hematopoietic myelosuppression and less systemic toxicity. Such protocols
promised to curb the transplant-related morbidity and mortality in myeloablative
conditioning and provide effective long-term engraftment, especially in patients
with gene-corrected autografts. In the present study we have tested some of these
promising RIC regimens in nonhuman primates, a clinically relevant large animal
model. Our data suggest that transient myelosuppression induced by anti-c-Kit
antibody in conjunction with low-dose irradiation may lead to long-term
engraftment, albeit at low levels. The animals with busulfan conditioning with or
without anti-c-Kit that received gene-modified autologous transplants with green
fluorescent protein expression had similar myelosuppression, but failed long-term
engraftment and despite immunosuppressive treatment had all the hallmarks seen
previously in similar models without immunosuppression. Our preliminary data
expand current knowledge of RIC and emphasize the need to explore whether
specific and directed myelosuppression alone is adequate in the absence of
microenvironmental modulation, or whether innovative combinations are necessary
for safe and effective engraftment.
PMID- 24937234
TI - Editorial.
PMID- 24937233
TI - Selective aerobic oxidation of alcohols to aldehydes, carboxylic acids, and
imines catalyzed by a Ag-NHC complex.
AB - Silver NHC catalysts have been developed for the selective oxidation of alcohols
to aldehydes or carboxylic acids in the presence of BnMe3NOH or KOH under dry
air. The aerobic oxidation conditions are mild, and the yield is excellent.
Further tandem catalysis enables the one-pot synthesis of imines in excellent
yield. Only 0.1 mol % of the catalyst is required.
PMID- 24937235
TI - Contacts of suicide attempters with healthcare services in Pecs and Bern in the
WHO/EURO Multicentre Study on Parasuicide.
AB - The aim of this study was to compare patterns of contact made by patients with
GPs and other healthcare professionals in two European cities prior to attempting
suicide. The objective was to determine whether differences between a public and
a private healthcare system could be a possible factor influencing the help
seeking behaviour of suicide attempters. Within the frame of the WHO/EURO
Multicentre Study on Parasuicide, structured interviews were conducted with
suicide attempters in Pecs, Hungary (n=101) and Bern, Switzerland (n=66). In
Bern, slightly more patients visited their GPs throughout the year. However,
within the last week before the suicide attempt, twice as many Hungarian patients
went to see a GP compared with the Bern sample. Almost the same proportion of the
patients had suicidal ideation, but in Pecs more than a quarter of them and in
Bern half of them talked about their suicidal thoughts. In the Hungarian sample,
more patients were prescribed medication and almost half of them used these drugs
for self-poisoning compared to over a quarter in Bern. The differences in help
seeking behaviour between the two samples may be related to the higher number of
practising GPs and a more consistent patient-doctor relationship in Bern. It is
likely that a consistent and personal relationship with a medical helper or
system (e.g. GP as gatekeeper) facilitates the communication of suicidal
ideation.
PMID- 24937236
TI - Sleep deprivation in depression: An integrative approach.
AB - The question as to whether the beneficial effect of sleep deprivation in
depression is related to the increased wakefulness or to the sleep suppression by
itself, is made moot by the search activity concept. According to this concept,
rapid eye movement (REM) sleep is functionally deficient in depression and
increases feelings of helplessness and hopelessness instead of restoring mood and
search activity. Thus, REM sleep deprivation, either selective or not, is
beneficial by breaking a vicious circle: depression in wakefulness...giving up
(helplessness) in dream scenario...increased depression in the subsequent
wakefulness. In addition, sleep deprivation is an important challenge for the
depressed patient. The ability to confront this challenge and maintain
wakefulness has a positive outcome on depression, especially when wakefulness is
accompanied by active behavior.
PMID- 24937237
TI - Correlates of suicidal ideation in general healthcare patients - Results of the
WHO Collaborative Study on Psychological Problems in General Health Care (WHO
PPGHC).
AB - In the WHO study on Psychological Problems in General Health Care (WHO-PPGHC), a
random sample of 5438 patients from 15 international centres were investigated to
study prevalence and type of mental disorders by use of standardized methods.
Using the General Health Questionnaire, 9.7% of the practice attenders admitted
that they have or recently had suicidal ideations. In a logistic regression
analysis, predictors of suicidal ideation were hopelessness, presence of an ICD
10 diagnosis, social disability in terms of occupational role functioning and
daily routine, and lack of social adaptation in terms of lower age and being less
educated. The predictors are discussed in an explanatory model of suicidal
ideation in general healthcare. Implications for patient care in general
healthcare are discussed.
PMID- 24937238
TI - Do we manage deliberate self-harm appropriately? Characteristics of general
hospital patients who are offered psychiatric aftercare.
AB - BACKGROUND The appropriateness of psychiatric management decisions following an
episode of deliberate self-harm is under-researched. AIM To determine whether the
offer of follow-up or psychiatric admission by psychiatric doctors is related to
known predictors of repetition of self-harm or completed suicide, and recognition
of a depressive disorder. METHODS Prospective survey of 158 adult self-harm
referrals from the general hospital. RESULTS Offer of aftercare was significantly
associated with a definite wish to die at the time of the attempt (P<0.001),
Beck's Suicide Intent score (P=0.001), Beck's Hopelessness score (P=0.001), age
(P<0.01) and an ICD-10 diagnosis of depression (P<0.001). Psychiatric admission
was more likely for men (P=0.01) and accommodation problems (P=0.04) and less
likely for relationship problems (P=0.01). CONCLUSIONS Psychiatrists are
selectively admitting or following up patients from established high-risk groups.
Given the limitations of suicide prevention and mental health resources, their
management is appropriate.
PMID- 24937239
TI - Factitious Disorders: the Baron's legacy.
AB - Our understanding of factitious disorders has expanded from that of medical and
surgical exotica into that of a much broader psychiatric disorder. Patients can
be divided into a nuclear group (classical Munchausen), a larger non-nuclear and
less socially deviant group, and children involved by proxy. There are many
aetiological theories, ranging from the psychoanalytic to the organic, and at
least some cases are learned at home. Physicians and other professionals must be
familiar with the general features of these disorders and have some idea of the
potential breadth of presentation. Diagnosis is based on a high index of
suspicion, some knowledge of the literature, and sound history taking, including
the collection of substantiating evidence (e.g. reports from other treating
agencies or from relatives). Management is controversial. Prolonged psychotherapy
may help some adult cases. Child safety issues are paramount in the case of
factitious disorder by proxy. Pseudoseizures are given special attention.
PMID- 24937240
TI - Olfactory reference syndrome manifests in a variety of psychiatric disorders.
AB - Olfactory reference syndrome (ORS) is a rare psychiatric condition characterized
by isolated olfactory hallucinations or illusionary misinterpretations of odours
with delusional self-reference and a typical contrite reaction. The
aetiopathology of the disorder is unclear. Three cases of ORS are presented,
which show the typical clinical symptom constellation, while pointing to various
underlying psychiatric illnesses and differing treatment responses. Nosological
aspects are discussed on the basis of the available literature.
PMID- 24937241
TI - "Value for money" in treating Alzheimer's disease with the new cholinesterase
inhibitors.
AB - The absolute number of dementia cases is likely to increase due to the impending
demographic changes. Several cost-of-illness studies of Alzheimer's disease,
mainly from a societal perspective in developed countries, have demonstrated a
huge economic burden. A substantial component of this huge economic burden is the
direct costs of institutionalization and the indirect cost incurred by informal
carers. This huge economic burden is of great interest because of the emergence
of several cholinesterase inhibitors with proven efficacy in the treatment of
Alzheimer's disease. Several cost-effectiveness studies of these drugs, based
mainly on Markov modelling techniques and using data from population-based
epidemiological studies and efficacy trials, have indicated that these drugs are
cost-effective. A theoretical delay in placement into a residential or a nursing
home and consequent cost savings may explain this cost-effectiveness. In the UK,
although health authorities would fund the prescription of these drugs, social
services would benefit from the cost savings; thus there is little financial
incentive for health authorities to fund these drugs.
PMID- 24937242
TI - Meige's syndrome associated with neuroleptic treatment and alcohol abuse.
AB - Meige's syndrome is characterized by blepharospasm and oromandibular dystonia. A
case is presented in association with long-term neuroleptic administration and
alcohol abuse. This syndrome may be a variant of tardive dystonia.
PMID- 24937243
TI - Substantial increase in number and dosage of antipsychotics in non-responsive vs.
responsive schizophrenia inpatients.
AB - INTRODUCTION Current clinical guidelines recommend the use of a single
antipsychotic drug for acute schizophrenic exacerbation. We examined whether this
approach is also employed in non-responsive schizophrenia inpatients. METHODS
Forty-one consecutively admitted schizophrenic inpatients were assigned into a
study group [n=22; non-responsive (DeltaBPRS<10%) to two consecutive trials of
antipsychotics prior to and following 24 weeks of hospitalization] and a
comparison group [n=19; partial-to-good (DeltaBPRS=10-27%) responders under
similar settings]. RESULTS The number and dosage of antipsychotics were increased
by 34% and 40% (P=0.016 and P=0.062, respectively) in the study group compared to
the comparison subjects following 24 weeks of hospitalization. In the study
group, a significant correlation was found between the number of antipsychotics
administered and their dose (r=0.22, n=88, P=0.04). CONCLUSION Clinicians do not
comply with evidence-based algorithms, and treat non-responsive schizophrenia
inpatients with a combination of antipsychotics and at substantially higher doses
compared to partial-to-good responders. Our findings discourage such practice.
PMID- 24937244
TI - Efficacy and tolerability of quetiapine in patients with schizophrenia switched
from other antipsychotics.
AB - OBJECTIVE The Seroquel Patient Evaluation on Changing Treatment Relative to Usual
Medication (SPECTRUM) study assessed the efficacy and tolerability of quetiapine
(SeroquelTM) in patients with schizophrenia switched from treatments providing
suboptimal outcomes. METHODS This was an international, open-label, non
comparative study, designed with titration to 400 mg/day quetiapine over 7 days,
then flexible dosing (300-750 mg/day) for 11 weeks. Efficacy was assessed with
the Positive and Negative Syndrome Scale (PANSS); Clinical Global Impression
(CGI) Severity of Illness and Global Improvement scores; and the Calgary
Depression Scale for Schizophrenia (CDSS). Clinical benefit and tolerability were
also assessed. RESULTS The mean modal dose of quetiapine was 505 mg/day; 509
patients switched to quetiapine from olanzapine (13%), risperidone (11%),
conventional antipsychotics (37%) and combinations of antipsychotics (28%),
amongst others. Significant decreases in CGI Severity of Illness and PANSS scores
and a significant improvement in CDSS score resulted from the switch (all P<0.001
versus baseline). There were significant reductions in extrapyramidal symptoms
(EPS) on the Simpson-Angus Scale (SAS) and Barnes Akathisia Scale (BAS) (both
P<0.001 versus baseline) and a low incidence of EPS-related adverse events
(4.7%). CONCLUSION Results indicate that switching to quetiapine was clinically
beneficial for patients with poor efficacy or intolerable side effects on their
previous antipsychotic medication.
PMID- 24937245
TI - Olanzapine use in acute porphyria.
AB - This report describes the use of olanzapine in the treatment of a patient with
hereditary coproporphyria. This patient suffered from paranoid delusions, poor
self-care and anxiety symptoms. The patient was commenced on olanzapine with a
good clinical response, and without significant adverse effects. This suggests
that olanzapine is a safe and effective treatment of psychotic symptoms in acute
porphyrias.
PMID- 24937246
TI - Neuropathology and genetics of dementia.
PMID- 24937247
TI - Hilary Koprowski, MD: A lifetime of work.
PMID- 24937248
TI - Hilary Koprowski, MD December 5, 1916-April 11, 2013.
PMID- 24937249
TI - Remembrances of Hilary Koprowski from a Surgeon's Perspective.
PMID- 24937250
TI - Nanotechnology-based approaches for the development of diagnostics, therapeutics,
and vaccines.
AB - The architecture of nanoparticles of biological origin, generally also known as
bionanoparticles, presents several features that are ideal for their use in
developing diagnostics, therapeutics, and vaccines. In this regard, particles
formed by viral proteins using recombinant DNA technology resemble authentic
virus particles. However, they lack infectivity due to the absence of genetic
components such as DNA or RNA. Hence, they are designated as virus-like particles
(VLP). VLPs possess the following characteristics: (1) they can be generated by
either a single or a few viral proteins; (2) their size, formed by viral
proteins, is in the range of 20 to100 nm; (3) the number of protein molecules
required for particle assembly is from hundreds to thousands, depending on the
VLP; (4) the protein(s) responsible for their assembly are amenable for
manipulation; and (5) multiple proteins/peptides can be incorporated into a VLP.
The potential advantages of VLPs directed by retroviral proteins are discussed in
this review.
PMID- 24937252
TI - Characterization of the degradation products of a color-changed monoclonal
antibody: tryptophan-derived chromophores.
AB - We describe the characterization of degradation products responsible for color
change in near UV-visible light-irradiated and heat-stressed monoclonal antibody
(mAb) drug product in liquid formulation. The treated samples were characterized
using reversed-phase HPLC and size-exclusion HPLC with absorption spectroscopy.
Both methods showed color change was due to chromophores formed on the mAb but
not associated with the formulation excipients in both light-irradiated and heat
stressed mAb samples. These chromophores were further located by a new peptide
mapping methodology with a combination of mass spectrometry and absorption
spectroscopy. Mass spectrometry identified the major tryptophan oxidation
products as kynurenine (Kyn), N-formylkynurenine (NFK), and hydroxytryptophan (OH
Trp). The absorption spectra showed that each of the tryptophan oxidation
products exhibited a distinct absorption band above 280 nm shifted to the longer
wavelengths in the order of OH-Trp < NFK < Kyn. The Kyn-containing peptide was
detected by absorption at 420 nm. No new absorption bands were observed for
either methionine or histidine oxidation products. This confirmed that tryptophan
oxidation products, but not methionine and histidine oxidation products, were
responsible for the color change. It is worth noting that a new oxidation product
with the loss of hydrogen (2 Da mass decrease) for Trp-107 of the heavy chain was
identified in the heat-stressed mAb sample. This oxidized tryptophan residue
exhibited a distinct absorption band at the maximum absorbance wavelength 335 nm,
which is responsible for the color change to yellow. This study showed that the
new peptide mapping methodology with a combination of mass spectrometry and
absorption spectroscopy is useful to identify tryptophan oxidation products as
chromophores responsible for color change in stressed mAb drug product.
PMID- 24937251
TI - Expression of recombinant vaccines and antibodies in plants.
AB - Plants are able to perform post-translational maturations of therapeutic proteins
required for their functional biological activity and suitable in vivo
pharmacokinetics. Plants can be a low-cost, large-scale production platform of
recombinant biopharmaceutical proteins such as vaccines and antibodies. Plants,
however, lack mechanisms of processing authentic human N-glycosylation, which
imposes a major limitation in their use as an expression system for therapeutic
glycoproducts. Efforts have been made to circumvent plant-specific N
glycosylation, as well as to supplement the plant's endogenous system with human
glycosyltransferases for non-immunogenic and humanized N-glycan production.
Herein we review studies on the potential of plants to serve as production
systems for therapeutic and prophylactic biopharmaceuticals. We have especially
focused on recombinant vaccines and antibodies and new expression strategies to
overcome the existing problems associated with their production in plants.
PMID- 24937254
TI - Factors that influence functional outcome after total or subtotal scapulectomy:
Japanese Musculoskeletal Oncology Group (JMOG) study.
AB - BACKGROUND: Scapulectomy requires not only joint resection but also wide
resection of the shoulder girdle muscles. Even the significance of reconstruction
has not yet been determined because of the difficulties in comparing the
different conditions. The purpose of this study was to investigate factors that
influence functional outcomes after scapulectomy in a multicenter study. METHODS:
This retrospective study comprised 48 patients who underwent total or subtotal
scapulectomy and were followed for at least one year after surgery. Patients were
registered at the Japanese Musculoskeletal Oncology Group affiliated hospitals.
Soft tissue reconstruction for joint stabilization was performed when there was
enough remaining tissue for reconstruction of the rotator cuff and tendons. In 23
cases, humeral suspension was performed. The average follow-up period was 61.9
months. Multivariate analysis was performed using the patient's background to
determine which factors influence the Enneking functional score or active range
of motion. RESULTS: The average functional score was 21.1 out of 30. Active
shoulder range of motion was 42.7 degree in flexion, 39.7 degree in abduction,
49.6 degree of internal rotation and 16.8 degree of external rotation. The amount
of remaining bone influenced functional outcome, which means that preserving the
glenoid or the acromion lead to better function compared to total scapulectomy
(p<0.01). Factors that influenced each functional measure include the amount of
remaining bone, soft tissue reconstruction, the length of the resected humerus
and nerve resection (p<0.05). CONCLUSION: Although shoulder function was almost
eliminated following total or subtotal scapulectomy, minimal resection of bone,
and soft tissue reconstruction should lead to better function.
PMID- 24937256
TI - Bioenergetics in chicken embryo fibroblast cells: evidence of lower proton leak
in spontaneously immortalized chicken embryo fibroblasts compared to young and
senescent primary chicken embryo fibroblast cells.
AB - A spontaneously immortalized chicken embryo fibroblast (CEF) cell line (DF-1) is
known to exhibit faster growth rate and greater sensitivity to oxidative stress
compared to the primary parent CEF (pCEF1 degrees ) cells. Thus, major objectives
of this study were to assess cell bioenergetics in pCEF1 degrees and DF-1 cells
under control conditions and in response to 4-hydroxy 2-nonenal (4-HNE) induced
oxidative challenge. Cell bioenergetics were assessed by flux analysis of oxygen
consumption rate (OCR). Under control conditions, DF-1 cells had higher OCR
associated with ATP synthase activity and mitochondrial oxygen reserve capacity
as well as lower OCR due to proton leak and non-mitochondrial cytochrome c
oxidase activity. In response to 4-HNE (0 to 30 MUM), DF-1 cells were more
sensitive to oxidant challenge than both young (passage 8) and senescent (passage
19) pCEF1 degrees cells. Both passages 8 and 19 pCEF1 degrees cells exhibited
higher proton leak in response to 4-HNE, but this was not observed in DF-1 cells.
Inducible proton leak occurs by 4-HNE stimulated activation of uncoupling protein
(UCP) and adenine nucleotide translocase (ANT). From mRNA expression data
indicated that ANT and avian UCP were down-regulated and up-regulated,
respectively, in DF-1 compared to pCEF1 degrees cells. Thus, we hypothesize that
DF-1 cells are unable to increase proton leak due to lower expression of ANT, but
not avian UCP, and this inability to increase proton leak contributes to greater
susceptibility to oxidative stress of DF-1 cells compared to pCEF1 degrees
cells.
PMID- 24937255
TI - Fed state prior to hemorrhagic shock and polytrauma in a porcine model results in
altered liver transcriptomic response.
AB - Hemorrhagic shock is a leading cause of trauma-related mortality in both civilian
and military settings. Resuscitation often results in reperfusion injury and
survivors are susceptible to developing multiple organ failure (MOF). The impact
of fed state on the overall response to shock and resuscitation has been explored
in some murine models but few clinically relevant large animal models. We have
previously used metabolomics to establish that the fed state results in a
different metabolic response in the porcine liver following hemorrhagic shock and
resuscitation. In this study, we used our clinically relevant model of
hemorrhagic shock and polytrauma and the Illumina HiSeq platform to determine if
the liver transcriptomic response is also altered with respect to fed state.
Functional analysis of the response to shock and resuscitation confirmed several
typical responses including carbohydrate metabolism, cytokine inflammation,
decreased cholesterol synthesis, and apoptosis. Our findings also suggest that
the fasting state, relative to a carbohydrate prefed state, displays decreased
carbohydrate metabolism, increased cytoskeleton reorganization and decreased
inflammation in response to hemorrhagic shock and reperfusion. Evidence suggests
that this is a consequence of a shrunken, catabolic state of the liver cells
which provides an anti-inflammatory condition that partially mitigates
hepatocellar damage.
PMID- 24937258
TI - Digestive efficiencies are independent of gut passage times in rainbow skinks
(Trachylepis margaritifer).
AB - Constraints on physiological processes imposed on ectotherms by environmental
temperatures can be severe, affecting many aspects of their biology. Included in
the suite of physiological processes affected is gut motility, with below optimum
temperatures generally resulting in slow gut passage. Trachylepis margaritifer
(rainbow skink) however presents an unusual pattern whereby gut passage time
decreases at a low temperature compared to when at an intermediate temperature.
It has been suggested that this may be a 'cutting-your-losses' response whereby
nutritional gain is sacrificed by voiding the digesta to reduce the risk of these
rotting within the gut at these low temperatures, and if this is so, it should
result in reduced digestive performance at 25 degrees C. We tested this
hypothesis by measuring appetite, apparent digestive efficiency (ADE) and
apparent assimilation efficiency (AAE) in T. margaritifer. We found that although
temperature significantly affected appetite and gut passage time, it did not
affect digestive efficiency. Both ADE (>90%) and AAE (>80%) were high and
temperature-independent across the range tested. Thus, the 'cutting-your-losses'
hypothesis does not explain faster gut passage at 25 degrees C. High digestive
parameters could be maintained by increasing concentrations of digestive enzyme
at low temperatures but remains to be tested in this species.
PMID- 24937257
TI - Changes in calpains and calpastatin in the soleus muscle of Daurian ground
squirrels during hibernation.
AB - We investigated changes in muscle mass, calpains, calpastatin and Z-disk
ultrastructure in the soleus muscle (SOL) of Daurian ground squirrels
(Spermophilus dauricus) after hibernation or hindlimb suspension to determine
possible mechanisms by which muscle atrophy is prevented in hibernators.
Squirrels (n=30) were divided into five groups: no hibernation group (PRE, n=6);
hindlimb suspension group (HLS, n=6); two month hibernation group (HIB, n=6); two
day group after 90+/-12 days of hibernation (POST, n=6); and forced exercise
group (one time forced, moderate-intensity treadmill exercise) after arousal (FE,
n=6). Activity and protein expression of calpains were determined by casein
zymography and western blotting, and Z-disk ultrastructure was observed by
transmission electron microscopy. The following results were found. Lower body
mass and higher SOL muscle mass (mg) to total body mass (g) ratio were observed
in HIB and POST; calpain-1 activity increased significantly by 176% (P=0.034) in
HLS compared to the PRE group; no significant changes were observed in calpain-2
activity. Protein expression of calpain-1 and calpain-2 increased by 83%
(P=0.041) and 208% (P=0.029) in HLS compared to the PRE group, respectively;
calpastatin expression increased significantly by 180% (P<0.001) and 153%
(P=0.007) in HIB and POST, respectively; the myofilaments were well-organized,
and the width of the sarcomere and the Z-disk both appeared visually similar
among the pre-hibernation, hibernating and post-hibernation animals. Inhibition
of calpain activity and consequently calpain-mediated protein degradation by
highly elevated calpastatin protein expression levels may be an important
mechanism for preventing muscle protein loss during hibernation and ensuring that
Z-lines remained ultrastructurally intact.
PMID- 24937259
TI - Molecular characterization of a cDNA encoding red pigment-concentrating hormone
in black tiger shrimp Penaeus monodon: Implication of its function in molt and
osmoregulation.
AB - Red pigment-concentrating hormone (RPCH) is a member of the AKH/RPCH peptide
family present mainly in crustaceans and insects. Insect AKH is responsible for
metabolic functions whereas RPCH plays a major role in the aggregation of red
chromatophores in crustaceans. In this study, a full-length cDNA of RPCH of the
black tiger shrimp, Penaeus monodon (PmRPCH) was cloned by Rapid Amplification of
cDNA Ends strategies from the eyestalk RNA. A 770 bp full-length PmRPCH cDNA
harbored 279 bp of an open reading frame encoding a signal peptide of 21 amino
acid residues, an 8 amino acid mature RPCH peptide, followed by 61 amino acid
residues of a RPCH precursor-related peptide. The highest levels of PmRPCH mRNA
expression were detected in eyestalks while lower expression was found in other
nervous tissues i.e. brain, thoracic ganglia and abdominal nerve cord. Expression
of PmRPCH was transiently stimulated upon hypersalinity change within 12 h
suggesting its osmoregulatory function. During the molting cycle, PmRPCH in the
eyestalk was expressed at the lowest level in the early pre-molt stage (D0), then
gradually increased over the pre-molt period and reached the highest level in the
late pre-molt (D4) and post-molt (AB) stages. RPCH peptide at a dose of 100 pmol
also increased gill Na(+)/K(+) ATPase activity in 36-48 h after injection.
However, PmRPCH did not accelerate the duration of molting cycle. Our results
provide the first evidence on the potential function of PmRPCH in molting,
probably by mediating hemolymph osmolality and ion transport enzymes during the
late pre-molt stage.
PMID- 24937260
TI - Multifactorial, site-specific recurrence models after radical cystectomy for
urothelial carcinoma: external validation in a cohort of Korean patients.
AB - PURPOSE: The aim of this study was to evaluate the accuracy of site-specific
recurrence models after radical cystectomy in the Korean population. MATERIALS
AND METHODS: We conducted a review of an electronic medical record of 572
patients who underwent radical cystectomy for urothelial carcinoma of the
bladder. Primary end point was the site-specific recurrence after radical
cystectomy. RESULTS: The median follow-up in the validation cohort was 42.3
months (interquartile range: 23.0-89.3 months). During the follow-up period,
there were 165 patients (28.8%), 85 (14.9%), 31 (5.4%), and 78 (13.6%) who
recurred in abdomen/pelvis, thoracic region, upper urinary tract, and bone,
respectively. The c-indices of abdomen/pelvis, thoracic region, upper urinary
tract, and bone models 3 years after radical cystectomy were 0.69 (95% confidence
interval [CI], 0.65-0.73), 0.69 (95% CI, 0.64-0.75), 0.61 (95% CI, 0.52-0.69),
and 0.65 (95% CI, 0.59-0.71), respectively. Kaplan-Meier curves demonstrated that
models discriminated well and log-rank test were all highly significant (all
p<0.001), except upper urinary tract model (p = 0.366). Decision curve analysis
revealed that the use of prediction models for abdomen/pelvis, thoracic region,
and bone recurrence was associated with net benefit gains relative to the treat
all strategy, but not the model for upper urinary tract recurrence. CONCLUSIONS:
Abdomen/pelvis, thoracic region, and bone models demonstrate moderate
discrimination, adequate calibration, and meaningful net benefit gains, whereas
upper urinary tract model does not seem applicable to patients from Asia because
it has suboptimal accuracy.
PMID- 24937261
TI - Gut microbes contribute to nitrogen provisioning in a wood-feeding cerambycid.
AB - Xylophagous insects often thrive on nutritionally suboptimal diets through
symbiotic associations with microbes that supplement their nutritional
requirements, particularly nitrogen. The wood-feeding cerambycid Anoplophora
glabripennis (Motschulsky) feeds on living, healthy host trees and harbors a
diverse gut microbial community. We investigated gut microbial contributions to
larval nitrogen requirements through nitrogen fixing and recycling (urea
hydrolysis) processes, using a combination of molecular, biochemical, and stable
isotope approaches. Genes and transcripts of conserved regions of the urease
operon (ureC) and nitrogen fixing (nif) regulon (nifH) were detected in A.
glabripennis eggs and larvae from naturally infested logs and from larvae reared
on artificial diet. Significant nitrogen fixation and recycling were documented
in larvae using (15)N2 gas and (15)N-urea, respectively. Subsequent (15)N-routing
of incorporated recycled nitrogen into larval essential and nonessential amino
acids was shown for (15)N-urea diet-fed larvae. Results from this study show
significant gut microbial contributions to this insect's metabolic nitrogen
utilization through nitrogenous waste product recycling and nitrogen fixation.
PMID- 24937262
TI - Feeding regulation in Drosophila.
AB - Neuromodulators play a key role in adjusting animal behavior based on
environmental cues and internal needs. Here, we review the regulation of
Drosophila feeding behavior to illustrate how neuromodulators achieve behavioral
plasticity. Recent studies have made rapid progress in determining molecular and
cellular mechanisms that translate the metabolic needs of the fly into changes in
neuroendocrine and neuromodulatory states. These neuromodulators in turn promote
or inhibit discrete feeding behavioral subprograms. This review highlights the
links between physiological needs, neuromodulatory states, and feeding decisions.
PMID- 24937263
TI - N- and O-acetylation of threonine residues in the context of proteomics.
AB - The detection of post-translational modifications (PTMs) of proteins is a matter
of intensive research. Among all possible pitfalls that may lead to
misidentifications, the chemical stability of modified peptides is scarcely
questioned. Global proteomic studies devoted to protein acetylation are becoming
popular. Thus, we were concerned about the intrinsic stability of O-acetylated
peptides because of the O-N acyl transfer reactivity occurring when an amino
moiety is present in the vicinity of the acylated hydroxyl group. Here, the
behavior of isomeric O- and N-acetylated, N-terminal threonine-containing
peptides was explored in a standard proteomic workflow. We demonstrated a strong
chemical instability of O-acetylation, which prevents its detection.
PMID- 24937264
TI - Risk stratification for advanced colorectal neoplasia according to fecal
hemoglobin concentration in a colorectal cancer screening program.
AB - BACKGROUND & AIMS: The latest generation of fecal immunochemical tests (FIT)
allows for quantitation of hemoglobin in feces, allowing for selection of optimal
cut-off concentrations. We investigated whether individuals with positive results
from quantitative FITs, in combination with other factors, could be identified as
being at greatest risk for advanced colorectal neoplasia. METHODS: In a
retrospective study, we analyzed data from a consecutive series of 3109
participants with positive results from FITs (>=20 MUg/g of feces) included in
the first round of the Barcelona colorectal cancer screening program, from
December 2009 through February 2012. All participants underwent colonoscopy and
were assigned to groups with any advanced colorectal neoplasia or with
nonadvanced colorectal neoplasia (but with another diagnosis or normal
examination findings). RESULTS: Median fecal hemoglobin concentrations were
significantly higher in participants with advanced colorectal neoplasia (105
MUg/g; interquartile range, 38-288 MUg/g) compared with participants with
nonadvanced colorectal neoplasia (47 MUg/g; interquartile range, 23-119 MUg/g) (P
< .001). Positive predictive values for advanced colorectal neoplasia, determined
using arbitrary fecal hemoglobin concentrations, differed with sex and age.
Multivariate logistic regression analysis identified sex (men: odds ratio [OR],
2.07; 95% confidence interval, 1.78-2.41), age (60-69 y: OR, 1.24; 95% confidence
interval, 1.07-1.44), and fecal hemoglobin concentration (>177 MUg/g: OR, 3.80;
95% confidence interval, 3.07-4.71) as independent predictive factors for
advanced colorectal neoplasia. Combining these factors, we identified 16 risk
categories associated with different probabilities of identifying advanced
colorectal neoplasia. Risk for advanced colorectal neoplasia increased 11.46-fold
among individuals in the highest category compared with the lowest category;
positive predictive values ranged from 21.3% to 75.6%. CONCLUSIONS: Fecal
hemoglobin concentration, in addition to sex and age, in individuals with
positive results from FITs can be used to stratify probability for the detection
of advanced colorectal neoplasia. These factors should be used to prioritize
individuals for colonoscopy examination.
PMID- 24937265
TI - Risk of upper gastrointestinal bleeding from different drug combinations.
AB - BACKGROUND & AIMS: Concomitant use of nonsteroidal anti-inflammatory drugs
(NSAIDs) and low-dose aspirin increases the risk of upper gastrointestinal
bleeding (UGIB). Guidelines suggest avoiding certain drug combinations, yet
little is known about the magnitude of their interactions. We estimated the risk
of UGIB during concomitant use of nonselective (ns)NSAIDs, cyclooxygenase -2
selective inhibitors (COX-2 inhibitors), and low-dose aspirin with other drugs.
METHODS: We performed a case series analysis of data from 114,835 patients with
UGIB (930,888 person-years of follow-up) identified from 7 population-based
health care databases (approximately 20 million subjects). Each patient served as
his or her own control. Drug exposure was determined based on prescriptions of
nsNSAIDs, COX-2 inhibitors, or low-dose aspirin, alone and in combination with
other drugs that affect the risk of UGIB. We measured relative risk (incidence
rate ratio [IRR] during drug exposure vs nonexposure) and excess risk due to
concomitant drug exposure (relative excess risk due to interaction [RERI]).
RESULTS: Monotherapy with nsNSAIDs increased the risk of diagnosis of UGIB (IRR,
4.3) to a greater extent than monotherapy with COX-2 inhibitors (IRR, 2.9) or low
dose aspirin (IRR, 3.1). Combination therapy generally increased the risk of
UGIB; concomitant nsNSAID and corticosteroid therapies increased the IRR to the
greatest extent (12.8) and also produced the greatest excess risk (RERI, 5.5).
Concomitant use of nsNSAIDs and aldosterone antagonists produced an IRR for UGIB
of 11.0 (RERI, 4.5). Excess risk from concomitant use of nsNSAIDs with selective
serotonin reuptake inhibitors (SSRIs) was 1.6, whereas that from use of COX-2
inhibitors with SSRIs was 1.9 and that for use of low-dose aspirin with SSRIs was
0.5. Excess risk of concomitant use of nsNSAIDs with anticoagulants was 2.4, of
COX-2 inhibitors with anticoagulants was 0.1, and of low-dose aspirin with
anticoagulants was 1.9. CONCLUSIONS: Based on a case series analysis, concomitant
use of nsNSAIDs, COX-2 inhibitors, or low-dose aspirin with SSRIs significantly
increases the risk of UGIB. Concomitant use of nsNSAIDs or low-dose aspirin, but
not COX-2 inhibitors, with corticosteroids, aldosterone antagonists, or
anticoagulants produces significant excess risk of UGIB.
PMID- 24937266
TI - Prion protein interaction with soil humic substances: environmental implications.
AB - Transmissible spongiform encephalopathies (TSE) are fatal neurodegenerative
disorders caused by prions. Animal TSE include scrapie in sheep and goats, and
chronic wasting disease (CWD) in cervids. Effective management of scrapie in many
parts of the world, and of CWD in North American deer population is complicated
by the persistence of prions in the environment. After shedding from diseased
animals, prions persist in soil, withstanding biotic and abiotic degradation. As
soil is a complex, multi-component system of both mineral and organic components,
it is important to understand which soil compounds may interact with prions and
thus contribute to disease transmission. Several studies have investigated the
role of different soil minerals in prion adsorption and infectivity; we focused
our attention on the interaction of soil organic components, the humic substances
(HS), with recombinant prion protein (recPrP) material. We evaluated the kinetics
of recPrP adsorption, providing a structural and biochemical characterization of
chemical adducts using different experimental approaches. Here we show that HS
act as potent anti-prion agents in prion infected neuronal cells and in the
amyloid seeding assays: HS adsorb both recPrP and prions, thus sequestering them
from the prion replication process. We interpreted our findings as highly
relevant from an environmental point of view, as the adsorption of prions in HS
may affect their availability and consequently hinder the environmental
transmission of prion diseases in ruminants.
PMID- 24937267
TI - Decline of umami preference in aged rats.
AB - The effects of aging on the umami sensation were compared between the preference
and neural responses from the greater superficial petrosal nerve (GSP innervating
the soft palate) and the chorda tympani nerve (CT innervating the fungiform
papillae) in the Sprague Dawley rat. A two-bottle preference test revealed that
younger rats (5-12 weeks) preferred significantly 0.001 M 5'-inosine
monophosphate (IMP), 0.01 M mono sodium glutamate (MSG), and binary mixtures of
0.001 M IMP+0.01 M MSG than deionized water. However, aged rats (21-22 months)
showed no significant preference to these umami solutions compared to deionized
water. Among the other four basic taste stimuli, there were no significant
differences in preference between young and aged rats. Regardless of the age of
the rat, neural responses from the GSP and CT produced robust integrated
responses to all three umami solutions used in the two-bottle tests. These
results indicate that the lack of preference to umami in aged rats is a central
nervous system phenomenon and suggests that the loss of preference to umami taste
in aged rats is caused by homeostatic changes in the brain incurred by aging.
PMID- 24937268
TI - Microvascular network alterations in retina of subjects with cerebral small
vessel disease.
AB - Novel retinal imaging techniques have enabled the assessment of quantitative
vascular parameters, which provide information on the microvasculature before the
appearance of retinopathy signs. Advances in neuroimaging have revealed that
cerebral microbleeds (CMB) - besides lacunar infarcts and white matter lesions
(WML) - may be a novel marker of cerebral small vessel disease. We examine
whether quantitative retinal vascular parameters are related to cerebral small
vessel disease in a Chinese population. Participants from Epidemiology of
Dementia in Singapore Study underwent comprehensive examinations, including 3
Tesla cranial magnetic resonance imaging and retinal-photography. Retinal
vascular parameters (caliber, tortuosity, fractal dimension) were measured from
photographs using a semi-automated computer-assisted program. Lacunar infarcts
and CMB were visually graded. Total brain and WML volume were obtained using a
validated segmentation tool. A total of 261 subjects were included, of whom 36
had lacunar infarcts, 29 had severe WML, and 83 had CMB. In age-sex-adjusted
models, narrower retinal arteriolar caliber, wider venular caliber and smaller
arteriolar fractal dimension were associated with presence of multiple CMB. In
contrast, no association was found with lacunar infarcts and WML volume. After
multivariate adjustments, associations of venular caliber, arteriolar fractal
dimensions and arteriolar tortuosity with CMB remained statistically significant.
In conclusion, subjects with early structural changes in retinal microvasculature
were more likely to have CMBs, supporting hypothesis that CMB may be an early
manifestation of cerebral small vessel disease.
PMID- 24937269
TI - Healthy children show gender differences in correlations between nonverbal
cognitive ability and brain activation during visual perception.
AB - Humans perceive textual and nontextual information in visual perception, and both
depend on language. In childhood education, students exhibit diverse perceptual
abilities, such that some students process textual information better and some
process nontextual information better. These predispositions involve many
factors, including cognitive ability and learning preference. However, the
relationship between verbal and nonverbal cognitive abilities and brain
activation during visual perception has not yet been examined in children. We
used functional magnetic resonance imaging to examine the relationship between
nonverbal and verbal cognitive abilities and brain activation during nontextual
visual perception in large numbers of children. A significant positive
correlation was found between nonverbal cognitive abilities and brain activation
in the right temporoparietal junction, which is thought to be related to
attention reorienting. This significant positive correlation existed only in
boys. These findings suggested that male brain activation differed from female
brain activation, and that this depended on individual cognitive processes, even
if there was no gender difference in behavioral performance.
PMID- 24937270
TI - QEEG indexed frontal connectivity effects of transcranial pulsed current
stimulation (tPCS): A sham-controlled mechanistic trial.
AB - Transcranial pulsed current stimulation (tPCS) is a non-invasive brain
stimulation technique that employs weak, pulsed current at different frequency
ranges, inducing electrical currents that reach cortical and subcortical
structures. Very little is known about its effects on brain oscillations and
functional connectivity and whether these effects are dependent on the frequency
of stimulation. Our aim was to evaluate the effects of tPCS with different
frequency ranges in cortical oscillations indexed by high-resolution qEEG changes
for power and interhemispheric coherence. Thirty-eight healthy subjects were
enrolled and received a single 20-min session of either sham or active
stimulation with 1 Hz, 100 Hz or random frequency (1-5 Hz). We conducted an
exploratory analysis to detect changes in mean power for theta, alpha and beta,
and interhemispheric coherence for alpha and theta and four different sub-bands
cognitive and non-specific adverse effects were recorded. We found that active
stimulation with a random frequency ranging between 1 and 5 Hz is able to
significantly increase functional connectivity for the theta and low-alpha band
as compared to sham and active stimulation with either 1 or 100 Hz. Based on
these findings, we discuss the possible effects of tPCS on resting functional
connectivity for low-frequency bands in fronto-temporal areas. Future studies
should be conducted to investigate the potential benefit of these induced changes
in pathologic states.
PMID- 24937272
TI - Lack of protracted behavioral abnormalities following intermittent or continuous
chronic mild hypoxia in perinatal C57BL/6 mice.
AB - Several prospective studies indicated perinatal hypoxia as risk factor for
psychiatric disorders like schizophrenia. It is thought that hypoxia prior to or
during birth may contribute to alterations leading to the protracted clinical
manifestation during young adulthood. However, only a small fraction of children
with a history of perinatal hypoxia develop later psychotic symptoms, therefore
it is not known if hypoxia alone is sufficient to trigger long-term behavioral
changes. Here we exposed C57BL/6 mice from postnatal day 3-7 (P3-P7) to two
established paradigms of chronic mild hypoxia (10% ambient O2), intermittent and
continuous. Subsequently, mice were analysed during young adult stages using
several basic behavioral tests. Previous studies demonstrated severe, but only
transient, cortical damage in these paradigms; it is not clear, if these
reversible morphological changes are accompanied by long-term behavioral effects.
We found that neither intermittent nor continuous perinatal hypoxia induced long
term behavioral alterations. This may be due to the high regenerative capacity of
the perinatal brain. Other possibilities include a potential resistance to
perinatal hypoxia of the mouse strain used here or a level of hypoxia that was
insufficient to trigger significant behavioral changes. Therefore, our data do
not exclude a role of perinatal hypoxia as risk factor for psychiatric disorders.
They rather suggest that either other, more severe hypoxic conditions like
anoxia, or the presence of additional factors (as genetic risk factors) are
necessary for generating long-term behavioral abnormalities.
PMID- 24937271
TI - Blood brain barrier is impermeable to solutes and permeable to water after
experimental pediatric cardiac arrest.
AB - Pediatric asphyxial cardiac arrest (CA) results in unfavorable neurological
outcome in most survivors. Development of neuroprotective therapies is contingent
upon understanding the permeability of intravenously delivered medications
through the blood brain barrier (BBB). In a model of pediatric CA we sought to
characterize BBB permeability to small and large molecular weight substances.
Additionally, we measured the percent brain water after CA. Asphyxia of 9 min was
induced in 16-18 day-old rats. The rats were resuscitated and the BBB
permeability to small (sodium fluorescein and gadoteridol) and large
(immunoglobulin G, IgG) molecules was assessed at 1, 4, and 24 h after asphyxial
CA or sham surgery. Percent brain water was measured post-CA and in shams using
wet-to-dry brain weight. Fluorescence, gadoteridol uptake, or IgG staining at 1,
4h and over the entire 24 h post-CA did not differ from shams, suggesting absence
of BBB permeability to these solutes. Cerebral water content was increased at 3h
post-CA vs. sham. In conclusion, after 9 min of asphyxial CA there is no BBB
permeability over 24h to conventional small or large molecule tracers despite the
fact that cerebral water content is increased early post-CA indicating the
development of brain edema. Evaluation of novel therapies targeting neuronal
death after pediatric CA should include their capacity to cross the BBB.
PMID- 24937273
TI - Low bioavailability of silver nanoparticles presents trophic toxicity to marine
medaka (Oryzias melastigma).
AB - Concerns for the potential risks of silver nanoparticles (AgNPs) to aquatic
organisms have increased. The present study investigated the trophic transfer of
AgNPs from brine shrimp (Artemia salina) nauplii to marine medaka. We found that
the aggregated AgNPs (20 and 80 nm) and well dispersed 80-nm AgNPs (stabilized by
20 MUM Tween 20) could be readily accumulated by brine shrimp, while far less
well-dispersed 20-nm AgNPs were accumulated. The assimilation efficiency (AE) of
AgNPs in medaka fed AgNPs-contaminated brine shrimp was low (<6%), resulting in a
low trophic transfer efficiency (0.01-0.04) after 28 days of chronic dietary
exposure. However, such low bioavailability of dietary AgNPs could inhibit the
whole-body Na+/K+-ATPase and superoxide dismutase (SOD) activity in the fish
within the first 2 weeks of exposure. Significant (p<0.05, two-way ANOVA)
inhibition occurred in the high AgNPs-contaminated brine shrimp treatment over 28
days of chronic exposure. Furthermore, reduced growth and water content
percentage were also observed in fish fed high dosages of AgNPs-contaminated
brine shrimp. Our study highlighted the potential of trophically available AgNPs
in bringing toxicity to the marine fish.
PMID- 24937274
TI - Paneth cells.
PMID- 24937275
TI - Lunar cycle effects on sleep and the file drawer problem.
PMID- 24937276
TI - Human sleep and cortical reactivity are influenced by lunar phase.
PMID- 24937277
TI - Climate-linked iceberg activity massively reduces spatial competition in
Antarctic shallow waters.
PMID- 24937278
TI - Neural circuit assembly: economically wired by a single cadherin.
AB - Neurons are thought to acquire shapes and configurations consistent with the
wiring optimization principle. A new study sheds light on the underlying
molecular mechanisms by demonstrating that N-cadherin-mediated differential
adhesion determines relative neurite positioning in developing columnar synaptic
modules.
PMID- 24937279
TI - Sleep: a biological stimulus from our nearest celestial neighbor?
AB - Three studies have retrospectively analysed different data-sets to assess whether
there is an effect of lunar phase upon human sleep. The results and conclusions
differ. Until specifically designed experiments, controlling for key variables,
are undertaken this issue will remain open.
PMID- 24937280
TI - Autophagy: close contact keeps out the uninvited.
AB - In selective autophagy, autophagosomes sequester specific targets to be degraded
in lysosomes/vacuoles. A new study now provides critical insights into the
mechanism by which the autophagosomal membrane closely sticks to the target to
avoid incorporating material that should not be degraded.
PMID- 24937281
TI - Horizontal gene transfer: accidental inheritance drives adaptation.
AB - Few facts in biology are more certain than offspring inheriting genetic material
from their parents, but not all genes are acquired this way. A new report
documents the horizontal transfer of a potentially adaptive gene between
distantly related plants.
PMID- 24937282
TI - Evolution: how a barnacle came to parasitise a shark.
AB - A new study on a parasitic barnacle that lives on a deep sea shark found that its
closest living relatives are rocky shore barnacles. The findings provide insight
into barnacle phylogeny and raise new questions about the evolution of
parasitism.
PMID- 24937283
TI - Sensory biology: it takes Piezo2 to tango.
AB - A trio of papers has resolved an outstanding controversy regarding the function
of Merkel cells and their afferent nerve fiber partners. Merkel cells sense
mechanical stimuli (through Piezo2), fire action potentials, and are sufficient
to activate downstream sensory neurons.
PMID- 24937284
TI - Development: better sleep on it, children.
AB - A new study has identified a neural circuit that is responsible for increasing
sleep in young fruit flies. Reduced dopamine signaling to the fan-shaped body
during early life promotes sleep and is critical for proper brain development.
PMID- 24937285
TI - Genomic stability: boosting cohesion corrects CIN.
AB - Chromosomal instability is a driving force for heterogeneity within tumours. A
recent study shows that boosting sister chromatid cohesion corrects chromosomal
instability in pRB-deficient cancer cells. This key finding provides an important
lead to make tumours more susceptible to anti-cancer drugs.
PMID- 24937286
TI - Innate lymphoid cells: of precursors and products....
AB - Recent reports have identified committed innate lymphoid cell (ILC) precursors
and tissue-resident ILC subsets that have unique functional attributes. Taken
together, these studies provide a framework for understanding how distinct ILCs
are generated during hematopoiesis and further suggest additional parallels
between models of ILC and T helper cell differentiation.
PMID- 24937287
TI - Somatic mosaicism and disease.
AB - The large number of cell divisions required to make a human body inevitably leads
to the accumulation of somatic mutations. Such mutations cause individuals to be
somatic mosaics. Recent advances in genomic technology now allow measurement of
somatic diversity. Initial studies confirmed the expected high levels of somatic
mutations within individuals. Going forward, the big questions concern the degree
to which those somatic mutations influence disease. Theory predicts that the
frequency of mutant cells should vary greatly between individuals. Such somatic
mutational variability between individuals could explain much of the diversity in
the risk of disease. But how variable is mosaicism between individuals in
reality? What is the relation between the fraction of cells carrying a
predisposing mutation and the risk of disease? What kinds of heritable somatic
change lead to disease besides classical DNA mutations? What molecular processes
connect a predisposing somatic change to disease? We know that predisposing
somatic mutations strongly influence the onset of cancer. Likewise,
neurodegenerative diseases may often begin from somatically mutated cells. If so,
both neurodegeneration and cancer may be diseases of later life for which much of
the risk may be set by early life somatic mutations.
PMID- 24937288
TI - A colorimetric sandwich-type assay for sensitive thrombin detection based on
enzyme-linked aptamer assay.
AB - A colorimetric sandwich-type assay based on enzyme-linked aptamer assay has been
developed for the fast and sensitive detection of as low as 25 fM of thrombin
with high linearity. Aptamer-immobilized glass was used to capture the target
analyte, whereas a second aptamer, functionalized with horseradish peroxidase
(HRP), was employed for the conventional 3,5,3',5'-tetramethylbenzidine (TMB)
based colorimetric detection. Without the troublesome antibody requirement of the
conventional enzyme-linked immunosorbent assay (ELISA), as low as 25 fM of
thrombin could be rapidly and reproducibly detected. This assay has superior, or
at least equal, recovery and accuracy to that of conventional antibody-based
ELISA.
PMID- 24937289
TI - Interprofessional dedicated education unit: an academic practice partnership.
PMID- 24937290
TI - Faculty-facilitated remediation: a model to transform at-risk students.
AB - A remediation program was implemented during the semester break in an associate
degree nursing program to increase the pass rate on the NCLEX-RN of at-risk
students in a targeted medical-surgical nursing course. Through the use of a
course management system and an adaptive quizzing program, participants were
facilitated to take a more active role in their learning. Faculty mentored
students and witnessed a transformation in participants through graduation.
Ultimately, success of these at-risk students in passing the NCLEX-RN helped
maintain this nursing program's pass rate.
PMID- 24937291
TI - Web conferencing in online classrooms.
AB - Web conferencing is a promising tool for online education. A well-developed
teaching strategy can lead to effective use of this technology to create a sense
of community, engage students, and promote academic integrity in online courses.
This article presents strategies for integrating Web conferencing into online
nursing courses.
PMID- 24937292
TI - Evaluating the use of a simulated electronic health record and online drug
reference in a case study to enhance nursing students' understanding of
pharmacologic concepts and resources.
AB - Nursing students should learn to navigate the complexities of the healthcare
arena, such as integrating use of electronic health records (EHRs) and online
drug references into patient care. Using a simulated EHR in a nursing
pharmacology course allowed students to interact with these technologies while
learning and applying pharmacologic concepts to a case study. The authors discuss
how they created and facilitated such a case study, as well as students'
outcomes.
PMID- 24937293
TI - Mobile applications in nursing education and practice.
AB - Students in an RN-BSN completion program capstone course investigated and
critically evaluated mobile medical applications using an information literacy
conceptual framework. Students also analyzed their potential usefulness as a
resource in nursing practice. Student evaluations focused on usability and
applicability when recommending the use of mobile applications as a point-of-care
reference tools. This pilot assignment offers an innovative teaching strategy for
integrating 1 aspect of informatics instruction into the nursing curriculum.
PMID- 24937294
TI - Academic-practice collaboration in nursing education: service-learning for injury
prevention.
AB - Teams of senior-level baccalaureate nursing students at a private, urban
university complete a population-focused public health nursing practicum through
service-learning partnerships. Recently, students collaborated with local service
agencies for Safe Communities America, a program of the National Safety Council
in affiliation with the World Health Organization. This article describes the
student-led process of community assessment, followed by systematic planning,
implementation, and evaluation of evidence-based interventions to advance
prescription drug overdose/poisoning prevention efforts in the community.
PMID- 24937295
TI - Campus Clinical: simulation-based curriculum designed to meet clinical course
learning outcomes.
AB - Campus Clinical is a simulation-based curriculum designed to meet the challenge
of decreasing clinical spaces in maternal-child units. The curriculum framework
is situated in a constructivist, experiential learning context, integrating
Chickering and Gamson's principles for good practice in education. This
innovative approach to meeting clinical course learning outcomes is transferable
to a variety of settings.
PMID- 24937296
TI - Teaching quality and safety in nursing programs.
PMID- 24937297
TI - Graduate forensic nursing education: how to better educate nurses to care for
this patient population.
AB - Nurses are often the first healthcare contact for those affected by violence.
Despite the impact of violence on healthcare across specialties and ages,
forensic nursing content is limited in undergraduate and graduate curricula. The
purpose of this article is to provide background on forensic nursing and present
a model of a graduate forensic nursing program that can be used as a curriculum
guide.
PMID- 24937298
TI - Developing an academic and American Indian tribal partnership in education: a
model of community health nursing clinical education.
AB - American Indian tribes shoulder a heavy burden in health inequities and recognize
the value of partnerships with academic institutions. This article describes a
unique education model developed through a partnership between a school of
nursing and 2 Pacific Northwest tribes to provide clinical education for
students. Over 3 years, students and faculty worked with 2 tribal communities to
design research and implement education programs.
PMID- 24937299
TI - Use of student photography to explore nursing.
PMID- 24937300
TI - A comparative study of cultural competence curricula in baccalaureate nursing
programs.
AB - This study evaluated the cultural competence and cultural confidence (self
efficacy) levels of baccalaureate nursing students in 3 types of programs: those
with integrated cultural content, those with a required nonnursing culture
course, and those with a culture course in nursing. A sample of 759 students
participated. Only the students in the nursing culture course program reached a
level of cultural competence. Cultural competence and confidence increased
significantly from freshman to junior year in all 3 programs.
PMID- 24937301
TI - Creative classroom strategies for teaching nursing research.
AB - Faculty are constantly challenged to find interesting classroom activities to
teach nursing content and engage students in learning. Nursing students and
graduates need to use research skills and evidence-based practice as part of
their professional care. Finding creative and engaging ways to teach this
material in undergraduate nursing programs are essential. This article outlines
several successful strategies to engage nursing students in research content in
the time and space constraints of the classroom.
PMID- 24937302
TI - Effectiveness of the clinical teaching associate model to improve clinical
learning outcomes: a randomized controlled trial.
AB - This study examined the effectiveness of the clinical teaching associate (CTA)
model to improve clinical learning outcomes in nursing students. Students were
randomly allocated to either the CTA (n = 28) or traditional training group (n =
32), and their clinical knowledge, skills, and satisfaction with the learning
experience were assessed and compared. The results showed that the CTA model was
equally effective in improving clinical knowledge, skills, and satisfaction of
nursing students.
PMID- 24937303
TI - Response of mice and ferrets to a monovalent influenza A (H7N9) split vaccine.
AB - In early spring 2013, the emergence of the influenza A (H7N9) virus in humans in
Eastern China raised concerns of a new influenza pandemic. Development of a safe
and effective H7N9 influenza vaccine is urgently needed. To this end, we first
synthesized the hemagglutinin (HA) and neuraminidase (NA) genes of the influenza
A (H7N9) virus A/AnHui/1/2013. Using reverse genetics, we rescued a reassortant
virus (H7N9/PR8) that contained the HA and NA genes from wild-type H7N9 and six
genes encoding internal proteins from the A/Puerto Rico/8/34 (PR8) virus. Next,
the pathogenicity of the reassortant virus was evaluated both in vivo and in
vitro. We found that the virus was non-pathogenic in mice and was stable after
serial passaging in eggs. Furthermore, we found that a monovalent influenza A
(H7N9) split vaccine prepared from the virus was immunogenic in mice and ferrets.
When given intramuscularly, the vaccine (two doses of at least 15-ug) completely
protected mice from normally lethal wild-type H7N9 virus challenge. In summary,
our H7N9 vaccine, developed over a short time, is a potential candidate for
further clinical evaluation and human use.
PMID- 24937304
TI - Non melanoma skin cancer and subsequent cancer risk.
AB - INTRODUCTION: Several studies have shown an increased risk of cancer after non
melanoma skin cancers (NMSC) but the individual risk factors underlying this risk
have not been elucidated, especially in relation to sun exposure and skin
sensitivity to sunlight. PURPOSE: The aim of this study was to examine the
individual risk factors associated with the development of subsequent cancers
after non melanoma skin cancer. METHODS: Participants in the population-based New
Hampshire Skin Cancer Study provided detailed risk factor data, and subsequent
cancers were identified via linkage with the state cancer registry. Deaths were
identified via state and national death records. A Cox proportional hazard model
was used to estimate risk of subsequent malignancies in NMSC patients versus
controls and to assess the potential confounding effects of multiple risk factors
on this risk. RESULTS: Among 3584 participants, risk of a subsequent cancer
(other than NMSC) was higher after basal cell carcinoma (BCC) (adjusted HR 1.40
[95% CI 1.15, 1.71]) than squamous cell carcinoma (SCC) (adjusted HR 1.18 [95% CI
0.95, 1.46]) compared to controls (adjusted for age, sex and current cigarette
smoking). After SCC, risk was higher among those diagnosed before age 60 (HR 1.96
[95% CI 1.24, 3.12]). An over 3-fold risk of melanoma after SCC (HR 3.62; 95% CI
1.85, 7.11) and BCC (HR 3.28; 95% CI 1.66, 6.51) was observed, even after further
adjustment for sun exposure-related factors and family history of skin cancer. In
men, prostate cancer incidence was higher after BCC compared to controls (HR
1.64; 95% CI 1.10, 2.46). CONCLUSIONS: Our population-based study indicates an
increased cancer risk after NMSC that cannot be fully explained by known cancer
risk factors.
PMID- 24937305
TI - Red blood cell transfusion and mortality in trauma patients: risk-stratified
analysis of an observational study.
AB - BACKGROUND: Haemorrhage is a common cause of death in trauma patients. Although
transfusions are extensively used in the care of bleeding trauma patients, there
is uncertainty about the balance of risks and benefits and how this balance
depends on the baseline risk of death. Our objective was to evaluate the
association of red blood cell (RBC) transfusion with mortality according to the
predicted risk of death. METHODS AND FINDINGS: A secondary analysis of the CRASH
2 trial (which originally evaluated the effect of tranexamic acid on mortality in
trauma patients) was conducted. The trial included 20,127 trauma patients with
significant bleeding from 274 hospitals in 40 countries. We evaluated the
association of RBC transfusion with mortality in four strata of predicted risk of
death: <6%, 6%-20%, 21%-50%, and >50%. For this analysis the exposure considered
was RBC transfusion, and the main outcome was death from all causes at 28 days. A
total of 10,227 patients (50.8%) received at least one transfusion. We found
strong evidence that the association of transfusion with all-cause mortality
varied according to the predicted risk of death (p-value for interaction
<0.0001). Transfusion was associated with an increase in all-cause mortality
among patients with <6% and 6%-20% predicted risk of death (odds ratio [OR] 5.40,
95% CI 4.08-7.13, p<0.0001, and OR 2.31, 95% CI 1.96-2.73, p<0.0001,
respectively), but with a decrease in all-cause mortality in patients with >50%
predicted risk of death (OR 0.59, 95% CI 0.47-0.74, p<0.0001). Transfusion was
associated with an increase in fatal and non-fatal vascular events (OR 2.58, 95%
CI 2.05-3.24, p<0.0001). The risk associated with RBC transfusion was
significantly increased for all the predicted risk of death categories, but the
relative increase was higher for those with the lowest (<6%) predicted risk of
death (p-value for interaction <0.0001). As this was an observational study, the
results could have been affected by different types of confounding. In addition,
we could not consider haemoglobin in our analysis. In sensitivity analyses,
excluding patients who died early; conducting propensity score analysis adjusting
by use of platelets, fresh frozen plasma, and cryoprecipitate; and adjusting for
country produced results that were similar. CONCLUSIONS: The association of
transfusion with all-cause mortality appears to vary according to the predicted
risk of death. Transfusion may reduce mortality in patients at high risk of death
but increase mortality in those at low risk. The effect of transfusion in low
risk patients should be further tested in a randomised trial. TRIAL REGISTRATION:
www.ClinicalTrials.gov NCT01746953.
PMID- 24937306
TI - TC1(C8orf4) regulates hematopoietic stem/progenitor cells and hematopoiesis.
AB - Hematopoiesis is a complex process requiring multiple regulators for
hematopoietic stem/progenitor cells (HSPC) and differentiation to multi-lineage
blood cells. TC1(C8orf4) is implicated in cancers, hematological malignancies and
inflammatory activation. Here, we report that Tc1 regulates hematopoiesis in
mice. Myeloid and lymphoid cells are increased markedly in peripheral blood of
Tc1-deleted mice compared to wild type controls. Red blood cells are small-sized
but increased in number. The bone marrow of Tc1-/- mice is normocellular
histologically. However, Lin-Sca-1+c-Kit+ (LSK) cells are expanded in Tc1-/- mice
compared to wild type controls. The expanded population mostly consists of CD150
CD48+ cells, suggesting the expansion of lineage-restricted hematopoietic
progenitor cells. Colony forming units (CFU) are increased in Tc1-/- mice bone
marrow cells compared to controls. In wild type mice bone marrow, Tc1 is
expressed in a limited population of HSPC but not in differentiated cells. Major
myeloid transcriptional regulators such as Pu.1 and Cebpalpha are not up
regulated in Tc1-/- mice bone marrow. Our findings indicate that TC1 is a novel
hematopoietic regulator. The mechanisms of TC1-dependent HSPC regulation and
lineage determination are unknown.
PMID- 24937307
TI - Body mass index, waist circumference, body adiposity index, and risk for type 2
diabetes in two populations in Brazil: general and Amerindian.
AB - OBJECTIVE: The use of the anthropometric indices of adiposity, especially body
mass index and waist circumference in the prediction of diabetes mellitus has
been widely explored. Recently, a new body composition index, the body adiposity
index was proposed. The aim of this study was to compare the effectiveness of
body mass index, waist circumference, and body adiposity index in the risk
assessment for type 2 diabetes mellitus. DESIGN AND METHODS: A total of 1,572
individuals from the general population of Vitoria City, Brazil and 620
Amerindians from the Aracruz Indian Reserve, Brazil were randomly selected. BMI,
waist circumference, and BAI were determined according to a standard protocol.
Type 2 diabetes mellitus was diagnosed by the presence of fasting glucose >=126
mg/dL or by the use of antidiabetic drugs. RESULTS: The area under the curve was
similar for all anthropometric indices tested in the Amerindian population, but
with very different sensitivities or specificities. In women from the general
population, the area under the curve of waist circumference was significantly
higher than that of the body adiposity index. Regarding risk assessment for type
2 diabetes mellitus, the body adiposity index was a better risk predictor than
body mass index and waist circumference in the Amerindian population and was the
index with highest odds ratio for type 2 diabetes mellitus in men from the
general population, while in women from the general population waist
circumference was the best risk predictor. CONCLUSION: Body adiposity index was
the best risk predictor for type 2 diabetes mellitus in the Amerindian population
and men from the general population. Our data suggest that the body adiposity
index is a useful tool for the risk assessment of type 2 diabetes mellitus in
admixture populations.
PMID- 24937308
TI - Breadth of HIV-1 Env-specific antibody-dependent cellular cytotoxicity: relevance
to global HIV vaccine design.
AB - OBJECTIVE: The objective of this study is to determine the breadth of HIV-1 Env
specific antibody-dependent cellular cytotoxicity (ADCC) in HIV controllers and
HIV progressors with a view to design globally relevant HIV vaccines. DESIGN: The
breadth of ADCC towards four major HIV-1 Env subtypes was measured in vitro for
11 HIV controllers and 11 HIV progressors. METHODS: Plasma from 11 HIV
controllers (including long-term slow progressors, viremic controllers, elite
controller and posttreatment controller) and 11 HIV progressors, mostly infected
with HIV-1 subtype B, was analysed for ADCC responses. ADCC assays were performed
against 10 HIV-1 gp120 and 8 gp140 proteins from four major HIV-1 subtypes (A, B,
C and E) and 3 glycosylation-mutant gp140 proteins. RESULTS: ADCC-mediated
natural killer cell activation was significantly broader (P = 0.02) and of higher
magnitude (P < 0.001) in HIV controllers than in HIV progressors. HIV controllers
also showed significantly higher magnitude of ADCC-mediated killing of Env-coated
target cells than HIV progressors to both HIV-1 subtype B and the heterologous
subtype E gp140 (P = 0.001). We found good ADCC reactivity to subtype B and E
Envs, less cross-reactivity to subtype A and minimal cross-reactivity to subtype
C Envs. Glycosylation-dependent ADCC epitopes comprise a significant proportion
of the total Env-specific ADCC response, as evident from the reduction in ADCC to
nonglycosylated form of HIV-1 gp140 (P = 0.004). CONCLUSION: HIV controllers have
robust ADCC responses that recognize a broad range of HIV-1 Env. Glycosylation of
Env was found to be important for recognition of ADCC epitopes. Identifying
conserved ADCC epitopes will assist in designing globally relevant ADCC-based HIV
vaccines.
PMID- 24937309
TI - HIV infection and incidence of ischemic stroke.
AB - OBJECTIVE: To determine the association of HIV infection and immunodeficiency
with incidence of ischemic stroke. DESIGN: Cohort study of HIV-positive and
matched HIV-negative adult Kaiser Permanente Northern and Southern California
(KPNC and KPSC, respectively) members during 1996-2011 (KPNC) or 2000-2011
(KPSC). METHODS: We used Poisson models to obtain rate ratios for incident
ischemic stroke associated with HIV infection, both overall and stratified by CD4
cell counts (cells/MUl) and HIV RNA copies (copies/ml), with HIV-negative
individuals as the reference group. We also obtained rate ratios for risk factors
in the HIV-positive subset. RESULTS: Among 24,768 HIV-positive and 257,600 HIV
negative individuals, the ischemic stroke rate per 100,000 person-years was 125
(n = 151 events) for HIV-positive and 74 (n = 1128 events) for HIV-negative
individuals, with an adjusted rate ratio of 1.4 [95% confidence interval (CI) 1.2
1.7). Compared with HIV-negative individuals, HIV-positive individuals with
recent CD4 cell counts of 500 cells/MUl at least (rate ratio 1.0, 95% CI 0.8-1.4)
or recent HIV RNA less than 500 copies/ml (rate ratio 1.1, 95% CI 0.9-1.4) had no
excess risk of ischemic stroke, with similar results for HIV-positive individuals
with nadir CD4 cell counts of 500 cells/MUl at least (rate ratio 1.4, 95% CI 0.8
2.2) or 200-499 cells/MUl (rate ratio 1.2, 95% CI 0.9-1.5). Among HIV-positive
individuals only, recent CD4 cell count less than 200 cells/MUl (rate ratio 2.5,
95% CI 1.3-4.6) was associated with an increased risk of ischemic stroke after
adjustment for recent HIV RNA and nadir CD4 cell count, whereas recent HIV RNA
and nadir CD4 were not independent risk factors. CONCLUSION: Ischemic stroke
incidence in HIV-positive individuals with high CD4 cell count or low HIV RNA is
similar to that of HIV-negative individuals.
PMID- 24937310
TI - Generalized estimating equation approach for analyzing the effects of metal
derived products on survival and hatching of zebrafish embryos.
AB - Zebrafish embryos are widely used as a model to monitor the effect of chemicals
on their survival and hatching at different time epochs. This experimental design
generates longitudinal data in which the observations for a given subject are
correlated and they are statistically independent across the subjects. This
particular nature of the observations suggests the use of generalized estimating
equation (GEE) methodology for performing meaningful statistical analysis.
However, it has been observed that the researchers working in this area have been
routinely employing statistical methodologies such as analysis of variance
(ANOVA) if the data are continuous and logit or probit models if the data are
discrete. In our opinion, it is grossly incorrect to use these methods as they do
not take into account the correlation structure mentioned above. The sole purpose
of this article is to bring out this serious flaw clearly to the attention of the
researchers. For illustration, we have studied the effects of two Ayurvedic
bhasmas-Tamra bhasma and Suwarnamakshik bhasma-on survival and hatching of
zebrafish embryos over certain time duration. The statistical analysis using GEE
reveals a weak promotional effect of Suwarnamakshik bhasma and an inhibitory
effect of Tamra bhasma on hatching.
PMID- 24937311
TI - Use of mode of action data to inform a dose-response assessment for bladder
cancer following exposure to inorganic arsenic.
AB - In the recent National Research Council report on conducting a dose-response
assessment for inorganic arsenic, the committee remarked that mode of action data
should be used, to the extent possible, to extrapolate below the observed range
for epidemiological studies to inform the shape of the dose-response curve.
Recent in vitro mode of action studies focused on understanding the development
of bladder cancer following exposure to inorganic arsenic provide data to inform
the dose-response curve. These in vitro data, combined with results of bladder
cancer epidemiology studies, inform the dose-response curve in the low-dose
region, and include values for both pharmacokinetic and pharmacodynamic
variability. Integration of these data provides evidence of a range of
concentrations of arsenic for which no effect on the bladder would be expected.
Specifically, integration of these results suggest that arsenic exposures in the
range of 7-43 ppb in drinking water are exceedingly unlikely to elicit changes
leading to key events in the development of cancer or noncancer effects in
bladder tissue. These findings are consistent with the lack of evidence for
bladder cancer following chronic ingestion of arsenic water concentrations <100
ppb in epidemiological studies.
PMID- 24937314
TI - Photoactivatable glycopolymers for the proteome-wide identification of fucose
alpha(1-2)-galactose binding proteins.
AB - Although fucose-alpha(1-2)-galactose (Fucalpha(1-2)Gal)-containing glycans have
been implicated in cognitive processes such as learning and memory, their precise
molecular mechanisms are poorly understood. Here we employed the use of
multivalent glycopolymers to enable the first proteome-wide identification of
weak affinity, low abundance Fucalpha(1-2)Gal glycan-binding proteins (GBPs).
Biotin-terminated glycopolymers containing photoactivatable cross-linking groups
were designed to capture and enrich GBPs from rat brain lysates. Candidate
proteins were tested for their ability to bind Fucalpha(1-2)Gal, and the
functional significance of the interaction was investigated for the synaptic
vesicle protein SV2a using a knockout mouse system. The results suggest a role
for SV2a-Fucalpha(1-2)Gal interactions in SV2a trafficking and synaptic vesicle
recycling. More broadly, our studies outline a general chemical approach for the
systems-level discovery of novel GBPs.
PMID- 24937315
TI - Adsorption of tetracycline from aqueous solutions onto multi-walled carbon
nanotubes with different oxygen contents.
AB - Oxidized multi-walled carbon nanotubes (MWCNTs) with different oxygen contents
were investigated for the adsorption of tetracycline (TC) from aqueous solutions.
As the surface oxygen content of the MWCNTs increased, the maximum adsorption
capacity and adsorption coefficient of TC increased to the largest values and
then decreased. The relation can be attributed to the interplay between the
nanotubes' dispersibility and the water cluster formation upon TC adsorption. The
overall adsorption kinetics of TC onto CNTs-3.2%O might be dependent on both
intra-particle diffusion and boundary layer diffusion. The maximum adsorption
capacity of TC on CNTs-3.2%O was achieved in the pH range of 3.3-8.0 due to
formation of water clusters or H-bonds. Furthermore, the presence of Cu(2+) could
significantly enhanced TC adsorption at pH of 5.0. However, the solution ionic
strength did not exhibit remarkable effect on TC adsorption. In addition, when pH
is beyond the range (3.3-8.0), the electrostatic interactions caused the decrease
of TC adsorption capacity. Our results indicate that surface properties and
aqueous solution chemistry play important roles in TC adsorption on MWCNTs.
PMID- 24937316
TI - An unusual abscisic acid and gibberellic acid synergism increases somatic
embryogenesis, facilitates its genetic analysis and improves transformation in
Medicago truncatula.
AB - Somatic embryogenesis (SE) can be readily induced in leaf explants of the
Jemalong 2HA genotype of the model legume Medicago truncatula by auxin and
cytokinin, but rarely in wild-type Jemalong. Gibberellic acid (GA), a hormone not
included in the medium, appears to act in Arabidopsis as a repressor of the
embryonic state such that low ABA (abscisic acid): GA ratios will inhibit SE. It
was important to evaluate the GA effect in M. truncatula in order to formulate
generic SE mechanisms, given the Arabidopsis information. It was surprising to
find that low ABA:GA ratios in M. truncatula acted synergistically to stimulate
SE. The unusual synergism between GA and ABA in inducing SE has utility in
improving SE for regeneration and transformation in M. truncatula. Expression of
genes previously shown to be important in M. truncatula SE was not increased. In
investigating genes previously studied in GA investigations of Arabidopsis SE,
there was increased expression of GA2ox and decreased expression of PICKLE, a
negative regulator of SE in Arabidopsis. We suggest that in M. truncatula there
are different ABA:GA ratios required for down-regulating the PICKLE gene, a
repressor of the embryonic state. In M. truncatula it is a low ABA:GA ratio while
in Arabidopsis it is a high ABA:GA ratio. In different species the expression of
key genes is probably related to differences in how the hormone networks optimise
their expression.
PMID- 24937317
TI - Functional duality of the cell wall.
AB - The polysaccharide cell wall is the extracellular armour of the fungal cell.
Although essential in the protection of the fungal cell against aggressive
external stresses, the biosynthesis of the polysaccharide core is poorly
understood. For a long time it was considered that this cell wall skeleton was a
fixed structure whose role was only to be sensed as non-self by the host and
consequently trigger the defence response. It is now known that the cell wall
polysaccharide composition and localization continuously change to adapt to their
environment and that these modifications help the fungus to escape from the
immune system. Moreover, cell wall polysaccharides could function as true
virulence factors.
PMID- 24937318
TI - Nocturnal asthma and the importance of race/ethnicity and genetic ancestry.
AB - RATIONALE: Nocturnal asthma is a common presentation and is associated with a
more severe form of the disease. However, there are few epidemiologic studies of
nocturnal asthma, particularly in minority populations. OBJECTIVES: To identify
factors associated with nocturnal asthma, including the contribution of self
identified race/ethnicity and genetic ancestry. METHODS: The analysis included
individuals from the Study for Asthma Phenotypes and Pharmacogenomic Interactions
by Race-ethnicity (SAPPHIRE) cohort. Nocturnal asthma symptoms were assessed by
questionnaire. Genome-wide genotype data were used to estimate genetic ancestry
in a subset of African American participants. Logistic regression was used
evaluate the association of various factors with nocturnal asthma, such as self
identified race/ethnicity and genetic ancestry. MEASUREMENT AND MAIN RESULTS: The
study comprised 3,380 African American and 1,818 European Americans individuals
with asthma. After adjusting for other potential explanatory variables, including
controller medication use, African Americans were more than twice as likely (odds
ratio, 2.56; 95% confidence interval, 2.24-2.93) to report nocturnal asthma when
compared with European American individuals. Among the subset of African American
participants with genome-wide genotype data (n = 1,040), estimated proportion of
African ancestry was also associated with an increased risk of nocturnal asthma
(P = 0.007). Differences in lung function explained a small, but statistically
significant (P = 0.02), proportion of the relationship between genetic ancestry
and nocturnal asthma symptoms. CONCLUSIONS: Both self-identified race/ethnicity
and African ancestry appear to be independent predictors of nocturnal asthma. The
mechanism by which genetic ancestry contributes to population-level differences
in nocturnal asthma appears to be largely independent of lung function.
PMID- 24937320
TI - Intensification of the meridional temperature gradient in the Great Barrier Reef
following the Last Glacial Maximum.
AB - Tropical south-western Pacific temperatures are of vital importance to the Great
Barrier Reef (GBR), but the role of sea surface temperatures (SSTs) in the growth
of the GBR since the Last Glacial Maximum remains largely unknown. Here we
present records of Sr/Ca and delta(18)O for Last Glacial Maximum and deglacial
corals that show a considerably steeper meridional SST gradient than the present
day in the central GBR. We find a 1-2 degrees C larger temperature decrease
between 17 degrees and 20 degrees S about 20,000 to 13,000 years ago. The result
is best explained by the northward expansion of cooler subtropical waters due to
a weakening of the South Pacific gyre and East Australian Current. Our findings
indicate that the GBR experienced substantial meridional temperature change
during the last deglaciation, and serve to explain anomalous deglacial drying of
northeastern Australia. Overall, the GBR developed through significant SST change
and may be more resilient than previously thought.
PMID- 24937319
TI - Common mental disorders associated with tuberculosis: a matched case-control
study.
AB - INTRODUCTION: Despite the availability of treatment and a vaccine, tuberculosis
continues to be a public health problem worldwide. Mental disorders might
contribute to the burden of the disease. OBJECTIVE: The objective of this study
was to investigate the association between common mental disorders and
tuberculosis. METHODS: A matched case-control study was conducted. The study
population included symptomatic respiratory patients who attended three referral
hospitals and six community clinics in the city of Salvador, Brazil. A doctor's
diagnosis defined potential cases and controls. Cases were newly diagnosed
tuberculosis cases, and controls were symptomatic respiratory patients for whom
tuberculosis was excluded as a diagnosis by the attending physician. Cases and
controls were ascertained in the same clinic. Data collection occurred between
August 2008 and April 2010. The study instruments included a structured
interview, a self-reporting questionnaire for the identification of common mental
disorders, and a questionnaire for alcoholism. An univariate analysis included
descriptive procedures (with chi-square statistics), and a multivariate analysis
used conditional logistic regression. RESULTS: The mean age of the cases was 38
years, and 61% of the cases were males. After adjusting for potential
confounders, the odds of tuberculosis were significantly higher in patients
diagnosed with a common mental disorder (OR: 1.34; 95% CI 1.05-1.70). CONCLUSION:
There appears to be a positive and independent association between common mental
disorders and tuberculosis; further epidemiological studies are required to
increase our understanding of the possible biological and social mechanisms
responsible for this association. Independent of the direction of the
association, this finding has implications for the provision of care for mental
disorders and for tuberculosis.
PMID- 24937321
TI - Nonclinical safety of mavrilimumab, an anti-GMCSF receptor alpha monoclonal
antibody, in cynomolgus monkeys: relevance for human safety.
AB - Mavrilimumab (CAM-3001) is an investigational human IgG4 monoclonal antibody
(MAb) targeting GM-CSF receptor alpha which is currently being developed for the
treatment of RA. GM-CSF plays a central role in the pathogenesis of rheumatoid
arthritis (RA) through the activation, differentiation, and survival of
macrophages and neutrophils. To support clinical development, the nonclinical
safety of mavrilimumab was evaluated in several studies with cynomolgus monkeys
as the pharmacologically relevant species. Comprehensive toxicity parameters were
assessed in each study, and treatment duration ranged from 4 to 26weeks.
Mavrilimumab has an acceptable safety profile in monkeys with no changes in any
parameters other than microscopic findings in lung. In several studies, minimal
accumulation of foamy alveolar macrophages was observed. This finding was only
seen in studies of at least 11weeks duration, was reversible following a dose
free recovery period and was considered non-adverse. At higher dose levels
(>=30mg/kg/week), in a 26-week repeat-IV dose study, the presence of lung foreign
material, cholesterol clefts, and granulomatous inflammation was also observed in
a few animals and was considered adverse. The dose- and time-related accumulation
of foamy macrophages in lung following exposure to mavrilimumab observed in
several NHP studies was expected based upon the known role of GM-CSFRalpha
signaling in the function of alveolar macrophages. Overall, a clean no-observed
adverse-effect-level (NOAEL) without any effects in lung was established and
provided adequate clinical safety margins. In clinical studies in RA patients,
mavrilimumab has demonstrated good clinical activity with adequate safety to
support further clinical development. A Phase 2b study of mavrilimumab in
subjects with RA is in progress.
PMID- 24937322
TI - Chronic infusion of lisinopril into hypothalamic paraventricular nucleus
modulates cytokines and attenuates oxidative stress in rostral ventrolateral
medulla in hypertension.
AB - The hypothalamic paraventricular nucleus (PVN) and rostral ventrolateral medulla
(RVLM) play a critical role in the generation and maintenance of sympathetic
nerve activity. The renin-angiotensin system (RAS) in the brain is involved in
the pathogenesis of hypertension. This study was designed to determine whether
inhibition of the angiotensin-converting enzyme (ACE) in the PVN modulates
cytokines and attenuates oxidative stress (ROS) in the RVLM, and decreases the
blood pressure and sympathetic activity in renovascular hypertensive rats.
Renovascular hypertension was induced in male Sprague-Dawley rats by the two
kidney one-clip (2K1C) method. Renovascular hypertensive rats received bilateral
PVN infusion with ACE inhibitor lisinopril (LSP, 10MUg/h) or vehicle via osmotic
minipump for 4weeks. Mean arterial pressure (MAP), renal sympathetic nerve
activity (RSNA), and plasma proinflammatory cytokines (PICs) were significantly
increased in renovascular hypertensive rats. The renovascular hypertensive rats
also had higher levels of ACE in the PVN, and lower level of interleukin-10 (IL
10) in the RVLM. In addition, the levels of PICs, the chemokine MCP-1, the
subunit of NAD(P)H oxidase (gp91(phox)) and ROS in the RVLM were increased in
hypertensive rats. PVN treatment with LSP attenuated those changes occurring in
renovascular hypertensive rats. Our findings suggest that the beneficial effects
of ACE inhibition in the PVN in renovascular hypertension are partly due to
modulation cytokines and attenuation oxidative stress in the RVLM.
PMID- 24937323
TI - Deoxynivalenol induced mouse skin cell proliferation and inflammation via MAPK
pathway.
AB - Several toxicological manifestations of deoxynivalenol (DON), a mycotoxin, are
well documented; however, dermal toxicity is not yet explored. The effect of
topical application of DON to mice was studied using markers of skin
proliferation, inflammation and tumor promotion. Single topical application of
DON (84-672nmol/mouse) significantly enhanced dermal hyperplasia and skin edema.
DON (336 and 672nmol) caused significant enhancement in [(3)H]-thymidine uptake
in DNA along with increased myeloperoxidase and ornithine decarboxylase
activities, suggesting tissue inflammation and cell proliferation. Furthermore,
DON (168nmol) caused enhanced expression of RAS, and phosphorylation of PI3K/Akt,
ERK, JNK and p38 MAPKs. DON exposure also showed activation of transcription
factors, c-fos, c-jun and NF-kappaB along with phosphorylation of IkBalpha.
Enhanced phosphorylation of NF-kappaB by DON caused over expression of target
proteins, COX-2, cyclin D1 and iNOS in skin. Though a single topical application
of DMBA followed by twice weekly application of DON (84 and 168nmol) showed no
tumorigenesis after 24weeks, however, histopathological studies suggested
hyperplasia of the epidermis and hypertrophy of hair follicles. Interestingly,
intestine was also found to be affected as enlarged Peyer's patches were
observed, suggesting inflammatory effects which were supported by elevation of
inflammatory cytokines after 24weeks of topical application of DON. These results
suggest that DON induced cell proliferation in mouse skin is through the
activation of MAPK signaling pathway involving transcription factors NFkappaB and
AP-1, further leading to transcriptional activation of downstream target proteins
c-fos, c-jun, cyclin D1, iNOS and COX-2 which might be responsible for its
inflammatory potential.
PMID- 24937324
TI - Social and socio-demographic neighborhood effects on adolescent alcohol use: a
systematic review of multi-level studies.
AB - There is growing interest in the role of the neighborhood environment on
adolescent alcohol use. Multi-level designs are ideally suited to this
investigation due to their ability to examine area-level effects over and above
the effects due to neighborhood composition. To date, most research in this area
has focused on the physical availability of alcohol in the neighborhood. We
reviewed the multi-level evidence on neighborhood-level risk and protective
factors which influence adolescent alcohol use, excluding studies which assessed
the impact of neighborhood-level alcohol availability and advertising. Systematic
searches in Medline, EMBASE, CINAHL Plus, PsycINFO, Sociological Abstracts and
SCOPUS identified 23 studies, examining 11 different neighborhood-level
exposures. The majority of studies found no associations with residential
mobility, neighborhood disorder or crime, employment or job availability,
neighborhood attitudes to drinking, social capital and collective efficacy. For
studies examining neighborhood-level socio-economic disadvantage mixed results
were found. High levels of both adult and adolescent alcohol use in the community
appeared to be associated with alcohol use whilst protective effects were found
for enforcement of liquor laws. Methodological limitations within studies were
evident. The dearth of high-quality, multi-level studies indicate that further
research is required to inform the development of multi-faceted place-based
policy and preventative interventions to reduce adolescent alcohol use. Future
studies should consider the neighborhood context from the outset of study design
and identify the individual-level control variables to adequately isolate
neighborhood effects. Inclusion of moderation and mediation analyses would
greatly contribute towards the understanding of causal pathways of neighborhood
effects.
PMID- 24937325
TI - Social networks and the probability of having a regular family doctor.
AB - Social supports have been shown to affect health in a variety of ways. This paper
explores a hitherto ignored avenue linking social supports to health, namely
through their influence on having a regular family doctor. We examine the role
played by social supports in helping to explain why a significant portion of the
Canadian population does not have a regular family doctor even though primary
care is fully covered by the public insurer and when having a regular physician
is associated with better care and with access to specialists. Five Canadian
Community Health Surveys spanning 2001 to 2010 (n = 13,872 to n = 30,814) are
employed, containing information on three measures of social support: sense of
belonging to the local community, how often an individual has someone to confide
in, and number of close friends and relatives. We find evidence of a positive
link between social supports, especially sense of belonging, and having a regular
doctor. Our results suggest that the benefits associated with policies geared
towards community development and strengthening neighborhoods may also include
facilitating access to primary-care physicians and, importantly, improving the
matching of patients with regular family doctors.
PMID- 24937326
TI - Cannabis depenalisation, drug consumption and crime - evidence from the 2004
cannabis declassification in the UK.
AB - This paper investigates the link between cannabis depenalisation and crime using
individual-level panel data for England and Wales from 2003 to 2006. We exploit
the declassification of cannabis in the UK in 2004 as a natural experiment.
Specifically, we use the fact that the declassification changed expected
punishments differently in various age groups due to thresholds in British
criminal law and employ a difference-in-differences type design using data from
the longitudinal version of the Offending, Crime and Justice Survey. Our findings
suggest essentially no increases in either cannabis consumption, consumption of
other drugs, crime and other forms of risky behaviour.
PMID- 24937327
TI - Asthma and child behavioral skills: does family socioeconomic status matter?
AB - Asthma is associated with poorer behavioral and psychological outcomes in
children, yet little is known about whether and how the social stratification
process affects the impacts of asthma on children's outcomes. Using data from the
Early Childhood Longitudinal Study-Birth Cohort, this study considered the role
of socioeconomic status in shaping the developmental consequences of children's
asthma. Results showed that asthma was negatively associated with attention and
social competence and positively associated with externalizing problem behaviors
for children with low-educated mothers and children who lived in poor households.
However, the adverse consequences of asthma disappeared for children with high
educated mothers and children who did not experience poverty. Additionally, the
socioeconomic disparities were not fully explained by healthcare resources,
family process, and exposure to environment risks and the disparities were found
for both mild and severe cases. These findings suggest that, to fully understand
the developmental consequences of illness in children, it is important to place
socioeconomic status at the center of investigation.
PMID- 24937329
TI - Perceived access to health services and provider information and adverse birth
outcomes: findings from LaPRAMS, 2007-2008.
AB - OBJECTIVES: Despite targeted public health efforts, racial disparities and poor
birth outcomes are still apparent, particularly in the southern United States.
Previous research through qualitative and modest quantitative research
demonstrates a possible link between disparities in patient-provider
conversations during prenatal visits, perceived access to prenatal care, and poor
birth outcomes. METHODS: A secondary analysis was conducted using data from the
2007-2008 Louisiana Pregnancy Risk Assessment Monitoring System to examine
perceived access to healthcare services and information received and its impact
on birth outcomes. Respondents consisted of 2460 white and black American women
who recently experienced a live birth in the state of Louisiana. RESULTS: Racial
disparities among Louisiana mothers were evident, with black mothers experiencing
significant perceived lack of services or access to resources from a healthcare
or insurance provider and poorer birth outcomes. White mothers reported
experiencing less access based on the lack of vital prenatal care information
from a healthcare provider. Self-perceived access was significantly associated
with preterm birth for black women (odds ratio 2.94, 95% confidence interval 1-8;
P < 0.05) and infant mortality for white women (odds ratio 340.5, confidence
interval 36-999); P < 0.05). CONCLUSIONS: Findings support the need for a better
understanding of discriminatory experiences and their impact on women's
experiences during prenatal care and poor birth outcomes.
PMID- 24937328
TI - Exome sequencing identifies DLG1 as a novel gene for potential susceptibility to
Crohn's disease in a Chinese family study.
AB - BACKGROUND: Genetic variants make some contributions to inflammatory bowel
disease (IBD), including Crohn's disease (CD) and ulcerative colitis (UC). More
than 100 susceptibility loci were identified in Western IBD studies, but
susceptibility gene has not been found in Chinese IBD patients till now.
Sequencing of individuals with an IBD family history is a powerful approach
toward our understanding of the genetics and pathogenesis of IBD. The aim of this
study, which focuses on a Han Chinese CD family, is to identify high-risk
variants and potentially novel loci using whole exome sequencing technique.
METHODS: Exome sequence data from 4 individuals belonging to a same family were
analyzed using bioinformatics methods to narrow down the variants associated with
CD. The potential risk genes were further analyzed by genotyping and Sanger
sequencing in family members, additional 401 healthy controls (HC), 278 sporadic
CD patients, 123 UC cases, a pair of monozygotic CD twins and another Chinese CD
family. RESULTS: From the CD family in which the father and daughter were
affected, we identified a novel single nucleotide variant (SNV) c.374T>C
(p.I125T) in exon 4 of discs large homolog 1 (DLG1), a gene has been reported to
play multiple roles in cell proliferation, T cell polarity and T cell receptor
signaling. After genotyping among case and controls, a PLINK analysis showed the
variant was of significance (P<0.05). 4 CD patients of the other Chinese family
bore another non-synonymous variant c.833G>A (p.R278Q) in exon 9 of DLG1.
CONCLUSIONS: We have discovered novel genetic variants in the coding regions of
DLG1 gene, the results support that DLG1 is a novel potential susceptibility gene
for CD in Chinese patients.
PMID- 24937330
TI - Submaximal cardiopulmonary exercise testing for the evaluation of unexplained
dyspnea.
AB - OBJECTIVES: Gas exchange measurements obtained during submaximal exercise have
been shown to provide prognostic and diagnostic information in patients with
heart failure (HF) and to differentiate heart versus lung limitations in patients
with unexplained dyspnea. The aim of our study was to assess the clinical utility
of submaximal cardiopulmonary exercise testing using the Shape-HF equipment in
identifying the cause of unexplained dyspnea. METHODS: A total of 65 patients
underwent Shape-HF tests from September 2010 to June 2011 for unexplained dyspnea
at our center. RESULTS: Of 65 patients, 39 were men and 26 were women. In this
study, 23 patients had preexisting asthma or chronic obstructive pulmonary
disease (COPD); 19 patients had a pacemaker (8), an implantable cardioverter
defibrillator (2), or a cardiac resynchronization therapy defibrillator (CRT-D)
(9). The study revealed that submaximal cardiopulmonary exercise testing provided
supportive clinical data for deconditioning, pulmonary limitations (eg, COPD,
interstitial lung disease, sleep apnea), pulmonary hypertension, and chronotropic
incompetence in 21.5%, 23.1%, 13.8%, and 6.2% of patients, respectively.
Pulmonary hypertension was confirmed in 55% of patients by echocardiography and
lung problems were confirmed in 40% of patients by pulmonary function test and
sleep study. Of nine patients with an implanted CRT-D, optimization of
atrioventricular and interventricular programming was performed in seven (78%)
using gas exchange monitoring while performing a steady state, low-level
treadmill walk. CONCLUSIONS: Submaximal cardiopulmonary exercise testing has
strongly suggested the diagnosis of COPD, interstitial lung disease, pulmonary
hypertension, and deconditioning and has led to appropriate testing. Based on
prior studies, we also used Shape-HF for its approved purpose of optimizing CRT-D
programming in patients with HF, leading to clinical improvement.
PMID- 24937331
TI - Epidemiology of the reported severity of cottonmouth (Agkistrodon piscivorus)
snakebite.
AB - OBJECTIVE: The goal of this study was to analyze trends in the annual rates of
reported medical outcomes of cottonmouth (Agkistrodon piscivorus) snakebites in
the United States, published in the annual reports of the American Association of
Poison Control Centers in the course of 29 years. METHODS: This was a
retrospective analysis of medical outcomes for cottonmouth snakebite victims who
developed fatal, major, moderate, minor, or no effects. The annual rates for
these medical outcomes were calculated by dividing the annual number of patients
in each outcome category by the total annual number of people reported as being
bitten by cottonmouths. Negative binomial regression was used to examine trends
in annual rates. RESULTS: From 1985 through 2011, after controlling for the
availability of CroFab, the annual incidence rate of cottonmouth snakebites
causing no effect decreased significantly by 7.3%/year (incidence rate ratio
[IRR] 0.927, 95% confidence interval [CI] 0.885-0.970), the incidence rate of
minor outcomes did not change significantly (IRR 0.989, CI 0.974-1.006), the
incidence rate of moderate outcomes increased significantly by 2.3%/year (IRR
1.023, CI 1.004-1.042), and the incidence rate of major outcomes did not change
significantly (IRR 0.987, CI 0.935-1.041). One fatality was reported in 2011.
CONCLUSIONS: Annual rates of cottonmouth snakebites producing no effects
decreased significantly, those producing minor outcomes did not change
significantly, those producing moderate outcomes increased significantly, and
those producing major outcomes did not change significantly, from 1985 through
2011.
PMID- 24937332
TI - Commentary on "Epidemiology of the reported severity of cottonmouth (Agkistrodon
piscivorus) snakebite".
PMID- 24937333
TI - Multiple cutaneous and hepatic hemangiomas in infants.
AB - OBJECTIVES: The objectives of the study were to determine the rate of hepatic
hemangiomas in infants with cutaneous infantile hemangiomas that were screened by
abdominal ultrasound; identify morphological subtypes and number of cutaneous
infantile hemangiomas that are likely to suggest the presence of hepatic
hemangiomas; and identify clinical history, physical findings, or laboratory
abnormalities that may predict hepatic involvement. METHODS: A retrospective
study was conducted between 2000 and 2007 on 37 infants with cutaneous
hemangiomas who underwent abdominal ultrasound for hepatic hemangiomas. Infants
were classified into subgroups based upon the morphology of their cutaneous
hemangioma(s). Data collected included clinical history, physical examination
findings, sonographic findings, laboratory results, treatment(s) rendered, and
clinical outcome. RESULTS: Eight of 37 infants (22%) had hepatic hemangiomas.
Infants with miliary (30-100 pinpoint/small cutaneous hemangiomas), six or more
small cutaneous hemangiomas, and one large with one or more small cutaneous
hemangiomas were more likely to have concurrent hepatic hemangiomas. No other
clinical findings were associated with hepatic involvement. CONCLUSIONS: Similar
to other studies, our study found clinically asymptomatic hepatic hemangiomas in
22% of infants with multiple cutaneous infantile hemangiomas. No clinical
findings studied were predictive of hepatic involvement.
PMID- 24937334
TI - Significance of high- and low-distal energy forearm fractures.
AB - OBJECTIVE: To determine in men and women aged 50 years or older the proportion of
distal forearm fractures related to high- or low-energy events and subsequent
fracturing. METHODS: We reviewed records of patients presenting to emergency
departments and urgent care facilities with distal forearm fractures occurring
during a 7-year entry period and studied for an additional 3.5 years. RESULTS:
High-energy events proportionally were 3.25 times more likely in men, whereas low
energy distal forearm fractures proportionally were 7.98 times more likely in
women. Although 25% received bone densitometry evaluations, only 3.59% were
performed within the first year after a distal forearm fracture. Osteoporosis and
osteopenia did not differ between high- and low-energy distal forearm fractures.
In logistic regression, subsequent fractures were associated with prior fracture
and age 80 years or older. The occurrence of individuals subsequently fracturing
was similar in men and women. Compared with controls, the odds ratio of
individuals subsequently fracturing was 1.74 (95% confidence interval 1.32-2.30)
in women and 1.9 (95% confidence interval 1.07-3.43) in men. Approximately 60% of
total subsequent fractures occurred within 3 years. Osteoporosis was
significantly more in patients with distal forearm fractures than controls (P <
0.001), but control patients had significantly more osteopenia (P < 0.001). No
differences were noted in therapeutic intervention between those with prior
distal forearm fractures and controls. CONCLUSIONS: Regardless of trauma
occurrence, both men and women age 50 years and older with recent distal forearm
fractures should be evaluated early for treatment by bone densitometry and
clinical risk factors because the majority of recurrent fractures occur within 3
years.
PMID- 24937335
TI - Underrepresented minorities in academic medicine: is Florida better off?
AB - OBJECTIVES: The state of Florida has experienced challenges recruiting and
retaining underrepresented minority faculty in academic medicine, as well as
maintaining a population-like balance of minority physicians practicing in the
state. We sought to quantify the percentage of practicing minority physicians as
compared to the general population of Florida and focus specifically on black,
Hispanic, and Asian/Pacific Islander physicians in academic medicine. We
hypothesized that because of the diversity of the state, the number of
underrepresented minority academic physicians in Florida would be higher than the
national average. METHODS: Data were derived and analyzed from a biennial survey
initiated in 2007 that all physicians renewing licenses in Florida are required
to complete. Fifty percent of the licensing cohort completes the survey annually.
We focused on physician practice demographics and academic employment. RESULTS:
Blacks make up 15.4% of the state population, 6.4% of practicing physicians, but
only 3.9% of academic physicians. Hispanics are 22.8% of the state population,
19.2% of practicing physicians, but only 12.5% of academic physicians. Asians are
2.5% of the state population, 14.4% of practicing physicians, and 12.6% of
academic physicians. Whites are 58% of the state population, 52% of practicing
physicians, and 65% of academic physicians. CONCLUSIONS: There is disparity in
clinical practice and academic medicine for blacks and Hispanics. Despite the
diversity of the state, the disparity of blacks in academic medicine in Florida
only marginally exceeds the national average. Asians and Hispanics make up the
same percentage of academic physicians, but there are 10 times more Hispanics in
the state. More research and programming are needed to further define and arrive
at solutions to this problem.
PMID- 24937336
TI - Commentary on "Underrepresented minorities in academic medicine: is Florida
better off?".
PMID- 24937337
TI - Advance care planning and proxy decision making for patients with advanced
Parkinson disease.
AB - OBJECTIVES: To examine advance care planning practices and proxy decision making
by family healthcare proxies for patients with advanced Parkinson disease (PD).
METHODS: Sixty-four spouses and adult children, self-designated as a/the
healthcare proxy for advanced patients with PD, participated in a cross-sectional
survey study. RESULTS: Sixty patients with PD (95%) had completed a living will,
but only 38% had shared the document with a physician. Among three life-support
treatments--cardiopulmonary resuscitation (CPR), ventilator, and feeding tube-
47% of patients opted for CPR, 16% for ventilator, and 20% for feeding tube.
Forty-two percent of proxies did not know patients' preferences for one or more
of the three life-support treatments. Only 28% of proxies reported that patients
wanted hospice. Patients who shared advance directives with a physician were
significantly less likely to choose CPR and a feeding tube and they were more
likely to choose hospice. In a hypothetical end-of-life (EOL) scenario, the
majority of proxies chose comfort care as the EOL goal of care (53%) and pain and
symptom management only as the course of treatment option (72%); these proxy
choices for patients, however, were not associated with patients' preferences for
life support. Patients' proxies preferred a form of shared decision making with
other family members and physicians. CONCLUSIONS: Advance care planning is
effective when patients, families, and healthcare professionals together consider
future needs for EOL care decisions. Further efforts are needed by healthcare
professionals to provide evidence-based education about care options and
facilitate advanced discussion and shared decision making by the patient and
families.
PMID- 24937338
TI - Commentary on "Advance care planning and proxy decision making for patients with
advanced Parkinson disease".
PMID- 24937339
TI - Perceptions of companion dog benefits on well-being of US military veterans with
HIV/AIDS.
AB - OBJECTIVES: Patients with human immunodeficiency virus (HIV)/acquired
immunodeficiency syndrome (AIDS) frequently experience psychosocial complications
in addition to physical illness. Conflicting data on the value of companion dog
ownership in minimizing psychosocial distress suggest the need for more research
in this field. This study helps to clarify and expand upon previous research on
perceived well-being among patients with HIV/AIDS, specifically as it relates to
how owning dogs influences the well-being of US military veterans living with
HIV/AIDS. METHODS: Twenty-nine male veterans with a mean age of 52 years who
reported having owned a dog since being diagnosed as having HIV/AIDS completed
semistructured interviews regarding pet ownership and perceived well-being.
Participants also completed a brief survey describing their pets and rating
scales that assessed symptoms of depression (nine-question Patient Health
Questionnaire-9) and the extent of attachment to their pets (Lexington Attachment
to Pets Scale). Descriptive statistics were completed and interview responses
were transcribed and examined qualitatively for key themes. RESULTS: The mean
Patient Health Questionnaire-9 score of 8.9 (median score of 6) was consistent
with mild depressive symptoms, and the mean Lexington Attachment to Pets Scale
score was 83.2, indicative of high attachment to one's dog. Veterans reported
walking their dogs a mean of 49 minutes/day. Qualitative analysis of the
interviews showed that having HIV/AIDS interfered with well-being in three main
ways (emotional burden, physical condition, and social isolation). Owning dogs
enhanced perceived well-being in four ways (physical activity, companionship,
responsibility, and stress reduction). CONCLUSIONS: Twenty-eight of the 29
participants (97%) reported that owning dogs was a positive experience. Overall,
this study suggests that veterans with HIV/AIDS who own companion dogs believe
that it improves their well-being.
PMID- 24937340
TI - Commentary on "Perceptions of companion dog benefits on well-being of US military
veterans with HIV/AIDS".
PMID- 24937341
TI - Hepatitis B in pregnancy.
AB - In the United States each year, 24,000 infants are born to women who are infected
with the hepatitis B virus (HBV) and an estimated 1000 newborns acquire the
infection through vertical transmission from their mother. The approach to a
pregnant patient with HBV infection includes assessing the need for therapy in
the mother and evaluating possible interventions that may reduce mother-to-child
transmission of HBV infection. Rates of mother-to-child transmission of HBV can
be greatly reduced if the current guidelines for screening and immunization are
universally followed. The use of oral antiviral therapy in highly viremic mothers
to reduce mother-to-child transmission is controversial but should be considered
on a case-by-case basis, realizing that the available antiviral medications to
treat HBV are not approved by the Food and Drug Administration for use during
pregnancy. We review the literature and present our suggested management approach
to the pregnant patient with chronic HBV.
PMID- 24937342
TI - What happened to case reports?
PMID- 24937343
TI - Editor's response.
PMID- 24937345
TI - The epidemiology of Staphylococcus aureus transmission in the anesthesia work
area.
AB - BACKGROUND: Little is known regarding the epidemiology of intraoperative
Staphylococcus aureus transmission. The primary aim of this study was to examine
the mode of transmission, reservoir of origin, transmission locations, and
antibiotic susceptibility for frequently encountered S aureus strains
(phenotypes) in the anesthesia work area. Our secondary aims were to examine
phenotypic associations with 30-day postoperative patient cultures, phenotypic
growth rates, and risk factors for phenotypic isolation. METHODS: S aureus
isolates previously identified as possible intraoperative bacterial transmission
events by class of pathogen, temporal association, and analytical profile
indexing were subjected to antibiotic disk diffusion sensitivity. The combination
of these techniques was then used to confirm S aureus transmission events and to
classify them as occurring within or between operative cases (mode). The origin
of S aureus transmission events was determined via use of a previously validated
experimental model and links to 30-day postoperative patient cultures confirmed
via pulsed-field gel electrophoresis. Growth rates were assessed via time-to
positivity analysis, and risk factors for isolation were characterized via
logistic regression. RESULTS: One hundred seventy S aureus isolates previously
implicated as possible intraoperative transmission events were further subdivided
by analytical profile indexing phenotype. Two phenotypes, phenotype P (patients)
and phenotype H (hands), accounted for 65% of isolates. Phenotype P and phenotype
H contributed to at least 1 confirmed transmission event in 39% and 28% of cases,
respectively. Patient skin surfaces (odds ratio [OR], 8.40; 95% confidence
interval [CI], 2.30-30.73) and environmental (OR, 10.89; 95% CI, 1.29-92.13)
samples were more likely than provider hands (referent) to have phenotype P
positivity. Phenotype P was more likely than phenotype H to be resistant to
methicillin (OR, 4.38; 95% CI, 1.59-12.06; P = 0.004) and to be linked to 30-day
postoperative patient cultures (risk ratio, 36.63 [risk difference, 0.174; 95%
CI, 0.019-0.328]; P < 0.001). Phenotype P exhibited a faster growth rate for
methicillin resistant and for methicillin susceptible than phenotype H (phenotype
P: median, 10.32H; interquartile range, 10.08-10.56; phenotype H: median, 10.56H;
interquartile range, 10.32-10.8; P = 0.012). Risk factors for isolation of
phenotype P included age (OR, 14.11; 95% CI, 3.12-63.5; P = 0.001) and patient
exposure to the hospital ward (OR, 41.11; 95% CI, 5.30-318.78; P < 0.001).
CONCLUSIONS: Two S aureus phenotypes are frequently transmitted in the anesthesia
work area. A patient and environmentally derived phenotype is associated with
increased risk of antibiotic resistance and links to 30-day postoperative patient
cultures as compared with a provider hand-derived phenotype. Future work should
be directed toward improved screening and decolonization of patients entering the
perioperative arena and improved intraoperative environmental cleaning to
attenuate postoperative health care-associated infections.
PMID- 24937347
TI - The long-term impact of early cardiovascular therapy intensification for
postoperative troponin elevation after major vascular surgery.
AB - BACKGROUND: Acute cardiac events are a frequent cause of morbidity after vascular
surgery. The impact of early evidence-based treatment for patients with an acute
cardiac event after vascular surgery on long-term postoperative outcomes has not
been extensively studied. We hypothesized that providing appropriate evidence
based treatment to patients with elevated postoperative cardiac troponin levels
may limit long-term mortality. METHODS: We conducted a study of 667 consecutive
major vascular surgery patients with an elevated postoperative troponin I level.
We then determined which of these patients received medical therapy as per the
2007 American College of Cardiology/American Heart Association recommendations
for the medical management of patients with chronic stable angina. All patients
with troponin elevation were then matched with 2 control patients without
postoperative troponin elevation. Matching was done using logistic regression and
nearest-neighbor matching methods. The primary study end point was 12 months
survival without a major cardiac event (i.e., death, myocardial infarction,
coronary revascularization, or pulmonary edema requiring hospitalization).
RESULTS: Therapy was intensified in 43 of 66 patients (65%) who suffered a
troponin I elevation after surgery. Patients with a troponin I elevation not
receiving intensified cardiovascular treatment had a hazard ratio (HR) of 1.77
(95% confidence interval (CI), 1.13-2.42; P = 0.004) for the primary study
outcome as compared with the control group. In contrast, patients with a troponin
I elevation who received intensified cardiovascular treatment had an HR of 0.63
(95% CI, 0.10-1.19; P = 0.45) for the primary outcome as compared with the
control group. Patients with a troponin I elevation not receiving treatment
intensification likely were at higher risk for a major cardiac event (HR, 2.80;
95% CI, 1.05-24.2; P = 0.04) compared with patients who did receive treatment
intensification. CONCLUSIONS: The main finding of this study was that in patients
with elevated troponin I levels after noncardiac surgery, long-term adverse
cardiac outcomes may likely be improved by following evidence-based
recommendations for the medical management of acute coronary syndromes.
PMID- 24937346
TI - The dynamics of Enterococcus transmission from bacterial reservoirs commonly
encountered by anesthesia providers.
AB - BACKGROUND: Enterococci, the second leading cause of health care-associated
infections, have evolved from commensal and harmless organisms to multidrug
resistant bacteria associated with a significant increase in patient morbidity
and mortality. Prevention of ongoing spread of this organism within and between
hospitals is important. In this study, we characterized Enterococcus transmission
dynamics for bacterial reservoirs commonly encountered by anesthesia providers
during the routine administration of general anesthesia. METHODS: Enterococcus
isolates previously obtained from bacterial reservoirs frequently encountered by
anesthesiologists (patient nasopharynx and axilla, anesthesia provider hands, and
the adjustable pressure-limiting valve and agent dial of the anesthesia machine)
at 3 major academic medical centers were identified as possible intraoperative
bacterial transmission events by class of pathogen, temporal association, and
phenotypic analysis (analytical profile indexing). They were then subjected to
antibiotic disk diffusion sensitivity for transmission event confirmation.
Isolates involved in confirmed transmission events were further analyzed to
characterize the frequency, mode, origin, location of transmission events, and
antibiotic susceptibility of transmitted pathogens. RESULTS: Three hundred eighty
nine anesthesia reservoir isolates were previously identified by gross morphology
and simple rapid tests as Enterococcus. The combination of further analytical
profile indexing analysis and temporal association implicated 43% (166/389) of
those isolates in possible intraoperative bacterial transmission events.
Approximately, 30% (49/166) of possible transmission events were confirmed by
additional antibiotic disk diffusion analysis. Two phenotypes, E5 and E7,
explained 80% (39/49) of confirmed transmission events. For both phenotypes,
provider hands were a common reservoir of origin proximal to the transmission
event (96% [72/75] hand origin for E7 and 89% [50/56] hand origin for E5) and
site of transmission (94% [16/17] hand transmission location for E7 and 86%
[19/22] hand transmission location for E5). CONCLUSIONS: Anesthesia provider hand
contamination is a common proximal source and transmission location for
Enterococcus transmission events in the anesthesia work area. Future work should
evaluate the impact of intraoperative hand hygiene improvement strategies on the
dynamics of intraoperative Enterococcus transmission.
PMID- 24937349
TI - Concreteness of positive word contributions to affective priming: an ERP study.
AB - Recent behavioral data suggest that the concreteness of positive words modulates
subsequent cognitive processing; however, the underlying physiological processes
of this influence are not well understood. To explore this process, positive
abstract words or positive-concrete words were used as primes when participants
performed a lexical decision task during the measurement of event-related
potentials (ERPs). The behavioral data revealed a significant affective priming
effect (i.e., incongruent>congruent) only for abstract word pairs. The N400
amplitude was larger for affectively incongruent pairs compared to affectively
congruent pairs, independent of the prime concreteness. The amplitude of the late
positive component (LPC) was modulated by prime concreteness. The processing of
positive-abstract targets was facilitated by previous exposure to a congruent
prime, as reflected by the reduced LPC, which has been thought to reflect
attentional and memory processes. However, no differences in the LPC amplitude
were found between congruent and incongruent-concrete pairs. These findings
suggest that the influence of the concreteness of positive words mainly occurs
during the decision-making processing and memory-related stages.
PMID- 24937348
TI - Hydrogen-rich saline improves survival and neurological outcome after cardiac
arrest and cardiopulmonary resuscitation in rats.
AB - BACKGROUND: Sudden cardiac arrest is a leading cause of death worldwide. Three
fourths of cardiac arrest patients die before hospital discharge or experience
significant neurological damage. Hydrogen-rich saline, a portable, easily
administered, and safe means of delivering hydrogen gas, can exert organ
protective effects through regulating oxidative stress, inflammation, and
apoptosis. We designed this study to investigate whether hydrogen-rich saline
treatment could improve survival and neurological outcome after cardiac arrest
and cardiopulmonary resuscitation, and the mechanism responsible for this effect.
METHODS: Sprague-Dawley rats were subjected to 8 minutes of cardiac arrest by
asphyxia. Different doses of hydrogen-rich saline or normal saline were
administered IV at 1 minute before cardiopulmonary resuscitation, followed by
injections at 6 and 12 hours after restoration of spontaneous circulation,
respectively. We assessed survival, neurological outcome, oxidative stress,
inflammation biomarkers, and apoptosis. RESULTS: Hydrogen-rich saline treatment
dose dependently improved survival and neurological function after cardiac
arrest/resuscitation. Moreover, hydrogen-rich saline treatment dose dependently
ameliorated brain injury after cardiac arrest/resuscitation, which was
characterized by the increase of survival neurons in hippocampus CA1, reduction
of brain edema in cortex and hippocampus, preservation of blood-brain barrier
integrity, as well as the decrease of serum S100beta and neuron-specific enolase.
Furthermore, we found that the beneficial effects of hydrogen-rich saline
treatment were associated with decreased levels of oxidative products (8-iso
prostaglandin F2alpha and malondialdehyde) and inflammatory cytokines (tumor
necrosis factor-alpha, interleukin-1beta, and high-mobility group box protein 1),
as well as the increased activity of antioxidant enzymes (superoxide dismutase
and catalase) in serum and brain tissues. In addition, hydrogen-rich saline
treatment reduced caspase-3 activity in cortex and hippocampus after cardiac
arrest/resuscitation. CONCLUSIONS: Hydrogen-rich saline treatment improved
survival and neurological outcome after cardiac arrest/resuscitation in rats,
which was partially mediated by reducing oxidative stress, inflammation, and
apoptosis.
PMID- 24937351
TI - [Participatory Quality Development: Engaging Community Members in All Phases of
Project Planning and Implementation].
AB - Community participation, recognised as a central feature of successful health
promotion and prevention, is often difficult to implement. In this research
project internationally recognised methods of participatory health research were
applied to demonstrate ways in which community members can be engaged.
Participatory health research is characterised by a close collaboration between
academic researchers, practitioners and community members in order to generate
common knowledge. It is not a question of translating knowledge from research
into practice, but rather a question of promoting a collective learning process
on the part of all participants for the purpose of developing solutions which
address the interests and needs of local people. The result of the project is a
new approach for strengthening the quality of prevention and health promotion
interventions: participatory quality development (PQD).
PMID- 24937350
TI - SPF rabbits infected with rabbit hepatitis E virus isolate experimentally showing
the chronicity of hepatitis.
AB - This study focused on investigating the pathogenesis seen in specific-pathogen
free (SPF) rabbits following infection with a homologous rabbit HEV isolate (CHN
BJ-rb14) and comparing it to that seen following infection with a heterologous
swine genotype 4 HEV isolate (CHN-XJ-SW13). Three of the four animals inoculated
with the homologous rabbit HEV became infected, exhibiting an intermittent
viremia, obvious fluctuations of liver function biomarkers alanine
aminotransferase (ALT) and aspartate aminotransferase (AST), and persistent fecal
virus shedding throughout the nine month study. In addition, liver histopathology
showed both chronic inflammation and some degree of fibrosis. Both positive and
negative-stranded HEV RNA and HEV antigen expression were detected in liver,
brain, stomach, duodenum and kidney from the necropsied rabbits. Inflammation of
extrahepatic tissue (duodenum and kidney) was also observed. Three of the four
rabbits inoculated with the heterologous genotype 4 swine HEV also became
infected, showing similar levels of anti-HEV antibody to that generated following
infection with the homologous virus isolate. The duration of both viremia and
fecal shedding of virus was however shorter following infection with the
heterologous virus and there was no significant elevation of liver function
biomarkers. These results suggest that rabbit HEV infection may cause more severe
hepatitis and prolong the course of the disease, with a possible chronic trend of
hepatitis in SPF rabbits.
PMID- 24937352
TI - [Introduction of performance- and day-based lump-sum remuneration for stationary
psychiatric and psychosomatic treatments in Germany--analysis of incentives of
the PEPP system].
AB - PURPOSE: This paper surveys the effects of day-based lump-sum remuneration as
defined by the PEPP system on the patients' length of stay and compares its
incentives to the mechanisms of the German DRG system and the former remunera
tion system for stationary psychiatric and psychosomatic treatments. METHODS: The
analysis identifies the economically optimal length of stay defined as the profit
maximising duration of treatment by comparing marginal revenues and marginal
costs. Since it is economically optimal to extend the treatment until the
marginal costs exceed the marginal revenues, psychiatric and psychosomatic
facilities are incentivised to minimise the time gap between average duration of
treatment as expected duration of treatment and the economically optimal length
of stay. RESULTS: Compared to the German DRG system, which provides a strong
incentive to reduce length of stay, the incentives set by the PEPP system imply
either a reduction or an extension of treatment duration depending on the
underlying cost function. If a degressive cost function is assumed, which is
typical for treatments of psychiatric and psychosomatic illnesses, the
economically optimal duration of treatment will be at the last upper boundary of
the interval of the marginal revenue function in which the average marginal
revenues exceed the average marginal costs. It is also feasible that it is
economically optimal to treat the patient for as long as possible. The hospital
is incentivised to extend or reduce the time of treatment to this point in time.
CONCLUSIONS: Psychiatric and psychosomatic hospitals are able to increase their
profits by reducing or extending time of treatment. Therefore these facilities
have to justify the extent of treatment to the health insurance companies. Since
the incentives of the PEPP system and the DRG system diverge, the results of
research on supply induced demand in the DRG system cannot be transferred to the
discussion about the effects of the introduction of the PEPP system. As long as
the average duration of treatment as expected duration of treatment deviates from
the economically optimal length of stay, policy makers should consider the
options of adaptations, i. e., increase of time intervals or calculating cost
weights based on variable costs combined with separate remuneration of fixed
costs. The TEPP system and PEPPplus are already being discussed as adaptions or
additions.
PMID- 24937353
TI - Insights on the origin of the unusually large specific rotation of (1S,4S)
norbornenone.
AB - Measurements and calculations of specific rotation are indispensable for the
characterization of chiral molecules and are now performed routinely. However,
the factors that determine the magnitude of this property are still not well
understood. The anomalously large specific rotation of (1S,4S)-norbornenone, an
outstanding puzzle for over three decades, offers the chance to examine these
factors in detail. The present work provides an explanation for the unusual
behavior of this molecule in terms of interactions between chemical groups and
electronic excited-state transition properties by means of ab initio density
functional theory and coupled cluster theory calculations. We show that one can
focus on the first excited state and examine the relative orientation of its
electric and magnetic transition dipole moments. The contribution of the two
transition moments of this electronic state to the specific rotation in a sum
over-states formalism reveals a constructive interaction that is possible only
when the two chromophores in norbornenone (C?O and C?C) are in-plane and pointing
away from each other. This is due to a small but non-negligible charge transfer
between the chromophores and is consistent with recent results from Autschbach's
group [Moore et al., J. Chem. Theory Comput. 2012, 8, 4336-4346]. The analysis in
this work improves our understanding of this fundamental property of chiral
molecules and may help in the design of other molecules with large specific
rotation.
PMID- 24937354
TI - Bottom-up synthesis of anatase nanoparticles with graphene domains.
AB - Using alizarin and titanium isopropoxide, we have succeeded in preparing a hybrid
form of nanostructured graphene-TiO2 following a bottom-up synthetic approach.
This novel graphene-based composite offers a practical alternative to
synthesizing photocatalytically active materials with maximized graphene-TiO2
interface. The molecular precursor alizarin was chosen because it efficiently
binds to TiO2 through the hydroxyl groups and already possesses the graphene
building block through its anthracene basis. XPS and Raman spectroscopy proved
that the calcined material contained majority sp(2)-hybridized carbon that formed
graphene-like clusters. XRD data showed the integrated structures maintained
their anatase crystallography, therefore preserving the material's properties
without going through phase transitions to rutile. The enhanced graphene and TiO2
interface was confirmed using DFT computational techniques. The photocatalytic
activity of the graphene-TiO2 materials was demonstrated through degradation of
methylene blue.
PMID- 24937355
TI - Early rehabilitative treatment for pediatric acute disseminated
encephalomyelitis: case report.
AB - Although the diagnosis of and therapy for acute disseminated encephalomyelitis
(ADEM) have been extensively investigated, the role of rehabilitation in
modifying its functional outcome has received little attention in the literature
so far. We report a case of pediatric ADEM who showed complete functional
recovery following early rehabilitative treatment, started in the Intensive Care
Unit.
PMID- 24937356
TI - Abundance and composition of indigenous bacterial communities in a multi-step
biofiltration-based drinking water treatment plant.
AB - Indigenous bacterial communities are essential for biofiltration processes in
drinking water treatment systems. In this study, we examined the microbial
community composition and abundance of three different biofilter types (rapid
sand, granular activated carbon, and slow sand filters) and their respective
effluents in a full-scale, multi-step treatment plant (Zurich, CH). Detailed
analysis of organic carbon degradation underpinned biodegradation as the primary
function of the biofilter biomass. The biomass was present in concentrations
ranging between 2-5 * 10(15) cells/m(3) in all filters but was phylogenetically,
enzymatically and metabolically diverse. Based on 16S rRNA gene-based 454
pyrosequencing analysis for microbial community composition, similar microbial
taxa (predominantly Proteobacteria, Planctomycetes, Acidobacteria, Bacteriodetes,
Nitrospira and Chloroflexi) were present in all biofilters and in their
respective effluents, but the ratio of microbial taxa was different in each
filter type. This change was also reflected in the cluster analysis, which
revealed a change of 50-60% in microbial community composition between the
different filter types. This study documents the direct influence of the filter
biomass on the microbial community composition of the final drinking water,
particularly when the water is distributed without post-disinfection. The results
provide new insights on the complexity of indigenous bacteria colonizing drinking
water systems, especially in different biofilters of a multi-step treatment
plant.
PMID- 24937357
TI - An overview on the reactors to study drinking water biofilms.
AB - The development of biofilms in drinking water distribution systems (DWDS) can
cause pipe degradation, changes in the water organoleptic properties but the main
problem is related to the public health. Biofilms are the main responsible for
the microbial presence in drinking water (DW) and can be reservoirs for
pathogens. Therefore, the understanding of the mechanisms underlying biofilm
formation and behavior is of utmost importance in order to create effective
control strategies. As the study of biofilms in real DWDS is difficult, several
devices have been developed. These devices allow biofilm formation under
controlled conditions of physical (flow velocity, shear stress, temperature, type
of pipe material, etc), chemical (type and amount of nutrients, type of
disinfectant and residuals, organic and inorganic particles, ions, etc) and
biological (composition of microbial community - type of microorganism and
characteristics) parameters, ensuring that the operational conditions are similar
as possible to the DWDS conditions in order to achieve results that can be
applied to the real scenarios. The devices used in DW biofilm studies can be
divided essentially in two groups, those usually applied in situ and the bench
top laboratorial reactors. The selection of a device should be obviously in
accordance with the aim of the study and its advantages and limitations should be
evaluated to obtain reproducible results that can be transposed into the reality
of the DWDS. The aim of this review is to provide an overview on the main
reactors used in DW biofilm studies, describing their characteristics and
applications, taking into account their main advantages and limitations.
PMID- 24937358
TI - Sequestration of phosphorus from wastewater by cement-based or alternative
cementitious materials.
AB - Cement-based and alternative cementitious materials were tested in the laboratory
for their capability of removing phosphate from wastewater. The results
demonstrated that both Langmuir and Freundlich adsorption isotherms were suitable
for describing the adsorption characteristics of these materials. Among the four
types of filter media tested, the cement-based mortar A has the highest value of
maximum adsorption (30.96 mg g(-1)). The P-bonding energy (KL) and adsorption
capacity (K) exhibited a positive correlation with the total content of Al2O3 and
Fe2O3 in each mortar. The maximum amount of P adsorbed (Qm) and adsorption
intensity (1/n) exhibited a positive correlation with the CaO content in each
mortar. For three of them, the P-removal rates were in excess of 94 percent for
phosphorus concentrations ranging from 20 to 1000 mg L(-1). The underlying
mechanisms were examined using field emission scanning microscopy (FESEM),
coupled with energy-dispersive X-ray spectroscopy (EDX) and X-ray powder
diffraction (XRD). The results reveal that the removal of phosphate predominantly
followed a precipitation mechanism in addition to weak physical interactions
between the surface of adsorbent filter media and the metallic salts of
phosphate. The use of cement-based or alternative cementitious materials in the
form of ground powder shows great promise for developing a cost-effective and
environmentally sustainable technology for P-sequestration and for wastewater
treatment.
PMID- 24937359
TI - Fate of antibiotic resistance genes in sewage treatment plant revealed by
metagenomic approach.
AB - Antibiotic resistance has become a serious threat to human health. Sewage
treatment plant (STP) is one of the major sources of antibiotic resistance genes
(ARGs) in natural environment. High-throughput sequencing-based metagenomic
approach was applied to investigate the broad-spectrum profiles and fate of ARGs
in a full scale STP. Totally, 271 ARGs subtypes belonging to 18 ARGs types were
identified by the broad scanning of metagenomic analysis. Influent had the
highest ARGs abundance, followed by effluent, anaerobic digestion sludge and
activated sludge. 78 ARGs subtypes persisted through the biological wastewater
and sludge treatment process. The high removal efficiency of 99.82% for total
ARGs in wastewater suggested that sewage treatment process is effective in
reducing ARGs. But the removal efficiency of ARGs in sludge treatment was not as
good as that in sewage treatment. Furthermore, the composition of microbial
communities was examined and the correlation between microbial community and ARGs
was investigated using redundancy analysis. Significant correlation between 6
genera and the distribution of ARGs were found and 5 of the 6 genera included
potential pathogens. This is the first study on the fate of ARGs in STP using
metagenomic analysis with high-throughput sequencing and hopefully would enhance
our knowledge on fate of ARGs in STP.
PMID- 24937360
TI - Two-nanometer voids in single-layer hexagonal boron nitride: formation via the
"can-opener" effect and annihilation by self-healing.
AB - The exposure of hexagonal boron nitride single layers to low energy ions leads to
the formation of vacancy defects that are mobile at elevated temperatures. For
the case of h-BN on rhodium, a superhoneycomb surface with 3 nm lattice constant
(nanomesh), a concerted self-assembly of these defects is observed, where the
"can-opener" effect leads to the cut-out of 2 nm "lids" and stable voids in the h
BN layer. These clean-cut voids repel each other, which enables the formation of
arrays with a nearest neighbor distance down to about 8 nm. The density of voids
depends on the Ar ion dose, and can reach 10(12) cm(-2). If the structures are
annealed above 1000 K, the voids disappear and pristine h-BN nanomesh with larger
holes is recovered. The results are obtained by scanning tunneling microscopy and
density functional theory calculations.
PMID- 24937361
TI - Nutrition considerations in special environments for aquatic sports.
AB - Elite athletes who compete in aquatic sports face the constant challenge of
arduous training and competition schedules in difficult and changing
environmental conditions. The huge range of water temperatures to which swimmers
and other aquatic athletes are often exposed (16-31 degrees C for open-water
swimming), coupled with altered aquatic thermoregulatory responses as compared
with terrestrial athletes, can challenge the health, safety, and performance of
these athletes. Other environmental concerns include air and water pollution,
altitude, and jetlag and travel fatigue. However, these challenging environments
provide the potential for several nutritional interventions that can mitigate the
negative effects and enhance adaptation and performance. These interventions
include providing adequate hydration and carbohydrate and iron intake while at
altitude; optimizing body composition and fluid and carbohydrate intake when
training or competing in varying water temperatures; and maximizing fluid and
food hygiene when traveling. There is also emerging information on nutritional
interventions to manage jetlag and travel fatigue, such as the timing of food
intake and the strategic use of caffeine or melatonin. Aquatic athletes often
undertake their major global competitions where accommodations feature cafeteria
style buffet eating. These environments can often lead to inappropriate choices
in the type and quantity of food intake, which is of particular concern to divers
and synchronized swimmers who compete in physique-specific sports, as well as
swimmers who have a vastly reduced energy expenditure during their taper. Taken
together, planned nutrition and hydration interventions can have a favorable
impact on aquatic athletes facing varying environmental challenges.
PMID- 24937364
TI - Embedding NiCo2O4 nanoparticles into a 3DHPC assisted by CO2-expanded ethanol: a
potential lithium-ion battery anode with high performance.
AB - A high-performance anode material, NiCo2O4/3DHPC composite, for lithium-ion
batteries was developed through direct nanoparticles nucleation on a three
dimensional hierarchical porous carbon (3DHPC) matrix and cation substitution of
spinel Co3O4 nanoparticles. It was synthesized via a supercritical carbon dioxide
(scCO2) expanded ethanol solution-assisted deposition method combined with a
subsequent heat-treatment process. The NiCo2O4 nanoparticles were uniformly
embedded into the porous carbon matrix and efficiently avoided free-growth in
solution or aggregation in the pores even at a high content of 55.0 wt %. In
particular, the 3DHPC was directly used without pretreatment or surfactant
assistance. As an anode material for lithium-ion batteries, the NiCo2O4/3DHPC
composite showed high reversible capacity and improved rate capability that
outperformed those composites formed with single metal oxides (NiO/3DHPC,
Co3O4/3DHPC), their physical mixture, and the composite prepared in pure ethanol
(NiCo2O4/3DHPC-E). The superior performance is mainly contributed to the unique
advantages of the scCO2-expanded ethanol medium, and the combination of high
utilization efficiency and improved electrical conductivity of NiCo2O4 as well as
the electronic and ionic transport advantages of 3DHPC.
PMID- 24937365
TI - Exploring macrocycles in functional supramolecular gels: from stimuli
responsiveness to systems chemistry.
AB - CONSPECTUS: Supramolecular gels are ideal candidates for soft, stimuli-responsive
materials, because they combine the elastic behavior of solids with the
microviscous properties of fluids. The dynamic networks of fibers in
supramolecular gels are reminiscent of the cytoskeleton of a cell and provide
scaffolds to implement function. When gels are made responsive to stimuli, these
mechanical properties can be controlled. Gel-sol transitions also open
opportunities to immobilize molecules inside the gel's cavities and to release
them on demand. To establish selective responsiveness, suitable recognition sites
are required influencing the properties of the fiber network depending on the
presence of the stimulus. Supramolecular gels are expected to be stimuli
responsive per se, for example, to temperature, mechanical stress, or an
environment that is competitive with the noncovalent interactions connecting the
low-molecular weight gelators. Nevertheless, the opportunities for controlling
the mechanical properties are rather limited, if one merely relies on interfering
with these interactions. It would be much more promising to equip the gel with
additional receptor sites that offer selectivity for a broader variety of
chemical stimuli. Macrocycles often exhibit a distinct host-guest chemistry and
thus are excellent candidates for this purpose. A broad variety of macrocycles
differing with respect to structure, topology, solubility, or biocompatibility
have been incorporated in gels and endow gels with responsiveness and function.
Macrocycles can have different roles: They offer rather rigid scaffolds for the
construction of structurally well-defined gelator molecules. Furthermore, their
host-guest interactions can be integral to gel formation, if these interactions
are required to build the gel fibers. Finally, macrocycles can also be functional
groups with which gelators are equipped that would also form gels in the absence
of the macrocycle. Here, the macrocycle can be used as a binding site to allow
additional stimuli control. To combine different stimuli for triggering gel-sol
transitions certainly expands the options for establishing stimuli
responsiveness. If, for example, an agent trapped inside the gel is only
liberated when two different stimuli are present simultaneously, its release can
be controlled with much higher precision and selectivity compared with a gel that
responds to one stimulus only. In this Account, the recent progress in the
construction of functional macrocycle-containing supramolecular gels is
summarized. First, recent strategies to engineer responsiveness into macrocycle
containing gels are discussed. Next, different functions are presented including
applications as responsive reaction media, for controlled drug-delivery or tissue
engineering, and as self-healing materials. Finally, we highlight the recent
progress in designing macrocycle-containing supramolecular gel materials
exhibiting complex behavior. This field is part of systems chemistry and still in
its infancy but appears to be one of the most promising routes to smart
responsive materials.
PMID- 24937366
TI - Balticidins A-D, antifungal hassallidin-like lipopeptides from the Baltic Sea
cyanobacterium Anabaena cylindrica Bio33.
AB - Balticidins A-D (1-4), four new antifungal lipopeptides, were isolated from the
laboratory-cultivated cyanobacterium Anabaena cylindrica strain Bio33 isolated
from a water sample collected from the Baltic Sea, Rugen Island, Germany.
Fractionation of the 50% aqueous MeOH extract was performed by bioassay-guided
silica gel column chromatography followed by SPE and repeated reversed-phase
HPLC. The main fraction containing the compounds exhibited a strong and specific
antifungal activity with inhibition zones in an agar-diffusion assay from 21 to
32 mm against Candida albicans, Candida krusei, Candida maltosa, Aspergillus
fumigatus, Microsporum gypseum, Mucor sp., and Microsporum canis. The structures
were elucidated by multidimensional (1)H and (13)C NMR spectroscopy, HRESIMS,
amino acid analysis, and sugar analysis. Spectroscopic data analysis afforded an
unambiguous sequence of R.CHO(S1).CHOH.CONH-Thr(1)-Thr(2)-Thr(3)-HOTyr(4)-Dhb(5)
D-Gln(6)-Gly(7)-NMeThr(8)(S2)-L-Gln COOH(9), in which Dhb is dehydroaminobutyric
acid, S1 is d(-)-arabinose-(3-1)-D-(+)-galacturonic acid, S2 is D-(+)-mannose,
and R is the aliphatic residue -C13H26Cl or -C13H27. Besides NMeThr, D-allo-Thr,
D-Thr, and L-Thr were identified, but the position of the enantiomers in the
sequence is not clear. The four balticidins differ in their cyclic (2, 4)/linear
(1, 3) core and the presence (1, 2)/absence (3, 4) of chlorine in the aliphatic
unit.
PMID- 24937368
TI - Ionizing radiation-induced oxidative stress, epigenetic changes and genomic
instability: the pivotal role of mitochondria.
AB - PURPOSE: To review the data concerning the role of endogenously generated
reactive oxygen species (ROS) in the non-targeted ionizing radiation (IR) effects
and in determination of the cell population's fate, both early after exposure and
after many generations. CONCLUSIONS: The short-term as well as chronic oxidative
stress responses mainly are produced due to ROS generation by the electron
transport chain (ETC) of the mitochondria and by the cytoplasmic NADPH oxidases.
Whether the induction of the oxidative stress and its consequences occur or are
hampered in a single cell largely depends on the interaction between the nucleus
and the cellular population of several hundred or thousands of mitochondria that
are genetically heterogeneous. High intra-mitochondrial ROS level is damaging the
mitochondrial (mt) DNA and its mutations affect the epigenetic control mechanisms
of the nuclear (n) DNA, by decreasing the activity of methyltransferases and
thus, causing global DNA hypomethylation. These changes are transmitted to the
progeny of the irradiated cells. The chronic oxidative stress is the main cause
of the late post-radiation effects, including cancer, and this makes it an
important adverse effect of exposure to IR and a target for radiological
protection.
PMID- 24937367
TI - Increased risk of long-term sickness absence, lower rate of return to work, and
higher risk of unemployment and disability pensioning for thyroid patients: a
Danish register-based cohort study.
AB - CONTEXT: Little is known about how thyroid diseases affect work ability.
OBJECTIVE: The objective of this study was to evaluate the risk of work
disability for patients with thyroid disease compared with the general
population. DESIGN, SETTING, AND PARTICIPANTS: In a longitudinal register study,
outpatients (n = 862) with nontoxic goiter, hyperthyroidism, Graves' orbitopathy
(GO), autoimmune hypothyroidism, or other thyroid diseases and their matched
controls (n = 7043) were observed in the years 1994-2011 in Danish national
registers of social benefits, health, and work characteristics. Cox regression
analyses estimated adjusted hazard ratios (HRs) for the first year after
diagnosis and subsequent years. MAIN OUTCOME MEASURES: Transitions between work,
long-term sickness absence, unemployment, and disability pension were measured.
RESULTS: Patients differed significantly from the general population with regard
to sickness absence, disability pension, return from sickness absence, and
unemployment. In the first year after diagnosis, higher risks of sickness absence
was seen for GO (HR 6.94) and other hyperthyroid patients (HR 2.08), who also had
lower probability of returning from sickness absence (HR 0.62) and higher risk of
disability pension (HR 4.15). Patients with autoimmune hypothyroidism showed a
lower probability of returning from sickness absence (HR 0.62). In subsequent
years, GO patients had significantly higher risk of sickness absence (HR 2.08),
lower probability of return from sickness absence (HR 0.51), and unemployment (HR
0.52) and a higher risk of disability pension (HR 4.40). Hyperthyroid patients
also had difficulties returning from sickness absence (HR 0.71). CONCLUSIONS:
Thyroid patients' risk of work disability is most pronounced in the first year
after diagnosis and attenuates in subsequent years. GO patients have the highest
risk of work disability.
PMID- 24937369
TI - Effects of pre-irradiation and SDF-1 suppression on the progression of murine
astrocytoma cells grown in different stromal beds.
AB - PURPOSE: To examine whether brain tumors grown in pre-irradiated (PreIR) thigh
have a similar tumor bed effect (TBE) as in PreIR brain tissue. MATERIAL AND
METHODS: Tumor growth delay and immunohistochemical (IHC) staining for CD31, an
endothelial surface marker, and PIMO, a hypoxia marker, were used to study the
TBE of a murine astrocytoma, ALTS1C1, or a stromal-derived factor-1 (SDF-1) gene
silenced astrocytoma, ALTS1C1-SDFkd, growing in different PreIR stroma beds.
RESULTS: ALTS1C1 tumors growing in both PreIR brain and PreIR thigh had reduced
microvascular density (MVD) and more chronic hypoxia, but tumor growth delay was
only seen in PreIR brain tissue. In contrast, ALTS1C1-SDFkd tumors showed tumor
growth delay in PreIR thigh, with little effect in PreIR brain tissue.
CONCLUSIONS: This study cautions that both the tumor and the nature of the PreIR
stromal bed are important when using pre-irradiation as a model of recurrent
brain tumors after radiation therapy.
PMID- 24937370
TI - PARP inhibitor attenuated colony formation can be restored by MAP kinase
inhibitors in different irradiated cancer cell lines.
AB - Abstract Purpose: Sensitizing cancer cells to irradiation is a major challenge in
clinical oncology. We aimed to define the signal transduction pathways involved
in poly(ADP-ribose) polymerase (PARP) inhibitor-induced radiosensitization in
various mammalian cancer lines. MATERIALS AND METHODS: Clonogenic survival assays
and Western blot examinations were performed following telecobalt irradiation of
cancer cells in the presence or absence of various combinations of PARP- and
selective mitogen-activated protein kinase (MAPK) inhibitors. RESULTS: HO3089
resulted in significant cytotoxicity when combined with irradiation. In human
U251 glioblastoma and A549 lung cancer cell lines, Erk1/2 and JNK/SAPK were found
to mediate this effect of HO3089 since inhibitors of these kinases ameliorated
it. In murine 4T1 breast cancer cell line, p38 MAPK rather than Erk1/2 or
JNK/SAPK was identified as the main mediator of HO3089's radiosensitizing effect.
Besides the aforementioned changes in kinase signaling, we detected increased
p53, unchanged Bax and decreased Bcl-2 expression in the A549 cell line.
CONCLUSIONS: HO3089 sensitizes cancer cells to photon irradiation via
proapoptotic processes where p53 plays a crucial role. Activation of MAPK
pathways is regarded the consequence of irradiation-induced DNA damage, thus
their inhibition can counteract the radiosenzitizing effect of the PARP
inhibitor.
PMID- 24937371
TI - Fish oil omega-3 fatty acids reduce the severity of radiation-induced oxidative
stress in the rat brain.
AB - PURPOSE: To evaluate the modulator role of fish oil (FO) on some biochemical
changes in the brain of gamma-irradiated rats (RAD). MATERIAL AND METHODS: Male
albino rats Sprague Dawley were divided into four groups (n = 10). (i) CONTROL:
received vehicle via gavages during 28 days; (ii) FO: received fish oil (400
mg/kg/day) via gavages during 28 days; (iii) RAD: received vehicle for 7 days
before whole body gamma-irradiation with 8 Gy given in four fractions each 7 days
apart and continued during the irradiation period; and (iv) FO+ RAD: received FO
for 7 days before exposure to the first dose of irradiation and FO treatment was
continued during the irradiation period. Animals were sacrificed 24 hours post
the last irradiation dose. RESULTS: A significant increase of malondialdehyde
(MDA) and protein carbonyl (CO) content associated with a significant decrease of
superoxide dismutase (SOD), catalase (CAT) and glutathione peroxidase (GSH-Px)
activities and glutathione (GSH) content were recorded in the brain of irradiated
rats. Oxidative stress was accompanied by a significant decrease of
eicosapentaenoic (EPA) and docosahexaenoic (DHA) levels. Aspartic (Asp) and
glutamic (Glu) acid levels were increased. Serotonin level showed a decrease
associated with enhanced monoamine oxidase (MAO) activity and increased 5
hydroxyindolacetic acid (5-HIAA) level. FO treatment reduced the severity of
radiation-induced oxidative stress, alteration of Asp and Glu levels and
serotonin metabolism concomitant with increased EPA and DHA levels. CONCLUSION:
FO attenuates the severity of radiation-induced biochemical disorders in the
brain by counteracting the radiation-induced decrease of EPA and DHA. Further
studies are needed concerning the long-term implications of our findings.
PMID- 24937372
TI - (238)Pu elimination profiles after delayed treatment with 3,4,3LI(1,2HOPO) in
female and male Swiss-Webster mice.
AB - PURPOSE: To characterize the dose-dependent and sex-related efficacy of the
hydroxypyridinonate decorporation agent 3,4,3-LI(1,2-HOPO) at enhancing plutonium
elimination when post-exposure treatment is delayed. MATERIALS AND METHODS: Six
parenteral dose levels of 3,4,3-LI(1,2-HOPO) from 1-300 MUmol/kg were evaluated
for decorporating plutonium in female and male Swiss-Webster mice administered a
soluble citrate complex of (238)Pu and treated 24 hours later. Necropsies were
scheduled at four time-points (2, 4, 8, and 15 days post-contamination) for the
female groups and at three time-points (2, 4, and 8 days post-contamination) for
the male groups. RESULTS: Elimination enhancement was dose-dependent in the 1-100
MUmol/kg dose range at all necropsy time-points, with some significant reductions
in full body and tissue content for both female and male animals. The highest
dose level resulted in slight toxicity, with a short recovery period, which
delayed excretion of the radionuclide. CONCLUSIONS: While differences were noted
between the female and male cohorts in efficacy range and recovery times, all
groups displayed sustained dose-dependent (238)Pu elimination enhancement after
delayed parenteral treatment with 3,4,3-LI(1,2-HOPO), the actinide decorporation
agent under development.
PMID- 24937373
TI - The effects of chronic gamma irradiation on oxidative stress response and the
expression of anthocyanin biosynthesis-related genes in wheat (Triticum
aestivum).
AB - PURPOSE: To investigate the mechanisms of adaptation and tolerance to ionizing
radiation using chronic radiation in wheat. MATERIALS AND METHODS: We exposed
wheat plants to chronic gamma irradiation (50 Gy) for 2, 4, and 6 weeks and
measured various biological parameters. RESULTS: Plant height was reduced by
exposure to gamma irradiation; this effect increased with increasing exposure
time. Photosynthetic pigment levels decreased with increasing exposure time,
while anthocyanin levels significantly increased after exposure to gamma rays.
The activities of antioxidant enzymes (superoxide dismutase [SOD], ascorbate
peroxidase [APX], catalase [CAT], and peroxidase [POD]) and malondialdehyde (MDA)
levels increased with increasing duration of exposure to gamma irradiation.
Electron spin resonance (ESR) signals were strongly detected in wheat that was
gamma-irradiated for two weeks and then gradually decreased with increasing
exposure time. The expression of anthocyanin biosynthesis genes (flavanone 3
hydroxylase [F3H], dihydroflavonol reductase [DFR], anthocyanin reductase [ANS],
and UDPG-flavonoid glucosyl transferase [UFGT]) and sugar contents increased
after exposure to gamma rays. CONCLUSIONS: This suggests that exposure to
ionizing radiation according to increase of exposure time has led to efficient
induction of anthocyanin and antioxidant enzyme activities. This study indicates
that reactive oxygen species (ROS) is eliminated by biosynthesis of anthocyanin
and antioxidant enzymes. This study helps elucidate the biological effects of
various durations of low-dose exposure to chronic gamma radiation in wheat
plants.
PMID- 24937377
TI - Safety evaluation of naringenin upon experimental exposure on rat
gastrointestinal epithelium for novel optimal drug delivery.
AB - OBJECTIVE: To assess the effect of naringenin on the intestinal biochemical
composition, function and histology for gastrointestinal toxicity since it has
not yet been adequately exploited for safety through standard assays. METHODS:
Here, we describe naringenin (1 mM, 10 mM and 100 mM, respectively) or sodium
deoxycholate (10 mM) effects on isolated brush border membrane from intestinal
segments with single pass intestinal perfusion using lactate dehydrogenase,
alkaline phosphatase and protein assays. MTT assay was used for cytotoxicity
studies. Everted gut sac studies were used for evaluating the transport of
nutrients across the intestinal segments. Lucifer yellow was used for
paracellular permeability, followed by histological changes and surface
characteristic studies of intestinal sacs. RESULTS: The results indicated no
significant alterations with naringenin, although significant (p < 0.01) changes
were noticed with sodium deoxycholate in the activity of the rat intestinal brush
border associated enzymes such as LDH, followed by intact cell viability with
marked decrease in the villi height of the intestinal segments. CONCLUSIONS:
These observations indicate that naringenin was harmless upon exposure to rat
gastrointestinal epithelium, clearly demonstrating the potential use of naturally
occurring bioflavonoid as safe and novel pharmaceutical adjuvant in oral dosage
forms as P-gp inhibitor.
PMID- 24937374
TI - Cellular response of the rat brain to single doses of (137)Cs gamma rays does not
predict its response to prolonged 'biologically equivalent' fractionated doses.
AB - PURPOSE: To determine if the brain's response to single doses predicts its
response to 'biologically equivalent' fractionated doses. METHODS: Young adult
male Fischer 344 rats were whole-brain irradiated with either single 11, 14, or
16.5 Gy doses of (137)Cs gamma rays or their 'biologically equivalent' 20, 30, or
40 Gy fractionated doses (fWBI) delivered in 5 Gy fractions, twice/week for 2, 3,
or 4 weeks, respectively. At 2 months post-irradiation, cellular markers of
inflammation (total, activated, and newborn microglia) and neurogenesis (newborn
neurons) were measured in 40 MUm sections of the dentate gyrus (DG). RESULTS:
Although the total number of microglia in the DG/hilus was not significantly
different (p > 0.7) in unirradiated, single dose, and fWBI rats, single doses
produced a significant (p < 0.003) increase in the percent-activated microglia;
fWBI did not (p > 0.1). Additionally, single doses produced a significant (p <
0.002) dose-dependent increase in surviving newborn microglia; fWBI did not (p <
0.8). Although total proliferation in the DG was reduced equally by single and
fWBI doses, single doses produced a significant dose-dependent (p < 0.02)
decrease in surviving newborn neurons; fWBI did not (p > 0.6). CONCLUSIONS: These
data demonstrate that the rat brain's cellular response to single doses often
does not predict its cellular response to 'biologically equivalent' fWBI doses.
PMID- 24937378
TI - Nanostructured lipid carriers of pioglitazone for transdermal application: from
experimental design to bioactivity detail.
AB - Pioglitazone (PZ) an anti-hyperglycemic agent is used in the treatment of type 2
diabetes. The aim of this study was to design PZ-loaded nanostructured lipid
carriers (NLC) to investigate the bioavailability improvement by transdermal
delivery. PZ NLCs were prepared using high-pressure homogenization followed by
ultrasonication. The NLCs were evaluated for particle size analysis, drug
loading, ex vivo skin transport studies and in vivo bioactivity study. The
prepared NLCs had a mean size of 166.05 nm and drug loading of 10.41% with flux
value of 47.36 ug/cm(2)/h. The entrapment of PZ is >70% in the NLCs with
enhancement ratio of 3.2 times. The in vivo pharmacokinetic study showed 2.17
times enhancement in bioavailability study and pharmacodynamics study showed that
PZ NLC-based transdermal therapeutic system (PNLG-TTS) lowers blood sugar level
in a sustained pattern for a prolonged period of time as compared to Piosys
tablet (marketed). The shelf life of the optimized formulation was found to be
1.83 years. These results clearly provide a lead that above NLCs-based TTS is
potential controlled release formulation for PZ and could be a promising drug
delivery system for the treatment of diabetes.
PMID- 24937379
TI - Nanosizing of valsartan by high pressure homogenization to produce dissolution
enhanced nanosuspension: pharmacokinetics and pharmacodyanamic study.
AB - PURPOSE: The purpose of the present study was to formulate and evaluate
nanosuspension of Valsartan (VAL), a poorly water soluble and low bioavailable
drug (solubility of 0.18 mg mL(-1); 23% of oral bioavailability) with the aim of
improving the aqueous solubility thus the bioavailability and consequently better
anti-hypertensive activity. METHODS: Valsartan nanosuspension (VAL-NS) was
prepared using high-pressure homogenization followed by lyophilisation. The
screening of homogenization factors influencing nanosuspension was done by 3
factorial, 3-level Box-Behnken statistical design. Model suggested the
influential role of homogenization pressure and cycles on drug nanosizing. The
optimized formulation containing Poloxamer(-1)88 (PXM 188) was homogenized for 2
cycles at 500 and 1000 bar, followed by 5 cycles at 1500 bars. RESULTS: The size
analysis and transmission electron microscopy showed nanometric size range and
uniform shape of the nanosuspension. The in vitro dissolution showed an enhanced
release of VAL from nanosuspension (VAL-NS) compared to physical mixture with PXM
188. Pharmacodynamic results showed that, oral administration of VAL-NS
significantly lowered (p <= 0.001) blood pressure in comparison to non
homogenized VAL (VAL-Susp) in Wistar rat. The level of VAL in rat plasma treated
with VAL-NS showed significant difference (p <= 0.005) in Cmax (1627.47 +/-
112.05 ng mL(-1)), Tmax (2.00 h) and AUC0->24 (13279.2 +/- 589.426 ng h mL(-1))
compared to VAL-Susp that was found to be 1384.73 +/- 98.76 ng mL(-1), 3.00 h and
9416.24 +/- 218.48 ng h mL(-1) respectively. The lower Tmax value, proved the
enhanced dissolution rate of VAL. CONCLUSION: The overall results proved that
newly developed VAL-NS increased the plasma bioavailability and pharmacodyanamic
potential over the reference formulation containing crude VAL.
PMID- 24937380
TI - Effects of phonophoresis with gold nanoparticles on oxidative stress parameters
in a traumatic muscle injury model.
AB - The aim of this study was to evaluate the effects of therapeutic pulsed
ultrasound with gold nanoparticles on oxidative stress parameters after traumatic
muscle injury in Wistar rats. The animals were randomly divided into nine groups
(n = 6 each): sham (uninjured muscle); muscle injury without treatment; muscle
injury and treatment with dimethyl sulfoxide (15 mg/kg); muscle injury and
treatment with gold nanoparticles (27 ug); muscle injury and treatment with
dimethyl sulfoxide + gold nanoparticles (Plus); muscle injury and therapeutic
pulsed ultrasound; muscle injury and therapeutic pulsed ultrasound + dimethyl
sulfoxide; muscle injury and therapeutic pulsed ultrasound + gold nanoparticles;
and muscle injury and therapeutic pulsed ultrasound + Plus. Gastrocnemius injury
was induced by a single-impact blunt trauma. Therapeutic pulsed ultrasound (6-min
application, frequency 1.0 MHz, intensity 0.8 W/cm(2)) was used 2, 12, 24, and 48
h after trauma. Mitochondrial superoxide generation, lipid peroxidation, and
protein carbonylation, and the activities of superoxide dismutase, glutathione
peroxidase, and catalase were evaluated. The increase in the superoxide
production and TBARS and carbonyl levels observed in the control group after
muscle damage were reduced in animals exposed to therapeutic pulsed ultrasound
plus nanoparticles. Similarly, antioxidants enzymes showed a decreased activity
with the same treatment. Our work suggest that therapeutic pulsed ultrasound +
dimethyl sulfoxide + gold nanoparticles has beneficial effects on the muscle
healing process by inducing a decrease in oxidative stress parameters and most
likely decreasing the deleterious effects of the inflammatory response.
PMID- 24937381
TI - Novel flavonoid-based biodegradable nanoparticles for effective oral delivery of
etoposide by P-glycoprotein modulation: an in vitro, ex vivo and in vivo
investigations.
AB - A receptor level interaction of etoposide with P-glycoprotein (P-gp) and
subsequent intestinal efflux has an adverse effect on its oral absorption. The
present work is aimed to enhance the bioavailability of etoposide by co
administering it with quercetin (a P-gp inhibitor) in dual-loaded polymeric
nanoparticle formulation. Poly-lactic-co-glycolic acid (PLGA) nanoparticles were
optimized for various parameters like o/w phase volume ratio, poly-vinyl alcohol
concentration, PLGA concentration and sonication time. The cytotoxicity studies
(MTT assay) revealed a 9- and 11-fold decrease in the IC 50 values for etoposide
loaded nanoparticles (ENP) and etoposide + quercetin dual-loaded nanoparticles
(EQNP) when compared to that of free etoposide, respectively, and the results
were further supported by florescent-activated cell sorter studies. The confocal
imaging of the intestinal sections treated with ENP and EQNP containing
fluorescent probe (rhodamine) showed the superiority of the EQNP to permeate
deeper. Furthermore, pharmacokinetic studies on rats revealed that EQNP exhibited
a 2.4-fold increase in bioavailability of etoposide than ENP with no quercetin.
The developed loaded nanoparticles have the high potential to enhance the
bioavailability of the etoposide and sensitize the resistant cells.
PMID- 24937382
TI - Preparation oral levofloxacin colon-specific microspheres delivery: in vitro and
in vivo studies.
AB - The aim of this study was to prepare levofloxacin-loaded chitosan microspheres
and to evaluate their in vitro and in vivo characteristics. Glutaraldehyde
crosslinked microspheres were prepared using a spray-drying method, and
characterized in terms of the morphological examination, particle size
distribution, entrapment efficiency, drug loading and in vitro release.
Pharmacokinetics and colon biodistribution studies were used to evaluate that
microspheres have more advantage than the conventional formulations. The surface
morphology of the freeze-dried microspheres were smooth, discrete with a regular
spherical to near-spherical shape. Size of the microspheres after freeze-drying
was 4.96 +/- 0.76 MUm and well-distributed. The zeta potential of microspheres
was -29.3 +/- 2.1 mV. An average drug loading of 9.3 +/- 0.4% and encapsulation
efficiency of 81.1 +/- 4.7% of levofloxacin microspheres were obtained with the
optimized preparation parameters. The cumulative release rate of levofloxacin
microspheres was followed by a sustained release and fitted for classic Higuchi
kinetic model. In vivo studies showed that chitosan microspheres are thought to
have the potential to maintain levofloxacin concentration within target ranges
for a long time, decreasing side effects caused by concentration fluctuation,
ensuring the efficiency of treatment and improving patient compliance by reducing
dosing frequency. It also does not cause any harmful or toxic effect in colon and
rectum as evaluated by histopathologic studies.
PMID- 24937383
TI - Synthesis and properties of fluorous benzoquinones and their application in
deprotection of silyl ethers.
AB - 1,4-Benzoquinone derivatives bearing trifluoromethyl, perfluorobutyl and
perfluorohexyl groups were prepared and employed in the deprotection of silyl
ethers. The fluorous character of these compounds was examined by measuring the
partition coefficient between the fluorous and organic solvents. The benzoquinone
derivatives showed significant fluorous character, indicating that they can be
recovered from the reaction mixtures using a fluorous/organic biphasic system.
The oxidising ability of the fluorous benzoquinones was estimated by cyclic
voltammetry, and these compounds were found to be strong oxidisers. The fluorous
benzoquinones were utilised in the oxidative desilylation of silyl ethers to
afford the deprotected alcohols in high yield. In addition, the reduced fluorous
benzoquinones were recovered from the reaction mixtures in good yields using a
fluorous/organic biphasic system.
PMID- 24937384
TI - Effect of Methamidophos on cerebellar neuronal cells.
AB - Methamidophos is a toxic organophosphorus compound that inhibits
acetlycholinesterase activity, and induces neurotoxicity. It is a synthetic
chemical commonly used as pesticides to limit pest damages to cultivated plants.
Currently, there is serious public health concern over its safety and use due to
its global nature, persistence and bioaccumulations. We have previously reported
that methamidophos suppressed thyroid hormone receptor (TR)-mediated
transcription, but did not dissociate the interaction between TR and its response
element (thyroid hormone response element; TRE), neither did it interact with
nuclear cofactors. In the present study, we investigated the effects of
methamidophos on cerebellar neuronal cells. Using primary cerebellar culture from
new born rats, We observed that Purkinje cell dendrite arborization were greatly
impaired in the absence of thyroid hormone (TH), However, low dose methamidophos
10-6 M did not significantly impair dendrite arborization of cerebellar Purkinje
cells in the presence of thyroid hormone (TH). However, using granule cell
reaggregate culture, we observed that low dose methamidophos 10-6 M remarkably
suppressed granule cell neurite extension in the presence of TH. Taken together,
our study shows that low dose methamidophos may negatively impact TH-mediated
cerebellar neuronal cell development and function, and consequently could
interfere with TH-regulated neuronal events.
PMID- 24937385
TI - Cellular and mucosal immune responses in the respiratory tract of Nigerian goats
following intranasal administration of inactivated Recombinant Mannheimia
hemolytica bacterine.
AB - This experiment was conducted to evaluate the cellular and mucosal responses in
the respiratory tract of Nigerian goats vaccinated intranasally with recombinant
Mannheimia hemolytica bacterine. Twenty one goats were divided into five groups,
five goats each in three vaccinated groups while three goats each in two other
groups serve as positive and negative control. Group A was vaccinated once; group
B was vaccinated twice at one week interval, and group D at twice at two weeks
interval. Group C1 were the unvaccinated and challenged, while group C2 were
unvaccinated and unchallenged. The bronchoalveolar lavage differential counts and
bronchial associated lymphoid tissue (BALT) responses were measured using Giemsa
stained thin smear of the cell fraction of the lavage and histomorphometry. ANOVA
were employed and significance was at p>0.05. The post-challenge macrophage to
neutrophil (M:N) ratio values of group B goats was the highest and the ratio
differed from other groups which had much lower M:N values. The exposure in group
B resulted in significant increase in number and size of BALTs as well as the
number of lymphocytes in BALT than those of the other groups. This study showed
that intranasal vaccination of the recombinant Mannheimia hemolytica bacterine
twice at a week interval was more efficient in inducing strong mucosal and
defensive cellular responses in the respiratory tract.
PMID- 24937386
TI - Interactions of PPAR alpha and GLUT4 in DOCA/salt-induced renal injury in mice.
AB - Diminished insulin sensitivity is a characteristic feature of various
pathological conditions such as hypertension and activation of peroxisome
proliferator activated receptor alpha (PPARalpha) has been shown to enhance
insulin resistance and reduce capacity for glucose uptake in muscles. The present
study was designed to evaluate the interactions of PPARalpha and GLUT4 in a model
of hypertensive renal injury by studying deoxycorticosterone acetate (DOCA)-salt
induced hypertension in wild-type (WT) and PPARalpha knockout (KO) mice.
PPARalpha WT and KO mice were uninephrectomized (UNx) and implanted
subcutaneously DOCA and drank 1% sodium chloride/1% potassium chloride with or
without a GLUT4 antagonist, indinavir (20 mg/kg/day, s.c) or PPARalpha ligand,
fenofibrate (100 mg/kg/day, orally). DOCA/salt treatment increased urinary sodium
excretion and urine volume (p<0.05) in PPARalpha KO mice compared to WT
littermates. Indinavir increased proteinuria (p<0.01) in DOCA/salt-treated
PPARalpha KO mice compared to WT littermates but did not affect heart and kidney
weight index in DOCA/salt KO or WT-treated mice. Urinary sodium excretion (UNaV)
and urine volume (UV) were increased by indinavir (p<0.01) and fenofibrate
(p<0.05) in DOCA/salt-treated PPARalpha KO mice compared to WT mice. Urinary
nitric oxide was greater in both fenofibrate (p<0.05) and indinavir-treated WT
mice (p<0.05) compared to KO mice. These data suggest that in hypertensive
nephropathy, GLUT4 probably exerts a renoprotective role that was enhanced with
the activation of PPARalpha receptors by a mechanism that may be related to
increased nitric oxide production.
PMID- 24937387
TI - Anti-tuberculosis activities of the crude methanolic extract and purified
fractions of the bulb of Crinum jagus.
AB - Tuberculosis (TB) is of great public health burden globally especially in
developing countries of Africa and Asia . Current TB regimen involves multiple
therapies and of long duration leading to poor patient adherence. There is also
the challenge of multidrug resistant TB. Hence, there is a need for discovery of
new anti- TB drugs. This study was designed to investigate the in -vitro activity
of the crude methanolic extract and chromatographic fractions of the bulb of
Crinum jagus against Mycobacterium tuberculosis isolates. The extracts were
screened for anti- TB activity against three different M. tuberculosis isolates
and a drug susceptible reference strain H37Rv using Lowenstein Jensen (L-J)
medium and Middlebrook 7H10agar. The crude extract was prepared using soxhlet
extraction apparatus while the purified fractions were obtained by column
chromatography. The two media were inoculated with M. tuberculosis strains, after
which the crude and purified extracts were added. After 4-6 weeks incubation,
colony forming units were counted and percentage inhibition calculated. The crude
extract and the purified fractions showed inhibitory activity on all the isolates
tested including the reference strain. Fraction 3 showed the highest inhibitory
percentage (86%) among the extracts. At a concentration of 1.0mg/ml, the
percentage inhibition of fraction 3, rifampicin and isoniazid against M.
tuberculosis strain 3 were 83%, 95% and 86% in L-J medium respectively while 86%,
96% and 89% were obtained respectively in Middle brook medium. Results showed
that the crude methanolic extract and the purified fractions of the bulb of
Crinum jagus exhibited anti-mycobacterial activity which is an indication of
promising potential of this plant for the development of anti-tuberculosis agent.
PMID- 24937388
TI - Effect of ethanolic extract of Carpolobia lutea G. Don (polygalaceae) root on
learning and memory in CD1 mice.
AB - Carpolobia lutea, commonly called cattle stick or poor man's candle, is used by
traditional herbalists in eastern Nigeria to treat 'madness'. It has a reported
analgesic and anti-nociceptive effect. The effect of its ethanolic root extract
on learning and memory was investigated. Thirty mice were divided into three
groups of ten each. One group of mice served as the control and was given normal
saline (p.o.) while the other two groups were given acute low dose (1500mg/kg,
p.o.) and high dose (2500mg/kg, p.o.) (LD50 3338.83mg/kg). The effect of the
extract on cognitive memory was investigated using the Novel Object recognition
task (NORT) while the effect on visuospatial learning and memory was studied
using the Morris Water maze (MWM). The results obtained in the NORT show that the
index of habituation was significantly lower following acute treatment with a low
dose of C. lutea extract compared to control. However, the index of habituation
did not differ following treatment with a high dose of C. lutea compared to
control but it was higher compared to the low dose. Following treatment with a
low dose of the extract, the index of discrimination was significantly higher
compared to control. The index of discrimination in the high dose treatment group
did not differ from control, but it was lower compared to the low dose treatment.
This indicated that there was improved cognitive memory only in the low dose
treatment group. In the MWM there was no significant difference in swim latency
during Acquisition and Reversal training. There also was no significant
difference in quadrant duration during probe trial. The swim latency during the
visible platform test showed that all mice used had good visual acuity.
Therefore, the ethanolic extract of C. lutea root enhanced cognitive memory.
However it did not affect visuospatial learning and memory.
PMID- 24937389
TI - Morphological and neurohistological changes in adolescent rats administered with
nicotine during intrauterine life.
AB - Tobacco smoking has been linked to many preventable diseases affecting various
organs and systems of the body, including the brain. The current study was
conducted to demonstrate the histological changes observable in the cerebral
cortex of young Wistar rats exposed to nicotine during gestation. Vaginal
smearing was conducted for the female Wistar rats to determine their oestrous
cycle, after which they were exposed to male rats overnight, for mating.
Pregnancy was confirmed and the pregnant rats were divided into 3 groups based on
the 3 trimesters (A, B, C), with each group having a control and a treated
subgroup. The Control Groups (A1, B1, C1) were given 0.1 ml of normal saline i.
p., while the Treated Groups (A2, B2, C2) received 0.06 mg/kg/0.1 ml of nicotine
intra-peritoneally. Treatment was for a period of 6 days only within each
trimester for all subgroups. The pregnant animals were allowed to litter, and at
postnatal day 35 they were sacrificed. The skull was dissected to expose and
remove the brain; the temporal and parietal cortices were excised and fixed in 4%
paraformaldehyde for histological tissue preparation, using cresyl fast violet
staining techniques. Exposure of the developing brain to nicotine during
gestation resulted in various degrees of abnormalities in the cytoarchitecture of
the parietal and temporal cortices of young rats. The gestational period of
nicotine exposure and specific cortical affectation are important factors to
consider while investigating neurological abnormalities in offspring of tobacco
smokers.
PMID- 24937390
TI - Comparison of the therapeutic efficacy of double-modality therapy, phonophoresis
and cryotherapy in the management of musculoskeletal injuries in adult Nigerian
subjects.
AB - This study was designed to compare the efficacy of double-modality therapy,
phonophoresis and cryotherapy in the management of pain among subjects who
suffered from musculoskeletal injuries (MSIs).Sixty (60) subjects were assigned
randomly to one of three groups: DMT group (n=20) received cryotherapy and 15%
methyl salicylate phonophoresis, PHONO group (n=20) received 15% methyl
salicylate phonophoresis and CRYO group (n=20) received cryotherapy and "sham"
phonophoresis. Ultrasound at an intensity of 1.5 W/cm2 and frequency of 1MHz was
used to apply methyl salicylate while intermittent cryotherapy was the mode of
application. Subjects" pre- and post-treatment pain perception scores (PPS) using
visual analogue scale (VAS) were assessed and the sessions of treatment in all
groups were recorded. Treatment was administered on alternate days and discharges
were made in all groups when subjects were pain free. A total of 275 treatment
sessions was recorded - 72 (26.2%) in DMT, 105 (38.2%) in PHONO and 98 (35.6%) in
CRYO group respectively which indicated no significant difference (P>0.05).
Nineteen (19), thirteen (13) and twelve (12) subjects were pain free in DMT, CRYO
or PHONO groups respectively after 1 to 5 treatments. The difference in the
severity of pain was significant (P<0.05) in each group post-treatment which
suggests that DMT, phonophoresis and cryotherapy were equally effective. The
study has demonstrated therapeutic efficacy of DMT, but it was not superior to
the single treatment protocol of phonophoresis or cryotherapy. However, it might
take fewer sessions in the DMT group to treat and make more than 90% of the
subjects pain free and fit to return to active performance.
PMID- 24937391
TI - Serum levels of copeptin, C-reactive protein and cortisol in different severity
groups of sickle cell anaemia.
AB - It is well known that individuals with SCA undergo constant physiological stress
even, in steady state. However, there is little information on the relationship
between the severity of sickle cell anaemia (SCA) and serum levels of biomarkers
of stress. This study therefore determined the serum levels of copeptin, cortisol
and CRP in adults with SCA in different severity groups. Sixty adults with sickle
cell anaemia in steady state (27.1+/-6.3 years) and in vaso-occlusive crisis
(24.9+/-4.9 years) were recruited into this cross-sectional study. Degree of
severity (mild, moderate or severe) was determined using a scoring system
incorporating annual number of blood transfusions, crisis and presence of
anaemia, vaso-occlusive pain and organ complications. Standard methods were used
for the determination of packed cell volume (PCV), total white blood cell count
(WBC), blood pressure measurements and anthropometric indices. Serum levels of
copeptin, cortisol and CRP were determined using ELISA with the ratios calculated
accordingly. Data obtained were statistically analyzed using the Student's t
test, Mann Whitney U and Chi-square test as appropriate. P<0.05 was considered
significant. The mean systolic blood pressure (SBP) and copeptin level were
significantly higher in subjects with moderate SCA compared with those with mild
SCA. Similarly SBP, pulse, WBC, copeptin and cortisol were significantly higher
while body weight was significantly lower in subjects with severe SCA compared
with subjects with mild SCA. However, WBC and cortisol-to-copeptin ratio were
significantly higher in subjects with severe SCA compared with subjects with
moderate SCA. There was progressive rise in serum levels of CRP from mild SCA
through severe SCA but the differences were not statistically significant. Also,
proportions of subjects with elevated SBP and WBC were higher than the proportion
of subjects with lower SBP and WBC in the severe SCA group. Serum levels of
cortisol, copeptin, and their ratio could differentiate severe SCA from mild or
moderate SCA. Also, elevated systolic blood pressure and total white blood cell
count are associated with severe sickle cell anaemia.
PMID- 24937392
TI - Nutritional status and dietary pattern of male athletes in Ibadan, South Western
Nigeria.
AB - Several factors, including nutritional status and dietary pattern are paramount
to optimum performance among athletes. Notwithstanding, risky behaviours that
limit or impair athletic performance are widely prevalent among athletes; these
include excessive weight reduction and inappropriate dietary practices. This
study was conducted to provide information on the nutritional status and dietary
pattern of athletes in Ibadan, Oyo State, Nigeria. This was a cross sectional
descriptive study, involving 207 athletes aged 17 to 40 years in Ibadan.
Nutritional status was assessed by anthropometric indices: Body Mass Index (BMI)
and percentage body fat (%BF). BMI was calculated from weight and height
measurements and %BF was estimated from the sum of skin-fold thickness at 4 sites
(triceps, biceps, sub-scapular and supra-iliac skin-folds). Dietary pattern was
assessed by food habits and food frequency questionnaire. Descriptive and
inferential statistics were conducted to describe and examine relationships
between variables. Mean (SD) for variables were: age; 26.09(+/-4.77) years,
weight; 72.13(+/-0.45) kg, height; 1.74(+/-0.06)m, and BMI; 23.89(+/-3.34)kg/m2.
Only 4(1.93%) of the participants were underweight, 39(18.84%) were overweight
and 8(3.86%) obese. Mean (SD) of %BF among overweight athletes was 14.25(+/-3.12)
and maximum %BF among overweight athletes was 21.2. A strong and positive
correlation was observed between BMI and %BF and %BF increased with age among
overweight athletes. About 72% of the athletes skipped meals, 77% drank water or
sports drinks during sporting activities, about 11% spent less than #40.00 for
each meal. About 49% ate before sports. Foods most frequently consumed by
athletes in this study were meat, fish, roots/tubers, cereals, vegetables and
fruits. Milk and legumes were less frequently consumed by these athletes. Most of
these athletes had nutritional status adequate for their sport activity. With
regards to food habits, most of these athletes performed poorly in frequency of
meals intake but did considerably well in intake of fluids. With regards to
dietary pattern, sources of daily intakes comprised of mostly of meats, fish,
roots and tubers, cereals and vegetables.
PMID- 24937393
TI - Effect of honey consumption on intestinal motility in male albino rats.
AB - This study investigated the effects of honey on intestinal motility and transit
using twenty (20) male albino rats of Wistar strain weighing 210-220g. The rats
were randomly grouped into control and honey-fed (test) groups of ten (10) rats
each. The control group was fed on normal rat chow ( Pfizer Company, Nigeria )
and water while the test group was fed on rat feed, water and honey ( 1 ml of
honey to every 10 ml initial drinking water daily) for twenty two (22) weeks
after which the rats were starved over night before the experiment and sacrificed
by stunning. Laparatomy was immediately performed, proximal and distal portions
of the intestine identified, cut and put in aerated tyrode solution. Cut sections
of the ileum (2-3cm) were mounted on organ bath instrument for motility
experiment with varying concentrations of acetylcholine and carbachol.
Contractions were recorded as well as the intestinal transit in each group and
lengths of intestine with total mean values calculated. Results obtained showed
that honey significantly decreased (p<0.01 ) intestinal transit in the test group
(21.15+/-0.75 ) compared with the control group ( 35.96+/-1.15); decreased
intestinal motility in the test group compared with the control and caused
significant percentage reduction of intestinal motility with varied
concentrations of acetylcholine and carbachol in the test group ( Ach-75.00+/
0.75%; Carbachol-79.00+/-0.28%) compared with the control group (Ach-62.00+/
0.39%; Carbachol-51.00+/-0.39%). In conclusion, unprocessed Nigerian honey
decreased intestinal transit, caused intestinal smooth muscle inhibition and
motility and reduced sensitivity of gastrointestinal tract to cholinergic agents.
PMID- 24937394
TI - Coffee consumption attenuates insulin resistance and glucose intolerance in rats
fed on high-sucrose diet.
AB - Several epidemiological evidences indicate that consumption of coffee is
associated with a lower risk of type 2 diabetes mellitus (T2DM) however; there is
dearth of experimental data to support these observations. Given that
associations do not necessarily infer causality, the present study was designed
to investigate the effect of coffee consumption on glucose regulation, T2DM and
the probable mechanisms of action, using an animal model. The effect of coffee (2
fold dilution) by oral gavage on normal and high sucrose-solution (HSS) fed (30 %
w/v) rats was evaluated. The results showed that consumption of coffee
significantly increase glucose tolerance and insulin sensitivity (p<0.05) along
with significant improvement in SOD and GSH activities. In addition, lipid
indices such as TG and LDL as well as the lipid peroxidation marker (MDA) were
markedly reduced (p<0.05) in rats fed with coffee compared with that of the HSS
fed rats. These findings suggest that coffee consumption improves insulin
sensitivity, glucose tolerance in HSS-fed rat possibly via inhibition of
oxidative stress.
PMID- 24937395
TI - Molecular diagnosis of MDR-TB using GenoType MTBDRplus 96 assay in Ibadan,
Nigeria.
AB - Multidrug resistant Mycobacterium tuberculosis (MDR-TB) is of great public health
importance worldwide. This three month laboratory- based study (1st September
30th November, 2011) was carried out at the TB laboratories of the University
College Hospital, Ibadan, Nigeria to determine the magnitude of MDR-TB using
molecular based GenoType MTBDRplus 96 assay. Two sputum samples were collected
from each subject. These were processed using Ziehl -Neelsen (ZN) reagents. The
sputa were cultured on Loewenstein-Jensen egg -based medium and incubated at 370C
for eight weeks. Mycobacterium tuberculosis complex (MTBC) was confirmed by
colonial morphology and repeat ZN staining. All the Acid-fast bacill (AFB)
positive smears and culture positive isolates were tested for genetic
identification and drug susceptibility testing (DST) using PCR- based GenoType
MTBDRplus 96 assay (HAINs Lifesciences, GmbH, Nehren, Germany) according to
manufacturers' instructions. Of the 68 samples processed, 11 (16.2%) were AFB
positive while six (8.8%) were positive for culture. Eleven (64.7%) out of the 17
samples tested for genetic identification were MTBC while six were Non
Tuberculosis Mycobacteria (NTM). All NTM were from AFB positive sputum while none
was from culture positive isolates. Of the six culture isolates tested for DST,
three (33.3%) were susceptible to isoniazid and rifampicin; one (16.7%) showed
mono-resistance to isoniazid while two (30.0%) were resistant to the two drugs.
This study shows that MDR-TB is present in Ibadan. There is a need to make DST
diagnostic facilities more available and accessible in Nigeria.
PMID- 24937396
TI - Anti-ulcerogenic mechanism of magnesium in indomethacin induced gastric ulcer in
rats.
AB - The gastric mucosa is continuously exposed to various agents like food
condiments, spices, alcohol, acids and drugs, some of which are implicated in the
pathogenesis of gastric ulcer. Magnesium compounds commonly used as laxatives and
antacids have been reported to prevent ulcer formation but the mechanisms
underlying this potential is unknown. This study therefore seeks to evaluate the
gastro-protective mechanism of magnesium in the stomach through its effect on the
parietal and mucus cells. Thirty-six male albino rats divided into 6 groups of 6
rats each were used. Group 1 was control, Group 2 was ulcer induced and
untreated, Group 3 was treated with 500mg/kg b.w magnesium alone, Group 4 was pre
treated with 500mg/kg b.w magnesium before inducing ulcer, Group 5 was pre
treated with 500mg/kg b.w magnesium and 20mg/kg omeprazole 4 hours before
inducing ulcer, Group 6 was treated with 20mg/kg omeprazole 4 hours before
inducing ulcer. Animals were sacrificed 6 hours after ulcer induction and their
stomachs were removed for ulcer scoring and histological analysis. A significant
reduction was observed in the ulcer scoring of magnesium pre-treated ulcerated
rats (9.4+/-0.8) compared with ulcerated untreated (20.8+/-0.9) groups. Parietal
cell count of magnesium pre treated ulcerated group significantly decreased
(169.7+/-18.9) compared with ulcerated untreated group (310.5+/-34.7). Mucous
cell count of magnesium pre-treated ulcerated group (264.6+/-8.3) significantly
increased compared with ulcerated untreated group (170.0+/-17.7). This study
shows that magnesium possesses anti-ulcerogenic properties due to its ability to
reduce the number of parietal cell and increase mucous cell counts.
PMID- 24937397
TI - Pre-clinical grades predict clinical performance in the MBBS stage II examination
at the University of the West Indies, Mona Campus.
AB - In the preclinical sciences, statistically significant predictive values have
been reported between the performances in one discipline and the others,
supporting the hypothesis that students who perform well in one discipline were
likely to perform well in the other disciplines. We therefore decided to conduct
a retrospective study to investigate the predictive effects of preclinical
subjects on clinical subjects from 87 students of The University of the West
Indies (UWI), Mona Campus who took the MBBS Stage II examination at various times
between May 2000 and May 2002. The grade in Pathology was significantly predicted
by scores in Anatomy and Pharmacology; Medicine by Physiology and Pharmacology
scores; Surgery by Anatomy and Social and Preventive Medicine scores; while, the
Obstetrics and Gynecology grade was predicted by the Anatomy score. The results
support the hypothesis that the scores in some preclinical subjects can predict
the performance in specific clinical subjects, which could be interpreted to
suggest that poor performance in specific preclinical disciplines could be a
warning sign of future poor performance in the related clinical disciplines.
PMID- 24937398
TI - Impact of alcohol consumption and cigarette smoke on renal function and select
serum elements in female subjects using combined oral contraceptive.
AB - Drugs and life style choices such as alcohol consumption and smoking are capable
of independently altering levels of essential trace elements as well as tissue or
organ function. The purpose of the study is to determine how differences in
degree of exposure to cigarette smoke and alcohol consumption will alter serum
magnesium (Mg), Cobalt (Co) and Manganese (Mn) levels in female subjects using
combined oral contraceptives. Thirty female subjects who have used combined oral
contraceptive for at least 5 years as well as 30 age-matched control women who
are using rhythm method as birth control method were recruited from drinking
joints/bars by random sampling technique. Serum trace element concentrations were
determined using atomic absorption spectrometry and K+, Na+, albumin, globulin,
total protein, urea and creatinine were also determined. Data obtained were
analyzed using Student't' test, Pearson's correlation coefficient and
Multivariate Analysis of Variance (MANOVA). Na+ was significantly higher in
combined oral contraceptive users compared with controls (p<0.05), whereas Mg was
decreased (p<0.05). Co, Mn, urea, creatinine, total protein, albumin, globulin,
K+ were not significantly different in combined oral contraceptive users compared
with the controls (p>0.05). MANOVA results revealed that binge drinkers/smokers
group recorded a significant lower (p<0.05) magnesium level than the passive
smokers/social drinkers group and controls. The results of this study suggest
that subjects using combined oral contraceptive, consuming alcohol and exposed to
cigarette smoke may be at greater risks of diseases linked with magnesium
depletion.
PMID- 24937399
TI - Relationship between anthropometric and haematological parameters among third
trimester pregnant women in Sokoto State, Northwest Nigeria.
AB - The pregnancy state in a woman's life is a unique state in terms of the desirable
physiological changes and the exciting reversal of the changes soon after the
termination of the pregnancy. It is considered essential that to guarantee a good
feto-maternal outcome the attainment of optimum anthropometric and haematological
parameters are key. Our study assessed the anthropometric and haematological
changes and also looked at the relationship that exists between these parameters
among pregnant women.We carried out a cross-sectional descriptive study which
considered 160 apparently healthy, singleton, third trimester pregnant women
attending ANC at the State Specialist Hospital and 58 apparently healthy non
pregnant controls sourced from the Sokoto metropolis population. Each subject or
control enrolled was contacted 3 times at 2 weekly intervals. And at each
occasion they are assessed for changes in the anthropometric and haematological
parameters. The response rate was 93.6% (3 pregnants and 11 controls were loss to
follow up). The pregnant and control subgroups mean ages were 28.02 +/- 6.81
years and 26.89 +/- 5.84 years respectively (p = 0.265). Weekly weight gains of
0.48kg among the pregnant sub-group against 0.13kg obtained in the control group
were recorded. BMI increase of about 0.19kg/m2 per week among the pregnant sub
group and only 0.05kg per week in the controls. 95% of the distribution of
pregnant sub-group has haemoglobin and haematocrit of >=8.9g/dL and >=26%
respectively. Among the pregnant sub-group, mean weekly haemoglobin and
haematocrit drop of 0.24g/dL and 0.74% were respectively recorded. A steady rise
in WBC was recorded but platelets counts dropped at an average of 5.04 x 103 /MUL
per week. A positive correlation between BMI and haemoglobin levels was observed
(r > 0 and p < 0.05). No particular regularity in the relationship between BMI
and WBC was noticed. We conclude that there was optimum weight gain and good
haematological indices for those with good BMI during the third trimester of
pregnancy. There was a positive correlation between BMI and plasma haemoglobin
level but WBC showed no particular relationship with the anthropometric changes.
We therefore, recommend that good education to improve the socio- economic
wellbeing of the girl-child be encouraged to boost self sufficiency for better
weight gains and to facilitate access to good healthcare so that the ideal
anthropometric and haematological parameters can be achieved during pregnancy to
guarantee good feto-maternal outcome.
PMID- 24937401
TI - Horizontal eddy energy flux in the world oceans diagnosed from altimetry data.
AB - During the propagation of coherent mesoscale eddies, they directly or indirectly
induce many effects and interactions at different scales, implying eddies are
actually serving as a kind of energy carrier or energy source for these eddy
related dynamic processes. To quantify this dynamically significant energy flow,
the multi-year averaged horizontal eddy energy fluxes (EEFs) were estimated by
using satellite altimetry data and a two-layer model based on hydrographic
climatology. There is a strong net westward transport of eddy energy estimated at
the mean value of ~13.3 GW north of 5 degrees N and ~14.6 GW at the band 5
degrees S ~ 44 degrees S in the Southern Hemisphere. However, poleward of 44
degrees S east-propagating eddies carry their energy eastward with an averaged
net flux of ~3.2 GW. If confirmed, it would signify that geostrophic eddies not
only contain the most of oceanic kinetic energy (KE), but also carry and spread a
significant amount of energy with them.
PMID- 24937402
TI - Neglected tropical diseases and omics science: proteogenomics analysis of the
promastigote stage of Leishmania major parasite.
AB - Among the neglected tropical diseases, leishmaniasis is one of the most
devastating, resulting in significant mortality and contributing to nearly 2
million disability-adjusted life years. Cutaneous leishmaniasis is a debilitating
disorder caused by the kinetoplastid protozoan parasite Leishmania major, which
results in disfiguration and scars. L. major genome was the first to be sequenced
within the genus Leishmania. Use of proteomic data for annotating genomes is a
complementary approach to conventional genome annotation approaches and is
referred to as proteogenomics. We have used a proteogenomics-based approach to
map the proteome of L. major and also annotate its genome. In this study, we
searched L. major promastigote proteomic data against the annotated L. major
protein database. Additionally, we searched the proteomic data against six-frame
translated L. major genome. In all, we identified 3613 proteins in L. major
promastigotes, which covered 43% of its proteome. We also identified 26 genome
search-specific peptides, which led to the identification of three novel genes
previously not identified in L. major. We also corrected the annotation of N
termini of 15 genes, which resulted in extension of their protein products. We
have validated our proteogenomics findings by RT-PCR and sequencing. In addition,
our study resulted in identification of 266 N-terminally acetylated peptides in
L. major, one of the largest acetylated peptide datasets thus far in Leishmania.
This dataset should be a valuable resource to researchers focusing on neglected
tropical diseases.
PMID- 24937403
TI - COX-2 inhibition improves retinal function in rats' ischemic eyes.
AB - PURPOSE: Retinal ischemia is a relatively simple model for studies in
pharmacological neuroprotective intervention. The role of cyclooxygenase (COX)
enzymes in ischemic insult has been variously shown to either increase or
decrease ischemic damage. The purpose of this study was to assess the role of COX
1 and COX-2 in rat retinal ischemic functional damage. METHODS: Ischemia was
achieved by elevating intraocular pressure for 60 min. White flash
electroretinogram (ERG) was recorded by contact lens electrodes containing an
integral light emitting diode source. ERG was recorded on post-ischemia (PI) days
1 (baseline), 1, 3, and 7. The b-wave amplitude, b-wave implicit time, and
oscillatory potentials (OPs) were analyzed. The expression of COX-2 and HSP70i
was assessed by Western analysis on day 1 PI. RESULTS: Ischemia caused
attenuation of OPs, a decrease in the b-wave amplitude, and an increase in b-wave
implicit time, accompanied by the increased expression of COX-2 and HSP70i
proteins. Selective COX-2 inhibition markedly increased b-wave amplitude and
enhanced retinal HSP70i induction, whereas COX-1 or nonselective and irreversible
inhibition of both COX isoenzymes did not affect the retinal function or the
expression of these proteins. High-dose aspirin prevented partial recovery from
ischemic damage. Administration of a synthetic PGF2alpha analog, or a
lipoxygenase inhibitor, had little effect on ischemic damage, but affected
nonischemic ERG. CONCLUSIONS: COX-2 appears to mediate some of the ischemic
retinal functional damage, possibly by inhibiting the induction of HSP-70i. We
propose that selective COX-2 inhibitors may be useful in pathological conditions
involving ischemic retinal insult.
PMID- 24937404
TI - Aminoadamantanes versus other antiviral drugs for chronic hepatitis C.
AB - BACKGROUND: Hepatitis C virus infection affects around 3% of the world population
or approximately 160 million people. A variable proportion (5% to 40%) of the
infected people develop clinical symptoms. Hence, hepatitis C virus is a leading
cause of liver-related morbidity and mortality with hepatic fibrosis, end-stage
liver cirrhosis, and hepatocellular carcinoma as the dominant clinical sequelae.
Combination therapy with pegylated (peg) interferon-alpha and ribavirin achieves
sustained virological response (that is, undetectable hepatitis C virus RNA in
serum by sensitivity testing six months after the end of treatment) in
approximately 40% to 80% of treated patients, depending on viral genotype.
Recently, a new class of drugs have emerged for hepatitis C infection, the direct
acting antivirals, which in combination with standard therapy or alone can lead
to sustained virological response in 80% or more of treated patients.
Aminoadamantanes, mostly amantadine, are antiviral drugs used for the treatment
of patients with chronic hepatitis C. We have previously systematically reviewed
amantadine versus placebo or no intervention and found no significant effects of
the amantadine on all-cause mortality or liver-related morbidity and on adverse
events in patients with hepatitis C. Overall, we did not observe a significant
effect of amantadine on sustained virological response. In this review, we
systematically review aminoadamantanes versus other antiviral drugs. OBJECTIVES:
To assess the beneficial and harmful effects of aminoadamantanes versus other
antiviral drugs for patients with chronic hepatitis C virus infection by
conducting a systematic review with meta-analyses and trial sequential analyses
of randomised clinical trials. SEARCH METHODS: The Cochrane Hepato-Biliary Group
Controlled Trials Register (1996 to December 2013), the Cochrane Central Register
of Controlled Trials (CENTRAL) (Issue 11 of 12, 2013), MEDLINE (1946 to December
2013), EMBASE (1974 to December 2013), Science Citation Index EXPANDED (1900 to
December 2013), the WHO International Clinical Trials Registry Platform
(www.who.int/ictrp), Google Scholar, and Eudrapharm up to December 2013.
Furthermore, full text searches were conducted until December 2013. SELECTION
CRITERIA: Randomised clinical trials assessing aminoadamantanes in participants
with chronic hepatitis C virus infection. DATA COLLECTION AND ANALYSIS: Two
authors independently extracted data. RevMan Analysis was used for statistical
analysis of dichotomous data using risk ratio (RR) with 95% confidence intervals
(CI). Methodological domains were used to assess the risk of systematic errors
('bias'). We used trial sequential analysis to assess risk of random errors
('play of chance'). MAIN RESULTS: Six randomised clinical trials with 581
participants with chronic hepatitis C were included. All trials had high risk of
bias. The included trials compared amantadine versus other antiviral drugs:
ribavirin, mycophenolate mofetil, interferon-alpha, or interferon-gamma. Standard
antiviral therapy (interferon-alpha, interferon-alpha plus ribavirin, or peg
interferon alpha) was administered equally to the intervention and the control
groups in five trials, depending on when the trial was conducted. Four trials
compared amantadine versus ribavirin. There were no deaths or liver-related
morbidity in the two intervention groups (0/216 (0%) versus 0/211 (0%); 4 trials;
very low quality of the evidence). The lower estimated risk for (serious) adverse
events leading to treatment discontinuation with amantadine was imprecise (RR
0.56, 95% CI 0.27 to 1.16; based on 10/216 (5%) versus 18/211 (9%) participants
in 4 trials; very low quality of the evidence). There were more participants with
failure of sustained virological response in the amantadine group than in the
ribavirin group (206/216 (96%) versus 176/211 (84%); RR 1.14, 95% CI 1.07 to
1.22, 4 trials; low quality of the evidence). Amantadine versus ribavirin more
often failed to achieve end-of follow-up biochemical response (41/46 (89%) versus
31/46 (67%); RR 1.31, 95% CI 1.05 to 1.63; 2 trials; very low quality of the
evidence). One trial compared amantadine versus mycophenolate mofetil. There were
no significant differences between the two treatment groups, except that
amantadine was inferior to mycophenolate mofetil regarding the outcome failure to
achieve end-of treatment virological response (low quality of evidence). One
trial each compared amantadine versus interferon-alpha or interferon-gamma. Both
comparisons showed no significant differences in the treatment outcomes (very low
quality of the evidence). The observed effects could be due to real effects,
systematic errors (bias), or random errors (play of chance). This possible
influence on the observed effect by play of chance is due to the fact that trial
sequential analyses could not confirm our findings. We were not able to perform
meta-analyses on failure of histological improvement and quality of life due to
lack of valid data in all trial comparisons. AUTHORS' CONCLUSIONS: This
systematic review has identified evidence of very low quality for the key
outcomes of all-cause mortality or liver-related morbidity and adverse events in
people with chronic hepatitis C when treated with amantadine compared with
ribavirin, mycophenolate, interferon-alpha, or interferon-gamma. The timeframe
for measuring the composite outcome was insufficient in the included trials.
There was low quality evidence that amantadine led to more participants who
failed to achieve sustained virological response compared with ribavirin. This
observation may be real or caused by systematic errors (bias), but it does not
seem to be caused by random error (play of chance). Due to the low quality of the
evidence, we are unable to determine definitively whether amantadine is less
effective than other antivirals in patients with chronic hepatitis C. As it
appears less likely that future trials assessing amantadine or potentially other
aminoadamantanes for patients with chronic hepatitis C would show strong
benefits, it is probably better to focus on the assessments of other direct
acting antiviral drugs. We found no evidence assessing other aminoadamantanes in
randomised clinical trials in order to recommend or refute their use.
PMID- 24937405
TI - Conserved glycine 232 in the ligand channel of ba3 cytochrome oxidase from
Thermus thermophilus.
AB - Knowing how the protein environment modulates ligand pathways and redox centers
in the respiratory heme-copper oxidases is fundamental for understanding the
relationship between the structure and function of these enzymes. In this study,
we investigated the reactions of O2 and NO with the fully reduced G232V mutant of
ba3 cytochrome c oxidase from Thermus thermophilus (Tt ba3) in which a conserved
glycine residue in the O2 channel of the enzyme was replaced with a bulkier
valine residue. Previous studies of the homologous mutant of Rhodobacter
sphaeroides aa3 cytochrome c oxidase suggested that the valine completely blocked
the access of O2 to the active site [Salomonsson, L., et al. (2004) Proc. Natl.
Acad. Sci. U.S.A. 101, 11617-11621]. Using photolabile O2 and NO carriers, we
find by using time-resolved optical absorption spectroscopy that the rates of O2
and NO binding are not significantly affected in the Tt ba3 G232V mutant.
Classical molecular dynamics simulations of diffusion of O2 to the active site in
the wild-type enzyme and G232V mutant show that the insertion of the larger
valine residue in place of the glycine appears to open up other O2 and NO
exit/entrance pathways that allow these ligands unhindered access to the active
site, thus compensating for the larger valine residue.
PMID- 24937406
TI - The 2011 survey on hypertensive disorders of pregnancy (HDP) in China:
prevalence, risk factors, complications, pregnancy and perinatal outcomes.
AB - Hypertensive disorders of pregnancy (HDP) are a group of medical complications in
pregnancy and also a risk factor for severe pregnancy outcomes, but it lacks a
large-scale epidemiological investigation in recent years. This survey represents
a multicenter cross-sectional retrospective study to estimate the prevalence and
analyze the risk factors for HDP among the pregnant women who had referred for
delivery between January 1st 2011 and December 31st 2011 in China Mainland. A
total of 112,386 pregnant women were investigated from 38 secondary and tertiary
specialized or general hospitals randomly selected across the country, of which
5,869 had HDP, accounting for 5.22% of all pregnancies. There were significant
differences in the prevalence of HDP between geographical regions, in which the
North China showed the highest (7.44%) and Central China showed the lowest
(1.23%). Of six subtypes of HDP, severe preeclampsia accounted for 39.96%,
gestational hypertension for 31.40%, mild preeclampsia for 15.13%, chronic
hypertension in pregnancy for 6.00%, preeclampsia superimposed on chronic
hypertension for 3.68% and eclampsia for 0.89%. A number of risk factors for HDP
were identified, including twin pregnancy, age of >35 years, overweight and
obesity, primipara, history of hypertension as well as family history of
hypertension and diabetes. The prevalence of pre-term birth, placental abruption
and postpartum hemorrhage were significantly higher in women with HDP than those
without HDP. The possible risk factors confirmed in this study may be useful for
the development of early diagnosis and appropriate treatment of HDP.
PMID- 24937407
TI - Biodiversity mapping in a tropical West African forest with airborne
hyperspectral data.
AB - Tropical forests are major repositories of biodiversity, but are fast
disappearing as land is converted to agriculture. Decision-makers need to know
which of the remaining forests to prioritize for conservation, but the only
spatial information on forest biodiversity has, until recently, come from a
sparse network of ground-based plots. Here we explore whether airborne
hyperspectral imagery can be used to predict the alpha diversity of upper canopy
trees in a West African forest. The abundance of tree species were collected from
64 plots (each 1250 m(2) in size) within a Sierra Leonean national park, and
Shannon-Wiener biodiversity indices were calculated. An airborne spectrometer
measured reflectances of 186 bands in the visible and near-infrared spectral
range at 1 m(2) resolution. The standard deviations of these reflectance values
and their first-order derivatives were calculated for each plot from the c. 1250
pixels of hyperspectral information within them. Shannon-Wiener indices were then
predicted from these plot-based reflectance statistics using a machine-learning
algorithm (Random Forest). The regression model fitted the data well (pseudo-R(2)
= 84.9%), and we show that standard deviations of green-band reflectances and
infra-red region derivatives had the strongest explanatory powers. Our work shows
that airborne hyperspectral sensing can be very effective at mapping canopy tree
diversity, because its high spatial resolution allows within-plot heterogeneity
in reflectance to be characterized, making it an effective tool for monitoring
forest biodiversity over large geographic scales.
PMID- 24937408
TI - Synthesis and electrochemical properties of porphycene-diketopyrrolopyrrole
conjugates.
AB - The selective iodination of 2,7,12,17-tetrahexylporphycene 1 was successfully
accomplished by using N-iodosuccinimide in the presence of activators to give 3
iodoporphycene 2 and 3,13-diiodoporphycene 3a. These iodinated porphycenes can be
used as the substrates for palladium-catalyzed coupling to prepare porphycene
diketopyrrolopyrrole conjugates in two steps. The connection of the
diketopyrrolopyrrole units to porphycenes broadened their absorption spectra and
increased the intensity of the Q-bands due to the electronic interactions between
the porphycene and diketopyrrolopyrrole moieties.
PMID- 24937411
TI - Introduction.
PMID- 24937410
TI - Nanoscale analysis of a functionalized polythiophene surface by adhesion mapping.
AB - Functionalized ethylenedioxythiophene (EDOT) monomers, hydroxymethyl EDOT (EDOT
OH), and zwitterionic phosphorylcholine EDOT (EDOT-PC) were electropolymerized to
prepare the homopolymers poly(EDOT-OH) and poly(EDOT-PC), and mixtures of these
monomers were used to produce the copolymer poly(EDOT-OH)-co-poly(EDOT-PC). Force
extension-curve-based atomic force microscopy (AFM) was utilized to analyze the
surfaces of the films. The PEDOT-OH film yielded force-extension curves for short
stretching, and the PEDOT-PC film yielded curves for long stretching. A dendron
modified AFM tip with anthracene groups tethered at the end resulted in adhesion
maps with the highest contrast. The analytical data for the copolymer films
correlated with the corresponding monomer composition, and the maps revealed that
the average size for the copolymer nanodomains ranged from 10-14 nm. This
approach can be applied to studies aimed at understanding the surface structure
of other relevant polymers and copolymers at the nanoscale level.
PMID- 24937409
TI - Silver nanoparticles: Synthesis methods, bio-applications and properties.
AB - Silver nanoparticles size makes wide range of new applications in various fields
of industry. Synthesis of noble metal nanoparticles for applications such as
catalysis, electronics, optics, environmental and biotechnology is an area of
constant interest. Two main methods for Silver nanoparticles are the physical and
chemical methods. The problem with these methods is absorption of toxic
substances onto them. Green synthesis approaches overcome this limitation. Silver
nanoparticles size makes wide range of new applications in various fields of
industry. This article summarizes exclusively scalable techniques and focuses on
strengths, respectively, limitations with respect to the biomedical applicability
and regulatory requirements concerning silver nanoparticles.
PMID- 24937412
TI - Essential considerations when choosing a modern antidepressant.
AB - The man-years of disability produced by depression in industrialised nations is
second only to that caused by ischaemic heart disease. One in ten patients seen
by a primary care physician is suffering from depressive symptoms (but neither of
them may recognise it). Depression is clearly a major health problem, which can,
however, be successfully treated by modern antidepressants in the overwhelming
majority of cases. The problem for the primary care physician is not whether to
treat but how to treat major depression. The increasing number of antidepressants
from different families with different mechanisms has become an embarrassment of
riches. When choosing an antidepressant, efficacy, adverse effects, safety in
overdose, potential drug interactions and withdrawal effects are among the
principal criteria. Although all antidepressants may appear to be equivalent in
efficacy, in more severely depressed patients it has been demonstrated that dual
action antidepressants, acting on both serotonin and noradrenaline, have superior
efficacy to compounds acting on a single neurotransmitter. In addition certain
types of depressive symptoms may respond better to one antidepressant than to
another. The importance of adverse effects goes beyond patient safety and comfort
and has a major influence on efficacy. If, due to adverse effects, an
antidepressant is prescribed at sub-optimal doses or the patient is not
compliant, even the most effective antidepressant will perform badly. The risk of
suicide is inherent to depression, and to prescribe a drug which if taken in
overdose can be fatal if used in a suicide attempt, is clearly unacceptable.
Similarly certain antidepressants have a far greater potential for interactions
with other drugs with potentially toxic effects and should be avoided in patients
taking several medications. Applying these criteria globally will not designate a
single "best antidepressant" but consideration of the importance of each criteria
for an individual patient will help the clinician to find the antidepressant best
adapted to each patient.
PMID- 24937413
TI - Evidence-based prescribing of antidepressants.
AB - Modern antidepressants are required to undergo extensive clinical investigation
before their commercialisation is authorised, and this provides the basis for the
"evidence-based recommendations" for their use. Because of the rigorous
methodology required in formal clinical trials in order to establish efficacy,
the population studied and the conditions of use of a treatment are likely to
differ substantially from those encountered in everyday clinical practice. There
is limited opportunity in clinical trials for casual observation of the effects
of the drug, its efficacy in patients with co-morbid disorders, or its use
outside of the strict indication for which the compound seeks a licence. The
observations, case studies, open trials and small comparative trials that are
conducted on an antidepressant after it has been launched can provide important
information. The less rigorous methodology is to some extent compensated by their
relevance to everyday prescribing and their openness to chance discoveries. They
can provide complementary information on responder characteristics and acceptance
of side effects and can suggest potential conditions and indications not
originally foreseen. This body of data can be referred to as "prescribing-based
evidence". The obvious complementarity of these two bodies of information is
illustrated by reference to the serotonin and noradrenaline reuptake inhibitor
(SNRI), milnacipran, which has been the subject of intense post-marketing study,
especially in Japan. The newly discovered efficacy of milnacipran in chronic
pain, both associated with depression and in conditions such as fibromyalgia, is
an example of the extended understanding that can be obtained by such studies.
PMID- 24937414
TI - Five years experience of prescribing milnacipran: An interview with Didier Papeta
(Head of Psychiatry, General Military Hospital, Brest, France).
AB - The serotonin and noradrenaline reuptake inhibitor (SNRI), milnacipran, has been
available in France for over 5 years. Although many of the published clinical
trials were, at least partially, carried out in France, there has been little
feedback in the medical literature concerning the everyday use of milnacipran in
this country. In contrast to the tradition in some countries, the publication of
case reports in French medical journals is not common. In order to get a feedback
from day-to-day use of a compound it is therefore necessary to carry out use
surveys which are expensive and time-consuming. In the absence of such surveys
personal opinions forged over several years by extensive prescribers of a
compound can give valuable insight, albeit with the risks of bias and of being
non-representative.
PMID- 24937415
TI - Milnacipran in the treatment of elderly depressed patients including a case of
interferon-induced depression.
AB - Elderly patients represent a particularly fragile patient group that requires
highly effective but extremely well tolerated antidepressants. Two cases are
reported showing that the serotonin and noradrenaline reuptake inhibitor,
milnacipran, is both effective and well tolerated. One case is the first reported
in which milnacipran is shown to effectively reduce interferon-induced depressive
symptoms.
PMID- 24937416
TI - Efficacy of milnacipran for glossodynia patients.
AB - Pain and depression are thought to arise from a common neurochemical dysfunction
at the level of noradrenergic and serotonergic neurons, and antidepressants are
used to treat chronic pain. The dual action tricyclic antidepressants are more
potent in relieving pain than the selective serotonin reuptake inhibitors.
Glossodynia is chronic pain and burning sensation in the tongue often associated
with depression. Patients suffering from glossodynia were treated with the
serotonin and noradrenaline reuptake inhibitor, milnacipran, which has been
recently launched in Japan. Milnacipran was found to be effective in the relief
of the chronic glossodynia and well tolerated.
PMID- 24937417
TI - New treatment of depression in Parkinson's disease.
AB - Anhedonia and apathy are the main symptoms of depression generally associated
with Parkinson's disease. Tricyclic antidepressants (TCA) and selective serotonin
reuptake inhibitors (SSRI) have been used to treat depression associated with
Parkinson's disease. However, the poor tolerance of TCA and the worsening of the
motor function by SSRI have favoured other therapeutic approaches. Some studies
have shown that agents stimulating the noradrenergic system were able to improve
depression in parkinsonian patients. The serotonin and noradrenaline reuptake
inhibitor, milnacipran, has become available recently in Japan. The aim of this
study was to investigate the potential of milnacipran to alleviate depressive
symptoms in patients suffering from Parkinson's disease, particularly the
symptoms anhedonia and apathy.
PMID- 24937418
TI - Expression of gene, protein and immunohistochemical localization of the estrogen
receptor isoform ERalpha1 in male rainbow trout lymphoid organs; indication of
the role of estrogens in the regulation of immune mechanisms.
AB - In vertebrates, estrogens act on the reproductive system but also affect the
functioning of non-reproductive tissues such as the immune system. In teleost
fish, effects of estrogens and xenoestrogens have been reported extensively, but
the available information on targeted tissues and cells is still scarce.
Moreover, a better knowledge of the distinct ER subtypes is required to find out
the mechanistic pathways by which estrogen compounds are able to disrupt
endogenous estrogen signaling in fish immunity. The present study aimed at
characterizing, in male rainbow trout juveniles, multi-tissue gene expression
pattern of one isoform of estrogen receptor (ER), ERalpha1, at the mRNA and
protein levels. The mRNA levels for ERalpha1 were measured in various lymphoid
organs by real-time RT-PCR and ERalpha1 protein level by Western blot.
Furthermore, this protein was located by immunohistochemistry in the same organs.
The transcripts were ubiquitously expressed, but at a higher level in testis and
liver, while the protein was more abundant in testis and skin. Moreover, the
ERalpha1 was detected in endothelial, Kupffer, mucous and chloride cells,
hematopoietic tissues, proximal tubule, epithelia of the skin and intestine, in
the lamina propria and in the stratum granulosum. This distribution backs the
idea that, in male rainbow trout, estrogeno-mimetic compounds could be involved
in different immune mechanisms such as inflammatory response, transport of Ig,
mucus production, regulation of cellular immunity and development and maturation
of lymphoid and myeloid cells.
PMID- 24937419
TI - Vessel-dependent recruitment of sympathetic axons: looking for innervation in all
the right places.
AB - Autonomic sympathetic axons extend along and innervate resistance arteries to
control vascular tone and participate in blood pressure regulation. In this issue
of the JCI, Brunet and colleagues reveal that sympathetic innervation of arteries
is facilitated by secretion of the axon guidance molecule netrin-1 by arterial
VSMCs. Furthermore, disruption of the signaling cascade induced by netrin-1
through its receptor DCC resulted in defective arterial innervation and
sympathetic control of vasoconstriction. This comprehensive study represents a
major step forward in our understanding of the coordinated wiring of the vascular
and nervous systems in various tissues.
PMID- 24937420
TI - Fatness and fertility: which direction?
AB - Metabolic status has long been thought to determine reproductive status, with
abnormal metabolic phenotypes altering reproductive cascades, such as the onset
of puberty. In this issue of the JCI, Tolson and colleagues provide evidence that
kisspeptin, a hormone that promotes sexual maturation, regulates metabolism.
Female mice lacking the kisspeptin receptor (KISS1R) gained more weight than
control animals, and this weight gain was caused not by increased food
consumption, but by an overall decrease in energy and metabolism. While this
study provides a direct link between the kisspeptin pathway and metabolic output,
more work will need to be done to determine whether alterations in this pathway
contribute to human obesity.
PMID- 24937421
TI - Twisting mice move the dystonia field forward.
AB - A common form of the hyperkinetic movement disorder dystonia is caused by
mutations in the gene TOR1A (located within the DYT1 locus), which encodes the
ATPase torsinA. The underlying neurobiological mechanisms that result in dystonia
are poorly understood, and progress in the field has been hampered by the absence
of a dystonia-like phenotype in animal models with genetic modification of Tor1a.
In this issue of the JCI, Liang et al. establish the first animal model with a
dystonic motor phenotype and link torsinA hypofunction to the development of
early neuropathological changes in distinct sensorimotor regions. The findings of
this study will likely play an important role in elucidating the neural substrate
for dystonia and should stimulate systematic neuropathological and imaging
studies in carriers of TOR1A mutations.
PMID- 24937422
TI - Loss of P2Y14 results in an arresting response to hematological stress.
AB - The regenerative capacity of tissues to recover from injury or stress is
dependent on stem cell competence, yet the underlying mechanisms that govern how
stem cells detect stress and initiate appropriate responses are poorly
understood. In this issue of the JCI, Cho and Yusuf et al. demonstrate that the
purinergic receptor P2Y14 may mediate the hematopoietic stem and progenitor cell
regenerative response.
PMID- 24937423
TI - Cardiac fibroblasts in pressure overload hypertrophy: the enemy within?
AB - Cardiac fibroblasts have been long recognized as active participants in heart
disease; however, their exact physiological and pathological roles remain
elusive, mainly due to the lack of specific markers. In this issue of the JCI,
Moore-Morris and colleagues used a fibroblast-specific collagen1a1-GFP reporter
to demonstrate that fibroblast accumulation after aortic banding in murine hearts
arises almost exclusively from proliferation of resident fibroblasts originating
from both the epicardium and a previously unrecognized source, the endocardium.
Further characterization of fibroblast origin and function in different types and
stages of heart disease could lead to development of improved fibroblast-targeted
cardiac therapies.
PMID- 24937424
TI - Kidney alpha-intercalated cells and lipocalin 2: defending the urinary tract.
AB - A growing body of evidence indicates that the kidneys contribute substantially to
immune defense against pathogens in the urinary tract. In this issue, Paragas et
al. report that alpha-intercalated cells (A-ICs) within the nephron collecting
duct sense infecting Gram-negative bacteria, resulting in simultaneously
secretion of the iron chelating protein lipocalin 2 (LCN2) and protons, which
acidify the urine. A-IC-specific LCN2 and proton secretion markedly reduced the
ability of infecting uropathogenic E. coli (UPEC) to grow and sustain infection.
The capacity of A-ICs to sense and actively promote clearance of infecting
bacteria in the lower urinary tract represents a novel function for these
specialized kidney cells, which are best known for their role in modulating acid
base homeostasis.
PMID- 24937425
TI - Designer receptors: therapeutic adjuncts to cell replacement therapy in
Parkinson's disease.
AB - Cell replacement for restoring neuronal populations in Parkinson's disease has
been demonstrated as a potential therapeutic strategy over several decades of
studies; however, a number of issues regarding sources of replacement neurons and
optimization of therapeutic efficacy in vivo have hampered clinical
implementation. In this issue of the JCI, Dell'Anno and colleagues evaluated the
use of induced dopaminergic (iDA) neurons that were generated by direct
fibroblast reprogramming for transplantation and demonstrated that postmitotic
iDA neurons stably and functionally integrate into host striatum to produce motor
improvements in 6-OHDA rats, a Parkinson's disease model. Furthermore, using
designer receptors exclusively activated by designer drugs (DREADDs) in iDA
grafts to noninvasively increase dopamine release from grafted neurons, the
authors were able to remotely control transplanted neurons and enhance
therapeutic efficacy. This initial proof-of-concept study is the first
application of DREADD technology to treat neurodegenerative dysfunction, and by
using DREADDs as an adjunct to iDA cell therapy, it presents a novel strategy to
overcome some current caveats of cell replacement therapy.
PMID- 24937426
TI - Purinergic P2Y14 receptor modulates stress-induced hematopoietic stem/progenitor
cell senescence.
AB - Purinergic receptors of the P2Y family are G protein-coupled surface receptors
that respond to extracellular nucleotides and can mediate responses to local cell
damage. P2Y-dependent signaling contributes to thrombotic and/or inflammatory
consequences of tissue injury by altering platelet and endothelial activation and
immune cell phagocytosis. Here, we have demonstrated that P2Y14 modifies cell
senescence and cell death in response to tissue stress, thereby enabling
preservation of hematopoietic stem/progenitor cell function. In mice, P2Y14
deficiency had no demonstrable effect under homeostatic conditions; however,
radiation stress, aging, sequential exposure to chemotherapy, and serial bone
marrow transplantation increased senescence in animals lacking P2Y14. Enhanced
senescence coincided with increased ROS, elevated p16(INK4a) expression, and
hypophosphorylated Rb and was inhibited by treatment with a ROS scavenger or
inhibition of p38/MAPK and JNK. Treatment of WT cells with pertussis toxin
recapitulated the P2Y14 phenotype, suggesting that P2Y14 mediates antisenescence
effects through Gi/o protein-dependent pathways. Primitive hematopoietic cells
lacking P2Y14 were compromised in their ability to restore hematopoiesis in
irradiated mice. Together, these data indicate that P2Y14 on stem/progenitor
cells of the hematopoietic system inhibits cell senescence by monitoring and
responding to the extracellular manifestations of tissue stress and suggest that
P2Y14-mediated responses prevent the premature decline of regenerative capacity
after injury.
PMID- 24937427
TI - Impaired kisspeptin signaling decreases metabolism and promotes glucose
intolerance and obesity.
AB - The neuropeptide kisspeptin regulates reproduction by stimulating gonadotropin
releasing hormone (GnRH) neurons via the kisspeptin receptor KISS1R. In addition
to GnRH neurons, KISS1R is expressed in other brain areas and peripheral tissues,
which suggests that kisspeptin has additional functions beyond reproduction.
Here, we studied the energetic and metabolic phenotype in mice lacking kisspeptin
signaling (Kiss1r KO mice). Compared with WT littermates, adult Kiss1r KO females
displayed dramatically higher BW, leptin levels, and adiposity, along with
strikingly impaired glucose tolerance. Conversely, male Kiss1r KO mice had normal
BW and glucose regulation. Surprisingly, despite their obesity, Kiss1r KO females
ate less than WT females; however, Kiss1r KO females displayed markedly reduced
locomotor activity, respiratory rate, and energy expenditure, which were not due
to impaired thyroid hormone secretion. The BW and metabolic phenotype in Kiss1r
KO females was not solely reflective of absent gonadal estrogen, as chronically
ovariectomized Kiss1r KO females developed obesity, hyperleptinemia, reduced
metabolism, and glucose intolerance compared with ovariectomized WT females. Our
findings demonstrate that in addition to reproduction, kisspeptin signaling
influences BW, energy expenditure, and glucose homeostasis in a sexually
dimorphic and partially sex steroid-independent manner; therefore, alterations in
kisspeptin signaling might contribute, directly or indirectly, to some facets of
human obesity, diabetes, or metabolic dysfunction.
PMID- 24937429
TI - TorsinA hypofunction causes abnormal twisting movements and sensorimotor circuit
neurodegeneration.
AB - Lack of a preclinical model of primary dystonia that exhibits dystonic-like
twisting movements has stymied identification of the cellular and molecular
underpinnings of the disease. The classical familial form of primary dystonia is
caused by the DYT1 (DeltaE) mutation in TOR1A, which encodes torsinA, AAA+ ATPase
resident in the lumen of the endoplasmic reticular/nuclear envelope. Here, we
found that conditional deletion of Tor1a in the CNS (nestin-Cre Tor1a(flox/-)) or
isolated CNS expression of DYT1 mutant torsinA (nestin-Cre Tor1a(flox/DeltaE))
causes striking abnormal twisting movements. These animals developed perinuclear
accumulation of ubiquitin and the E3 ubiquitin ligase HRD1 in discrete
sensorimotor regions, followed by neurodegeneration that was substantially milder
in nestin-Cre Tor1a(flox/DeltaE) compared with nestin-Cre Tor1a(flox/-) animals.
Similar to the neurodevelopmental onset of DYT1 dystonia in humans, the
behavioral and histopathological abnormalities emerged and became fixed during
CNS maturation in the murine models. Our results establish a genetic model of
primary dystonia that is overtly symptomatic, and link torsinA hypofunction to
neurodegeneration and abnormal twisting movements. These findings provide a
cellular and molecular framework for how impaired torsinA function selectively
disrupts neural circuits and raise the possibility that discrete foci of
neurodegeneration may contribute to the pathogenesis of DYT1 dystonia.
PMID- 24937428
TI - alpha-Intercalated cells defend the urinary system from bacterial infection.
AB - alpha-Intercalated cells (A-ICs) within the collecting duct of the kidney are
critical for acid-base homeostasis. Here, we have shown that A-ICs also serve as
both sentinels and effectors in the defense against urinary infections. In a
murine urinary tract infection model, A-ICs bound uropathogenic E. coli and
responded by acidifying the urine and secreting the bacteriostatic protein
lipocalin 2 (LCN2; also known as NGAL). A-IC-dependent LCN2 secretion required
TLR4, as mice expressing an LPS-insensitive form of TLR4 expressed reduced levels
of LCN2. The presence of LCN2 in urine was both necessary and sufficient to
control the urinary tract infection through iron sequestration, even in the harsh
condition of urine acidification. In mice lacking A-ICs, both urinary LCN2 and
urinary acidification were reduced, and consequently bacterial clearance was
limited. Together these results indicate that A-ICs, which are known to regulate
acid-base metabolism, are also critical for urinary defense against pathogenic
bacteria. They respond to both cystitis and pyelonephritis by delivering
bacteriostatic chemical agents to the lower urinary system.
PMID- 24937430
TI - Stromal heparan sulfate differentiates neuroblasts to suppress neuroblastoma
growth.
AB - Neuroblastoma prognosis is dependent on both the differentiation state and
stromal content of the tumor. Neuroblastoma tumor stroma is thought to suppress
neuroblast growth via release of soluble differentiating factors. Here, we
identified critical growth-limiting components of the differentiating stroma
secretome and designed a potential therapeutic strategy based on their central
mechanism of action. We demonstrated that expression of heparan sulfate
proteoglycans (HSPGs), including TbetaRIII, GPC1, GPC3, SDC3, and SDC4, is low in
neuroblasts and high in the Schwannian stroma. Evaluation of neuroblastoma
patient microarray data revealed an association between TGFBR3, GPC1, and SDC3
expression and improved prognosis. Treatment of neuroblastoma cell lines with
soluble HSPGs promoted neuroblast differentiation via FGFR1 and ERK
phosphorylation, leading to upregulation of the transcription factor inhibitor of
DNA binding 1 (ID1). HSPGs also enhanced FGF2-dependent differentiation, and the
anticoagulant heparin had a similar effect, leading to decreased neuroblast
proliferation. Dissection of individual sulfation sites identified 2-O, 3-O
desulfated heparin (ODSH) as a differentiating agent, and treatment of orthotopic
xenograft models with ODSH suppressed tumor growth and metastasis without
anticoagulation. These studies support heparan sulfate signaling intermediates as
prognostic and therapeutic neuroblastoma biomarkers and demonstrate that tumor
stroma biology can inform the design of targeted molecular therapeutics.
PMID- 24937431
TI - Remote control of induced dopaminergic neurons in parkinsonian rats.
AB - Direct lineage reprogramming through genetic-based strategies enables the
conversion of differentiated somatic cells into functional neurons and distinct
neuronal subtypes. Induced dopaminergic (iDA) neurons can be generated by direct
conversion of skin fibroblasts; however, their in vivo phenotypic and functional
properties remain incompletely understood, leaving their impact on Parkinson's
disease (PD) cell therapy and modeling uncertain. Here, we determined that iDA
neurons retain a transgene-independent stable phenotype in culture and in animal
models. Furthermore, transplanted iDA neurons functionally integrated into host
neuronal tissue, exhibiting electrically excitable membranes, synaptic currents,
dopamine release, and substantial reduction of motor symptoms in a PD animal
model. Neuronal cell replacement approaches will benefit from a system that
allows the activity of transplanted neurons to be controlled remotely and enables
modulation depending on the physiological needs of the recipient; therefore, we
adapted a DREADD (designer receptor exclusively activated by designer drug)
technology for remote and real-time control of grafted iDA neuronal activity in
living animals. Remote DREADD-dependent iDA neuron activation markedly enhanced
the beneficial effects in transplanted PD animals. These data suggest that iDA
neurons have therapeutic potential as a cell replacement approach for PD and
highlight the applicability of pharmacogenetics for enhancing cellular signaling
in reprogrammed cell-based approaches.
PMID- 24937432
TI - Resident fibroblast lineages mediate pressure overload-induced cardiac fibrosis.
AB - Activation and accumulation of cardiac fibroblasts, which result in excessive
extracellular matrix deposition and consequent mechanical stiffness, myocyte
uncoupling, and ischemia, are key contributors to heart failure progression.
Recently, endothelial-to-mesenchymal transition (EndoMT) and the recruitment of
circulating hematopoietic progenitors to the heart have been reported to generate
substantial numbers of cardiac fibroblasts in response to pressure overload
induced injury; therefore, these processes are widely considered to be promising
therapeutic targets. Here, using multiple independent murine Cre lines and a
collagen1a1-GFP fusion reporter, which specifically labels fibroblasts, we found
that following pressure overload, fibroblasts were not derived from hematopoietic
cells, EndoMT, or epicardial epithelial-to-mesenchymal transition. Instead,
pressure overload promoted comparable proliferation and activation of two
resident fibroblast lineages, including a previously described epicardial
population and a population of endothelial origin. Together, these data present a
paradigm for the origins of cardiac fibroblasts during development and in
fibrosis. Furthermore, these data indicate that therapeutic strategies for
reducing pathogenic cardiac fibroblasts should shift from targeting presumptive
EndoMT or infiltrating hematopoietically derived fibroblasts, toward common
pathways upregulated in two endogenous fibroblast populations.
PMID- 24937435
TI - Effect of binders on airborne microorganism inactivation using TiO2
photocatalytic fluorescent lamps.
AB - 5% Degussa P25 TiO2 was spray-coated onto black-light and white-light fluorescent
lamps, using five different binders, namely DURAMAX B-1000, DURAMAX D-3005,
silane-69, and two polyethylene glycols with molecular weight 1000 (PEG-1000) and
700 (PEG-700). The coated lamps were tested with Staphylococcus epidermidis,
Escherichia coli, spores of Bacillus subtilis and spores of Aspergillus niger. It
was found that 0.5% B-1000 and 1% PEG-1000 gave the highest inactivation rates:
93-96% from coated black-light lamps and 85-88% from coated white-light lamps for
bacteria. In the case of spores, 70-72% and 55-57% inactivation rates were
recorded from coated black-light and coated white-light lamps, respectively. The
effects of UVA irradiance and face velocity were also examined. Significant
improvement was observed from coated white-light lamps when the UVA irradiance
increased. High face velocity adversely affected microorganism inactivation.
PMID- 24937433
TI - Netrin-1 controls sympathetic arterial innervation.
AB - Autonomic sympathetic nerves innervate peripheral resistance arteries, thereby
regulating vascular tone and controlling blood supply to organs. Despite the
fundamental importance of blood flow control, how sympathetic arterial
innervation develops remains largely unknown. Here, we identified the axon
guidance cue netrin-1 as an essential factor required for development of arterial
innervation in mice. Netrin-1 was produced by arterial smooth muscle cells (SMCs)
at the onset of innervation, and arterial innervation required the interaction of
netrin-1 with its receptor, deleted in colorectal cancer (DCC), on sympathetic
growth cones. Function-blocking approaches, including cell type-specific deletion
of the genes encoding Ntn1 in SMCs and Dcc in sympathetic neurons, led to severe
and selective reduction of sympathetic innervation and to defective
vasoconstriction in resistance arteries. These findings indicate that netrin-1
and DCC are critical for the control of arterial innervation and blood flow
regulation in peripheral organs.
PMID- 24937436
TI - Yellowing and bleaching of grey hair caused by photo and thermal degradation.
AB - Yellowing is an undesirable phenomenon that is common in people with white and
grey hair. Because white hair has no melanin, the pigment responsible for hair
colour, the effects of photodegradation are more visible in this type of hair.
The origin of yellowing and its relation to photodegradation processes are not
properly established, and many questions remain open in this field. In this work,
the photodegradation of grey hair was investigated as a function of the
wavelength of incident radiation, and its ultrastructure was determined, always
comparing the results obtained for the white and black fibres present in grey
hair with the results of white wool. The results presented herein indicate that
the photobehaviour of grey hair irradiated with a mercury lamp or with solar
radiation is dependent on the wavelength range of the incident radiation and on
the initial shade of yellow in the sample. Two types of grey hair were used: (1)
blended grey hair (more yellow) and (2) grey hair from a single-donor (less
yellow). After exposure to a full-spectrum mercury lamp for 200 h, the blended
white hair turned less yellow (the yellow-blue difference, Db(*) becomes
negative, Db(*)=-6), whereas the white hair from the single-donor turned slightly
yellower (Db(*)=2). In contrast, VIS+IR irradiation resulted in bleaching in both
types of hair, whereas a thermal treatment (at 81 degrees C) caused yellowing of
both types of hair, resulting in a Db(*)=3 for blended white hair and Db(*)=9 for
single-donor hair. The identity of the yellow chromophores was investigated by UV
Vis spectroscopy. The results obtained with this technique were contradictory,
however, and it was not possible to obtain a simple correlation between the
sample shade of yellow and the absorption spectra. In addition, the results are
discussed in terms of the morphology differences between the pigmented and non
pigmented parts of grey hair, the yellowing and bleaching effects of grey hair,
and the occurrence of dark-follow reactions.
PMID- 24937434
TI - Epigenetic regulation of smooth muscle cell plasticity.
AB - Smooth muscle cells (SMC) are the major cell type in blood vessels. Their
principal function in the body is to regulate blood flow and pressure through
vessel wall contraction and relaxation. Unlike many other mature cell types in
the adult body, SMC do not terminally differentiate but retain a remarkable
plasticity. They have the unique ability to toggle between a differentiated and
quiescent "contractile" state and a highly proliferative and migratory
"synthetic" phenotype in response to environmental stresses. While there have
been major advances in our understanding of SMC plasticity through the
identification of growth factors and signals that can influence the SMC
phenotype, how these regulate SMC plasticity remains unknown. To date, several
key transcription factors and regulatory cis elements have been identified that
play a role in modulating SMC state. The frontier in understanding the molecular
mechanisms underlying SMC plasticity has now advanced to the level of
epigenetics. This review will summarize the epigenetic regulation of SMC,
highlighting the role of histone modification, DNA methylation, and our most
recent identification of a DNA demethylation pathway in SMC that is pivotal in
the regulation of the SMC phenotypic state. Many disorders are associated with
smooth muscle dysfunction, including atherosclerosis, the major underlying cause
of stroke and coronary heart disease, as well as transplant vasculopathy,
aneurysm, asthma, hypertension, and cancer. An increased understanding of the
major regulators of SMC plasticity will lead to the identification of novel
target molecules that may, in turn, lead to novel drug discoveries for the
treatment of these diseases. This article is part of a Special Issue entitled:
Stress as a fundamental theme in cell plasticity.
PMID- 24937437
TI - Orexin A enhances locomotor activity and induces anxiogenic-like action in the
goldfish, Carassius auratus.
AB - Orexin acts as an orexigenic factor for the regulation of appetite and
rhythmicity in rodents. In goldfish, intracerebroventricular (ICV) administration
of orexin A has been shown to affect not only food intake, but also locomotor
activity. However, as there is still no information regarding the effect of
orexin A on emotional behavior in goldfish, we investigated the effect of orexin
A on psychomotor activity in this species. Intracerebroventricular administration
of synthetic orexin A at 2 and 4pmol/g body weight (BW) enhanced locomotor
activity, and this enhancement by orexin A at 4pmol/g BW was attenuated by
treatment with the orexin receptor 1 antagonist, SB334867, at 10pmol/g BW. Since
intact goldfish prefer a black to a white background area, or the lower to the
upper area of a tank, we used two types of preference tests (black/white and
upper/lower tests) for measuring anxiety-like behavior in goldfish.
Intracerebroventricular administration of orexin A at 4pmol/g BW shortened the
time spent in the white background area, and increased the time taken to move
from the lower to the upper area. This action of orexin A mimicked that of the
central-type benzodiazepine receptor inverse agonist, FG-7142 (an anxiogenic
agent), at 4pmol/g BW. The anxiogenic-like effect of orexin A was abolished by
treatment with SB334867 at 10pmol/g BW. These results indicate that orexin A
potently affects psychomotor activity in goldfish.
PMID- 24937439
TI - Nandrolone-induced aggressive behavior is associated with alterations in
extracellular glutamate homeostasis in mice.
AB - Nandrolone decanoate (ND), an anabolic androgenic steroid (AAS), induces an
aggressive phenotype by mechanisms involving glutamate-induced N-methyl-d
aspartate receptor (NMDAr) hyperexcitability. The astrocytic glutamate
transporters remove excessive glutamate surrounding the synapse. However, the
impact of supraphysiological doses of ND on glutamate transporters activity
remains elusive. We investigated whether ND-induced aggressive behavior is
interconnected with GLT-1 activity, glutamate levels and abnormal NMDAr
responses. Two-month-old untreated male mice (CF1, n=20) were tested for baseline
aggressive behavior in the resident-intruder test. Another group of mice (n=188)
was injected with ND (15mg/kg) or vehicle for 4, 11 and 19days (short-, mid- and
long-term endpoints, respectively) and was evaluated in the resident-intruder
test. Each endpoint was assessed for GLT-1 expression and glutamate uptake
activity in the frontoparietal cortex and hippocampal tissues. Only the long-term
ND endpoint significantly decreased the latency to first attack and increased the
number of attacks, which was associated with decreased GLT-1 expression and
glutamate uptake activity in both brain areas. These alterations may affect
extracellular glutamate levels and receptor excitability. Resident males were
assessed for hippocampal glutamate levels via microdialysis both prior to, and
following, the introduction of intruders. Long-term ND mice displayed significant
increases in the microdialysate glutamate levels only after exposure to
intruders. A single intraperitoneal dose of the NMDAr antagonists, memantine or
MK-801, shortly before the intruder test decreased aggressive behavior. In
summary, long-term ND-induced aggressive behavior is associated with decreased
extracellular glutamate clearance and NMDAr hyperexcitability, emphasizing the
role of this receptor in mediating aggression mechanisms.
PMID- 24937438
TI - Assessment of the effects of sex and sex hormones on spatial cognition in adult
rats using the Barnes maze.
AB - Although sex differences and hormone effects on spatial cognition are observed in
humans and animals, consensus has not been reached regarding exact impact on
spatial working or reference memory. Recent studies in rats suggest that stress
and/or reward, which are often different in tasks used to assess spatial
cognition, can contribute to the inconsistencies in the literature. To minimize
the impact of these sex- and sex hormone-sensitive factors, we used the Barnes
maze to compare spatial working memory, spatial reference memory and spatial
learning strategy in adult male, female, gonadectomized (GDX) male, and GDX male
rats supplemented with 17beta-estradiol (E) or testosterone propionate (TP). Rats
received four acquisition trials, four trials 24h later, and a single retention
trial one week after. Males and females acquired the task during the first four
trials and retained the task thereafter. In contrast, GDX rats took longer to
acquire the task and showed retention deficits at 1week. All deficits were
attenuated similarly by TP and E. Assessment of search patterns also showed that
strategies in the males transitioned from random to spatially focused and
eventually direct approaches to the goal. However, this transition was faster in
control and GDX-TP than in GDX and GDX-E rats. In contrast, the females almost
invariantly followed the maze edge in thigmotactic, serial searches. Thus, while
Barnes maze reveals activational, in part estrogenic effects on spatial cognition
in males, its amenability to animals' use of multiple strategies may limit its
ability to resolve mnemonic differences across sex.
PMID- 24937440
TI - Long-term safety and effectiveness of tanezumab as treatment for chronic low back
pain.
AB - A noncontrolled, randomized, multicenter study (NCT00924664) evaluated long-term
safety and effectiveness of tanezumab in patients with chronic low back pain
following a randomized placebo- and active-controlled parent study that evaluated
analgesic efficacy. Patients were randomized to tanezumab 10mg (n=321) or 20mg
(n=527) administered at 8-week intervals via 3 intravenous injections followed by
4 subcutaneous injections. Effectiveness analyses included change from parent
study baseline in Brief Pain Inventory Short Form, Roland Morris Disability
Questionnaire, and Patient's Global Assessment of low back pain. Safety
assessments included adverse event documentation, physical/neurological
examinations, and laboratory tests. Mean treatment duration during the extension
study was 194 and 202 days with tanezumab 10 and 20mg, respectively. Both
tanezumab doses provided similar and sustained improvements in all effectiveness
outcomes. The most frequently reported adverse events were arthralgia,
paresthesia, and hypoesthesia. Adverse events initially described as
osteonecrosis were reported in 6 patients (tanezumab 10mg, n=2; tanezumab 20mg,
n=4); 9 additional patients (tanezumab 10mg, n=7; tanezumab 20mg, n=2) underwent
total joint replacement (TJR). A blinded, independent adjudication committee
reviewed all 6 patients with reported osteonecrosis and 4 of the 9 patients
undergoing TJR. Adjudication outcomes were osteonecrosis (n=0), worsening
osteoarthritis (n=5; 1 rapidly progressive), and another diagnosis or
indeterminate (n=5). Tanezumab 10mg had better tolerability than tanezumab 20mg,
and may represent an effective long-term treatment for chronic low back pain.
PMID- 24937441
TI - Species composition, abundance, and seasonal dynamics of stink bugs (Hemiptera:
Pentatomidae) in Minnesota soybean fields.
AB - Stink bugs (Hemiptera: Pentatomidae) have historically not been pests of soybean
in Minnesota. In response to the invasion of Halyomorpha halys (Stal) and reports
of increasing abundance of species native to North America, a state-wide survey
of soybean was conducted over 3 yr in Minnesota to determine species composition,
abundance, and seasonal dynamics of Pentatomidae associated with soybean.
Fourteen species of Pentatomidae (12 herbivorous and two predatory) were
collected from soybean. H. halys was not detected in this survey. Among the
herbivorous species found, adults of Euschistus variolarius (Palisot de Beauvois)
had the greatest relative abundance (60.51%) and frequency of detection (18.44%),
followed by Euschistus servus euschistoides (Say) (19.37 and 3.04%, respectively)
and Chinavia hilaris (Say) (5.50 and 1.69%, respectively). Abundance of
herbivorous nymphs and adults exceeded an economic threshold (20 nymphs and
adults per 100 sweeps) in 0.82% of fields in 2012 but not in 2011 or 2013. The
frequency of detection of herbivorous species and ratio of nymphs to adults
increased with increasing reproductive growth stage of soybean. In two of three
years, herbivorous adults were more abundant in the edge compared with interior
of fields. Two predatory Pentatomidae, Podisus maculiventris (Say) and Podisus
placidus Uhler, comprised 5.95 and 1.62% of the pentatomid adults. Though the
species composition of Pentatomidae in Minnesota soybean differs from that in
eastern and southern states, the spatial (i.e., greater abundance near field
edge) and seasonal dynamics (i.e., increasing abundance and reproduction with
increasing reproductive maturity of soybean) in soybean appear similar.
PMID- 24937442
TI - Lanthanide-organic coordination frameworks showing new 5-connected network
topology and 3D ordered array of single-molecular magnet behavior in the Dy case.
AB - Five isostructural lanthanide-organic coordination frameworks with a unique 3-D 5
connected (4(7).6(3))(4(3).6(5).8(2)) network, namely, [Ln(phen)(L)]n (Ln = Dy
for 1, Gd for 2, Ho for 3, Er for 4, and Tb for 5), have been prepared based on
bridging 5-hydroxyisophthalic acid (H3L) and chelating 1,10-phenanthroline (phen)
coligand. Significantly, the Dy(III) complex 1 is an organized array of single
molecular magnets (SMMs), with frequency-dependent out-of-phase ac susceptibility
signals and magnetization hysteresis at 4 K. Further analysis of the magnetic
results can reveal that the SMM behavior of 1 should arise from the smaller
ferromagnetic interaction between the Dy(III) ions. Complex 1 was also
characterized by X-ray absorption spectra, which give the clear X-ray magnetic
circular dichroism signal.
PMID- 24937443
TI - Risk factors for malformations and impact on reproductive performance and
mortality rates of Schmallenberg virus in sheep flocks in the Netherlands.
AB - In Northwestern Europe, an epizootic outbreak of congenital malformations in
newborn lambs due to infection with Schmallenberg virus (SBV) started at the end
of 2011. The objectives of this study were to describe clinical symptoms of SBV
infection, the effect of infection on mortality rates, and reproductive
performance in sheep, as well as to identify and quantify flock level risk
factors for SBV infections resulting in malformations in newborn lambs. A case
control study design was used, with 93 case flocks that had notified malformed
lambs and 84 control flocks with no such lambs. Overall animal seroprevalence in
case flocks was estimated at 82.0% (95% CI: 74.3-87.8), and was not significantly
different from the prevalence in control flocks being 76.4% (95% CI: 67.2-83.6).
The percentages of stillborn lambs or lambs that died before weaning, repeat
breeders, and lambs with abnormal suckling behaviour were significantly higher in
case flocks compared to control flocks. However, effect of SBV infection on
mortality rates and reproductive performance seemed to be limited. Multivariable
analysis showed that sheep flocks with an early start of the mating season, i.e.
before August 2011 (OR = 33.1; 95% CI: 10.0-109.8) and in August 2011 (OR = 8.2;
95% CI: 2.7-24.6) had increased odds of malformations in newborn lambs caused by
SBV compared to sheep flocks with a start of the mating season in October 2011.
Other flock-level risk factors for malformations in newborn lambs were purchase
of silage (OR 5.0; 95% CI: 1.7-15.0) and flocks with one or more dogs (OR = 3.3;
95% CI: 1.3-8.3). Delaying mating until October could be a potential preventive
measure for naive animals to reduce SBV induced losses. As duration of immunity
after infection with SBV is expected to last for several years, future SBV
induced congenital malformations are mainly expected in offspring of early mated
seronegative animals.
PMID- 24937444
TI - DNA methylation-associated colonic mucosal immune and defense responses in
treatment-naive pediatric ulcerative colitis.
AB - Inflammatory bowel diseases (IBD) are emerging globally, indicating that
environmental factors may be important in their pathogenesis. Colonic mucosal
epigenetic changes, such as DNA methylation, can occur in response to the
environment and have been implicated in IBD pathology. However, mucosal DNA
methylation has not been examined in treatment-naive patients. We studied DNA
methylation in untreated, left sided colonic biopsy specimens using the Infinium
HumanMethylation450 BeadChip array. We analyzed 22 control (C) patients, 15
untreated Crohn's disease (CD) patients, and 9 untreated ulcerative colitis (UC)
patients from two cohorts. Samples obtained at the time of clinical remission
from two of the treatment-naive UC patients were also included into the analysis.
UC-specific gene expression was interrogated in a subset of adjacent samples (5 C
and 5 UC) using the Affymetrix GeneChip PrimeView Human Gene Expression Arrays.
Only treatment-naive UC separated from control. One-hundred-and-twenty genes with
significant expression change in UC (> 2-fold, P<0.05) were associated with
differentially methylated regions (DMRs). Epigenetically associated gene
expression changes (including gene expression changes in the IFITM1, ITGB2,
S100A9, SLPI, SAA1, and STAT3 genes) were linked to colonic mucosal immune and
defense responses. These findings underscore the relationship between epigenetic
changes and inflammation in pediatric treatment-naive UC and may have potential
etiologic, diagnostic, and therapeutic relevance for IBD.
PMID- 24937446
TI - Promiscuity of Exiguobacterium sp. AT1b o-succinylbenzoate synthase illustrates
evolutionary transitions in the OSBS family.
AB - Catalytic promiscuity, which is the ability to catalyze more than one reaction in
the same active site, is thought to facilitate the evolution of new protein
functions. Although many enzymes are catalytically promiscuous, there is little
direct evidence to show how promiscuous activities evolved into biological
functions. We are seeking evidence for this model by studying the o
succinylbenzoate synthase (OSBS) family. Most enzymes within this family only
catalyze OSBS, which is a step in menaquinone synthesis. However, several
characterized enzymes in one branch of the family (called the NSAR/OSBS
subfamily) efficiently catalyze both OSBS and N-succinylamino acid racemization
(NSAR). Based on genome context, NSAR appears to be the only biological function
of some characterized NSAR/OSBS enzymes, while both activities are biologically
relevant in others. The promiscuity model predicts that these enzymes evolved
from an ancestral OSBS which promiscuously catalyzed NSAR as a side reaction that
was not biologically relevant. If so, the model predicts that some extant OSBS
enzymes would have low levels of promiscuous NSAR activity. This manuscript
describes such an enzyme from Exiguobacterium sp. AT1b (ExiOSBS). We show that
ExiOSBS efficiently catalyzes OSBS (kcat/KM=2.6*10(6) M(-1) s(-1)), but its
efficiency for the NSAR reaction is only 41 M(-1) s(-1). Moreover, genome context
indicates that OSBS is the only biologically relevant activity. ExiOSBS diverged
from the NSAR/OSBS subfamily before NSAR emerged as a biologically relevant
activity. These results provide evidence that NSAR activity originated as a
promiscuous activity in an ancestor of the NSAR/OSBS subfamily.
PMID- 24937445
TI - LMO4 is required to maintain hypothalamic insulin signaling.
AB - Insulin action at the hypothalamus controls glucose homeostasis by suppressing
hepatic glucose production and promoting glucose uptake by muscle. However, the
mechanisms that control central insulin signaling have not been fully elucidated.
Previously, we showed that LMO4 is highly expressed in hypothalamic nuclei that
regulate glucose homeostasis. Here, we determined how loss of LMO4 in the
hypothalamus would affect central insulin signaling and glucose homeostasis. In
transgenic mice that have LMO4 in ablated in glutamatergic neurons, we found that
insulin signaling is impaired in the hypothalamus as well as in peripheral
tissues (liver and skeletal muscle). Impaired glucose homeostasis was associated
with a markedly elevation in hypothalamic protein tyrosine phosphatase 1B (PTP1B)
activity. PTP1B is a key phosphatase that terminates insulin signaling by
dephosphorylating its receptor and downstream signaling molecules. Importantly,
we found that administration of a selective PTP1B inhibitor Trodusquemine to the
hypothalamus restored central insulin signaling and improved the response of
peripheral tissues to insulin in these LMO4-deficient mice. Thus, our study
reveals an essential requirement for LMO4 to modulate central insulin signaling.
PMID- 24937447
TI - Structural analysis of the yeast exosome Rrp6p-Rrp47p complex by small-angle X
ray scattering.
AB - The RNase D-type 3'-5' exonuclease Rrp6p from Saccharomyces cerevisiae is a
nuclear-specific cofactor of the RNA exosome and associates in vivo with Rrp47p
(Lrp1p). Here, we show using biochemistry and small-angle X-ray scattering (SAXS)
that Rrp6p and Rrp47p associate into a stable, heterodimeric complex with an
elongated shape consistent with binding of Rrp47p to the nuclease domain and
opposite of the HRDC domain of Rrp6p. Rrp47p reduces the exonucleolytic activity
of Rrp6p on both single-stranded and structured RNA substrates without
significantly altering the affinity towards RNA or the ability of Rrp6p to
degrade RNA secondary structure.
PMID- 24937448
TI - Anti-proliferative and pro-apoptotic activity of whole extract and isolated
indicaxanthin from Opuntia ficus-indica associated with re-activation of the onco
suppressor p16(INK4a) gene in human colorectal carcinoma (Caco-2) cells.
AB - Phytochemicals may exert chemo-preventive effects on cells of the gastro
intestinal tract by modulating epigenome-regulated gene expression. The effect of
the aqueous extract from the edible fruit of Opuntia ficus-indica (OFI extract),
and of its betalain pigment indicaxanthin (Ind), on proliferation of human colon
cancer Caco-2 cells has been investigated. Whole extract and Ind caused a dose
dependent apoptosis of proliferating cells at nutritionally relevant amounts,
with IC50 400+/-25 mg fresh pulp equivalents/mL, and 115+/-15 MUM (n=9),
respectively, without toxicity for post-confluent differentiated cells. Ind
accounted for ~80% of the effect of the whole extract. Ind did not cause
oxidative stress in proliferating Caco-2 cells. Epigenomic activity of Ind was
evident as de-methylation of the tumor suppressor p16(INK4a) gene promoter,
reactivation of the silenced mRNA expression and accumulation of p16(INK4a), a
major controller of cell cycle. As a consequence, decrease of hyper
phosphorylated, in favor of the hypo-phosphorylated retinoblastoma was observed,
with unaltered level of the cycline-dependent kinase CDK4. Cell cycle showed
arrest in the G2/M-phase. Dietary cactus pear fruit and Ind may have chemo
preventive potential in intestinal cells.
PMID- 24937449
TI - Pick1 modulates ephrinB1-induced junctional disassembly through an association
with ephrinB1.
AB - Members of the Eph family have been implicated in the formation of cell-cell
boundaries, cell movement, and positioning during development in the context of
cancer progression. De-regulation of this signaling system is linked to the
promotion of more aggressive and metastatic tumor phenotypes in a large variety
of human cancers, including breast, lung, and prostate cancer, melanoma, and
leukemia. Thus, it is interesting to consider the case of cancer progression
where de-regulation of the Eph/ephrin signaling system results in invasion and
metastasis. Here, we present evidence that Pick1, one of the essential components
of the adherens junction, recovers ephrinB1-induced cell-cell de-adhesion. Loss
of Pick1 leads to dissociation of epithelial cells via disruption of the adherens
junction, a phenotype similar to ephrinB1 overexpression. In addition,
overexpressed ephrinB1-induced disruption of the adherens junction is rescued via
binding to Pick1. These data indicate that Pick1 is involved in regulating the
cell-cell junction in epithelial cells, and this may influence therapeutic
strategy decisions with regards to cell adhesion molecules in metastatic disease.
PMID- 24937450
TI - Protective effects of Sapindus mukorossi Gaertn against fatty liver disease
induced by high fat diet in rats.
AB - OBJECTIVES: Study the effects of alcohol extract of Sapindus mukorossi Gaertn
(AESM) on the metabolism of blood fat, morphology of fenestrated liver sinusoidal
endothelial cells (LSEC), and the ultrastructure of liver cells of the rats with
non-alcoholic fatty liver disease (NAFLD). METHODS: Divide SD rats into control
group, model group, simvastatin (7.2 mg/kg) group, and S.mukorossi Gaertn group
with high dosage (0.5 g/kg), moderate dosage (0.1 g/kg), and low dosage (0.05
g/kg). After feeding with fat-rich nutrients for 3 weeks and establishing the
model of hepatic adipose, conduct intragastric administration and provide the
rats with fat-rich nutrients at the same time. At the 43rd day, take blood sample
and measure aminotransferase and different indexes of blood fat; take hepatic
tissue for pathological section, and observe the hepatic morphological patterns
under light microscope; obtain and fix the hepatic tissue after injecting
perfusate into the body, and observe the changes of fenestrated LSEC under
scanning electron microscope; observe the ultrastructure of liver cells under
transmission electron microscope. RESULTS: High-dosage alcohol extracts of
S.mukorossi Gaertn can alleviate the AST, ALT, TC, TG, LDL, gamma-GT, and ALP
level, as well as raise the HDL and APN level in the serum of NAFLD-rat model. In
addition, through the observation from light microscope and electron microscopes,
the morphology of the hepatic tissue and liver cells as well as the recovery of
the fenestrated LSEC in the treatment group has become normal. CONCLUSIONS:
Alcohol extracts of S.mukorossi Gaertn can regulate the level of blood fat and
improve the pathological changes of the hepatic tissues in NAFLD-rat model, which
demonstrates the effects of down-regulating fat level and protecting liver.
PMID- 24937451
TI - The small RNA RyhB homologs from Salmonella typhimurium participate in the
response to S-nitrosoglutathione-induced stress.
AB - Typically, the expression of sRNAs is activated in response to environmental
stimuli in order to regulate gene expression through post-transcriptional
mechanisms. In the present work we show that the Salmonellatyphimurium paralogous
sRNAs RyhB-1 and RyhB-2 are induced in response to the nitrosating agent S
nitrosoglutathione (GSNO). Inactivation of these sRNAs decreased S. typhimurium
resistance to GSNO and increased the levels of nitrosylated proteins. These
results prompted us to evaluate a possible role of these sRNAs in nitrosative
stress resistance. RNA profiling was used as a screen to identify novel RyhB-1
and RyhB-2 regulated targets. A subset of genes was filtered based on their
potential role in the response to nitrosative stress and their expression was
analyzed by quantitative RT-PCR in wild type, single and double mutant strains
(DeltaryhB1, DeltaryhB2 and DeltaryhB1 DeltaryhB2) treated with GSNO. In response
to GSNO RyhB-1 and RyhB-2 negatively regulate the expression of the genes cyoABC
(cytochrome bo oxidase), cydB (cytochrome bd oxidase), cybC (cytochrome b-562),
and positively regulate the nirBCD operon (nitrite reductase system). Together,
these results suggest that RyhB-1 and RyhB-2 finely tune the expression of genes
coding for cytochrome oxidases and the nitrate reductase system, allowing the
cell to cope with GSNO-induced stress.
PMID- 24937452
TI - Histone H4 Lys 20 methyltransferase SET8 promotes androgen receptor-mediated
transcription activation in prostate cancer.
AB - Histone methylation status in different lysine residues has an important role in
transcription regulation. The effect of H4K20 monomethylation (H4K20me1) on
androgen receptor (AR)-mediated gene transcription remains unclear. Here we show
that AR agonist stimulates the enrichment of H4K20me1 and SET8 at the promoter of
AR target gene PSA in an AR dependent manner. Furthermore, SET8 is crucial for
the transcription activation of PSA. Co-immunoprecipitation analyses demonstrate
that SET8 interacts with AR. Therefore, we conclude that SET8 is involved in AR
mediated transcription activation, possibly through its interaction with AR and
H4K20me1 modification.
PMID- 24937453
TI - Targeted next-generation sequencing at copy-number breakpoints for personalized
analysis of rearranged ends in solid tumors.
AB - BACKGROUND: The concept of the utilization of rearranged ends for development of
personalized biomarkers has attracted much attention owing to its clinical
applicability. Although targeted next-generation sequencing (NGS) for recurrent
rearrangements has been successful in hematologic malignancies, its application
to solid tumors is problematic due to the paucity of recurrent translocations.
However, copy-number breakpoints (CNBs), which are abundant in solid tumors, can
be utilized for identification of rearranged ends. METHOD: As a proof of concept,
we performed targeted next-generation sequencing at copy-number breakpoints (TNGS
CNB) in nine colon cancer cases including seven primary cancers and two cell
lines, COLO205 and SW620. For deduction of CNBs, we developed a novel competitive
single-nucleotide polymorphism (cSNP) microarray method entailing CNB-region
refinement by competitor DNA. RESULT: Using TNGS-CNB, 19 specific rearrangements
out of 91 CNBs (20.9%) were identified, and two polymerase chain reaction (PCR)
amplifiable rearrangements were obtained in six cases (66.7%). And significantly,
TNGS-CNB, with its high positive identification rate (82.6%) of PCR-amplifiable
rearrangements at candidate sites (19/23), just from filtering of aligned
sequences, requires little effort for validation. CONCLUSION: Our results
indicate that TNGS-CNB, with its utility for identification of rearrangements in
solid tumors, can be successfully applied in the clinical laboratory for cancer
relapse and therapy-response monitoring.
PMID- 24937454
TI - Pancreatic cancer stroma: friend or foe?
AB - Pancreatic cancer desmoplasia is thought to confer biological aggressiveness. In
this issue of Cancer Cell, Ozdemir and colleagues and Rhim and colleagues
demonstrate that targeting the stroma results in undifferentiated, aggressive
pancreatic cancer that responds to checkpoint blockade or antiangiogenic therapy,
uncovering a protective role by stroma in this cancer.
PMID- 24937455
TI - MDS is a stem cell disorder after all.
AB - Myelodysplastic syndrome (MDS) has long been presumed to be a stem cell disorder,
but rigorous formal proof has been lacking. In this issue of Cancer Cell, Woll
and colleagues demonstrate that driver mutations occurring in MDS definitively
occur in cells with a stem cell phenotype.
PMID- 24937457
TI - Gremlins sabotage the mechanisms of cancer stem cell differentiation.
AB - BMP is highly expressed in glioblastoma and promotes differentiation of cancer
stem cells (CSCs). Recently, Yan and colleagues found the explanation to this
apparent paradox by showing that the antagonist of BMP, Gremlin1, is secreted by
CSCs to protect them against the BMP-induced differentiation.
PMID- 24937456
TI - GNAQ/11 mutations in uveal melanoma: is YAP the key to targeted therapy?
AB - GNAQ and GNA11 are frequently mutated in uveal melanoma, but they remain
difficult therapeutic targets. In this issue of Cancer Cell, Feng and colleagues
and Yu and colleagues demonstrate that the oncogenic activity of mutant GNAQ/11
is mediated at least in part through YAP, potentially uncovering a new
therapeutic strategy.
PMID- 24937459
TI - Leukemia propagating cells rebuild an evolving niche in response to therapy.
AB - Residence of cancer-propagating cells (CPCs) within preferential
microenvironmental niches has a major part in evading therapy. However, the
nature of niches involved and the mechanisms protecting CPCs remain largely
unknown. We addressed these issues in mouse transplantation models of acute
lymphoblastic leukemia (ALL). When the engrafted leukemic cells substantially
damaged adjacent microenvironment in the bone marrow (BM), after chemotherapy
small foci of CPCs were retained, surrounded by sheaths of supporting cells that
comprise a protective niche. We investigated patients' BM biopsies and found
evidence of a similar process in patients receiving induction therapy. The
efficacy of chemotherapy was enhanced by interfering with the niche formation or
function. We therefore identified a therapy-induced niche that protects CPCs.
PMID- 24937461
TI - Abatement cost of GHG emissions for wood-based electricity and ethanol at
production and consumption levels.
AB - Woody feedstocks will play a critical role in meeting the demand for biomass
based energy products in the US. We developed an integrated model using
comparable system boundaries and common set of assumptions to ascertain unit cost
and greenhouse gas (GHG) intensity of electricity and ethanol derived from slash
pine (Pinus elliottii) at the production and consumption levels by considering
existing automobile technologies. We also calculated abatement cost of greenhouse
gas (GHG) emissions with respect to comparable energy products derived from
fossil fuels. The production cost of electricity derived using wood chips was at
least cheaper by 1 c MJ-1 over electricity derived from wood pellets. The
production cost of ethanol without any income from cogenerated electricity was
costlier by about 0.7 c MJ-1 than ethanol with income from cogenerated
electricity. The production cost of electricity derived from wood chips was
cheaper by at least 0.7 c MJ-1 than the energy equivalent cost of ethanol
produced in presence of cogenerated electricity. The cost of using ethanol as a
fuel in a flex-fuel vehicle was at least higher by 6 c km-1 than a comparable
electric vehicle. The GHG intensity of per km distance traveled in a flex-fuel
vehicle was greater or lower than an electric vehicle running on electricity
derived from wood chips depending on presence and absence of GHG credits related
with co-generated electricity. A carbon tax of at least $7 Mg CO2e-1 and $30 Mg
CO2e-1 is needed to promote wood-based electricity and ethanol production in the
US, respectively. The range of abatement cost of GHG emissions is significantly
dependent on the harvest age and selected baseline especially for electricity
generation.
PMID- 24937458
TI - JARID1B is a luminal lineage-driving oncogene in breast cancer.
AB - Recurrent mutations in histone-modifying enzymes imply key roles in
tumorigenesis, yet their functional relevance is largely unknown. Here, we show
that JARID1B, encoding a histone H3 lysine 4 (H3K4) demethylase, is frequently
amplified and overexpressed in luminal breast tumors and a somatic mutation in a
basal-like breast cancer results in the gain of unique chromatin binding and
luminal expression and splicing patterns. Downregulation of JARID1B in luminal
cells induces basal genes expression and growth arrest, which is rescued by
TGFbeta pathway inhibitors. Integrated JARID1B chromatin binding, H3K4
methylation, and expression profiles suggest a key function for JARID1B in
luminal cell-specific expression programs. High luminal JARID1B activity is
associated with poor outcome in patients with hormone receptor-positive breast
tumors.
PMID- 24937465
TI - Type 2 diabetes is not associated with an altered plaque phenotype among patients
undergoing carotid revascularization. A histological analysis of 1455 carotid
plaques.
AB - AIMS: Diabetes accelerates progression of atherosclerotic disease, but data on
associations between diabetes and advanced atherosclerotic plaque composition are
scarce. METHODS AND RESULTS: We used one of the largest biobanks, the Athero
Express study (n=1455) at carotid endarterectomy (CEA). All plaques were
subjected to histological analysis to assess lipid core size, collagen,
macrophages, smooth muscle cells, micro-vessel density and calcifications. In
addition, within a subset of patients cytokines and chemokines were assessed. The
295 patients (20%) with type-2 diabetes showed a higher proportion of previous
cardiovascular interventions and more stringent treatment for hypertension and
hypercholesterolaemia compared with patients without type-2 diabetes.
Surprisingly, no associations between diabetes and histological plaque
characteristics were observed. In addition, no differences were observed in the
expression of inflammatory chemokines, cytokines or advanced glycation end
products in plaques of diabetic and non-diabetic patients. CONCLUSION: In
patients suffering from significant carotid artery disease, diabetes does not
appear to be associated with specific atherosclerotic plaque characteristics.
PMID- 24937466
TI - Clinical characteristics of high plasma adiponectin and high plasma leptin as
risk factors for arterial stiffness and related end-organ damage.
AB - OBJECTIVE: The relationship between plasma levels of adiponectin and
cardiovascular events is inconclusive. We evaluated the clinical characteristics
of people with high plasma adiponectin and high plasma leptin levels. METHODS:
Thousand seven hundred participants recruited from visitors to the Anti-Aging Doc
were divided into four groups by combining the bipartiles of plasma adiponectin
and leptin levels in men and women separately: AL, high adiponectin and high
leptin; Al, high adiponectin and low leptin; al, low adiponectin and low leptin;
aL, low adiponectin and high leptin. Body composition, including visceral fat
area and thigh muscle cross-sectional area (CSA), brachial-ankle pulse wave
velocity (baPWV), periventricular hyperintensity, and urinary albumin excretion,
were determined. RESULTS: Twenty percent of the studied population fell within
the AL group. This group had a significantly higher visceral fat area than the Al
group. Thigh muscle CSA was lowest in the AL group among groups. baPWV, brain
white matter lesions, and albuminuria findings in the AL group were significantly
higher than those of the Al group. Multiple and logistic regression analyses with
confounding parameters further confirmed that plasma adiponectin was not an
independent determinant for brain and renal small vessel-related disease.
CONCLUSION: These findings suggest that the plasma level of adiponectin alone is
not enough for the risk stratification of cardiovascular disease. Leptin
resistance associated with skeletal muscle loss in addition to obesity may need
to be addressed to identify high risk people with high plasma adiponectin levels.
PMID- 24937469
TI - Cryptic diversity between two Imparfinis species (Siluriformes, Heptapteridae) by
cytogenetic analysis and DNA barcoding.
AB - Five Imparfinis mirini and one Imparfinis minutus populations were studied using
basic cytogenetic and molecular techniques. Cytogenetic analysis showed that I.
mirini individuals presented the same diploid number 2n=58 (FN=116). However,
they presented two distinct karyomorphs: karyomorph A (36m+18sm+4st) for the Mogi
Guacu and Paranapanema basin populations, and karyomorph B (42m+12sm+4st) for the
Tiete basin populations. I. minutus populations from the Paraiba do Sul basin
presented a karyotype identical to karyomorph A of I. mirini. C-banding also
presented distinct patterns, with a greater amount of heterochromatin, most of
which was pericentromeric and interstitial for karyomorph A I. mirini and I.
minutus. There was a minor amount of heterochromatin in karyomorph B, most of
which was terminal and interstitial. Simple and interstitial nucleoli organizer
regions were located in the biggest metacentric pair of the complement in all
populations with GC-rich nature, and this location was confirmed by the
fluorescent in situ hybridization technique with 18S ribosomal DNA with 5S rDNA
synteny. In molecular analysis by DNA barcoding, two other populations from the
Tiete basin were added. The phylogram showed that the populations were more
related to the intrabasin. Cytogenetic resemblance among specimens from distinct
basins may be the result of either recent convergence or ancestral feature
retention not followed by mutations in mitochondrial DNA.
PMID- 24937467
TI - Parental smoking during pregnancy and offspring cardio-metabolic risk factors at
ages 17 and 32.
AB - OBJECTIVE: To examine the association of maternal and/or paternal smoking during
pregnancy with offspring cardio-metabolic risk (CMR) factors at adolescence and
early adulthood, taking into account socio-demographic, medical and lifestyle
characteristics of parents and offspring, as well as offspring common genetic
variation. METHODS: We used a population-based cohort of all 17 003 births in
Jerusalem during 1974-76, with available archival data on parental and birth
characteristics. Measurements at age 17 were assessed at military induction
examinations for 11 530 offspring. 1440 offspring from the original 1974-1976
birth cohort were sampled using a stratified sampling approach, and were
interviewed and examined at age 32. Parental smoking during pregnancy (i.e.
maternal, paternal and any parent) was primarily defined dichotomously (any
number of cigarettes smoked daily by mother or father during pregnancy vs. non
smokers). Additionally, smoking was assessed by quantity of cigarettes smoked
daily. Linear regression models were used to evaluate the associations of
parental smoking during pregnancy with various offspring CMR factors, after
controlling for potential confounders and for genetic variation in candidate
genes. RESULTS: Prevalence of exposure to parental smoking in-utero (i.e. smoking
of any parent) was 53.2% and 48.4% among the 17 years old and 32 years old
samples, respectively. At age 17, smoking of at least one parent during pregnancy
was significantly associated with weight (B = 1.39), height (B = 0.59), BMI (B =
0.32) and pulse rate (B = -0.78) (p-values < 0.001). At age 32, parental smoking,
adjusted for covariates, was associated with 2.22 kg higher mean offspring
weight, 0.95 cm higher mean offspring height, 0.57 kg/m(2) higher BMI, and 1.46
cm higher waist-circumference (p-values <= 0.02). Similar results, reflecting a
dose response, were observed when maternal and paternal smokings were assessed by
number of cigarettes smoked daily. CONCLUSIONS: This prospective study
demonstrates a potential long-term adverse effect of parental smoking during
pregnancy on offspring health and calls for increasing efforts to promote smoking
cessation of both parents before pregnancy.
PMID- 24937468
TI - Inflammatory profiles in the BTBR mouse: how relevant are they to autism spectrum
disorders?
AB - Autism spectrum disorders (ASD) are a group of disorders characterized by core
behavioral features including stereotyped interests, repetitive behaviors and
impairments in communication and social interaction. In addition, widespread
changes in the immune systems of individuals with ASD have been identified, in
particular increased evidence of inflammation in the periphery and central
nervous system. While the etiology of these disorders remains unclear, it appears
that multiple gene and environmental factors are involved. The need for animal
models paralleling the behavioral and immunological features of ASD is paramount
to better understand the link between immune system dysregulation and behavioral
deficits observed in these disorders. As such, the asocial BTBR mouse strain
displays both ASD relevant behaviors and persistent immune dysregulation,
providing a model system that has and continues to be instructive in
understanding the complex nature of ASD.
PMID- 24937470
TI - Impedimetric detection of mutant p53 biomarker-driven metastatic breast cancers
under hyposmotic pressure.
AB - In cancer cells, the oncogenic mutant p53 (mtp53) protein is present at high
levels and gain-of-function (GOF) activities with more expression of mtp53
proteins contribute to tumor growth and metastasis. Robust analytical approaches
that probe the degree of metastasis of cancer cells in connection with the mtp53
activity will be extremely useful not only for establishing a better cancer
prognosis but also understanding the fundamental mechanism of mtp53 oncogenic
action. Here we assessed the influence of mtp53 in breast cancers to the
mechanical property of breast cancer cells. Recently, ovarian and kidney cancer
cell lines have been shown to have higher cellular elasticity as compared to
normal cells assessed by monitoring the degree of deformation under hyposmotic
pressure. To make fast detection in large scale, the impedance measurement was
applied to monitor the swelling ratio of cells with time. The results showed that
knockdown of mtp53 leads to decrease in cell swelling. In addition, by means of
two types of impedimetric detection systems we consistently detected enhancement
of impedance signal in mtp53-expressing breast cancer cells. Based on this
observation we hypothesize that highly expressed mtp53 in metastatic mutant
breast cancers can promote tumor progression by making cells more deformable and
easier to spread out through extracellular matrix. The identification via the
electric measurement can be accomplished within 10 minutes. All results in this
report suggest that electric probing for the extent of the mtp53 expression of
breast cancer cells may serve as a meaningful fingerprint for the cancer
diagnostics, and this outcome will also have an important clinical implication
for the development of mtp53-based targeting for tumor detection and treatment.
PMID- 24937471
TI - Electrochemical response of metal complexes in homogeneous solution under
photoirradiation.
AB - The electrochemical detection of metal complexes in the photoexcited state is
important for understanding photoinduced electron transfer (PET) processes, which
play a central role in photo-energy conversion systems. In general, however, the
redox potentials of excited states have been indirectly estimated by a
combination of spectroscopic properties and ground-state redox potentials. To
establish a simple method for directly determining the redox potentials of the
photoexcited states of metal complexes, electrochemical measurements under
several conditions were performed. The electrochemical response was largely
influenced not only by the generation of photoexcited molecules but also by the
convection induced by photoirradiation, even when the global temperature of the
sample solution was unchanged. The suppression of these unfavourable
electrochemical responses was successfully achieved by adopting well-established
electrochemical techniques. Furthermore, as an initial demonstration, the
photoexcited state of a Ru-based metal complex was directly detected, and its
redox potential was determined using a thin layer electrochemical method.
PMID- 24937472
TI - Bisecting or not bisecting: this is the neglect question. Line bisection
performance in the diagnosis of neglect in right brain-damaged patients.
AB - In the present study we analysed the bisecting behaviour of 287 chronic right
brain-damaged patients by taking into account the presence and severity of
extrapersonal and/or personal neglect diagnosed with the hemineglect battery. We
also analysed right brain-damaged patients who had (or did not have) neglect
according to their line bisection performance. Our results showed that
performance of the line bisection task correlates with performance of
cancellation tasks, reading and perceptual tasks, but not with the presence of
personal neglect. Personal neglect seems to be unrelated to line bisection
behaviour. Indeed, patients affected by extrapersonal and personal neglect do not
show more severe neglect in line bisection than patients with only extrapersonal
neglect. Furthermore, we observed that 20.56% of the patients were considered
affected or not by neglect on the line bisection task compared with the other
spatial tasks of the hemineglect battery. We conclude that using a battery with
multiple tests is the only way to guarantee a reliable diagnosis and effectively
plan for rehabilitative training.
PMID- 24937473
TI - Index of central obesity as a parameter to replace waist circumference for the
definition of metabolic syndrome in predicting cardiovascular disease.
AB - OBJECTIVE: To compare the suitability of metabolic syndrome definitions in
predicting cardiovascular disease (CVD) risk. METHODS: We analyzed data from a
population-based prospective cohort of 3598 participants from Jiangsu, China.
Waist circumference was replaced with central obesity [index of central obesity
(ICO), a ratio of waist circumference and height] in Cholesterol Education
Program Adult Treatment Panel III (ICO-replaced ATPIII) and International
Diabetes Federation (ICO-replaced IDF), respectively. Cox proportional-hazards
regression model and the receiver operating characteristic curve (ROC curve) was
used to evaluate the suitability of ATPIII, IDF, ICO-replaced IDF and ICO
replaced ATPIII in predicting CVD risk. RESULTS: ICO was a better parameter in
predicting CVD risk by ROC curve analysis. The ROC curve analysis also showed
that although ICO-replaced IDF and IDF had the higher degree of specificity,
lower sensitivity, longer ROC curve distance, less area under the curve to
identify CVD than ATPIII and ICO-replaced ATPIII, therefore ICO-replaced IDF and
IDF seemed to be undesirable. However, there was no significant difference in
area under the curve between ATPIII and ICO-replaced ATPIII in predicting CVD
risk. But it seems that odds ratios for abnormal triglyceride and high-density
lipoprotein levels increase slightly when using ICO, but decrease for
hyperglycemia and hypertension when using ICO. CONCLUSION: ICO was a better
predictor of abnormal triglyceride and high-density lipoprotein levels than waist
circumference, but waist circumference was a better predictor of hyperglycemia
and hypertension than ICO. However, we failed to support ICO as a better
parameter for metabolic syndrome definition in predicting CVD risk compared with
waist circumference.
PMID- 24937474
TI - Blood transfusions following trauma: finding an evidence-based vein.
PMID- 24937475
TI - Electron transfer control in soluble methane monooxygenase.
AB - The hydroxylation or epoxidation of hydrocarbons by bacterial multicomponent
monooxygenases (BMMs) requires the interplay of three or four protein components.
How component protein interactions control catalysis, however, is not well
understood. In particular, the binding sites of the reductase components on the
surface of their cognate hydroxylases and the role(s) that the regulatory
proteins play during intermolecular electron transfer leading to the hydroxylase
reduction have been enigmatic. Here we determine the reductase binding site on
the hydroxylase of a BMM enzyme, soluble methane monooxygenase (sMMO) from
Methylococcus capsulatus (Bath). We present evidence that the ferredoxin domain
of the reductase binds to the canyon region of the hydroxylase, previously
determined to be the regulatory protein binding site as well. The latter thus
inhibits reductase binding to the hydroxylase and, consequently, intermolecular
electron transfer from the reductase to the hydroxylase diiron active site. The
binding competition between the regulatory protein and the reductase may serve as
a control mechanism for regulating electron transfer, and other BMM enzymes are
likely to adopt the same mechanism.
PMID- 24937477
TI - Biogenic copper oxide nanoparticles synthesis using Tabernaemontana divaricate
leaf extract and its antibacterial activity against urinary tract pathogen.
AB - This investigation explains the biosynthesis and characterization of copper oxide
nanoparticles from an Indian medicinal plant by an eco-friendly method. The main
objective of this study is to synthesize copper oxide nanoparticles from
Tabernaemontana divaricate leaves through a green chemistry approach. Highly
stable, spherical copper oxide nanoparticles were synthesized by using 50%
concentration of Tabernaemontana leaf extract. Formation of copper oxide
nanoparticles have been characterized by UV-Vis absorption spectroscopy, X-ray
diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), scanning
electron microscopy (SEM) with energy dispersive X-ray analysis (EDX) and
transmission electron microscopy (TEM) analysis. All the analyses revealed that
copper oxide nanoparticles were 48+/-4nm in size. Functional groups and chemical
composition of copper oxide were also confirmed. Antimicrobial activity of
biogenic copper oxide nanoparticles were investigated and maximum zone of
inhibition was found in 50MUg/ml copper oxide nanoparticles against urinary tract
pathogen (Escherichia coli).
PMID- 24937476
TI - The effect of inhaled IFN-beta on worsening of asthma symptoms caused by viral
infections. A randomized trial.
AB - RATIONALE: Ex vivo, bronchial epithelial cells from people with asthma are more
susceptible to rhinovirus infection caused by deficient induction of the
antiviral protein, IFN-beta. Exogenous IFN-beta restores antiviral activity.
OBJECTIVES: To compare the efficacy and safety of inhaled IFN-beta with placebo
administered to people with asthma after onset of cold symptoms to prevent or
attenuate asthma symptoms caused by respiratory viruses. METHODS: A total of 147
people with asthma on inhaled corticosteroids (British Thoracic Society Steps 2
5), with a history of virus-associated exacerbations, were randomized to 14-day
treatment with inhaled IFN-beta (n = 72) or placebo (n = 75) within 24 hours of
developing cold symptoms and were assessed clinically, with relevant samples
collected to assess virus infection and antiviral responses. MEASUREMENTS AND
MAIN RESULTS: A total of 91% of randomized patients developed a defined cold. In
this modified intention-to-treat population, asthma symptoms did not get
clinically significantly worse (mean change in six-item Asthma Control
Questionnaire <0.5) and IFN-beta treatment had no significant effect on this
primary endpoint, although it enhanced morning peak expiratory flow recovery (P =
0.033), reduced the need for additional treatment, and boosted innate immunity as
assessed by blood and sputum biomarkers. In an exploratory analysis of the subset
of more difficult-to-treat, Step 4-5 people with asthma (n = 27 IFN-beta; n = 31
placebo), Asthma Control Questionnaire-6 increased significantly on placebo; this
was prevented by IFN-beta (P = 0.004). CONCLUSIONS: Although the trial did not
meet its primary endpoint, it suggests that inhaled IFN-beta is a potential
treatment for virus-induced deteriorations of asthma in difficult-to-treat people
with asthma and supports the need for further, adequately powered, trials in this
population. Clinical trial registered with www.clinicaltrials.gov (NCT 01126177).
PMID- 24937478
TI - Community shifts in the surface microbiomes of the coral Porites astreoides with
unusual lesions.
AB - Apical lesions on Porites astreoides were characterized by the appearance of a
thin yellow band, which was preceded by bleaching of the coral tissues and
followed by a completely denuded coral skeleton, which often harbored secondary
macroalgal colonizers. These characteristics have not been previously described
in Porites and do not match common Caribbean coral diseases. The lesions were
observed only in warmer months and at shallow depths on the fore reef in Belize.
Analysis of the microbial community composition based on the V4 hypervariable
region of 16S ribosomal RNA genes revealed that the surface microbiomes
associated with nonsymptomatic corals were dominated by the members of the genus
Endozoicomonas, consistent with other studies. Comparison of the microbiomes of
nonsymptomatic and lesioned coral colonies sampled in July and September revealed
two distinct groups, inconsistently related to the disease state of the coral,
but showing some temporal signal. The loss of Endozoicomonas was characteristic
of lesioned corals, which also harbored potential opportunistic pathogens such as
Alternaria, Stenotrophomonas, and Achromobacter. The presence of lesions in P.
astreoides coincided with a decrease in the relative abundance of Endozoicomonas,
rather than the appearance of specific pathogenic taxa.
PMID- 24937479
TI - Personnel and participant experiences of a residential weight-loss program. A
qualitative study.
AB - BACKGROUND: Residential weight-loss programs aim to help persons with obesity
lose weight and maintain a long-term healthy lifestyle. Knowledge is needed on
the different actors' perceptions and experiences from such programs. The aim of
this study was to describe how personnel argued for and perceived a residential
weight-loss program, to investigate how the participants experienced the program,
and to contrast these perspectives. METHODS: This qualitative study took place in
an 18-week residential weight-loss program. Exercise, diet, and personal
development were the main components in the program. Data was collected through
participant observation and individual and focus group interviews with
participants and personnel. RESULTS: Program personnel characterized persons with
obesity in specific terms, and these formed the basis of the educational aims,
teaching principles, and content of the program. According to personnel, persons
with obesity typically had problems acknowledging their own resources, lived
unstructured lives, had a distorted relationship to food, experienced a range of
social problems and featured a lack of personal insight. Program participants
reported enthusiasm about their experiences of exercise and appreciated measures
of success with the exercise program. They had, however, very different
experiences regarding the usefulness and appropriateness of the parts of the
program focused on social and personal development. Some felt that weight loss
required an engagement with personal development while others viewed it as
unnecessary and inappropriate. CONCLUSION: The reliance in personnel accounts on
particular characteristics of persons with obesity as a rationale for the program
might lead to stigmatizing and stereotyping. Program activities focused on social
and personal development need to be better understood by participants if they are
to be viewed as helpful. To achieve this personnel must carefully consider how
these parts of the program are communicated and conducted.
PMID- 24937480
TI - Diminished hERG K+ channel activity facilitates strong human labour contractions
but is dysregulated in obese women.
AB - Human ether-a-go-go-related gene (hERG) potassium channels determine cardiac
action potential and contraction duration. Human uterine contractions are
underpinned by an action potential that also possesses an initial spike followed
by prolonged depolarization. Here we show that hERG channel proteins (alpha
conducting and beta-inhibitory subunits) and hERG currents exist in isolated
patch-clamped human myometrial cells. We show that hERG channel activity
suppresses contraction amplitude and duration before labour, thereby facilitating
quiescence. During established labour, expression of beta-inhibitory protein is
markedly enhanced, resulting in reduced hERG activity that is associated with an
increased duration of uterine action potentials and contractions. Thus, changes
in hERG channel activity contribute to electrophysiological mechanisms that
produce contractions during labour. We also demonstrate that this system fails in
women with elevated BMI, who have enhanced hERG activity as a result of low beta
inhibitory protein expression, which likely contributes to the weak contractions
and poor labour outcomes observed in many obese women necessitating caesarean
delivery.
PMID- 24937481
TI - Conversion from robotic surgery to laparotomy: a case-control study evaluating
risk factors for conversion.
AB - OBJECTIVES: To determine risk factors associated with conversion to laparotomy
for women undergoing robotic gynecologic surgery. METHODS: The medical records of
459 consecutive robotic surgery cases performed between December 2006 and October
2011 by 8 different surgeons at a single institution were retrospectively
reviewed. Cases converted to laparotomy were compared to those completed
robotically. Descriptive statistics were used to summarize the demographic and
clinical characteristics. RESULTS: Forty of 459 (8.7%, 95% CI 6.3%-11.7%)
patients had conversion to open surgery. Reason for conversion included poor
visualization due to adhesions (13), inability to tolerate Trendelenburg (7),
enlarged uterus (7), extensive peritoneal disease (5), bowel injury (2), ureteral
injury (1), vascular injury (1), bladder injury (1), technical difficulty with
the robot (2), and inability to access abdominal cavity (1). 5% of cases were
converted prior to docking the robot. On univariate analysis, preoperative
diagnosis (p=0.012), non-White race (p=0.004), history of asthma (p=0.027), ASA
score (p=0.032), bowel injury (p=0.012), greater BMI (p<0.001), need for blood
transfusion (p<0.001), and expected blood loss (p<0.001) were associated with
conversion. On multivariate analysis, non-White race (OR 2.88, 95% CI 1.39-5.96,
p=0.004), bowel injury (OR 35.40, 95% CI 3.00-417.28, p=0.005), and increasing
BMI (OR 1.06, 95% CI 1.03-1.09, p<0.001) were significantly associated with
increased risk for conversion. Prior surgery was not associated with conversion
to open surgery (p=0.347). CONCLUSION: Conversion to laparotomy was required for
8.7% of patients undergoing robotic surgery for a gynecologic indication.
Increasing BMI and non-white race were identified as the two preoperative risk
factors associated with conversion.
PMID- 24937482
TI - Impact of intra-aortic balloon pumping on hypotension and outcomes in acute right
ventricular infarction.
AB - OBJECTIVES: This study investigated the immediate hemodynamic effects of intra
aortic balloon pump (IABP) support and clinical outcomes in patients with acute
right ventricular infarction (RVI) complicated by hypotension. BACKGROUND: IABP
improves hypotension in patients with acute myocardial infarction and left
ventricular shock, but the effects have not been well studied in acute RVI with
predominant right ventricular shock. METHODS: We retrospectively analyzed
hemodynamics and clinical outcomes in 32 patients with acute inferior ST
elevation myocardial infarction complicated by RVI, in whom hypotension requiring
IABP placement developed despite intact left ventricular ejection fraction.
RESULTS: Pre-IABP hypotension was present in all (100%) patients, and in every
case IABP augmentation increased mean arterial pressure (55.9+/-7.4 to 76.8+/
14.7 mmHg, P<0.0001). Adverse clinical events included respiratory distress
requiring intubation in 46.9%, cardiopulmonary resuscitation in 25%, episodes of
ventricular tachycardia/fibrillation in 56.3%, and transvenous pacemaker
placement in 56.3% of patients. There were six inhospital deaths (18.8%). Pre
IABP hemodynamics were similar in those patients who survived to discharge
compared with those who died. However, in those patients who died, there was
significantly lower augmentation of peak systolic blood pressure during IABP
support compared with survivors (2.7+/-17 vs. 27+/-22 mmHg, P<0.015). CONCLUSION:
IABP support results in immediate hemodynamic improvement in patients with acute
RVI complicated by shock. The majority of these shock patients survived and the
magnitude of mean arterial pressure and peak systolic blood pressure augmentation
may impart prognostic value.
PMID- 24937484
TI - Photoelectron spectroscopic and computational study of hydrated pyrimidine
anions.
AB - The stabilization of the pyrimidine anion by the addition of water molecules is
studied experimentally using photoelectron spectroscopy of mass-selected hydrated
pyrimidine clusters and computationally using quantum-mechanical electronic
structure theory. Although the pyrimidine molecular anion is not observed
experimentally, the addition of a single water molecule is sufficient to impart a
positive electron affinity. The sequential hydration data have been used to
extrapolate to -0.22 eV for the electron affinity of neutral pyrimidine, which
agrees very well with previous observations. These results for pyrimidine are
consistent with previous studies of the hydrated cluster anions of uridine,
cytidine, thymine, adenine, uracil, and naphthalene. This commonality suggests a
universal effect of sequential hydration on the electron affinity of similar
molecules.
PMID- 24937486
TI - Assessing the impact of human activities on British Columbia's estuaries.
AB - The world's marine and coastal ecosystems are under threat and single-sector
management efforts have failed to address those threats. Scientific consensus
suggests that management should evolve to focus on ecosystems and their human,
ecological, and physical components. Estuaries are recognized globally as one of
the world's most productive and most threatened ecosystems and many estuarine
areas in British Columbia (BC) have been lost or degraded. To help prioritize
activities and areas for regional management efforts, spatial information on
human activities that adversely affect BC's estuaries was compiled. Using
statistical analyses, estuaries were assigned to groups facing related threats
that could benefit from similar management. The results show that estuaries in
the most populated marine ecosections have the highest biological importance but
also the highest impacts and the lowest levels of protection. This research is
timely, as it will inform ongoing marine planning, land acquisition, and
stewardship efforts in BC.
PMID- 24937483
TI - Effectiveness of guided and unguided low-intensity internet interventions for
adult alcohol misuse: a meta-analysis.
AB - BACKGROUND: Alcohol misuse ranks within the top ten health conditions with the
highest global burden of disease. Low-intensity, Internet interventions for
curbing adult alcohol misuse have been shown effective. Few meta-analyses have
been carried out, however, and they have involved small numbers of studies,
lacked indicators of drinking within low risk guidelines, and examined the
effectiveness of unguided self-help only. We therefore conducted a more thorough
meta-analysis that included both guided and unguided interventions. METHODS:
Systematic literature searches were performed up to September 2013. Primary
outcome was the mean level of alcohol consumption and drinking within low risk
guidelines for alcohol consumption at post-treatment. FINDINGS: We selected 16
randomised controlled trials (with 23 comparisons and 5,612 participants) for
inclusion. Results, showed a small but significant overall effect size in favour
of Internet interventions (g = 0.20, 95% CI: 0.13-0.27, p<.001). Participants in
Internet interventions drunk on average 22 grams of ethanol less than controls
and were significantly more likely to be adhering to low-risk drinking guidelines
at post-treatment (RD 0.13, 95% CI: 0.09-0.17, p<.001). Subgroup analyses
revealed no significant differences in potential moderators for the outcome of
alcohol consumption, although there was a near-significant difference between
comparisons with waitlist control and those with assessment-only or alcohol
information control conditions (p = .056). CONCLUSIONS: Internet interventions
are effective in reducing adult alcohol consumption and inducing alcohol users to
adhere to guidelines for low-risk drinking. This effect is small but from a
public health point of view this may warrant large scale implementation at low
cost of Internet interventions for adult alcohol misuse. Moderator analyses with
sufficient power are, however, needed in order to assess the robustness of these
overall results and to assess whether these interventions may impact on subgroups
with different levels of success.
PMID- 24937487
TI - The importance of atmospheric base cation deposition for preventing soil
acidification in the Athabasca Oil Sands Region of Canada.
AB - Industrial activities in the oil sands region of Alberta, Canada have resulted in
greatly elevated emissions of SO2 and N (NO(x) and NH3) and there are concerns
over possible widespread ecosystem acidification. Acid sensitive soils in the
region are common and have very low base cation weathering rates: the median base
cation weathering rate estimated for 63 sites using PROFILE was just 17 mmol cm(
2) yr(-1). Deposition of S and N in throughfall was approximately twice as high
as deposition measured with open collectors and could be as high as 360 mmol cm(
2) yr(-1) within 20 km of the main industrial center, although deposition
declined logarithmically with distance from the industrial activities. Base
cation deposition however, mostly exceeded the combined inputs of S and N in bulk
deposition and throughfall, particularly during the summer months. The potential
for soil acidification at a site close (<3 km) to the largest mine was assessed
using the dynamic ecosystem acidification model, MAGIC (Model of Acidification of
Groundwater in Catchments). Despite very low base cation weathering rates (~6
mmol cm(-2) yr(-1)) and high (~250 mmol cm(-2) yr(-1)) acid (S+N) deposition at
the site, soil base saturation and soil solution pH and molar Ca:Al ratio were
predicted to increase in the future assuming acid and base cation deposition
constant at current rates. This work shows that despite extremely low soil base
cation weathering rates in the region, the risk of soil acidification is
mitigated to a large extent by high base cation deposition, which in contrast to
S emissions is derived from fugitive dust sources in the mines, and is poorly
quantified for regional modeling studies.
PMID- 24937489
TI - Levels and profiles of POPs (organochlorine pesticides, PCBs, and PAHs) in free
ranging common bottlenose dolphins of the Canary Islands, Spain.
AB - The effect of anthropogenic pollution in marine mammals worldwide has become an
important issue due to the high concentrations found in many areas. The present
study represents the first report of pollutants in free-ranging cetaceans from
the Canary Islands, where there are 12 marine Special Areas of Conservation
(SACs), because of the presence of bottlenose dolphins (Tursiops truncatus). We
selected this resident population of dolphins as a bioindicator to gain knowledge
concerning the toxicological status of the cetaceans of this protected area. In
64 biopsy samples of live free-ranging animals sampled from 2003 to 2011, we
determined the concentrations of 18 polychlorinated biphenyls (PCBs), 23
organochlorine pesticides (OCPs) and 16 polycyclic aromatic hydrocarbons (PAHs).
We found high levels of many of these pollutants, and some of them were
detectable in 100% of the samples. The median value for ?OCPs was 57,104 ng g(-1)
lipid weight (lw), and the dichlorodiphenyldichloroethylene (p,p'-DDE) accounted
for 70% of this amount. Among PCBs, congeners 180, 153 and 138 were predominant
(82% of ?PCBs; median = 30,783 ng g(-1) lw). Concerning the analyzed PAHs, the
total median burden was 13,598 ng g(-1) lw, and phenanthrene was the compound
measured at the highest concentration followed by pyrene and by naphthalene.
Surprisingly, we have found that organohalogen pollutants exhibit an upward trend
in recent years of sampling. Thus, according to the guidelines outlined in the
EU's Marine Strategy Framework Directive, further monitoring studies in Canary
Islands are required to contribute to the conservation of the resident
populations of marine mammals in this region.
PMID- 24937488
TI - Combined effects of aqueous suspensions of fullerene and humic acid on the
availability of polycyclic aromatic hydrocarbons: evaluated with negligible
depletion solid-phase microextraction.
AB - The wide application of engineered carbon nanomaterials, such as fullerene (C60),
will inevitably result in their introduction into the aqueous environment.
Interactions of C60 with abundant natural organic matter (NOM) will likely alter
the bioavailability of organic compounds to aquatic organisms. The availability
of 12 types of polycyclic aromatic hydrocarbons (PAHs) in various aqueous
suspensions of fullerene (nC60) prepared by different methods, e.g., in humic
acid (HA) and particularly in combined systems of nC(60) and HA, was investigated
by negligible depletion solid-phase microextraction (nd-SPME). The results showed
that HA accelerated the rate constants (k2) of almost all PAH uptakes to the nd
SPME fibers compared with the solutions without the matrix; the combined matrices
of nC(60) and HA significantly promoted the k2 of highly hydrophobic PAHs (logKOW
5.81-6.20), whereas they retarded that of less hydrophobic ones (logKOW 3.82
4.63) (p<0.05). Remarkable or minor reduction of free concentration of PAH was
observed in the combined system of nC(60) and HA depending on the properties of
individual PAHs. Sorption coefficients (KHA, K(C60) and K(C60+HA)) of various
PAHs in different matrices were provided, and matrix concentrations showed no
significant effects. For highly hydrophobic PAHs (logKOW 5.16-6.20), the
logK(C60+HA) >= logKHA > logK(C60), whereas for less hydrophobic PAHs (logKOW 3.8
4.63), the Kmatrix values in various matrices showed no noticeable trend. In
addition, higher K(C60) values were obtained for aqu/nC(60) than for son/nC(60)
for most highly hydrophobic PAHs. The above results suggest that hydrophobicity
plays an important role in determining Kmatrix in addition to the matrix effects.
The interactions between nC(60) and HA have critical or minor impacts on
availability, and thus bioavailability, of PAHs. This paper contributes to the
understanding of the bioavailability mechanisms of organic pollutants in the
aquatic environment with both nC(60) and NOM.
PMID- 24937490
TI - Impact of forecasted changes in Polish economy (2015 and 2020) on nutrient
emission into the river basins.
AB - Poland, with its large drainage area, with 50% contribution of agricultural land
and 45% contribution of population to overall agricultural land area and
population number in the Baltic catchment, is the largest exporter of riverine
nitrogen (N) and phosphorus (P) to the sea. The economic transition has resulted
in substantial, statistically significant decline in N, P export from Polish
territory to the Baltic Sea. Following the obligations arising from the Helsinki
Commission (HELCOM) declarations, in the coming years, Poland is expected to
reduce riverine N loads by ca. 25% and P loads by ca. 60% as referred to the
average flow normalized loads recorded in 1997-2003. The aim of this paper is to
estimate annual source apportioned N and P emissions into these river basins in
2015 and 2020 with application of modeling studies (MONERIS). Twelve scenarios,
encompassing changes in anthropogenic (diffuse, point source) and natural
pressure (precipitation, water outflow due to climate change), have been applied.
Modeling outcome for the period 2003-2008 served as our reference material. In
applied scenarios, N emission into the Oder basin in 2015 and 2020 shows an
increase from 4.2% up to 9.1% as compared with the reference period. N emission
into the Vistula basin is more variable and shows an increase by max. 17.8% or a
decrease by max. 4.7%, depending on the scenario. The difference between N
emission into the Oder and Vistula basins is related to the catchment
peculiarities and handling of point sources emission. P emission into both basins
shows identical scenario patters and a maximum decrease reaches 17.8% in the Oder
and 16.7% in the Vistula basin. Despite a declining tendency in P loads in both
rivers in all the scenarios, HELCOM targeted P load reduction is not feasible.
PMID- 24937491
TI - Using fuzzy logic analysis for siting decisions of infiltration trenches for
highway runoff control.
AB - Determining optimal locations for best management practices (BMPs), including
their field considerations and limitations, plays an important role for effective
stormwater management. However, these issues have been often overlooked in
modeling studies that focused on downstream water quality benefits. This study
illustrates the methodology of locating infiltration trenches at suitable
locations from spatial overlay analyses which combine multiple layers that
address different aspects of field application into a composite map. Using seven
thematic layers for each analysis, fuzzy logic was employed to develop a site
suitability map for infiltration trenches, whereas the DRASTIC method was used to
produce a groundwater vulnerability map on the island of Oahu, Hawaii, USA. In
addition, the analytic hierarchy process (AHP), one of the most popular overlay
analyses, was used for comparison to fuzzy logic. The results showed that the AHP
and fuzzy logic methods developed significantly different index maps in terms of
best locations and suitability scores. Specifically, the AHP method provided a
maximum level of site suitability due to its inherent aggregation approach of all
input layers in a linear equation. The most eligible areas in locating
infiltration trenches were determined from the superposition of the site
suitability and groundwater vulnerability maps using the fuzzy AND operator. The
resulting map successfully balanced qualification criteria for a low risk of
groundwater contamination and the best BMP site selection. The results of the
sensitivity analysis showed that the suitability scores were strongly affected by
the algorithms embedded in fuzzy logic; therefore, caution is recommended with
their use in overlay analysis. Accordingly, this study demonstrates that the
fuzzy logic analysis can not only be used to improve spatial decision quality
along with other overlay approaches, but also is combined with general water
quality models for initial and refined searches for the best locations of BMPs at
the sub-basin level.
PMID- 24937493
TI - Conservation of prehistoric caves and stability of their inner climate: lessons
from Chauvet and other French caves.
AB - In the last 150 years, some prehistoric painted caves suffered irreversible
degradations due to misperception of conservation issues and subsequent
mismanagement. These sites presented naturally an exceptional stability of their
internal climate allowing conservation in situ of outstanding fragile remains,
some for nearly 40,000 years. This is for a large part due to exchanges of air,
CO2, heat and water with the karstic system in which these caves are included. We
introduce the concept of underground confinement, based on the stability of the
inner cave climate parameters, especially its temperature. Confined caves present
the best conservative properties. It is emphasized that this confined state
implies slow exchanges with the surrounding karst and that a stable cave cannot
be viewed as a closed system. This is illustrated on four case studies of French
caves of various confinement states evidenced by long term continuous monitoring
and on strategies to improve their conservation properties. The Chauvet cave
presents optimal conservation properties. It is wholly confined as shown by the
stability of its internal parameters since its discovery in 1994. In Marsoulas
cave, archeological works removed the entrance scree and let a strong opening
situation of the decorated zone. Remediation is expected by adding a buffer
structure at the entrance. In Pech Merle tourist cave, recurrent painting fading
was related to natural seasonal drying of walls. Improvement of the cave closure
system restored a confined state insuring optimal visibility of the paintings. In
Gargas tourist cave, optimization of closures, lighting system and number of
visitors, allowed it to gradually reach a semi-confined state that improved the
conservation properties. Conclusions are drawn on the characterization of
confinement state of caves and on the ways to improve their conservation
properties by restoring their initial regulation mechanisms and to avoid threats
to their stability.
PMID- 24937492
TI - A framework for the assessment of the environmental risk posed by pharmaceuticals
originating from hospital effluents.
AB - The consumption of pharmaceuticals is increasing in both hospitals and
households. After administration, many compounds enter the water cycle as parent
compounds or their metabolites via excretion. Conventional municipal wastewater
treatment plants are unable to efficiently remove all the different compounds
found in sewage and, consequently, treated effluents are one of the main sources
of persistent micropollutants in the environment. Hospital patients are
administered relatively high quantities of drugs and therefore hospital
wastewaters can consistently contribute to treatment plant influent loads, with
the magnitude of environmental risk posed by pharmaceuticals originating from
hospital effluents largely unknown. This study has therefore developed a
framework to enable authorities responsible for hospital management and
environmental health to evaluate such risk, considering site-specific information
such as the contribution of human population and hospital sizes, wastewater
treatment removal efficiency, and potential dilution in the receiving water body.
The framework was applied to three case studies, that are representative of
frequent situations in many countries, and findings demonstrated that the degree
of risk posed by any compound was site-specific and depended on a combination of
several factors: compound concentration and toxicity, compound removal efficiency
in the wastewater treatment plant and dilution factor. Ofloxacin, 17alpha
ethinylestradiol, erythromycin and sulfamethoxazole were identified as compounds
of concern and might require management in order to reduce risk.
PMID- 24937494
TI - Acute effects of diurnal temperature range on mortality in 8 Chinese cities.
AB - Diurnal temperature range (DTR) is a meteorological indicator closely associated
with global climate change. There have been no multicity studies in China
addressing the DTR-related health impact. We hypothesized that an increase of DTR
is associated with higher daily mortality with a potential lag of effect, and
investigated the acute effects of DTR on total, cardiovascular, and respiratory
mortality in 8 large Chinese cities from 2001 to 2010. We first calculated city
specific effect of DTR in the full year, the cool season (November to the next
April) and the warm season (May to October) separately using a semi-parametric
generalized additive model; then we pooled the city-specific estimates with meta
analysis. After adjusting for long-term and seasonal trends, temperature,
relative humidity and air pollution levels, we found statistically significant
associations between DTR and daily mortality, especially in cool seasons. A 1
degrees C increment of DTR on lag-day 1 corresponded to a 0.42% (95% CI, 0.14 to
0.70) increase in total non-accidental mortality, 0.45% (95% CI, 0.26 to 0.65)
increase in cardiovascular mortality, and a 0.76% (95% CI, 0.24 to 1.29) increase
in respiratory mortality in cool seasons. Deaths among females and elderly (>= 65
years) were more strongly associated with DTR than among males and younger people
(<65 years). Our analysis suggests that DTR is a potential trigger for death in
China. Our findings may have important implications for the climate policies in
the country.
PMID- 24937495
TI - Accelerated stone deterioration induced by forest clearance around the Angkor
temples.
AB - The present study provides the first quantitative assessment of the deteriorative
impact of forest clearance on susceptible sandstone masonries. At Ta Keo, a
1000yr-old temple cleared of the Angkor forest in the early 20th century, GIS
based analysis of historic imagery indicates an average ten-fold increase in
stone loss rates (0.2 instead of 0.02% per year). This accelerated decay is
assigned to the climatic stress provoked by the exposure of fragile ornamented
sandstones to the harsh impact of tropical sunshine and monsoon rains.
Comparative climate monitoring with the Beng Mealea temple, still located in a
forested environment, suggests a three-fold post-clearance increase in daily
temperature and humidity ranges, which is conducive to enhanced swelling
shrinking movements responsible for accelerated sandstone contour scaling.
Comparative visual assessment based on a customised 7-point scale of mechanical
weathering confirms the protective role of canopy, with 79% of decorative motifs
still almost free of mechanical weathering in the forest (against 7% at the
cleared site). Disruption of archaeological structures by roots of individual
trees can be locally observed at Angkor, but this does not negate the dominant
overall buffering function of the forest cover. At Angkor and other cultural
heritage sites, this bioprotective 'umbrella effect' should be considered as a
valuable ecosystem service to be taken into account when defining and
implementing strategies of sustainable management.
PMID- 24937496
TI - Source identification and potential ecological risk assessment of heavy metals in
PM2.5 from Changsha.
AB - The probable sources and potential ecological risks of Cu, Zn, Cd and Pb in PM2.5
in Changsha were analyzed. The intelligent medium-flow total suspended particle
sampler was used to collect the PM2.5 samples from Yuelu (YL), Kaifu (KF), and
Yuhua (YH) districts of Changsha in March-April of 2013. The total concentration
of heavy metals (HMs) in PM2.5 was used for source identification by correlation
coefficients and principal component analysis (PCA). Otherwise the potential
ecological risks indices (RIs) were calculated based on the bioavailable
fractions of HMs which were obtained through BCR sequential extraction. Almost
50% of Cu, Cd and Pb in PM2.5 of all sites were accumulated in soluble and
reducible fractions by speciation analysis. The correlation coefficients and PCA
analysis showed that HMs in PM2.5 of Changsha in spring were mainly from
vehicular emissions, fuel combustion, resuspension of dust and other pollution
sources. The average potential ecological RIs of HMs in PM2.5 of Changsha were
6193.80 which suggests that HMs in PM2.5 was extremely serious. These results
would be a good reference for health studies and formulation of environmental
regulations.
PMID- 24937497
TI - Diversity of an aerial phototrophic coating of historic buildings in the former
Auschwitz II-Birkenau concentration camp.
AB - Aerial phototrophs colonize materials of anthropogenic origin, thus contributing
to their biodeterioration. Structures preserved at the former Auschwitz II
Birkenau concentration and extermination camp show signs of degradation by
cyanobacteria and algae. In order to protect the Auschwitz-Birkenau Memorial
Site, diversity of aerial phototrophs growing on the historic buildings has been
studied. Analyses of cyanobacterial and algal biofilms growing on various
construction substrates were carried out in summer and winter. Multivariate data
analyses were used to: characterize the diversity of cyanobacteria and algae
growing in brick and wooden camp buildings depending on the research season,
indicate preferences of cyanobacteria and algae in colonizing substrates, and to
predict the environmental factor that most determines the growth of phototrophs.
The biofilms were formed mainly by cyanobacteria, green algae and diatoms. The
amount of cyanobacteria and algae in the biofilms was varied, which resulted from
changes in climatic conditions, the type of substrate and the height at which the
biofilms developed. In the summer, the ratio of cyanobacteria and algae groups
was balanced, while in the winter, green algae and diatoms were dominant. Green
algae showed a preference for colonizing plaster, wood and concrete, of which the
walls and doors of the buildings were made. Their participation was correlated
with a height gradient. Cyanobacteria and diatoms grew on bricks and soil on the
floor of the buildings and temperature and relative humidity were the factors
that modified their amount. Green algae were more cosmopolitan-occurred in dry
places, potentially inaccessible to other organisms; therefore, they have been
identified as the pioneer group in the prevailing climatic conditions.
PMID- 24937498
TI - Assessment of agro-industrial and composted organic wastes for reducing the
potential leaching of triazine herbicide residues through the soil.
AB - In this study, we examined the effect of four different organic wastes--composted
sheep manure (CSM), spent coffee grounds (SCG), composted pine bark (CPB) and
coir (CR)--on the sorption, persistence and mobility of eight symmetrical and two
asymmetrical-triazine herbicides: atrazine, propazine, simazine, terbuthylazine
(chlorotriazines), prometon (methoxytriazine), prometryn, simetryn, terbutryn
(methylthiotriazines), metamitron and metribuzin (triazinones). The downward
movement of herbicides was monitored using disturbed soil columns packed with a
clay loam soil (Hipercalcic calcisol) under laboratory conditions. For unamended
and amended soils, the groundwater ubiquity score (GUS) was calculated for each
herbicide on the basis of its persistence (as t1/2) and mobility (as KOC). All
herbicides showed medium/high leachability through the unamended soils. The
addition of agro-industrial and composted organic wastes at a rate of 10% (w:w)
strongly decreased the mobility of herbicides. Sorption coefficients normalized
to the total soil organic carbon (KOC) increased in the amended soils. These
results suggest that used organic wastes could be used to enhance the retention
and reduce the mobility of the studied herbicides in soil.
PMID- 24937499
TI - Pharmacological treatments for acute respiratory distress syndrome: systematic
review.
AB - BACKGROUND: Our objective was to systematically review the effect of
pharmacological therapies on mortality in patients with acute respiratory
distress syndrome (ARDS), focusing on randomized controlled trials (RCTs)
published since a previous review in 2004. METHODS: We updated previous searches
and searched OVID versions of MEDLINE, EMBASE and CENTRAL (to January 2013) and
proceedings from conferences and bibliographies of included studies. We included
RCTs of pharmacologic therapies compared with placebo or no therapy for adult
patients with ARDS, using authors' definitions, which reported on mortality (<= 3
months after randomization). We excluded subgroups of patients with ARDS reported
in RCTs enrolling other populations and RCTs of therapies to prevent ARDS,
nutritional or fluid interventions, inhaled nitric oxide, therapies coupled to a
mechanical ventilation strategy, or oxygen. Two reviewers independently screened
citations, selected articles for inclusion, and abstracted clinical and
methodological data from included studies with disagreements resolved by a third
reviewer. Mortality data were pooled using random-effects models. RESULTS: From
13461 citations, 58 trials (6635 patients) of 21 classes of medications met
selection criteria; 26 trials (3880 patients) were published after 2003. Meta
analyses showed reduced 28-day mortality with a 48-hour infusion of cis
atracurium in early ARDS (relative risk 0.66, 95% confidence interval 0.50 to
0.87; 431 patients, 138 deaths). There was no effect on mortality with
granulocyte-macrophage colony stimulating factor, late low-dose
methylprednisolone, neutrophil elastase inhibitors, intravenous salbutamol,
surfactant, or N-acetylcysteine; each meta-analysis included >= 1 trial published
after 2003. Seven single trials of other treatments published after 2003 showed
no effect. Meta-analysis of older trials of prostaglandin E1 also showed no
effect. CONCLUSION: Effective pharmacotherapy for ARDS remains extremely limited.
Cis-atracurium is a promising treatment for early moderate-severe ARDS (using
Berlin definition nomenclature) and merits further investigation in a large RCT.
PMID- 24937500
TI - Optimal technique for deep breathing exercises after cardiac surgery.
AB - Cardiac surgery patients often develop a restrictive pulmonary impairment and gas
exchange abnormalities in the early postoperative period. Chest physiotherapy is
routinely prescribed in order to reduce or prevent these complications. Besides
early mobilization, positioning and shoulder girdle exercises, various breathing
exercises have been implemented as a major component of postoperative care. A
variety of deep breathing maneuvres are recommended to the spontaneously
breathing patient to reduce atelectasis and to improve lung function in the early
postoperative period. Different breathing exercises are recommended in different
parts of the world, and there is no consensus about the most effective breathing
technique after cardiac surgery. Arbitrary instructions are given, and
recommendations on performance and duration vary between hospitals. Deep
breathing exercises are a major part of this therapy, but scientific evidence for
the efficacy has been lacking until recently, and there is a lack of trials
describing how postoperative breathing exercises actually should be performed.
The purpose of this review is to provide a brief overview of postoperative
breathing exercises for patients undergoing cardiac surgery via sternotomy, and
to discuss and suggest an optimal technique for the performance of deep breathing
exercises.
PMID- 24937501
TI - Basic considerations for determining the amount of press fit in acetabular cup
endoprostheses as a function of the elastic bone behavior.
AB - Acetabular cup endoprostheses are frequently placed in pelvic bone, employing the
mechanical principle of press fit. While a sufficiently stable bone-implant
connection is desirable, deformation of the cup and fracture of the pelvis should
be avoided. The goal of this work is to demonstrate the importance of the elastic
properties of bone on the amount of press fit achievable in a specific situation.
On the basis of previous work describing the relation between relative bone
mineral density and relative elastic modulus for cortical and trabecular bone,
mechanical equations were used for analyzing the press-fit loading situation of
an acetabular cup. Additionally, a two-dimensional finite element model was used
for visualizing the stress and strain situation in the host bone occurring as a
consequence of implant insertion, as well as the effect of moment loads acting on
the acetabular cup. Given the fact that oversizing the implant for a specific
recipient site is the only clinical means of optimizing press fit, knowledge of
the elastic properties of the host bone before implant selection would be
beneficial. Such information could, for instance, be derived from intraoperative
compressive testing of the host bone.
PMID- 24937502
TI - Predicting fetal growth deviation in parous women: combining the birth weight of
the previous pregnancy and third trimester ultrasound scan.
AB - AIM: To investigate the value of the birth weight of the previous pregnancy (BW1)
alone and combined with the third trimester ultrasonographically estimated fetal
weight (EFW) and Doppler studies in the prediction of small (SGA) and large for
gestational age (LGA) neonates in the index pregnancy (BW2). METHOD: Some 1298
parous women with uncomplicated singleton pregnancies who had a third trimester
ultrasound scan were considered as samples in this retrospective cohort study.
Maternal and pregnancy characteristics, BW1, EFW, umbilical artery, and middle
cerebral artery pulsatility indices were investigated as predictors of SGA and
LGA. RESULTS: BW1, maternal weight, mode of conception, and smoking status were
associated with BW2 (R2=0.39) with BW1 being the strongest predictor (R2=0.37).
The addition of EFW conferred significant improvement (R2=0.63), whereas the
addition of the Doppler indices did not. The sensitivity of BW1 alone in the
prediction of SGA was 75% for 25% screen positive rate and increased to 92% with
the addition of EFW. The equivalent figures for LGA were 68% and 93%,
respectively. CONCLUSIONS: BW1 used as a continuous variable is predictive of
growth deviations in the index pregnancy. Incorporating EFW enhanced the
sensitivity for the detection of both conditions.
PMID- 24937503
TI - Sarcoidosis and pregnancy: obstetrical and neonatal outcomes in a population
based cohort of 7 million births.
AB - OBJECTIVES: Data on sarcoidosis in pregnancy is sparse and limited to a few case
reports and series. Our aim is to determine the prevalence of sarcoidosis at
delivery, and related maternal and newborn outcomes. STUDY DESIGN: Using the
United States Healthcare Cost and Utilization Project Nationwide Inpatient Sample
(HCUP-NIS) from 2003 to 2010, we conducted a population-based retrospective
cohort study to compare women with and without sarcoidosis at delivery. We
calculated the prevalence of sarcoidosis in pregnancy and used logistic
regression analyses to estimate the associated risks of maternal and neonatal
outcomes. RESULTS: There were 678 cases of sarcoidosis in 7,094,400 births over
an 8-year period for an overall prevalence of nine and six-tenths cases per
100,000 births. Compared with controls, women with sarcoidosis were older, more
likely to be African American and to report being smokers. Women with sarcoidosis
were more likely to have preeclampsia odds ratio (OR) 1.62 (95% CI 1.18-2.22),
eclampsia OR 5.27 (95% CI 1.69-16.40), deep vein thrombosis OR 4.92 (95% CI 1.58
15.33), pulmonary embolism OR 6.68 (95% CI 3.99-11.21), and premature delivery OR
1.73 (95% CI 1.40-2.15). There was also an increased risk of cesarean deliveries
and postpartum hemorrhages. There were no cases of maternal death reported.
CONCLUSIONS: Sarcoidosis in pregnancy is a rare disease associated with an
increased risk of adverse obstetrical outcomes. Women with sarcoidosis can carry
out successful pregnancies, however should be made aware of the higher risk of
adverse events. Given the higher risk of venous thromboembolic events,
consideration should be given to thromboprophylaxis in pregnancy.
PMID- 24937504
TI - Use of the angle of progression on ultrasonography to predict spontaneous onset
of labor within 7 days.
AB - OBJECTIVE: To investigate the relationship between angle of progression (AoP) on
ultrasonography at 37-40 weeks' gestation and delivery within 7 days. METHODS:
This prospective study was conducted between January 2013 and May 2013 at Korea
University Guro Hospital, Korea. Nulliparous women between 37/0 and 40/3 weeks'
gestation with a singleton fetus, intact membrane, and cephalic presentation from
January 2013 to May 2013 were enrolled. To evaluate the cervical parameters of
cervical length and AoP, transvaginal and transperineal ultrasonography were
performed, respectively. We then assessed the relationship between cervical
parameters and onset of labor within 7 days by multivariate logistic regression
analysis. RESULTS: Women who underwent spontaneous onset of labor within 7 days
had a significantly shorter cervical length and AoP than those who underwent
labor after 7 days. Logistic regression analysis showed that a larger AoP was an
independent predictor of spontaneous labor within 7 days. CONCLUSIONS: A larger
AoP was significantly associated with spontaneous onset of labor within 7 days.
These findings may be useful for counseling patients regarding the management of
term pregnancies.
PMID- 24937505
TI - Intestinal permeability in patients with metastatic colon cancer treated with
patupilone.
AB - BACKGROUND: Only a limited number of cytotoxic drugs have shown activity in
metastatic colorectal carcinoma. Patupilone is a novel agent with promising
activity in this common cancer. Diarrhea represents the dose-limiting toxicity of
patupilone. Measurement of intestinal permeability is one of the potential
methods of non-invasive laboratory assessment of gastrointestinal toxicity.
METHODS: We have assessed intestinal permeability by measuring absorption of
lactulose, mannitol and xylose in 27 previously treated patients with metastatic
colorectal cancer enrolled in a phase I trial of patupilone. RESULTS:
Lactulose/mannitol and lactulose/xylose ratios increased after the treatment.
Significantly higher lactulose/mannitol ratio was observed in patients who had
severe diarrhea. Moreover, patients who subsequently had an adverse event of
grade 3 or higher had significantly higher baseline lactulose/mannitol or
lactulose/xylose ratios. CONCLUSIONS: Measurement of intestinal permeability
using the lactulose/mannitol test may represent a biomarker for the monitoring,
or even prediction of toxicity of cytotoxic drugs, including patupilone.
PMID- 24937506
TI - Biological pathway selection through Bayesian integrative modeling.
AB - Pathway analysis has become a central approach to understanding the underlying
biology of differentially expressed genes. As large amounts of microarray data
have been accumulated in public repositories, flexible methodologies are needed
to extend the analysis of simple case-control studies in order to place them in
context with the vast quantities of available and highly heterogeneous data sets.
To address this challenge, we have developed a two-level model, consisting of 1)
a joint Bayesian factor model that integrates multiple microarray experiments and
ties each factor to a predefined pathway and 2) a point mass mixture distribution
that infers which factors are relevant/irrelevant to each dataset. Our method can
identify pathways specific to a particular experimental trait which are
concurrently induced/repressed under a variety of interventions. In this paper,
we describe the model in depth and provide examples of its utility in simulations
as well as real data from a study of radiation exposure. Our analysis of the
radiation study leads to novel insights into the molecular basis of time- and
dose- dependent response to ionizing radiation in mice peripheral blood. This
broadly applicable model provides a starting point for generating specific and
testable hypotheses in a pathway-centric manner.
PMID- 24937508
TI - Design, fabrication, and characterization of archaeal tetraether free-standing
planar membranes in a PDMS- and PCB-based fluidic platform.
AB - The polar lipid fraction E (PLFE) isolated from the thermoacidophilic archaeon
Sulfolobus acidocaldarius contains exclusively bipolar tetraether lipids, which
are able to form extraordinarily stable vesicular membranes against a number of
chemical, physical, and mechanical stressors. PLFE liposomes have thus been
considered appealing biomaterials holding great promise for biotechnology
applications such as drug delivery and biosensing. Here we demonstrated that PLFE
can also form free-standing "planar" membranes on micropores (~100 MUm) of
polydimethylsiloxane (PDMS) thin films embedded in printed circuit board (PCB)
based fluidics. To build this device, two novel approaches were employed: (i) an
S1813 sacrificial layer was used to facilitate the fabrication of the PDMS thin
film, and (ii) oxygen plasma treatment was utilized to conveniently bond the PDMS
thin film to the PCB board and the PDMS fluidic chamber. Using electrochemical
impedance spectroscopy, we found that the dielectric properties of PLFE planar
membranes suspended on the PDMS films are distinctly different from those
obtained from diester lipid and triblock copolymer membranes. In addition to
resistance (R) and capacitance (C) that were commonly seen in all the membranes
examined, PLFE planar membranes showed an inductance (L) component. Furthermore,
PLFE planar membranes displayed a relatively large membrane resistance,
suggesting that, among the membranes examined, PLFE planar membrane would be a
better matrix for studying channel proteins and transmembrane events. PLFE planar
membranes also exhibited a sharp decrease in phase angle with the frequency of
the input AC signal at ~1 MHz, which could be utilized to develop sensors for
monitoring PLFE membrane integrity in fluidics. Since the stability of free
standing planar lipid membranes increases with increasing membrane packing
tightness and PLFE lipid membranes are more tightly packed than those made of
diester lipids, PLFE free-standing planar membranes are expected to be
considerably stable. All these salient features make PLFE planar membranes
particularly attractive for model studies of channel proteins and transmembrane
events and for high-throughput drug screening and artificial photosynthesis. This
work can be extended to nanopores of PDMS thin films in microfluidics and
eventually aid in membrane-based new lab-on-a-chip applications.
PMID- 24937509
TI - Powered by DFT: Screening methods that accelerate materials development for
hydrogen in metals applications.
AB - CONSPECTUS: Not only is hydrogen critical for current chemical and refining
processes, it is also projected to be an important energy carrier for future
green energy systems such as fuel cell vehicles. Scientists have examined light
metal hydrides for this purpose, which need to have both good thermodynamic
properties and fast charging/discharging kinetics. The properties of hydrogen in
metals are also important in the development of membranes for hydrogen
purification. In this Account, we highlight our recent work aimed at the large
scale screening of metal-based systems with either favorable hydrogen capacities
and thermodynamics for hydrogen storage in metal hydrides for use in onboard fuel
cell vehicles or promising hydrogen permeabilities relative to pure Pd for
hydrogen separation from high temperature mixed gas streams using dense metal
membranes. Previously, chemists have found that the metal hydrides need to hit a
stability sweet spot: if the compound is too stable, it will not release enough
hydrogen under low temperatures; if the compound is too unstable, the reaction
may not be reversible under practical conditions. Fortunately, we can use DFT
based methods to assess this stability via prediction of thermodynamic
properties, equilibrium reaction pathways, and phase diagrams for candidate metal
hydride systems with reasonable accuracy using only proposed crystal structures
and compositions as inputs. We have efficiently screened millions of mixtures of
pure metals, metal hydrides, and alloys to identify promising reaction schemes
via the grand canonical linear programming method. Pure Pd and Pd-based membranes
have ideal hydrogen selectivities over other gases but suffer shortcomings such
as sensitivity to sulfur poisoning and hydrogen embrittlement. Using a
combination of detailed DFT, Monte Carlo techniques, and simplified models, we
are able to accurately predict hydrogen permeabilities of metal membranes and
screen large libraries of candidate alloys, selections of which are described in
this Account. To further increase the number of membrane materials that can be
studied with DFT, computational costs need to be reduced either through methods
development to break bottlenecks in the performance prediction algorithm,
particularly related to transition state identification, or through screening
techniques that take advantage of correlations to bypass constraints.
PMID- 24937507
TI - Sugar-sweetened beverage intake and cancer recurrence and survival in CALGB 89803
(Alliance).
AB - BACKGROUND: In colon cancer patients, obesity, sedentary lifestyle, and high
dietary glycemic load have been associated with increased risk of cancer
recurrence. High sugar-sweetened beverage intake has been associated with
obesity, diabetes, and cardio-metabolic diseases, but the influence on colon
cancer survival is unknown. METHODS: We assessed the association between sugar
sweetened beverage consumption on cancer recurrence and mortality in 1,011 stage
III colon cancer patients who completed food frequency questionnaires as part of
a U.S. National Cancer Institute-sponsored adjuvant chemotherapy trial. Hazard
ratios (HRs) and 95% confidence intervals (CIs) were calculated with Cox
proportional hazard models. RESULTS: Patients consuming >= 2 servings of sugar
sweetened beverages per day experienced an adjusted HR for disease recurrence or
mortality of 1.67 (95% CI, 1.04-2.68), compared with those consuming <2 servings
per month (P(trend) = 0.02). The association of sugar-sweetened beverages on
cancer recurrence or mortality appeared greater among patients who were both
overweight (body mass index >= 2 5 kg/m(2)) and less physically active (metabolic
equivalent task-hours per week <18) (HR = 2.22; 95% CI, 1.29-3.81, P(trend) =
0.0025). CONCLUSION: Higher sugar-sweetened beverage intake was associated with a
significantly increased risk of cancer recurrence and mortality in stage III
colon cancer patients.
PMID- 24937510
TI - Impact of an academic-community partnership in medical education on community
health: evaluation of a novel student-based home visitation program.
AB - OBJECTIVES: Current US healthcare delivery systems do not adequately address
healthcare demands. Physicians are integral but rarely emphasize prevention as a
primary tool to change health outcomes. Home visitation is an effective method
for changing health outcomes in some populations. The Florida International
University Herbert Wertheim College of Medicine Green Family Foundation
NeighborhoodHELP service-learning program assigns medical students to be members
of interprofessional teams that conduct household visits to determine their
healthcare needs. METHODS: We performed a prospective evaluation of 330
households randomly assigned to one of two groups: visitation from a student team
(intervention group) or limited intervention (control group). The program design
allowed randomly selected control households to replace intervention-group
households that left the program of their own volition. All of the households
were surveyed at baseline and after 1 year of participation in the study.
RESULTS: After 1 year in the program and after adjustment for confounders,
intervention group households proved more likely (P <= 0.05) than control
households to have undergone physical examinations, blood pressure monitoring,
and cervical cytology screenings. Cholesterol screenings and mammograms were
borderline significant (P = 0.05 and P = 0.06, respectively). CONCLUSIONS: This
study supports the value of home visitation by interprofessional student teams as
an effective way to increase the use of preventive health measures. The study
underscores the important role interprofessional student teams may play in
improving the health of US communities, while students concurrently learn about
primary prevention and primary care.
PMID- 24937511
TI - Commentary on "Impact of an academic-community partnership in medical education
on community health: evaluation of a novel student-based home visitation
program".
PMID- 24937513
TI - Commentary on "Surge in US outpatient vitamin D deficiency diagnoses: National
Ambulatory Medical Care Survey analysis".
PMID- 24937512
TI - Surge in US outpatient vitamin D deficiency diagnoses: National Ambulatory
Medical Care Survey analysis.
AB - OBJECTIVES: In light of the growing medical interest in the potential
consequences of vitamin D deficiency, it is important that clinicians are
informed about the varying factors that may complicate the assessment of vitamin
D status and the diagnosis of deficiency. To better understand the frequency of
vitamin D deficiency diagnoses in the ambulatory setting over time, the objective
of this investigation was to examine unspecific, general, and bone-related
vitamin D deficiency diagnoses between 2007 and 2010 and to determine whether the
rate of diagnoses differed by patient age and sex. METHODS: We used data from the
National Ambulatory Medical Care Survey and the National Hospital Ambulatory
Medical Care Survey to assess the rate of vitamin D deficiency diagnoses provided
between 2007 and 2010 during outpatient visits with nonfederally employed
physicians in offices and hospitals. Two hundred ninety-two unweighted patient
visit records were included. Trends in vitamin D deficiency diagnosis over time,
diagnosis of bone disease associated with a vitamin D deficiency diagnosis, and
patient age and sex were reported. RESULTS: The number of diagnoses for vitamin D
deficiency rapidly increased from 2007 to 2010. More than 97% of diagnoses were
for unspecific vitamin D deficiency; 9.6% of vitamin D deficiency visits also
resulted in a diagnosis of osteoporosis or bone fracture. CONCLUSIONS: Although
the rate of diagnoses for vitamin D deficiency increased between 2007 and 2010,
many diagnoses rendered were for nonspecific disease; therefore, vitamin D
deficiency screening may have been ordered for preventive care purposes rather
than as a diagnostic aid.
PMID- 24937515
TI - Missed esophageal and gastric cancers after esophagogastroduodenoscopy in a
midwestern military veteran population.
AB - OBJECTIVES: Esophagogastroduodenoscopy (EGD) with biopsy has become the standard
procedure for diagnosing esophageal and gastric cancers (EGC) and is considered
to have high sensitivity and specificity. To date, few studies have attempted to
examine the rates of missed EGC after EGD and no study addresses the rate of
missed EGC in a military veteran patient population. This led to us examine
missed EGCs at our VA Medical Center across a 10-year period. METHODS: An
electronic database was used to identify patients who were diagnosed between 2000
and 2010 as having EGC. Missed cancers were defined as cancers diagnosed within 1
year of EGD, possible missed cancers as those diagnosed 1 to 3 years after EGD,
and latent cancers as those diagnosed between 3 and 5 years after EGD. RESULTS: A
total of 94 patients fulfilled the study criteria: 69 had esophageal cancer and
25 had gastric cancer diagnosed at our institution during the study period. Of
the included cases, one patient had a missed cancer (1.06%) and four patients had
possible missed cancers (4.26%); no patients had latent cancer. CONCLUSIONS: The
true incidence of missed EGC in military veteran patients is not known. It is
difficult to compare our findings to those of other studies because of the
heterogeneity of studies. Our study echoes the findings of the others: EGD
remains an important and effective tool in diagnosing EGC. At the same time, it
also points out an important limitation, that EGC can be missed on EGD. Our
findings also emphasize the importance of obtaining biopsies of any abnormality
and timely clinical follow-up and by repeat EGD as needed.
PMID- 24937514
TI - Risk factors for inadequate colonoscopy bowel preparations in African Americans
and whites at an urban medical center.
AB - OBJECTIVES: Poor bowel preparation leads to inadequate examinations and shorter
surveillance intervals for colorectal cancer screening. Previous studies
regarding risk factors for inadequate preparation have not included large numbers
of African Americans. Our aim was to determine the prevalence of inadequate bowel
preparation on initial and follow-up colonoscopy in a large, racially diverse
patient population. METHODS: Colonoscopies performed during a 1-year period were
analyzed retrospectively. Factors including age, sex, race, and start time were
recorded. Patient ZIP codes were linked to census data to estimate education and
income. For examinations with inadequate bowel preparations, we collected data on
recommendations and the preparation quality of follow-up procedures. RESULTS: We
included 3741 patients (40.2% African American). Of these, 66.9% had adequate
bowel preparation and 33.1% had inadequate bowel preparation. African Americans
had the highest prevalence of inadequate preparations at 43.0%. African American
race was a predictor of inadequate bowel preparation, despite controlling for
education and income. Age, male sex, and procedure taking place after 12 pm also
were risk factors for inadequate preparation. Receipt of specific preparation
instructions on the endoscopy report did not affect preparation quality on follow
up examination. Our study found a high rate (33.1%) of inadequate bowel
preparations, and African American race was found to be an independent risk
factor for inadequate preparation. We validated previously reported risk factors
including age, male sex, and later procedure time. Finally, we noted high rates
of inadequate preparation on follow-up examinations. CONCLUSIONS: Improving the
quality of colonoscopy bowel preparation is important for colorectal cancer
prevention, especially in high-risk populations such as African Americans.
PMID- 24937516
TI - Gastrointestinal cancers in Mississippi.
AB - OBJECTIVES: According to a 2007 US Census Bureau report, Mississippi is the
poorest state in the United States and is ranked last among all 50 states for
overall quality of health. The objective of the study was to describe
gastrointestinal (GI) cancers in Mississippi overall and the Mississippi Delta
region in particular. METHODS: The age-adjusted incidence rates for GI cancers
for 2003-2007, compiled from the Mississippi Cancer Registry and the Centers for
Disease Control and Prevention's National Program of Cancer Registries, were
retrieved and compared among 18 Mississippi Delta counties, 64 non-Delta
counties, the entire state of Mississippi, and the United States. Colorectal
cancer incidence rates and national rankings were correlated with influencing
factors of race, obesity, diabetes mellitus, education, unemployment rate,
availability of health insurance and primary care physicians, physical activity,
diet, and per capita income. RESULTS: Compared with the United States as a whole,
Mississippi had higher rates of colon and rectal cancers and a lower overall rate
of gallbladder cancers in both whites and African Americans. Of all GI cancers,
only liver, intrahepatic bile duct, and gallbladder cancers had lower age
adjusted incidence rates in the Mississippi Delta region. There was a large
difference between African Americans and whites in the Delta region. Whites
residing in the Delta counties had higher incidence rates than African Americans
of all cancers except liver and intrahepatic bile duct cancers. CONCLUSIONS: The
majority of GI cancers had higher incidence rates in the Mississippi Delta than
non-Delta counties and in the entire state than the United States. These
disparities observed on a regional and ethnic basis call for targeted prevention
efforts to eliminate disparities in GI cancer incidence rates.
PMID- 24937517
TI - Hyperuricemia, gout, and related comorbidities: cause and effect on a two-way
street.
AB - The prevalence of gout and hyperuricemia has increased dramatically during the
last several decades, to the point that gout is the most common inflammatory
arthritis in the United States, affecting approximately 8 million Americans.
Patients with gout frequently have multiple comorbidities, including
hypertension, chronic kidney disease, cardiovascular disease, obesity, diabetes,
and hyperlipidemia, all of which have significant adverse impact on public
health. In some cases (eg, chronic kidney disease) it is clear that the presence
of the comorbidity contributes to the progression of hyperuricemia and/or gout.
Conversely, the question of whether gout/hyperuricemia themselves contribute to
the pathogenesis of gout comorbidities is an area of intensifying investigation.
In vitro and animal models, large epidemiologic studies, and small clinical
trials suggest that gout and/or hyperuricemia may contribute to hypertension,
chronic kidney disease, and cardiovascular disease. More limited hypothesis
generating studies suggest a potential role for diabetes and obesity. Given that
available drugs can lower serum urate levels and manage gout, it would be
important to know whether not only gout and/or hyperuricemia can contribute to
comorbidities but also better gout/hyperuricemic control can ameliorate some or
all of these related conditions. We review the clinical associations between gout
and its common comorbid conditions and the evidence supporting a causal relation
between them. The evidence that gout and hyperuricemia contribute to the
pathogenesis of their comorbidities creates greater urgency for appropriate gout
management.
PMID- 24937518
TI - Advanced, neglected basal cell carcinoma.
AB - Although basal cell carcinoma (BCC) rarely metastasizes and has a cure rate >95%
when diagnosed early in its course, BCC causes significant morbidity and presents
an enormous burden to the healthcare system worldwide. Patients who present late
in the course of their disease are particularly challenging in that their
treatment can be more complicated and less likely to be effective than had they
presented earlier. Given the high prevalence of this malignancy and the morbidity
associated with a late presentation, healthcare professionals should be familiar
with the consequences of neglected BCCs, as well as their management after
presentation. Knowledge of patient risk characteristics may allow earlier
engagement and diagnosis of patients, before more significant morbidity occurs.
PMID- 24937519
TI - Commentary on "Advanced, neglected basal cell carcinoma".
PMID- 24937520
TI - Serum biomarkers for traumatic brain injury.
AB - There is a lack of reliable serum biomarkers for routine use in the diagnostic
workup of people with traumatic brain injury. Multiple biomediators and
biomarkers have been described in the pertinent literature in recent years;
however, only a few candidate molecules have been associated with high
sensitivity and high specificity for risk stratification and outcome prediction
after traumatic brain injury. This review was designed to provide an overview of
the state of the art regarding established serum biomarkers in the field and to
outline future directions of investigation.
PMID- 24937521
TI - Investigational biomarkers for pancreatic adenocarcinoma: where do we stand?
AB - Although the outcomes for pancreatic ductal adenocarcinoma (PDAC) remain
disappointing, there has been considerable improvement in the 5-year survival
rate of patients with resectable disease. As such, an R0 surgical resection
(microscopic tumor clearance) offers patients with PDAC the greatest survival
benefit. Carbohydrate antigen 19-9, the only US Food and Drug Administration
approved biomarker for PDAC, is a poor screening tool and is most informative
after PDAC resection. Consequently, there has been a tremendous initiative to
discover novel biomarkers that may aid in detecting the disease earlier,
improving prognosis, and predicting response to available chemotherapy. The
number of implicated biomarkers in PDAC is indeed staggering, with >2500 proposed
candidates presented in the recent literature. A vast majority of these
biomarkers, however, remain in the investigational phase. This review categorizes
the most promising biomarkers--those closest to potential clinical application-
into diagnostic and prognostic/predictive groups. The greatest challenge likely
lies in the search for an effective diagnostic biomarker that can accurately
discriminate between malignant and benign disease, and thereby facilitate earlier
identification of those patients with PDAC who may benefit most from surgical
resection.
PMID- 24937522
TI - Commentary on "Investigational biomarkers for pancreatic adenocarcinoma: where do
we stand?".
PMID- 24937523
TI - Canine-assisted therapy in the inpatient setting.
AB - Canine-assisted therapy (CAT) is widely used in outpatient settings, yet there is
little published literature regarding its use, efficacy, and safety in the
inpatient setting. The primary objective of this review was to consolidate
published information regarding CAT efficacy and safety in the inpatient
population. The secondary objective was to review safety concerns associated with
CAT. The databases PubMed, Ovid MEDLINE, and Web of Knowledge were searched using
the dates April 2003-April 2013 with the terms "animal assisted therapy" and "pet
therapy." Articles were reviewed for the relevance of CAT in the inpatient
setting, and those meeting our criteria were included in the study. The
references of selected articles also were reviewed and included if study criteria
were met. The review of the literature resulted in 429 total articles using the
search terms. Of the 429 articles, 177 were duplicates and 218 pertained to the
outpatient setting or involved animal therapies other than canine, leaving 34
articles that met the search criteria. The bibliography review of the 34 articles
yielded an additional 10 articles. Our review of the literature showed that in
the inpatient setting, CAT is an effective therapy among patients of all ages and
with various medical problems and is safe, with no transmitted infections
reported.
PMID- 24937524
TI - Commentary on "Canine-assisted therapy in the inpatient setting".
PMID- 24937526
TI - Design and synthesis of hydrolytically stable multivalent ligands bearing
thiodigalactoside analogues for peanut lectin and human galectin-3 binding.
AB - Herein, we describe the design and synthesis of a novel family of hydrolytically
stable glycoclusters bearing thiodigalactoside (TDG) analogues as recognition
elements of beta-galactoside binding lectins. The TDG analogue was synthesized by
thioglycosylation of a 6-S-acetyl-alpha-D-glucosyl bromide with the
isothiouronium salt of 2,3,4,6-tetra-O-acetyl-beta-D-galactose. Further
propargylation of the TDG analogue allowed the coupling to azido-functionalized
oligosaccharide scaffolds through copper(I)-catalyzed azide-alkyne cycloaddition
(CuAAC) under microwave activation. The final mono-, di-, and tetravalent ligands
were resistant to enzymatic hydrolisis by Escherichia coli beta-galactosidase.
Binding affinities to peanut agglutinin and human galectin-3 were measured by
isothermal titration calorimetry which showed K(a) constants in the micromolar
range as well as a multivalent effect. Monovalent ligand exhibited a binding
affinity higher than that of thiodigalactoside. Docking studies performed with a
model ligand on both beta-galactoside binding lectins showed additional
interactions between the triazole ring and lectin amino acid residues, suggesting
a positive effect of this aromatic residue on the biological activity.
PMID- 24937527
TI - The deployment of carbon monoxide wireless sensor network (CO-WSN) for ambient
air monitoring.
AB - Wireless sensor networks are becoming increasingly important as an alternative
solution for environment monitoring because they can reduce cost and complexity.
Also, they can improve reliability and data availability in places where
traditional monitoring methods are difficult to site. In this study, a carbon
monoxide wireless sensor network (CO-WSN) was developed to measure carbon
monoxide concentrations at a major traffic intersection near the University of
Cincinnati main campus. The system has been deployed over two weeks during Fall
2010, and Summer 2011-2012, traffic data was also recorded by using a manual
traffic counter and a video camcorder to characterize vehicles at the
intersection 24 h, particularly, during the morning and evening peak hour
periods. According to the field test results, the 1 hr-average CO concentrations
were found to range from 0.1-1.0 ppm which is lower than the National Ambient Air
Quality Standards (NAAQS) 35 ppm on a one-hour averaging period. During rush hour
periods, the traffic volume at the intersection varied from 2,067 to 3,076
vehicles per hour with 97% being passenger vehicles. Furthermore, the traffic
volume based on a 1-h average showed good correlation (R2 = 0.87) with the 1-h
average CO-WSN concentrations for morning and evening peak time periods whereas
CO-WSN results provided a moderate correlation (R2 = 0.42) with 24 hours traffic
volume due to fluctuated changes of meteorological conditions. It is concluded
that the performance and the reliability of wireless ambient air monitoring
networks can be used as an alternative method for real time air monitoring.
PMID- 24937528
TI - Protecting health from climate change in the WHO European Region.
AB - "How far are we in implementing climate change and health action in the WHO
European Region?" This was the question addressed to representatives of WHO
European Member States of the working group on health in climate change (HIC).
Twenty-two Member States provided answers to a comprehensive questionnaire that
focused around eight thematic areas (Governance; Vulnerability, impact and
adaptation (health) assessments; Adaptation strategies and action plans; Climate
change mitigation; Strengthening health systems; Raising awareness and building
capacity; Greening health services; and Sharing best practices). Strong areas of
development are climate change vulnerability and impact assessments, as well as
strengthening health systems and awareness raising. Areas where implementation
would benefit from further action are the development of National Health
Adaptation Plans, greening health systems, sharing best practice and reducing
greenhouse gas emissions in other sectors. At the Parma Conference in 2010, the
European Ministerial Commitment to Act on climate change and health and the
European Regional Framework for Action to protect health from climate change were
endorsed by fifty three European Member States. The results of this questionnaire
are the most comprehensive assessment so far of the progress made by WHO European
Member States to protecting public health from climate change since the
agreements in Parma and the World Health Assembly Resolution in 2008.
PMID- 24937529
TI - Microbial community structures and dynamics in the O3/BAC drinking water
treatment process.
AB - Effectiveness of drinking water treatment, in particular pathogen control during
the water treatment process, is always a major public health concern. In this
investigation, the application of PCR-DGGE technology to the analysis of
microbial community structures and dynamics in the drinking water treatment
process revealed several dominant microbial populations including: alpha
Proteobacteria, beta-Proteobacteria, gamma-Proteobacteria, Bacteroidetes,
Actinobacteria Firmicutes and Cyanobacteria. alpha-Proteobacteria and beta
Proteobacteria were the dominant bacteria during the whole process. Bacteroidetes
and Firmicutes were the dominant bacteria before and after treatment,
respectively. Firmicutes showed season-dependent changes in population dynamics.
Importantly, gamma-Proteobacteria, which is a class of medically important
bacteria, was well controlled by the O3/biological activated carbon (BAC)
treatment, resulting in improved effluent water bio-safety.
PMID- 24937530
TI - Evaluating determinants of environmental risk perception for risk management in
contaminated sites.
AB - Understanding the differences in the risk judgments of residents of industrial
communities potentially provides insights into how to develop appropriate risk
communication strategies. This study aimed to explore citizens' fundamental
understanding of risk-related judgments and to identify the factors contributing
to perceived risks. An exploratory model was created to investigate the public's
risk judgments. In this model, the relationship between laypeople's perceived
risks and the factors related to the physical nature of risks (such as perceived
probability of environmental contamination, probability of receiving impacts, and
severity of catastrophic consequences) were examined by means of multiple
regression analysis. Psychological factors, such as the ability to control the
risks, concerns, experiences, and perceived benefits of industrial development
were also included in the analysis. The Maptaphut industrial area in Rayong
Province, Thailand was selected as a case study. A survey of 181 residents of
communities experiencing different levels of hazardous gas contamination revealed
rational risk judgments by inhabitants of high-risk and moderate-risk
communities, based on their perceived probability of contamination, probability
of receiving impacts, and perceived catastrophic consequences. However, risks
assessed by people in low-risk communities could not be rationally explained and
were influenced by their collective experiences.
PMID- 24937531
TI - Plasma exosome microRNA profiling unravels a new potential modulator of
adiponectin pathway in diabetes: effect of glycemic control.
AB - CONTEXT: Type 2 diabetes is a chronic disease characterized by inadequate beta
cell response to the progressive insulin resistance. MicroRNAs (miRNAs) are
short, endogenous, noncoding RNAs representing a class of powerful gene
expression modulators. Previous population studies observed a modulation of
circulating miRNAs in diabetic patients; however, few data are presently
available on miRNA modulation in diabetic patients naive to pharmacological
treatment as well as the effect of glycemic control on this. OBJECTIVE: We aimed
at studying circulating miRNA expression in diabetic patients naive to treatment
and at investigating the influence on this of glycemic control. DESIGN: This was
a case-control study. PARTICIPANTS: Eighteen treatment-naive diabetic patients
with poor metabolic control and 12 control patients participated in the study.
MAIN OUTCOME MEASURES: Wide miRNA expression profiling was performed, and the
expression of miRNAs found to be dysregulated was then validated by quantitative
RT-PCR. Finally, algorithm-identified putative miRNA targets were evaluated by
quantitative RT-PCR and ELISA. RESULTS: In diabetic patients, microarray analysis
showed that four miRNAs are increased, whereas 21 miRNAs are decreased.
Quantitative RT-PCR validation confirmed the significant up-regulation of miR-326
(P = .004) and down-regulation of let-7a (P < .001) and let-7f (P = .003).
Notably, an inverse negative correlation was found between circulating miR-326
and its putative target adiponectin (p = -0.479, P = .009). After 12 months of
antidiabetic treatment, quantitative RT-PCR data analysis showed that miR-326
levels were unaffected, whereas the levels of let-7a and let-7f were
significantly increased. CONCLUSIONS: Treatment-naive, poorly controlled diabetic
patients show a significant dysregulation of miRNAs involved in the regulation of
the adiponectin pathway, a phenomenon that may be reversed, at least in part, by
improved glycemic control.
PMID- 24937532
TI - Diabetes-associated microRNAs in pediatric patients with type 1 diabetes
mellitus: a cross-sectional cohort study.
AB - CONTEXT: Circulating microRNAs (miRNAs/miRs) are used as novel biomarkers for
diseases. miR-21, miR-126, and miR-210 are known to be deregulated in vivo or in
vitro under diabetic conditions. OBJECTIVE: The aim of this study was to
investigate the circulating miR-21, miR-126, and miR-210 in plasma and urine from
pediatric patients with type 1 diabetes and to link our findings to
cardiovascular and diabetic nephropathy risk factors in children with type 1
diabetes. DESIGN: miR-21, miR-126, and miR-210 concentrations were measured with
quantitative RT-PCR in plasma and urine samples from 68 pediatric patients with
type 1 diabetes and 79 sex- and age-matched controls. SETTING: The study
consisted of clinical pediatric patients with type 1 diabetes. PATIENTS OR OTHER
PARTICIPANTS: Inclusion criterion for patients was diagnosed type 1 diabetes.
Exclusion criteria were febrile illness during the last 3 months; chronic
inflammatory or rheumatic disease; hepatitis; HIV; glucocorticoid treatment;
liver, renal, or cardiac failure; or hereditary dyslipidemia. Patients were age
and sex matched to controls. MAIN OUTCOME MEASURE(S): Main outcome parameters
were changes in miR-21, miR-126, and miR-210 concentration in plasma and urine
from type 1 diabetic patients compared with corresponding controls. RESULTS:
Circulating miRNA levels of miR-21 and miR-210 were significantly up-regulated in
the plasma and urine of the type 1 diabetic patients. Urinary miR-126 levels in
diabetic patients were significantly lower than in age- and gender-matched
controls and negatively correlated between the patient's glycated hemoglobin mean
and miR-126 concentration value. In contrast, circulating miR-126 levels in
plasma were comparable in both cohorts. For urinary miR-21, we found by an
adjusted receiver-operating characteristic-curve analysis with an area under the
curve of 0.78. CONCLUSIONS: Type 1 diabetic pediatric patients revealed a
significant deregulation of miR-21, miR-126, and miR-210 in plasma and urinary
samples, which might indicate an early onset of diabetic-associated diseases.
PMID- 24937533
TI - Significance of serum magnesium as an independent correlative factor on the
parathyroid hormone level in uremic patients.
AB - CONTEXT: PTH is a critical factor in mineral homeostasis, and chronic kidney
disease mineral and bone metabolism disorder is a very important problem in
patients with renal failure. Abnormal levels of PTH, serum phosphate, and calcium
influence chronic kidney disease mineral and bone metabolism disorder, but there
is little information about the influence of magnesium (Mg) on PTH. OBJECTIVE:
The aim of this study was to elucidate the correlation between magnesium and PTH
levels in uremic patients just prior to beginning hemodialysis (HD) for the first
time. PATIENTS: We enrolled 1231 patients in nine Japanese facilities who had
begun HD for end-stage renal disease. We investigated their serum Mg levels and
the correlation between intact PTH (iPTH) and the serum Mg levels and other
clinical parameters and medications. RESULTS: The mean serum Mg was 2.2 +/- 0.5
mg/dL, and hypermagnesemia was found in 663 patients (53.9%). Divided into two
groups according to median iPTH level, the serum Mg levels were significantly
higher in patients with low iPTH (2.3 +/- 0.5 vs 2.1 +/- 0.5, P < .01).
Furthermore, divided into two groups according to the Mg level, iPTH levels were
lower in patients with high Mg than in patients with normal serum Mg levels
(277.9 +/- 195.9 pg/mL vs 321.9 +/- 203.7 pg/mL, P < .01). In the multiple
regression analysis according to the effect of iPTH level, the serum Mg level was
an independent variable after adjustment for other factors. CONCLUSIONS: A high
serum level of Mg is frequent in uremic patients with end-stage renal disease
just prior to beginning HD. In the present set of patients, there was a
significant correlation between the serum Mg and iPTH levels. Furthermore, the
serum Mg level was an independent factor apart from the other factors regulating
iPTH. These results suggest that serum Mg may be one of the factors regulating
the serum PTH level in uremic patients.
PMID- 24937534
TI - Hypoxemia and glycemic control in type 2 diabetes mellitus with extreme obesity.
AB - CONTEXT: Obstructive sleep apnea (OSA) has been shown to be associated with type
2 diabetes mellitus (DM). Studies on healthy individuals found that OSA is
associated with lower insulin sensitivity. We hypothesized that nocturnal
hypoxemia from OSA is associated with poorer glycemia in severely obese DM
individuals. DESIGN AND SETTING: This was a retrospective observational study of
122 non-DM, 126 non-insulin-treated DM, and 35 insulin-treated DM patients. Data
were collected on demographic characteristics, body mass index, and
comorbidities. An overnight sleep study was performed in all patients, and OSA
was defined as an apnea-hypopnea index of >=5 events/h. RESULTS: There were more
males (P = .003) and a lower proportion of white Europeans (P = .010) among DM
patients. The prevalence of OSA was 80.1% in DM and 63.1% in non-DM individuals
(P = .001). DM individuals also had lower oxygen saturation (O2) (P = .0106),
greater percentage of time spent under 90% oxygen saturation (%TST<90%) (P =
.0067), and higher apnea-hypopnea index (P = .0085). Regression analysis showed
that %TST<90% and minimum O2 saturations were associated with worse hemoglobin
A1c results among DM individuals. Every 10% reduction in minimum O2 was
associated with a 0.3% increase in HbA1c, whereas a 10% increase in %TST<90% was
associated with a 0.2% increase in hemoglobin A1c after adjusting for a range of
potential confounders. CONCLUSION: The high OSA prevalence in DM individuals and
a positive relationship between nocturnal hypoxemia and glycemia supports the
need to assess correction of hypoxemia as a management strategy for glycemic
control.
PMID- 24937535
TI - The disposition index does not reflect beta-cell function in IGT subjects treated
with pioglitazone.
AB - AIMS AND HYPOTHESIS: The insulin secretion/insulin resistance (IR) (disposition)
index (DeltaI/DeltaG / IR, where Delta is change from baseline, I is insulin, and
G is glucose) is commonly used as a measure of beta-cell function. This
relationship is curvilinear and becomes linear when log transformed. DeltaI is
determined by 2 variables: insulin secretion rate (ISR) and metabolic clearance
of insulin. We postulated that the characteristic curvilinear relationship would
be lost if Delta plasma C-peptide (DeltaCP) (instead of Delta plasma insulin) was
plotted against insulin sensitivity. METHODS: A total of 441 individuals with
impaired glucose tolerance (IGT) from ACT NOW received an oral glucose tolerance
test and were randomized to pioglitazone or placebo for 2.4 years. RESULTS:
Pioglitazone reduced IGT conversion to diabetes by 72% (P < .0001). DeltaI/DeltaG
vs the Matsuda index of insulin sensitivity showed the characteristic curvilinear
relationship. However, when DeltaCP/DeltaG or DeltaISR/DeltaG was plotted against
the Matsuda index, the curvilinear relationship was completely lost. This
discordance was explained by 2 distinct physiologic effects that altered plasma
insulin response in opposite directions: 1) increased ISR and 2) augmented
metabolic clearance of insulin. The net result was a decline in the plasma
insulin response to hyperglycemia during the oral glucose tolerance test. These
findings demonstrate a physiologic control mechanism wherein the increase in ISR
ensures adequate insulin delivery into the portal circulation to suppress hepatic
glucose production while delivering a reduced but sufficient amount of insulin to
peripheral tissues to maintain the pioglitazone-mediated improvement in insulin
sensitivity without excessive hyperinsulinemia. CONCLUSIONS: These results
demonstrate the validity of the disposition index when relating the plasma
insulin response to insulin sensitivity but underscore the pitfall of this index
when drawing conclusions about beta-cell function, because insulin secretion
declined despite an increase in the plasma insulin response.
PMID- 24937536
TI - Adipose tissue and metabolic alterations: regional differences in fat cell size
and number matter, but differently: a cross-sectional study.
AB - OBJECTIVE: White adipose tissue can expand by increasing the size and/or number
of fat cells. Although increased sc and visceral fat cell size associates with an
adverse metabolic profile, the relationship with fat cell number in either depot
is unknown. We hypothesized that adipocyte number and size displayed different
relationships with clinically relevant metabolic variables. METHODS: This was a
cross-sectional study of 204 patients scheduled for gastric bypass surgery. Fat
cell size and number were determined in visceral and abdominal sc adipose tissue
and related to insulin sensitivity (by hyperinsulinemic euglycemic clamp),
fasting plasma levels of insulin, triglycerides and high-density lipoprotein
(HDL) cholesterol. RESULTS: Visceral and sc fat cell volumes were positively
correlated with insulin and triglyceride levels and negatively with insulin
sensitivity and HDL-cholesterol (P = .0020 or better). In contrast, although
visceral fat cell number did not associate with any metabolic parameter, sc
adipocyte number displayed a positive association with insulin sensitivity and
HDL-cholesterol and a negative relationship with insulin and triglyceride levels
(P = .0014 or better). All results were independent of body fat mass.
CONCLUSIONS: Variations in fat cell size and number correlate differently with
metabolic parameters in obesity. Increased fat cell size in visceral and sc
depots associates with a pernicious metabolic profile, whereas increased sc, but
not visceral, fat cell number correlates with a more beneficial phenotype.
Whether determination of sc fat cell number, in addition to adipocyte size, may
have a predictive value for the risk of type 2 diabetes needs to be demonstrated
in prospective or mechanistic studies.
PMID- 24937537
TI - Testicular synthesis and vitamin D action.
AB - CONTEXT: The vitamin D system has pleiotropic effects not only in bone
metabolism. Its role in testicular steroidogenesis is new and deserves intensive
research. OBJECTIVE: We hypothesize that vitamin D, especially 1,25
dihydroxyvitamin D3 [1,25(OH)2D3 (calcitriol)] induces male steroidogenesis and
intend to identify its impact on genes and pathways in testicular androgen
regulation. METHODS: Human adult primary testicular cells were isolated, treated
with 1,25(OH)2D3, and their gene expression levels profiled by microarray
analysis. Highly regulated genes were confirmed by real-time quantitative PCR. In
addition, the effects of 1,25(OH)2D3 in combination with LH and IGF-I on the gene
expression level of androgens were assessed. T levels in the culture media were
determined by a high-resolution ELISA. The expression of vitamin D receptor was
confirmed at baseline and after 1,25(OH)2D3 stimulation using
immunocytochemistry. RESULTS: Microarrays depicted 63 genes significantly
regulated by 1,25(OH)2D3, including genes related to male androgen and vitamin D
metabolism, mainly triggered by the vitamin D receptor/retinoid X receptor
activation. 1,25(OH)2D3 led to significant changes in the expression profiles of
reproductive genes and significantly increased T synthesis in human testicular
cell cultures. CONCLUSIONS: Data from our human primary testicular cell culture
model suggest that vitamin D plays a major role in male steroidogenesis in vitro.
PMID- 24937538
TI - Involvement of PIT-1-reactive cytotoxic T lymphocytes in anti-PIT-1 antibody
syndrome.
AB - CONTEXT: Anti-pituitary-specific transcriptional factor 1 (PIT-1) antibody
syndrome is characterized by acquired growth hormone (GH), prolactin (PRL), and
thyroid-stimulating hormone (TSH) deficiencies associated with circulating anti
PIT-1 antibodies. Although autoimmunity to PIT-1 has been suggested as a
pathogenesis, the precise mechanism of the syndrome remains unclarified.
OBJECTIVE: To elucidate the involvement of antibody- or cell-mediated immunity in
anti-PIT-1 antibody syndrome. MATERIALS AND METHODS: To investigate a direct
effect of anti-PIT-1 antibody on pituitary cells, cell proliferation, and
cytotoxicity detection assays were performed using patient serum. Enzyme-linked
immunospot (ELISpot) assay was performed to evaluate the involvement of PIT-1
reactive cytotoxic T lymphocytes (CTLs). An immunohistochemical analysis using
anti-CD4 or anti-CD8 antibody was performed to examine tissue infiltration by
CTLs. RESULTS: Patient serum did not exhibit any inhibitory effect on cell
proliferation and secretion of GH and PRL in GH3 cells. In addition, complement
dependent cytotoxicity was not detected in patient serum on GH3 cells or primary
pituitary cells. The ELISpot assay revealed the presence of CTLs that
specifically reacted to the recombinant PIT-1 protein in the patient's peripheral
lymphocytes. CD8(+) cell infiltrations, which is the characteristic of CTLs, were
observed in the pituitary gland, adrenal gland, stomach, thyroid gland, liver,
and pancreas of the patient with anti-PIT-1 antibody syndrome. CONCLUSIONS: These
results suggest that the anti-PIT-1 antibody is not a cause but a marker of anti
PIT-1 antibody syndrome, in which CTLs play a pivotal role in the pathogenesis.
PMID- 24937539
TI - Long-term follow-up of children with congenital hyperinsulinism on octreotide
therapy.
AB - CONTEXT: Octreotide, a somatostatin analog, is commonly used in diazoxide
unresponsive congenital hyperinsulinism (CHI) patients as a second-line therapy.
OBJECTIVE: The aims of this study were to evaluate the dose range, side effects,
and long-term follow-up of octreotide therapy in a large cohort of CHI patients.
SETTING: The study was conducted at an international referral center for the
management of CHI. PATIENTS: Twenty-eight (17 males) diazoxide unresponsive CHI
patients (15 biallelic and 10 monoallelic ATP sensitive potassium channel
mutation) managed with daily multidose octreotide therapy between 2001 and 2013
participated in the study. MAIN OUTCOME MEASURES: Regular follow-up of auxology,
growth factors (serum IGF-1 and IGF binding protein 3 levels), thyroid functions,
liver function tests, and hepatobiliary ultrasonography were measured. RESULTS:
The median age of CHI diagnosis was 1 week (range 1-80 wk). The mean (+/-SD) dose
of octreotide required was 17.8 (+/-7.5) MUg/kg . d (range 7.5-30 MUg/kg . d).
The mean (+/-SD) duration of follow-up on octreotide therapy was 52.4 (+/-33.8)
months (range 6 mo to 9.5 y). Elevation of liver enzymes was the most prevalent
side effect (n = 13; 46.4%), which resolved spontaneously. Gallbladder pathology
was detected in nine patients (32%). Mean (+/-SD) duration of octreotide therapy
before the development of gallbladder pathology was 4.3 (+/-4.6 mo), whereas 19
patients were free of gallstones after a follow-up of 53.6 +/- 32.9 months on
octreotide therapy. There was no relationship between the dose or the duration of
octreotide therapy and development of gallbladder pathology or liver dysfunction.
CONCLUSIONS: Transient elevation of liver enzymes and asymptomatic gallbladder
pathology were the most prevalent long-term side effects of octreotide therapy.
There was no correlation between the dose or the duration of octreotide therapy
and development of liver dysfunction and gallbladder pathology.
PMID- 24937540
TI - Association between expression of FABPpm in skeletal muscle and insulin
sensitivity in intramyocellular lipid-accumulated nonobese men.
AB - CONTEXT: Intramyocellular lipid (IMCL) accumulation is observed in both insulin
resistant subjects and insulin-sensitive endurance athletes (athlete's paradox).
We hypothesized that the expression pattern of fatty acid transporters may
influence oxidative capacity and determine the association between IMCL and
insulin resistance. OBJECTIVE: The objective of the study was to investigate the
muscle expression of fatty acid transporters and their function related to
insulin sensitivity in IMCL-accumulated subjects. DESIGN AND SETTING: The study
subjects were 36 nonobese healthy men. Their IMCL levels were measured by (1)H
magnetic resonance spectroscopy, and their insulin sensitivity was evaluated by
steady-state glucose infusion rate (GIR) during a euglycemic-hyperinsulinemic
clamp. Gene expression levels in the vastus lateralis were evaluated by
quantitative RT-PCR. We compared the clinical phenotypes and the expression
levels of genes involved in lipid metabolism in skeletal muscle between IMCL
accumulated high-GIR (H-GIR) subjects (n = 8) and low-GIR subjects (n = 9). The
functions of candidate fatty acid transporters were determined by in vitro
analyses. RESULTS: Compared with the low-GIR group, body fat was lower and
maximum oxygen uptake was higher in the H-GIR group. Several lipid oxidation
genes in muscle were up-regulated in the H-GIR group, and this was associated
with increased expression of higher plasma membrane-associated fatty acid-binding
protein (FABPpm) and decreased expression of fatty acid transport protein (FATP)
1. Overexpression of FABPpm in C2C12 myotubes increased fatty acid oxidation
coupled with the elevated expression of genes related to fatty acid oxidation.
These changes were not observed in FATP1-overexpressed myotubes. CONCLUSIONS:
Differences in the gene expression of fatty acid transporters may, at least in
part, affect insulin sensitivity in IMCL-accumulated nonobese men.
PMID- 24937541
TI - A randomized controlled trial of the effects of pioglitazone treatment on
sympathetic nervous system activity and cardiovascular function in obese subjects
with metabolic syndrome.
AB - CONTEXT: Insulin resistance and sympathetic nervous system overactivity are
closely associated and contribute to cardiovascular risk. OBJECTIVE: The
objective of the study was to test the hypotheses that pharmacological
improvement in insulin sensitivity would (1) attenuate sympathetic neural drive
and (2) enhance neuronal norepinephrine uptake. PARTICIPANTS AND METHODS: A
randomized, double-blind trial was conducted in 42 obese, unmedicated individuals
with metabolic syndrome (mean age 56 +/- 1 y, body mass index 34 +/- 0.6 kg/m(2))
who received 12 weeks of pioglitazone (PIO; 15 mg for 6 wk, then 30 mg daily) or
matched placebo. Clinical measurements included whole-body norepinephrine
kinetics [spillover rate, plasma clearance, and the steady state ratio of
tritiated 3,4-dihydroxyphenylglycol to tritiated norepinephrine ([(3)H]-DHPG to
[(3)H]-NE) as an index of neuronal uptake-1], muscle sympathetic nerve activity,
spontaneous baroreflex sensitivity, euglycemic hyperinsulinemic clamp, oral
glucose tolerance test, ambulatory blood pressure, and Doppler echocardiography.
RESULTS: PIO treatment increased glucose uptake by 35% and was accompanied by
significant reductions in diastolic blood pressure and improved left ventricular
diastolic and endothelial function. Resting muscle sympathetic nerve activity
burst frequency decreased by -6 +/- 3 burst/min compared with baseline (P = .03),
but the magnitude of change was not different from placebo (P = .89).
Norepinephrine spillover and clearance rates and baroreflex sensitivity were
unchanged. Post hoc subgroup analyses revealed an 83% increase in [(3)H]-DHPG to
[(3)H]-NE ratio in hyperinsulinemic (P = .04) but not normoinsulinemic subjects
(time * group interaction, P = .045). Change in [(3)H]-DHPG to [(3)H]-NE ratio
correlated with improvements in diastolic blood pressure (r = -0.67, P = .002),
the ratio of early (E) to late (A) peak transmitral diastolic inflow velocity (r
= 0.62, P = .008), E wave deceleration time (r = -0.48, P = .05), and
Deltainsulin area under the curve0-120 during the oral glucose tolerance test (r
= -0.42, P = .08). CONCLUSIONS: Compared with placebo, PIO does not affect
resting sympathetic drive or norepinephrine disposition in obese subjects with
metabolic syndrome. Treatment induced changes in the [(3)H]-DHPG to [(3)H]-NE
ratio related to reduction in hyperinsulinemia and improvements in diastolic
function.
PMID- 24937542
TI - Long-term efficacy and safety of pegvisomant in combination with long-acting
somatostatin analogs in acromegaly.
AB - BACKGROUND: Treatment for acromegaly patients with long-acting somatotropin
release-inhibiting factor (LA-SRIF) often does not result in complete
normalization of IGF-1. Addition of pegvisomant (PEGV), a GH receptor antagonist,
could improve this; however, the literature has not described long-term follow
up. OBJECTIVE: To assess long-term efficacy and safety of this combined treatment
in the largest current single-center cohort of patients, from 2004-2013. DESIGN:
Acromegaly patients were treated for at least 6 months with a high-dose LA-SRIF.
To patients with persistently elevated IGF-1 levels (>1.2 * upper limit of
normal) or poor quality of life, PEGV was added as one weekly injection. RESULTS:
The patients (n = 141) were treated with PEGV and LA-SRIFs for a median period of
4.9 years (range, 0.5-9.2). Efficacy, defined as the lowest measured IGF-1 level
during treatment, was 97.0%. The median PEGV dose to achieve this efficacy was 80
mg weekly (interquartile range, 60-120 mg). Combination treatment-related adverse
events were recorded in 26 subjects (18.4%). Pituitary tumor size increase was
observed in one patient. Injection-site reactions were observed in four subjects.
In 19 patients (13.5%), transiently elevated liver transaminases of more than
three times the upper limit of normal were observed, of which 83% occurred within
the first year of combination treatment. Eight patients died, at a mean age of 71
years; none of them were considered treatment-related. CONCLUSIONS: The
combination treatment with LA-SRIFs and PEGV was effective in 97% of the
patients, it appears to be a safe medical treatment and it reduces the required
dose of PEGV.
PMID- 24937543
TI - Sex hormone binding globulin deficiency due to a homozygous missense mutation.
AB - CONTEXT: SHBG is known as the major sex steroid binding protein in plasma, and it
regulates the bioavailability of both T and estradiol levels required for effects
on target tissues. We identified a man with an undetectable SHBG concentration in
combination with low total T. He presented with a 7-year history of muscle
weakness, fatigue, and a low libido. OBJECTIVES: To determine the cause of the
SHBG deficiency, we employed both genetic analysis of the SHBG gene and transgene
SHBG expression. RESULTS: Genetic analysis identified a novel homozygous missense
mutation that was predicted to be deleterious for protein function. Transgene
expression showed that the mutation resulted in a block in SHBG secretion
accompanied by increased expression of the endoplasmic reticulum molecular
chaperone HSPA5. The mutation results in accumulation of the mutant SHBG within
the cell and failure to secrete the mutant protein. Screening of family members
identified one sister who was also deficient for SHBG. CONCLUSIONS: We have
identified a family with a missense mutation within the SHBG gene, which results
in a complete deficiency of plasma SHBG in the homozygous state. Although total T
level was low in the male patient, it did not interfere with normal gonadal
development and spermatogenesis, suggesting a limited role of SHBG in sexual
maturation and male physiology.
PMID- 24937544
TI - Behavioral and neural correlates of executive functioning in musicians and non
musicians.
AB - Executive functions (EF) are cognitive capacities that allow for planned,
controlled behavior and strongly correlate with academic abilities. Several
extracurricular activities have been shown to improve EF, however, the
relationship between musical training and EF remains unclear due to
methodological limitations in previous studies. To explore this further, two
experiments were performed; one with 30 adults with and without musical training
and one with 27 musically trained and untrained children (matched for general
cognitive abilities and socioeconomic variables) with a standardized EF battery.
Furthermore, the neural correlates of EF skills in musically trained and
untrained children were investigated using fMRI. Adult musicians compared to non
musicians showed enhanced performance on measures of cognitive flexibility,
working memory, and verbal fluency. Musically trained children showed enhanced
performance on measures of verbal fluency and processing speed, and significantly
greater activation in pre-SMA/SMA and right VLPFC during rule representation and
task-switching compared to musically untrained children. Overall, musicians show
enhanced performance on several constructs of EF, and musically trained children
further show heightened brain activation in traditional EF regions during task
switching. These results support the working hypothesis that musical training may
promote the development and maintenance of certain EF skills, which could mediate
the previously reported links between musical training and enhanced cognitive
skills and academic achievement.
PMID- 24937545
TI - Multimodal MR imaging of brain iron in attention deficit hyperactivity disorder:
a noninvasive biomarker that responds to psychostimulant treatment?
AB - PURPOSE: To comprehensively assess brain iron levels in typically developing
control subjects and patients with attention deficit hyperactivity disorder
(ADHD) when psychostimulant medication history is accounted for. MATERIALS AND
METHODS: This prospective study was approved by the institutional review board,
and informed consent was obtained. Brain iron was indexed noninvasively by using
magnetic resonance (MR) imaging relaxation rates (R2, R2*, R2') and magnetic
field correlation (MFC) in the globus pallidus, putamen, caudate nucleus, and
thalamus for 22 patients with ADHD (12 medication-naive patients and 10 with a
history of psychostimulant treatment) and 27 control subjects (age range, 8-18
years). Serum iron measures were also collected. Subgroup differences were
analyzed with data-appropriate omnibus tests followed by post hoc pairwise
comparisons; false discovery rate correction was conducted to control for
multiple comparisons. RESULTS: Medication-naive ADHD patients had significantly
lower striatal and thalamic MFC indexes of brain iron than did control subjects
(putamen, P = .012; caudate nucleus, P = .008; thalamus, P = .012) and
psychostimulant-medicated ADHD patients (putamen, P = .006; caudate nucleus, P =
.010; thalamus, P = .021). Conversely, the MFC indexes in medicated patients were
comparable to those in control subjects. No significant differences were detected
with R2, R2*, R2', or serum measures. CONCLUSION: Lower MFC indexes of striatal
and thalamic brain iron in medication-naive ADHD patients and lack of differences
in psychostimulant-medicated patients suggest that MFC indexes of brain iron may
represent a noninvasive diagnostic biomarker that responds to psychostimulant
treatment.
PMID- 24937547
TI - American Pediatric Society 2014 presidential address: the thrill of discovery
(and other foundations of biomedical research).
PMID- 24937546
TI - Vitamin D and fetal-neonatal calcium homeostasis: findings from a randomized
controlled trial of high-dose antenatal vitamin D supplementation.
AB - BACKGROUND: There is current interest in the maternal-fetal effects of antenatal
vitamin D supplementation, yet little data regarding vitamin D's role in neonatal
calcium homeostasis. We determined to assess the effect of high-dose antenatal
vitamin D supplementation on fetal and neonatal calcium concentrations. METHODS:
In a double-blinded, placebo-controlled trial in Bangladesh, 160 pregnant women
were randomized to oral vitamin D3 (35,000 IU/wk) or placebo from 26 to 29 wk of
gestation. RESULTS: Total serum calcium (Ca) was higher in cord blood of those
supplemented vs. placebo (2.66 +/- 0.1 vs. 2.61 +/- 0.2 mmol/l; P = 0.04), but
the difference in albumin-adjusted calcium was not statistically significant.
Change in Ca concentration from birth to day 3 of life was attenuated by vitamin
D (-0.10 +/- 0.17) compared with placebo (-0.22 +/- 0.18 mmol/l; P = 0.02).
Maternal 25-hydroxyvitamin D (25(OH)D) (P = 0.04) and cord 25(OH)D (P < 0.01)
were associated with day 3 infant Ca, suggesting that the effect of
supplementation was mediated by change in maternal-infant vitamin D status. Six
infants in each of the supplemented and placebo groups had transient
hypercalcemia/hypercalcuria; in all the hypercalcemia/hypercalcuria was
asymptomatic, spontaneously resolved, and unassociated with nephrocalcinosis at 1
mo of life. CONCLUSION: High-dose antenatal third-trimester vitamin D
supplementation attenuated the early postnatal calcium nadir, without increasing
the risk of postnatal hypercalcemia.
PMID- 24937548
TI - Injectable poly(organophosphazene) hydrogel system for effective paclitaxel and
doxorubicin combination therapy.
AB - Combination therapy is an important option for gastric cancer which is the second
leading cause of cancer-related death worldwide. The administration schedule of
cell cycle-specific drugs, such as doxorubicin (DOX) and paclitaxel (PTX), is
important for therapeutic efficacy. However, to control the schedule is
clinically inconvenient. Additionally, in vitro cytotoxicity tests against human
gastric cancer cells (SNU-601) showed that the combination indices (CIs) of DOX
and PTX were 1.43 (alpha=0) and 1.90 (alpha=1), respectively, indicating that the
DOX and PTX interaction was antagonistic. Thus, based on the finding that the
release rate of drugs from poly(organophosphazene) (PPZ) hydrogel is dependent on
the hydrophobicity of the drugs, we used injectable PPZ hydrogel in combination
therapy. In vivo anticancer activity test in human gastric cancer cell
xenografted mice showed that intratumoral injection with aqueous PPZ solution,
containing DOX (15 mg/kg) and PTX (30 mg/kg), resulted in the highest tumor
inhibition and safety (no mortality for approximately 3 months) in the
experimental groups. Consequently, PPZ hydrogel is expected to be a promising
drug delivery system for cell cycle-specific drugs, facilitating the control of
their administration schedule for effective combination therapy.
PMID- 24937549
TI - Prediction of the structure of a silk-like protein in oligomeric states using
explicit and implicit solvent models.
AB - We perform Replica Exchange Molecular Dynamics (REMD) simulations on a silk-like
protein design with amino-acid sequence [(Gly-Ala)3-Gly-Glu]5 to investigate the
stability of a single protein, a dimer, a trimer and a tetramer made up of these
proteins starting from beta-roll and beta-sheet structures in both explicit
(TIP3P) and implicit (GBSA) solvent models. Our simulation results for the
implicit solvent model agree with those for the explicit solvent model for
simulation times up to the longest tested, being 30 ns per replica. From this we
infer that the implicit solvent model that we use is reliable, allowing us to
reach much longer time scales (up to 200 ns per replica). We find that the self
assembly of fibers of these proteins in solution must be a nucleated process,
involving nuclei made up of at least three monomers. We also find that the
conformation of the protein changes upon assembly, i.e., there is a transition
from a disordered globular state to an ordered beta-sheet structure in the self
assembled state of aggregates containing more than two monomers. This indicates
that autosteric effects must be important in the polymerization of this protein,
reminiscent of what is observed for beta-amyloids. Our findings are consistent
with recent experimental results on a protein with an amino acid sequence similar
to that of the protein we study.
PMID- 24937550
TI - Cyclone-induced rapid creation of extreme Antarctic sea ice conditions.
AB - Two polar vessels, Akademik Shokalskiy and Xuelong, were trapped by thick sea ice
in the Antarctic coastal region just to the west of 144 degrees E and between
66.5 degrees S and 67 degrees S in late December 2013. This event demonstrated
the rapid establishment of extreme Antarctic sea ice conditions on synoptic time
scales. The event was associated with cyclones that developed at lower latitudes.
Near the event site, cyclone-enhanced strong southeasterly katabatic winds drove
large westward drifts of ice floes. In addition, the cyclones also gave southward
ice drift. The arrival and grounding of Iceberg B9B in Commonwealth Bay in March
2011 led to the growth of fast ice around it, forming a northward protruding
barrier. This barrier blocked the westward ice drift and hence aided sea ice
consolidation on its eastern side. Similar cyclone-induced events have occurred
at this site in the past after the grounding of Iceberg B9B. Future events may be
predictable on synoptic time scales, if cyclone-induced strong wind events can be
predicted.
PMID- 24937552
TI - Editorial.
PMID- 24937551
TI - A treatment planning and delivery comparison of volumetric modulated arc therapy
with or without flattening filter for gliomas, brain metastases, prostate,
head/neck and early stage lung cancer.
AB - BACKGROUND: Flattening filter-free (FFF) beams are an emerging technology that
has not yet been widely implemented as standard practice in radiotherapy centers.
To facilitate the clinical implementation of FFF, we attempted to elucidate the
difference in plan quality and treatment delivery time compared to flattening
filter beams (i.e. standard, STD) for several patient groups. We hypothesize that
the treatment plan quality is comparable while the treatment delivery time of
volumetric modulated arc therapy (VMAT) is considerably shorter using FFF beams,
especially for stereotactic treatments. METHODS: A total of 120 patients treated
for head and neck (H&N) tumors, high-grade glioma, prostate cancer, early stage
lung cancer and intra-cranial metastatic disease (both single and multiple
metastases) were included in the study. For each cohort, 20 consecutive patients
were selected. The plans were generated using STD- and FFF-VMAT for both 6 MV and
10 MV, and were compared with respect to plan quality, monitor units and delivery
time using Wilcoxon signed rank tests. RESULTS: For H&N and high-grade gliomas,
there was a significant difference in homogeneity index in favor for STD-VMAT (p
< 0.001). For the stereotactic sites there were no differences in plan
conformity. Stereotactic FFF-VMAT plans required significantly shorter delivery
time compared to STD-VMAT plans (p < 0.001) for higher dose per fraction, on
average 54.5% for 6 MV and 71.4% for 10 MV. FFF-VMAT generally required a higher
number of MU/Gy (p < 0.001), on average 7.0% for 6 MV and 8.4% for 10 MV.
CONCLUSION: It was generally possible to produce FFF-VMAT plans with the same
target dose coverage and doses to organs at risk as STD-VMAT plans. Target dose
homogeneity tended to be somewhat inferior for FFF-VMAT for the larger targets
investigated. For stereotactic radiotherapy, FFF-VMAT resulted in a considerable
time gain while maintaining similar plan quality compared to STD beams.
PMID- 24937553
TI - Is there a role for psychiatry in deepening our understanding of the "suicide
bomber"?
PMID- 24937554
TI - The influence of neurocognitive dysfunctions on work capacity in schizophrenia
patients: a systematic review of the literature.
AB - Objective. The aim of the study was to review empirical studies of the influence
of neurocognitive dysfunctions on work capacity (i.e. the ability to obtain and
maintain competitive work as well as different aspects of work behaviour and
skills in relation to job requirements) in schizophrenia patients. An
investigation of the extent of symptoms compared to neurocognitive functioning on
work capacity in schizophrenia patients is included. Method. A literature search
for studies in the English language was made in the databases PubMed, PsycInfo
and EMBASE. Twenty-one studies were selected for thorough evaluation. Results.
Neurocognitive functioning influences employment, work rehabilitation, work
skills and work behaviour in various ways among schizophrenia patients. Moreover,
symptoms seem less important to work capacity than neurocognitive functioning.
Some guidelines can be drawn from the research studies to provide clinicians with
indicators for the assessment of neurocognitive functioning in relation to work
capacity and rehabilitation. Conclusions. Despite different methodological
limitations in the reviewed studies, evidence is presented for a crucial
relationship between neurocognitive functioning and work capacity among patients
with schizophrenia. At present, the evidence is strong enough to argue that the
individual neurocognitive profile should be taken into account before planning
work rehabilitation.
PMID- 24937555
TI - Partial compliance with antipsychotics and its impact on patient outcomes.
AB - Partial compliance with antipsychotic medications is a common and complex
phenomenon that is underestimated by physicians. The consequences of partial
compliance include an increased risk of relapse, rehospitalization and suicide
attempts. Stigma, negative attitudes towards medications, cognitive impairment
and diminished insight negatively impact treatment adherence. Oral atypical
antipsychotics may improve both insight and cognitive function, but compliance
with these agents is not assured. Depot conventional antipsychotics ensure
medication delivery but are associated with side-effects such as EPS and
dysphoria that decrease compliance. Long-acting atypicals provide significant
symptom improvement, foster adherence and may help achieve improvement in insight
and cognition. Addressing issues of partial and non-compliance is a significant
consideration in relapse prevention strategies for patients with schizophrenia,
given the devastating consequences associated with psychotic relapses.
PMID- 24937556
TI - Quetiapine demonstrates good tolerability and is associated with improvements in
extrapyramidal symptoms in patients with schizophrenia switched from other
antipsychotics: results of a naturalistic study.
AB - Objectives. Compared with conventional agents, atypical antipsychotics such as
quetiapine (Seroquel(r); AstraZeneca) show improved tolerability and a lower
liability to cause extrapyramidal symptoms (EPS). In the routine treatment of
schizophrenia, it is usual practice to consider a change of medication when the
current treatment is ineffective or poorly tolerated, although few studies are
available to guide clinicians. This paper reports the results from the Seroquel
Method. Patient Evaluation on Changing Treatment Relative to Usual Medication
(SPECTRUM) trial, a 12-week, open-label, noncomparative study that evaluated
clinical benefit and tolerability of switching patients with schizophrenia from
their existing antipsychotic to quetiapine. Patients were switched because of
intolerance to, or lack of efficacy with, their previous antipsychotic.
Quetiapine was titrated to 400 mg/day over 7 days, then dosed flexibly up to 750
mg/day over the remaining weeks (mean modal dose 505 mg/day). Results. In the
overall population of 506 evaluable patients, quetiapine was well tolerated, with
a low incidence of adverse events and minimal requirement for anticholinergic
medication. Significant improvements in EPS, including parkinsonism and
akathisia, were observed, irrespective of reason for switching, although greatest
improvements were observed in patients switching because of EPS. Conclusions.
This study provides further evidence for the utility and tolerability of
quetiapine, in patients with schizophrenia who had been switched from a previous
antipsychotic, following problems with efficacy or tolerability.
PMID- 24937557
TI - Efficacy and tolerability of quetiapine in cluster B personality disorder: an
open-label study.
AB - Objective. The aim of this open-label 8-week study was to assess the
effectiveness of quetiapine on aggressive behaviour and social dysfunctions in
patients suffering from a cluster B personality disorder (DSM-IV). Methods. The
visits were performed at baseline and at days 14, 28 and 56. After a standard
titration schedule, the patients received a dose augmented or reduced dose,
within a range from 50 to 400 mg/day during the visits, depending on efficacy and
tolerance. Assessment of efficacy was based on the French version of the Social
Disability and Aggression Scale SDAS (SDAS-21). Response was defined as a
decrease of >=50% reduction of the total scores compared to baseline.
Tolerability was assessed with the CGI, UKU, EPS-scales. Results and conclusion.
Eight of the 12 patients included received 200 mg/day quetiapine after titration
(all patients: 50-400 mg/day). At week 8, five out of 12 patients were responders
based on the SDAS-21 scores for the average expression of the symptoms, and six
out of 12 on the basis of SDAS-21 scores for the peak expression. There was a
significant correlation between weight change and total SDAS variation (Kendall's
taub= -0.644; p=0.02). These findings should be reexamined in further studies.
PMID- 24937558
TI - Effectiveness of antidepressants in the treatment of major depressive disorder in
Latin America.
AB - Objective. Painful physical symptoms occur frequently in patients with major
depressive disorder (MDD), and although numerous studies report the effect of
antidepressants on emotional aspects of depression, few focus on their effect on
physical symptoms. This observational study was conducted, in a clinical practice
setting, to determine antidepressant treatment decisions and their outcome on the
physical and emotional symptoms of MDD. Methods. Patients with a mean score >=2
for pain-related items on the Somatic Symptom Inventory (SSI) were classified
with painful physical symptoms (PPS +) and differentiated from the remaining
patients (PPS -). Severity of depression and physical pain were determined using
the 17-item Hamilton Depression Rating Scale (HAMD17) and Clinical Global
Impressions of Severity Scale (CGI-S), and Visual Analog Scale (VAS),
respectively. Results. At baseline, 72.6% of patients were PPS+. Compared to PPS-
patients, PPS +patients were, on average, significantly more depressed at
baseline (mean difference [95% CI]: HAMD17 4.6 [3.6, 5.5] and CGI-S 0.3 [0.2,
0.4]; all p<0.0001), and remained more depressed and in greater pain at endpoint
(HAMD17p=0.0074, CGI-S P =0.0151, and VAS P <0.0001). In addition, fewer PPS+
patients (65.8%) achieved remission (total HAMD17<=7) compared to PPS- patients
(74.6%, P =0.0180). Conclusions. Painful physical symptoms are prevalent in MDD
patients, highlighting the importance of addressing both the physical and
emotional symptoms of depression.
PMID- 24937559
TI - Alexithymia and anxiety sensitivity in Turkish depressive, anxiety and somatoform
disorder outpatients *.
AB - Objective. To assess the relations between anxiety sensitivity, and dimensions of
alexithymia in somatoform, anxiety and depressive disorder patients. Methods. The
sample consisted of 124 patients with the diagnosis of depressive, anxiety, or
somatoform spectrum disorders (DSM-IV). Toronto Alexithymia Scale (TAS-20), 16
item Anxiety Sensitivity Index (ASI), Hamilton Depression (HDRS), and Anxiety
(HAS) scales were used. Results. The total sample (n=124) was divided into three
diagnostic categories. There was one Depression Group (n=69). Due to small sample
sizes, diagnoses in anxiety and somatoform spectrum disorders were combined in
two relatively larger Anxiety (n=42) and Somatoform Groups (n=13) for statistical
purposes. No statistically significant difference was found in the TAS-20 total
or subscale scores between the three diagnostic groups. In all three diagnostic
groups, there was a strong and significant positive correlation between ASI and
TAS-20 total scores. In all three groups, there was a significant positive
correlation between TAS-20 Factor 1 and ASI. In the Depression and Somatoform
Groups, ASI scores were found to be significantly positively correlated with
scores on TAS-20 Factor 2. Conclusion. This study reveals that alexithymia does
not differentiate depressive, anxiety, or somatoform disorders, yet suggests a
functional relation with anxiety sensitivity on a subscale basis.
PMID- 24937560
TI - The sufficiency of the HAM-D6 as an outcome instrument in the acute therapy of
antidepressants in the outpatient setting.
AB - Objective. To re-analyse the Spanish outpatient study on the various versions of
the Hamilton Depression Scale (HAM-D) by using item-response theory models for
measuring unidimensionality. Methods. The Mokken analysis, based on the
coefficient of homogeneity, was used. A coefficient of 0.40 or higher was
accepted for unidimensionality. The three HAM-D versions were compared: HAM-D6
(core items of depression), HAM-D17 and HAM-D21. Results. In total, 113 of the
116 patients included in the study completed the planned treatment period of 6
weeks. As the Mokken analysis depends as much on the sample of patients under
examination (dispersion of scores on the item) as on the scale itself, the
endpoint was used. For HAM-D6, but not for HAM-D17 or HAM-D21, the coefficient of
homogeneity was acceptable (0.44). Conclusion. The HAM-D6 is a valid version of
the Hamilton Scale to be recommended for monitoring depressed patients in
antidepressant therapy in the outpatient setting.
PMID- 24937561
TI - Antipsychotic use in a first episode psychosis program.
AB - Objective. To conduct a naturalistic, longitudinal study of prescribing patterns
of atypical neuroleptics in predominantly drug-naive first episode non-affective
psychosis patients. Methods. Patients with a first episode psychosis were
prescribed an antipsychotic as part of a clinical protocol and followed-up for 2
years. Comparisons were made between risperidone and olanzapine, the two most
commonly prescribed antipsychotics. Socio-demographic variables and clinical
characteristics such as diagnosis, duration of untreated illness and psychosis
and level of positive and negative symptoms were assessed using well-established
methods. We examined the first antipsychotic given, starting dose, time taken to
start and to reach the maximum dose, time on first medication, maximum dose,
medication change and concomitant medication use. Results. One hundred and ninety
three consecutive patients consented to start on antipsychotic. The results are
provided for risperidone (N = 133) and olanzapine (N=38). The time to initiate
antipsychotic medications was significantly longer for outpatients than
inpatients. There were no differences between the two groups for time taken to
reach the maximum dose, drop out rates or concomitant medication use. The
percentage of patients taking an antipsychotic agent at any given time was high
(range 79-91%), but half of the patients had changed from their first
antipsychotic by 6 months. CONCLUSIONS: The reality of clinical practice can be
much different than rigid protocols or treatment algorithms of pre-marketing
studies or clinical trials. In this sample of first episode psychosis patients,
although the majority of patients remained on an antipsychotic, changes in
medication over the first 2 years were common. Polypharmacy was not a common
practice.
PMID- 24937562
TI - Prevalence of childhood attention deficit hyperactivity disorder in opiate
dependent adults.
AB - Background. There is a clear association between childhood attention deficit
hyperactivity disorder and substance use disorders in adulthood. Symptoms of
attention deficit disorder may also persist into adulthood. The study aimed to
determine the prevalence of childhood ADHD in a sample of treatment seeking
opiate-dependent adults. Methods. Treatment-seeking opiate-dependent subjects
completed the Utah adult ADHD screening test and the self-report early
delinquency scale. Results. A total of 15% were "likely" and 49 were "highly
likely" to have suffered ADHD in childhood. The averages scores for the
delinquency scales were over 6 times those reported from population norms.
Conclusion. Symptoms of childhood ADHD is common in adults with opiate
dependence. The residual symptoms in adults should be investigated as may be
amenable to newer treatments for adult attention deficit disorder.
PMID- 24937563
TI - Suicide attempts and domestic violence among women psychiatric inpatients.
AB - Objective. Previous clinical observations, as well as empirical studies in fairly
unique samples, suggest that there may be a relationship between domestic
violence victimization and suicide attempts. We wished to examine this
relationship among psychiatric women inpatients. Methods. In this study among
psychiatric inpatients, we compared women with versus without suicide attempts
with regard to scores on a measure of domestic violence. Results. Compared to
women without attempts, women with acknowledged histories of suicide attempts had
significantly higher scores on the measure of domestic violence. Conclusion.
These data support a relationship between domestic-violence victimization and a
history of suicide attempts. From the perspective of assessment, inpatient
clinicians need to carefully screen every patient with a history of suicide
attempts for a history of domestic violence.
PMID- 24937564
TI - Bispectral index for improving anaesthetic delivery and postoperative recovery.
AB - BACKGROUND: The use of clinical signs may not be reliable in measuring the
hypnotic component of anaesthesia. The use of bispectral index (BIS) to guide the
dose of anaesthetic may have certain advantages over clinical signs. This is the
second update of a review originally published in 2007. OBJECTIVES: The primary
objective of this review focused on whether the incorporation of BIS into the
standard practice for management of anaesthesia can reduce the risk of
intraoperative awareness, consumption of anaesthetic agents, recovery time and
total cost of anaesthesia in surgical patients undergoing general anaesthesia.
SEARCH METHODS: In this updated version, we searched the Cochrane Central
Register of Controlled Trials (CENTRAL) (The Cochrane Library 2013, Issue 1),
MEDLINE (1990 to 31 January 2013), EMBASE (1990 to 31 January 2013) and reference
lists of articles. Previously, we searched to May 2009. SELECTION CRITERIA: We
included randomized controlled trials comparing BIS with standard practice
criteria for titration of anaesthetic agents. DATA COLLECTION AND ANALYSIS: Two
authors independently assessed trial quality, extracted data and analysed the
data. We contacted study authors for further details. MAIN RESULTS: We included
36 trials. In studies using clinical signs as standard practice, the results
demonstrated a significant effect of the BIS-guided anaesthesia in reducing the
risk of intraoperative awareness among surgical patients at high risk for
awareness (7761 participants; odds ratio (OR) 0.24, 95% confidence interval (CI)
0.12 to 0.48). This effect was not demonstrated in studies using end tidal
anaesthetic gas (ETAG) monitoring as standard practice (26,530 participants; OR
1.13, 95% CI 0.56 to 2.26). BIS-guided anaesthesia reduced the requirement for
propofol by 1.32 mg/kg/hr (672 participants; 95% CI -1.91 to -0.73) and for
volatile anaesthetics (desflurane, sevoflurane, isoflurane) by 0.65 minimal
alveolar concentration equivalents (MAC) (95% CI -1.01 to -0.28) in 985
participants. Irrespective of the anaesthetics used, BIS reduced the following
recovery times: time for eye opening (2557 participants; by 1.93 min, 95% CI
2.70 to -1.16), response to verbal command (777 participants; by 2.73 min, 95% CI
-3.92 to -1.54), time to extubation (1501 participants; by 2.62 min, 95% CI -3.46
to -1.78), and time to orientation (373 participants; by 3.06 min, 95% CI -3.63
to -2.50). BIS shortened the duration of postanaesthesia care unit stay by 6.75
min (1953 participants; 95% CI -11.20 to -2.31) but did not significantly reduce
the time to home readiness (329 participants; -7.01 min, 95% CI -30.11 to 16.09).
AUTHORS' CONCLUSIONS: BIS-guided anaesthesia can reduce the risk of
intraoperative awareness in surgical patients at high risk for awareness in
comparison to using clinical signs as a guide for anaesthetic depth. BIS-guided
anaesthesia and ETAG-guided anaesthesia may be equivalent in protection against
intraoperative awareness but the evidence for this is inconclusive. In addition,
anaesthesia guided by BIS kept within the recommended range improves anaesthetic
delivery and postoperative recovery from relatively deep anaesthesia.
PMID- 24937566
TI - Cationic gold catalyst poisoning and reactivation.
AB - High gold affinity impurities (halides, bases) in solvents, starting materials,
filtration, or drying agents could affect the reactivity of gold catalyst
adversely, which may significantly reduce the TON of cationic gold-catalyzed
reactions. Use of a suitable acid activator (e.g., HOTf, In(OTf)3) reactivates
the gold catalyst and makes the reaction proceed smoothly at low gold catalyst
loading.
PMID- 24937565
TI - The billion cell construct: will three-dimensional printing get us there?
AB - How structure relates to function--across spatial scales, from the single
molecule to the whole organism--is a central theme in biology. Bioengineers,
however, wrestle with the converse question: will function follow form? That is,
we struggle to approximate the architecture of living tissues experimentally,
hoping that the structure we create will lead to the function we desire. A new
means to explore the relationship between form and function in living tissue has
arrived with three-dimensional printing, but the technology is not without
limitations.
PMID- 24937568
TI - Complete mitochondrial genome of a Asian lion (Panthera leo goojratensis).
AB - The entire mitochondrial genome of this Asian lion (Panthera leo goojratensis)
was 17,183 bp in length, gene composition and arrangement conformed to other
lions, which contained the typical structure of 22 tRNAs, 2 rRNAs, 13 protein
coding genes and a non-coding region. The characteristic of the mitochondrial
genome was analyzed in detail.
PMID- 24937567
TI - Identification of virulence determinants in influenza viruses.
AB - To date there is no rapid method to screen for highly pathogenic avian influenza
strains that may be indicators of future pandemics. We report here the first
development of an oligonucleotide-based spectroscopic assay to rapidly and
sensitively detect a N66S mutation in the gene coding for the PB1-F2 protein
associated with increased virulence in highly pathogenic pandemic influenza
viruses. 5'-Thiolated ssDNA oligonucleotides were employed as probes to capture
RNA isolated from six influenza viruses, three having N66S mutations, two without
the N66S mutation, and one deletion mutant not encoding the PB1-F2 protein.
Hybridization was detected without amplification or labeling using the intrinsic
surfaced-enhanced Raman spectrum of the DNA-RNA complex. Multivariate analysis
identified target RNA binding from noncomplementary sequences with 100%
sensitivity, 100% selectivity, and 100% correct classification in the test data
set. These results establish that optical-based diagnostic methods are able to
directly identify diagnostic indicators of virulence linked to highly pathogenic
pandemic influenza viruses without amplification or labeling.
PMID- 24937569
TI - The complete mitochondrial genome of the African palm civet, Nandinia binotata,
the only representative of the family Nandiniidae (Mammalia, Carnivora).
AB - Here I report the complete mitochondrial genome of the African palm civet,
(Nandinia binotata) as sequenced from overlapping PCR products. The genome is
17,103 bp in length and contains the 37 genes found in a typical mammalian
genome: 13 protein-coding genes, 22 transfer RNA genes and 2 ribosomal RNA genes.
The control region of N. binotata includes both RS2 and RS3 tandem repeats. The
overall base composition on the L-strand is A: 33.6%, C: 27.3%, G: 13.0%, and T:
26.1%.
PMID- 24937570
TI - The complete mitochondrial genome of cultivated radish WK10039 (Raphanus sativus
L.).
AB - We determined the complete nucleotide sequence of the mitochondrial genome of
radish cultivar WK10039 (Raphanus sativus L.). The total length of the mtDNA
sequence is 244,054 bp, with GC content of 45.3%. The radish mtDNA contains 82
protein-coding genes, 17 tRNA genes, and 3 rRNA genes. Among the protein-coding
genes, 34 encode proteins with known functions. There are two 5529 bp repeats in
the radish mitochondrial genome that may contribute to DNA recombination
resulting in at least three different forms of mtDNA in radish.
PMID- 24937571
TI - The complete mitochondrial genome of the Servaline Genet, Genetta servalina, the
first representative from the family Viverridae (Mammalia, Carnivora).
AB - Here I report the complete mitochondrial genome of the Servaline Genet, Genetta
servalina, as sequenced from overlapping PCR products. The genome is 16,938 base
pairs in length and contains the 37 genes found in a typical mammalian genome: 13
protein-coding genes, 22 transfer RNA genes and 2 ribosomal RNA genes. The
control region of G. servalina includes both RS2 and RS3 tandem repeats. The
overall base composition on the L-strand is A: 32.8%, C: 25.5%, G: 13.5%, and T:
28.2%.
PMID- 24937572
TI - The complete mitochondrial genome of Jinshaia sinensis (Teleostei, Balitoridae,
Balitorinae).
AB - Jinshaia sinensis is an endemic and typical fish which is successfully adaptive
to mountain torrents in the upper stream of the Yangtze River and its
tributaries. In this study, the complete mitogenome sequence of J. sinensis has
been first sequenced by DNA sequencing based on the PCR fragments. The
mitogenome, consisting of 16,567 base pairs (bp), had typical vertebrate
mitochondrial gene arrangement, including 13 protein coding genes, 22 transfer
RNAs, 2 ribosomal RNA genes and a noncoding control region (CR). The overall base
composition of J. sinensis is 30.37% A, 28.82% C, 16.59% G, and 24.22% T, with a
relatively a slight AT bias of 54.59%. CR of 903 bp length is located between
tRNA(Pro) and tRNA(Phe). The complete mitochondrial genome may provide
fundamental informative data not only for unravel the population structure and
differentiation, but also for further conservation genetics studies on this
balitorid species.
PMID- 24937573
TI - The complete mitochondrial genome of the Spotted Linsang, Prionodon pardicolor,
the first representative from the family Prionodontidae (Mammalia, Carnivora).
AB - The complete mitochondrial genome of the Spotted Linsang, Prionodon pardicolor,
was sequenced using overlapping PCR products. The genome is 16,718 base pairs in
length and contains the 37 genes found in a typical mammalian genome: 13 protein
coding genes, 22 transfer RNA genes and 2 ribosomal RNA genes. The overall base
composition on the L-strand is A: 32.4%, C: 25.0%, G: 13.9%, T: 28.7%. The
control region of P. pardicolor includes both RS2 and RS3 tandem repeats.
Phylogenetic analyses support a sister relationship with the Felidae.
PMID- 24937574
TI - Mitochondrial genome of Dinodon rufozonatum (Squamata: Colubridae: Dinodon).
AB - Dinodon rufozonatum is a species of nocturnal snake in the family Colubridae,
which is native to East Asia. In this study, we determined the complete
mitochondrial genome of D. rufozonatum. The results showed that the total length
of the mitogenome was 17,188 bp and contained 13 protein-coding genes, 22
transfer RNA genes, 2 ribosomal RNA genes, 2 control regions and 1 stem-loop
region. Overall base composition of the complete mitochondrial DNA was A
(34.37%), G (12.61%), C (28.24%), and T (24.78%), so the percentage of A and T
(59.15%) was slightly higher than G and C. All the genes in D. rufozonatum were
distributed on the H-strand, except for the ND6 subunit gene and ten transfer RNA
genes which were encoded on the L-strand.
PMID- 24937575
TI - The complete mitochondrial genome of the boky-boky, Mungotictis decemlineata, the
first representative of the Malagasy carnivores (Mammalia, Carnivora,
Eupleridae).
AB - The complete mitochondrial genome of the boky-boky, Mungotictis decemlineata, was
sequenced using overlapping PCRs. The genome is 16,910 base pairs in length and
contains the 37 genes found in a typical mammalian genome: 13 protein-coding
genes, 22 transfer RNA genes and 2 ribosomal RNA genes. The overall base
composition on the L-strand is A: 32.1%, C: 27.8%, G: 14.5%, T: 25.6%. The
control region of M. decemlineata includes both RS2 and RS3 tandem repeats.
PMID- 24937576
TI - Editorial.
PMID- 24937577
TI - DSM-IV Major Depressive Episode criteria: An evaluation of reliability and
validity across three different rating methods.
AB - This study examined the reliability and validity of the DSM-IV Major Depressive
Episode (MDE) symptoms in a sample of outpatients based on clinician ratings of
assessment interviews, videotape of these interviews, and chart information. A
group of 44 patients admitted to a university based outpatient community clinic
were rated by trained clinicians on the nine MDE symptom criteria (A1-A9).
Patients also completed a self-report measure of depressive symptomatology.
Interrater reliability was found to be highest between the interview and
videotape ratings. Conversely, when comparing chart ratings with those made from
either interview or videotape, reliability for many of the individual criteria
were found to be in the poor range of reliability. Good internal consistency was
found for both the interview and videotape ratings, while internal consistency
was less adequate for chart-based ratings. However, the three MDE ratings
converge on a single dimension in a similar manner regardless of which source
(i.e. interview, videotape, or chart) provided the rating. Additional analyses
revealed a consistent relationship between the three MDE ratings (interview,
videotape, and chart) with measures of patient reported depressive symptoms that
exceeded the relationship between these scores with global levels/severity of
psychopathology. The effects of longer interviews as well as examining depressive
symptoms within the context of interpersonal functioning is discussed regarding
the reliable assessment of depressive symptomatology in the mild to moderate
range of severity. (Int J Psych Can Pract 2004; 8: 3-10).
PMID- 24937578
TI - Adaptation of revised Brief PHQ (Brief-PHQ-r) for diagnosis of depression, panic
disorder and somatoform disorder in primary healthcare settings.
AB - OBJECTIVE: The aim of this study was to determine the diagnostic accuracy of the
Turkish version of the revised Brief Patient Health Questionnaire (Brief PHQ-r)
in depression, panic disorder and somatoform disorder, in primary care settings.
METHODS: This was a cross-sectional study with blinded psychiatric evaluation.
The study was conducted at three sites which provide primary health services.
Total number of the participants was 1556. Of these, 1387 comprised the analysis
population. Diagnoses of depression, panic disorder and somatoform disorder made
according to the patient's responses to the questions on Brief PHQ-r form were
compared with the diagnoses made by psychiatrists using DSM-W. RESULTS:
Diagnostic performance parameters of Brief PHQ-r were calculated. Main results
are as follows: for any diagnosis k = 0.567, sensitivity 79.0%, specificity
82.9%; for major/minor depressive disorder k = 0.536, sensitivity 76.0%,
specificity 85.3%; for panic disorder k = 0.640, sensitivity 74.4%, specificity
98.4% and for somatoform disorder k = 0.476, sensitivity 61.9% and specificity
92.5%. CONCLUSIONS: Diagnostic performance of Brief PHQ-r was found to be quite
good in the diagnosis of major/minor depressive disorder, panic disorder and
somatoform disorder, in primary healthcare settings. We recommend its use in
routine clinical practice in order to help primary healthcare physicians, and
also infield surveys on psychiatric disorders. Qnt] Psych Clin Pract 2004; 8: 11
18).
PMID- 24937579
TI - Comparison between paroxetine and behaviour therapy in patients with
posttraumatic stress disorder (PTSD): A pilot study.
AB - Antidepressants and cognitive-behavioural therapy (CBT) have been reported to
decrease severity of psychopathology in PTSD-patients. To date, no study has been
carried out which compares psychopharmacolo-gical and psychotherapeutic
treatments. In a randomized pilot study, PTSD-patients were treated either with
paroxetine or CBT. Diagnoses were made by structured clinical interviews (ADIS,
CAPS). The duration of treatment was 3 months; the paroxetine dosage was 10-50
mg; exposure and cognitive restructuring were the main elements in cognitive
behavioural therapy. Twenty-one patients were included. Drop-outs in both groups
occurred within the first 2 weeks. Paroxetine and CBT significantly decreased
PTSD-symptoms (CAPS) as well as concurrent depression (MADRS) after 3 months
treatment. At 6 month follow-up, symptoms of PTSD had slightly increased in the
paroxetine group and further decreased in the cognitive-behavioural therapy
group. (Int J Psych Clin Pract 2004; 8: 19-23).
PMID- 24937580
TI - Does having offspring reduce the risk of suicide in women?
AB - OBJECTIVES: To assess the effect of having offspring, dependant offspring (<18
years), non-dependant offspring (> 18 years) and no offspring on suicide rates in
women. Also to ascertain whether the offspring living at home or away from home
altered the outcome. METHODS: Sixty records held by the coroner of female
suicides in Rotherham over a 10-year period (1991-2001) were studied. The 1991
Census for South Yorkshire and the Office For National Statistics (England and
Wales) provided comparative data for the general population. Data obtained for
both groups included the age of the woman, number of children, age of children,
whether the children lived with their mother or away from home. The results were
subjected to chi-squared statistical analysis. RESULTS: There was no difference
in the percentage of childless women when comparing the female suicide population
of Rotherham with the general female population. However, females with offspring
living at home regardless of age were significantly underrepresented in our
sample (P < 0.001). CONCLUSION: The protective effect offspring confer on their
mother against suicide appears to operate so long as the offspring lives at home
irrespective of off spring's age. The protective effect appears to be lost once
they leave home. These findings are discussed in the context of the kin selection
hypothesis and deCatanzaro's Darwinian hypothesis on human suicide. (Int J Psych
Clin Pract 2004; 8: 25-29).
PMID- 24937581
TI - Oxcarbazepine: Efficacy, safety, and tolerability in the treatment of mania.
AB - A twelve-week study examined the efficacy, safety, and tolerability of
oxcarbazepine in the treatment of mania. Twenty male subjects, all of whom were
stable on valproic acid, qualified for the study. The conversion from valproic
acid to oxcarbazepine was completed over a three-week period. Scores from the
BPRS, CGI and SAJEE-GI were collected and analyzed. The results of the study
supported the efficacy, safety, and tolerability of oxcarbazepine for the
treatment of mania. Another potential advantage of oxcarbazepine is a metabolic
pathway that may reduce drug interactions. Qnt] Psych Can Pract 2004; 8: 37-40).
PMID- 24937582
TI - Antipsychotic drug use pattern for patients with schizophrenia in an outpatient
clinic in Turkey: A retrospective study.
AB - INTRODUCTION: Pharmacotherapy for schizophrenia is a dynamic process that can
change over time. The goal of this study was to examine the pattern of
antipsychotic drug (APD) use for patients with schizophrenia in an outpatient
clinic. METHOD: We evaluated the medication use pattern in patients with
schizophrenia treated with antipsychotic drugs in an outpatient clinic in a
period of 4 years. Patients who used any antipsychotic medication stably for at
least 6 months were included in the study in this period. Patients who had been
noncompliant to the treatment or did not come to the clinic again were excluded.
Patients' records were reviewed in respect to the use of APD as an initial
treatment, the duration of a single or combined APD use, the changing of APD
regimen, and adding of a new APD or other adjuvant drugs to APDs. RESULTS: A
total of 152 patients diagnosed with schizophrenia were treated during the study
period, of whom 60 (39.5%) maintained the treatment with compliance for at least
6 months. Mean duration of the treatment was 15.8 (+10.7) months (range 6-48).
The most commonly used antipsychotic drugs were atypical agents (in 68.3% of
patients), and depot neuroleptics were used in the 46.7% of patients more often
than any other antipsychotic drug at any time of the treatment. Two or more
antipsychotic drugs were used at some time during this period in 24 patients
(40.0%). Of all patients, 45.0% had their drug regimen changed: medication
regimen was changed once in 28.3% patients and two to four times in 16.7%
patients. The longer the duration of treatment, the more patients had their
medication changed. Most added drugs were typical ones (16.7%). Of all patients,
48 (80.0%) had used adjuvant drugs at any time during the treatment. There were
no differences between the use of combined drug, medication changing and
demographic variables of the patients. CONCLUSION: The results of this study
support previous reports of the frequent use of atypical antipsychotic drugs,
combination antipsychotic therapy, and adjuvant drugs in clinical practice.
Medication switching is more common in the treatment of patients with
schizophrenia. Prospective controlled trials are needed to determine whether
combination antipsychotic therapy or switching medication regimen is clinically
beneficial and to provide guidelines on when and for whom that should be
considered. (Int J Psych Clin Pract 2004; 8: 41-45).
PMID- 24937583
TI - An open-label clinical trial of milnacipran in fibromyalgia syndrome with co
morbid depressive symptoms.
AB - OBJECTIVE: To evaluate the efficacy of the serotonin and noradrenaline reuptake
inhibiting antidepressant, milnacipran, in patients with fibromyalgia syndrome
and co-morbid depression. METHODS: Twenty patients with fibromyalgia syndrome and
co-morbid depressive symptoms were treated with the serotonin and noradrenaline
reuptake inhibitor, milnacipran, in an open label study. The initial dose of
milnacipran was 30 mg/day which could be increased as needed up to 100 mg/day.
Patients were evaluated at baseline and after 4, 8 and 12 weeks of treatment.
Pain level and global symptomatology were determined using visual analogue
scales. Pain was also accessed by use of the face scale, while the severity of
depression was determined using the Zung self-rating depression scale. RESULTS:
Two patients withdrew because of persistent nausea. Pain and general
symptomatology were significantly improved at the end of the study, with five
patients having a reduction in pain of greater than 50%. Post-hoc analysis showed
that the 11 patients who were no longer depressed at the end of the study had the
greatest improvement in pain and overall FMS symptomatology. CONCLUSION: The data
suggest that milnacipran may be effective in the treatment of FMS, especially
when associated with depression. Qnt] Psych Clin Pract 2004; 8: 47-51).
PMID- 24937584
TI - What is the usual length of stay in a psychiatric ward?
AB - INTRODUCTION: Admission statistics can provide valuable data for planning and
policy in the NHS. However, there has been little agreement about meaningful ways
of summarising and expressing such data. We set out to (i) explore the
distribution of length of inpatient stay and to examine the most appropriate
measure of central tendency; and (ii) examine the relationship between severity
of mental illness and length of stay. METHOD: Length of stay was recorded for a
12-month cohort of psychiatric admissions to a district general hospital
psychiatric unit. These data were compared with a previous cohort study and also
with DoH statistics. RESULTS: Length of inpatient stay followed an exponential
decay curve. The median length of stay for all three cohorts examined was
approximately 15 days. Absence of serious mental illness was significantly
associated with shorter length of stay. CONCLUSION: Length of stay is not
normally distributed and so the median value is the preferred measure of central
tendency. All cohorts examined showed a median value of around 15 days. Large
numbers of patients are admitted for a week or less which has implications for
inpatient and community services. Qnt] Psych Clin Pract 2004; 8: 53-56).
PMID- 24937585
TI - Physical examination performed by psychiatrists.
AB - Too little, too late? Physical examinations performed by trainee psychiatrists on
newly admitted psychiatric patients. OBJECTIVES: To assess the comprehensiveness
of the physical examination carried out by psychiatric trainees on acute in
patient units. To quantify delays in undertaking physical examination on
psychiatric inpatients. METHOD: A prospective case note study of 60 consecutive
admissions to acute psychiatric wards in North Staffordshire. Information
regarding demography, details of physical examination and routine blood
investigations was collected. RESULTS: The case notes of 60 inpatients were
studied. Mean age was 38.7 years and the sex ratio equal. A delay in performing a
physical occurred in 17 (28.8%) patients. No explanation for a delay was given in
six (10.0%) cases. The mean time to physical examination from admission was 61.8
h (range 0-612 h). The standard of physical examination was variable. The central
nervous system (CNS) was reported as 'grossly intact' in six (10.2%) cases with
only 34 (57.6%) of patients having a comprehensive CNS examination. CONCLUSIONS:
Psychiatric patients are not receiving a comprehensive physical examination.
Whilst the patient's ability to co-operate may account for a delay in the
examination, it is unlikely to be the reason for the CNS being examined in just
half the patients. Opportunities to reduce the physical morbidity associated with
mental illness may be being lost. (MJ Psych Clin Pract 2004; 8: 57-60).
PMID- 24937586
TI - Major depression versus dysthymia: Comorbid psychiatric disorders, psychotropic
medication patterns, and psychotherapy sessions.
AB - The objective of this study was to examine, among those with major depression
versus dysthymia, relationships with comorbid psychopathol-ogy, patterns of
psychotropic medication prescription, and number of psychotherapy sessions
utilized. Using a retrospective approach, a single investigator reviewed the
medical records of individuals diagnosed with major depression or dysthymia
(those with both disorders were excluded from analysis) in an adult, university
based, outpatient psychiatry clinic. Records were examined for demographic
information, Axis I and II diagnoses, number and type of psychotropic
medications, and number of psychotherapy sessions. There were no demographic
differences between groups. Compared to those with major depression, individuals
with dysthymia had a similar number of Axis I diagnoses, but were significantly
more likely to be diagnosed with a personality disorder. Those with major
depression were prescribed a significantly greater number of psychotropic
medications, and all seven patients who received antipsychotics were diagnosed
with major depression. Finally, there were no between-group differences in the
number of psychotherapy sessions. These data indicate that, compared with major
depression, dysthymia may have significantly greater Axis II, but not Axis I,
psychiatric comorbidity. The implications of greater psychotropic medication
prescription among the subsample with major depression, and similar psychotherapy
utilization between groups, is discussed. Qnt] Psych Clin Pract 2004; 8: 61-63).
PMID- 24937587
TI - Psychotherapy and counselling in practice, a narrative framework.
PMID- 24937588
TI - Wine: a scientific exploration.
PMID- 24937589
TI - MCQs in Psychiatry.
PMID- 24937590
TI - Presumed curable: an illustrated casebook of victorian psychiatric patients in
bethlem hospital.
PMID- 24937592
TI - Nerve growth factor promotes killing of Leishmania donovani by macrophages
through the induction of hydrogen peroxide.
AB - Visceral leishmaniasis is protozoonosis that occurs worldwide and still requires
effective therapies with less toxicity. In this study, we examined the
antileishmanial effect of nerve growth factor (NGF) using a murine infection
model. NGF blocked the infection of macrophages by Leishmania donovani, which was
completely cancelled by a hydrogen peroxide inhibitor. In vivo, not only did NGF
show antileishmanial effects, but combination therapy of NGF and sodium
stibogluconate synergistically exhibited the activity more potently than each
monotherapy. These results indicate that NGF exerts antileishmanial effect by
stimulating hydrogen peroxide production in macrophages and can be a novel
therapy for leishmaniasis.
PMID- 24937591
TI - Microvescicles derived from mesenchymal stromal cells are not as effective as
their cellular counterpart in the ability to modulate immune responses in vitro.
AB - Mesenchymal stromal cells (MSCs) are multipotent cells that possess broad
immunomodulatory properties; the mechanisms underlying these properties have not
been completely clarified. Aim of this study was to compare in vitro
immunomodulatory effects of MSCs with those of microvesicles (MVs) released in
supernatants from the same MSCs. MSCs were generated from bone marrow of 12
healthy donors (HDs) and MVs were isolated from their supernatant by serial
ultracentrifugation according to two different procedures. Both MSCs and MVs were
characterized by flow cytometry and incubated in vitro with peripheral blood
mononuclear cells (PBMCs) of 12 HDs after stimulation with PHA and CpG. Growth
factors and cytokines were quantified by ELISA. MVs were identified as 0.1-1 MUm
particles positive for CMFDA, CD107, and CD13. MSCs were significantly more
capable to inhibit in vitro PHA-induced T-cell proliferation as compared with the
corresponding MVs (P<0.01 and P<0.05 for MSC:PBMC ratio 1:2 and 1:10,
respectively). While MVs displayed similar inhibitory activity on B-cell
proliferation (P=0.43 as compared with PBMCs/CpG/MSCs; MSC:PBMC ratio 1:10) they
induced lower inhibitory effect on plasmacell differentiation and antibody
secretion (P<0.05 as compared with PBMCs/CpG/MSCs). For both T and B cells, MSC
co-colture induced a statistically significant increase in IL-10 and TGFbeta and
decrease of GM-CSF and IFNgamma, as compared with MV incubation. Our data
indicate a lower in vitro immunomodulatory effect of MVs on T-cell proliferation
and antibody formation, as compared with their cellular counterpart. The relative
clinical benefit of either MSCs or MVs needs to be compared in proper prospective
studies.
PMID- 24937594
TI - Distal posterior inferior cerebellar artery aneurysms: clinical features and
outcome of 80 patients.
AB - OBJECTIVE: Aneurysms of the posterior inferior cerebellar artery (PICA) distal to
its origin are rare. Beside their rarity, their treatment is challenged by a high
proportion of fusiform aneurysms, torturous course of PICA, and often severe
bleeding. Our aim is to represent the characteristics of these aneurysms and
their treatment, as well as to analyze outcome. METHODS: We reviewed
retrospectively 80 patients with PICA aneurysms who were treated at the
Department of Neurosurgery, Helsinki, Finland. RESULTS: The 80 patients had
altogether 91 distal PICA aneurysms. Subarachnoid hemorrhage occurred in 74
(93%), and the distal PICA aneurysm was ruptured in 68 (85%). Compared with
aneurysms at other locations, distal PICA aneurysms were smaller, more often
fusiform, and more often caused an intraventricular hemorrhage as well as
rebleeding. Modified surgical techniques (trapping, wrapping, proximal occlusion,
resection, coagulation) were used in 10 (32%) fusiform and in 3 (6%) saccular
aneurysms. Revascularization was needed in 3 (4%) cases. One aneurysm was
primarily embolized. Within a year after aneurysm diagnosis, 18 patients had
died. Among survivors, most returned to independent or previous state of living:
52 (91%); only 1 patient was unable to return home. CONCLUSIONS: Microsurgery is
a feasible treatment for distal PICA aneurysms. Despite the challenge of often
severe hemorrhage, wide-necked aneurysms, and some risk for laryngeal palsy, most
patients surviving the initial stage return to normal life. Because of the
greater number of rebleedings than for aneurysms at other locations, immediate
treatment is crucial.
PMID- 24937593
TI - A var gene upstream element controls protein synthesis at the level of
translation initiation in Plasmodium falciparum.
AB - Clonally variant protein expression in the malaria parasite Plasmodium falciparum
generates phenotypic variability and allows isogenic populations to adapt to
environmental changes encountered during blood stage infection. The underlying
regulatory mechanisms are best studied for the major virulence factor P.
falciparum erythrocyte membrane protein 1 (PfEMP1). PfEMP1 is encoded by the
multicopy var gene family and only a single variant is expressed in individual
parasites, a concept known as mutual exclusion or singular gene choice. var gene
activation occurs in situ and is achieved through the escape of one locus from
epigenetic silencing. Singular gene choice is controlled at the level of
transcription initiation and var 5' upstream (ups) sequences harbour regulatory
information essential for mutually exclusive transcription as well as for the
trans-generational inheritance of the var activity profile. An additional level
of control has recently been identified for the var2csa gene, where an mRNA
element in the 5' untranslated region (5' UTR) is involved in the reversible
inhibition of translation of var2csa transcripts. Here, we extend the knowledge
on post-transcriptional var gene regulation to the common upsC type. We
identified a 5' UTR sequence that inhibits translation of upsC-derived mRNAs.
Importantly, this 5' UTR element efficiently inhibits translation even in the
context of a heterologous upstream region. Further, we found var 5' UTRs to be
significantly enriched in uAUGs which are known to impair the efficiency of
protein translation in other eukaryotes. Our findings suggest that regulation at
the post-transcriptional level is a common feature in the control of PfEMP1
expression in P. falciparum.
PMID- 24937595
TI - Clinical factors predicting outcomes after surgical resection for sporadic
cerebellar hemangioblastomas.
AB - OBJECTIVE: To determine whether various clinical factors are related to long-term
outcomes of patients with sporadic cerebellar hemangioblastomas. METHODS:
Subjects included 36 patients (19 men and 17 women) who underwent resection of
sporadic hemangioblastoma in the cerebellum. Age at surgery ranged from 17-79
years (mean, 49.7 years). The tumor size, which was defined as the largest
diameter of the lesion including the extratumoral cyst, ranged from 10-67 mm
(mean, 36.4 mm). Obstructive hydrocephalus secondary to mass effect on the fourth
ventricle was present in 21 (58.3%) patients preoperatively. RESULTS: Total tumor
removal was achieved in 31 of 36 patients (86%). In 4 (11%) patients with solid
tumors, postoperative hematoma occurred in the removal cavity, and hematoma
removal was required immediately after surgery. We followed 30 patients for >12
months after the initial surgery (mean, 72.9 months; range, 12-274 months).
Recurrence of hemangioblastoma developed in 4 of 30 patients (13%) at 6 months,
17 months, 6 years, and 22 years after surgery. At the final follow-up
examination, 9 (30%) of 30 patients showed some residual neurologic symptoms
(poor group), whereas the remaining 21 patients showed no deficits (good group).
Using univariate analysis, both age at surgery and tumor characteristics (cystic
or solid) were significantly related to long-term patient outcomes (P < 0.05).
However, in a multiple logistic regression analysis, only tumor characteristics
were correlated with outcomes (P = 0.017). At the final follow-up examination,
patients with solid tumors more frequently showed poor outcomes than patients
with cystic tumors. CONCLUSIONS: The solid configuration observed on preoperative
images of sporadic cerebellar hemangioblastomas is one of the most important
clinical factors related to both immediate and long-term outcomes after surgery.
PMID- 24937596
TI - Biomechanical analysis of disc pressure and facet contact force after simulated
two-level cervical surgeries (fusion and arthroplasty) and hybrid surgery.
AB - OBJECTIVE: The objective of this study was designed to compare 2-level cervical
disc surgery (2-level anterior cervical discectomy and fusion [ACDF] or disc
arthroplasty) and hybrid surgery (ACDF/arthroplasty) in terms of postoperative
adjacent-level intradiscal pressure (IDP) and facet contact force (FCF). METHODS:
Twenty-four cadaveric cervical spines (C3-T2) were tested in various modes,
including extension, flexion, and bilateral axial rotation, to compare adjacent
level IDP and FCF after specified treatments as follows: 1) C5-C6 arthroplasty
using ProDisc-C (Synthes Spine, West Chester, Pennsylvania, USA) and C6-C7 ACDF,
2) C5-C6 ACDF and C6-C7 arthroplasty using ProDisc-C, 3) 2-level C5-C6/C6-C7 disc
arthroplasties, and 4) 2-level C5-C6/C6-C7 ACDF. IDPs were recorded at anterior,
central, and posterior disc portions. RESULTS: After 2-level cervical arthrodesis
(ACDF), IDP increased significantly at the anterior annulus of distal adjacent
level disc during flexion and axial rotation and at the center of proximal
adjacent-level disc during flexion. In contrast, after cervical specified
treatments, including disc arthroplasty (2-level disc arthroplasties and hybrid
surgery), IDP decreased significantly at the anterior annulus of distal adjacent
level disc during flexion and extension and was unchanged at the center of
proximal adjacent-level disc during flexion. Two-level cervical arthrodesis also
tended to adversely impact facet loads, increasing distal rather than proximal
adjacent-level FCF. CONCLUSION: Both hybrid surgery and 2-level arthroplasties
seem to offer significant advantages over 2-level arthrodesis by reducing IDP at
adjacent levels and approximating FCF of an intact spine. These findings suggest
that cervical arthroplasties and hybrid surgery are an alternative to reduce IDP
and facet loads at adjacent levels.
PMID- 24937597
TI - Intraventricular craniopharyngiomas: surgical management and outcome analyses in
24 cases.
AB - OBJECTIVE: Pure intraventricular craniopharyngioma is a rare subtype of
craniopharyngioma that attaches frequently to the hypothalamus. The main
challenge in tumor removal is protection of hypothalamic structure. The aim of
our study was to set up a feasible risk-evaluation approach to help the surgeons
make an individual treatment plan. METHODS: We reviewed retrospectively 24
patients with pure intraventricular craniopharyngioma who underwent surgical
therapy. Third ventricular deformation and thalamic attachment of the tumor were
assessed by preoperative magnetic resonance imaging and intraoperative
inspection. Correlations between the outcome, extent of removal, and different
attachment grades were analyzed. RESULTS: Preoperative magnetic resonance imaging
grade had a significant correlation with functional outcome. Attachment grade was
significantly correlated with endocrine, functional, and radiologic outcome. In
the gross total removal (GTR) group, significantly more newly developed
endocrinologic deficits were observed compared with patients who underwent
subtotal removal (STR). All 6 GTR cases with the greatest attachment grade (grade
2) acquired newly developed endocrine insufficiency. CONCLUSIONS: Attachment and
deformation grade evaluated based on our criteria are significantly correlated
with postoperative outcome. GTR of tightly attached tumor is associated with
worse endocrinologic, functional, and radiologic outcomes compared with STR.
Hence, we suggest that individual surgical plans should be made according to the
grade of tumor attachment and hypothalamic deformation. STR should used in tumors
with a high grade to achieve a good long-term outcome and avoid severe
postoperative sequelae.
PMID- 24937598
TI - Spinal instrumentation in patients with primary spinal infections does not lead
to greater recurrent infection rates: an analysis of 118 cases.
AB - OBJECTIVE: We sought to compare outcomes between patients undergoing
decompression only versus decompression and instrumented fusion for primary
spinal infections. METHODS: Records of patients with a diagnosis of primary
spinal infection who underwent surgical management at our institution during a 10
year period were reviewed. Patients were divided into 2 cohorts based on
treatment received (decompression alone vs. decompression and instrumentation).
Our primary end points were complication rates, need for reoperation, recurrent
infections, and resolution of symptom(s) at last follow-up. RESULTS: A total of
118 patients underwent surgical management for primary spinal infections. Thirty
five patients presented with a cervical spine infection, 40 with a thoracic
infection, and 43 with a lumbosacral infection. The mean age at presentation was
57.1 +/- 13.5 years. Thirty-six patients underwent only decompression, and 82
underwent decompression and instrumented fusion. In the decompression-only group,
8.33% of patients had continued osteomyelitis/discitis compared with 9.76% of
patients in the instrumented group (P = 0.807). Importantly, the reoperation rate
was also similar between the decompression-only group (19.44%) and the
instrumented group (17.07%; P = 0.756). Similarly, subanalyses based on infection
location revealed no significant increase in rates of recurrent infection or
reoperation in patients who underwent instrumentation. CONCLUSION: Patients who
received just decompression for spinal infection had similar reoperation and
continued infection rates as patients who additionally underwent instrumentation,
irrespective of infection location within the spine. These findings suggest that
instrumentation of the infected spine may be a safe treatment modality and should
be considered when the spinal integrity is compromised.
PMID- 24937599
TI - Endoscopic resection of a giant intradural retroclival ecchordosis physaliphora:
surgical technique and literature review.
AB - OBJECTIVE: To report the first complete resection of a giant ecchordosis
physaliphora using an endoscopic transclival approach and to provide a current
review of the literature. METHODS: This rare benign lesion, originating from
embryonic notochordal remnants, was located in the prepontine cistern of a 63
year-old man presenting with progressive tremor and imbalance. Preoperative
imaging demonstrated a 2.1-cm intradural lesion abutting the pons and basilar
artery and extending through the dura mater. RESULTS: A gross total resection was
successfully achieved endoscopically without neurovascular compromise or
additional complications. Postoperative histopathologic examination was
consistent with a diagnosis of giant ecchordosis physaliphora. CONCLUSIONS: An
endoscopic endonasal transclival approach provided a direct, minimally invasive
route for safe and complete resection of this rare prepontine tumor, as it has
for similarly located skull base chordomas. Our experience highlights the utility
of endoscopy in visualization of both pathologic entities and nearby critical
neurovascular structures in the management of ecchordosis physaliphora and other
cranial base neoplasms.
PMID- 24937600
TI - Aneurysms associated with arteriovenous malformations: classification and risk
estimation.
PMID- 24937601
TI - Cerebellomedullary fissure dissection and tonsillar mobilization: a gateway to
lesions around the medulla.
PMID- 24937603
TI - High-mobility group box 1 (HMGB1) impaired cardiac excitation-contraction
coupling by enhancing the sarcoplasmic reticulum (SR) Ca(2+) leak through TLR4
ROS signaling in cardiomyocytes.
AB - High-mobility group box 1 (HMGB1) is a proinflammatory mediator playing an
important role in the pathogenesis of cardiac dysfunction in many diseases. In
this study, we explored the effects of HMGB1 on Ca(2+) handling and cellular
contractility in cardiomyocytes to seek for the mechanisms underlying HMGB1
induced cardiac dysfunction. Our results show that HMGB1 increased the frequency
of Ca(2+) sparks, reduced the sarcoplasmic reticulum (SR) Ca(2+) content, and
decreased the amplitude of systolic Ca(2+) transient and myocyte contractility in
dose-dependent manners in adult rat ventricular myocytes. Inhibiting high
frequent Ca(2+) sparks with tetracaine largely inhibited the alterations of SR
load and Ca(2+) transient. Blocking Toll-like receptor 4 (TLR4) with TAK-242 or
knockdown of TLR4 by RNA interference remarkably inhibited HMGB1 induced high
frequent Ca(2+) sparks and restored the SR Ca(2+) content. Concomitantly, the
amplitude of systolic Ca(2+) transient and myocyte contractility had
significantly increased. Furthermore, HMGB1 increased the level of intracellular
reactive oxygen species (ROS) and consequently enhanced oxidative stress and
CaMKII-activated phosphorylation (pSer2814) in ryanodine receptor 2 (RyR2). TAK
242 pretreatment significantly decreased intracellular ROS levels and oxidative
stress and hyperphosphorylation in RyR2, similar to the effects of antioxidant
MnTBAP. Consistently, MnTBAP normalized HMGB1-impaired Ca(2+) handling and
myocyte contractility. Taken together, our findings suggest that HMGB1 enhances
Ca(2+) spark-mediated SR Ca(2+) leak through TLR4-ROS signaling pathway, which
causes partial depletion of SR Ca(2+) content and hence decreases systolic Ca(2+)
transient and myocyte contractility. Prevention of SR Ca(2+) leak may be an
effective therapeutic strategy for the treatment of cardiac dysfunction related
to HMGB1 overproduction.
PMID- 24937602
TI - Controlling osteogenic stem cell differentiation via soft bioinspired hydrogels.
AB - Osteogenic differentiation of human mesenchymal stem cells (hMSCs) is guided by
various physical and biochemical factors. Among these factors, modulus (i.e.,
rigidiy) of the ECM has gained significant attention as a physical osteoinductive
signal that can contribute to endochondral ossification of a cartilaginous
skeletal template. However, MSCs also participate in intramembranous bone
formation, which occurs de novo from within or on a more compliant tissue
environment. To further understand the role of the matrix interactions in this
process, we evaluated osteogenic differentiation of hMSCs cultured on low moduli
(102, 390 or 970 Pa) poly(N-isopropylacrylamide) (p(NIPAAm)) based semi
interpenetrating networks (sIPN) modified with the integrin engaging peptide bsp
RGD(15) (0, 105 or 210 uM). Cell adhesion, proliferation, and osteogenic
differentiation of hMSCs, as measured by alkaline phosphatase (ALP), runt-related
transcription factor 2 (RUNX2), bone sialoprotein-2 (iBSP), and osteocalcien
(OCN) protein expression, was highest on substrates with the highest modulus and
peptide concentrations. However, within this range of substrate stiffness, many
osteogenic cellular functions were enhanced by increasing either the modulus or
the peptide density. These findings suggest that within a compliant and low
modulus substrate, a high affinity adhesive ligand serves as a substitute for a
rigid matrix to foster osteogenic differentiation.
PMID- 24937605
TI - Hydrolytic enzymes conjugated to quantum dots mostly retain whole catalytic
activity.
AB - BACKGROUND: Tagging a luminescent quantum dot (QD) with a biological like enzyme
(Enz) creates value-added entities like quantum dot-enzyme bioconjugates
(QDEnzBio) that find utility as sensors to detect glucose or beacons to track
enzymes in vivo. For such applications, it is imperative that the enzyme remains
catalytically active while the quantum dot is luminescent in the bioconjugate. A
critical feature that dictates this is the quantum dot-enzyme linkage chemistry.
Previously such linkages have put constraints on polypeptide chain dynamics or
hindered substrate diffusion to active site, seriously undermining enzyme
catalytic activity. In this work we address this issue using avidin-biotin
linkage chemistry together with a flexible spacer to conjugate enzyme to quantum
dot. METHODS: The catalytic activity of three biotinylated hydrolytic enzymes,
namely, hen egg white lysozyme (HEWL), alkaline phosphatase (ALP) and
acetylcholinesterase (AChE) was investigated post-conjugation to streptavidin
linked quantum dot for multiple substrate concentrations and varying degrees of
biotinylation. RESULTS: We demonstrate that all enzymes retain full catalytic
activity in the quantum dot-enzyme bioconjugates in comparison to biotinylated
enzyme alone. However, unlike alkaline phosphatase and acetylcholinesterase, the
catalytic activity of hen egg white lysozyme was observed to be increasingly
susceptible to ionic strength of medium with rising level of biotinylation. This
susceptibility was attributed to arise from depletion of positive charge from
lysine amino groups after biotinylation. CONCLUSIONS: We reasoned that avidin
biotin linkage in the presence of a flexible seven atom spacer between biotin and
enzyme poses no constraints to enzyme structure/dynamics enabling retention of
full enzyme activity. GENERAL SIGNIFICANCE: Overall our results demonstrate for
the first time that streptavidin-biotin chemistry can yield quantum dot enzyme
bioconjugates that retain full catalytic activity as native enzyme.
PMID- 24937606
TI - Investigation of thorium salts as candidate materials for direct observation of
the (229m)Th nuclear transition.
AB - Recent efforts to measure the (229m)Th -> (229g)Th nuclear transition sparked
interest in understanding the electronic structure of wide-gap thorium salts.
Such materials could be used to measure this nuclear transition using optical
spectroscopy in solid-state devices. Here, we present screened hybrid density
functional theory and many-body G0W0 calculations of two candidate materials,
namely, Na2ThF6 and ThF4, for such a measurement. Our results show an electronic
gap larger than 10 eV for both materials, suggesting that the internal conversion
nuclear de-excitation channel would be suppressed in these materials. We also
present results for ThX4 (X = Cl, Br, I), materials with smaller gaps
significantly easier to access experimentally.
PMID- 24937604
TI - Dysregulation of cardiolipin biosynthesis in pediatric heart failure.
AB - Cardiolipin, a unique phospholipid in the inner mitochondrial membrane, is
critical for optimal mitochondrial function. CL abnormalities have been
demonstrated in the failing rodent and adult human heart. The aim of this study
was to determine whether abnormalities in CL content and the CL biosynthesis and
remodeling pathways are present in pediatric idiopathic dilated cardiomyopathy
(IDC). A cross-sectional analysis of myocardial tissue from 119 IDC and non
failing (NF) control samples was performed. Electrospray ionizing mass
spectrometry was used to measure total CL and CL species content in LV tissue. RT
PCR was employed to measure gene expression of the enzymes in the CL biosynthesis
and remodeling pathways in both the adult and pediatric heart. Significantly
lower total and (18:2)4CL (the beneficial species) content was demonstrated in
myocardium from pediatric patients with IDC compared to NF controls. Analysis of
mitochondrial gene transcripts was used to demonstrate that there is no decrease
in mitochondrial content. Expression of two biosynthesis enzymes and one
remodeling enzyme was significantly lower in pediatric IDC compared to NF
controls. Expression of two phospholipases involved in CL degradation were also
altered, one up- and one down-regulated. Except for one remodeling enzyme, these
changes are unique from those in the failing adult heart. Similar to what has
been seen in adults and in a rat model of IDC, total and (18:2)4CL are lower in
pediatric IDC. Unique CL species profiles are seen in heart tissue from children
with IDC compared to adults. Differences in CL biosynthesis and remodeling enzyme
expression likely explain the differences in CL profiles observed in IDC and
implicate unique age-related mechanisms of disease.
PMID- 24937608
TI - Supporting family caregivers.
PMID- 24937611
TI - Promoting patient dignity in nursing care.
PMID- 24937612
TI - Sleepless in stepdown.
PMID- 24937613
TI - Exploring how nurses and managers perceive shared governance.
AB - BACKGROUND: Nurse managers have a pivotal role in the success of unit-based
councils, which include direct care nurses. These councils establish shared
governance to provide innovative, quality-based, and cost-effective nursing care.
PURPOSE: This study explored differences between direct care nurses' and nurse
managers' perceptions of factors affecting direct care nurses' participation in
unit-based and general shared governance activities and nurse engagement.
METHODS: In a survey research study, 425 direct care RNs and nurse managers were
asked to complete a 26-item research survey addressing 16 shared governance
factors; 144 participated (response rate = 33.8%). RESULTS: Most nurse
participants provided direct care (N = 129, 89.6%; nurse managers = 15, 10.4%),
were older than 35 (75.6%), had more than 5 years of experience (76.4%), and
worked more than 35 hours per week (72.9%). Direct care nurses' and managers'
perceptions showed a few significant differences. Factors ranked as very
important by direct care nurses and managers included direct care nurses
perceiving support from unit manager to participate in shared governance
activities (84.0%); unit nurses working as a team (79.0%); direct care nurses
participating in shared governance activities won't disrupt patient care (76.9%);
and direct care nurses will be paid for participating beyond scheduled shifts
(71.3%). Overall, 79.2% had some level of engagement in shared governance
activities. Managers reported more engagement than direct care nurses.
CONCLUSIONS: Nurse managers and unit-based councils should evaluate nurses'
perceptions of manager support, teamwork, lack of disruption to patient care, and
payment for participation in shared governance-related activities. These research
findings can be used to evaluate hospital practices for direct care nurse
participation in unit-based shared governance activities.
PMID- 24937616
TI - Severe sunburn.
PMID- 24937618
TI - What nursing taught us.
PMID- 24937619
TI - How to energize your staff meetings.
PMID- 24937620
TI - Take aim at acute kidney injury with RIFLE criteria.
PMID- 24937621
TI - What's the buzz about medical-grade honey?
PMID- 24937623
TI - Patient falls: searching for the elusive "silver bullet".
PMID- 24937624
TI - Managing the pain of diabetic peripheral neuropathy.
PMID- 24937625
TI - Infusion therapy: much more than a simple task.
PMID- 24937626
TI - Obtaining a wound swab culture specimen.
PMID- 24937628
TI - Limitations to the cultural ratchet effect in young children.
AB - Although many animal species show at least some evidence of cultural
transmission, broadly defined, only humans show clear evidence of cumulative
culture. In the current study, we investigated whether young children show the
"ratchet effect," an important component of cumulative culture--the ability to
accumulate efficient modifications across generations. We tested 16 diffusion
chains--altogether consisting of 80 children--to see how they solved an
instrumental task (i.e., carrying something from one location to another). We
found that when the chain was seeded with an inefficient way of solving the task,
4-year-olds were able to innovate and transmit these innovations so as to reach a
more efficient solution. However, when it started out with relatively efficient
solutions already (i.e., the ones that children in a control condition discovered
for themselves), there were no further techniques invented and/or transmitted
beyond that. Thus, young children showed the ratchet effect to a limited extent,
accumulating efficient modifications but not going beyond the inventive level of
the individual.
PMID- 24937629
TI - Category-specific face prototypes are emerging, but not yet mature, in 5-year-old
children.
AB - Adults' expertise in face recognition has been attributed to norm-based coding.
Moreover, adults possess separable norms for a variety of face categories (e.g.,
race, sex, age) that appear to enhance recognition by reducing redundancy in the
information shared by faces and ensuring that only relevant dimensions are used
to encode faces from a given category. Although 5-year-old children process own
race faces using norm-based coding, little is known about the organization and
refinement of their face space. The current study investigated whether 5-year
olds rely on category-specific norms and whether experience facilitates the
development of dissociable face prototypes. In Experiment 1, we examined whether
Chinese 5-year-olds show race-contingent opposing aftereffects and the extent to
which aftereffects transfer across face race among Caucasian and Chinese 5-year
olds. Both participant races showed partial transfer of aftereffects across face
race; however, there was no evidence for race-contingent opposing aftereffects.
To examine whether experience facilitates the development of category-specific
prototypes, we investigated whether race-contingent aftereffects are present
among Caucasian 5-year-olds with abundant exposure to Chinese faces (Experiment
2) and then tested separate groups of 5-year-olds with two other categories with
which they have considerable experience: sex (male/female faces) and age
(adult/child faces) (Experiment 3). Across all three categories, 5-year-olds
showed no category-contingent opposing aftereffects. These results demonstrate
that 5 years of age is a stage characterized by minimal separation in the norms
and associated coding dimensions used for faces from different categories and
suggest that refinement of the mechanisms that underlie expert face processing
occurs throughout childhood.
PMID- 24937630
TI - Using animal performance data to evidence the under-reporting of case herds
during an epizootic: application to an outbreak of bluetongue in cattle.
AB - Following the emergence of the Bluetongue virus serotype 8 (BTV-8) in France in
2006, a surveillance system (both passive and active) was implemented to detect
and follow precociously the progression of the epizootic wave. This system did
not allow a precise estimation of the extent of the epizootic. Infection by BTV-8
is associated with a decrease of fertility. The objective of this study was to
evaluate whether a decrease in fertility can be used to evidence the under
reporting of cases during an epizootic and to quantify to what extent non
reported cases contribute to the total burden of the epizootic. The cow fertility
in herds in the outbreak area (reported or not) was monitored around the date of
clinical signs. A geostatistical interpolation method was used to estimate a date
of clinical signs for non-reported herds. This interpolation was based on the
spatiotemporal dynamic of confirmed case herds reported in 2007. Decreases in
fertility were evidenced for both types of herds around the date of clinical
signs. In non-reported herds, the decrease fertility was large (60% of the effect
in reported herds), suggesting that some of these herds have been infected by the
virus during 2007. Production losses in non-reported infected herds could thus
contribute to an important part of the total burden of the epizootic. Overall,
results indicate that performance data can be used to evidence the under
reporting during an epizootic. This approach could be generalized to pathogens
that affect cattle's performance, including zoonotic agents such as Coxiella
burnetii or Rift Valley fever virus.
PMID- 24937631
TI - Increased protein kinase C gamma activity induces Purkinje cell pathology in a
mouse model of spinocerebellar ataxia 14.
AB - Spinocerebellar ataxias (SCAs) are hereditary diseases leading to Purkinje cell
degeneration and cerebellar dysfunction. Most forms of SCA are caused by
expansion of CAG repeats similar to other polyglutamine disorders such as
Huntington's disease. In contrast, in the autosomal dominant SCA-14 the disease
is caused by mutations in the protein kinase C gamma (PKCgamma) gene which is a
well characterized signaling molecule in cerebellar Purkinje cells. The study of
SCA-14, therefore, offers the unique opportunity to reveal the molecular and
pathological mechanism eventually leading to Purkinje cell dysfunction and
degeneration. We have created a mouse model of SCA-14 in which PKCgamma protein
with a mutation found in SCA-14 is specifically expressed in cerebellar Purkinje
cells. We find that in mice expressing the mutated PKCgamma protein the
morphology of Purkinje cells in cerebellar slice cultures is drastically altered
and mimics closely the morphology seen after pharmacological PKC activation.
Similar morphological abnormalities were seen in localized areas of the
cerebellum of juvenile transgenic mice in vivo. In adult transgenic mice there is
evidence for some localized loss of Purkinje cells but there is no overall
cerebellar atrophy. Transgenic mice show a mild cerebellar ataxia revealed by
testing on the rotarod and on the walking beam. Our findings provide evidence for
both an increased PKCgamma activity in Purkinje cells in vivo and for
pathological changes typical for cerebellar disease thus linking the increased
and dysregulated activity of PKCgamma tightly to the development of cerebellar
disease in SCA-14 and possibly also in other forms of SCA.
PMID- 24937633
TI - Erlotinib Salvage Therapy in Pulmonary Adenocarcinoma Patients With Disease
Progression After Previous EGFR-TKI Treatment.
AB - BACKGROUND: Erlotinib is an epidermal growth factor receptor (EGFR) tyrosine
kinase inhibitor (TKI) with promising efficacy in treating pulmonary
adenocarcinoma. Treatment choices are few when patients with pulmonary
adenocarcinoma have failed both EGFR-TKI and chemotherapy. The purpose of this
study was to demonstrate the efficacy of erlotinib as salvage treatment for these
nonresponsive patients. METHODS: We retrospectively reviewed the chart records of
our stage IV pulmonary adenocarcinoma patients who were diagnosed and treated
between July 2004 and June 2013. Clinical data, including type of response to
treatment, time to disease progression, duration between the end of first-line
EGFR-TKI treatment and starting erlotinib treatment, and overall survival time,
were collected. RESULTS: A total of 98 patients were enrolled, and all had been
treated with EGFR-TKI, either as a first-line therapy or following platinum-based
chemotherapy; of them, 60 patients had a response to initial EGFR-TKI treatment.
All received erlotinib as salvage treatment after their disease had progressed
following EGFR-TKI treatment. Ninety-three (93.3%) patients had also received
previous platinum-based chemotherapy. The median progression-free survival with
erlotinib as salvage treatment for patients with and without a response to front
line EGFR-TKI was 4.9 and 3.4 months (P=0.869), respectively. The progression
free survival with erlotinib treatment in the sensitizing EGFR mutation group was
4.3 months, and in the EGFR wild-type group it was 2.6 months (P=0.22).
CONCLUSIONS: In pulmonary adenocarcinoma patients who had been heavily treated,
erlotinib could still be a choice, regardless of the EGFR mutation status, or
whether the patients had responded to previous EGFR-TKI treatment.
PMID- 24937632
TI - The medicalization of love.
AB - Pharmaceuticals or other emerging technologies could be used to enhance (or
diminish) feelings of lust, attraction, and attachment in adult romantic
partnerships. Although such interventions could conceivably be used to promote
individual (and couple) well-being, their widespread development and/or adoption
might lead to the 'medicalization' of human love and heartache--for some, a
source of a serious concern. In this essay, we argue that the medicalization of
love need not necessarily be problematic, on balance, but could plausibly be
expected to have either good or bad consequences depending upon how it unfolds.
By anticipating some of the specific ways in which these technologies could yield
unwanted outcomes, bioethicists and others can help to direct the course of
love's medicalization--should it happen to occur--more toward the 'good' side
than the 'bad.'
PMID- 24937634
TI - Long-term Outcomes Following Radiotherapy for Adolescent Patients With
Nonmetastatic WHO Type III Nasopharyngeal Carcinoma.
AB - OBJECTIVES: To report long-term results of primary radiotherapy for
nasopharyngeal cancer (NPC) presenting in the adolescent group. METHODS: Ten
adolescent patients with World Health Organization (WHO) type III NPC were
treated with primary radiotherapy at our institution between 1969 and 2007.
Median age was 16.5 years (range, 11 to 21). Median radiotherapy dose to the
primary target volume was 67.5 Gy (range, 60 to 74.8). The bilateral neck
received 51.1 Gy (range, 50 to 60 Gy). Five patients were treated with once-daily
radiotherapy and 5 received twice-daily radiotherapy. Three patients received
neoadjuvant cisplatin and 5FU, and 1 patient received adjuvant maintenance
cisplatin and 5FU. RESULTS: Median follow-up time was 9.5 years (range, 1.94 to
34.74). Fifteen-year overall survival, cause-specific survival, and progression
free survival rates were all 70%. Fifteen-year local and regional control rates
were 100% and 90%. One patient recurred regionally and distantly simultaneously,
and 2 patients developed distant metastases alone. All 3 died of their disease
within 3 years. One patient died from multiple radiation-induced secondary
meningiomas 34 years after radiotherapy. Five patients developed hypothyroidism,
3 developed sensorineural hearing loss, and 4 developed dental complications. The
overall rates of CTCAE grade 3, 4, and 5 toxicity were 40%, 10%, and 10%,
respectively. Despite high-radiation doses to the skull base, none of the
patients in this study developed grade 3+ cognitive or vision toxicity.
CONCLUSIONS: Radiotherapy achieves excellent local control in adolescent patients
with unresectable WHO type III NPC. Our data support current protocols to
systematically tailor treatment volumes and deescalate radiation doses to reduce
treatment toxicity.
PMID- 24937635
TI - Analysis of Prognostic Factors Affecting the Outcome of Stage IB-IIB Cervical
Cancer Treated by Radical Hysterectomy and Pelvic Lymphadenectomy.
AB - OBJECTIVES: The aim of this study was to identify the risk factors predicting the
prognosis of patients with early-stage cervical cancer and to evaluate the effect
of adjuvant therapy in patients with certain risk factors. METHODS: The study
population consisted of 274 patients who were presented with FIGO stage IB-IIB
cervical cancers. They all received radical hysterectomy (type III) and
systematic pelvic lymphadenectomy in our institute. Of the patients, 188 received
postoperative adjuvant therapy including radiotherapy, chemotherapy, and
chemotherapy plus radiotherapy. Radiotherapy was performed with a total of 50 Gy
of external whole pelvic irradiation. Remote after loading of intravaginal
iridium-192 brachytherapy were placed 1 to 2 weeks after completion of external
RT. Chemotherapy was operated with 4 to 6 courses of platinum-based treatment.
Chemotherapy plus radiotherapy was performed with the combination of periodically
sequential chemotherapy and radiotherapy. RESULTS: Univariate analysis revealed
clinical stage, parametrial invasion, and pelvic node metastasis affected
patients' disease-free (DFS) and overall survival (OS) rates, whereas
multivariate analysis demonstrated parametrial invasion and pelvic node
metastasis were independent risk factors for DFS and OS rates. Further analyses
showed that adjuvant therapy could significantly enhance DFS and OS rates in
patients without the above independent risk factors. CONCLUSIONS: Patients with
combination of pelvic node metastasis and parametrial invasion have the poorest
prognosis, and adjuvant therapy significantly increases DFS and OS rates among
patients without parametrial invasion and pelvic node metastasis.
PMID- 24937637
TI - Anomalous enhancement in interfacial perpendicular magnetic anisotropy through
uphill diffusion.
AB - We observed interfacial chemical sharpening due to uphill diffusion in post
annealed ultrathin multilayer stack of Co and Pt, which leads to enhanced
interfacial perpendicular magnetic anisotropy (PMA). This is surprising as these
elements are considered as perfectly miscible. This chemical sharpening was
confirmed through quantitative energy dispersive x-ray (EDX) spectroscopy and
intensity distribution of images taken on high angle annular dark field (HAADF)
detector in Scanning Transmission Electron Microscopic (STEM) mode. This
observation demonstrates an evidence of miscibility gap in ultrathin coherent
Co/Pt multilayer stacks.
PMID- 24937638
TI - Stronger association of indoor temperature than outdoor temperature with blood
pressure in colder months.
AB - BACKGROUND: Higher cardiovascular mortality in winter may be partly explained by
increased blood pressure (BP) because of cold exposure. However, this winter
excess mortality is higher in countries with moderate winter than those with
severe winter climate. OBJECTIVES: Although higher BP in low outdoor temperatures
has been reported, the magnitude of association of indoor temperature with
ambulatory BP remained unclear. We aimed to compare the associations of indoor
and outdoor temperature with ambulatory BP. METHODS: From repeated measurements
on two consecutive days during colder months (October-April) among 868 elderly
individuals, we assessed the association of indoor and outdoor temperatures with
ambulatory BP using multilevel analysis with random intercept for each
individual. RESULTS: Correlation between indoor and outdoor temperature got weak
along with decreasing outdoor temperature. Outdoor temperature was not
significantly associated with ambulatory BP. In contrast, a 1 degrees C lower
indoor temperature was significantly associated with 0.22 mmHg higher daytime
SBP, 0.18% higher nocturnal BP fall, 0.34 mmHg higher sleep-trough morning BP
surge independent of potential confounders including physical activity. The
models with indoor temperature showed better fit of the model than those with
outdoor temperature. Night-time SBP did not show significant association with
indoor and outdoor temperature but with bed temperature. DISCUSSION: Our results
suggest the importance to assess the association of indoor temperature with
cardiovascular mortality to determine whether improving housing thermal
environment reduces winter excess mortality. CONCLUSION: Indoor temperature
showed stronger association than outdoor temperature with BP in colder months.
PMID- 24937636
TI - Development of a multiplex methylation specific PCR suitable for (early)
detection of non-small cell lung cancer.
AB - Lung cancer is a worldwide health problem and a leading cause of cancer-related
deaths. Silencing of potential tumor suppressor genes (TSGs) by aberrant promoter
methylation is an early event in the initiation and development of cancer. Thus,
methylated cancer type-specific TSGs in DNA can serve as useful biomarkers for
early cancer detection. We have now developed a "Multiplex Methylation Specific
PCR" (MMSP) assay for analysis of the methylation status of multiple potential
TSGs by a single PCR reaction. This method will be useful for early diagnosis and
treatment outcome studies of non-small cell lung cancer (NSCLC). Genome-wide CpG
methylation and expression microarrays were performed on lung cancer tissues and
matched distant non-cancerous tissues from three NSCLC patients from China.
Thirty-eight potential TSGs were selected and analyzed by methylation PCR on
bisulfite treated DNA. On the basis of sensitivity and specificity, six marker
genes, HOXA9, TBX5, PITX2, CALCA, RASSF1A, and DLEC1, were selected to establish
the MMSP assay. This assay was then used to analyze lung cancer tissues and
matched distant non-cancerous tissues from 70 patients with NSCLC, as well as 24
patients with benign pulmonary lesion as controls. The sensitivity of the assay
was 99% (69/70). HOXA9 and TBX5 were the 2 most sensitive marker genes: 87%
(61/70) and 84% (59/70), respectively. RASSF1A and DLEC1 showed the highest
specificity at 99% (69/70). Using the criterion of identifying at least any two
methylated marker genes, 61/70 cancer samples were positive, corresponding to a
sensitivity of 87% and a specificity of 94%. Early stage I or II NSCLC could even
be detected with a 100% specificity and 86% sensitivity. In conclusion, MMSP has
the potential to be developed into a population-based screening tool and can be
useful for early diagnosis of NSCLC. It might also be suitable for monitoring
treatment outcome and recurrence.
PMID- 24937639
TI - Estimation of central aortic blood pressure: a systematic meta-analysis of
available techniques.
AB - BACKGROUND: Central aortic blood pressure (cBP) is often promoted to be a
superior predictor of cardiovascular risk compared to brachial blood pressure,
and brachial-central pulse pressure amplification is also suggested as
prognostic. Several devices and techniques, each purporting to estimate cBP, have
entered commercial use. The interchangeability of cBP measurements between
devices and the influence of disease states on central to brachial pulse pressure
amplification remain unclear. The useful measurement of cBP in clinical trials is
dependent on clarification of these issues. METHOD: We performed a systematic
meta-analysis of studies reporting cBP between 2000 and 2012. Studies were
included if both central and brachial SBPs (cSBP and bSBP) were reported. Studies
were categorized by technique and according to the prevalent disease state with
the bSBP - cSBP difference calculated. Random-effects modeling (inverse variance
weighted approach) was used to estimate the pooled mean difference associated
with each technique. RESULTS: Of the 164 eligible studies, the SphygmoCor device
was most commonly reported (110 studies), with direct carotid applanation second
most utilized (31 studies). In 30 included invasive cohorts, the measured cSBP
did not differ significantly from the oscillometric bSBP recorded [mean
difference 4.19 mmHg, 95% confidence interval (CI) -4.13 to 12.51], whereas mean
differences of 12.77 mmHg (95% CI 11.93, 13.60) and 8.83 mmHg (95% CI 7.86,
9.79) were obtained with the SphygmoCor and carotid applanation estimates of
cSBP, respectively (both P < 0.05). Conversely, the reported mean cSBP-to-bSBP
differences measured across various disease states with SphygmoCor did not differ
significantly. CONCLUSION: This meta-analysis suggests that noninvasive cBP
estimation is device/technique-dependent. Consequently, caution is advisable in
applying these devices and techniques across clinical studies.
PMID- 24937641
TI - Waterfowl conservation in the US Prairie Pothole Region: confronting the
complexities of climate change.
AB - The Prairie Pothole Region (PPR) is the most important waterfowl production area
in North America. However, waterfowl populations there are predicted to decline
because of climate-related drying of wetlands. Consequently, changes in the
geographic focus of PPR waterfowl conservation have been recommended, which could
have long-lasting and costly impacts. We used a 40-year dataset of pond counts
collected in the PPR to test hypotheses about climate-related drying. We assessed
May (1974-2013) and July (1974-2003) pond numbers in 20 waterfowl survey strata
to determine if trends in pond numbers were consistent with predictions of
drying. We also assessed trends in precipitation and temperature for the 20
strata and developed models describing May pond numbers from 1974 through 2010 as
a function of precipitation, temperature, the previous year's pond numbers, and
location. None of the 20 strata showed significant declines in May pond numbers,
although seven strata showed increases over time. July pond numbers declined
significantly in one stratum, and increased in seven strata. An index to
hydroperiod showed significant increasing trends in three strata, and no strata
had decreasing trends. Precipitation increased significantly in two strata and
decreased in two from 1974 to 2010; no strata showed significant changes in
temperature. The best linear model described pond numbers within all strata as a
function of precipitation, temperature, the previous year's pond numbers, and the
latitude and longitude of the stratum, and explained 62% of annual variation in
pond numbers. We hypothesize that direct effects of climate change on prairie
pothole wetlands and waterfowl may be overshadowed by indirect effects such as
intensified land use and increased pressure to drain wetlands. We recommend that
an adaptive, data-driven approach be used to resolve uncertainties regarding
direct and indirect effects of climate change on prairie wetlands and waterfowl,
and guide future conservation efforts.
PMID- 24937642
TI - Decarboxylative polymerization of 2,6-naphthalenedicarboxylic acid at surfaces.
AB - Metal-catalyzed polymerization of 2,6-naphthalenedicarboxylic acid (NDCA) to form
poly-2,6-naphthalenes at various surfaces is reported. Polymerizations occur via
initial formal dehydrogenation of self-assembled diacids with subsequent
decarboxylation to give polymeric bisnaphthyl-Cu species at elevated temperature
as intermediate structures (<160 degrees C). Further temperature increase
eventually leads to poly-naphthalenes via reductive elimination. It is
demonstrated that the Cu(111) surface works most efficiently to conduct such
polymerizations as compared to the Au(111), Ag(111), Cu(100), and Cu(110)
surfaces. Poly-2,6-naphthalene with a chain length of over 50 nm is obtained by
using this approach. The decarboxylative coupling of aromatic diacids is a very
promising tool which further enlarges the portfolio of reactions allowing for on
surface polymerizations and novel organometallic systems preparations.
PMID- 24937644
TI - Clearing the air on SUDEP: vote to ban smoking among people with epilepsy.
PMID- 24937643
TI - Bosentan in pulmonary hypertension associated with fibrotic idiopathic
interstitial pneumonia.
AB - RATIONALE: Pulmonary hypertension (PH) associated with fibrotic idiopathic
interstitial pneumonia (IIP; idiopathic pulmonary fibrosis and nonspecific
interstitial pneumonia) confers important additional morbidity and mortality.
OBJECTIVES: To evaluate the safety and clinical efficacy of the dual endothelin-1
receptor antagonist bosentan in this patient group. METHODS: In a randomized,
double-blind, placebo-controlled study, 60 patients with fibrotic IIP and right
heart catheter confirmed PH were randomized 2:1 to bosentan (n = 40) or placebo
(n = 20). The primary study endpoint was a fall from baseline pulmonary vascular
resistance index (PVRi) of 20% or more over 16 weeks. MEASUREMENTS AND MAIN
RESULTS: Sixty patients (42 men; mean age, 66.6 +/- 9.2 yr), with a mean
pulmonary artery pressure of 36.0 (+/- 8.9) mm Hg, PVRi 13.0 (+/- 6.7) Wood
Units/m(2) and reduced cardiac index of 2.21 (+/- 0.5) L/min/m(2) were recruited
to the study. Accounting for deaths and withdrawals, paired right heart catheter
data were available for analysis in 39 patients (bosentan = 25, placebo = 14). No
difference in the primary outcome was detected, with seven (28.0%) patients
receiving bosentan, and four (28.6%) receiving placebo achieving a reduction in
PVRi of greater than or equal to 20% (P = 0.97) at 16 weeks. There was no change
in functional capacity or symptoms between the two groups at 16 weeks, nor any
difference in rates of serious adverse events or deaths (three deaths in each
group). CONCLUSIONS: This study shows no difference in invasive pulmonary
hemodynamics, functional capacity, or symptoms between the bosentan and placebo
groups over 16 weeks. Our data do not support the use of the dual endothelin-1
receptor antagonist, bosentan, in patients with PH and fibrotic IIP. Clinical
trial registered with www.clinicaltrials.gov (NCT 00637065).
PMID- 24937645
TI - Comparative mapping of the wild perennial Glycine latifolia and soybean (G. max)
reveals extensive chromosome rearrangements in the genus Glycine.
AB - Soybean (Glycine max L. Mer.), like many cultivated crops, has a relatively
narrow genetic base and lacks diversity for some economically important traits.
Glycine latifolia (Benth.) Newell & Hymowitz, one of the 26 perennial wild
Glycine species related to soybean in the subgenus Glycine Willd., shows high
levels of resistance to multiple soybean pathogens and pests including Alfalfa
mosaic virus, Heterodera glycines Ichinohe and Sclerotinia sclerotiorum (Lib.) de
Bary. However, limited information is available on the genomes of these perennial
Glycine species. To generate molecular resources for gene mapping and
identification, high-density linkage maps were constructed for G. latifolia using
single nucleotide polymorphism (SNP) markers generated by genotyping by
sequencing and evaluated in an F2 population and confirmed in an F5 population.
In each population, greater than 2,300 SNP markers were selected for analysis and
segregated to form 20 large linkage groups. Marker orders were similar in the F2
and F5 populations. The relationships between G. latifolia linkage groups and G.
max and common bean (Phaseolus vulgaris L.) chromosomes were examined by aligning
SNP-containing sequences from G. latifolia to the genome sequences of G. max and
P. vulgaris. Twelve of the 20 G. latifolia linkage groups were nearly collinear
with G. max chromosomes. The remaining eight G. latifolia linkage groups appeared
to be products of multiple interchromosomal translocations relative to G. max.
Large syntenic blocks also were observed between G. latifolia and P. vulgaris.
These experiments are the first to compare genome organizations among annual and
perennial Glycine species and common bean. The development of molecular resources
for species closely related to G. max provides information into the evolution of
genomes within the genus Glycine and tools to identify genes within perennial
wild relatives of cultivated soybean that could be beneficial to soybean
production.
PMID- 24937646
TI - Impacts of the Callipyge mutation on ovine plasma metabolites and muscle fibre
type.
AB - The ovine Callipyge mutation causes postnatal muscle hypertrophy localized to the
pelvic limbs and torso, as well as body leanness. The mechanism underpinning
enhanced muscle mass is unclear, as is the systemic impact of the mutation. Using
muscle fibre typing immunohistochemistry, we confirmed muscle specific effects
and demonstrated that affected muscles had greater prevalence and hypertrophy of
type 2X fast twitch glycolytic fibres and decreased representation of types 1,
2C, 2A and/or 2AX fibres. To investigate potential systemic effects of the
mutation, proton NMR spectra of plasma taken from lambs at 8 and 12 weeks of age
were measured. Multivariate statistical analysis of plasma metabolite profiles
demonstrated effects of development and genotype but not gender. Plasma from
Callipyge lambs at 12 weeks of age, but not 8 weeks, was characterized by a
metabolic profile consistent with contributions from the affected hypertrophic
fast twitch glycolytic muscle fibres. Microarray analysis of the perirenal
adipose tissue depot did not reveal a transcriptional effect of the mutation in
this tissue. We conclude that there is an indirect systemic effect of the
Callipyge mutation in skeletal muscle in the form of changes of blood
metabolites, which may contribute to secondary phenotypes such as body leanness.
PMID- 24937647
TI - Predicting the risk of avian influenza A H7N9 infection in live-poultry markets
across Asia.
AB - Two epidemic waves of an avian influenza A (H7N9) virus have so far affected
China. Most human cases have been attributable to poultry exposure at live
poultry markets, where most positive isolates were sampled. The potential
geographic extent of potential re-emerging epidemics is unknown, as are the
factors associated with it. Using newly assembled data sets of the locations of
8,943 live-poultry markets in China and maps of environmental correlates, we
develop a statistical model that accurately predicts the risk of H7N9 market
infection across Asia. Local density of live-poultry markets is the most
important predictor of H7N9 infection risk in markets, underscoring their key
role in the spatial epidemiology of H7N9, alongside other poultry, land cover and
anthropogenic predictor variables. Identification of areas in Asia with high
suitability for H7N9 infection enhances our capacity to target biosurveillance
and control, helping to restrict the spread of this important disease.
PMID- 24937650
TI - Physical literacy and Comprehensive School Physical Activity Programs.
AB - OBJECTIVE: The purpose of this paper is to make a case for Comprehensive School
Physical Activity Program (CSPAP) to be the organizational framework for
providing physical activity opportunities for children that is most likely to
result in physical literacy. METHOD: Beginning in 2010, the authors used multiple
search engines to ascertain the existent literature surrounding physical literacy
and physical activity interventions to identify common approaches to providing
physically activity in and around school. Grounded in the Health Belief Model and
the idea that physical literacy is a desired outcome of physical education,
publications focused on each of the components of the CSPAP were synthesized to
describe evidence-based practice. RESULTS: There is adequate evidence to suggest
that quality physical education, before/after school, during school, staff
involvement, and family and community engagement can serve as logical points of
intervention to provide increased opportunities for physical activity
participation leading to physical literacy among children. CONCLUSIONS: Since
only 6% of all children participate in daily physical education classes and only
six states offer K-12 physical education, the implementation of CSPAP may be the
most logical avenue for providing greater opportunities for physical activity
engagement that fosters physical literacy as a health-oriented educational goal.
PMID- 24937649
TI - Why behavior change is difficult to sustain.
AB - Unhealthy behavior is responsible for much human disease, and a common goal of
contemporary preventive medicine is therefore to encourage behavior change.
However, while behavior change often seems easy in the short run, it can be
difficult to sustain. This article provides a selective review of research from
the basic learning and behavior laboratory that provides some insight into why.
The research suggests that methods used to create behavior change (including
extinction, counterconditioning, punishment, reinforcement of alternative
behavior, and abstinence reinforcement) tend to inhibit, rather than erase, the
original behavior. Importantly, the inhibition, and thus behavior change more
generally, is often specific to the "context" in which it is learned. In support
of this view, the article discusses a number of lapse and relapse phenomena that
occur after behavior has been changed (renewal, spontaneous recovery,
reinstatement, rapid reacquisition, and resurgence). The findings suggest that
changing a behavior can be an inherently unstable and unsteady process; frequent
lapses should be expected. In the long run, behavior-change therapies might
benefit from paying attention to the context in which behavior change occurs.
PMID- 24937648
TI - Longitudinal predictors of colorectal cancer screening among participants in a
randomized controlled trial.
AB - OBJECTIVE: Few studies use longitudinal data to identify predictors of colorectal
cancer screening (CRCS). We examined predictors of (1) initial CRCS during the
first year of a randomized trial, and (2) repeat CRCS during the second year of
the trial among those that completed FOBT in Year 1. METHODS: The sample
comprised 1247 participants of the Systems of Support to Increase Colorectal
Cancer Screening (SOS) Trial (Group Health Cooperative, August 2008 to November
2011). Potential predictors of CRCS were identified with logistic regression and
included sociodemographics, health history, and validated scales of psychosocial
constructs. RESULTS: Prior CRCS (OR 2.64, 95% CI 1.99-3.52) and intervention
group (Automated: OR 2.06 95% CI 1.43-2.95; Assisted: OR 4.03, 95% CI 2.69-6.03;
Navigated: OR 5.64, 95% CI 3.74-8.49) were predictors of CRCS completion at Year
1. For repeat CRCS at Year 2, prior CRCS at baseline (OR 1.97, 95% CI 1.25-3.11),
intervention group (Automated: OR 9.27, 95% CI 4.56-18.82; Assisted: OR 11.17,
95% CI 5.44-22.94; Navigated: OR 13.10, 95% CI 6.33-27.08), and self-efficacy (OR
1.32, 95% CI 1.00-1.73) were significant predictors. CONCLUSION: Self-efficacy
and prior CRCS are important predictors of future screening behavior. CRCS
completion increased when access barriers were removed through interventions.
PMID- 24937651
TI - Can the life-history strategy explain the success of the exotic trees Ailanthus
altissima and Robinia pseudoacacia in Iberian floodplain forests?
AB - Ailanthus altissima and Robina pseudoacacia are two successful invasive species
of floodplains in central Spain. We aim to explain their success as invaders in
this habitat by exploring their phenological pattern, vegetative and sexual
reproductive growth, and allometric relations, comparing them with those of the
dominant native tree Populus alba. During a full annual cycle we follow the
timing of vegetative growth, flowering, fruit set, leaf abscission and fruit
dispersal. Growth was assessed by harvesting two-year old branches at the peaks
of vegetative, flower and fruit production and expressing the mass of current
year leaves, stems, inflorescences and infrutescences per unit of previous-year
stem mass. Secondary growth was assessed as the increment of trunk basal area per
previous-year basal area. A. altissima and R. pseudoacacia showed reproductive
traits (late flowering phenology, insect pollination, late and long fruit set
period, larger seeds) different from P. alba and other native trees, which may
help them to occupy an empty reproductive niche and benefit from a reduced
competition for the resources required by reproductive growth. The larger seeds
of the invaders may make them less dependent on gaps for seedling establishment.
If so, these invaders may benefit from the reduced gap formation rate of flood
regulated rivers of the study region. The two invasive species showed higher
gross production than the native, due to the higher size of pre-existing stems
rather than to a faster relative growth rate. The latter was only higher in A.
altissima for stems, and in R. pseudoacacia for reproductive organs. A. altissima
and R. pseudoacacia showed the lowest and highest reproductive/vegetative mass
ratio, respectively. Therefore, A. altissima may outcompete native P. alba trees
thanks to a high potential to overtop coexisting plants whereas R. pseudoacacia
may do so by means of a higher investment in sexual reproduction.
PMID- 24937652
TI - The effect of health education video on ocular massage after trabeculectomy.
AB - The aim of this study was to investigate the effect of different ocular massage
health education methods on ocular massage in glaucoma patients after
trabeculectomy. Eighty-six patients with glaucoma after trabeculectomy (86 eyes)
were randomly divided into two health education groups. One group was a watch
video group (43 patients, 43 eyes), while the other was an orally taught health
education group (43 patients, 43 eyes). Patients were followed up for 1 week, 1
month, and 3, 6, and 12 months after discharge. Records of the patient responses
after health education, compliance of patients with follow-up, and intraocular
pressure were determined. Measurements included intraocular pressure and
differences between the watch video and orally taught health education groups.
The differences between the watch video group and orally taught health education
group were not statistically significant at 1 week and 1 month, while the
differences were statistically significant at 3, 6, and 12 months. The results
indicated that health education videos can enable glaucoma patients to have a
better mastery of ocular massage after trabeculectomy. According to the needs of
patients, health education video use produced good results and should be
promoted.
PMID- 24937654
TI - Neuropeptide proenkephalin A is associated with in-hospital mortality in patients
with acute intracerebral hemorrhage.
AB - High plasma proenkephalin A (PENK-A) levels are associated with poor clinical
outcome after ischemic stroke. However, not much is known regarding the change of
its level in acute intracerebral hemorrhage. Thus, we sought to determine PENK-A
in plasma of patients with acute spontaneous basal ganglia hemorrhage and
evaluate its relation with disease severity and in-hospital mortality. One
hundred and two patients and 100 healthy controls were recruited. Plasma samples
were obtained on admission for patients and at study entry for controls. Its
concentration was measured by chemoluminescence sandwich immunoassay. Plasma PENK
A levels were substantially higher in patients than in healthy controls (235.5+/
85.4 pmol/L vs. 90.1+/-31.3 pmol/L; P<0.0001). A forward stepwise logistic
regression selected plasma PENK-A as an independent predictor for in-hospital
mortality of patients (odds ratio 1.080, 95% confidence interval 1.018-1.147,
P<0.001). A multivariate linear regression demonstrated that plasma PENK-A level
was positively associated with National Institutes of Health Stroke Scale (NIHSS)
score (t=6.189, P<0.001) and hematoma volume (t=5.388, P<0.001). A receiver
operating characteristic curve identified a plasma PENK-A level>267.1 pmol/L
predicted in-hospital mortality of patients with 80.0% sensitivity and 74.7%
specificity (area under curve, 0.836; 95% confidence interval, 0.750-0.902). Its
predictive value was similar to NIHSS score's and hematoma volume's (both
P>0.05). However, it did not statistically significantly improve the predictive
values of NIHSS score and hematoma volume (both P>0.05). Thus, increased plasma
PENK-A levels are associated with disease severity and in-hospital mortality
after acute intracerebral hemorrhage.
PMID- 24937653
TI - GLP-1(32-36)amide, a novel pentapeptide cleavage product of GLP-1, modulates
whole body glucose metabolism in dogs.
AB - We have previously demonstrated in human subjects who under euglycemic clamp
conditions GLP-1(9-36)amide infusions inhibit endogenous glucose production
without substantial insulinotropic effects. An earlier report indicates that GLP
1(9-36)amide is cleaved to a nonapeptide, GLP-1(28-36)amide and a pentapeptide
GLP-1(32-36)amide (LVKGR amide). Here we study the effects of the pentapeptide on
whole body glucose disposal during hyperglycemic clamp studies. Five dogs
underwent indwelling catheterizations. Following recovery, the dogs underwent a
180 min hyperglycemic clamp (basal glucose +98 mg/dl) in a cross-over design.
Saline or pentapeptide (30 pmol kg(-1) min(-1)) was infused during the last 120
min after commencement of the hyperglycemic clamp in a primed continuous manner.
During the last 30 min of the pentapeptide infusion, glucose utilization (M)
significantly increased to 21.4+/-2.9 mg kg(-1) min(-1)compared to M of 14.3+/
1.1 mg kg(-1)min(-1) during the saline infusion (P=0.026, paired t-test; P=0.062,
Mann-Whitney U test). During this interval, no significant differences in insulin
(26.6+/-3.2 vs. 23.7+/-2.5 MUU/ml, P=NS) or glucagon secretion (34.0+/-2.1 vs.
31.7+/-1.8 pg/ml, P=NS) were observed. These findings demonstrate that under
hyperglycemic clamp studies the pentapeptide modulates glucose metabolism by a
stimulation of whole-body glucose disposal. Further, the findings suggest that
the metabolic benefits previously observed during GLP-1(9-36)amide infusions in
humans might be due, at least in part, to the metabolic effects of the
pentapeptide that is cleaved from the pro-peptide, GLP-1(9-36)amide in the
circulation.
PMID- 24937655
TI - Prognostic value of neuropeptide proenkephalin A in patients with severe
traumatic brain injury.
AB - High plasma proenkephalin A levels have been associated with poor clinical
outcome of aneurysmal subarachnoid hemorrhage. This prospective observatory study
was designed to investigate the relationship between plasma proenkephalin A
levels and 1-week mortality, 6-month mortality and 6-month unfavorable outcome
(defined as Glasgow Outcome Scale score of 1-3) in patients with severe traumatic
brain injury. This study recruited 128 patients and 128 sex- and age-matched
healthy controls. Plasma proenkephalin A levels, as measured by chemoluminescence
sandwich immunoassay, were statistically significantly higher in patients than in
healthy controls (239.1+/-93.0 pmol/L vs.81.3+/-22.1 pmol/L; P<0.001) and were
correlated with Glasgow Coma Scale scores (r=-0.540, P<0.001). It was identified
as an independent prognostic predictor of 1-week mortality [odds ratio (OR),
1.214; 95% confidence interval (CI), 1.103-1.425; P<0.001], 6-month mortality
(OR, 1.162; 95% CI, 1.101-1.372; P<0.001) and 6-month unfavorable outcome (OR,
1.116; 95% CI, 1.097-1.281; P<0.001). Moreover, it had high predictive value for
1-week mortality [area under curve (AUC), 0.852; 95% CI, 0.778-0.908], 6-month
mortality (AUC, 0.841; 95% CI, 0.766-0.899) and 6-month unfavorable outcome (AUC,
0.830; 95% CI, 0.754-0.891). Furthermore, its predictive value was similar to
Glasgow Coma Scale score's (all P>0.05). Yet, a combined logistic-regression
model did not show that it statistically significantly improved the predictive
value of Glasgow Coma Scale score (all P>0.05). Thus, it was proposed that
enhanced plasma proenkephalin A could be a useful, complementary tool to predict
short- or long-term clinical outcome after severe traumatic brain injury.
PMID- 24937657
TI - Risks of toxic ash from artisanal mining of discarded cellphones.
AB - The potential environmental and human health impacts of artisanal mining of
electronic waste through open incineration were investigated. A market
representative set of cellphones was dismantled into four component categories
batteries, circuit boards, plastics and screens. The components were shredded,
sieved and incinerated at 743-818 degrees C. The concentrations of 17 metals
were determined using U.S. EPA methods 6010C (inductively coupled plasma-atomic
emission spectrometry; 6020A (inductively coupled plasma-mass spectrometry, or
7471B and 7470A (cold-vapor atomic absorption). EPA Method 8270 (gas
chromatography/mass spectrometry) was used to identify polyaromatic hydrocarbon
compounds and polybrominated diphenyl ethers. EPA Method 8082A was used to
measure polychlorinated biphenyls and EPA Method 8290 was used for dioxin/furans
in the residue ash. The life cycle assessment model USEtox((r)) was used to
estimate impacts of the ash residue chemicals on human health and the ecosystem.
Among metals, copper in printed circuit boards had the highest ecotoxicity impact
(1610-1930PAFm(3)/kg); Beryllium in plastics had the highest impact on producing
non-cancer diseases (0.14-0.44 cases/kg of ash); and Nickel had the largest
impact on producing cancers (0.093-0.35 cases/kg of ash). Among organic
chemicals, dioxins from incinerated batteries produced the largest
ecotoxicological impact (1.07E-04 to 3.64E-04PAFm(3)/kg). Furans in incinerated
batteries can generate the largest number of cancers and non-cancer diseases,
representing 8.12E-09 to 2.28E-08 and 8.96E-10 and 2.52E-09 cases/kg of ash,
respectively. The results reveal hazards of burning discarded cellphones to
recover precious metals, and pinpoints opportunities for manufacturers to reduce
toxic materials used in specific electronic components marketed globally.
PMID- 24937656
TI - miR-451 deficiency is associated with altered endometrial fibrinogen alpha chain
expression and reduced endometriotic implant establishment in an experimental
mouse model.
AB - Endometriosis is defined as the growth of endometrial glandular and stromal
components in ectopic locations and affects as many as 10% of all women of
reproductive age. Despite its high prevalence, the pathogenesis of endometriosis
remains poorly understood. MicroRNAs, small non-coding RNAs that post
transcriptionally regulate gene expression, are mis-expressed in endometriosis
but a functional role in the disease pathogenesis remains uncertain. To examine
the role of microRNA-451 (miR-451) in the initial development of endometriosis,
we utilized a novel mouse model in which eutopic endometrial fragments used to
induce endometriosis were deficient for miR-451. After induction of the disease,
we evaluated the impact of this deficiency on implant development and survival.
Loss of miR-451 expression resulted in a lower number of ectopic lesions
established in vivo. Analysis of differential protein profiles between miR-451
deficient and wild-type endometrial fragments revealed that fibrinogen alpha
polypeptide isoform 2 precursor was approximately 2-fold higher in the miR-451
null donor endometrial tissue and this elevated expression of the protein was
associated with altered expression of the parent fibrinogen alpha chain mRNA and
protein. As this polypeptide contains RGD amino acid "cell adhesion" motifs which
could impact early establishment of lesion development, we examined and confirmed
using a cyclic RGD peptide antagonist, that endometrial cell adhesion and
endometriosis establishment could be respectively inhibited both in vitro and in
vivo. Collectively, these results suggest that the reduced miR-451 eutopic
endometrial expression does not enhance initial establishment of these fragments
when displaced into the peritoneal cavity, that loss of eutopic endometrial miR
451 expression is associated with altered expression of fibrinogen alpha chain
mRNA and protein, and that RGD cyclic peptide antagonists inhibit establishment
of endometriosis development in an experimental mouse model suggesting that this
approach may prove useful in the prevention of endometriosis establishment and
survival.
PMID- 24937658
TI - Electro-enhanced removal of copper ions from aqueous solutions by capacitive
deionization.
AB - This study was performed to determine the feasibility of electrosorptive removal
of copper ions from aqueous solutions using a capacitive deionization process.
The electrosorptive potential of copper ions was determined using cyclic
voltammetry measurements, and copper electrodeposition could be suppressed at a
voltage less than 0.8 V. Importantly, the experimental results demonstrated a
significant enhancement of electrosorption capability of copper ions using the
activated carbon electrodes under electro-assistance, associated with electrical
double-layer charging. At 0.8 V, the equilibrium electrosorption capacity was
enhanced to 24.57 mg/g based on the Langmuir model, and the electrosorption
constant rate was increased to 0.038 min(-1) simulated by a first-order kinetics
model. Moreover, the activated carbon electrode showed great regeneration
performance for the removal of low level copper ions. Additional experiments
regarding electrosorption selectivity were performed in the presence of sodium
chloride, natural organic matter, or dissolved silica. Copper ions that were
preferentially electroadsorbed on the electrode surface can be effectively
removed in a competitive environment. Therefore, the electrosorption process
using activated carbon electrodes can be recommended to treat copper solutions at
low concentrations for wastewater treatment and water purification.
PMID- 24937659
TI - Degradation of estrone in water and wastewater by various advanced oxidation
processes.
AB - A comprehensive study was conducted to determine the relative efficacy of various
advanced oxidation processes such as O3, H2O2, UV, and combinations of UV/O3,
UV/H2O2 for the removal of estrone (E1) from pure water and secondary effluent.
In addition to the parent compound (E1) removal, performance of the advanced
oxidation processes was characterized using removal of total organic carbon
(TOC), and estrogenicity of the effluent. Although E1 removal was high for all
the AOPs, intermediates formed were more difficult to degrade leading to slow TOC
removal. Energy calculations and cost analysis indicated that, although UV
processes have low electricity cost, ozonation is the least cost option ($
0.34/1000 gallons) when both capital and operating costs were taken into account.
Ozonation also is superior to the other tested AOPs due to higher removal of TOC
and estrogenicity. The rate of E1 removal decreased linearly with the background
TOC in water, however, E1 degradation in the secondary effluent from a local
wastewater treatment plant was not affected significantly due to the low COD
values in the effluent.
PMID- 24937661
TI - An integrated approach for enhanced protein conjugation and capture with viral
nanotemplates and hydrogel microparticle platforms via rapid bioorthogonal
reactions.
AB - We demonstrate significantly enhanced protein conjugation and target protein
capture capacity by exploiting tobacco mosaic virus (TMV) templates assembled
with hydrogel microparticles. Protein conjugation results with a red fluorescent
protein R-Phycoerythrin (R-PE) show significantly enhanced protein conjugation
capacity of TMV-assembled particles (TMV-particles) compared to planar substrates
or hydrogel microparticles. In-depth examination of protein conjugation kinetics
via tetrazine (Tz)-trans-cyclooctene (TCO) cycloaddition and strain-promoted
alkyne-azide cycloaddition (SPAAC) reaction demonstrates that TMV-particles
provide a less hindered environment for protein conjugation. Target protein
capture results using an anti-R-PE antibody (R-Ab)-R-PE pair also show
substantially improved capture capacity of R-Ab conjugated TMV-particles over R
Ab conjugated hydrogel microparticles. We further demonstrate readily controlled
protein and antibody conjugation capacity by simply varying TMV concentrations,
which show negligible negative impact of densely assembled TMVs on protein
conjugation and capture capacity. Combined, these results illustrate a facile
postfabrication protein conjugation approach with TMV templates assembled onto
hydrogel microparticles for improved and controlled protein conjugation and
sensing platforms. We anticipate that our approach can be readily applied to
various protein sensing applications.
PMID- 24937660
TI - Lifespan psychomotor behaviour profiles of multigenerational prenatal stress and
artificial food dye effects in rats.
AB - The consumption of artificial food dye (AFD) during childhood and adolescence has
been linked to behavioural changes, such as hyperactivity. It is possible that
the vulnerability to AFDs is modified by prenatal stress. Common consequences of
prenatal stress include hyperactivity, thus potentially leading to synergistic
actions with AFDs. Here, we investigated the compounding effect of
multigenerational prenatal stress (MPS) and AFD consumption on the development of
hyperactivity and anxiety-related behaviours across the lifespan in male rats.
MPS treatment involved a family history of four consecutive generations of
prenatal stress (F4 generation). AFD treatment included a 4%-concentration of
FD&C Red 40, FD&C Yellow 5, FD&C Yellow 6, and FD&C Blue 1 in the drinking water
from postnatal days 22 to 50 to resemble juvenile and adolescent dietary
exposure. Using several exploration tasks, animals were tested in motor activity
and anxiety-like behaviours from adolescence to 13 months of age. MPS resulted in
hyperactivity both early (50 days) and later in life (13 months), with normalized
activity patterns at reproductive age. AFD consumption resulted in hyperactivity
during consumption, which subsided following termination of treatment. Notably,
both MPS and AFD promoted risk-taking behaviour in young adults (3 months). There
were few synergistic effects between MPS and AFD in this study. The findings
suggest that AFDs exert the most noticeable effects at the time of exposure. MPS,
however, results in a characteristic lifespan profile of behavioural changes,
indicating that development and aging represent particularly vulnerable periods
in life during which a family history of prenatal stress may precipitate.
PMID- 24937662
TI - ESR study of molecular orientation and dynamics of nitronyl nitroxide radicals in
CLPOT 1D nanochannels.
AB - New inclusion compounds (ICs) were prepared using the organic 1D nanochannels of
2,4,6-tris(4-chlorophenoxy)-1,3,5-triazine (CLPOT) as a nanosized template and
nitronyl nitroxide (NN) radicals such as phenylnitronylnitroxide (PhNN) and p
nitrophenylnitronylnitroxide (p-NPNN). ESR measurements below 255 K for the CLPOT
ICs diluted with spacer molecules gave rigid limit spectra similar to that for
PhNN molecules in a glassy ethanol matrix at low temperature, which suggests
isolation of the radical molecules. ESR measurements for them in the range of 290
400 K gave a modulated quintet ESR signal, which suggested uniaxial rotational
diffusion of NN radicals in the nanochannels approximately around the principal y
axis of the g-tensors. In the ESR measurements to 430 K for the [(CLPOT)2-(p
NPNN)0.07] IC without spacers, the broader line width than the case in dilution
was observed by inter-radical dipolar interaction. In every case, the rotational
diffusion activation energies of NN radicals in the CLPOT nanochannels were
several times larger than those of 2,2,6,6-tetramethyl-1-piperidinyloxyl (TEMPO)
radical derivatives (4-X-TEMPO) in CLPOT nanochannels. This is expected due to
the larger molecular size of NN radicals than 4-X-TEMPO or stronger interaction
between NN radicals and the surrounding host or guest molecules.
PMID- 24937663
TI - Challenges in modelling the reaction chemistry of interstellar dust.
AB - Studies aiming to understand the physicochemical properties of interstellar dust
and the chemical reactions that occur on and in it have traditionally been the
preserve of astronomical observation and experimental attempts to mimic
astronomically relevant conditions in the laboratory. Increasingly, computational
modelling in its various guises is establishing a complementary third pillar of
support to this endeavour by providing detailed insights into the complexities of
interstellar dust chemistry. Inherently, the basis of computational modelling is
to be found in the details (e.g. atomic structure/composition, reaction barriers)
that are difficult to probe accurately from observation and experiment. This
bottom-up atom-based theoretical approach, often itself based on deeper quantum
mechanical principles, although extremely powerful, also has limitations when
systems become too large or complex. In this Perspective, after first providing a
general background to the current state of observational-based knowledge, we
introduce a number of computational modelling methods with reference to recent
state-of-the-art studies, in order to highlight the capabilities of such
approaches in this field. Specifically, we first outline the use of computational
chemistry methods for dust nucleation, structure, and individual reactions on
bare and icy dust surfaces. Later, we review kinetic modelling of networks of
reactions relevant to dust chemistry and how to take into account quantum
tunnelling effects in the low temperature reactions in the interstellar medium.
Finally, we point to the future challenges that need to be overcome for
computational modelling to provide even more detailed and encompassing
perspectives on the nature and reaction chemistry of interstellar dust.
PMID- 24937664
TI - A putative OTU domain-containing protein 1 deubiquitinating enzyme is
differentially expressed in thyroid cancer and identifies less-aggressive
tumours.
AB - BACKGROUND: This study aimed to identify novel biomarkers for thyroid carcinoma
diagnosis and prognosis. METHODS: We have constructed a human single-chain
variable fragment (scFv) antibody library that was selected against tumour
thyroid cells using the BRASIL method (biopanning and rapid analysis of selective
interactive ligands) and phage display technology. RESULTS: One highly reactive
clone, scFv-C1, with specific binding to papillary thyroid tumour proteins was
confirmed by ELISA, which was further tested against a tissue microarray that
comprised of 229 thyroid tissues, including: 110 carcinomas (38 papillary thyroid
carcinomas (PTCs), 42 follicular carcinomas, 30 follicular variants of PTC), 18
normal thyroid tissues, 49 nodular goitres (NG) and 52 follicular adenomas. The
scFv-C1 was able to distinguish carcinomas from benign lesions (P=0.0001) and
reacted preferentially against T1 and T2 tumour stages (P=0.0108). We have
further identified an OTU domain-containing protein 1, DUBA-7 deubiquitinating
enzyme as the scFv-binding antigen using two-dimensional polyacrylamide gel
electrophoresis and mass spectrometry. CONCLUSIONS: The strategy of screening and
identifying a cell-surface-binding antibody against thyroid tissues was highly
effective and resulted in a useful biomarker that recognises malignancy among
thyroid nodules and may help identify lower-risk cases that can benefit from less
aggressive management.
PMID- 24937666
TI - The role of a Mediterranean diet on the risk of oral and pharyngeal cancer.
AB - BACKGROUND: The Mediterranean diet has a beneficial role on various neoplasms,
but data are scanty on oral cavity and pharyngeal (OCP) cancer. METHODS: We
analysed data from a case-control study carried out between 1997 and 2009 in
Italy and Switzerland, including 768 incident, histologically confirmed OCP
cancer cases and 2078 hospital controls. Adherence to the Mediterranean diet was
measured using the Mediterranean Diet Score (MDS) based on the major
characteristics of the Mediterranean diet, and two other scores, the
Mediterranean Dietary Pattern Adherence Index (MDP) and the Mediterranean
Adequacy Index (MAI). RESULTS: We estimated the odds ratios (ORs), and the
corresponding 95% confidence intervals (CI), for increasing levels of the scores
(i.e., increasing adherence) using multiple logistic regression models. We found
a reduced risk of OCP cancer for increasing levels of the MDS, the ORs for
subjects with six or more MDS components compared with two or less being 0.20
(95% CI 0.14-0.28, P-value for trend <0.0001). The ORs for the highest vs the
lowest quintile were 0.20 (95% CI 0.14-0.28) for the MDP score (score 66.2 or
more vs less than 57.9), and 0.48 (95% CI 0.33-0.69) for the MAI score (score
value 2.1 or more vs value less 0.92), with significant trends of decreasing risk
for both scores. The favourable effect of the Mediterranean diet was apparently
stronger in younger subjects, in those with a higher level of education, and in
ex-smokers, although it was observed in other strata as well. CONCLUSIONS: Our
study provides strong evidence of a beneficial role of the Mediterranean diet on
OCP cancer.
PMID- 24937665
TI - Dietary intake of acrylamide and endometrial cancer risk in the European
Prospective Investigation into Cancer and Nutrition cohort.
AB - BACKGROUND: Three prospective studies have evaluated the association between
dietary acrylamide intake and endometrial cancer (EC) risk with inconsistent
results. The objective of this study was to evaluate the association between
acrylamide intake and EC risk: for overall EC, for type-I EC, and in never
smokers and never users of oral contraceptives (OCs). Smoking is a source of
acrylamide, and OC use is a protective factor for EC risk. METHODS: Cox
regression was used to estimate hazard ratios (HRs) for the association between
acrylamide intake and EC risk in the European Prospective Investigation into
Cancer and Nutrition (EPIC) cohort. Acrylamide intake was estimated from the EU
acrylamide monitoring database, which was matched with EPIC questionnaire-based
food consumption data. Acrylamide intake was energy adjusted using the residual
method. RESULTS: No associations were observed between acrylamide intake and
overall EC (n=1382) or type-I EC risk (n=627). We observed increasing relative
risks for type-I EC with increasing acrylamide intake among women who both never
smoked and were non-users of OCs (HRQ5vsQ1: 1.97, 95% CI: 1.08-3.62; likelihood
ratio test (LRT) P-value: 0.01, n=203). CONCLUSIONS: Dietary intake of acrylamide
was not associated with overall or type-I EC risk; however, positive associations
with type I were observed in women who were both non-users of OCs and never
smokers.
PMID- 24937667
TI - Treatment and outcome of patients with relapsed clear cell sarcoma of the kidney:
a combined SIOP and AIEOP study.
AB - BACKGROUND: Clear cell sarcoma of the kidney (CCSK) is an uncommon paediatric
renal tumour. Relapses occur in about 15% of the patients. Since detailed
clinical information on relapsed CCSK is scarce, the current study aims to
describe outcome of patients with relapsed CCSK treated according to recent
European protocols. PATIENTS AND METHODS: We analysed prospectively collected
data of all CCSK patients who developed a relapse after complete remission at the
end of primary treatment, entered onto SIOP and AIEOP trials between 1992 and
2012. RESULTS: Thirty-seven of 237 CCSK patients (16%) treated according to SIOP
and AIEOP protocols developed a relapse. Median time from initial diagnosis to
relapse was 17 months (range, 5.5 months - 6.6 years). Thirt-five out of thirty
seven relapses (95%) were metastatic; the most common sites of relapse were the
brain (n=13), lungs (n=7) and bone (n=5). Relapse treatment consisted of
chemotherapy (n=30), surgery (n=19) and/or radiotherapy (n=18), followed by high
dose chemotherapy and autologous bone marrow transplantation (ABMT) in 14
patients. Twenty-two out of thirty-seven patients (59%) achieved a second
complete remission (CR); 15 of whom (68%) developed a second relapse. Five-year
event-free survival (EFS) after relapse was 18% (95% CI: 4%-32%), and 5-year
overall survival (OS) was 26% (95% CI: 10%-42%). CONCLUSIONS: In this largest
series of relapsed CCSK patients ever described, overall outcome is poor. Most
relapses are metastatic and brain relapses are more common than previously
recognised. Intensive treatment aiming for local control, followed by high dose
chemotherapy and ABMT, seems to be of benefit to enhance survival. Novel
development of targeted therapy is urgently required.
PMID- 24937668
TI - Accumulation of small hyaluronan oligosaccharides in tumour interstitial fluid
correlates with lymphatic invasion and lymph node metastasis.
AB - BACKGROUND: Association studies have implicated the glycosaminoglycan hyaluronan
(hyaluronic acid, HA) and its degrading enzymes the hyaluronidases in tumour
progression and metastasis. Oligosaccharides of degraded HA have been ascribed a
number of biological functions that are not exerted by high-molecular-weight HA
(HMW-HA). However, whether these small HA oligosaccharides (sHA) have a role in
tumour progression currently remains uncertain due to an inability to analyse
their concentration in tumours. METHODS: We report a novel method to determine
the concentration of sHA ranging from 6 to 25 disaccharides in tumour
interstitial fluid (TIF). Levels of sHA were measured in TIF from experimental
rat tumours and human colorectal tumours. RESULTS: While the majority of HA in
TIF is HMW-HA, concentrations of sHA up to 6 MUg ml(-1) were detected in a subset
of tumours, but not in interstitial fluid from healthy tissues. In a cohort of 72
colorectal cancer patients we found that increased sHA concentrations in TIF are
associated with lymphatic vessel invasion by tumour cells and the formation of
lymph node metastasis. CONCLUSIONS: These data document for the first time the
pathophysiological concentration of sHA in tumours, and provide evidence of a
role for sHA in tumour progression.
PMID- 24937670
TI - DNA methylation status is more reliable than gene expression at detecting cancer
in prostate biopsy.
AB - BACKGROUND: We analysed critically the potential usefulness of RNA- and DNA-based
biomarkers in supporting conventional histological diagnostic tests for prostate
carcinoma (PCa) detection. METHODS: Microarray profiling of gene expression and
DNA methylation was performed on 16 benign prostatic hyperplasia (BPH) and 32
cancerous and non-cancerous prostate samples extracted by radical prostatectomy.
The predictive value of the selected biomarkers was validated by qPCR-based
methods using tissue samples extracted from the 58 prostates and, separately,
using 227 prostate core biopsies. RESULTS: HOXC6, AMACR and PCA3 expression
showed the best discrimination between PCa and BPH. All three genes were
previously reported as the most promising mRNA-based markers for distinguishing
cancerous lesions from benign prostate lesions; however, none were sufficiently
sensitive and specific to meet the criteria for a PCa diagnostic biomarker. By
contrast, DNA methylation levels of the APC, TACC2, RARB, DGKZ and HES5 promoter
regions achieved high discriminating sensitivity and specificity, with area under
the curve (AUCs) reaching 0.95-1.0. Only a small overlap was detected between the
DNA methylation levels of PCa-positive and PCa-negative needle biopsies, with
AUCs ranging between 0.854 and 0.899. CONCLUSIONS: DNA methylation-based
biomarkers reflect the prostate malignancy and might be useful in supporting
clinical decisions for suspected PCa following an initial negative prostate
biopsy.
PMID- 24937669
TI - Randomised controlled trial of doxorubicin-eluting beads vs conventional
chemoembolisation for hepatocellular carcinoma.
AB - BACKGROUND: Transcatheter arterial chemoembolisation (TACE) is the treatment of
choice for intermediate stage hepatocellular carcinoma (HCC). Doxorubicin-loaded
drug-eluting beads (DEB)-TACE is expected to improve the performance of
conventional TACE (cTACE). The aim of this study was to compare DEB-TACE with
cTACE in terms of time-to-tumour progression (TTP), adverse events (AEs), and 2
year survival. METHODS: Patients were randomised one-to-one to undergo cTACE or
DEB-TACE and followed-up for at least 2 years or until death. Transcatheter
arterial chemoembolisation was repeated 'on-demand'. RESULTS: We enrolled 177
patients: 89 underwent DEB-TACE and 88 cTACE. The median number of procedures was
2 in each arm, and the in-hospital stay was 3 and 4 days, respectively (P=0.323).
No differences were found in local and overall tumour response. The median TTP
was 9 months in both arms. The AE incidence and severity did not differ between
the arms, except for post-procedural pain, more frequent and severe after cTACE
(P<0.001). The 1- and 2-year survival rates were 86.2% and 56.8% after DEB-TACE
and 83.5% and 55.4% after cTACE (P=0.949). Eastern Cooperative Oncology Group
(ECOG), serum albumin, and tumour number independently predicted survival
(P<0.05). CONCLUSIONS: The DEB-TACE and the cTACE are equally effective and safe,
with the only advantage of DEB-TACE being less post-procedural abdominal pain.
PMID- 24937671
TI - IL-22 produced by cancer-associated fibroblasts promotes gastric cancer cell
invasion via STAT3 and ERK signaling.
AB - BACKGROUND: Interleukin-22 (IL-22) has been recently highlighted owing to its
biological significance in the modulation of tissue responses during
inflammation. However, the role of IL-22 in carcinogenesis has remained unclear.
Here, we investigated the pathophysiological significance of IL-22 expression in
gastric cancer tissues and examined the mechanism by which IL-22 promotes gastric
cancer cell invasion. METHODS: Human gastric cancer specimens were analysed by
immunohistochemistry for expression of IL-22 and IL-22 receptor 1 (IL-22R1). The
effects of IL-22-induced STAT3 and ERK signalling on invasive ability of gastric
cancer cells were examined using a small-interfering RNA system and specific
inhibitors. AGS cells were co-cultured with cancer-associated fibroblasts (CAFs)
from human gastric cancer tissues and assessed by invasion assay. RESULTS:
Interleukin-22 and its receptor were expressed in alpha-smooth muscle actin
positive stromal cells and tumour cells at the invasive front of gastric cancer
tissues, respectively. The expression of IL-22 and IL-22R1 was significantly
related to lymphatic invasion. Interleukin-22 treatment promoted the invasive
ability of gastric cancer cells through STAT3 and ERK activation. The invasive
ability of gastric cancer cells was significantly enhanced by co-culture with IL
22-expressing CAFs. CONCLUSIONS: Interleukin-22 produced by CAFs promotes gastric
cancer cell invasion via STAT3 and ERK signalling.
PMID- 24937672
TI - Abcc10 status affects mammary tumour growth, metastasis, and docetaxel treatment
response.
AB - BACKGROUND: Resistance to chemotherapeutic agents is a major obstacle to cancer
treatment. A group of ABC efflux pumps, the Multidrug Resistance Proteins, is a
source of resistance. Herein, we investigated the role of ABCC10 in mammary
tumours, given the important role we have defined for ABCC10 in transporting
taxanes, and the recognition that some ABCC proteins have roles in tumour growth.
METHODS: ABCC10 expression was correlated to human breast cancer subtype using
breast tissue microarrays. Real-time quantitative PCR and western blot analysis
were used to examine ABCC10 expression in human breast cancer lines. Abcc10(-/-)
mice were crossed to MMTV-PyVmT mice to produce Abcc10(-/-) vs Abcc10(+/+)
mammary tumours and derivative cell lines. We used allograft and cellular assays
to perform baseline and drug sensitization analysis of tumours and cell lines.
RESULTS: Clinical sample analyses indicated that ABCC10 was more highly expressed
in Her2+ and ER+ than in Her2-, ER-, and triple-negative breast cancer.
Unexpectedly, PyVmT; Abcc10(-/-) tumours grew more rapidly than PyVmT;
Abcc10(+/+) tumours and were associated with significantly reduced apoptosis and
metastasis. PyVmT; Abcc10(-/-) lines were less migratory than PyVmT; Abcc10(+/+)
lines. Finally, we showed increased survival of docetaxel-treated MMTV-PyVmT;
Abcc10(-/-) mice compared with wild-type mice. CONCLUSIONS: These data identify
roles for Abcc10 in breast cancer pathogenesis and in vivo docetaxel resistance.
PMID- 24937673
TI - Expression of hypoxia-inducible factor-1alpha predicts benefit from hypoxia
modification in invasive bladder cancer.
AB - BACKGROUND: The addition of carbogen and nicotinamide (CON) to radiotherapy (RT)
improves overall survival in invasive bladder cancer. We explored whether
expression of the hypoxia marker hypoxia-inducible factor-1alpha (HIF-1alpha)
alone or in combination with other markers predicted benefit from CON. METHODS: A
retrospective study was carried out using material from patients with high-grade
invasive bladder carcinoma enrolled in the BCON phase III trial of RT alone or
with CON (RT+CON). HIF-1alpha expression was studied in 137 tumours using tissue
microarrays and immunohistochemistry. Data were available from other studies for
carbonic anhydrase IX and glucose transporter 1 protein and gene expression and
tumour necrosis. RESULTS: Patients with high HIF-1alpha expression had improved 5
year local relapse-free survival with RT+CON (47%) compared with RT alone (21%;
hazard ratio (HR) 0.48, 95% CI 0.26-0.8, P=0.02), no benefit was seen with low
HIF-1alpha expression (HR 0.81, 95% CI 0.43-1.50, P=0.5). Combinations of markers
including necrosis also predicted benefit but did not improve on prediction using
necrosis alone. CONCLUSIONS: HIF-1alpha may be used to predict benefit from CON
in patients with bladder cancer but does not improve on use of necrosis.
PMID- 24937674
TI - Src, a potential target for overcoming trastuzumab resistance in HER2-positive
breast carcinoma.
AB - BACKGROUND: Src is a non-receptor tyrosine kinase involved in signalling and
crosstalk between growth-promoting pathways. We aim to investigate the
relationship of active Src in response to trastuzumab of HER2-positive breast
carcinomas. METHODS: We selected 278 HER2-positive breast cancer patients with
(n=154) and without (n=124) trastuzumab treatment. We performed
immunohistochemistry on paraffin-embedded tissue microarrays of active Src and
several proteins involved in the PI3K/Akt/mTOR pathway, PIK3CA mutational
analysis and in vitro studies (SKBR3 and BT474 cancer cells). The results were
correlated with clinicopathological factors and patients' outcome. RESULTS:
Increased pSrc-Y416 was demonstrated in trastuzumab-resistant cells and in 37.8%
of tumours that correlated positively with tumour size, necrosis, mitosis,
metastasis to the central nervous system, p53 overexpression and MAPK activation
but inversely with EGFR and p27. Univariate analyses showed an association of
increased active Src with shorter survival in patients at early stage with
HER2/hormone receptor-negative tumours treated with trastuzumab. CONCLUSIONS: Src
activation participates in trastuzumab mechanisms of resistance and indicates
poor prognosis, mainly in HER2/hormone receptor-negative breast cancer.
Therefore, blocking this axis may be beneficial in those patients.
PMID- 24937675
TI - beta-Arrestin1 promotes the progression of chronic myeloid leukaemia by
regulating BCR/ABL H4 acetylation.
AB - BACKGROUND: beta-Arrestins are scaffold proteins that interact with various
cellular signals. Although beta-arrestin2 mediates the initiation and progression
of myeloid leukaemia, the critical role of beta-arrestin1 in the chronic myeloid
leukaemia (CML) is still unknown. The aim of this study is to investigate the
essential function of beta-arrestin1 in CML. METHODS: The expressions of beta
arrestin1 and BCR/ABL in CML patients, animal models and K562 cells were measured
by RT-PCR, immunofluorescence and western blotting. The effect of beta-arrestin1
on CML animal models and K562 cells by colony formation, MTT and survival
analysis were assessed. BCR/ABL H4 acetylation was analysed through the use of
Chromatin-immunoprecipitation (ChIP) -on-chip and confirmed by ChIP respectively.
Co-immunoprecipitation and confocal were examined for the binding of beta
arrestin1 with enhancer of zeste homologue 2 (EZH2). RESULTS: The higher
expression of beta-arrestin1 is positively correlated with clinical phases of CML
patients. Depletion of beta-arrestin1 decelerates progression of K562 and primary
cells, and increases survival of CML mice. Importantly, silenced beta-arrestin1
results in the decrease of BCR/ABL H4 acetylation level in K562 cells. Further
data illustrate that nuclear beta-arrestin1 binds to EZH2 to mediate BCR/ABL
acetylation and thus regulates cell progression in K562 cells and the survival of
CML mice. CONCLUSIONS: Our findings reveal a novel function of beta-arrestin1
binding to EZH2 to promote CML progression by regulating BCR/ABL H4 acetylation.
PMID- 24937677
TI - Immunological subtypes in breast cancer are prognostic for invasive ductal but
not for invasive lobular breast carcinoma.
AB - BACKGROUND: Classical patient and tumour characteristics are the benchmark of
personalised breast cancer (BC) management. Recent evidence has demonstrated that
immune and molecular profiling of BC may also play an important role. Despite
evidence of differences between invasive ductal (IDC) and lobular (ILC) BC, they
are infrequently accounted for when making treatment decisions for individual
patients. The purpose of this study was to investigate the relevance of the
tumour immune response in the major histological subtypes of BC. We also assessed
the relationship between immune responses and molecular subtypes and their
prognostic potential. METHODS: Immunostains were done for HLA-I, HLA-E, HLA-G,
Tregs, NK cells and CTLs for the composition of the immune profiles and Ki67,
EGFR, CK5/6, ER, PR and HER2 for molecular profiles in 714 breast cancer patients
who underwent primary surgery. RESULTS: No significant association was found
between IDC (90.6%) and ILC (9.4%) and tumour immune subtypes (P=0.4) and
molecular subtypes (P=0.4). However, for the relapse-free period (RFP) tumour
immune subtyping was prognostic (P=0.002) in IDC, but not ILC. Contrary to ILC,
IDC patients frequently expressed higher cleaved caspase-3 and Ki67, which was
prognostic. Intermediate immune-susceptible IDC expressing high cleaved caspase-3
or Ki67 showed worse RFP than those with low expression (caspase-3: P=0.004;
Ki67: P=0.002); this was not seen for ILC or in high or low immune-susceptible
tumour types for either IDC or ILC. CONCLUSIONS: Tumour immune characteristics
and host immune responses are prognostic in IDC, but not ILC. In addition, tumour
immune profiles are only prognostic in Luminal A tumours.
PMID- 24937676
TI - Strategies to diagnose ovarian cancer: new evidence from phase 3 of the
multicentre international IOTA study.
AB - BACKGROUND: To compare different ultrasound-based international ovarian tumour
analysis (IOTA) strategies and risk of malignancy index (RMI) for ovarian cancer
diagnosis using a meta-analysis approach of centre-specific data from IOTA3.
METHODS: This prospective multicentre diagnostic accuracy study included 2403
patients with 1423 benign and 980 malignant adnexal masses from 2009 until 2012.
All patients underwent standardised transvaginal ultrasonography. Test
performance of RMI, subjective assessment (SA) of ultrasound findings, two IOTA
risk models (LR1 and LR2), and strategies involving combinations of IOTA simple
rules (SRs), simple descriptors (SDs) and LR2 with and without SA was estimated
using a meta-analysis approach. Reference standard was histology after surgery.
RESULTS: The areas under the receiver operator characteristic curves of LR1, LR2,
SA and RMI were 0.930 (0.917-0.942), 0.918 (0.905-0.930), 0.914 (0.886-0.936) and
0.875 (0.853-0.894). Diagnostic one-step and two-step strategies using LR1, LR2,
SR and SD achieved summary estimates for sensitivity 90-96%, specificity 74-79%
and diagnostic odds ratio (DOR) 32.8-50.5. Adding SA when IOTA methods yielded
equivocal results improved performance (DOR 57.6-75.7). Risk of Malignancy Index
had sensitivity 67%, specificity 91% and DOR 17.5. CONCLUSIONS: This study shows
all IOTA strategies had excellent diagnostic performance in comparison with RMI.
The IOTA strategy chosen may be determined by clinical preference.
PMID- 24937679
TI - Coupling isotachophoresis with affinity chromatography for rapid and selective
purification with high column utilization, part 1: theory.
AB - We present a novel technique that couples isotachophoresis (ITP) with affinity
chromatography (AC) to achieve rapid, selective purification with high column
utilization. ITP simultaneously preconcentrates an analyte and purifies it, based
on differences in mobility of sample components, excluding species that may foul
or compete with the target at the affinity substrate. ITP preconcentration
accelerates the affinity reaction, reducing assay time, improving column
utilization, and allowing for capture of targets with higher dissociation
constants. Furthermore, ITP-AC separates the target and contaminants into
nondiffusing zones, thus achieving high resolution in a short distance and time.
We present an analytical model for spatiotemporal dynamics of ITP-AC. We identify
and explore the effect of key process parameters, including target distribution
width and height, ITP zone velocity, forward and reverse reaction constants, and
probe concentration on necessary affinity region length, assay time, and capture
efficiency. Our analytical approach shows collapse of these variables to three
nondimensional parameters. The analysis yields simple analytical relations for
capture length and capture time in relevant ITP-AC regimes, and it demonstrates
how ITP greatly reduces assay time and improves column utilization. In the second
part of this two-part series, we will present experimental validation of our
model and demonstrate ITP-AC separation of the target from 10,000-fold more
abundant contaminants.
PMID- 24937678
TI - Nucleophilic 1,4-additions for natural product discovery.
AB - Natural products remain an important source of drug candidates, but the
difficulties inherent to traditional isolation, coupled with unacceptably high
rates of compound rediscovery, limit the pace of natural product detection. Here
we describe a reactivity-based screening method to rapidly identify exported
bacterial metabolites that contain dehydrated amino acids (i.e., carbonyl- or
imine-activated alkenes), a common motif in several classes of natural products.
Our strategy entails the use of a commercially available thiol, dithiothreitol,
for the covalent labeling of activated alkenes by nucleophilic 1,4-addition.
Modification is easily discerned by comparing mass spectra of reacted and
unreacted cell surface extracts. When combined with bioinformatic analysis of
putative natural product gene clusters, targeted screening and isolation can be
performed on a prioritized list of strains. Moreover, known compounds are easily
dereplicated, effectively eliminating superfluous isolation and characterization.
As a proof of principle, this labeling method was used to identify known natural
products belonging to the thiopeptide, lanthipeptide, and linaridin classes.
Further, upon screening a panel of only 23 actinomycetes, we discovered and
characterized a novel thiopeptide antibiotic, cyclothiazomycin C.
PMID- 24937680
TI - Inpatient coronary angiography and revascularisation following non-ST-elevation
acute coronary syndrome in patients with renal impairment: a cohort study using
the Myocardial Ischaemia National Audit Project.
AB - BACKGROUND: International guidelines support an early invasive management
strategy (including early coronary angiography and revascularisation) for non-ST
elevation acute coronary syndrome (NSTE-ACS) in patients with renal impairment.
However, evidence from outside the UK suggests that this approach is
underutilised. We aimed to describe practice within the NHS, and to determine
whether the severity of renal dysfunction influenced the provision of angiography
and modified the association between early revascularisation and survival.
METHODS: We performed a cohort study, using multivariable logistic regression and
propensity score analyses, of data from the Myocardial Ischaemia National Audit
Project for patients presenting with NSTE-ACS to English or Welsh hospitals
between 2008 and 2010. FINDINGS: Of 35 881 patients diagnosed with NSTE-ACS, eGFR
of <60 ml/minute/1.73 m(2) was present in 15 680 (43.7%). There was a stepwise
decline in the odds of undergoing inpatient angiography with worsening renal
dysfunction. Compared with an eGFR>90 ml/minute/1.73 m(2), patients with an eGFR
between 45-59 ml/minute/1.73 m(2) were 33% less likely to undergo angiography
(adjusted OR 0.67, 95% CI 0.55-0.81); those with an eGFR<30/minute/1.73 m(2) had
a 64% reduction in odds of undergoing angiography (adjusted OR 0.36, 95%CI 0.29
0.43). Of 16 646 patients who had inpatient coronary angiography, 58.5% underwent
inpatient revascularisation. After adjusting for co-variables, inpatient
revascularisation was associated with approximately a 30% reduction in death
within 1 year compared with those managed medically after coronary angiography
(adjusted OR 0.66, 95%CI 0.57-0.77), with no evidence of modification by renal
function (p(interaction) = 0.744). INTERPRETATION: Early revascularisation may
offer a similar survival benefit in patients with and without renal dysfunction,
yet renal impairment is an important determinant of the provision of coronary
angiography following NSTE-ACS. A randomised controlled trial is needed to
evaluate the efficacy of an early invasive approach in patients with severe renal
dysfunction to ensure that all patients who may benefit are offered this
treatment option.
PMID- 24937681
TI - Rh(I)-catalyzed intermolecular hydroacylation: enantioselective cross-coupling of
aldehydes and ketoamides.
AB - Under Rh(I) catalysis, alpha-ketoamides undergo intermolecular hydroacylation
with aliphatic aldehydes. A newly designed Josiphos ligand enables access to
alpha-acyloxyamides with high atom-economy and enantioselectivity. On the basis
of mechanistic and kinetic studies, we propose a pathway in which rhodium plays a
dual role in activating the aldehyde for cross-coupling. A stereochemical model
is provided to rationalize the sense of enantioinduction observed.
PMID- 24937683
TI - Particle-nested inverse opal structures as hierarchically structured large-scale
membranes with tunable separation properties.
AB - A novel multiscale porous architecture where an individual particle is nested
inside a hollow chamber of inverse-opal (IO) frame is created using a large scale
self-assembly of core-shell structured colloidal particles and subsequent
selective removal of the outer shells of the colloids. Since the nested particle
is smaller than the size of individual IO chamber, the interconnected
nanochannels are spontaneously formed within the structured frame. The size of
internal nanochannels is readily tuned to have high permeability and size
selective separation capability, which is successfully tested for nanoparticle
separation.
PMID- 24937682
TI - Supramolecular disassembly of facially amphiphilic dendrimer assemblies in
response to physical, chemical, and biological stimuli.
AB - CONSPECTUS: Supramolecular assemblies formed from spontaneous self-assembly of
amphiphilic macromolecules are explored as biomimetic architectures and for
applications in areas such as sensing, drug delivery, and diagnostics.
Macromolecular assemblies are usually preferred, compared with their simpler
small molecule counterparts, due to their low critical aggregate concentrations
(CAC) and high thermodynamic stability. This Account focuses on the structural
and functional aspects of assemblies formed from dendrimers, specifically
facially amphiphilic dendrons that form micelle or inverse micelle type
supramolecular assemblies depending on the nature of the solvent medium. The
micelle type assemblies formed from facially amphiphilic dendrons sequester
hydrophobic guest molecules in their interiors. The stability of these assemblies
is dependent on the relative compatibility of the hydrophilic and hydrophobic
functionalities with water, often referred to as hydrophilic-lipophilic balance
(HLB). Disruption of the HLB, using an external stimulus, could lead to
disassembly of the aggregates, which can then be utilized to cause an actuation
event, such as guest molecule release. Studying these possibilities has led to
(i) a robust and general strategy for stimulus-induced disassembly and molecular
release and (ii) the introduction of a new approach to protein-responsive
supramolecular disassembly. The latter strategy provides a particularly novel
avenue for impacting biomedical applications. Most of the stimuli-sensitive
supramolecular assemblies have been designed to be responsive to factors such pH,
temperature, and redox conditions. The reason for this interest stems from the
fact that certain disease microenvironments have aberrations in these factors.
However, these variations are the secondary imbalances in biology. Imbalances in
protein activity are the primary reasons for most, if not all, human pathology.
There have been no robust strategies in stimulus-responsive assemblies that
respond to these variations. The facially amphiphilic dendrimers provide a unique
opportunity to explore this possibility. Similarly, the propensity of these
molecules to form inverse micelles in apolar solvents and thus bind polar guest
molecules, combined with the fact that these assemblies do not thermodynamically
equilibrate in biphasic mixtures, was used to predictably simplify peptide
mixtures. The structure-property relationships developed from these studies have
led to a selective and highly sensitive detection of peptides in complex
mixtures. Selectivity in peptide extraction was achieved using charge
complementarity between the peptides and the hydrophilic components present in
inverse micellar interiors. These findings will have implications in areas such
as proteomics and biomarker detection.
PMID- 24937684
TI - High-salt intake suppressed microRNA-133a expression in Dahl SS rat myocardium.
AB - Salt-sensitive individuals show earlier and more serious cardiac damage than
nonsalt-sensitive ones. Some studies have suggested that microRNA-133a could
reduce cardiac hypertrophy and myocardial fibrosis. The current study aims to
investigate the different functions of high-salt intake on salt-sensitive (SS)
rats and Sprague-Dawley (SD) rats and the involvement of microRNA-133a in these
roles. After high-salt intervention, the left ventricular mass (LVW) and left
ventricular mass index (LVMI) of the salt-sensitive high salt (SHS) group were
obviously higher than those of the salt-sensitive low salt (SLS) group. However,
the difference between the Sprague-Dawley high salt (DHS) group and the Sprague
Dawley low salt (DLS) group was not significant. Compared with SLS group,
collagen I and connective tissue growth factor (CTGF) in the heart of SHS group
were significantly higher, whereas no statistical difference was observed between
the DHS group and the DLS group. Compared with low-salt diet, microRNA-133a in
the heart of both strains were significantly decreased, but that in the SHS group
decreased more significantly. These results suggest that high salt intervention
could down-regulate the expression of myocardial microRNA-133a, which may be one
of the mechanisms involved in myocardial fibrosis in salt-sensitive hypertension.
PMID- 24937686
TI - Continuous flow atmospheric pressure laser desorption/ionization using a 6-7-um
band mid-infrared tunable laser for biomolecular mass spectrometry.
AB - A continuous flow atmospheric pressure laser desorption/ionization technique
using a porous stainless steel probe and a 6-7-um-band mid-infrared tunable laser
was developed. This ion source is capable of direct ionization from a continuous
flow with a high temporal stability. The 6-7-um wavelength region corresponds to
the characteristic absorption bands of various molecular vibration modes,
including O-H, C=O, CH3 and C-N bonds. Consequently, many organic compounds and
solvents, including water, have characteristic absorption peaks in this region.
This ion source requires no additional matrix, and utilizes water or acetonitrile
as the solvent matrix at several absorption peak wavelengths (6.05 and 7.27 um,
respectively). The distribution of multiply-charged peptide ions is extremely
sensitive to the temperature of the heated capillary, which is the inlet of the
mass spectrometer. This ionization technique has potential for the interface of
liquid chromatography/mass spectrometry (LC/MS).
PMID- 24937685
TI - Metabolic profiling of somatic tissues from Monochamus alternatus (Coleoptera:
Cerambycidae) reveals effects of irradiation on metabolism.
AB - A high-level of sexual sterility is of importance for the sterile insect
technique (SIT). However, the use of high-dose-intensity gamma radiation to
induce sterility has negative impacts not only on reproductive cells but also on
somatic cells. In this study, we investigated the metabolite differences in
somatic tissues between non-irradiated, 20-Gy-irradiated, and 40-Gy-irradiated
male Monochamus alternatus, an important vector of the pathogenic nematode,
Bursaphelenchus xylophilus, which kills Asian pines. The results showed that
metabolite levels changed moderately in the 20-Gy samples but were markedly
altered in the 40-Gy samples compared with the non-irradiated samples. Twenty-six
and 53 metabolites were disturbed by 20-Gy and 40-Gy radiation, respectively.
Thirty-six metabolites were found to be markedly altered in the 40-Gy samples but
were not changed significantly in the 20-Gy samples. The comprehensive
metabolomic disorders induced by 40-Gy radiation dysregulated six metabolic
pathways involved in the life process. The findings presented in this manuscript
will contribute to our knowledge of the characteristic metabolic changes
associated with gamma-radiation-induced damage to somatic cells and will allow
for better exploration of the SIT for the control of this target pest.
PMID- 24937687
TI - Comparisons of non-Gaussian statistical models in DNA methylation analysis.
AB - As a key regulatory mechanism of gene expression, DNA methylation patterns are
widely altered in many complex genetic diseases, including cancer. DNA
methylation is naturally quantified by bounded support data; therefore, it is non
Gaussian distributed. In order to capture such properties, we introduce some non
Gaussian statistical models to perform dimension reduction on DNA methylation
data. Afterwards, non-Gaussian statistical model-based unsupervised clustering
strategies are applied to cluster the data. Comparisons and analysis of different
dimension reduction strategies and unsupervised clustering methods are presented.
Experimental results show that the non-Gaussian statistical model-based methods
are superior to the conventional Gaussian distribution-based method. They are
meaningful tools for DNA methylation analysis. Moreover, among several non
Gaussian methods, the one that captures the bounded nature of DNA methylation
data reveals the best clustering performance.
PMID- 24937688
TI - Bacterial cellulose membranes used as artificial substitutes for dural defection
in rabbits.
AB - To improve the efficacy and safety of dural repair in neurosurgical procedures, a
new dural material derived from bacterial cellulose (BC) was evaluated in a
rabbit model with dural defects. We prepared artificial dura mater using
bacterial cellulose which was incubated and fermented from Acetobacter xylinum.
The dural defects of the rabbit model were repaired with BC membranes. All
surgeries were performed under sodium pentobarbital anesthesia, and all efforts
were made to minimize suffering. All animals were humanely euthanized by
intravenous injection of phenobarbitone, at each time point, after the operation.
Then, the histocompatibility and inflammatory effects of BC were examined by
histological examination, real-time fluorescent quantitative polymerase chain
reaction (PCR) and Western Blot. BC membranes evenly covered the surface of brain
without adhesion. There were seldom inflammatory cells surrounding the membrane
during the early postoperative period. The expression of inflammatory cytokines
IL-1beta, IL-6 and TNF-alpha as well as iNOS and COX-2 were lower in the BC group
compared to the control group at 7, 14 and 21 days after implantation. BC can
repair dural defects in rabbit and has a decreased inflammatory response compared
to traditional materials. However, the long-term effects need to be validated in
larger animals.
PMID- 24937689
TI - Bridged tolanes: a twisted tale.
AB - The rotational motion of tolanes along their acetylene axis is not fully
understood. What happens to the optical and electronic properties if the tolane
backbone is forced into a twisted conformation? Several tethers were investigated
to obtain tolanophanes, fixing the torsion angle of the two phenyl rings. X-ray
crystal structures revealed tether-specific torsion angles in the solid state.
The absorption, emission, and excitation spectra were recorded. Twisted tethered
tolane conformers showed blue-shifted absorption; emission spectra were all
torsionally independent and identical. The tethered tolanes were embedded in a
rigid matrix by freezing to 77 K; well-resolved emission spectra were recorded
for planar tolanes, but for twisted systems unexpectedly long-lived
phosphorescence was observed. How is this triplet emission explained? Quantum
chemical calculations (TDDFT/cam-B3LYP/6-31G*) of the unsubstituted tolane showed
that intersystem crossing (ISC) is favored with large spin-orbit coupling, which
occurs when the molecular orbitals are orthogonal to each other; this is the case
at the crossing of S1/T7. Also, a small energy difference between singlet and
triplet states is required; we found that ISC can favorably take place at four
crossings: S1/T6, S1/T7, S1/T(8,9), S1/T10.
PMID- 24937690
TI - Characterization of prostate lesions as benign or malignant at multiparametric MR
imaging: comparison of three scoring systems in patients treated with radical
prostatectomy.
AB - PURPOSE: To compare the subjective Likert score to the Prostate Imaging Reporting
and Data System (PIRADS) and morphology-location-signal intensity (MLS) scores
for categorization of prostate lesions as benign or malignant at multiparametric
magnetic resonance (MR) imaging. MATERIALS AND METHODS: Two hundred fifteen
patients who underwent T2-weighted, diffusion-weighted, and dynamic contrast
material-enhanced multiparametric MR imaging of the prostate before radical
prostatectomy were included in a prospective database after they signed the
institutional review board-approved forms. Senior readers 1 and 2 prospectively
noted the location, shape, and signal intensity of lesions on MR images from
individual pulse sequences and scored each for likelihood of malignancy by using
a Likert scale (range, 1-5). A junior reader (reader 3) retrospectively reviewed
the database and did the same analysis. The MLS score (range, 1-13) was computed
by using the readers' descriptions of the lesions. Then, the three readers again
scored the lesions they described by using the PIRADS score (range, 3-15). MLS
and PIRADS scores were compared with the Likert score by using their areas under
the receiver operating characteristic curves. RESULTS: Areas under the receiver
operating characteristic curves of the Likert, MLS, and PIRADS scores were 0.81,
0.77 (P = .03), and 0.75 (P = .01) for reader 1; 0.88, 0.74 (P < .0001), and 0.76
(P < .0001) for reader 2; and 0.81, 0.78 (P = .23), and 0.75 (P = .01) for reader
3. For diagnosing cancers with Gleason scores greater than or equal to 7, the
Likert score was significantly more accurate than the others, except for the MLS
score for reader 3. Weighted kappa values were 0.470-0.524, 0.405-0.430, and
0.378-0.441 for the Likert, MLS, and PIRADS scores, respectively. CONCLUSION: The
Likert score allowed significantly more accurate categorization of prostate
lesions on MR images than did the MLS and PIRADS scores.
PMID- 24937691
TI - Contralateral prophylactic mastectomy in the American College of Radiology
Imaging Network 6667 trial: effect of breast MR imaging assessments and patient
characteristics.
AB - PURPOSE: To assess which patient and magnetic resonance (MR) imaging factors are
associated with the likelihood of contralateral prophylactic mastectomy (CPM) in
patients with newly diagnosed breast cancer. MATERIALS AND METHODS: The American
College of Radiology Imaging Network 6667 trial was compliant with HIPAA;
institutional review board approval was obtained at each site. All patients
provided written informed consent. This study was a retrospective review of data
from 934 women enrolled in the trial who did not have a known contralateral
breast cancer at the time of surgical planning. The authors assessed age,
menopausal status, index breast cancer histologic results, contralateral breast
histologic results, breast density, family history, race and/or ethnicity, MR
imaging Breast Imaging Reporting and Data System (BI-RADS) assessment, and number
of MR imaging lesions for association with CPM by using the Fisher exact test,
exact chi(2) test, and multivariate logistic regression analyses. RESULTS: Eighty
six of the 934 (9.2%) women underwent CPM and were more likely to be younger
(mean age, 48 years [range, 27-78 years] vs mean age, 54 years [range, 25-86
years]; P < .0001), be premenopausal (55 of 86 [64%] vs 349 of 845 [41%], P <
.0001), have ductal carcinoma in situ (DCIS) in the index breast (31% [27 of 86]
vs 19% [164 of 848], P = .02), have greater breast density (71 of 86 [83%] vs 572
of 848 [68%], P = .004), and have a family history of breast cancer (44 of 86
[30%] vs 150 of 488 [18%], P = .01) than those who did not undergo CPM.
Distributions of race and/or ethnicity, contralateral lesion pathologic results,
and number of MR imaging lesions were similar in both groups. With multivariate
modeling, younger age, greater breast density, DCIS index cancer, and family
history remained significant, whereas menopausal status did not. Positive MR
imaging assessments were not significantly more frequent in the CPM group than in
the group of women who did not undergo CPM (14 of 86 [16.3%] vs 113 of 848
[13.3%], P = .43). CONCLUSION: In patients with newly diagnosed breast cancer who
underwent breast MR imaging at which a contralateral breast cancer was not
identified, patient factors and not breast MR imaging BI-RADS scores were chief
determinants in decisions regarding CPM. Online supplemental material is
available for this article.
PMID- 24937693
TI - Estimates of effective dose for CT scans of the lower extremities.
AB - PURPOSE: To determine the dose-length product (DLP)-effective dose (ED) (DLP/ED)
conversion coefficient (k) tables for the lower extremities that can be used for
calculating ED. MATERIALS AND METHODS: Dose calculations were performed on
standard phantoms using a validated Monte Carlo calculation tool. Calculations
were performed to obtain ED values for tube voltages from 80 kV to 140 kV in
steps of 20 kV for the following examinations: hip (femur), knee, ankle, and
computed tomographic (CT) angiography of the lower extremities. Values of the DLP
were calculated by multiplying measured CT dose index values by the scan length;
k values resulted as the quotients of the ED and DLP values. DLP/ED coefficients
averaged over the range of voltage values and their standard deviations were
determined for the given lower-extremity CT examinations for all age groups and
for both sexes. RESULTS: Coefficients depend strongly on the phantom age and
size, but little on the kilovolt value. In the case of the newborn, for example,
k values were 0.0612, 0.0046, 0.0014, and 0.047 for hip, knee, ankle, and CT
angiography, respectively, while in the case of the adult, these respective
values were 0.0110, 0.0004, 0.0002, and 0.0062. A substantial difference up to
20% between coefficients in male and female phantoms was observed for CT
angiographic examination. CONCLUSION: DLP/ED conversion coefficients are provided
for lower extremities and allow estimation of ED for commonly used clinical
musculoskeletal CT and CT angiographic protocols.
PMID- 24937692
TI - Assessment of regional lung function with multivolume (1)H MR imaging in health
and obstructive lung disease: comparison with (3)He MR imaging.
AB - PURPOSE: To introduce a method based on multivolume proton (hydrogen [(1)H])
magnetic resonance (MR) imaging for the regional assessment of lung ventilatory
function, investigating its use in healthy volunteers and patients with
obstructive lung disease and comparing the outcome with the outcome of the
research standard helium 3 ((3)He) MR imaging. MATERIALS AND METHODS: The
institutional review board approved the HIPAA-compliant protocol, and informed
written consent was obtained from each subject. Twenty-six subjects, including
healthy volunteers (n = 6) and patients with severe asthma (n = 11) and mild (n =
6) and severe (n = 3) emphysema, were imaged with a 1.5-T whole-body MR unit at
four lung volumes (residual volume [ RV residual volume ], functional residual
capacity [ FRC functional residual capacity ], 1 L above FRC functional residual
capacity [ FRC+1 L 1 L above FRC ], total lung capacity [ TLC total lung capacity
]) with breath holds of 10-11 seconds, by using volumetric interpolated breath
hold examination. Each pair of volumes were registered, resulting in maps of (1)H
signal change between the two lung volumes. (3)He MR imaging was performed at
FRC+1 L 1 L above FRC by using a two-dimensional gradient-echo sequence. (1)H
signal change and (3)He signal were measured and compared in corresponding
regions of interest selected in ventral, intermediate, and dorsal areas. RESULTS:
In all volunteers and patients combined, proton signal difference between TLC
total lung capacity and RV residual volume correlated positively with (3)He
signal (correlation coefficient R(2) = 0.64, P < .001). Lower (P < .001) but
positive correlation results from (1)H signal difference between FRC functional
residual capacity and FRC+1 L 1 L above FRC (R(2) = 0.44, P < .001). In healthy
volunteers, (1)H signal changes show a higher median and interquartile range
compared with patients with obstructive disease and significant differences
between nondependent and dependent regions. CONCLUSION: Findings in this study
demonstrate that multivolume (1)H MR imaging, without contrast material, can be
used as a biomarker for regional ventilation, both in healthy volunteers and
patients with obstructive lung disease.
PMID- 24937695
TI - SOX2 enhances the migration and invasion of ovarian cancer cells via Src kinase.
AB - Ovarian cancer is the leading cause of death among gynecologic cancers and is the
fifth leading cause of all cancer-related deaths among women. The development of
novel molecular targets is therefore important to many patients. Recently, the
SRY-related transcription factor SOX2 has been widely reported to be involved in
multiple pathophysiological diseases, including maintenance of stem cell
characteristics and carcinogenesis. Up to now, SOX2 has been mainly shown to
promote the development of cancer, although its inhibitory roles in cancer have
also been reported. However, the role of SOX2 in ovarian cancer is largely
unknown. In the present study, we detected the expression of SOX2 in 64 human
serous ovarian carcinoma (SOC) tissues and paired corresponding metastatic
specimens using immunohistochemistry. The results showed that the expression of
SOX2 in primary tumors is much lower than that in the corresponding metastatic
lesions. We further found that SOX2 overexpression promotes proliferation,
migration and invasion, while inhibiting adhesion abilities of SOC cells.
Finally, we found that SOX2 targets Src kinase, a non-receptor tyrosine kinase
that regulates cell migration, invasion and adhesion in SOC cells. Together,
these results suggested that Src kinase is a key molecule in SOX2-mediated
migration and invasion of SOC cells.
PMID- 24937696
TI - Female sexual dysfunction in patients with spinal cord injury: a study from Iran.
AB - OBJECTIVES: We assess the prevalence of sexual dysfunction in patients with
spinal cord injury (SCI), compare sexual function and sexual distress between
female patients with SCI and gender-matched healthy controls, and address risk
factors associated with sexual dysfunction among Iranian female patients with
SCI. SETTING: Brain and Spinal Cord Injury Research Center, Neuroscience
Institute, Tehran University of Medical Sciences, Tehran, Iran. METHODS: Eligible
Iranian female patients with SCI were included in this cross-sectional study.
They were asked to provide sociodemographic information, and complete the Female
Sexual Function Index, Hospital Anxiety and Depression Scale and Female Sexual
Distress Scale-Revised questionnaire. RESULTS: Of the 105 patients participated
in this study, the average age was 41.0 (s.d.=10.1) years. Women with SCI
reported significantly higher levels of sexual dysfunction compared with normal
controls. Approximately, 88% of SCI patients reported at least one type of sexual
dysfunction, whereas only 37% of healthy controls reported sexual dysfunction.
Lack of vaginal lubrication was reported more frequent in SCI patients compared
with controls. Women with SCI reported a significantly higher level of sexual
distress compared with healthy women. Sexual dysfunction was observed to be
significantly higher in older patients, those with less education, patients with
complete lesions, those with sexual distress and patients who were anxious and
depressed. CONCLUSION: Sexual dysfunction is highly prevalent among Iranian women
with SCI. Sexual dysfunction is associated with age, education, symptoms of
depression and anxiety and level of injury. Sexual counseling during the
rehabilitation period may help to prevent sexual dysfunction following SCI.
PMID- 24937697
TI - Participation in sport in persons with spinal cord injury in Switzerland.
AB - STUDY DESIGN: Secondary data analysis of a questionnaire-based, cross-sectional
survey in persons with spinal cord injury (SCI) in Switzerland. OBJECTIVE: To
describe the frequency of participation in sport (PiS) and to identify correlates
for PiS in persons with SCI in Switzerland. SETTING: Community
sampleMethods:Frequency of PiS was assessed retrospectively for the time before
the onset of SCI and the time of the survey using a single-item question. A
comprehensive set of independent variables was selected from the original
questionnaire. Descriptive statistics, bivariate analyses and ordinal regressions
were carried out. RESULTS: Data from 505 participants were analyzed. Twenty
independent variables were selected for analyses. PiS decreased significantly
from the time before the onset of SCI to the time of the survey (P<0.001). Sport
levels were significantly lower in women than men for the time of the survey
(P<0.001), whereas no difference was observed before onset of SCI (P=0.446).
Persons with tetraplegia participated significantly less often in sport than
persons with paraplegia (P<0.001). Lesion level, active membership in a club,
frequency of PiS before the onset of SCI and the subjective evaluation of the
importance of sport correlate with PiS. When controlling for gender differences,
only the subjective importance of sport for persons with SCI determines PiS,
particularly among women. CONCLUSIONS: Persons with tetraplegia and women need
special attention when planning interventions to improve PiS. Furthermore, the
subjective importance of sport is important for PiS, particularly among women,
whereas most other factors were only weakly associated with PiS.
PMID- 24937698
TI - Spinal cord injury in older population in Turkey.
AB - STUDY DESIGN: Retrospective, comparative 4-year study. OBJECTIVES: To identify
the clinical characteristics unique to older patients with spinal cord injury
(SCI). SETTING: Turkish Armed Forces Rehabilitation Center, Ankara, Turkey.
METHODS: The study included 870 consecutive patients with SCI that were divided
into two groups according to age. Patients aged ?60 years at the time of injury
constituted the study group, and randomly selected patients aged <60 years that
were matched for gender, week of admission and time since injury constituted the
control group. Patients' demographic and clinical characteristics were recorded,
compared and analyzed. RESULTS: The study group included 73 SCI patients (mean
age: 66.98+/-6.28 years) and the control group included 75 SCI patients (mean
age: 33.93+/-10.67 years). Among the 148 patients, 98 (66.2%) were male. The vast
majority of lesions were at the thoracic level (47.3%). In the older group, falls
were the most frequent etiology (32.9%), simple falls predominated (62.5%). 49.3%
of the study group vs 18.6% of the control group had a non-traumatic cause of
SCI. Older patients were found to be less likely to have complete injury (27.4 vs
44%, P=0.035). The most common bladder management method was intermittent
catheterization (69.6%) and the number of patients in each group treated with
this method did not differ significantly (P>0.05). More patients in the study
group had neuropathic pain (50.7 vs 34.7%, P=0.049) and abnormal urinary
ultrasound findings (23.3 vs 9.3%, P=0.021). CONCLUSION: RESULTS revealed that
older patients with SCI may have different demographic and clinical features
compared with younger patients.
PMID- 24937699
TI - Using Rasch motor FIM individual growth curves to inform clinical decisions for
persons with paraplegia.
AB - STUDY DESIGN: A longitudinal retrospective study. OBJECTIVE: To better understand
individual-level temporal change in functional status for participants with
paraplegia in the National Spinal Cord Injury Database (NSCID), as measured by
Rasch Transformed Motor Functional Indepedence Measure (FIM) scores. SETTING:
Multicenter/Multistate longitudinal study across the United States. METHODS: Non
linear random effects modeling, that is, individual growth curve analysis of
retrospective data obtained from the National Institute on Disability and
Rehabilitation Research (NIDRR) NSCID. RESULTS: We generated non-linear
individual level trajectories of recovery for Rasch Transformed Motor FIM scores
that rise rapidly from inpatient rehabilitation admission to a plateau.
Trajectories are based on relationships between growth parameters and patient and
injury factors: race, gender, level of education at admission, age at injury,
neurological level at discharge, American Spinal Injury Association Impairment
Scale (AIS) at discharge, days from injury to first system inpatient
rehabilitation admission, rehabilitation length of stay, marital status and
etiology. On the basis of study results, an interactive tool was developed to
represent individual level longitudinal outcomes as trajectories based upon an
individual's given baseline characteristics, that is, information supplied by the
covariates and provides a robust description of temporal change for those with
paraplegia within the NSCID. CONCLUSIONS: This methodology allows researchers and
clinicians to generate and better understand patient-specific trajectories
through the use of an automated interactive tool where a nearly countless number
of longitudinal paths of recovery can be explored. Projected trajectories holds
promise in facilitating planning for inpatient and outpatient services, which
could positively impact long term outcomes.
PMID- 24937701
TI - Comprehensive overview of the structure and regulation of the glucocorticoid
receptor.
AB - Glucocorticoids are among the most prescribed drugs worldwide for the treatment
of numerous immune and inflammatory disorders. They exert their actions by
binding to the glucocorticoid receptor (GR), a member of the nuclear receptor
superfamily. There are several GR isoforms resulting from alternative RNA
splicing and translation initiation of the GR transcript. Additionally, these
isoforms are all subject to several transcriptional, post-transcriptional, and
post-translational modifications, all of which affect the protein's stability
and/or function. In this review, we summarize recent knowledge on the distinct GR
isoforms and the processes that generate them. We also review the importance of
all known transcriptional, post-transcriptional, and post-translational
modifications, including the regulation of GR by microRNAs. Moreover, we discuss
the crucial role of the putative GR-bound DNA sequence as an allosteric ligand
influencing GR structure and activity. Finally, we describe how the differential
composition and distinct regulation at multiple levels of different GR species
could account for the wide and diverse effects of glucocorticoids.
PMID- 24937700
TI - Electromechanical abdominal massage and colonic function in individuals with a
spinal cord injury and chronic bowel problems.
AB - STUDY DESIGN: A prospective intervention of noninvasive abdominal massage using
an electromechanical apparatus on bowel function in individuals with spinal cord
injury (SCI). OBJECTIVES: To evaluate the effects of noninvasive abdominal
massage using an electromechanical apparatus on bowel function in individuals
with SCI and chronic bowel problems. This easy-to-use apparatus can be applied by
the patients at home without the help of a therapist. SETTING: Homes of community
living individuals. METHODS: Twenty-one subjects with SCI were instructed to use
the massage apparatus daily for 20 min during a 10-week period. Compliance,
effects, side effects and user satisfaction were assessed using questionnaires.
RESULTS: Fifteen subjects completed the 10-week period. Although some
characteristics of defecation changed positively for some of the subjects (time
to result, amount, consistency), none felt better or more confident after using
the massage device. In addition, some individuals experienced negative side
effects (predominantly pain or discomfort). The overall satisfaction with the
device is ambiguous, with half of the group judging the device as insufficient
and the other half as at least adequate. CONCLUSION: The use of an
electromechanical massage device does not improve bowel function in most
individuals with SCI who have chronic bowel problems. Why some subjects benefit
and others do not should be investigated in future studies.
PMID- 24937704
TI - Mechanism of fast pyrolysis of lignin: studying model compounds.
AB - Fast pyrolysis of lignin is one of the most promising methods to convert the
complex and irregular structure of lignin into renewable chemicals and fuel.
During pyrolysis the complex set of radical reactions, rearrangements, and
eliminations is influenced by temperature, pressure, and the lignin origin and
structure. This model compound study aims to understand reaction pathways and how
primary intermediates lead to the observed product selectivity. The pyrolysis
microreactor directly connected to the gas chromatograph with a mass spectrometer
(py-GC/MS) detects the final products, while imaging photoelectron photoion
coincidence (iPEPICO) with VUV synchrotron radiation shows primary decomposition
radicals. The tested model compounds, diphenylether (DPE) and ortho-methoxyphenol
(guaiacol), represent a common lignin linkage and the most present subunit in
lignin, respectively. Radical fragments, such as the hydroxycyclopentadienyl
radical in guaiacol decomposition, are identified by mass-selected threshold
photoelectron spectra (ms-TPES) in excellent agreement with the Franck-Condon
simulation. While homolysis produces phenoxy-, phenyl-, and hydroxyphenoxy
radicals, which are observed in high vacuum, radically initiated reactions are
dominant in ambient conditions and produce recombination and rearrangement
products, such as 2-hydroxybenzaldehyde in the case of guaiacol. The degree of
substitution plays a dominant role in both the stabilization of the intermediate
radical and the following degree of recombination. The recombination of phenoxy
radicals is enhanced compared to hydroxy-phenoxy radicals.
PMID- 24937702
TI - Motesanib (AMG706), a potent multikinase inhibitor, antagonizes multidrug
resistance by inhibiting the efflux activity of the ABCB1.
AB - Cancer cells often become resistant to chemotherapy through a phenomenon known as
multidrug resistance (MDR). Several factors are responsible for the development
of MDR, preeminent among them being the accelerated drug efflux mediated by
overexpression of ATP binding cassette (ABC) transporters. Some small molecule
tyrosine kinase inhibitors (TKIs) were recently reported to modulate the activity
of ABC transporters. Therefore, the purpose of this study was to determine if
motesanib, a multikinase inhibitor, could reverse ABCB1-mediated MDR. The results
showed that motesanib significantly sensitized both ABCB1-transfected and drug
selected cell lines overexpressing this transporter to its substrate anticancer
drugs. Motesanib significantly increased the accumulation of [(3)H]-paclitaxel in
ABCB1 overexpressing cells by blocking the efflux function of ABCB1 transporter.
In contrast, no significant change in the expression levels and localization
pattern of ABCB1 was observed when ABCB1 overexpressing cells were exposed to
3MUM motesanib for 72h. Moreover, motesanib stimulated the ATPase activity of
ABCB1 in a concentration-dependent manner, indicating a direct interaction with
the transporter. Consistent with these findings, the docking studies indicated
favorable binding of motesanib within the transmembrane region of homology
modeled human ABCB1. Here, we report for the first time, motesanib, at clinically
achievable plasma concentrations, antagonizes MDR by inhibiting the efflux
activity of the ABCB1 transporter. These findings may be useful for cancer
combination therapy with TKIs in the clinic.
PMID- 24937703
TI - Lp(a) is not associated with diabetes but affects fibrinolysis and clot structure
ex vivo.
AB - Lipoprotein (a) [Lp(a)] is a low density lipoprotein (LDL) with one
apolipoprotein (a) molecule bound to the apolipoprotein B-100 of LDL. Lp(a) is an
independent risk factor for cardiovascular disease (CVD). However, the
relationship of Lp(a) to diabetes and metabolic syndrome, both known for
increased CVD risk, is controversial. In a population based study on type two
diabetes mellitus (T2DM) development in women, Lp(a) plasma levels showed the
well known skewed distribution without any relation to diabetes or impaired
glucose tolerance. A modified clot lysis assay on a subset of 274 subjects showed
significantly increased clot lysis times in T2DM subjects, despite inhibition of
PAI-1 and TAFI. Lp(a) plasma levels significantly increased the maximal peak
height of the clot lysis curve, indicating a change in clot structure. In this
study Lp(a) is not related to the development of T2DM but may affect clot
structure ex vivo without a prolongation of the clot lysis time.
PMID- 24937706
TI - Atomic layer-by-layer thermoelectric conversion in topological insulator
bismuth/antimony tellurides.
AB - Material design for direct heat-to-electricity conversion with substantial
efficiency essentially requires cooperative control of electrical and thermal
transport. Bismuth telluride (Bi2Te3) and antimony telluride (Sb2Te3), displaying
the highest thermoelectric power at room temperature, are also known as
topological insulators (TIs) whose electronic structures are modified by
electronic confinements and strong spin-orbit interaction in a-few-monolayers
thickness regime, thus possibly providing another degree of freedom for electron
and phonon transport at surfaces. Here, we explore novel thermoelectric
conversion in the atomic monolayer steps of a-few-layer topological insulating
Bi2Te3 (n-type) and Sb2Te3 (p-type). Specifically, by scanning photoinduced
thermoelectric current imaging at the monolayer steps, we show that efficient
thermoelectric conversion is accomplished by optothermal motion of hot electrons
(Bi2Te3) and holes (Sb2Te3) through 2D subbands and topologically protected
surface states in a geometrically deterministic manner. Our discovery suggests
that the thermoelectric conversion can be interiorly achieved at the atomic steps
of a homogeneous medium by direct exploiting of quantum nature of TIs, thus
providing a new design rule for the compact thermoelectric circuitry at the
ultimate size limit.
PMID- 24937708
TI - Editorial.
PMID- 24937707
TI - Deep brain and cortical stimulation for epilepsy.
AB - BACKGROUND: Despite optimal medical treatment, including epilepsy surgery, many
epilepsy patients have uncontrolled seizures. In the last decades, interest has
grown in invasive intracranial neurostimulation as a treatment for these
patients. Intracranial stimulation includes both deep brain stimulation (DBS)
(stimulation through depth electrodes) and cortical stimulation (subdural
electrodes). OBJECTIVES: To assess the efficacy, safety and tolerability of deep
brain and cortical stimulation for refractory epilepsy based on randomized
controlled trials. SEARCH METHODS: We searched PubMed (6 August 2013), the
Cochrane Epilepsy Group Specialized Register (31 August 2013), Cochrane Central
Register of Controlled Trials (CENTRAL) (The Cochrane Library 2013, Issue 7 of
12) and reference lists of retrieved articles. We also contacted device
manufacturers and other researchers in the field. No language restrictions were
imposed. SELECTION CRITERIA: Randomized controlled trials (RCTs) comparing deep
brain or cortical stimulation to sham stimulation, resective surgery or further
treatment with antiepileptic drugs. DATA COLLECTION AND ANALYSIS: Four review
authors independently selected trials for inclusion. Two review authors
independently extracted the relevant data and assessed trial quality and overall
quality of evidence. The outcomes investigated were seizure freedom, responder
rate, percentage seizure frequency reduction, adverse events, neuropsychological
outcome and quality of life. If additional data were needed, the study
investigators were contacted. Results were analysed and reported separately for
different intracranial targets for reasons of clinical heterogeneity. MAIN
RESULTS: Ten RCTs comparing one to three months of intracranial neurostimulation
to sham stimulation were identified. One trial was on anterior thalamic DBS (n =
109; 109 treatment periods); two trials on centromedian thalamic DBS (n = 20; 40
treatment periods), but only one of the trials (n = 7; 14 treatment periods)
reported sufficient information for inclusion in the quantitative meta-analysis;
three trials on cerebellar stimulation (n = 22; 39 treatment periods); three
trials on hippocampal DBS (n = 15; 21 treatment periods); and one trial on
responsive ictal onset zone stimulation (n = 191; 191 treatment periods).
Evidence of selective reporting was present in four trials and the possibility of
a carryover effect complicating interpretation of the results could not be
excluded in 4 cross-over trials without any washout period. Moderate-quality
evidence could not demonstrate statistically or clinically significant changes in
the proportion of patients who were seizure-free or experienced a 50% or greater
reduction in seizure frequency (primary outcome measures) after 1 to 3 months of
anterior thalamic DBS in (multi)focal epilepsy, responsive ictal onset zone
stimulation in (multi)focal epilepsy patients and hippocampal DBS in (medial)
temporal lobe epilepsy. However, a statistically significant reduction in seizure
frequency was found for anterior thalamic DBS (-17.4% compared to sham
stimulation; 95% confidence interval (CI) -32.1 to -1.0; high-quality evidence),
responsive ictal onset zone stimulation (-24.9%; 95% CI -40.1 to 6.0; high
quality evidence) ) and hippocampal DBS (-28.1%; 95% CI -34.1 to -22.2; moderate
quality evidence). Both anterior thalamic DBS and responsive ictal onset zone
stimulation do not have a clinically meaningful impact on quality life after
three months of stimulation (high-quality evidence). Electrode implantation
resulted in asymptomatic intracranial haemorrhage in 3% to 4% of the patients
included in the two largest trials and 5% to 13% had soft tissue infections; no
patient reported permanent symptomatic sequelae. Anterior thalamic DBS was
associated with fewer epilepsy-associated injuries (7.4 versus 25.5%; P = 0.01)
but higher rates of self-reported depression (14.8 versus 1.8%; P = 0.02) and
subjective memory impairment (13.8 versus 1.8%; P = 0.03); there were no
significant differences in formal neuropsychological testing results between the
groups. Responsive ictal-onset zone stimulation was well tolerated with few side
effects but SUDEP rate should be closely monitored in the future (4 per 340 [=
11.8 per 1000] patient-years; literature: 2.2-10 per 1000 patient-years). The
limited number of patients preclude firm statements on safety and tolerability of
hippocampal DBS. With regards to centromedian thalamic DBS and cerebellar
stimulation, no statistically significant effects could be demonstrated but
evidence is of only low to very low quality. AUTHORS' CONCLUSIONS: Only short
term RCTs on intracranial neurostimulation for epilepsy are available. Compared
to sham stimulation, one to three months of anterior thalamic DBS ((multi)focal
epilepsy), responsive ictal onset zone stimulation ((multi)focal epilepsy) and
hippocampal DBS (temporal lobe epilepsy) moderately reduce seizure frequency in
refractory epilepsy patients. Anterior thalamic DBS is associated with higher
rates of self-reported depression and subjective memory impairment. SUDEP rates
require careful monitoring in patients undergoing responsive ictal onset zone
stimulation. There is insufficient evidence to make firm conclusive statements on
the efficacy and safety of hippocampal DBS, centromedian thalamic DBS and
cerebellar stimulation. There is a need for more, large and well-designed RCTs to
validate and optimize the efficacy and safety of invasive intracranial
neurostimulation treatments.
PMID- 24937709
TI - Aripiprazole: 6-month outcomes in a retrospective naturalistic study.
AB - Aim. The efficacy of aripiprazole has been demonstrated in randomised controlled
trials, but due to inclusion and exclusion criteria, these may not be
representative of clinical practice. The aim of this naturalistic study was to
investigate patients from an acute mental health trust who received aripiprazole,
using treatment discontinuation as a primary outcome measure. Method. All
patients with schizophrenia or schizoaffective disorder who had received
aripiprazole were identified from pharmacy records, and data collected by
retrospective case note review. Results. Seventy subjects were included in the
study. A total of 28 (40%, n=70) patients remained on aripiprazole monotherapy at
6 months. The most common reason for discontinuation was lack of effect, seen in
29 patients; only 12 patients discontinued due to adverse effects. Thirty-five
percent of the subjects had previously been treated with clozapine, although this
did not appear to influence outcome. Conclusion. Despite limitations of the
naturalistic methodology used in this study, it appears that aripiprazole is
relatively well tolerated, and effective in clinical practice. The 40%
continuation rate seen with aripiprazole is comparable to that seen with
risperidone, quetiapine, and perphenazine in the CATIE study at 6 months.
PMID- 24937705
TI - Cultivable bacterial microbiota of northern bobwhite (Colinus virginianus): a new
reservoir of antimicrobial resistance?
AB - The northern bobwhite (Colinus virginianus) is an ecologically and economically
important avian species. At the present time, little is known about the microbial
communities associated with these birds. As the first step to create a quail
microbiology knowledge base, the current study conducted an inventory of
cultivable quail tracheal, crop, cecal, and cloacal microbiota and associated
antimicrobial resistance using a combined bacteriology and DNA sequencing
approach. A total of 414 morphologically unique bacterial colonies were selected
from nonselective aerobic and anaerobic cultures, as well as selective and
enrichment cultures. Analysis of the first 500-bp 16S rRNA gene sequences in
conjunction with biochemical identifications revealed 190 non-redundant species
level taxonomic units, representing 160 known bacterial species and 30 novel
species. The bacterial species were classified into 4 phyla, 14 orders, 37
families, and 59 or more genera. Firmicutes was the most commonly encountered
phylum (57%) followed by Actinobacteria (24%), Proteobacteria (17%) and
Bacteroidetes (0.02%). Extensive diversity in the species composition of quail
microbiota was observed among individual birds and anatomical locations. Quail
microbiota harbored several opportunistic pathogens, such as E. coli and Ps.
aeruginosa, as well as human commensal organisms, including Neisseria species.
Phenotypic characterization of selected bacterial species demonstrated a high
prevalence of resistance to the following classes of antimicrobials: phenicol,
macrolide, lincosamide, quinolone, and sulphate. Data from the current
investigation warrant further investigation on the source, transmission,
pathology, and control of antimicrobial resistance in wild quail populations.
PMID- 24937710
TI - Dynamics of inpatient child psychiatric care in the timeframe 1995-2005 (Vilnius
University Child Developmental Centre).
AB - Objective. The goals of this study were to analyse the formation of a
multidisciplinary team, the variation of patient types and diagnoses in the Child
Developmental Centre as well as the evolution of therapeutic interventions and
trends of psychopharmacology in the timeframe 1995-2005. Methods. Analysis of
medical documentation on personnel resources as well as general information about
patients and applied therapeutic interventions was made. Results. The study
results showed that the number of nurses and caretakers was gradually increasing
and services became more adequate with inclusion of social workers, speech
therapists and teachers. Analysis showed an increase in the following disorders:
mood, hyperkinetic, mixed disorders of conduct and emotions, those with onset
specific to childhood. The total number of children receiving pharmacological
treatment increased. Conclusions. Delivery of adequate inpatient child
psychiatric service is strongly dependent on administrative support, presence of
qualified personnel, and adequate financial funding. There was a significant
increase in the number of certain diagnoses and applied psychopharmacological
treatment over the years studied that reflects international trends for
treatment, prevalence and comorbidity of psychopathology in children. In view of
child needs, establishment of age-specific inpatient units and development of
special treatment programs are essential.
PMID- 24937711
TI - Quality of life and clinical characteristics of patients with generalized and
performance-focused social anxiety disorder: An Italian study.
AB - Introduction. The aim of this study is to investigate quality of life (QoL) and
clinical characteristics of generalized (GSAD) and performance-focused social
anxiety disorder (PFSAD). Method. Our sample includes 41 outpatients with social
anxiety disorder and 100 control subjects. QoL was assessed using the Quality of
Life and Enjoyment questionnaire. Assessments included the MINI Neuropsychiatric
Interview, the Symptom Checklist, the Work and Social Adjustment Scale and the
Clinical Global Impression Scale (CGI). Using the Liebowitz social anxiety scale,
patients were divided into GSAD and PFSAD. Results. QoL of subjects with GSAD was
lower than in controls in most areas, while only the areas of physical health and
leisure time were impaired in patients with PFSAD. Patients with GSAD were more
likely to be depressed, to meet criteria for substance abuse, to have higher
severity scores on the CGI and the SCL-90 compared to PFSAD. Conclusions. GSAD is
associated with widespread worsening of QoL, while patients with PFSAD had a
poorer QoL than controls only in the areas of physical health and leisure time.
PMID- 24937712
TI - An open multicentre pilot study examining the safety, efficacy and tolerability
of fast titrated (800 mg/day by day 4) quetiapine in the treatment of
schizophrenia/schizoaffective disorder.
AB - Objective. Rapid dose escalation of quetiapine could offer prompt and effective
therapy to patients requiring hospitalization for schizophrenia or
schizoaffective disorder. This study evaluated the safety, tolerability, and
efficacy of a rapid dose escalation of quetiapine to 800 mg/day over 4 days in
patients with severe psychotic symptoms diagnosed as schizophrenia or
schizoaffective disorder. Methods. In this open-label, multicenter, pilot study,
14 patients aged 18 years or older, requiring hospitalization for schizophrenia
or schizoaffective disorder, received quetiapine orally twice daily for 14 days.
Quetiapine was administered according to the schedule: 200, 400, 600, and 800
mg/day on the first four treatment days, followed by flexible dosing within the
range 400-800 mg/day during the next 10 days. The primary endpoint was to
evaluate the safety and tolerability of a fast titration of quetiapine (200, 400,
600, 800 mg/day on the first four treatment days). Effectiveness of a fast
titration of quetiapine was the secondary objective of this investigation.
Efficacy assessments in the intent-to-treat (ITT) population included changes in
the Positive and Negative Syndrome Scale (PANSS) and the Clinical Global
Impression Severity of Illness (CGI-S) scores from Day 1 (baseline) to Day 14.
Results. In 4 days 14 patients were titrated up to a dose of 800 mg/day. Ten
patients were diagnosed with schizophrenia, one subject was suffering from
schizoaffective disorder of the depressive type and three patients were diagnosed
with schizoaffective disorder of the bipolar type. Eleven patients (79%)
completed the study. Two patients discontinued the trial because of non
compliance and one patient because of a prolonged QTcB interval. Overall, 29 AEs
were reported during this trial, all were considered mild or moderate in
severity. During the first 7 days of the trial, 25 AEs were reported in 11
patients. The majority of AEs were considered as possibly related to the study
medication. No deaths or serious adverse events were reported. Physical
examination at the last trial visit revealed no clinically relevant changes
versus baseline and there were no consistent changes over time in vital signs.
The BARS and SAS scores indicated an improvement of EPS during the study. After 4
days of fast titration, the mean total PANSS score decreased from 92.8 at
baseline to a value of 87.4, there was a further decrease to 78.2 at endpoint.
This corresponds to a statistically significant decrease by 14.6 versus baseline
(P<0.01). After 4 days of fast titration, the mean CGI-S score was improved from
4.7 at baseline to a value of 4.3 and improved further to 3.8 at endpoint,
corresponding to a statistically significant decrease of 0.9 points versus
baseline (P<0.01). Conclusion. In this study, fast titration of quetiapine to 800
mg/day over 4 days was generally well tolerated and effective in reducing
psychotic symptoms in patients requiring hospitalization for
schizophrenia/schizoaffective disorder.
PMID- 24937713
TI - Early maladaptive schemas activated in patients with obsessive compulsive
disorder: A cross-sectional study.
AB - Aim. The aim of the present article is to investigate the activation patterns of
early maladaptive schemas (EMSs) in patients with obsessive-compulsive disorder
(OCD). Method. During the time between 1 January 2006 and 1 April 2006, 45
consecutive patients from an outpatient facility of a general hospital and 45 age
and gender-matched healthy control subjects from the hospital staff were
included in the study. They were administered the Structured Clinical Interview
for Diagnosis of DSM-IV Mental Disorders (SCID-1), the Structured Clinical
Interview for DSM-III-R Personality Disorders (SCID-2), the Young Schema
Questionnaire-Short Form (YSQ-SF), the Young Parenting Inventory (YPI) and the
Yale-Brown Obsessive Compulsive Scale (Y-BOCS). The results were assessed using
GraphPad Prisma V.3 statistical program. Results. The YSQ total score of the OCD
group was significantly higher than the control group (t=3.62, P<0.0001). The
average scores of the patients with OCD on certain schemas were significantly
higher than the average scores of the control group, although the others did not
make any difference between the OCD and control groups. Conclusion. The study
demonstrates that, in the patients with OCD, most of the early maladaptive
schemas including social isolation, vulnerability and pessimism, are prominently
activated.
PMID- 24937714
TI - Anxiety and depression and their influence on the quality of life after total hip
replacement: preliminary report.
AB - Objective. The study investigated health-related quality of life in relation to
mental status (anxiety and depression) and demographic factors in patients before
and after total hip replacement due to osteoarthrosis. Methods. The SF-36, HADS,
socio-demographic data questionnaire was delivered to a group of 184 subjects
(108 females, 76 males) at the mean age at the time of surgery of 59 years.
Questionnaires were delivered to the subjects 2 weeks before the surgery and 6
months after the operation (by mail). Results. Patients after hip replacement
showed significant improvement in health-related quality of life in most domains
of SF-36 score and summary scale of PCS (Physical Component Summary Scale) and
MCS (Mental Component Summary Scale). They also showed improvement in mental
status. Elderly patients were more likely to show worse score in MCS and PCS
before and after surgery. BMI (body mass index) was correlated only with
preoperative PCS. Mental status was associated with postoperative PCS and MCS.
Patients who were satisfied with the results of the surgery showed higher PCS and
MCS score. Conclusion. Hip replacement surgery brings significant improvement to
the quality of life. Age and mental status of those patients influence markedly
their postoperative performance.
PMID- 24937715
TI - The management of depression: An international naturalistic survey.
AB - Objective. To investigate the management of major depression by primary care
physicians (GP) and psychiatrists (PSY) in a naturalistic setting. Methods. GP
and PSY from six countries replied to questions on the basis of the records of
patients under treatment for depression. Results. Patients seen by GP and PSY
were similar. Approximately 60% of patients had been treated for less than a year
and about 20% for over 2 years. Continuous depressed mood and loss of interest or
pleasure were present in about 75% of patients at diagnosis. Close to 60% of
patients were treated with SSRIs and 15% with SNRIs. Most patients were also
prescribed an anxiolytic, hypnotic or sedative. Although this was more common in
patients with associated anxiety, 60% of patients with no anxiety at diagnosis
were also prescribed anxiolytics by PSY (30% by GP). Most anxiolytic
prescriptions were maintained throughout the depressive episode. Although the
number of patients achieving full remission was only about 15%, changes in
antidepressant medication during the depressive episode were rare. Conclusion.
This naturalistic survey shows that in everyday practice in a number of countries
adherence to guidelines for the treatment of depression is poor for both GP and
PSY.
PMID- 24937716
TI - Generalized anxiety disorder and the circadian rhythm of blood pressure in
patients with hypertension.
AB - Objective. To investigate the impact of generalized anxiety disorder (GAD) on the
circadian rhythm of blood pressure in patients with hypertension. Methods. The 24
h ambulatory blood pressure was compared between patients with hypertension and
GAD, and patients with hypertension only. Results. The mean day- and night-time
systolic (SBP, 156.6+/-11.0 and 149.6+/-12.4 mmHg, respectively) blood pressure
in patients with GAD was greater than in the no-GAD group (143.2+/-15.0 and
126.0+/-10.8 mmHg, respectively, P<0.01). The mean day- and night-time diastolic
blood pressure (DBP, 101.5+/-10.1 and 96.9+/-17.6 mmHg, respectively) in the GAD
group was also greater than in the no-GAD group (94.1+/-10.9 and 77.4+/-10.2
mmHg, respectively, P<0.01). SBP and DBP dipping was found in 87.5 and 80.1% of
the hypertension only patients, as compared with 16.6 and 21.4% respectively, of
the hypertension and GAD patients (P<0.01). Conclusions. The presence of GAD is
associated with a higher level of blood pressure in hypertensive patients. GAD is
also associated with a diminished circadian rhythm of blood pressure. The
clinical significance of the reduced blood pressure dipping at night requires
further investigation.
PMID- 24937717
TI - Catatonia is frequent in black immigrants admitted to Psychiatry in Canada.
AB - Objective. To assess the prevalence of catatonia in a sample of patients of
African ancestry admitted to Psychiatry in Canada. Methods. Retrospective chart
review of 20 consecutive black francophone inpatients assessed by the same
psychiatrist. Results. The sample consisted of 12 men and eight women aged 17-59
years. Catatonic symptoms were prominent in 30% of this small sample (n=6), more
frequent than the 9% reported in a Canadian general psychiatry population of 140
inpatients (P=0.0126). Conclusions. This finding is limited by the small sample
size and the provenance from troubled countries for most of the patients. It is
consistent with earlier reports of a higher prevalence of catatonia in nonwhite
subjects and among immigrants coming from developing countries, and stresses the
importance of systematically assessing catatonia in clinical settings. Further
research is warranted to distinguish between the effects of ethnic origin and
immigrant status and to determine if the increased prevalence of catatonia is
related to neurobiological causes such as maternal gestational infections or to
socio-cultural factors.
PMID- 24937718
TI - Metabolic effects of olanzapine and risperidone in patients with psychotic
disorders.
AB - Objectives. Atypical anti-psychotic drugs are new medications proposed for
treating psychotic disorders. This study was designed to investigate the
metabolic (blood sugar and lipid) and adverse effects of olanzapine and
risperidone in psychotic patients. Methods. In this randomized double-blinded
clinical trial, psychotic patients were randomly categorized to be treated with
olanzapine or risperidone. All the subjects were initially assessed for blood
sugar and lipids, and, where normal, were included. Blood sugar and lipids
measurements were performed for all subjects at 1 week and after 3 months the
initiation of therapy, and they were assessed for other complications as well.
The data were subsequently analyzed using SPSS software. Results. The levels of
blood sugar, cholesterol, and triglyceride rose significantly after 1 week and 3
months of therapy (P<0.001); the difference in rise of cholesterol and
triglyceride in the olanzapine and the risperidone groups was significant
(P<0.001), whereas the difference in blood sugar rise was not significant
(P>0.05). Other complications including restlessness, impotence, weight gain,
edema and drowsiness were significantly different between the two groups.
Conclusion. According to the study findings, we recommend more caution in the
application of atypical antipsychotic drugs in high risk patients.
PMID- 24937719
TI - A double-blind comparative study of clinical efficacy of verapamil versus lithium
in acute mania.
AB - Objectives. The importance of intracellular calcium ions to processes that may be
involved in mania and the calcium antagonist action of mood stabilizers suggest a
role of verapamil in the treatment of mania. This study was undertaken to compare
the clinical efficacy and tolerability of verapamil versus lithium in manic
patients. Methods. Patients with acute mania were randomized to receive lithium
(N =25) or verapamil (N =25) in a 4-week double-blind comparative study. Both
groups were homogeneous with regard to demographic and disease variables. The
primary efficacy measures were the mean change from the baseline to the last
assessment in BRMS (Bech-Raefelson Mania Rating Scale) and YMRS (Young-Mania
Rating scale) total score. The rating scales were administered to the patients on
day 1, 3, 5, 7, 11, 13, 17, 21, 28 of the study. Attendant's assessment of relief
and adverse events were recorded during the study. Results. Both treatment groups
showed significant decrease in mean BRMS and YMRS score assessed at different
points of time. The study showed an equal efficacy of verapamil compared with
lithium in the treatment of mania. The findings were supported by good
tolerability of verapamil treatment. Conclusion. This study found equal benefit
of verapamil over lithium in treating acute mania.
PMID- 24937720
TI - Buccal midazolam for agitation on psychiatric intensive care wards.
AB - Midazolam is a benzodiazepine known to be effective as a treatment for status
epilepticus and for rapid tranquillisation. Buccal midazolam has recently become
available in the UK. We introduced it as a treatment option for rapid
tranquillisation on our intensive care wards. Buccal midazolam was found to be
effective and well tolerated. Target levels of sedation were achieved in nearly
70% of subjects within half an hour. Activity was evident within 15 minutes. Over
sedation occurred in only one patient at one time point. There were no cases of
respiratory depression. Buccal midazolam deserves further investigation as a non
invasive treatment option in rapid tranquillisation.
PMID- 24937721
TI - The prediction of healthcare utilization by three self-report measures for
borderline personality.
AB - Objective. In this study, we explored the ability of three self-report measures
for borderline personality disorder (BPD) to predict psychiatric and medical
(i.e. non-psychiatric) healthcare utilization. Methods. Using a sample of
convenience and survey methodology, we asked participants (N=120) to complete the
borderline personality scale of the Personality Diagnostic Questionnaire-4 (PDQ
4), the Self-Harm Inventory (SHI), the McLean Screening Inventory for Borderline
Personality Disorder (MSI-BPD), and several variables relating to psychiatric and
medical healthcare utilization. Results. The SHI demonstrated the greatest number
of correlations with healthcare utilization, particularly with regard to
psychiatric care. Conclusions. The SHI provides several functions for clinicians
including the identification of self-harm behaviors, confirmation of the
diagnosis BPD, and prediction of psychiatric healthcare utilization.
PMID- 24937724
TI - Commentary on "Maternal mortality in the Mississippi Delta region".
PMID- 24937723
TI - Maternal mortality in the Mississippi Delta region.
AB - OBJECTIVE: To compare the maternal mortality rate (MMR) in the Mississippi Delta
region of the United States with that of the non-Delta region states. METHODS:
Analyzed data come from national birth certificate and death certificate data for
1999-2007. Data were aggregated for analysis by region, counties of the Delta
Regional Authority, non-Delta regions of the eight Delta states, and the 42 non
Delta states. The MMR was calculated using birth data as the denominator and
maternal mortality data as the numerator. RESULTS: During the 9 years of the
study, there were more than 36 million births in the United States and 5002
reported maternal deaths. The national MMR was 13.5/100,000 (95% confidence
interval [CI] 13.1-13.9/100,000). The MMR reported in the non-Delta states was
13.6/100,000 (95% CI 13.2-14.0/100,000); in the non-Delta counties of the Delta
states, the MMR was 13.1/100,000 (95% CI 12.1-14.0/100,000); and the MMR was
18.5/100,000 (95% CI 16.1-20.9/100,000) in Delta counties. The odds of maternal
death in Delta counties is 1.39 times (95% CI 1.22-1.59) higher compared with non
Delta counties or non-Delta states. There was no statistically significant
difference between the MMR in non-Delta states and the MMR in non-Delta counties
of Delta states. After controlling for maternal race/ethnicity, age, marital
status, and education in a multivariable model, the MMR in the Delta counties
compared with non-Delta counties and non-Delta states remains significantly
increased (odds ratio 1.16, 95% CI 1.01-1.32). CONCLUSIONS: Overall, maternal
mortality is significantly greater in the Delta region of the United States
compared with the non-Delta portion. After controlling for maternal
race/ethnicity, age, marital status, and education, the odds of maternal death
remains 16% higher in the Delta region of the United States compared with the non
Delta United States.
PMID- 24937725
TI - Geographic variations of racial/ethnic disparities in cervical cancer mortality
in Texas.
AB - OBJECTIVES: To examine how racial/ethnic disparities of cervical cancer mortality
vary geographically and to identify factors contributing to the variation.
METHODS: Using the population-weighted risk difference, the authors investigated
geographic patterns of racial/ethnic disparities in cervical cancer mortality in
Texas based on data from 1995 to 2008 georeferenced at the census tract level. In
addition, we considered the impact of seven factors--stage at diagnosis, spatial
access to health care, and five factors that were created from available
demographic data: socioeconomic status (SES), the sociodemographic factor, the
percentage of African Americans, the health insurance factor, and the behavioral
factor--on racial/ethnic disparities in the analysis using multivariate logistic
regression. RESULTS: SES, the sociodemographic factor, the percentage of African
Americans, and racial/ethnic disparities in late-stage diagnosis in a census
tract were independent predictors of a census tract's displaying significant
racial/ethnic disparities in cervical cancer mortality. Compared with a census
tract with the highest SES, a census tract with the lowest SES was more likely to
have higher mortality rates in African Americans (odds ratio 4.19, confidence
interval 2.18-8.07) or Hispanics (odds ratio 8.15, confidence interval 5.27
12.61) than non-Hispanic whites after adjusting for covariates. Health insurance
expenditures also influenced racial/ethnic disparities in mortality, although
this effect was attenuated after adjusting for covariates. Neither our calculated
behavioral factor nor spatial analysis of access to health care explained
racial/ethnic gaps in mortality. CONCLUSIONS: Findings from this study could
allow cervical cancer intervention programs to more clearly identify areas that
would reduce disparities in cervical cancer outcomes.
PMID- 24937726
TI - Endoscopic surveillance for gastric ulcers.
AB - OBJECTIVE: Gastric ulcers (GUs) can be caused by a malignancy, and endoscopists
are challenged with the question of how to rule out underlying malignancy.
Although routine endoscopic surveillance is not advised, it is still overused.
The purpose of this study was to explore the practice in our tertiary referral
center during the last 3 years. METHODS: We retrospectively reviewed all
inpatient and outpatient esophagogastroduodenoscopies (EGDs) that were performed
between November 2009 and November 2012 for GUs. Patients with GUs who normally
would not undergo biopsy, such as patients who present with bleeding or had
stigmata of high-risk bleeding, were excluded. RESULTS: A total of 165 patients
were diagnosed between November 2009 and November 2012 as having GUs on EGD.
Fifty-two patients were excluded because they presented with bleeding or had GUs
that had stigmata of high-risk bleeding. We reviewed the charts of 113 patients
and endoscopic surveillance was recommended for 96 (85%). Of those 96 patients,
72 (64%) underwent repeat EGD. In those 72 patients, GU was still present in 9
patients and was completely healed or healing in 63 patients. Only 25 (22%) GUs
were biopsied at initial EGD, 23 of which were benign and 2 were adenocarcinomas.
No additional malignancy was found on surveillance EGD. CONCLUSIONS: EGD
surveillance for GUs is a common practice, although the guidelines discourage
such a practice. Our rate of endoscopic surveillance was significantly higher
than reported previously (64% vs 25%). In our experience, such a high rate of
surveillance did not reveal any additional gastric malignancy. Alternatively, the
rate of biopsy of GUs at initial EGD is low (22%), which also reflects
endoscopists' preference for endoscopic surveillance.
PMID- 24937727
TI - Prescriptions for a toxic combination: use of methotrexate plus trimethoprim
sulfamethoxazole in the United States.
AB - OBJECTIVES: To determine the frequency of using the potentially toxic combination
of methotrexate and trimethoprim-sulfamethoxazole (TMP-SMX) in outpatient
practice in the United States. METHODS: Data from the National Ambulatory Medical
Care Survey for 1993-2010 were used to assess the frequency of using methotrexate
with TMP-SMX and associated physician specialties and diagnoses. RESULTS: TMP-SMX
was coprescribed in 22,000 methotrexate visits per year (1.0% of methotrexate
visits). Pediatricians prescribed the combination most frequently, and the most
common diagnosis was acute lymphoblastic leukemia. There was no significant
change over time in coprescription of TMP-SMX with methotrexate (P = 0.4).
CONCLUSIONS: Low-dose TMP-SMX with methotrexate chemotherapy appears to be
standard for patients with acute lymphoblastic leukemia; however, other uses
appear questionable, and clinicians should be cognizant of the risk for fatal
interactions, especially when medications are prescribed by multiple providers.
PMID- 24937728
TI - Analysis of fall injuries by body mass index.
AB - OBJECTIVE: To examine the association of body mass index (BMI) and fall injuries.
METHODS: Data were derived from the 2010 Behavioral Risk Factor Surveillance
System and included subjects aged 45 years and older from Texas. The outcome was
self-reported falls that resulted in injury to the respondents. Analysis of fall
injuries by BMI was conducted and standard errors, 95% confidence intervals
(CIs), and coefficients of variation were reported. Complex sample multivariate
Poisson regression was used to examine the association of BMI and fall injuries.
RESULTS: A total of 18,077 subjects were surveyed in 2010, and 13,235 subjects
were aged 45 years old and older. The mean BMI was higher (29.94 vs 28.32
kg/m(2)) among those who reported fall injuries compared with those who did not.
The fall injuries reported by obese respondents (relative risk [RR] 1.67) were
found to be significantly (P = 0.031) higher compared with normal-weight
respondents in the multivariate regression. Other risk factors that had
significant association with fall injuries (when adjusted for BMI) were activity
limitations (RR 5.00, 95% CI 3.36-7.46) compared with no limitations, and not
having formal employment (homemaker: RR 2.68, 95% CI 1.33-5.37; unable to work:
RR 5.01, 95% CI 1.87-13.29; out of work and students: RR 3.21, 95% CI 1.41-7.29)
compared with the employed population. CONCLUSIONS: There is a significant
association between obesity and fall injuries in adults aged 45 years old and
older in Texas. Interventions in fall prevention, although generally targeted at
present to older adults, also should take into account the weight status of the
subjects.
PMID- 24937729
TI - Physicians caring for physicians: the perspective of the primary care physician.
AB - OBJECTIVES: How physicians provide longitudinal primary care to physician
patients (ie, physicians as patients) has not been well studied. The potential
challenges of providing care to physician-patients include maintaining
professional boundaries and adhering to practice guidelines. The objective was to
explore the differences in identifying how physicians perceive caring for
physicians-patients in the longitudinal setting versus caring for other patients
in the general population. METHODS: The study consisted of focus groups, followed
by quantitative survey. Participants were primary care physicians (internal
medicine and family medicine) at an academic multispecialty group practice.
Thematic analysis of focus groups informed the development of the survey.
RESULTS: In focus groups, participants identified several benefits, challenges,
and differences in caring for physician-patients versus the general population.
When these findings were explored further by quantitative survey, participants
noted differences in care regarding chart documentation protocols, communication
of results, and accommodation of schedules. They agreed that there were benefits
to providing care to physician-patients, such as believing their work was valued
and discussing complex issues with greater ease. There also were challenges,
including anxiety or self-doubt. Participants also agreed on the following
strategies when caring for this population: make recommendations based on
evidence-based medicine, follow routine assessment and examination protocols,
follow routine scheduling and communication protocols, recommend the same follow
up visit schedule, and define boundaries of the relationship. CONCLUSIONS:
Physicians perceive caring for physician-patients as different and rewarding,
although some find that it provokes anxiety. Many are willing to make concessions
regarding scheduling and testing. With increasing experience, the anxiety
decreased as did the need to follow protocols and maintain boundaries. Further
investigation is needed to determine the impact of physician experience and
training on the quality of care for physician-patients.
PMID- 24937730
TI - Commentary on "Physicians caring for physicians: the perspective of the primary
care physician".
PMID- 24937732
TI - Commentary on "Feeding after percutaneous endoscopic gastrostomy: experience of
early versus delayed feeding".
PMID- 24937731
TI - Feeding after percutaneous endoscopic gastrostomy: experience of early versus
delayed feeding.
AB - BACKGROUND: Multiple studies have demonstrated that feeding <=4 hours after
placement of a percutaneous endoscopic gastrostomy (PEG) tube is a reasonable
option. Many physicians, however, continue to delay feedings until the next day
or 24 hours; therefore, we evaluated the safety and effect of early feeding (<=4
hours) after PEG placement in our tertiary care center. METHODS: A retrospective
study of 444 patients who underwent PEG between June 2006 and December 2011 was
performed. Early feeding was defined as feeding <=4 hours and delayed feeding was
defined as feeding >4 hours. Statistical analysis was performed using the Fisher
exact test and the Student t test. RESULTS: A total of 444 patients underwent PEG
between June 2006 and December 2011. A majority of PEGs were performed on
inpatients by gastroenterologists. The mean time of feeding after PEG was 3.2 +/-
0.9 hours for the early group (n = 197) and 17.0 +/- 10.0 hours for the delayed
group (n = 247). No statistically significant differences were noted between the
early (<=4 hours) feedings versus the delayed (>4 hours) feedings for overall
morality within 30 days (P = 0.72) and overall complications (P = 1.00).
Furthermore, no statistically significant differences were noted between early
versus delayed feeding for 24-hour mortality (P = 1.00), 24- to 72-hour mortality
(P = 0.20), and 3-30 days mortality (P = 0.86). For each complication, there were
no statistically significant differences noted between the two groups for wound
infection (P = 0.52), melena (P = 0.26), vomiting (P = 0.42), leakage (P = 0.41),
stomatitis (P = 0.13), aspiration pneumonia (P =1.00), and other complications (P
= 0.47). CONCLUSIONS: Feeding <=4 hours after PEG appears to be as safe as
delayed feeding. Based on this study and the literature, strong consideration for
the majority of patients should be undertaken to begin feeding within 4 hours
after PEG.
PMID- 24937733
TI - Using motivational interviewing for smoking cessation in primary care.
AB - OBJECTIVES: To compare the effects of resident physician motivational
interviewing (MI), resident physician MI plus registered nurse (RN), and the
standard of care counseling approach--ask, advise, assess, assist, and arrange
follow-up (5 As)--on current smokers' behaviors (readiness to quit, cigarettes
smoked per day, current smoking rates), self-efficacy to quit smoking, and
nicotine dependence. METHODS: The study design was quasi-experimental
pretest/posttest with a comparison group. Pencil/paper measures were completed in
the clinic setting at baseline and via telephone approximately 1 and 2 months
after the clinic visit. RESULTS: There were no differences among the three groups
in the proportion of participants who quit smoking, and the stages of change did
not differ among the groups or over time. There was a significant time effect and
a decrease in the number of cigarettes smoked per day (F2,160 = 41.04, P <
0.001). Significant group * time interactions were present for self-efficacy
(F4,140 = 8.20, P < 0.001), nicotine dependence (F4,140 = 6.22, P < 0.001) and
satisfaction with clinician (F4,160 = 3.81, P = 0.006). Post hoc analyses showed
that participants in the MI groups smoked fewer cigarettes, had higher self
efficacy, and had lower nicotine dependence scores. Only participants in the MD
plus-RN follow-up group had significant positive changes in satisfaction scores.
CONCLUSIONS: Resident physicians who use MI techniques have a tremendous effect
on patients' smoking behaviors. When the resident physician and the RN worked
together, participants achieved better outcomes.
PMID- 24937734
TI - Patterns of Internet use by gastroenterologists in the management and education
of patients with inflammatory bowel disease.
AB - AIMS: To define the patterns of Internet use among physicians who treat
inflammatory bowel disease (IBD) and physicians' perceptions of their patients'
Internet use. METHODS: An online survey about physician and patient use of the
Internet was created and e-mailed to gastroenterologists nationwide. Surveys were
distributed and collected via an online database and a subsequent statistical
analysis was performed. RESULTS: Of the 1000 e-mail invitations sent to
practicing gastroenterologists in the United States, 223 participants (22.3%)
completed the survey. A total of 183 (82.1%) physicians reported using an
Internet-based reference to assist them in deriving management strategies for
their patients with IBD, with the most commonly utilized resource being UpToDate
followed by PubMed and the Crohn's and Colitis Foundation of America Web site.
Although nearly 80% of gastroenterologists believed that using the Internet
helped them facilitate clinical discussions, 183 participants (82.1%) believed
that inaccurate information found online could sometimes result in increased
clinic time because physicians must spend more time dispelling misleading
information. CONCLUSIONS: Despite a study design biased toward selecting
gastroenterologists who commonly used the Internet, we demonstrated that only 60%
of the providers routinely refer their patients to the Internet. This underscores
the fact that it is important to have a centralized "physician-certified" online
resource to which physicians could readily refer their patients to navigate
through various disease-specific resources without concern that their patients
are receiving unreliable or misleading information.
PMID- 24937735
TI - Acromioclavicular osteoarthritis: a common cause of shoulder pain.
AB - Osteoarthritis of the acromioclavicular joint is a frequent cause of shoulder
pain and can result in significant debilitation. It is the most common disorder
of the acromioclavicular joint and may arise from a number of pathologic
processes, including primary (degenerative), posttraumatic, inflammatory, and
septic arthritis. Patients often present with nonspecific complaints of pain
located in the neck, shoulder, and/or arm, further complicating the clinical
picture. A thorough understanding of the pertinent anatomy, disease process,
patient history, and physical examination is crucial to making the correct
diagnosis and formulating a treatment plan. Initial nonoperative management is
aimed at relieving pain and restoring function. Typical treatments include anti
inflammatory medications, physical therapy, and injections. Patients who continue
to exhibit symptoms after appropriate nonsurgical treatment may be candidates for
operative resection of the distal clavicle through either open or arthroscopic
techniques.
PMID- 24937736
TI - Tiotropium in the treatment of patients with asthma.
AB - BACKGROUND: Asthma is a chronic disease with a significant disease burden, and
many patients fail to achieve disease control despite recommended medical
therapy. Recent evidence suggests that there may be benefits to the use of the
long-acting anticholinergic agent tiotropium in patients with asthma. METHODS: We
performed a systematic review of the literature to determine the role of
tiotropium in management of adult patients with asthma. RESULTS: In six studies,
1773 patients were randomized and 1057 received tiotropium as an intervention.
The mean prebronchodilator forced expiratory volume in 1 second was 60.1%. All
six studies reported a small but statistically significant improvement in
spirometry when using tiotropium as compared with the control. Improvement was
reported in patients with both moderate and severe asthma, patients uncontrolled
on previous regimens, patients treated with low- to high-dose inhaled
corticosteroids regimens, and patients treated with and without long-acting beta
agonist therapy. Five studies evaluated clinical outcomes with tiotropium with
variable success rates. Although a large randomized trial demonstrated a
reduction in the frequency of severe asthma exacerbation with tiotropium, there
is insufficient evidence to support the argument that tiotropium therapy leads to
improvements in asthma symptom measures, asthma control days, asthma-related
quality-of-life-scores, or rescue inhaler use. CONCLUSIONS: There is strong
evidence supporting improvement in spirometry and asthma exacerbations with
tiotropium in patients with moderate and severe asthma; therefore, tiotropium may
be an effective intervention in patients with asthma.
PMID- 24937737
TI - Commentary on "Tiotropium in the treatment of patients with asthma".
PMID- 24937741
TI - High kinetic energy ion mobility spectrometer: quantitative analysis of gas
mixtures with ion mobility spectrometry.
AB - We present a high kinetic energy ion mobility spectrometer (HiKE-IMS) for
quantitative gas analysis. Drift tube and reaction tube can be operated at
reduced fields up to 110 Td. At such conditions the distribution of reactant ion
water clusters is shifted toward smaller clusters. Due to the resulting presence
of bare reactant ions (e.g., H3O(+)) and the kinetic control of the ionization
process with decreasing reaction time, unlike conventional IMS, a quantitative
detection with ppbv detection limits of low proton affine analytes even in humid
gas mixtures containing high proton affine compounds is possible using a direct
sample gas inlet. A significantly improved dynamic range compared to conventional
IMS is achieved. An incremental change in reduced fields enables the observation
of parameters like field dependent ion mobilites or analyte fragmentation. Also,
the characteristic of the analyte signal with respect to the reduced reaction
field gives insight into the ionization process of the analyte. Thus, HiKE-IMS
enables substance identification by ion mobility and additional analytical
information that are not observed with conventional IMS. The instrumental effort
is similar to conventional desktop IMS with overall dimensions of the drift and
reaction tube of 4 cm * 4 cm * 28.5 cm. However, the mobility resolution is
limited and between 30 and 40. Because of the moisture independent ionization and
the decrease in competing ion-molecule reactions, no preseparation or membrane
inlet is necessary when the compounds of interest are distinguishable either by a
significant difference in ion mobility or the additional analytical information.
PMID- 24937740
TI - Efficient and regiospecific syntheses of peptides with piperazic and
dehydropiperazic acids via a multicomponent reaction.
AB - Peptides containing N2-acyl piperazic or 1,6-dehydropiperazic acids can be formed
efficiently via a novel multicomponent reaction of 1,4,5,6-tetrahydropyridazines,
isocyanides, and carboxylic acids. Remarkably, the reaction's induced
intramolecularity can enable the regiospecific formation of products with N2-acyl
piperazic acid, which counters the intrinsic and troublesome propensity for
piperazic acids to react at N1 in acylations. The utility of the methodology is
demonstrated in the synthesis of the bicyclic core of the interleukin-1beta
converting enzyme inhibitor, Pralnacasan.
PMID- 24937739
TI - Increased response to a 5-HT challenge after discontinuation of chronic serotonin
uptake inhibition in the adult and adolescent rat brain.
AB - Little is known about the effects of chronic fluoxetine on 5-HT transmission in
the adolescent brain, even though it is acknowledged that the neuroplasticity of
the brain during childhood and adolescence might influence the neurobiological
mechanisms underlying treatment response. Also, possible ongoing effects on
monoamine function following drug discontinuation are unidentified. We therefore
examined the chronic effects of fluoxetine on extracellular 5-HT and dopamine
concentrations in the medial prefrontal cortex and studied their responsiveness
to an acute 5-HT challenge after a one-week washout period, both in adolescent
and adult rats. Noradrenaline was measured in adult animals only. Fluoxetine
increased 5-HT to 200-300% of control and DA and NA to 150% of control. Although
there were no lasting effects of chronic fluoxetine on basal monoamine levels, we
observed a clear potentiating effect of previous treatment on the fluoxetine
induced increase in extracellular 5-HT and, to a lesser extent, extracellular DA.
No differential effect was found for noradrenaline. Age-at-treatment did not
influence these results. So, after cessation of chronic fluoxetine treatment 5-HT
responsiveness remains heightened. This may be indicative of the continuing
presence of 5-HT receptor desensitization, at least until one week after drug
discontinuation in rats. No apparent age-at-treatment effects on extracellular
monoamine concentrations in the medial prefrontal cortex were detected, but age
related differences in 5-HT transmission further down-stream or in the recovery
processes cannot be ruled out.
PMID- 24937742
TI - To ID or not to ID? Changes in classification rates of intellectual disability
using DSM-5.
AB - The Diagnostic and Statistical Manual of Mental Disorders-Fifth Edition (DSM-5)
diagnostic criteria for intellectual disability (ID) include a change to the
definition of adaptive impairment. New criteria require impairment in one
adaptive domain rather than two or more skill areas. The authors examined the
diagnostic implications of using a popular adaptive skill inventory, the Adaptive
Behavior Assessment System-Second Edition, with 884 clinically referred children
(ages 6-16). One hundred sixty-six children met DSM-IV-TR criteria for ID;
significantly fewer (n = 151, p = .001) met ID criteria under DSM-5 (9%
decrease). Implementation of DSM-5 criteria for ID may substantively change the
rate of ID diagnosis. These findings highlight the need for a combination of
psychometric assessment and clinical judgment when implementing the adaptive
deficits component of the DSM-5 criteria for ID diagnosis.
PMID- 24937743
TI - Facilitators and barriers to physical activity as perceived by older adults with
intellectual disability.
AB - Older people with intellectual disability (ID) are characterized by low physical
activity (PA) levels. PA is important for reducing health risks and maintaining
adequate fitness levels for performing activities of daily living. The aim of
this study was to explore preferences of older adults with ID for specific
physical activities, and to gain insight into facilitators and barriers to
engaging into PA. Fourteen in-depth interviews and four focus groups were
undertaken, with a total of 40 older adults with mild and moderate ID included in
the analysis. NVivo software was used for analysing the transcribed verbatim
interviews. In total, 30 codes for facilitators and barriers were identified.
Themes concerning facilitators to PA were enjoyment, support from others, social
contact and friendship, reward, familiarity, and routine of activities. Themes
concerning barriers to PA were health and physiological factors, lack of self
confidence, lack of skills, lack of support, transportation problems, costs, and
lack of appropriate PA options and materials. The results of the present study
suggest that older adults with ID may benefit from specific PA programs, adapted
to their individual needs and limitations. Results can be used for developing
feasible health promotion programs for older adults with ID.
PMID- 24937744
TI - Hospitalizations of adults with intellectual disability in academic medical
centers.
AB - Individuals with intellectual disability (ID) represent a small but important
group of hospitalized patients who often have complex health care needs.
Individuals with ID experience high rates of hospitalization for ambulatory
sensitive conditions and high rates of hospitalizations in general, even when in
formal community care systems; however, no research was found on the common
reasons for which this population is hospitalized. Academic medical centers often
treat the most complex patients, and data from these centers can provide insight
into the needs of patient populations with complex needs. The purpose of this
study was to analyze descriptive data from the UHC (formerly known as the
University Healthsystem Consortium; an alliance of 115 U.S. academic medical
centers and 300 of their affiliated hospitals) regarding common reasons for
hospitalization, need for intensive care, and common hospitalization outcome
measures of length of stay and complications for adult (age >= 18) patients with
ID. Findings indicate the need for specific attention to the needs of
hospitalized patients with ID.
PMID- 24937746
TI - What it's like to grow older: the aging perceptions of people with an
intellectual disability in Ireland.
AB - The Intellectual Disability Supplement to The Irish Longitudinal Study on Ageing
is a national longitudinal study on the aging of people with an intellectual
disability (ID) using a randomly selected sample of people with ID over the age
of 40. In total, 367 people with an ID completed the aging perception self-report
only section. Over 57% of people described their health as very good to excellent
with no significant difference in health perceptions found for gender, level of
ID, or living circumstance. Exploring people's perceptions utilizing PASW Text
Analytics for Surveys 4.1 perceptions often supported negative views of the
consequences of aging. These findings suggest challenging negative aging concepts
is essential to promote positivity with associated improved health and wellbeing.
PMID- 24937745
TI - U.S. emergency department admissions for nontraumatic dental conditions for
individuals with intellectual and developmental disabilities.
AB - The authors hypothesized that individuals with intellectual and developmental
disabilities (IDDs) are more likely to have an emergency department (ED)
admission for nontraumatic dental conditions (NTDCs). The authors analyzed 2009
U.S. National Emergency Department Sample data and ran logistic regression models
for children ages 3-17 years and adults age 18 years or older. The prevalence of
NTDC-related ED admissions was 0.8% for children and 2.0% for adults. Children
with IDDs were at increased odds of NTDC-related ED admission, but this
difference was not statistically significant (odds ratio [OR] = 1.06; 95%
confidence interval [CI] = 0.91, 1.23). Adults with IDDs had significantly
lower odds of an ED admission for NTDCs (OR = 0.49; 95% CI = 0.44, 0.54).
Children with IDDs are not at increased odds of NTDC-related ED admissions,
whereas adults with IDDs are at significantly reduced odds.
PMID- 24937747
TI - Intellectual and developmental disabilities in Kinshasa, Democratic Republic of
the Congo: causality and implications for resilience and support.
AB - This article reports results of a 7-month qualitative study on intellectual and
related developmental disabilities in Kinshasa, Democratic Republic of the Congo,
particularly as they relate to the causes and meaning of intellectual and
developmental disabilities (IDD). This study raises important questions related
to the understanding of resilience of persons affected by IDD and the nature and
purpose of support they use or desire.
PMID- 24937751
TI - Obestatin and Nt8U influence glycerolipid metabolism and PPAR gamma signaling in
mice.
AB - Obestatin, its N-terminal fragment and the N-terminal fragment analog Nt8U were
previously shown to reduce food intake, gain in body weight and triglyceride
levels in albino mice. To establish their mode of action, mRNA profiling of the
epididymal adipose tissue of mice treated with these peptides were performed. The
differential expressions were markedly indicative of their involvement in lipid
metabolism. Obestatin showed a significant upregulation of the genes patatin-like
phospholipase domain containing 3, diacylglycerol O-acyltransferase 2,
monoglyceride lipase, aldo-keto reductase family 1, member 7 which are involved
in glycerolipid metabolism. It also upregulated peroxisome proliferator-activated
receptor gamma, retinoid X receptor gamma, cluster of differentiation 36,
adiponectin, C1Q and collagen domain containing, angiopoietin-like 4, lipoprotein
lipase, stearoyl-coenzyme A and desaturase 3 involved in the peroxisome
proliferator-activated receptor signaling pathway. Nt8U upregulated genes
implicated in the same two pathways but with lesser significance and also
upregulated APOL2. The N-terminal fragment though differentially regulated a
small subset of the genes differentially regulated by obestatin and Nt8U, no
conclusive evidence was obtained as to assign a specific pathway for its mode of
action. We hypothesize that reduced food intake brought about by obestatin and
Nt8U triggers lipid catabolism. The free fatty acids and lysophosphatidic acid
thus produced in turn activates peroxisome proliferator-activated receptor gamma
and the genes involved in peroxisome proliferator-activated receptor signaling.
All of them together lead to reduction in gain in bodyweight, stored fat and
circulating lipids. These results also correlate well with the observed efficacy
of the peptides.
PMID- 24937750
TI - Microtubules depolymerization caused by the CK1 inhibitor IC261 may be not
mediated by CK1 blockage.
AB - The ubiquitously expressed serine/threonine specific casein kinase 1 (CK1) family
plays important roles in the regulation of various physiological processes. Small
molecule inhibitors, such as the CK1delta/epsilon selectively inhibitor IC261,
have been used to antagonize CK1 phosphorylation events in cells in many studies.
Here we present data to show that, similarly to the microtubule destabilizing
agent nocodazole, IC261 depolymerizes microtubules in interphase cells. IC261
treatment of interphase cells affects the morphology of the TGN and Golgi
apparatus as well as the localization of CK1delta, which co-localizes with COPI
positive membranes. IC261-induced depolymerization of microtubules is rapid,
reversible and can be antagonized by pre-treatment of cells with taxol. At lower
concentrations of IC261, mitotic spindle microtubule dynamics are affected; this
leads to cell cycle arrest and, depending on the cellular background, to
apoptosis in a dose-dependent manner. In addition, FACS analysis revealed that
IC261 could induce apoptosis independent of cell cycle arrest. In summary this
study provides additional and valuable information about various IC261-induced
effects that could be caused by microtubule depolymerization rather than by
inhibition of CK1. Data from studies that have used IC261 as an inhibitor of CK1
should be interpreted in light of these observations.
PMID- 24937752
TI - Architectural and functional similarities between trimeric ATP-gated P2X
receptors and acid-sensing ion channels.
AB - ATP-gated P2X receptors and acid-sensing ion channels are two distinct ligand
gated ion channels that assemble into trimers. They are involved in many
important physiological functions such as pain sensation and are recognized as
important therapeutic targets. They have unrelated primary structures and respond
to different ligands (ATP and protons) and are thus considered as two different
ion channels. As a consequence, comparisons of the biophysical properties and
underlying mechanisms have only been rarely made between these two channels.
However, the recent determination of their molecular structures by X-ray
crystallography has revealed unexpected parallels in the architecture of the two
pores, providing a basis for possible functional analogies. In this review, we
analyze the structural and functional similarities that are shared by these
trimeric ion channels, and we outline key unanswered questions that, if addressed
experimentally, may help us to elucidate how two unrelated ion channels have
adopted a similar fold of the pore.
PMID- 24937753
TI - Characteristics and roles of the volume-sensitive outwardly rectifying (VSOR)
anion channel in the central nervous system.
AB - Cell volume regulation (CVR) is essential for all types of cells in the central
nervous system (CNS) to counteract cell volume changes that may be associated
with neuronal activities or diseases and with osmosensing in the hypothalamus, to
facilitate morphological changes during cell proliferation, differentiation and
migration, and to execute apoptosis of cells. The regulation is attained by
regulating the net influx or efflux of solutes and water across the plasma
membrane. The volume-sensitive outwardly rectifying (VSOR) anion channel plays a
major role in providing a pathway for anion flux during the regulation. The VSOR
anion channel is permeable not only to Cl(-) ions but also to amino acids like
glutamate and taurine. This property confers a means of intercellular
communications through the opening of the channel in the CNS. Thus exploring the
roles of VSOR anion channels is crucial to understand the basic principles of
cellular functions in the CNS. Here we review biophysical and pharmacological
characteristics of the VSOR anion channel in the CNS, discuss its activation
mechanisms and roles in the CNS reported so far, and give some perspectives on
the next issues to be examined in the near future.
PMID- 24937755
TI - microBEnet: lessons learned from building an interdisciplinary scientific
community in the online sphere.
PMID- 24937754
TI - Transient ischemic attack induced by melted solid lipid microparticles protects
rat brains from permanent focal ischemia.
AB - This study aims to develop a transient ischemic attack (TIA) model in conscious
animals and uses this model to investigate the effect of TIA on subsequent
permanent ischemia. TIA was induced by injecting designed temperature-sensitive
melted solid lipid microparticles with a melting point around body temperature
into male Wistar rats via arterial cannulation. Neurologic deficit was monitored
immediately after the injection without anesthesia. According to the clinical
definition of TIA, rats were divided into neurologic symptom durations <24-h, 24
48-h and >=48-h groups. The lipid microparticle-induced infarct volumes were
small in the <24-h and 24-48-h groups, while the volumes were five times larger
in the >=48-h group. Permanent ischemic stroke was induced 3d after the induction
of TIA by injecting a different kind of embolic particle manufactured by blending
chitin and PLGA. The <24-h group had less severe neurologic deficits and smaller
infarct volumes than that of 24-48-h and control (without prior lipid
microparticle treatment) rats. Taken together, we successfully develop a TIA
animal model which allows us to monitor the neurologic deficit in real-time. By
adopting this model, we validate that TIA (<24h) preconditioning protects the
brain from subsequent permanent ischemic stroke.
PMID- 24937756
TI - Comparative analysis of deep-sea bacterioplankton OMICS revealed the occurrence
of habitat-specific genomic attributes.
AB - Bathyal aphotic ocean represents the largest biotope on our planet, which
sustains highly diverse but low-density microbial communities, with yet untapped
genomic attributes, potentially useful for discovery of new biomolecules,
industrial enzymes and pathways. In the last two decades, culture-independent
approaches of high-throughput sequencing have provided new insights into
structure and function of marine bacterioplankton, leading to unprecedented
opportunities to accurately characterize microbial communities and their
interactions with the environments. In the present review we focused on the
analysis of relatively few deep-sea OMICS studies, completed thus far, to find
the specific genomic patterns determining the lifeway and adaptation mechanisms
of prokaryotes thriving in the dark deep ocean below the depth of 1000m.
Phylogenomic and omic studies provided clear evidence that the bathyal microbial
communities are distinct from the epipelagic counterparts and, along with
generally larger genomes, possess their own habitat-specific genomic attributes.
The high abundance in the deep ocean OMICS of the systems for environmental
sensing, signal transduction and metabolic versatility as compared to the
epipelagic counterparts is thought to enable the deep-sea bacterioplankton to
rapidly adapt to changing environmental conditions associated with resource
scarcity and high diversity of energy and carbon substrates in the bathyal
biotopes. Together with a versatile heterotrophy, mixotrophy and anaplerosis are
thought to enable the deep-sea bacterioplankton to cope with these environmental
conditions.
PMID- 24937757
TI - Identification of genomic features in environmentally induced epigenetic
transgenerational inherited sperm epimutations.
AB - A variety of environmental toxicants have been shown to induce the epigenetic
transgenerational inheritance of disease and phenotypic variation. The process
involves exposure of a gestating female and the developing fetus to environmental
factors that promote permanent alterations in the epigenetic programming of the
germline. The molecular aspects of the phenomenon involve epigenetic
modifications (epimutations) in the germline (e.g. sperm) that are transmitted to
subsequent generations. The current study integrates previously described
experimental epigenomic transgenerational data and web-based bioinformatic
analyses to identify genomic features associated with these transgenerationally
transmitted epimutations. A previously identified genomic feature associated with
these epimutations is a low CpG density (<12/100bp). The current observations
suggest the transgenerational differential DNA methylation regions (DMR) in sperm
contain unique consensus DNA sequence motifs, zinc finger motifs and G-quadruplex
sequences. Interaction of molecular factors with these sequences could alter
chromatin structure and accessibility of proteins with DNA methyltransferases to
alter de novo DNA methylation patterns. G-quadruplex regions can promote the
opening of the chromatin that may influence the action of DNA methyltransferases,
or factors interacting with them, for the establishment of epigenetic marks. Zinc
finger binding factors can also promote this chromatin remodeling and influence
the expression of non-coding RNA. The current study identified genomic features
associated with sperm epimutations that may explain in part how these sites
become susceptible for transgenerational programming.
PMID- 24937758
TI - Field validation of food outlet databases: the Latino food environment in North
Carolina, USA.
AB - OBJECTIVE: Obtaining valid, reliable measures of food environments that serve
Latino communities is important for understanding barriers to healthy eating in
this at-risk population. DESIGN: The primary aim of the study was to examine
agreement between retail food outlet data from two commercial databases, Nielsen
TDLinx (TDLinx) for food stores and Dun & Bradstreet (D&B) for food stores and
restaurants, relative to field observations of food stores and restaurants in
thirty-one census tracts in Durham County, NC, USA. We also examined differences
by proportion of Hispanic population (>=23.4 % Hispanic population) in the
census tract and for outlets classified in the field as 'Latino' on the basis of
signage and use of Spanish language. SETTING: One hundred and seventy-four food
stores and 337 restaurants in Durham County, NC, USA. RESULTS: We found that
overall sensitivity of food store listings in TDLinx was higher (64 %) than
listings in D&B (55 %). Twenty-five food stores were characterized by auditors as
Latino food stores, with 20 % identified in TDLinx, 52 % in D&B and 56 % in both
sources. Overall sensitivity of restaurants (68 %) was higher than sensitivity of
Latino restaurants (38 %) listed in D&B. Sensitivity did not differ substantially
by Hispanic composition of neighbourhoods. CONCLUSIONS: Our findings suggest that
while TDLinx and D&B commercial data sources perform well for total food stores,
they perform less well in identifying small and independent food outlets,
including many Latino food stores and restaurants.
PMID- 24937760
TI - X-ray vs. NMR structure of N-terminal domain of delta-subunit of RNA polymerase.
AB - The crystal structure of the N-terminal domain of the RNA polymerase delta
subunit (Ndelta) from Bacillus subtilis solved at a resolution of 2.0A is
compared with the NMR structure determined previously. The molecule crystallizes
in the space group C222(1) with a dimer in the asymmetric unit. Importantly, the
X-ray structure exhibits significant differences from the lowest energy NMR
structure. In addition to the overall structure differences, structurally
important beta sheets found in the NMR structure are not present in the crystal
structure. We systematically investigated the cause of the discrepancies between
the NMR and X-ray structures of Ndelta, addressing the pH dependence, presence of
metal ions, and crystal packing forces. We convincingly showed that the crystal
packing forces, together with the presence of Ni(2+) ions, are the main reason
for such a difference. In summary, the study illustrates that the two structural
approaches may give unequal results, which need to be interpreted with care to
obtain reliable structural information in terms of biological relevance.
PMID- 24937761
TI - Ultrastructure and mineral composition of the cornea cuticle in the compound eyes
of a supralittoral and a marine isopod.
AB - The cuticle of the cornea in Crustacea is an interesting example of a composite
material compromising between two distinct functions. As part of the dioptric
apparatus of the ommatidia within the complex eye it forms transparent micro
lenses that should as well maintain the mechanical stability of the head capsule.
We analyzed the ultrastructure and composition of the isopod cornea cuticle of
the terrestrial species Ligia oceanica and the marine Sphaeroma serratum. We used
a variety of tissue preparation methods, electron microscopic techniques as well
as electron microprobe analysis and Raman spectroscopic imaging. The results
reveal various structural adaptations that likely increase light transmission.
These are an increase in the thickness of the epicuticle, a reduction of the
thickness of the outer layer of calcite, a spatial restriction of pore canals to
interommatidial regions, and, for S. serratum only, an increase in calcite
crystal size. In both species protein-chitin fibrils within the proximal
exocuticle form a peculiar reticular structure that does not occur within the
cuticle of the head capsule. In L. oceanica differential mineralization results
in a spherically shaped interface between mineralized and unmineralized
endocuticle, likely an adaptation to increase the refractive power of the cornea
maintaining the mechanical stability of the cuticle between the ommatidia. The
results show that the habitat and differences in the general structure of the
animal's cuticle affect the way in which the cornea is adapted to its optical
function.
PMID- 24937759
TI - Antioxidant capacities, phenolic profile and cytotoxic effects of saxicolous
lichens from trans-Himalayan cold desert of Ladakh.
AB - Fourteen saxicolous lichens from trans-Himalayan Ladakh region were identified by
morpho-anatomical and chemical characteristics. The n-hexane, methanol and water
extracts of the lichens were evaluated for their antioxidant capacities. The
lichen extracts showing high antioxidant capacities and rich phenolic content
were further investigated to determine their cytotoxic activity on human HepG2
and RKO carcinoma cell lines. The ferric reducing antioxidant power (FRAP), 2,2'
azinobis-(3-ethylbenzothiazoline-6-sulfonic acid) diammonium salt (ABTS), 1,1
diphenyl-2-picrylhydrazyl (DPPH) and nitric oxide (NO) radical scavenging
capacities and beta-carotene-linoleic acid bleaching property exhibited analogous
results where the lichen extracts showed high antioxidant action. The lichen
extracts were also found to possess good amount of total proanthocyanidin,
flavonoid and polyphenol. The methanolic extract of Lobothallia alphoplaca
exhibited highest FRAP value. Methanolic extract of Xanthoparmelia stenophylla
showed the highest ABTS radical scavenging capacity. The n-hexane extract of
Rhizoplaca chrysoleuca exhibited highest DPPH radical scavenging capacity.
Highest antioxidant capacity in terms of beta-carotene linoleic acid bleaching
property was observed in the water extract of Xanthoria elegans. Similarly,
Melanelia disjuncta water extract showed highest NO scavenging capacity. Among n
hexane, methanol and water extracts of all lichens, the methanolic extract of
Xanthoparmelia mexicana showed highest total proanthocyanidin, flavonoid and
polyphenol content. From cytotoxic assay, it was observed that the methanolic
extracts of L. alphoplaca and M. disjuncta were exhibiting high cytotoxic effects
against cancer cell growth. Similarly, the water extract of Dermatocarpon
vellereum, Umbilicaria vellea, X. elegans and M. disjuncta and the methanolic
extract of M. disjuncta and X. stenophylla were found to possess high antioxidant
capacities and were non-toxic and may be used as natural antioxidants for stress
related problems. Our studies go on to prove that the unique trans-Himalayan
lichens are a hitherto untapped bioresource with immense potential for discovery
of new chemical entities, and this biodiversity needs to be tapped sustainably.
PMID- 24937762
TI - An autoregulatory mechanism governing mucociliary transport is sensitive to mucus
load.
AB - Mucociliary clearance, characterized by mucus secretion and its conveyance by
ciliary action, is a fundamental physiological process that plays an important
role in host defense. Although it is known that ciliary activity changes with
chemical and mechanical stimuli, the autoregulatory mechanisms that govern
ciliary activity and mucus transport in response to normal and pathophysiological
variations in mucus are not clear. We have developed a high-speed, 1-MUm
resolution, cross-sectional imaging modality, termed micro-optical coherence
tomography (MUOCT), which provides the first integrated view of the functional
microanatomy of the epithelial surface. We monitored invasion of the periciliary
liquid (PCL) layer by mucus in fully differentiated human bronchial epithelial
cultures and full thickness swine trachea using MUOCT. We further monitored
mucociliary transport (MCT) and intracellular calcium concentration
simultaneously during invasion of the PCL layer by mucus using colocalized MUOCT
and confocal fluorescence microscopy in cell cultures. Ciliary beating and mucus
transport are up-regulated via a calcium-dependent pathway when mucus causes a
reduction in the PCL layer and cilia height. When the load exceeds a
physiological limit of approximately 2 MUm, this gravity-independent
autoregulatory mechanism can no longer compensate, resulting in diminished
ciliary motion and abrogation of stimulated MCT. A fundamental integrated
mechanism with specific operating limits governs MCT in the lung and fails when
periciliary layer compression and mucus viscosity exceeds normal physiologic
limits.
PMID- 24937763
TI - Selective methyl labeling of eukaryotic membrane proteins using cell-free
expression.
AB - Structural characterization of membrane proteins and other large proteins with
NMR relies increasingly on perdeuteration combined with incorporation of
specifically protonated amino acid moieties, such as methyl groups of
isoleucines, valines, or leucines. The resulting proton dilution reduces dipolar
broadening producing sharper resonance lines, ameliorates spectral crowding, and
enables measuring of crucial distances between and to methyl groups. While
incorporation of specific methyl labeling is now well established for bacterial
expression using suitable precursors, corresponding methods are still lacking for
cell-free expression, which is often the only choice for producing labeled
eukaryotic membrane proteins in mg quantities. Here we show that we can express
methyl-labeled human integral membrane proteins cost-effectively by cell-free
expression based of crude hydrolyzed ILV-labeled OmpX inclusion bodies. These are
obtained in Escherichia coli with very high quantity and represent an optimal
intermediate to channel ILV precursors into the eukaryotic proteins.
PMID- 24937764
TI - Discriminability measures and time-frequency features: an application to
vibrissal tactile discrimination.
AB - BACKGROUND: Often, the first problem that the neuroscientist must face is to
determine if a specific stimulus set applied to biological system produces
specific, precise and well differentiated responses. NEW METHOD: In the present
study we have proposed four discriminability measures to evaluate the feasibility
of differentiating experimental conditions: information measures based on
information theory, percentage overlap based on Linacre method, Bhattacharyya
distance and univariate standard distance. All discriminability measures were
evaluated on experimental protocols related to vibrissal tactile discrimination.
RESULTS: Time-frequency features were extracted from afferent discharges and
then, pairwise comparisons were realized by using the proposed discriminability
measures. Our results reveal the existence of time-frequency patterns which
allows differentiating of sweep conditions from multifiber recordings. COMPARISON
WITH EXISTING METHODS: Currently, statistical methods used to justify significant
differences in experimental conditions have rigorous criteria that must be met
for correct validation of results. Discriminability measures proposed here are
robust and can be adjusted to different experimental conditions (time series,
repeated measures, specific variables and other). CONCLUSIONS: Discriminability
measures allowed determining the time intervals where two sweep situations have
the highest probability to be differentiated from each other. High
discriminability percentages were observed into protraction phase, although to a
lesser degree, it was also observed in retraction phase. It was demonstrated that
sensibility of discriminability measures are different. This revealing a greater
ability to highlight percentage changes of pairwise comparisons. Finally, the
methods here proposed can be adapted to other features of biological responses.
PMID- 24937765
TI - Theoretical and practical applications of the intracerebroventricular route for
CSF sampling and drug administration in CNS drug discovery research: a mini
review.
AB - Clinically, central nervous system (CNS) disorders account for more
hospitalisations and prolonged care than almost all other diseases combined. In
the preclinical setting, the intracerebroventricular (ICV) route for
cerebrospinal fluid (CSF) sampling or dose administration in rodent models of
human CNS disorders has potential to provide key insight on the pathobiology of
these conditions. Low level neuroinflammation is present in >40% of patients with
severe depression or schizophrenia and so comparative assessment of CSF
composition between patients and rodent models of CNS disorders is potentially
invaluable for hypothesis generation and for assessing rodent model validity. As
molecules in the CSF have relatively low protein binding and are freely exchanged
into the extracellular fluid of the brain parenchyma, supraspinal drug
administration into the CSF can produce therapeutic drug concentrations in the
brain. Direct administration of investigational agents into the CSF of the
lateral ventricle of the brain enables intrinsic efficacy and adverse effect
profiles to be evaluated without the confounding effects of drug metabolism, due
to the low capacity of the CNS to metabolise exogenous compounds. It is our view
that the ICV route for CSF sampling and for administration of novel drugs in
development is under-utilised in preclinical research on CNS disorders. This is
due to the high degree of technical skill and low margin for error associated
with correct ICV guide cannula implantation in the rat. However, these technical
challenges can be overcome by using standardised procedures and attention to
detail during surgery and in the post-operative period.
PMID- 24937766
TI - Effect of amino acid ligands on the structure of iron porphyrins and their
ability to bind oxygen.
AB - Density functional theory is used to study a series of model iron porphyrins in
the gas phase. In the first part of this study, three range-separated hybrid
density functionals developed by Chai and Head-Gordon were assessed; omegaB97,
omegaB97X, and omegaB97XD. The effects of including full Hartree-Fock exchange at
long-range and dispersion corrections are reported with respect to the geometries
and binding energies of oxygen to the iron porphyrin systems. The functionals all
correctly predict the quintet ground state for the deoxy-iron porphyrins, where
typically hybrid functionals fail and predict a triplet ground state. Including
dispersion in omegaB97XD is shown to give the best results for the O2 binding
energy and geometrical parameters. The second part of the study employs
omegaB97XD to study iron porphine systems with different amino acids in the axial
position. Geometrical parameters are reported and compared to experimental data,
where available. Binding energies of the systems with oxygen are also reported
and discussed.
PMID- 24937768
TI - Influence of light-induced conical intersection on the photodissociation dynamics
of D2(+) starting from individual vibrational levels.
AB - Previous works have shown that dressing of diatomic molecules by standing or by
running laser waves gives rise to the appearance of so-called light-induced
conical intersections (LICIs). Because of the strong nonadiabatic couplings, the
existence of such LICIs may significantly change the dynamical properties of a
molecular system. In our former paper (J. Phys. Chem. A 2013, 117, 8528), the
photodissociation dynamics of the D(2)(+) molecule were studied in the LICI
framework starting the initial vibrational nuclear wave packet from the
superposition of all the vibrational states initially produced by ionizing D(2).
The present work complements our previous investigation by letting the initial
nuclear wave packets start from different individual vibrational levels of
D(2)(+), in particular, above the energy of the LICI. The kinetic energy release
spectra, the total dissociation probabilities, and the angular distributions of
the photofragments are calculated and discussed. An interesting phenomenon has
been found in the spectra of the photofragments. Applying the light-induced
adiabatic picture supported by LICI, explanations are given for the unexpected
structure of the spectra.
PMID- 24937767
TI - A rational biomimetic approach to structure defect generation in colloidal
nanocrystals.
AB - Controlling the morphology of nanocrystals (NCs) is of paramount importance for
both fundamental studies and practical applications. The morphology of NCs is
determined by the seed structure and the following facet growth. While means for
directing facet formation in NC growth have been extensively studied, rational
strategies for the production of NCs bearing structure defects in seeds have been
much less explored. Here, we report mechanistic investigations of high density
twin formation induced by specific peptides in platinum (Pt) NC growth, on the
basis of which we derive principles that can serve as guidelines for the rational
design of molecular surfactants to introduce high yield twinning in noble metal
NC syntheses. Two synergistic factors are identified in producing twinned Pt NCs
with the peptide: (1) the altered reduction kinetics and crystal growth pathway
as a result of the complex formation between the histidine residue on the peptide
and Pt ions, and (2) the preferential stabilization of {111} planes upon the
formation of twinned seeds. We further apply the discovered principles to the
design of small organic molecules bearing similar binding motifs as
ligands/surfactants to create single and multiple twinned Pd and Rh NCs. Our
studies demonstrate the rich information derived from biomimetic synthesis and
the broad applicability of biomimetic principles to NC synthesis for diverse
property tailoring.
PMID- 24937769
TI - Pulsed electromagnetic field enhances brain-derived neurotrophic factor
expression through L-type voltage-gated calcium channel- and Erk-dependent
signaling pathways in neonatal rat dorsal root ganglion neurons.
AB - Although pulsed electromagnetic field (PEMF) exposure has been reported to
promote neuronal differentiation, the mechanism is still unclear. Here, we aimed
to examine the effects of PEMF exposure on brain-derived neurotrophic factor
(Bdnf) mRNA expression and the correlation between the intracellular free calcium
concentration ([Ca(2+)]i) and Bdnf mRNA expression in cultured dorsal root
ganglion neurons (DRGNs). Exposure to 50Hz and 1mT PEMF for 2h increased the
level of [Ca(2+)]i and Bdnf mRNA expression, which was found to be mediated by
increased [Ca(2+)]i from Ca(2+) influx through L-type voltage-gated calcium
channels (VGCCs). However, calcium mobilization was not involved in the increased
[Ca(2+)]i and BDNF expression, indicating that calcium influx was one of the key
factors responding to PEMF exposure. Moreover, PD098059, an extracellular signal
regulated kinase (Erk) inhibitor, strongly inhibited PEMF-dependant Erk1/2
activation and BDNF expression, indicating that Erk activation is required for
PEMF-induced upregulation of BDNF expression. These findings indicated that PEMF
exposure increased BDNF expression in DRGNs by activating Ca(2+)- and Erk
dependent signaling pathways.
PMID- 24937772
TI - Preparation of platinum modified titanium dioxide nanoparticles with the use of
laser ablation in water.
AB - We report on the preparation method of nanocrystalline titanium dioxide modified
with platinum by using nanosecond laser ablation in liquid (LAL). Titania in the
form of anatase crystals has been prepared in a two-stage process. Initially,
irradiation by laser beam of a titanium metal plate fixed in a glass container
filled with deionized water was conducted. After that, the ablation process was
continued, with the use of a platinum target placed in a freshly obtained titania
colloid. In this work, characterization of the obtained nanoparticles, based on
spectroscopic techniques--Raman, X-ray photoelectron and UV-vis reflectance
spectroscopy--is given. High resolution transmission electron microscopy was used
to describe particle morphology. On the basis of photocatalytic studies we
observed the rate of degradation process of methylene blue (MB) (a model organic
pollution) in the presence of Pt modified titania in comparison to pure TiO2--as
a reference case. Physical and chemical mechanisms of the formation of platinum
modified titania are also discussed here. Stable colloidal suspensions containing
Pt modified titanium dioxide crystalline anatase particles show an almost perfect
spherical shape with diameters ranging from 5 to 30 nm. The TiO2 nanoparticles
decorated with platinum exhibit much higher (up to 30%) photocatalytic activity
towards the degradation of MB under UV illumination than pure titania.
PMID- 24937774
TI - Partially crystalline Zn2GeO4 nanorod/graphene composites as anode materials for
high performance lithium ion batteries.
AB - Zn2GeO4 nanorod/graphene composites (ZGCs) were yielded by a two-step
hydrothermal processing. Crystalline and amorphous regions were found to coexist
in a single Zn2GeO4 nanorod. The surface of the Zn2GeO4 nanorod was compactly
covered and anchored by graphene sheets. The ZGCs were then utilized as anodes
for lithium ion batteries (LIBs). Intriguingly, partially crystalline ZGC
containing 10.2 wt % graphene possessed excellent electrochemical performance,
namely, high reversible capacity (1020 mA h g(-1) in the first cycle), favorable
cyclic performance (768 mA h g(-1) after 50 cycles), and commendable rate
capability (780 mA h g(-1) at the current density of 0.8A g(-1)). The amorphous
region in partially crystalline Zn2GeO4 nanorods and the elastic graphene sheets
provided the accommodation of volume change during the charge and discharge
processes. These advantageous attributes make ZGCs the potential anode materials
for LIBs.
PMID- 24937770
TI - MicroRNAs in cancer: glioblastoma and glioblastoma cancer stem cells.
AB - MicroRNAs represent an abundant class of endogenously expressed 18-25 nucleotide
non-coding RNA molecules that function to silence gene expression through a
process of post-transcriptional modification. They exhibit varied and widespread
functions during normal development and tissue homeostasis, and accordingly their
dysregulation plays major roles in many cancer types. Gliomas are cancers arising
from the central nervous system. The most malignant and common glioma is
glioblastoma multiforme (GBM), and even with aggressive treatment (surgical
resection, chemotherapy, and radiation), average patient survival remains less
than 2 years. In this review we will summarize the current findings regarding
microRNAs in GBM and the biological and clinical implications of this data.
PMID- 24937775
TI - Nucleophilic addition/double cyclization cascade processes between enynyl Fischer
carbene complexes and alkynyl malonates.
AB - Two new selective cascade processes for enynyl Fischer carbene complexes 1 are
described in their reaction with alkynyl malonates. When carbene complexes 1
react with the sodium enolate of homopropargyl malonates 3 a consecutive Michael
type addition/cyclopentannulation/6-exo cyclization takes place leading, in a
regio- and stereoselective way, to n/5/6 angular tricyclic compounds 5.
Furthermore, when propargylic malonates are used, a delayed protonation of the
reaction mixture allows intermediate 1,4-addition adduct Ia to evolve through a 5
exo cyclization, consisting of an intramolecular nucleophilic attack from the
central carbon of the allenylmetallate over the triple C-C bond. Further
spontaneous cyclopentannulation of the resulting metallatriene gives rise to
bicyclic and linear polycyclic compounds 6 and 7, some of them bearing a
polyquinane framework.
PMID- 24937773
TI - High mobility group box 1 (HMGB1) phenotypic role revealed with stress.
AB - High mobility group box 1 (HMGB1) is an evolutionarily ancient protein that is
present in one form or another in all eukaryotes. It fundamentally resides in the
nucleus but translocates to the cytosol with stress and is subsequently released
into the extracellular space. HMGB1 global knockout mice exhibit lethal
hypoglycemia, whereas tissues and cells from conditional knockout or knock-in
mice are born alive without apparent significant functional deficit. An aberrant
response to targeted stress in the liver, pancreas, heart or myeloid cells is
consistent with a protective role for HMGB1 in sustaining nuclear homeostasis and
enabling other stress responses, including autophagy. Under some conditions,
HMGB1 is not required for liver and heart function. Many challenges remain with
respect to understanding the multiple roles of HMGB1 in health and disease.
PMID- 24937776
TI - Drp1 is dispensable for mitochondria biogenesis in induction to pluripotency but
required for differentiation of embryonic stem cells.
AB - Mature mitochondria with high oxidative phosphorylation undergo fission and
fusion and morphogenesis to become immature mitochondria during induced
pluripotent stem (iPS) induction from somatic cells. Dynamin-related protein 1
(Drp1) is involved in mitochondria fission and biogenesis in somatic cells. We
tested the role of Drp1 in the induction and maintenance of pluripotency. We show
that Drp1 band shift occurs in embryonic stem cells (ESCs) and iPS cells (iPSCs)
induced from fibroblasts, in association with mitochondrial morphogenesis.
However, knockdown of Drp1 by shRNA does not abrogate mitochondria morphogenesis
and induction of iPSCs from fibroblasts. Also, knockdown of Drp1 affects neither
mitochondria fission and function as shown by normal mitochondrial membrane
potential, nor proliferation and pluripotency of ESCs. Nonetheless, Drp1
knockdown negatively influences terminal differentiation of ESCs, particularly in
the lineage of neurogenesis in vitro and in vivo, coincident with delayed
reduction of Oct4 and Nanog during mid-differentiation. Our data suggest that
Drp1 is not critical for mitochondria biogenesis in stem cell proliferation but
it is required for neurogenesis likely by downregulation of pluripotency
associated genes Nanog and Oct4. ESC differentiation model could be used to model
role of Drp1 in neuron development and diseases.
PMID- 24937778
TI - Central nervous system effects of whole-body proton irradiation.
AB - Space missions beyond the protection of Earth's magnetosphere expose astronauts
to an environment that contains ionizing proton radiation. The hazards that
proton radiation pose to normal tissues, such as the central nervous system
(CNS), are not fully understood, although it has been shown that proton radiation
affects the neurogenic environment, killing neural precursors and altering
behavior. To determine the time and dose-response characteristics of the CNS to
whole-body proton irradiation, C57BL/6J mice were exposed to 1 GeV/n proton
radiation at doses of 0-200 cGy and behavioral, physiological and
immunohistochemical end points were analyzed over a range of time points (48 h-12
months) postirradiation. These experiments revealed that proton radiation
exposure leads to: 1. an acute decrease in cell division within the dentate gyrus
of the hippocampus, with significant differences detected at doses as low as 10
cGy; 2. a persistent effect on proliferation in the subgranular zone, at 1 month
postirradiation; 3. a decrease in neurogenesis at doses as low as 50 cGy, at 3
months postirradiation; and 4. a decrease in hippocampal ICAM-1 immunoreactivity
at doses as low as 10 cGy, at 1 month postirradiation. The data presented
contribute to our understanding of biological responses to whole-body proton
radiation and may help reduce uncertainty in the assessment of health risks to
astronauts. These findings may also be relevant to clinical proton beam therapy.
PMID- 24937777
TI - Coupling isotachophoresis with affinity chromatography for rapid and selective
purification with high column utilization, part 2: experimental study.
AB - We present an experimental study of coupling of isotachophoresis (ITP) and
affinity chromatography (AC) to effect rapid, selective purification with high
column utilization and high resolution. We provide a detailed protocol for
performing ITP-AC and describe the design of a buffer system to perform sequence
specific separation of nucleic acids. We describe the synthesis and
functionalization of our affinity substrate, poly(glycidyl methacrylate-co
ethylene dimethacrylate) porous polymer monolith (GMA-EDMA PPM). This substrate
allows easy immobilization of affinity probes, is nonsieving (even to
macromolecules), and exhibits negligible nonspecific binding. We demonstrate ITP
AC with 25 nt, Cy5 labeled DNA target and a DNA probe and study the
spatiotemporal dynamics using epifluorescence imaging. We make qualitative and
quantitative comparisons between these data and the model presented in the first
part of this two-paper series. We vary the target concentration from 1 pg MUL(-1)
to 100 pg MUL(-1) and ITP velocity over the range of 10-50 MUm s(-1), and thereby
explore over 4 orders of magnitude of scaled target amount. We observe very good
agreement between predictions and experimental data for the spatiotemporal
behavior of the coupled ITP and affinity process, and for key figures of merit,
including scaled capture length and maximum capture efficiency. Lastly, we
demonstrate that the resolution of ITP-AC increases linearly with time and purify
25 nt target DNA from 10,000-fold higher abundance background (contaminating)
genomic fish sperm DNA. We perform this capture from 200 MUL of sample in under 1
mm column length and within <10 min.
PMID- 24937780
TI - A microdosimetric-kinetic model for cell killing by protracted continuous
irradiation II: brachytherapy and biologic effective dose.
AB - Relationships based on the microdosimetric-kinetic model are presented that
calculate the average number of lethal lesions, and the associated cell survival,
produced in mammalian cells by exposure to protracted continuous irradiation by
temporary and permanent implantation of radioactive sources. The influence of
cell parameters of linear-quadratic survival, repair function and proliferation
rate, as well as the influence of dose rate, isotopic decay rate and linear
energy transfer (LET) quality on cell killing are displayed and discussed. An
expression for biologic effective dose (BED) is presented that facilitates
comparison of the effects of protracted low-dose-rate irradiation and with a
course of multiple instantaneously administered radiation treatments (fractions).
PMID- 24937779
TI - The optimal partnership of radiation and immunotherapy: from preclinical studies
to clinical translation.
AB - The main role of the immune system is to restore tissue homeostasis when altered
by pathogenic processes, including neoplastic transformation. Immune-mediated
tumor rejection has been recognized as an extrinsic tumor suppressor mechanism
that tumors need to overcome to progress. By the time a tumor becomes clinically
apparent it has successfully escaped immune control by establishing an
immunosuppressive microenvironment. Ionizing radiation applied locally to a tumor
alters these tumor-host interactions. Accumulating evidence indicates that
standard therapeutic doses of radiation have the potential to recover tumor
immunogenicity and convert the tumor into an in situ personalized vaccine.
Radiotherapy induces an immunogenic tumor cell death promoting cross-presentation
of tumor-derived antigens by dendritic cells to T cells. In addition,
radiotherapy stimulates chemokine-mediated recruitment of effector T cells to the
tumor, and cellular recognition and killing by T cells that is facilitated by
upregulation of major histocompatibility antigens, NKG2D ligands, adhesion
molecules and death receptors. Despite these effects, radiotherapy alone is only
rarely capable of generating enough proinflammatory signals to sufficiently
overcome suppression, as it can also activate immunosuppressive factors. However,
our group and others have shown that when combined with targeted immunotherapy
agents radiotherapy significantly contributes to a therapeutically effective anti
tumor immune response. To illustrate this partnership between radiation and
immunotherapy we will discuss as an example our experience in preclinical models
and the molecular mechanisms identified. Additionally, the clinical translation
of these combinations will be discussed.
PMID- 24937781
TI - A preclinical rodent model of acute radiation-induced lung injury after ablative
focal irradiation reflecting clinical stereotactic body radiotherapy.
AB - In a previous study, we established an image-guided small-animal micro
irradiation system mimicking clinical stereotactic body radiotherapy (SBRT). The
goal of this study was to develop a rodent model of acute phase lung injury after
ablative irradiation. A radiation dose of 90 Gy was focally delivered to the left
lung of C57BL/6 mice using a small animal stereotactic irradiator. At days 1, 3,
5, 7, 9, 11 and 14 after irradiation, the lungs were perfused with formalin for
fixation and paraffin sections were stained with hematoxylin and eosin (H&E) and
Masson's trichrome. At days 7 and 14 after irradiation, micro-computed tomography
(CT) images of the lung were taken and lung functional measurements were
performed with a flexiVentTM system. Gross morphological injury was evident 9
days after irradiation of normal lung tissues and dynamic sequential events
occurring during the acute phase were validated by histopathological analysis. CT
images of the mouse lungs indicated partial obstruction located in the peripheral
area of the left lung. Significant alteration in inspiratory capacity and tissue
damping were detected on day 14 after irradiation. An animal model of radiation
induced lung injury (RILI) in the acute phase reflecting clinical stereotactic
body radiotherapy was established and validated with histopathological and
functional analysis. This model enhances our understanding of the dynamic
sequential events occurring in the acute phase of radiation-induced lung injury
induced by ablative dose focal volume irradiation.
PMID- 24937783
TI - Editorial.
PMID- 24937784
TI - Is there a differential efficacy of new generation antipsychotic drugs?
PMID- 24937782
TI - Early-delayed, radiation-induced cognitive deficits in adult rats are
heterogeneous and age-dependent.
AB - Patients treated with whole-brain irradiation often develop cognitive deficits
that are presumed to result from normal tissue injury. Age is a risk factor for
these side effects. We compared the cognitive effects of fractionated whole-brain
irradiation (300 kV X rays) in rats irradiated either as young adults or in
middle age. A deficit in object memory was apparent at 3 months in rats
irradiated as young adults, however, no comparable deficit was apparent in rats
irradiated in middle age. In addition, the deficit in object memory in young
adults was no longer apparent at 6 and 12 months after fractionated whole-brain
irradiation and no radiation-induced deficit was detectable in a spatial memory
task at any time, regardless of age at time of irradiation. Thus, clinically
relevant fractionated whole-brain irradiation in adult rats resulted in early
delayed cognitive changes that were heterogeneous, transient and age-dependent.
The results of the current and previous studies of radiation-induced cognitive
changes support the continued investigation and validation of rodent models of
radiation-induced brain injury, which are critical for developing and testing new
therapies for treatment-induced cognitive dysfunction in cancer survivors.
PMID- 24937785
TI - The relationship between depression and cardiovascular disease.
AB - Evidence from epidemiological studies has established that depression is a risk
factor for the development of cardiovascular disease (CVD) and that the
comorbidity of depression with pre-existing CVD worsens the prognosis for
sufferers of CVD. Depression has also been associated with other behaviours that
impact on CVD, such as medication non-compliance, and an unwillingness to adopt
an exercise program, that reduce the likelihood of successful rehabilitation from
CVD. Published literature on the current knowledge of the association between
depression and CVD is reviewed in this paper.
PMID- 24937786
TI - Driving ability in schizophrenic patients: effects of neuroleptics.
AB - Recent studies indicate that individuals with schizophrenia have a two-fold
incidence of traffic accidents. Cognitive and psychomotor impairment as a core
feature of schizophrenia and the effects of neuroleptic treatment play an
essential role in this respect. Few experimental studies have been conducted so
far looking at the effects of neuroleptics on driving ability in schizophrenia.
Controlled, randomised trials are totally missing. The limited database indicates
that most schizophrenic patients show significant impairment in cognitive
functions relevant for driving ability compared to healthy controls. There is
some but limited evidence that patients under novel atypical neuroleptics show
less impairment compared to conventional neuroleptics. More clinical and
experimental studies are warranted.
PMID- 24937787
TI - Treatment practice of acute mania and bipolar depression: a nation-wide
questionnaire survey in Finland.
AB - Objective To compare Finnish clinicians' treatment practices of acute mania and
bipolar depression with recommendations of consensus-based guidelines, and
contemporary research results. Methods A nation-wide questionnaire survey of 228
Finnish clinicians employed in psychiatric hospitals. Results Effective response
rate was 62%. Clinicians' practices were fairly adequately in line with
contemporary recommendations. The main concerns were over-utilization of
conventional antipsychotics in acute mania and underestimating the need for mood
stabilizers to prevent induction of mood instability. Conclusion Continuous
educating of clinicians is warranted to narrow the gap between guideline
recommendations, research evidence and clinical practices.
PMID- 24937788
TI - Quetiapine in patients with borderline personality disorder and psychosis: a case
series.
AB - Objective Atypical antipsychotics appear to be effective and well tolerated in
the treatment of borderline personality disorder. One such agent, quetiapine
("Seroquel"), has a favourable side-effect profile and may be of value in acute
and chronic treatment of this disorder. Methods In this case series, 12
outpatients with psychotic disorders meeting ICD-10, DSM-IV and DIB-R criteria
for borderline personality disorder were treated with quetiapine monotherapy 300
750 mg/day. The CGI-S and the GAS assessed changes in symptom severity and global
functioning, whereas the BIS, the HAM-D and the SCL-90-IX scales assessed change
of psychotic symptoms and/or borderline personality disorder core symptomatology
over time. Patients were evaluated at baseline and at 4 and 12 weeks after
treatment. Statistical analyses included Page's trend test and Wilcoxon tests.
Results All patients completed the 12-week study. The mean quetiapine dose was
537.5+/-18.9 mg/day. For all efficacy measures (with the exception of HAM-D),
significant improvements were observed as early as Week 4 (P<0.01) and at
endpoint (P<0.05). Conclusion Quetiapine relieved symptoms of psychosis, reduced
impulsivity or depressed mood and improved global functioning in patients with
borderline personality disorder and psychosis. Quetiapine was well tolerated.
PMID- 24937789
TI - Psychiatric symptoms and diagnoses in thyroid disorders: a cross-sectional study.
AB - Objective Endocrine dysfunction may produce symptoms that are difficult to
distinguish from the clinical manifestations of psychiatric disorders. In
particular, the function of the hypothalamic-pituitary-thyroidal axis is known to
be associated with a number of psychiatric abnormalities, such as depression and
anxiety. In this study, we tested the hypothesis that depression is more commonly
encountered in hypothyroidism, while anxiety is more prevalent in
hyperthyroidism. Additionally, we aimed to evaluate the correlations of the
intensity of the psychiatric symptomatology in these patients with the specific
parameters of thyroid function, such as levels of TSH, T3 and T4. Method Patients
newly diagnosed as having thyroid disorders were included in the study. They were
classified into five study groups (according to the results of thyroid
stimulating hormone (TSH), free triiodothyronine (fT3), free thyroxine (fT4) and
autoantibody (anti-thyroglobulin antibodies and anti-microsomal antibodies)
measurements): hypothyroid, hyperthyroid, subclinical hypothyroid, subclinical
hyperthyroid, and euthyroid Hashimoto's thyroiditis. Psychopathological symptoms
were evaluated on the 17-item Hamilton Rating Scale for Depression (HDRS), Brief
Symptom Inventory (BSI), BSI Sub-scales and Hospital Anxiety and Depression Scale
(HAD). Psychiatric diagnoses were performed using DSM-IV-SCID (Structured
Clinical Interview for DSM). The relationship between the results of the
psychiatric evaluation and thyroid function tests was assessed statistically by
ANOVA and Pearson correlation tests. Results Eighty patients (68 female and 12
male), with a mean age of 42.5+/-13.4 years, were evaluated. A positive
correlation between the total BSI scores and TSH levels (r = 0.65, P = 0.01) was
noted in the hypothyroid (n=25) group. Hyperthyroid patients (n=22) showed a
positive correlation between HDRS scores and fT4 levels (r = 0.62, P = 0.01). The
BSI scores in hypo- and hyperthyroidism were significantly higher than normal
(P<0.05). In the subclinical hypothyroid (n=7), subclinical hyperthyroid (n=11),
and euthyroid Hashimoto's thyroiditis (n=15) groups no correlation was found
between thyroid function tests and HDRS, BSI, and HAD scores. Conclusion The
results indicate that patients with thyroid disorders have high levels of anxiety
and depressive symptoms. Thirty-five patients (43%) out of 80 had an axis I
psychiatric disorder. However, our findings did not support an association
between high levels of depression and hypothyroidism or between high levels of
anxiety and hyperthyroidism. Moreover, this study did not reveal any specific
psychiatric manifestation of hypothyroidism or hyperthyroidism.
PMID- 24937790
TI - Effect of milnacipran on insight and stress coping strategy in patients with
Taijin Kyofusho.
AB - Objective Taijin-Kyofusho (TKS) is a Japanese culture-bound syndrome similar to
social anxiety disorder. Recent studies have shown antidepressants to be
effective in treating TKS. The effects on insight or coping strategies have not,
however, been studied. The present study investigated changes in insight and
stress coping strategies during treatment with milnacipran. Method The study was
a 12-week open trial in 16 patients with offensive type TKS. Offensive anxiety
was assessed by an original TKS scale. Insight regarding TKS symptoms was
assessed by the "Insight into obsessions and compulsions" subscale of the Yale
Brown Obsessive Compulsive Scale. Coping strategies were assessed by the Coping
Inventory for Stressful Situations. The primary efficacy variable was global
improvement on the Clinical Global Impression scale (CGI). Results Of the sixteen
patients, only 10 completed the study and seven patients responded to the drug (a
score of 2 or less on CGI at endpoint). Six patients dropped out in the first few
days because of nausea. Last observation carried forward analysis (N=16) showed a
significant reduction of offensive anxiety of TKS. Insight and emotional coping
strategies were also significantly improved. Conclusion The results suggested
that not only TKS symptoms, but also insight and stress coping, may be improved
by treatment with milnacipran.
PMID- 24937791
TI - Effectiveness of quetiapine for the management of aggressive psychosis in the
emergency psychiatric setting: a naturalistic uncontrolled trial.
AB - Objective Traditionally, conventional antipsychotics, often administered
intramuscularly, are used to reduce hostility and aggression in the emergency
setting. This study investigated the efficacy of quetiapine, an oral atypical
antipsychotic, in managing aggressive psychosis. Methods Adult hospitalized
patients (n=36) with an Overt Aggression Scale (OAS) total score >=1 and a Brief
Psychiatric Rating Scale-derived Psychosis Index score >=6 received 100-800
mg/day flexibly dosed quetiapine and were monitored daily for a maximum of 5
days. The OAS total score and Physical Aggression Against Others subscale score
were primary efficacy assessments. Tolerability was assessed with the Udvalg for
Kliniske Undersogelser Side Effects Rating Scale. Results An 83% decrease in the
OAS Physical Aggression Against Others subscale score occurred from Day 1
(baseline) to Day 2, which was generally maintained to Day 5; a 39% reduction in
OAS total score was observed by Day 2. The OAS total score decreased from a mean
baseline of 3.3 to 1.5 at Day 5, a significant decrease for Days 2-4 (P < 0.01)
and of borderline significance on Day 5 (P=0.059). Adverse events were mostly
mild to moderate with concentration difficulties and somnolence the most common.
Conclusion These results from an uncontrolled trial suggest that quetiapine may
be helpful for some aggressive patients in the emergency setting.
PMID- 24937792
TI - Comparing efficacy of first-line atypical antipsychotics: no evidence of
differential efficacy between risperidone, olanzapine, quetiapine, ziprasidone,
and aripiprazole.
AB - Objective To evaluate the comparative efficacy of the first-line atypical
antipsychotics risperidone, olanzapine, quetiapine, ziprasidone, and
aripiprazole. Methods We reviewed published short-term, randomised, controlled
clinical trials of first-line atypical antipsychotics in the treatment of
schizophrenia or schizoaffective disorder that used the Positive and Negative
Syndrome Scale to assess efficacy. We used a combined overview analysis to
compare the extent of improvement in global symptoms and positive and negative
symptoms. We did not analyse adverse event data. Results Although we found
considerable variation in the degree of improvement with a particular atypical
antipsychotic across different studies, the range and average improvement were
similar among all first-line atypicals for all efficacy parameters considered.
Dosage was a critical determinant of efficacy, although the most effective dose
of each agent varied across studies. There were insufficient data for ziprasidone
and aripiprazole to allow their inclusion in the formal overview comparison.
Conclusion Despite confounding and methodological limitations, the data we
reviewed do not support assertions of differential efficacy between the first
line atypical antipsychotics. Additional controlled comparative studies of the
atypical antipsychotics should be of particular interest.
PMID- 24937793
TI - Emotional states and informational brain processing in drug addicts free of
drugs: An ERPs study.
AB - Objective To analyze brain event-related responses in heroin-dependent patients
under different emotional conditions, in order to determine the influence of
specific emotional loading on information processing in drug addicts. Methods
Fifteen male heroin-dependent patients, matched to 11 male healthy individuals,
were exposed to emotion-triggering slides designed to elicit neutral, pleasant or
unpleasant emotions, while ERPs were obtained by means of an auditory oddball
paradigm. Evoked potential analysis consisted of measuring the amplitude,
latency, and topographic distribution (mapped from 19 scalp sites) of the early
and late latency component waveforms. Results Both groups showed large-amplitude,
long-latency, and positive-polarity responses to odd stimuli under all emotional
conditions. A within-group comparison between the three emotional conditions
showed that the control group had smaller P300 amplitudes under pleasant
stimulation; drug addicts showed no differences between all three emotional
conditions. Between-group analysis revealed smaller P300 amplitudes in drug
addicts than in controls, both for unpleasant and neutral emotional conditions,
but this was only significant for some electrode sites. Brain electrical activity
mapping at P300 showed that high activation is less spread in the brain areas of
drug addicts than in controls for unpleasant and neutral emotional conditions.
Conclusion Drug addicts have deficits in extracting relevant information from
sensory stimuli under different emotional conditions, particularly under
unpleasant and neutral stimulation. Decreased P300 in controls under pleasant
stimulation is interpreted as a result of an attentional bias mechanism that
directs attentional resources to environmental stimuli of positive emotional
valence, in contrast to drug addicts where there is no such effect.
PMID- 24937794
TI - Risk factors of attempted suicide in the elderly: The role of cognitive
impairment.
AB - The authors' aim was to assess the prevalence and importance of dementia and
cognitive impairment in relation to suicidal behaviour in elderly psychiatric
inpatients. The level of cognitive functioning (according to the Mini Mental
State Examination - MMSE) of the elderly suicidal inpatients (N=62) were compared
to the general elderly inpatients (N=152). There were significant differences in
cognitive functioning between the two groups, in the non-suicidal group the level
of cognitive function was significantly lower. However, mild cognitive deficit or
mild dementia were registered in 60% of the suicide attempters. The results
indicate that not only mood disorders, but other risk factors (especially mild
cognitive impairment), have a key role in developing suicidal behaviour in the
elderly. Thus, in the treatment and prevention of suicidal behaviour in the
elderly, it is important to apply the complex bio-psycho-social model, in which
(besides adequate pharmacotherapy) psychotherapeutic approaches and procedures to
enhance cognitive functioning are of outstanding significance.
PMID- 24937795
TI - Frequency-dependent effects of contralateral repetitive transcranial magnetic
stimulation on penicillin-induced seizures.
AB - Transcranial magnetic stimulation (TMS) has been shown to modulate multiple brain
functions, warranting further exploration in clinical applications. TMS treatment
for epilepsy is particularly promising because of its anti-convulsive
capabilities. However, TMS has been found to both inhibit and facilitate various
experimental and clinical seizures, depending on the TMS parameters used.
Repetitive TMS (rTMS) pulse frequency is recognized as one of the most
influential parameters and thus was investigated in this study at 1, 5 and 10 Hz
for its effects on a rat model of penicillin-induced seizures. High-dose
penicillin-induced seizures were characterized by a combination of myoclonic and
tonic-clonic (GTC) seizures. rTMS effects were analyzed with intracranial
electroencephalographic (iEEG) data and video-captured behaviors. Animals treated
with 1 and 5 Hz consistently showed evidence of anti-convulsive properties in
their iEEG-based seizure profiles when compared to sham rTMS treatment. In
contrast, data from 10 Hz rTMS suggested facilitative characteristics. Our
results showed that 5 Hz rTMS consistently outperformed 1 Hz rTMS in seizure
suppression. This re-emphasizes the importance in accurately characterizing TMS
effects on seizure suppression due to the heterogeneous nature of seizures. Thus,
finely tuned TMS treatment has great potential to become a powerful asset in
combating epilepsy.
PMID- 24937796
TI - Coaggregation of Two Anionic Azo Dyestuffs: A Combined Static Light Scattering
and Small-Angle X-ray Scattering Study.
AB - The formation of azo dyestuff aggregates in dilute aqueous solution induced by
the addition of Mg2+, Ca2+, Sr2+, or Ba2+ ions is followed by time-resolved
static light scattering (SLS) and time-resolved small-angle X-ray scattering
(SAXS). Time-dependent molar mass data of the growing aggregates is interpreted
by means of a kinetic model introduced by Lomakin et al. ( Proc. Natl. Acad. Sci.
U.S.A. 1996 , 93 , 1125 ) for the description of beta-amyloid aggregation. This
interpretation reveals significant trends within the homologous series of
alkaline earth cations. The trends refer to the nucleation and the growth rate of
the dyestuff fibers. Time-resolved SAXS experiments indicate that these first two
stages are followed by a third one during which a network forms by partial
lateral alignment of fibers. At high enough dyestuff concentrations, this network
formation even leads to a gel-like phase. Anomalous SAXS (ASAXS) on such a gel
phase formed upon the addition of Sr2+ revealed the extent of neutralization of
the dyestuff molecules within the gel by the specifically interacting alkaline
earth cations.
PMID- 24937797
TI - Is there a difference in neurologic outcome in medical versus early operative
management of cervical epidural abscesses?
AB - BACKGROUND CONTEXT: The ideal management of cervical spine epidural abscess
(CSEA), medical versus surgical, is controversial. The medical failure rate and
neurologic consequences of delayed surgery are not known. PURPOSE: The purpose of
this study is to assess the neurologic outcome of patients with CSEA managed
medically or with early surgical intervention and to identify the risk factors
for medical failure and the consequences of delayed surgery. STUDY
DESIGN/SETTING: Retrospective electronic medical record (EMR) review. PATIENT
SAMPLE: Sixty-two patients with spontaneous CSEA, confirmed with advanced
imaging, from a single tertiary medical center from January 5 to September 11.
OUTCOME MEASURES: Patient data were collected from the EMR with motor scores (MS)
(American Spinal Injury Association 0-100) recorded pre/posttreatment. Three
treatment groups emerged: medical without surgery, early surgery, and those
initially managed medically but failed requiring delayed surgery. METHODS:
Inclusion criteria: spontaneous CSEA based on imaging and intraoperative findings
when available, age >18 years, and adequate EMR documentation of the medical
decision-making process. Exclusion criteria: postoperative infections, Pott
disease, isolated discitis/osteomyelitis, and patients with imaging findings
suggestive of CSEA but negative intraoperative findings and cultures. RESULTS: Of
the 62 patients included, 6 were successfully managed medically (Group 1) with MS
increase of 2.3 points (standard deviation [SD] 4.4). Thirty-eight patients were
treated with early surgery (Group 2) (average time to operating room 24.4 hours
[SD 19.2] with average MS increase 11.89 points [SD 19.5]). Eighteen failed
medical management (Group 3) requiring delayed surgery (time to OR 7.02 days [SD
5.33]) with a net MS drop of 15.89 (SD 24.9). The medical failure rate was 75%.
MS change between early and delayed surgery was significant (p<.001) favoring
early surgery. Risk factors and laboratory data did not predict medical failure
or posttreatment MS because of the high number of medical failures when abscess
involves the cervical epidural space. CONCLUSIONS: Early surgery results in
improved posttreatment MS compared with medical failure and delayed surgery. In
our patients, the failure rate of medical management was high, 75%. Based on our
results, we recommend early surgical decompression for all CSEA.
PMID- 24937798
TI - Traumatic atlantoaxial dislocation with an old Type II odontoid fracture.
PMID- 24937799
TI - Posterolateral lumbar fusion using Escherichia coli-derived rhBMP
2/hydroxyapatite in the mini pig.
AB - BACKGROUND CONTEXT: Hydroxyapatite (HA) is used as a bone graft extender for
posterolateral spinal fusion in human. It is also useful as a recombinant human
bone morphogenetic protein (rhBMP)-2 carrier because of its high affinity for
rhBMP-2. PURPOSE: To assess the osteoinductivity of Escherichia coli-derived
rhBMP-2 (E-BMP-2) using HA granules as a carrier and to evaluate the bone-forming
ability depending on the different dosages of E-BMP-2. STUDY DESIGN: A mini-pig
lumbar posterolateral fusion model using microcomputed tomography (MUCT)
scanning. PATIENT SAMPLE: Thirty-one adult male mini pigs were randomized into a
single control group (n=8) without E-BMP-2 and two experimental groups with two
different doses of E-BMP-2 (1 mg per side, n=8 and 3 mg per side, n=15). OUTCOME
MEASURES: Outcome was measured by plain radiography, manual palpation, CT, three
dimensional MUCT, and histologic examinations. METHODS: Bilateral intertransverse
process arthrodesis was performed, and E-BMP-2 (0, 1.0, 3.0 mg per side) was
implanted into the intertransverse space using HA granules as a carrier. RESULTS:
Three mini pigs were removed because of death. Among 28 experimental subjects, 19
animals achieved solid bony union. The fusion rates were 37.5% for control group,
71.4% for 1 mg group, and 84.6% for 3 mg group. Fusion rates were significantly
different among groups (p=.031). However, there was no statistically significant
difference in fusion rates between 1 and 3 mg groups (p=.587). Thirty-eight
intertransverse fusion masses of 19 subjects underwent MUCT scanning. The bone
volumes determined by MUCT were 12,603+/-3,240 mm(3) for control group, 18,718+/
3,000 mm(3) for 1 mg group, and 26,768+/-7,256 mm(3) for 3 mg group, and the
difference between groups was statistically significant (p<.001). CONCLUSIONS:
This study shows that E-BMP-2 has osteoinductive activity in dose-dependent
fashion, and porous HA granule is suitable for E-BMP-2 carrier in a porcine
posterolateral fusion model. These preliminary findings suggest that E-BMP-2
adsorbed porous HA granules could be a novel effective bone graft substitute.
PMID- 24937800
TI - Species coexistence in a lattice-structured habitat: effects of species dispersal
and interactions.
AB - Opinions differ on how the spatial distribution of species over space affects
species coexistence. Here, we constructed both mean-field and pair approximation
(PA) models to explore the effects of interspecific and intraspecific
interactions and dispersal modes on species coexistence. We found that spatial
structure resulting from species dispersal traits and neighboring interactions in
PA model did not promote coexistence if two species had the same traits, though
it might intensify the contact frequency of intraspecific competition. If two
species adopt different dispersal modes, the spatial structure in PA would make
the coexistence or founder control less likely since it alters the species
effective birth rate. This suggests that the spatial distribution caused by
neighboring interactions and local dispersal does not affect species coexistence
unless it adequately alters the effective birth rate for two species. Besides, we
modeled how the initial densities and patterns affected population dynamics and
revealed how the final spatial pattern was generated.
PMID- 24937801
TI - On the origin of immunopathology.
AB - Stranded between medicine and experimental biology, immunology is buried in its
own problems and remains distant from important areas of current biology, such as
evolutionary theory, developmental biology and cognitive sciences. Immunology has
treated the living system merely as the place or dimension in which immune
activity takes place, inserted on a misleading axis (progressive responsiveness
versus no response; memory versus tolerance) which neglects the analysis of a
robustly stable dynamics which is always present and is neither tolerance nor
immunity-a problem currently approached as one of "regulatory" activity. However,
a regulatory response also demands regulation, leading to an endless recursion
and the adoption of a stimulus-response framework inevitably drives us away from
the physiological processes in which lymphocytes are involved. Herein, we propose
that immunological physiology, like everything else in the body is dynamic and
conservative. Immunopathology, including inherited immunodeficiencies, severe
forms of infectious diseases, allergy and autoimmune diseases, are interferences
with this stability which frequently include oligoclonal expansions of T
lymphocytes. We suggest that this decrease in clonal diversity results from a
loss of the stabilizing connectivity among lymphocytes and are not simply markers
of immunopathology, but are rather expressions of basic pathogenic mechanisms.
The so-called autoimmune diseases are examples of this disequilibrium. In the
last decade the characterization of an enormous and diversified commensal
microbiota has posed a new and pressing problem: how to explain the harmonic
conviviality with trillions of foreign macromolecules. In addition, robustly
stable relations towards macromolecular diet can be established by simple
ingestion, a state presently labeled as "oral tolerance", a problem that has been
buffered for decades as anti-inflammatory protection of the gut. A major change
in terminology is necessary to describe this new panorama. We focus on two
important gaps in immunological discussions: (a) the organism, seen
simultaneously as the medium with which the immune system is constantly in touch
and as the entity that mediates the contact with external materials; and (b) the
observer, the immunologist, who operates as a human being in human languaging
with other human beings, and characterizes immunological specificity. We
acknowledge that we are proposing radical departures from current dogma and that
we should justify them. Most of what we propose stem form a way of seeing called
Biology of Cognition and Language, that derives from ideas of the
neurobiologist/philosopher Humberto Maturana, also known as "autopoiesis theory".
PMID- 24937802
TI - The MTMR9 rs2293855 polymorphism is associated with glucose tolerance, insulin
secretion, insulin sensitivity and increased risk of prediabetes.
AB - BACKGROUND: Polymorphism of rs2293855 in gene MTMR9 has been associated with
obesity and metabolic syndrome. We aim to study the association of rs2293855 with
type 2 diabetes mellitus (T2DM) intermediate phenotypes in a Han Chinese
population. METHODS: The polymorphism was genotyped in 838 Han Chinese
individuals using Matrix-Assisted Laser Desorption/Ionization Time of Flight Mass
Spectrometry (MALDI-TOF MS); all participants underwent a 75 g oral glucose
tolerance test (OGTT); associations between the polymorphism and glucose
tolerance, indices of insulin secretion and indices of insulin sensitivity were
analyzed. RESULTS: The frequency of genotypes and alleles differed significantly
between normal glucose tolerance and prediabetes (P=0.043 and P=0.009,
respectively). The GG homozygous presented higher fasting plasma glucose
(P=0.009), higher 2-hour plasma glucose (P=0.024) and higher glucose area under
the curve (AUC, P=0.01). Moreover, the G allele of rs2293855 was associated with
glucose intolerance (fasting glucose, P=0.012; glucose AUC, P=0.006; 2-h glucose,
P=0.024); it is also associated with decreased indices of insulin sensitivity
(fasting insulin, P=0.043; insulin sensitivity index composite, P=0.009;
homeostasis model assessment of insulin resistance, HOMA-IR, P=0.008) and
decreased indices of insulin secretion (HOMA of beta cell function, HOMA-B,
P=0.028; insulinogenic index, P=0.003). In addition, the minor allele G was also
associated with increased risk of prediabetes (OR=1.463, 95%CI: 1.066-2.009,
P=0.018). CONCLUSIONS: Polymorphism of rs2293855 in MTMR9 is associated with
measures of glucose tolerance, indices of insulin secretion and indices of
insulin sensitivity. We also suggest that allele G is likely to increase the risk
of prediabetes by influencing both insulin secretion and insulin sensitivity.
PMID- 24937803
TI - Mapping breakpoints of a familial chromosome insertion (18,7) (q22.1;
q36.2q21.11) to DPP6 and CACNA2D1 genes in an azoospermic male.
AB - It is widely accepted that the incidence of chromosomal aberration is 10-15.2% in
the azoospermic male; however, the exact genetic damages are currently unknown
for more than 40% of azoospermia. To elucidate the causative gene defects, we
used the next generation sequencing (NGS) to map the breakpoints of a chromosome
insertion from an azoospermic male who carries a balanced, maternally inherited
karyotype 46, XY, inv ins (18,7) (q22.1; q36.2q21.11). The analysis revealed that
the breakage in chromosome 7 disrupts two genes, dipeptidyl aminopeptidase-like
protein 6 (DPP6) and contactin-associated protein-like 2 (CACNA2D1), the former
participates in regulation of voltage-gated potassium channels, and the latter is
one of the components in voltage-gated calcium channels. The deletion and
duplication were not identified equal or beyond 100 kb, but 4 homologous DNA
elements were verified proximal to the breakpoints. One of the proband's sisters
inherited the same aberrant karyotype and experienced recurrent miscarriages and
consecutive fetus death, while in contrast, another sister with a normal
karyotype experienced normal labor and gave birth to healthy babies. The
insertional translocation is confirmed with FISH and the Y-chromosome
microdeletions were excluded by genetic testing. This is the first report
describing chromosome insertion inv ins (18,7) and attributes DPP6 and CACNA2D1
to azoospermia.
PMID- 24937804
TI - Comparison of trends in tuberculosis incidence among adults living with HIV and
adults without HIV--Kenya, 1998-2012.
AB - BACKGROUND: In Kenya, the comparative incidences of tuberculosis among persons
with and without HIV have not been described, and the differential impact of
public health interventions on tuberculosis incidence in the two groups is
unknown. METHODS: We estimated annual tuberculosis incidence stratified by HIV
status during 2006-2012 based on the numbers of reported tuberculosis patients
with and without HIV infection, the prevalence of HIV infection in the general
population, and the total population. We also made crude estimates of annual
tuberculosis incidence stratified by HIV status during 1998-2012 by assuming a
constant ratio of HIV prevalence among tuberculosis patients compared to the
general population. RESULTS: Tuberculosis incidence among both adults with HIV
and adults without HIV increased during 1998-2004 then remained relatively stable
until 2007. During 2007-2012, tuberculosis incidence declined by 28-44% among
adults with HIV and by 11-26% among adults without HIV, concurrent with an
increase in antiretroviral therapy uptake. In 2012, tuberculosis incidence among
adults with HIV (1,839-1,936 cases/100,000 population) was still eight times as
high as among adults without HIV (231-238 cases/100,000 population), and
approximately one third of tuberculosis cases were attributable to HIV.
CONCLUSIONS: Although tuberculosis incidence has declined among adults with and
without HIV, the persistent high incidence of tuberculosis among those with HIV
and the disparity between the two groups are concerning. Early diagnosis of HIV,
early initiation of antiretroviral therapy, regular screening for tuberculosis,
and isoniazid preventive therapy among persons with HIV, as well as tuberculosis
control in the general population, are required to address these issues.
PMID- 24937805
TI - Immune responses and protective efficacy of recombinant outer membrane protein R
(rOmpR)-based vaccine of Aeromonas hydrophila with a modified adjuvant
formulation in rohu (Labeo rohita).
AB - Despite the importance and success of developing a candidate vaccine against
Aeromonas hydrophila infection in fish, little is known about the molecular
mechanisms of the vaccine-induced immunoprotection in Indian major carp, Labeo
rohita, primarily due to lack of information on most of the immune related genes
of the species. In this study, a novel candidate antigen recombinant outer
membrane protein R (rOmpR) of A. hydrophila was evaluated as a vaccine candidate
along with a modified adjuvant formulation. Protective efficacy of the rOmpR
immunization was assessed in terms of survival against A. hydrophila challenge as
well as modulation of immune response in vaccinated fish after 1, 3, 6, 12, 24,
72 h and 10 days post-injection (using immune gene expression analysis) and 10,
28, 56 and 140 days post-injection (serum immune parameter analysis). The
generated immune response was compared with a formalin-killed A. hydrophila
antigen preparation using mineral oil only and modified adjuvant alone. We report
a variable up-regulation of the immune-related genes viz., lysozyme G, complement
factor 4, immunoglobulin M, beta2-microglobulin, major histocompatibility complex
I and II, and interleukin-1beta in anterior kidney and spleen tissues at early
time points post-immunization in all the groups, when compared to the control
fish. The vaccinated fish also showed an increase in serum natural hemolysin
titer, lysozyme and myeloperoxidase activities, and antibody titer irrespective
of vaccine formulations as compared to control fish on days 10, 28 and 56.
However, the increase in the serum parameters was more pronounced on day 140 in
rOmpR-modified adjuvant injected group, indicating the modulatory role of this
new vaccine formulation. Upon challenge with live A. hydrophila on days 56 and
140 post-immunization, significantly reduced percent mortality was noted in the
group immunized with modified adjuvant based rOmpR vaccine formulation. Taken
together, our results suggest that rOmpR along with modified adjuvant could
potentially be used as a vaccine formulation to handle A. hydrophila infection on
a long-term basis.
PMID- 24937806
TI - Simultaneous multiplex PCR detection of seven cucurbit-infecting viruses.
AB - Two multiplex polymerase chain reaction (PCR) systems using dual priming
oligonucleotide (DPO) primers were developed for the simultaneous detection of
seven cucurbit-infecting viruses. One system allows for the detection of papaya
ringspot virus, watermelon mosaic virus, and zucchini yellow mosaic virus,
whereas the other permits the detection of cucumber green mottle mosaic virus,
cucumber fruit mottle mosaic virus, kyuri green mottle mosaic virus, and zucchini
green mottle mosaic virus. Viral species-specific DPO primers developed in this
study detected as little as 10 fg/MUl of viral RNA under monoplex conditions and
10 pg/MUl of viral RNA under multiplex conditions. Multiplex PCR using the DPO
primer sets was capable of amplifying viral genes at annealing temperatures
ranging from 53 degrees C to 63 degrees C. Whereas the use of conventional
primers gave rise to non-specific bands, the DPO primers detected target viral
genes in the absence of non-specific amplification. When these DPO multiplex
primer sets were applied to virus-infected cucurbit samples obtained in the
field, multiple infection as well as single infection was accurately identified.
This novel approach could also detect multiple viruses in infected seeds. The
reliability of multiplex PCR systems using DPO primers for plant virus detection
is discussed.
PMID- 24937807
TI - Simultaneous determination of seventeen mycotoxins residues in Puerariae lobatae
radix by liquid chromatography-tandem mass spectrometry.
AB - This work reported an efficient and accurate liquid chromatography tandem mass
spectrometry (LC-MS/MS) method for simultaneous determination of seventeen
mycotoxins in Puerariae lobatae radix, a frequently used traditional Chinese
medicine (TCM). The effects of four different clean-up methods, including TC
M160, TC-T220, Mycosep 227, and QuEChERS method, on the recoveries of mycotoxins
were investigated and compared. Finally, TC-M160 was chosen for better recovery
and repeatability for mycotoxins analysis. The analytes were separated on an
Agilent ZORBAX SB C18 column (4.6mm*250mm, 5MUm particle size), and eluted with a
mobile phase consisting of (A) water containing 0.1% formic acid and (B)
acetonitrile containing 0.1% formic acid at a flow rate of 0.6mL/min. The
separated compounds were detected by a triple quadrupole mass spectrometer
operating in positive electrospray ionization with multiple reaction monitoring
(MRM) mode. The results of method validation accorded with the requirement of
analytical method for mycotoxins in COMMISSION REGULATION (EC) No 401/2006. The
developed method was successfully applied for determination of mycotoxins in
seventeen batches of Puerariae lobatae radix collected from different provinces
of China. Three batches of them were found with contamination of mycotoxins AFB1
at (0.751+/-0.176)MUg/kg, T-2 at (1.10+/-0.01)MUg/kg, and T-2 at (0.853+/
0.044)MUg/kg, respectively. The results demonstrated that the proposed method was
suitable for monitoring mycotoxins residues in Puerariae lobatae radix.
PMID- 24937808
TI - Comparative HPLC enantioseparation on substituted phenylcarbamoylated
cyclodextrin chiral stationary phases and mobile phase effects.
AB - Two new cyclodextrin-derived chiral stationary phases with multiple urea linkages
were prepared through the Staudinger reactions between aminopropyl silica gel and
cyclodextrin derivatives, namely, heptakis(6-azido-6-deoxy-2,3-di-O-3,5
dimethylphenylcarbamoylated)-beta-cyclodextrin and heptakis(6-azido-6-deoxy-2,3
di-O-3,5-dichlorophenylcarbamoylated)-beta-cyclodextrin, respectively. HPLC
separation behaviors toward 46 chiral analytes have been investigated under
multimodal elution. They exhibited good separation performances for these
analytes and also showed some complimentary enantioselectivity to each other, due
to different electron-donating (methyl)/withdrawing (chlorine) groups in the
phenylcarbamate moieties. Among these analytes, aromatic alcohols and N-(2,4
dinitrophenyl)-derived carboxylic acids were better resolved on the pi-basic
chiral stationary phase than the pi-acidic. The proton pump inhibitors, the 5
hydroxytryptamine receptor antagonists, and the analytes with carbonyl groups
easily formed stereoselective interactions with the pi-acidic chiral stationary
phase, further leading to better enantioseparation. Elution order reversal for
palonosetron and N-(2,4-dinitrophenyl) glutamine was observed in three chiral
stationary phases, probably induced by the difference of phenylcarbamate groups.
Moreover, mobile phase effects on retention behaviors of analytes have been
studied in detail.
PMID- 24937809
TI - A validated LC-MS/MS method for the rapid quantification of vilazodone in rat
plasma: application to a pharmacokinetic study.
AB - A rapid and sensitive LC-MS/MS method was developed for the quantification of
vilazodone in rat plasma using escitalopram as internal standard. After extracted
with organic solvent, post-treatment samples were chromatographed on an Agela C18
column. An isocratic mobile phase of acetonitrile: 5mM ammonium acetate: formic
acid (35:65:0.1, v/v/v) was applied at a flow rate of 0.25mL/min. Detection was
performed using multiple reaction-monitoring (MRM) modes at m/z 442.4->155.3 for
vilazodone and m/z 325.1->109.0 for escitalopram. The method was linear in the
concentration range of 1.0-100ng/mL with a correlation coefficient >=0.993. The
intra- and inter-assay precision (%RSD) values were within 13.4%, and intra- and
inter-day accuracy (%RE) ranged from -9.8 to 6.9%. The total analysis time was
2.2min. The LC-MS/MS method was fully validated for its sensitivity, selectivity,
stability, matrix effect and recovery. The data indicated that the developed
method was rapid, specific and sensitive. This method was further and
successfully applied in the pharmacokinetics study of vilazodone in rat.
PMID- 24937810
TI - Integrating asthma hazard characterization methods for consumer products.
AB - Despite extensive study, definitive conclusions regarding the relationship
between asthma and consumer products remain elusive. Uncertainties reflect the
multi-faceted nature of asthma (i.e., contributions of immunologic and non
immunologic mechanisms). Many substances used in consumer products are associated
with occupational asthma or asthma-like syndromes. However, risk assessment
methods do not adequately predict the potential for consumer product exposures to
trigger asthma and related syndromes under lower-level end-user conditions. A
decision tree system is required to characterize asthma and respiratory-related
hazards associated with consumer products. A system can be built to incorporate
the best features of existing guidance, frameworks, and models using a weight-of
evidence (WoE) approach. With this goal in mind, we have evaluated chemical
hazard characterization methods for asthma and asthma-like responses. Despite the
wealth of information available, current hazard characterization methods do not
definitively identify whether a particular ingredient will cause or exacerbate
asthma, asthma-like responses, or sensitization of the respiratory tract at lower
levels associated with consumer product use. Effective use of hierarchical lines
of evidence relies on consideration of the relevance and potency of assays,
organization of assays by mode of action, and better assay validation. It is
anticipated that the analysis of existing methods will support the development of
a refined WoE approach.
PMID- 24937811
TI - Leprosy: a review of laboratory and therapeutic aspects--part 2.
AB - Leprosy is a chronic infectious condition caused by Mycobacterium leprae(M.
leprae). It is endemic in many regions of the world and a public health problem
in Brazil. Additionally, it presents a wide spectrum of clinical manifestations,
which are dependent on the interaction between M. leprae and host, and are
related to the degree of immunity to the bacillus. The diagnosis of this disease
is a clinical one. However, in some situations laboratory exams are necessary to
confirm the diagnosis of leprosy or classify its clinical form. This article aims
to update dermatologists on leprosy, through a review of complementary laboratory
techniques that can be employed for the diagnosis of leprosy, including Mitsuda
intradermal reaction, skin smear microscopy, histopathology, serology,
immunohistochemistry, polymerase chain reaction, imaging tests, electromyography,
and blood tests. It also aims to explain standard multidrug therapy regimens, the
treatment of reactions and resistant cases, immunotherapy with bacillus Calmette
Guerin (BCG) vaccine and chemoprophylaxis.
PMID- 24937813
TI - Angiogenic effects of cryosurgery with liquid nitrogen on the normal skin of
rats, through morphometric study.
AB - BACKGROUND: Cryosurgery is an efficient therapeutic technique used to treat
benign and malignant cutaneous diseases. The primary active mechanism of
cryosurgery is related to vascular effects on treated tissue. After a
cryosurgical procedure, exuberant granulation tissue is formed at the injection
site, probably as a result of angiogenic stimulation of the cryogen and
inflammatory response, particularly in endothelial cells. OBJECTIVE: To evaluate
the angiogenic effects of freezing, as part of the phenomenon of healing rat skin
subjected to previous injury. METHODS: Two incisions were made in each of the
twenty rats, which were divided randomly into two groups of ten. After 3 days,
cryosurgery with liquid nitrogen was performed in one of incisions. The rats'
samples were then collected, cut and stained to conduct histopathological
examination, to assess the local angiogenesis in differing moments and
situations. RESULTS: It was possible to demonstrate that cryosurgery, in spite of
promoting cell death and accentuated local inflammation soon after its
application, induces quicker cell proliferation in the affected tissue and
maintenance of this rate in a second phase, than in tissue healing without this
procedure. CONCLUSIONS: These findings, together with the knowledge that there is
a direct relationship between mononuclear cells and neovascularization (the
development of a rich system of new vessels in injury caused by cold), suggest
that cryosurgery possesses angiogenic stimulus, even though complete healing
takes longer to occur. The significance level for statistical tests was 5%
(p<0,05).
PMID- 24937812
TI - Phenotypic, molecular and antimicrobial susceptibility assessment in isolates
from chronic ulcers of cured leprosy patients: a case study in Southern Brazil.
AB - BACKGROUND: One of the most stigmatizing physical sequelae of leprosy in cured
patients is the development of chronic lower extremity ulcers. The bacterial
diversity present in ulcers is considered one of the factors that can delay the
healing process, as well as serve as a focus for severe secondary infections.
OBJECTIVE: To identify the microbiota and antimicrobial resistance profile of
bacteria isolated from skin ulcers in patients cured of leprosy. METHODS: After
obtaining informed consent, material was collected from ulcers of 16 patients
treated at the Outpatient Public Health Dermatology Clinic of Rio Grande do Sul
and Hospital Colonia Itapua. Samples were collected during dressing, and the
material sent to the Microbiology Laboratory of the Federal University of Health
Sciences of Porto Alegre for microbiological culture. Methicillin-resistant
Staphylococcus aureus (MRSA) was characterized by two molecular methods,
including detection of the mecA gene by PCR and SCCmecgene typing. RESULTS:
Cultures revealed microorganisms in all ulcers: Gram-negative bacilli in 80%,
Gram-positive cocci in 63%, and mixed microflora in 36%. Staphylococcus aureus
and Pseudomonas aeruginosa were the most prevalent bacteria. Assessment of the
antimicrobial resistance profile was notable for the presence of MRSA. Molecular
analysis of this isolate revealed presence of the mecA gene contained in a type
IV staphylococcal cassette chromosome mec (SCCmec). CONCLUSIONS: In patients with
leprosy, laboratory culture of skin ulcers is essential for correct antibiotic
selection and to control emerging pathogens, such as MRSA carrying SCCmec type
IV.
PMID- 24937814
TI - Factors that influence healing of chronic venous leg ulcers: a retrospective
cohort.
AB - BACKGROUND: Venous ulcers have a significant impact on patient quality of life,
and constitute a worldwide public health problem. Treatment is complex, with high
failure rates. OBJECTIVES: To identify clinical and therapeutic factors that
influence healing of venous ulcers. METHODS: Retrospective cohort study of
patients with venous ulcers. Ulcer area was measured at the first visit (T0) and
after 6 months (T6) and 1 year (T12). A reduction in ulcer area of 50% or more at
T6 and T12 was the outcome of interest, weighted by clinical, demographic and
treatment aspects. RESULTS: Ninety-four patients were included (137 ulcers). A
reduction in ulcer area of 50% or more was seen in 40.1% of patients (95%CI 31.9
to 48.4%) at T6 and 49.6% (95%CI 41.2 to 58.1%) at T12. Complete healing occurred
in 16.8% (95%CI 10.5 to 23.1%) at T6 and 27% (95%CI 19.5 to 39.5%) at T12. The
lowest ulcer area reductions at T6 were associated with longstanding ulcer
(RR=0.95; 95%CI 0.91 to 0.98), poor adherence to compression therapy (RR=4.04;
95%CI 1.31 to 12.41), and infection episodes (RR=0.42; 95%CI 0.23 to 0.76). At
T12, lower reductions were associated with longstanding ulcer (RR=0.95; 95%CI
0.92 to 0.98), longer topical antibiotic use (RR=0.93; 95%CI 0.87 to 0.99), and
systemic antibiotic use (RR=0.63; 95%CI 0.40 to 0.99). CONCLUSIONS: Longstanding
ulcer, infection, poor adherence to compression therapy, and longer topical and
systemic antibiotic use were independently correlated with worse healing rates.
PMID- 24937815
TI - Hepatitis C and hepatitis B virus infections in the etiopathogenesis of
pemphigus.
AB - BACKGROUND: Previous studies have shown that some viral infections may be
triggers for autoimmune diseases. The role of viral infections in the
etiopathogenesis of pemphigus has also been investigated. OBJECTIVES: To
investigate the relationship between pemphigus and the hepatitis B and C virus
infections. METHODS: This retrospective study included 62 patients with pemphigus
and 50 healthy controls of matching ages and genders. The control group included
relatives who accompanied the orthopedic patients to the hospital but had no
history of systemic and/or autoimmune diseases. RESULTS: The group of patients
with pemphigus was composed of 43 (69.3%) females and 19 (29.7%) males, and the
mean age was 48.08 +/- 15.38. The hepatitis C virus antibody was negative in all
of the patients. Hepatitis B surface antigen (HBsAg), hepatitis B surface
antibody (HBsAb), or both, were studied in 44 of the 62 patients. Two (4.3%) of
these patients were positive for HBsAg and found to have HBV infection. Fourteen
of them were HBsAb positive. Two (4%) of the 50 control subjects showed hepatitis
C virus antibody positivity, while only 1 (2%) patient with pemphigus displayed
HBsAg positivity. There was no statistically significant difference between the
two groups for hepatitis B and C virus infections. CONCLUSIONS: This study does
not support an association between pemphigus and hepatitis B and/or C virus
infections.
PMID- 24937816
TI - Acne vulgaris: prevalence and clinical forms in adolescents from Sao Paulo,
Brazil.
AB - BACKGROUND: Acne is a common disease in adolescents, but there are no
epidemiological data for acne in Brazil. OBJECTIVES: To estimate the prevalence
and degree of acne in adolescents from Sao Paulo and study socio-demographic
factors, family history and lifestyle, associated with the disease. METHODS:
Cross-sectional study with 452 adolescents aged between 10 and 17 (mean=13.3
years), students from elementary and high school, examined by 3 independent
evaluators. RESULTS: 62.4% were female, 85.8% white and 6.4% were aged 14. The
prevalence was 96.0% and increased with age--all students over 14 had acne. The
most prevalent form of acne was comedonal (61.1%), followed by mild (30.6%) and
moderate (7.6%) papular-pustular, which affected mostly the face (97.5%). About
half of the adolescents reported family history for acne in mother or father, and
20.6% reported previous treatment for acne. There was a higher chance of
presenting non-comedonal acne with increased age (p<0.001). DISCUSSION: The
prevalence of acne in adolescents varies widely due to the clinical features and
diagnostic methods used. Adolescents whose brothers/sisters had acne (OR=1.7
p=0.027) and those over 13 (OR=8.3-p<0.001), were more likely to have non
comedonal acne. CONCLUSION: This study showed high prevalence of acne in
adolescents from Sao Paulo, predominantly the comedonal form on the face, with a
higher chance of presenting non-comedonal acne with increased age.
PMID- 24937817
TI - Anti-TNFalpha therapy in the management of psoriasis: experience of a state
referral center.
AB - BACKGROUND: Psoriasis is a chronic immune-mediated disease, characterized by
increased levels of TNFalpha. Anti-TNFalpha agents have revolutionized the
treatment of severe psoriasis by targeting an important molecule involved in its
pathogenesis. OBJECTIVES: We report the experience of a state referral center
that uses anti-TNFalpha agents for psoriasis. METHODS: We conducted a
retrospective case series. Seventy-four out of 120 patients met the inclusion
criteria. Clinical and laboratory data was analyzed using the chi-squared,
Wicoxon and McNemar's tests. Associations were considered statistically
significant when p-value<0.05. RESULTS: Forty-one subjects (55.40%) were male,
with a mean age of 47.69 +/- 14.99 years. Median disease duration and pre
treatment PASI were 14.0 months (IQR 9.0-20.0), and 13.55 points (IQR 8.5-20.32).
Sixty patients (81.10%) had arthropathic psoriasis. Forty-six subjects (62.20%)
had comorbidities; the most frequent was dyslipidemia (25.70%). In 55.40% of
patients, insufficient response to conventional therapies was the principal
indication for using anti-TNFalpha drugs. Clinical improvement occurred in 93.20%
of cases, and the post-treatment PASI median was 0.0 points (IQR 0.0-0.0).
Adverse effects occurred in 6.80% of patients. Infections and elevation of
transaminases occurred in 28.40% and 8.10% of cases, respectively. CONCLUSION:
Post-treatment reduction in PASI was satisfactory and the occurrence of adverse
effects was minor, mostly mild infusion effects and local reactions at drug
administration sites.
PMID- 24937818
TI - Cutaneous melanoma in the State of Sao Paulo: a spatial approach.
AB - BACKGROUND: Cutaneous melanoma is a skin cancer with low incidence but high
mortality rates. Several factors are associated with increased risk of melanoma,
such as excessive sun exposure, fair skin, and family history, among others.
Little is known about the spatial distribution of this cancer in Brazil.
OBJECTIVE: To identify, through the use of geostatistical tools, spatial clusters
of municipalities in the state of Sao Paulo based on their incidence of cutaneous
melanoma. METHODS: This was an ecological and exploratory study of data on new
cases obtained from Fundacao Oncocentro for the period 1 January 2006-31 December
2011. Cases were separated by gender and rates per 100,000 inhabitants were
calculated and used to compile thematic maps, Moran maps and kernel maps, using
TerraView software. RESULTS: There were 3,172 new cases of cutaneous melanoma in
the study period. High rates were identified in the North, Northwest, Southwest,
and Southeast regions of Sao Paulo state. Global Moran's I values were
statistically significant (p<0.05) at 0.12, 0.08, and 0.16, respectively, for
males, females, and all cases. Areas such as the Southeast, North, and Northwest
of Sao Paulo were identified as being of high priority for intervention.
CONCLUSION: Spatial clusters of municipalities with high incidence rates of
cutaneous melanoma in the state of Sao Paulo were identified. These data can
serve as an important input for public health agencies.
PMID- 24937819
TI - Herpes simplex virus: isolation, cytopathological characterization and antiviral
sensitivity.
AB - BACKGROUND: Herpes simplex virus (HSV) infection is an endemic disease and it is
estimated that 6095% of the adult population are infected with symptoms that are
usually self-limiting, though they can be serious, extensive and prolonged in
immunocompromised individuals, highlighted by the emergence of drug-resistant
strains. The study of the wild-type HSV strains based on the cytopathogenic
features and its antiviral sensitivity are important in the establishment of an
antivirogram for controlling the infection. OBJECTIVE: This study sought to
isolate and examine the cytopathological characteristics of circulating strains
of the Herpes simplex virus, from clinical specimens and their sensitivity to
commercially available antiherpesvirus drugs, acyclovir, phosphonophormic acid
and trifluridine. METHODS: Herpes simplex virus isolation, cytopathological
features and antiviral sensitivity assays were performed in cell culture by
tissue culture infectious dose or plaque forming unit assay. RESULTS: From twenty
two clinical specimens, we isolated and adapted nine strains. Overall, the
cytopathic effect was detected 24 h post-infection (p.i.) and the presence of
syncytia was remarkable 48 h p.i., observed after cell staining. Out of eight
isolates, four developed plaques of varying sizes. All the isolates were
sensitive to acyclovir, phosphonophormic and trifluridine, with the percentage of
virus inhibition (%VI) ranging from 49.7-100%. CONCLUSIONS: The methodology for
HSV isolation and characterization is a straightforward approach, but the drug
sensitivity test, regarded as being of great practical importance, needs to be
better understood.
PMID- 24937820
TI - Teaching of leprosy: current challenges.
AB - In the context of declining leprosy endemicity worldwide, keeping the interest in
knowledge and expertise in leprosy alive has been a matter of concern.
Approaching the problem only in primary care, without the proper integration with
other levels of care in the health system fails to account for the complexity of
the disease. Training professionals to work at different levels of health care is
a current challenge. The objective of this review was to look for experiences
related to the teaching of leprosy both in undergraduate courses in the field of
health sciences and in training programs for professionals who work in patient
care. We highlight the role of the dermatologist in the management of control
programs, diagnosis and treatment of the disease, as well as in the continuous
education of other health professionals.
PMID- 24937823
TI - Abscess resulting from Mycobacterium kansasii in the left thigh of AIDS patient.
AB - A case of abscess resulting from Mycobacterium kansasii, in the left thigh of a
53-year-old woman infected with the Human Immunodeficiency virus, is reported.
Curiously, there was no pulmonary or systemic involvement as is usual with these
Mycobacterium infections. The patient had CD4 T lymphocyte count of 257 cells/uL
and a viral load of 60,154 copies. Despite presenting a relatively preserved
immunity, the patient also presented Criptococcic meningoencephalitis and
Esophageal candidiasis. The patient responded satisfactorily to treatment for
infections and after 51 days was discharged.
PMID- 24937822
TI - Variants of dermatofibroma--a histopathological study.
AB - Several variants of dermatofibroma have been described. They are essentially
distinguished by their clinical and histopathological features. To review the
mainfeaturesof these variants, a retrospective study of skin biopsies and tissue
excisions of dermatofibromasperformed in the dermatology and venereology service
at the Hospital Garcia de Orta between May 2007 and April 2012 was carried out.
During that period, 192 dermatofibromas were diagnosed in 181 patients, the
lesions being more common in women. Median age of the study population was 48
years. The most common lesion site was the limbs (74% of patients). The
histopathological types found were common fibrous histiocytoma (80%) and the
aneurysmal (5.7%),hemosiderotic (5.7%), epithelioid (2.6%), cellular (2.1%),
lipidized (2.1%), atrophic (1.0) and clear cell (0.5%) variants. Based on these
findings, this review focuses on the clinical and histological features of the
various variants of dermatofibroma in terms of their clinical presentation,
distinct histopathological features, differential diagnosis and prognosis.
PMID- 24937824
TI - Verrucous lepromatous leprosy: a rare form of presentation--report on two cases.
AB - Leprosy skin lesions are described as hypochromic or erythematous macules, pale
erythematous or reddish-brown plaques, papules, nodules, and diffuse cutaneous
infiltration, depending on the clinical form of the disease. They may be
accompanied by hypo or anesthesia, alopecia, and hypo or anhidrosis. Verrucous
lesions are now quite uncommon in leprosy. The literature is sparse, with only 25
reported cases of this association, especially in the lepromatous pole of the
disease. This work is a report on two cases of lepromatous leprosy of long
evolution, coursing with vegetant verrucous lesions.
PMID- 24937825
TI - Incontinentia pigmenti or Bloch-Sulzberger syndrome: a rare X-linked
genodermatosis.
AB - Incontinentia pigmenti is a rare X-linked genodermatosis that affects mainly
female neonates. The first manifestation occurs in the early neonatal period and
progresses through four stages: vesicular, verruciform, hyperpigmented and
hypopigmented. Clinical features also manifest themselves through changes in the
teeth, eyes, hair, central nervous system, bone structures, skeletal musculature
and immune system. The authors report the case of a patient with cutaneous
lesions and histological findings that are compatible with the vesicular stage,
emphasizing the importance of early diagnosis and appropriate therapeutic
management.
PMID- 24937821
TI - Vitiligo--part 1.
AB - Vitiligo is a chronic stigmatizing disease, already known for millennia, which
mainly affects melanocytes from epidermis basal layer, leading to the development
of hypochromic and achromic patches. Its estimated prevalence is 0.5% worldwide.
The involvement of genetic factors controlling susceptibility to vitiligo has
been studied over the last decades, and results of previous studies present
vitiligo as a complex, multifactorial and polygenic disease. In this context, a
few genes, including DDR1, XBP1 and NLRP1 have been consistently and functionally
associated with the disease. Notwithstanding, environmental factors that
precipitate or maintain the disease are yet to be described. The pathogenesis of
vitiligo has not been totally clarified until now and many theories have been
proposed. Of these, the autoimmune hypothesis is now the most cited and studied
among experts. Dysfunction in metabolic pathways, which could lead to production
of toxic metabolites causing damage to melanocytes, has also been investigated.
Melanocytes adhesion deficit in patients with vitiligo is mainly speculated by
the appearance of Koebner phenomenon, recently, new genes and proteins involved
in this deficit have been found.
PMID- 24937826
TI - Unusual manifestation of the yellow nail syndrome--case report.
AB - The yellow nail syndrome is a rare disorder characterized by the classic triad of
yellow and dystrophic nails, lymphedema and pleural effusion. We report in this
paper a case of yellow nail syndrome, presenting the classic triad of the
disease, associated with an unusual lymph accumulation in the abdomen region.
PMID- 24937827
TI - Surgical options for treatment of lip and perioral tumors: report of 5 cases.
AB - Basal cell and squamous cell carcinomas are the main neoplasms of lip and
perioral location. We describe different techniques of successful surgical
reconstruction, including flaps or simple incision and suture. Using the latter
technique satisfactory results were demonstrated, although the incision made
removed more than a third of the lip, contrary to the literature. Our goal is to
emphasize that the common sense and experience of the surgeon should prevail in
the choice of reconstructive method. Moreover, even if the priority is complete
excision of the lesion, we cannot ignore the aesthetic and functional recovery
objective.
PMID- 24937828
TI - Pityriasis Rubra Pilar and hypothyroidism.
AB - Pityriasis Rubra Pilaris (PRP) is a chronic and rare papulosquamous disorder.
Treatment of Pityriasis Rubra Pilaris is based on empiric evidence because of
several doubts regarding its etiology and also because of its relative rarity,
making randomized studies difficult to perform. Some factors suggest that the
metabolism of vitamin A is involved in pathogenesis. We report a case of
Pityriasis Rubra Pilaris associated with autoimmune hypothyroidism which
presented rapid and complete response after thyroid hormone replacement, without
any association with other systemic treatment. In literature there are only three
other reports of significant improvement of the lesions after hormonal
correction. Deficiency of thyroid hormone inhibits the conversion of carotene
into vitamin A, which would be responsible for the occurrence of Pityriasis Rubra
Pilaris in this patient.
PMID- 24937829
TI - Primary cutaneous Ewing sarcoma--case report.
AB - Ewing sarcoma is a primitive neuroectodermal tumor rarely occurs in the skin and
sobcutaneous tissues. Generally Ewing's sarcoma is a primary bone tumor, but when
present in soft tissues it characterizes an extremely uncommon clinical picture.
It usually involves the deep subcutaneous tissue or muscles, and more rarely
occurs like a primary skin cancer. Most patients are white, women, and in the
second decade of life. The clinical features are a superficial mass, in average
measuring 2-3 cm, of soft consistency, freely mobile and sometimes painful. The
more affected locations are upper and lower extremities, trunk, head, neck or
multiple lesions. The presence of metastases is very rare.
PMID- 24937830
TI - Clouston syndrome associated with eccrine syringofibroadenoma.
AB - Eccrine syringofibroadenoma is a rare benign neoplasia derived from acrosyryngium
cells of the eccrine sudoriferous glands. It affects the extremities of elderly
individuals as solitary tumors, or may also present as multiple lesions. There
are controversies about the pathogenesis and differentiation of the tumor.
Eccrine syringofibroadenoma has been associated with subjacent conditions, such
as for example, hypohidrotic ectodermic dysplasias. The authors describe a case
report of a patient with Clouston Syndrome, who presented papules and nodules in
extremities, clinically and histologically compatible with eccrine
syringofibroadenoma. There are only three cases described in the literature,
associated with Clouston Syndrome, and this is the fourth case.
PMID- 24937831
TI - Confluent and reticulate papillomatosis of Gougerot-Carteaud and obesity:
dermoscopic findings.
AB - Confluent and reticulated papillomatosis of Gougerot and Carteaud is a dermatosis
that despite showing characteristic clinical signs is often poorly recognized and
diagnosed. The authors present a case with extensive skin involvement, discuss
its association with obesity and describe dermoscopic findings making the
histopathological correlation.
PMID- 24937832
TI - Case report: Dermoscopic and histological aspects of skin graft and perigraft
hyperpigmentation in acral location.
AB - Little is known about the use of dermoscopy in skin grafting. We describe the
case of a patient with skin grafting and surrounding pigmentation on acral
region. The dermoscopic findings were similar to those of benign acral lesions
(lattice-like pattern) and reactive pigmentations (fine striae). Histopathology
revealed pigment leakage and increased number of melanocytes. It is believed that
this phenomenon occurred as the result of an inflammatory stimulus.
PMID- 24937833
TI - Dermoscopy applied to pediculosis corporis diagnosis.
AB - We report the case of a 47-year-old homeless male with a massive infestation of
Pediculus humanus corporis on his entire body. Dermoscopy helped to diagnose
pediculosis by showing the involvement of scalp, beard and the genital region in
this disease.
PMID- 24937834
TI - History of leprosy in Rio de Janeiro.
AB - The record of the first cases of leprosy in Rio de Janeiro dates from the
seventeenth century. The first local host of leprosy patients was created from
1741, and the first colonies hospitals were built in the early twentieth century,
in order to avoid contagion of the population. The first structures dedicated to
research also date from this time: the Leprosy International Institute, the
Leprology Institute, and the Leprosy Laboratory of the Oswaldo Cruz Foundation,
where the most prestigious leprologists of Rio de Janeiro worked. Currently,
investigations are focused on the Oswaldo Cruz Foundation; additionally, leprosy
patients are treated at municipal health centers and state hospitals, and former
colony hospitals only accept patients with severe disabilities.
PMID- 24937835
TI - Case for diagnosis.
AB - We report the case of an 11-year-old male patient with a histopathological and
immunohistochemical diagnosis of dermatofibroma with an atypical clinical
presentation on the right forearm. Although dermatofibroma is considered a benign
skin tumor, some of its differential diagnoses, such as dermatofibrosarcoma
protuberans and malignant fibrous histiocytoma, are truly aggressive. Lesions
with atypical clinical aspects and topology associated with specific
histopathological variants are some of the criteria for complete tumor excision.
PMID- 24937836
TI - Case for diagnosis.
AB - Degos disease, also known as malignant atrophic papulosis, is a rare occlusive
vasculopathy of unknown etiology characterized by infarcts in the dermis,
gastrointestinal tract, central nervous system, and other organs. It is
characterized by papules, which become umbilicated and evolve with a depressed
porcelain-white central area, with an erythematous halo with telangiectasias.
Histological findings include wedge-shaped dermoepidermal necrosis and blood
vessel thrombosis. Approximately 50-60% of patients with systemic symptoms die
within 2-3 years, most due to gastrointestinal perforation. We report a typical
case, with lethal outcome, in a 45-year-old woman.
PMID- 24937837
TI - Case for diagnosis.
AB - Granular cell tumour is a rare tumour of neural origin usually located on the
face and the neck. The biological behaviour is usually benign. However, certain
clinical and histopathological features should alert physicians to a malignant
behaviour. This case report describes the occurrence of a granular cell tumour in
the inguinal area that resembled a malignant tumour. The histopathological study
revealed typical features of granular cell tumour and an extension study
confirmed the absence of metastasis. This case highlights the importance of
considering this disorder in the differential diagnosis of ulcerated nodules and
of managing atypical granular cell tumor appropriately.
PMID- 24937838
TI - Syndrome in question.
AB - A 48-year-old male patient with chronic alcoholism presented with a soft, bulky,
asymptomatic, and slow-growing mass in the posterior region of the neck, as well
as nodules in the deltoid region and posterior triangle of the
sternocleidomastoid muscle. Needle aspiration confirmed the diagnosis of lipoma.
Multiple symmetric lipomatosis (Madelung's disease) is a rare proliferation of
adipocytes, of unknown etiology, most common in middle-aged men and mainly
associated with alcoholism. It predominantly affects the neck and upper trunk,
causing compressive symptoms or a imparting a pseudoathletic appearance. Surgical
resection or liposuction is the most effective treatment, despite frequent
recurrence.
PMID- 24937839
TI - Increasing trend of sensitization to
Methylchloroisothiazolinone/methylisothiazolinone (MCI/MI).
AB - Recent reports have shown increased sensitization to
Methylchloroisothiazolinone/methylisothiazolinone. We report a retrospective
study conducted at the Hospital das Clinicas--UFMG, based on the results of patch
tests with the Brazilian standard series, performed on referred patients. The
positive results in 359 patients from November 2009 to October 2012 were analyzed
and compared with the previous data collected from March 2006 to October 2009
(447 patients). The data showed 11.14% sensitization to
Methylchloroisothiazolinone/ methylisothiazolinone during 2009-2012, contrasting
with the previous period (3.35%). A positive association was found between its
positivity and the period of 2009-2012.
PMID- 24937840
TI - Skin diseases reported by workers from UNESP campus at Rubiao Jr, Botucatu-SP
(Brazil).
AB - There are few populational studies to estimate the dimension of dermatological
diseases. We performed a survey with 515 employees from UNESP campus, Botucatu
(SP), exploring demographic data, medical appointments and dermatological
diagnoses. Additionally, we induced questions about prevalent diseases.
Appointments to the dermatologist were reported by 77% of subjects. The main
dermatoses spontaneously reported were mycoses, allergies, nevi and viral warts.
There were association of juvenile acne and males (OR=2.3), melasma and females
(OR=8.0), and onychomycosis with older age (OR=1.05). Surveys are important to
know the demand for dermatology care, besides directing formulation of public
health policy and medical education.
PMID- 24937841
TI - Cutaneous ulcer in an immunosuppressed patient with adult onset Still's disease:
primary cutaneous histoplasmosis?
AB - Histoplasmosis is caused by the dimorphic fungus Histoplasma capsulatum.Primary
infection occurs through inhalation of spores from the air. Immunocompetent
individuals are usually asymptomatic, but may develop pulmonary disease.
Immunocompromised patients tend to present systemic histoplasmosis with cutaneous
lesions occurring by secondary invasion. In this case report, we describe a
probable primary cutaneous histoplasmosis (PCH) in a patient with adult onset
Still's disease under immunosuppression.
PMID- 24937843
TI - Cardiac biomarker testing in the clinical laboratory: where do we stand? General
overview of the methodology with special emphasis on natriuretic peptides.
AB - Diagnosis of heart failure (HF) is not based on a single test, but on a
combination of history, physical examination and appropriate investigations. For
these reasons, the accuracy of diagnosis by clinical means alone is often
inadequate, especially in the early, asymptomatic stages of the HF. Thus, there
is an increasing interest in the development of new cardiovascular biomarkers
and, consequently, a great number of laboratory tests have recently been proposed
for their assay. The aim of this article is to provide a general overview on the
biomarkers, recommended by international guidelines, for the diagnosis, risk
stratification, and follow-up of patients with HF. Cardiac natriuretic peptides
and in particular the B-type related peptides, which are considered to be the
first line biomarker for HF by international guidelines, will be discussed with
special emphasis.
PMID- 24937844
TI - A sight saver: cataract surgery.
PMID- 24937846
TI - Fixes for faulty heart valves: which techniques work best?
PMID- 24937845
TI - Understanding Lewy body dementia.
PMID- 24937847
TI - Getting a grip on severe hand osteoarthritis.
PMID- 24937848
TI - 10 tips for safe oxygen use and storage.
PMID- 24937849
TI - How long is a cold contagious? Is there any way to prevent transmitting a cold
to another person?
PMID- 24937850
TI - Are drugs that have passed their expiration dates OK to use, or should they be
discarded?
PMID- 24937851
TI - Another pill for breast cancer prevention.
PMID- 24937852
TI - Don't take knee pain lying down.
PMID- 24937853
TI - A one-two punch against prostate cancer.
PMID- 24937854
TI - Mind the bed-rail gap.
PMID- 24937855
TI - Pulmonary Cryptococcosis.
PMID- 24937856
TI - Dr. Steven A. Rasmussen: from Brown alum to department chair.
PMID- 24937857
TI - Words expressing finality.
PMID- 24937858
TI - Paraphilia.
PMID- 24937859
TI - Paget's disease of the breast.
PMID- 24937860
TI - Jay publishes research on new OA prevention treatment.
PMID- 24937861
TI - Rhode Island Hospital opens COBRE center for stem cell biology.
PMID- 24937862
TI - Bradley researchers find age-related changes in how autism affects the brain.
PMID- 24937863
TI - Differential expression of bithorax complex genes in the absence of the extra sex
combs and trithorax genes.
AB - Each body segment of Drosophila follows a unique developmental pathway,
controlled by the selective expression of homoeotic genes such as Sex combs
reduced (Scr)and the bithorax complex (BX-C). Little is known about the
regulation of these genes, though several potential activators or repressers have
been described. For instance, absence of the extra sex combs (esc) gene product
apparently causes adventitious expression of all the BX-C genes in most or all
larval body segments. Absence of the trithorax (trx) gene appears to prevent Scr
and BX-C expression but only in adult cells; differentiation of the larval
segments is only slightly affected. I show here that the correct segmental
differentiation of the larva does not require maternally deposited trx+ product,
but that the esc mutant phenotype is suppressed by the removal of the trx gene,
which implies that the BX-C can be differentially expressed in the absence of
both the trx gene and the esc gene product.
PMID- 24937864
TI - HIV therapy for treatment-experienced patients: multi-site study, led by Miriam's
Tahsima could change treatment. strategy.
PMID- 24937865
TI - Women & infants launches 'safe to sleep' campaign: saving infants from accidental
crib deaths.
PMID- 24937866
TI - Editorial: Psychiatry and conflict.
PMID- 24937867
TI - Preventing infection from the misuse of vials.
PMID- 24937868
TI - Ellenbogen speaks on head injuries in youth, the NFL, military: co-chair of the
NFL Head, Neck and Spine Committee since 2010.
PMID- 24937869
TI - In editorial, RIH's Dr. Ranney calls for research into gun violence.
PMID- 24937870
TI - Alpert Medical School mints 113 new physicians.
PMID- 24937871
TI - A tendency toward wordiness.
PMID- 24937872
TI - Authors' reply.
PMID- 24937873
TI - Authors' reply.
PMID- 24937874
TI - Switch to the new peak flow reference equations for adults in India.
PMID- 24937875
TI - Microalbuminuria: nature, importance, significance, and limitations.
PMID- 24937876
TI - Multiple myeloma pathogenesis: blame it on the microenvironment.
PMID- 24937878
TI - OBSTACLE hypoglycemia: Targeting a major hurdle in diabetes management!
PMID- 24937877
TI - Acute kidney injury biomarkers: need to move from bench to bedside.
PMID- 24937879
TI - Equine cyathostomins.
AB - This collection of articles provides an in depth account of five presentations
delivered during the Symposium on Equine Cyathostomins held at the 19th
International Conference of the World Association for the Advancement of
Veterinary Parasitology (WAAVP), New Orleans, Louisiana,10-14 August 2003. The
symposium was organized and chaired by Ray M. Kaplan and Jacqui B. Matthews and
focused on new developments in two major areas of current importance: the
immunobiology of cyathostomin-horse interactions and anthelmintic resistance.
PMID- 24937880
TI - Molecular systematics and diagnosis.
AB - This collection of articles provides an account of six presentations delivered at
the 19th International Conference of the World Association for the Advancement of
Veterinary Parasitology(WAAVP) (held in New Orleans, Louisiana, USA, from 10 to
14 August 2003) in a symposium session on Molecular Systematics and Diagnosis,
organised and chaired by R.B. Gasser and D.S. Zarlenga. The focus was on recent
advances in molecular tools for specific and genotypic identification,diagnosis,
systematics and population genetics, with special emphasis on investigations of
parasitic nematodes and protists.
PMID- 24937881
TI - Novel approaches to control of parasites-a workshop.
AB - With the advent of helminth parasite populations that have developed resistance
to anthelmintics over the last decade or so, especially in small ruminants,
sustainable productivity has been threatened. This workshop on novel approaches
to control was held at the 19th International Conference of the World Association
for the Advancement of Veterinary Parasitology (WAAVP) at New Orleans,LA, USA,
during 10-14 August 2003. The workshop was organized and chaired by J.E. Miller
and P.J. Waller. Novel or alternative approaches to control have been the focus
of research (basic and applied) in many parts of the world. The objective of the
workshop was to discuss where we have been and what direction(s) appears to be
viable for both the short and long term future. In the long term, all represented
regions at the workshop have promulgated programs where breeding for resistance
may be the best approach as genes for resistance can be fixed in host
populations. However,it does take many years to achieve results and the question
of tradeoff concerning alteration of production traits needs further evaluation.
Vaccination, especially against Haemonchus contortus,has been a thrust of
laboratories in Scotland and Australia where natural "hidden gut" antigens have
shown promise, but recombinant products have yet to be developed. In Europe,
North and South America, Australia, South Africa and Asia, biocontrol using the
nematode-trapping fungus Duddingtonia flagrans has been shown to be effective
under experimental conditions, but some field evaluations have been
disappointing. Most recently, the FAMACHA system was developed in South Africa.
This system is directly and immediately applicable to all regions where H.
contortus is a problem. Although not a new or novel approach, copper-oxide wire
particles have been revived as a means to control H. contortus.Work being done in
Europe, North and South America,South Africa and Australia have shown very
encouraging results and can probably be considered the best short term approach
available. However, caution needs to be considered in sheep to avoid potential
copper toxicity problems. Work in New Zealand, Scotland and the US with forages
and feeds containing condensed tannins have shown some limited control. Many
laboratories have demonstrated that adequate and balanced nutrition programs are
also important to maintain mechanisms that combat infections. Overall, no one
approach alone is the answer. Approaches that are integrated, including "smart"
use of anthelmintics, are necessary.
PMID- 24937882
TI - Recent advances in heartworm disease.
AB - This compilation of articles consists of four papers presented at the 19th
International Conference of the World Association for the Advancement of
Veterinary Parasitology (WAAVP) (held in New Orleans, LA, USA, on 10-14 August
2003) in a symposium session titled " Recent Advances in Heartworm Disease,"
organized and chaired by JohnW. McCall and Jorge Guerrero. The first
paper(Guerrero) covered the American Heartworm Society's most recent revision of
their guidelines for the diagnosis, prevention, and management of heartworm
infection in dogs, based on new research and clinical experience, particularly in
the areas of heartworm chemoprophylaxis, adulticide therapy,and serologic testing
and retesting. The entire updated 2003 "Guidelines" are presented herein.One
paper (McCall) reviewed the "soft-kill" adulticidal and "safety-net" (reach-back,
retroactive,clinical prophylactic) activity of prolonged dosing of prophylactic
doses of macrocyclic lactones,concluding that ivermectin is the most effective in
this way, milbemycin oxime is the least effective,and the activity of injectable
moxidectin and selamectin lies between that of ivermectin and milbemycin oxime.
The two remaining papers provided an overview of the discovery,
rediscovery,phylogeny, and biological association between Wolbachia endosymbionts
and filarial nematodes(Genchi and co-authors) and compelling evidence that
Wolbachia may play a major role in the immunopathogenesis of filarial diseases of
man and animals (Kramer and co-authors).
PMID- 24937883
TI - Management of myiasis: current status and future prospects.
AB - The management of myiasis in livestock has been an example of the success of
modern chemical approaches for parasite control, yet in some cases remains
extremely intractable, requiring the development of novel strategies. In
addition, the growing and urgent need to develop integrated strategies that
enhance the sustainability of livestock production systems drives the search for
new techniques [see Int. J. Parasitol. 29 (1999) 7].The following summary
represents a synthesis of a symposium presented at the 19th International
Conference of the World Association for the Advancement of Veterinary
Parasitology, New Orleans,USA, 10-14 August 2003. The coverage began with a
review of the need for more subtle economic analysis of the impact of myiasis
based on the use of the sterile insect technique (SIT) for control of bovine
hypodermosis in North America. This was followed by a review of the status of
chemical control with particular emphasis on the macrocyclic lactones. The
outcome of the use of these compounds in a regulated control program for
eradication of bovine hypodermosis in EU was surveyed. Similarly, the success of
the screwworm eradication program, using the sterile insect technique has shown
how effective this approach can be given the appropriate target. Several aspects
of the development of newer approaches were surveyed in discussion of newer
chemical control products, development of vaccines, use of host genetics, use of
predictive simulation modelling and trapping for monitoring and control and the
development of new diagnostic approaches for occult infestations. Finally, use of
the latest molecular tools for identification of larvae causing myiasis and their
use for the identification of species coming from different and distant
geographical areas to colonize regions where they have been eradicated was
reviewed.
PMID- 24937884
TI - Zoonotic protozoa in the marine environment: a threat to aquatic mammals and
public health.
AB - This collection of abstracts provides an account of four presentations at the
19th International Conference of the World Association for the Advancement of
Veterinary Parasitology (WAAVP)(held in New Orleans, LA, USA from 10-14 August
2003) in a symposium session on zoonotic protozoan parasites found in the marine
environment and chaired by Ronald Fayer and David Lindsay.The focus was on three
genera of parasites of veterinary and public health concern-Toxoplasma,Giardia,
and Cryptosporidium with emphasis on their epidemiology in the marine
environment.
PMID- 24937885
TI - AAVP Symposium: new approaches in the study of animal parasites.
AB - The following three papers are a very small window onto the types of research
being pursued by members of the American Association of Veterinary
Parasitologists. They are related by the fact that newer areas in the biology of
parasites and their hosts are discussed. The first paper by Dr. Tom Klei, gives a
brief view of the interactions between host and parasite of the fascinating
organism Wolbachia, a parasite of parasites. The second paper by Dr. Gloria
Solano-Aguilar addresses the use of probiotics to alter the host-parasite
interface and influence host resistance. The final paper by Dr. Lou Gasbarre
outlines an example of integration of the genomics revolution into Veterinary
Parasitology. While the subjects are diverse, they demonstrate the vitality of
the AAVP.
PMID- 24937886
TI - Assessing the burden of Taenia solium cysticercosis and echinococcosis.
AB - This collection of articles provides an account of the papers delivered at the
19th International Conference of the World Association for the Advancement of
Veterinary Parasitology (WAAVP)(held in New Orleans, LA, USA, from 10 to 14
August 2003) in a symposium session on assessing the burden of Taenia solium
cysticercosis and echinococcosis organised and chaired by A. Lee Willingham III
from the WHO/FAO Collaborating Center for Research and Training on Emerging and
other Parasitic Zoonoses in Denmark and Peter M. Schantz from the Parasitic
Diseases Division of the US Centers for Disease Control and Prevention, USA. The
focus was on the persistence of the zoonotic parasitic diseases cysticercosis,
caused by the pork tapeworm T. solium, and echinococcosis,caused by species of
the tapeworm Echinococcus, and why these diseases are given very little attention
on the national and international agendas in spite of the availability of tools
to detect, treat,control and prevent them when it is quite clear in most
instances that they are clearly associated with and help perpetuate poverty. A
major reason for this is that in many endemic areas the presence and impact of
these diseases are not known due to the lack of investigation and information
thus policymakers are not aware of their burden and benefits of their control.
Documentation is also needed to help increase awareness of the international
community and hopefully result in financial and technical support being made
available. Thus, burden assessments of cysticercosis and echinococcosis provide
an essential evidence base for securing political will and financial and
technical support as well as providing a basis for cost-benefit analysis of
prevention and control efforts. In order to make an appropriate and full burden
assessment one must consider the health, agricultural, social and other impacts
of these parasitic zoonoses comprehensively. During the symposium presentations
were given concerning current ongoing initiatives to assess the burden of
cysticercosis and echinococcosis and examples of the impact of these diseases in
both developing and developed countries were provided. In addition, cost factors
related to vaccines for these cestode diseases were discussed and the
possibilities for technical and financial support from multilateral agencies for
assessments and interventions presented.
PMID- 24937887
TI - Intervet symposium: bovine neosporosis.
AB - This article summarises the most relevant data of presentations delivered at the
19th International Conference of the World Association for the Advancement of
Veterinary Parasitology (WAAVP)held in New Orleans, LA, USA, from 10 to 14 August
2003) in a symposium session on bovine neosporosis. The symposium was organised
by Juan Munoz-Bielsa,Wicher Holland, Enzo Foccoliand Theo Schetters (chairman).
The focus was on the present state of knowledge of the biology,
epidemiology(presented by J.P. Dubey) and immunology of Neospora infection
(presented by A. Adrianarivo),with special emphasis on the prospects of
vaccination of cattle against Neospora-induced abortion (presentations of K.
Frankena (Costa Rican trial) and C. Heuer (New Zealand trial)).
PMID- 24937888
TI - TDA House of Delegates.
PMID- 24937889
TI - Case of the month. Ectopic geographic tongue (erythema migrans).
PMID- 24937890
TI - Cat of the month. Critically appraised topics. Dentists' awareness of posture in
reducing pain in musculoskeletal disorder (MSD) UT CAT #2336.
PMID- 24937891
TI - Unusual radiographic finding during routine periodontal maintenance: a case
report.
AB - This case report describes the presence of a radiopaque artifact appearing in
multiple intraoral periapical and bitewing radiographs during routine periodontal
maintenance, in an edentulous area with a history of a ridge preservation
procedure. Clinical examination of the area showed a normal soft tissue
appearance. Upon further investigation of the patient's recent past medical
history, it was found that the artifact was due to the presence of a dermal
filler (Radiesse--Merz Aesthetics, San Mateo, CA), which remained after a facial
cosmetic procedure. This unusual finding is an indication that clinicians should
consider facial cosmetic dermal fillers as part of the differential diagnosis
when unusual radiopaque objects are found during routine dental radiographs.
PMID- 24937893
TI - Informed refusal: a review.
PMID- 24937892
TI - Digital dentistry and implant dentistry--a case study.
AB - In the area of fixed prosthodontics, implants have evolved to become the gold
standard in terms of longevity and esthetic outcome (1). As in all of dentistry,
careful diagnosis and treatment planning is a must to achieve an optimum result.
When evaluating the recipient site, one must determine if any type of defect
(hard or soft tissue) exists which would require augmentation. The existing
occlusion must also be evaluated to ensure the dentist is aware of possible
prosthetic complications. In straightforward cases, such as those without the
need for augmentation, the conventional timeline requires a waiting period of 3
months following surgical placement to begin the restorative procedures.
Factoring in the restorative time frame, the patient may wait up to approximately
4 months for the final implant prosthesis. Various advancements in dentistry are
changing the way dentists approach implant dentistry--from diagnosis and
treatment planning to final restorations. This article illustrates these advances
through a case study.
PMID- 24937894
TI - Self-care, an ounce of prevention.
PMID- 24937895
TI - School nurse health wellness: making it priority one.
PMID- 24937896
TI - Health and wellness begin with school nurses.
PMID- 24937897
TI - The role of school nurses in integrated pest management: protecting children from
pests and pesticides.
PMID- 24937898
TI - Healthy children learn better, and healthy school nurses make it happen!! Apps to
inspire wellness.
PMID- 24937899
TI - Wellness promotion: school nurses as models of health.
AB - Students and staff of all ages look to school nurses as authorities on health and
wellness. Health promotion and wellness education are school nursing practices
that have the potential for continuous impact on students. School nurses have the
critical responsibility of acknowledging their influences on the health behavior
of others and are called to take ownership of the essential role of modeling
wellness.
PMID- 24937900
TI - Alliance for a healthier generation: resources and practices to support the
school nurse role in building a healthier school environment.
PMID- 24937901
TI - Nurses lead the way for "safe in the sun" play!
AB - Skin cancer prevention is of great importance as it is the most common type of
cancer in the United States. Much skin damage occurs early in life. Adolescents
are a vulnerable population, as they strive to fit in with their peers, and they
neglect sun safety habits. Since a substantial portion of adolescents' time is
spent at school, school nurses are in an ideal position to develop and lead a sun
safety action plan, using guidelines from the Centers for Disease Control and
Prevention and evidence-based sun safety internet resources. It is hoped that
incorporating a culture of skin health promotion and disease prevention among
teens will lead to improved health outcomes in the future.
PMID- 24937902
TI - School nurse facilitated programs for families living fit.
AB - School nurses are in a unique position to facilitate provision of knowledge to
children and their parents regarding balanced, healthy lifestyles. Nurses can
become empowered with the knowledge gained from reviewing obesity programs with
demonstrated improvements in obesity-related outcomes such as Kids Living Fit
(KLF) and Nurses Living Fit (NLF). Garnering resources from your school and
community, school nurses can create programs using methods from KLF and NLF to
facilitate Families Living Fit (FLF). With the provision of programs, ideally
children and parents will also feel empowered through knowledge gained as a
result of their participation in FLF programs focusing on healthy lifestyles,
incorporating the balance between activities chosen/exercise and foods
consumed/nutrition.
PMID- 24937903
TI - Rural school nurse perception of book studies as an effective method for
professional development.
AB - School nurses who serve public school districts in rural Northwest Washington
face barriers in accessing Continuing Education (CE) for professional development
as they often practice in remote, isolated school communities. Acknowledging
these barriers, the author discusses the inclusion of book studies within an
existing training structure as an innovative method of providing professional
development. By utilizing training that is already attended by rural school
nurses, CE can be enhanced without incurring additional travel, cost, or training
time. The school nurse's perception of the effectiveness of book studies as a CE
method was examined per a descriptive, qualitative program evaluation. Over a
period of 5 years, evaluation and feedback data from 12 rural school nurses were
compiled from nine individual school nurse book study evaluations and one general
satisfaction survey. Findings indicated overall school nurse satisfaction and
belief that school nurse book studies are an effective and beneficial method for
the delivery of professional development--a method that promotes collaborative
learning and collegiality, informs practice, and provides insight into the
broader health and social issues impacting today's students.
PMID- 24937904
TI - Overweight and obesity in youth in schools-the role of the school nurse: position
statement.
AB - It is the position of the National Association of School Nurses that the
registered professional school nurse (hereinafter referred to as the school
nurse) has the knowledge and expertise to promote the prevention of overweight
and obesity and address the needs of overweight and obese youth in schools. The
school nurse collaborates with students, families, school personnel, and health
care providers to promote healthy weight and identify overweight and obese youth
who may be at risk for health problems. The school nurse can refer and follow up
with students who may need to see a health care provider. The school nurse also
educates and advocates for changes in school and district policies that promote a
healthy lifestyle for all students.
PMID- 24937905
TI - School violence, role of the school nurse in prevention: position statement.
AB - It is the position of the National Association of School Nurses (NASN) that
registered professional school nurses (hereinafter referred to as school nurses)
advance safe school environments by promoting the prevention and reduction of
school violence. School nurses collaborate with school personnel, health care
providers, parents, and community members to identify and implement evidence
based educational programs promoting violence prevention. The curriculum used
should improve students' communication, behavior management, and conflict
resolution skills. School nurses assess and refer at-risk students in need of
evaluation and treatment for symptoms of aggression and victimization.
PMID- 24937906
TI - Office frenotomy for neonates: resolving dysphagia, parental satisfaction and
cost-effectiveness.
AB - OBJECTIVE: To determine parental satisfaction and cost-effectiveness of having a
frenotomy performed in the office setting versus in the operating room under
general anesthesia. METHOD: After obtaining IRB approval at The Children's
Hospital of Philadelphia (CHOP), we performed a retrospective chart review of
patients having a frenotomy in the office between 2003-2008. 55 patients met the
criteria and 25 were consented and their parents interviewed. CONCLUSION: All
participants reported an improvement in feeding within one day and up to two
weeks following the procedure. Parents also reported being somewhat satisfied to
very satisfied with having the frenotomy performed in the office versus under
general anesthesia. There were no complications reported. Performing the
frenotomy in the office provided patients with satisfaction as well as cost
savings. The surgical fee for a frenotomy in the office is $850 and is the same
as if the procedure is performed in the operating room. Performing a frenotomy
under general anesthesia includes extra cost which consists of an anesthesia fee
of $500-$900 and hospital charges ranging from $500-$8,000. Performing the
frenotomy in the office on our 25 patients has resulted in a cost savings of more
than $240,000 and the avoidance of general anesthesia in the first few weeks of
life. Office frenotomy should be considered in children with ankyloglossia who
present with difficulty nursing in the first week of life.
PMID- 24937907
TI - The effects of earphones and music on the temperature measured by infrared
tympanic thermmeter: preliminary results.
AB - OBJECTIVES: The main aim of the study was to evaluate the effect on subjects'
temperature measurement readings when using the infrared tympanic thermometer
after correct earphone placement and use, with or without music transmission
through the earphone. METHODS: A comparative study design was adopted. A sample
of 39 healthy people was randomly divided into three groups. Subjects in all
groups used an earphone that had been correctly placed in the right ear only:
Group 1: listened to heavy metal music through the earphone (13 participants);
Group 2: listened to classical music through the earphone (13 participants);
Group 3: no music or sound was transmitted through the earphone (13
participants). Average differences (CI 95%) and Pearson correlations for the
temperature measured in the right ear, which was exposed to the different
independent variables, and the left ear which was not exposed, were calculated at
different times: (1) after 10 minutes compared to the baseline measurement; (2)
after 30 minutes compared to the 10 minutes measurement; and (3) after 30 minutes
compared to the baseline measurement. RESULTS: The inter-ear temperature
correlation at the baseline was Pearson r .801 (p = .01). There was a significant
decrease in the correlations between right and left ear temperatures in Group 1
and in Group 3 which was demonstrated after 10 minutes of earphone use, and
reached critical values after 30 minutes of use in all groups. The average warmth
in the right ear, as perceived by participants after 20 minutes, was reported.
Using the Numerical Rating Score the average was 3.3 +/- 1.3 for Group 1, for
Group 2 was 1.9 +/- 1.3 and for Group 3 was 3.3 +/- 1.2 (p = .05). CONCLUSION:
Within the limitations of the study, which involved a limited number of healthy
people the results show that it is best to not measure tympanic temperature in an
ear that has very recently had an earphone in place.
PMID- 24937908
TI - Cryotherapy is a simple nursing intervention for oral mucositis.
PMID- 24937909
TI - IOM recommendations--what now?
PMID- 24937910
TI - Stock options. With essential items in short supply, what's a crew to do?
PMID- 24937911
TI - Can you schedule happiness?
PMID- 24937912
TI - Preventing back injuries in EMS. What's the best approach to avoiding harm to
providers?
AB - Reducing back injuries requires a holistic approach and investment by all
interested parties, from front-line staff to leadership and supporting agencies.
As a provider, take the time to ensure you are lifting and moving equipment and
patients in a manner that protects both the patient and you.
PMID- 24937913
TI - How to implement a social media strategy in five hours a month.
PMID- 24937914
TI - Partnering up for a tactical response. Although the consolidation was seamless,
the joint team is not without challenges.
PMID- 24937915
TI - A revolution in patient transport. Feedback from the field leads Ferno to a
patient movement breakthrough.
PMID- 24937916
TI - Not so fast on naloxone? There's growing support for non-paramedic use, but keep
these cautions in mind.
PMID- 24937917
TI - Performance anxiety. Develop stage presence, and you won't be a spectacle.
PMID- 24937918
TI - 87th president of APS.
PMID- 24937919
TI - A matter of opinion: "They're ba-aack!".
PMID- 24937920
TI - Congress finalizes fiscal year 2014 budgets.
PMID- 24937921
TI - Chimpanzee update.
PMID- 24937922
TI - Tips on how to succeed at your first experimental biology meeting.
PMID- 24937923
TI - The other side of the submit button: how to become a reviewer for scientific
journals.
PMID- 24937924
TI - Brian R Duling, 67th APS President.
PMID- 24937925
TI - Repeated administration of inhibitors for ion pumps reduce markedly tumor growth
in vivo.
AB - INTRODUCTION: Measurements of extracellular pH show that the micro environment of
malignant tumors is more acidic than that of normal cells, whereas pH does not
differ appreciable in normal and malignant cells. The acid micro environment of
tumors is created by the secretion of tumor factors and ATP hydrolysis in hypoxic
tumor tissue. In order to survive in a low pH-environment tumor cells develop
regulatory mechanisms which keep their intracellular pH stable. Two of the most
important systems are the Na+/H+ ion pump and the Na-dependent HCO3-/Cl- pump of
stilbenian derivatives. MATERIAL AND METHODS: Experiments were carried out on DBA
mice of both sexes at the age of 4 month. Laboratory animals were grown in our
institute and supplied with food and aqua ad libitum. RESULTS: After termination
of the experiments the mean tumor diameter in the control group was 12.4 +/-
0.8mm, in group A it was 6.9 +/- 0.6mm, and in group B we measured 6.6 +/- 3.1mm.
At the final day the tumor size in treated animals was twice as small as in the
control group. In addition we observed the rate of survival. In the control group
only 18% of the animals were still alive at day 18. Considering the rate of
survival a statistically significant difference between treated and untreated
animals was observed. The survival of tumor cells is dependent on the function of
these ion pumps which keep their intracellular pH values constant in the setting
of an acid extracellular environment. CONCLUSION: The activity of the ion pump is
especially important at the beginning of cell division and in cell proliferation.
Our in vivo experiments demonstrate that prolonged administration of intratumoral
ion pump inhibitors suppresses tumor growth as well as enhances survival of tumor
bearing animals. Research of inhibitors of ion pumps and their action in tumor
growth opens new perspectives into pathophysiology of malignant tumors and may
create new therapeutic options.
PMID- 24937926
TI - Testicular volume and testicular atrophy index as predictors of functionality of
unilaterally cryptorchid testis.
AB - GOAL: The goal of this study was to determine relationship between the
sensitivity and specificity of testicular volume (TV) and testicular atrophy
index (TAI) in the indirect assessment of functional ability of cryptorchid
testicles. MATERIAL AND METHODS: The study included sixty children with
unilateral cryptorchidism who were treated surgically at the Clinic of Pediatric
Surgery, Clinical Center University of Sarajevo. We evaluated the correlation of
the size of cryptorchid testicles with its locations in various age groups.
RESULTS: The results showed a significant decrease in TV and TAI in the all
cryptorchid groups after the sixth month of age compared with the same parameters
in control group (p < 0.001). It is also determined a strong correlation between
the TV and TAI of cryptorchid testicles with its locations in various age groups.
CONCLUSION: Our results showed that the average volume of cryptorchid testicles
decreased after the sixth month as well as that the reduction of testicular size
correlated with increasing distance of cryptorchid testicles from the scrotum.
PMID- 24937927
TI - Glasgow prognostic score in patients receiving chemotherapy for non-small-cell
lung cancer in stages IIIb and IV.
AB - INTRODUCTION: Lung cancer is most common cause of cancer-related mortality
worldwide. Non-small-cell lung carcinoma (NSCLC) is disease with very low 5-year
relative survival rate. For patients with non-small cell lung cancer, roles of
current treatments are to prolong survival time and to improve quality of life.
AIM: The aim of the work was to compare values of Glasgow Prognostic Score (GPS)
before application of the chemotherapy medication with response to chemotherapy
and toxic side effects associated with chemotherapy in patients treated with
cisplatin-etopozid (PE) and cisplatin-gemcitabin (PG) in stages IIIb and IV of
NSCLC. Testing role of Glasgow Prognostic Score as a possible predictor of
response to therapy and toxic side effects of chemotherapeutic protocol was
another aim of this work. PATIENTS AND METHODS: This prospective study included
60 patients in stages IIIb or IV of NSCLC, with ECOG < or = 2. The patients were
divided in two groups. First group contained 30 patients treated with
chemotherapeutic protocol using cisplatin-etopozid (PE), and the same number of
patients in the second group were treated with cisplatin-gemcitabin (PG).
RESULTS: Glasgow Prognostic Score (GPS) evaluation before the chemotherapy
inclusion showed values of 1 (43.30:53.30), then 2 (40.00:36.70) and the lowest 0
(16.70:10.00) which supports the pathological values of GPS in developed lung
cancer, i.e. most patients had pathological GPS value in both protocols
(83.30:90.00). Monitoring of toxic side effects and response to chemotherapy was
done after each cycle of treatment. DISCUSSION: Results of this study revealed
importance of GPS in selection of patients for treatment with chemotherapy.
Patients with lower values of GPS treated using PE chemotherapeutic protocol had
weaker response to therapy. CONCLUSION: Coefficient of correlation for therapy
response in both chemotherapeutic protocol, compared with values of GPS before
treatment, were not statistically significant, therefore GPS cannot be considered
as a predictor of therapeutic on chemotherapy.
PMID- 24937928
TI - Hypersensitivity to aeroallergens in patients with nasobronchial allergy.
AB - BACKGROUND: Aeroallergens are the most common causes of allergy. AIM: The aim of
this study was to determine hypersensitivity to aeroallergens in patients with
nasobronchial allergy. METHODS: This retrospective population study included 2254
patients with nasobronchial allergy, from late adolescents to adults. Their
response to aeroallergens was assessed by skin prick tests. RESULTS: More
patients had rhinitis (72.7%), than asthma (27.6%). Although majority of patients
were female, allergy is more common in men than in women (p < 0.05). Both groups
of patients had the greatest number of positive skin prick tests for
Dermatophagoides pteronyssinus (27.5%) and weed pollens (21.9%), followed by
grass (18.3%) and tree pollens (10.1%). Ragweed is the most common positive weed
pollen in both groups, more in patients with rhinitis (p = 0.022). The cocksfoot
is the most common grass pollen in rhinitis group (15.3%), but meadow grass
(12.6%) in asthma patients. Birch is the most common tree allergen in the both
groups. CONCLUSIONS: More patients with nasobronchial allergy have rhinitis than
asthma. Skin prick tests are usually positive for Dermatophagoides pteronyssinus
and weed pollens, followed by grass and tree pollens, and they are more common
positive in patients with rhinitis than asthma.
PMID- 24937929
TI - The role of fibrin glue polypropylene mesh fixation in open inguinal hernia
repair.
AB - The aim of this study was to compare two methods of polypropylene mesh fixation
for inguinal hernia repair according to Lichtenstein using fibrin glue and suture
fixation. MATERIAL AND METHODS: The study included 60 patients with unilateral
inguinal hernia, divided into two groups of 30 patients--Suture fixation and
fibrin glue fixation. All patients were analyzed according to: age, gender, body
mass index (BMI), indication for surgery--the type, localization and size of the
hernia, preoperative level of pain and the type of surgery. Overall postoperative
complications and the patient's ability to return to regular activities were
followed for 3 months. RESULTS AND DISCUSSION: Statistically significant
difference in the duration of surgery, pain intensity and complications (p <
0.05) were verified between method A, the group of patients whose inguinal hernia
was repaired using polypropylene mesh-fibrin glue and method B, where inguinal
hernia was repaired with polypropylene mesh using suture fixation. Given the
clinical research, this systematic review of existing results on the comparative
effectiveness, will help in making important medical decisions about options for
surgical treatment of inguinal hernia. CONCLUSIONS: The results of this study may
impact decision making process for recommendations of methods of treatment by
professional associations, making appropriate decisions on hospital procurement
of materials, as well as coverage of health funds and insurance.
PMID- 24937930
TI - Use of serum levels of proinflammatory cytokine IL-1alpha in chronic hepatitis B.
AB - BACKGROUND: The reasons for the chronic viral persistence of hepatitis B virus
infection (HBV) are unknown, but are probably related to host immune factors.
Cytokines play a significant role in immune defense. Interleukin-1 (IL-1) is a
proinflammatory cytokine and some studies have demonstrated that IL-1 production
was impaired in patients with chronic infections of hepatitis B virus, implying
that IL-1 may play a role in viral clearance, progression of fibrosis and in
malignant potential of HBV. In this study, along with routine laboratory tests,
has been performed the analysis of serum levels of proinflammatory cytokine IL
1alpha in order of better understanding and monitoring of chronic hepatitis B.
OBJECTIVE: The aim of this study was to analyze the usefulness of laboratory
tests, which are routinely used in the assessment of liver disease with specified
immunological parameters in patients with chronic hepatitis B. PATIENTS AND
METHODS: Total of 60 subjects was divided into two groups: HBV- PCR positive and
negative group. The control group of 30 healthy participants was included. Apart
from standard laboratory tests, the analysis included serum levels of cytokine IL
1alpha. RESULTS AND DISCUSSION: IL-1alpha had the highest mean concentration in
group 1-viral hepatitis C, with PCR positive test (5.73 pg/ml), and then in group
2-viral hepatitis B, PCR negative test (5.39 pg/ml). ANOVA test proves that IL
1alpha in the healthy group (3) was different from other groups as follows: in
relation to group 1 statistical significance level was p <0.001 (F = 32 75 5); in
relation to group 2 was also statistically significant at p < 0.001 (F = 182
361); Cytokine IL-1 was statistically analyzed separately and compared by group 1
and 2 using Student t-test for independent samples. Statistical significance was
observed at p = 0.026. IL-1alpha was positively correlated with the duration of
the illness (p < 0.01) and with serum ALT activity (p < 0.01) and serum AST
activity (p < 0.01). Using multivariate analysis model "Factor Analysis", was
made significant stratification predictive parameters in relation to the cytokine
IL-1alpha, stratified significance is indicated as follows: 1. Age, 2. history of
receiving transfusions, 3. ALT, 4. AST, 5. MELD score (negative), 6. Child-Pugh
score (Negative). CONCLUSION: IL-1alpha was significantly elevated in
inflammatory conditions of pronounced activity (PCR positive hepatitis). IL
1alpha may have important role as marker of both inflammation and hepatic injury,
particularly in the course of hepatitis B. Results suggest that inflammatory and
immune parameters, analyzed together can significantly contribute to the
understanding and predicting of chronic liver damage. IL-1 can be used as
important parameter of inflammatory activity and fibrosis evaluation and
eventually prediction of malignant transformation in chronic liver damage.
PMID- 24937931
TI - Cutaneous silent period in the evaluation of small nerve fibres.
AB - INTRODUCTION: High intensity cutaneous stimulus transiently suppresses tonic
voluntary muscle activity resulting in cutaneous silent period (CSP). AIM: The
aim of our study was to evaluate the normal values of an onset latency L1, a late
latency L2 and a duration of CSP after stimulating sensory fibres of the median
nerve. MATERIAL AND METHODS: This prospective study was performed at the
Neurology Department, Clinical Center of Sarajevo University in period from
January 1st 2013 to December 1st 2013. In our study we examined 61 subjects. The
group included our relatives, coworkers and friends. The informed consent from
testing subjects was obtained. RESULTS: The origin of silent period is
stimulation of small A-delta nerve fibres. The pre-synaptic or post-synaptic
interruption of the electrical volley to motor neurons is discussed. Median
values of muscle activity suppression in healthy female is 55.0 ms (45.0-74.0)
and 59.0 ms (52.0-67) male subjects. There is a correlation between the onset
latency L1 and the late L2 latency (p < 0.03). In the on-going study it seems
that delay of L1 and shorter muscle activity suppression might provide a sign of
small nerve fibres involvement. CONCLUSION: The use of CSP improves the value of
neurophysiology examination.
PMID- 24937932
TI - Cardiotocography in the prognosis of perinatal outcome.
AB - AIM: The objective of the study was to examine whether cardiotocography can (CTG)
predict asphyxia of the embryo, manifested as hypoxic-ischemic encephalopathy
(HIE), and to what extent one can rely on CTG record. MATERIAL AND METHODS:
Retrospective research was carried out at the Clinic for Gynecology and
Obstetrics UKC Tuzla and medical documentation from the history of mothers and
newborns was used. The study group consisted of 68 pregnancies and newborns who
developed HIE. The control group consisted of 40 pregnancies, which resulted in
birth of healthy newborns--without signs of asphyxia. CTG records were analyzed,
Apgar score, the ways of finishing delivery. RESULTS: Pathological CTG records
(bradycardia 100, tachycardia 180, silent type of curve, late decelerations) were
found in 45 (66.17%) cases of the study group in comparison to 11 (27.5%) in the
control group. In the study group Apgar score in 5th minute lower than 7 had
17.46% newborns and the highest incidence of the normally finished deliveries. We
conclude that cardiotocography is one of the reliable methods of fetal monitoring
in pregnancy and delivery, and that pathological CTG record very likely indicates
the possible presence of perinatal asphyxia. CONCLUSION: Achieving a low degree
of correlation between pathological intrapartum cardiotocography findings and
long-term outcome of children can be achieved by rapid and adequate obstetric
intervention and the relatively short duration of fetal acidosis, and optimal
procedures during intensive care of newborns.
PMID- 24937933
TI - Correlation of cervical smear and pathohistological findings.
AB - INTRODUCTION: In endeavor to suppress the cervical carcinoma there are several
possible approaches including measures of primary and secondary prevention. So
far effects of these measures on the number of cases and mortality rate of
cervical carcinoma were modest. Only exception is organized testing based on
cytological exam of the cervical smear - Pap test, which has proven to be highly
effective in reducing the number of cases and mortality of cervical carcinoma in
countries with this program. Goal of this research is analysis of correlation
between abnormal cytological test results and pathohistological diagnosis of all
patients in the analyzed period. MATERIAL AND METHODS: Research is descriptive,
analytical, comparative, and partly epidemiological. Results of cytological and
pathohistological diagnostic in the period between January 1, 2009 and December
31, 2011 were used for analysis. All analyzed patients had colposcopy exam and
Pap test, and patients with abnormal results of this test underwent cervical
biopsy for pathohistological diagnostics. RESULTS: We came to following results
and conclusions: total number of L-SIL and H-SIL (PAPA III) results was 395
(6.20%) in comparison to total sample of 5894 (92.44%) patients. There is a
statistically significant difference in relation to PHD result of cervical biopsy
after L1-SIL and H-SIL (PAPA II and IV), and highest statistical margin is in
relation of CIN II changes to cytological findings, issued at Clinic of
Obstetrics and Gynecology and other health institutions. We come to conclusion
that the highest percentage of patients with L-SIL and H-SIL findings is in age
group between 0-29 years old. Statistical analysis has shown a positive trend in
number of younger patients with L-SIL and H-SIL (PAPA III and IV), with average
age of patients in 2011 being 31.12 +/- 9.12 years old.
PMID- 24937934
TI - Rates and indicators for amniotomy during labor--a descriptive cross sectional
study between primigravidas and gravida 2 and above.
AB - BACKGROUND: Artificial rupture of membranes (Amniotomy) is a common obstetric
intervention. Its rates and indications had been subjected to criticism in
medical literature. The current practices recommend to reduce its rate and keep
the birthing process as natural as possible. AIM: This observational study aimed
to describe the rates and indicators for practice of artificial rupture of
membranes (Amniotomy) during normal labor and to determine if any significant
differences existed between women who have had one pregnancy (PG) and women who
have already delivered two or more children (G2 and above) on this obstetric
interventions: artificial rupture of membranes (ARM). RESULTS: There were no PG
participants with ruptured membranes whereas slightly more than half of the G2
and above participants (n = 88) had ruptured membranes. The most frequent cause
for ARM was active management of latent phase of labor (PG n = 20 and G2 and
above n = 9). Furthermore, slow progress of labor (PG n = 17 and G2 and above n =
7) and concerns with fetal heart rate (PG n = 13 and G2 and above n = 5) had the
next highest number of occurrences. Results from the proportions tests revealed
that there was one significant difference between gravidity groups on the
frequency of APH (p = 0.039). That is, G2 and above participants had amniotomy
done for APH (5 of 32 = 15.63%) significantly more often than PG participants (4
of 89 = 4.49%). And although not statistically significant (p = 0.084), there
were 21 cases within the PG group where ARM was performed for no specific reason
(21 of 89 = 23.6%) compared to three cases within the G2 and above group (3 of 32
= 9.4%). CONCLUSIONS: Although ARM is a commonly performed procedure during
labor, there is not much difference between its indications between PG and G2 and
above. The only significantly different indication was antepartum hemorrhage
which was higher in G2 and above. Amniotomy was also performed without any clear
indication in 26.4% of PG and 9.4% of G2 and above. Considering ARM as obstetric
intervention efforts should be done to reduce its rates. There is a need for
arranging normal labor workshops to revise the indications and reviewing the
rates after these workshops to reduce the rates of ARM.
PMID- 24937935
TI - Correlation between retinal nerve fiber layer and disc parameters in glaucoma
suspected eyes.
AB - GOAL: The aim of the study was to estimate the diagnostic accuracy of optical
coherence tomography parameters in normal, preperimetric, developed perimetric
and terminal glaucoma. METHODS: 180 eyes of 140 consecutive patients were
evaluated in this retrospective cross sectional pilot study. Copernicus Spectra-
domain optical coherence tomography with resolution of 3 mm obtained through the
optic nerve head were included. All examined eyes were divided into four groups
(healthy eyes, initial, preperimetric glaucoma, developed perimetric glaucoma and
terminal glaucoma). RESULTS: The highest value of the RIM is noticed in control
group 1.44 (1.21-1.70). There is no significant difference in the size of the
disc in the eyes with developed open angle glaucoma (1.80 +/- 0.66) compared to
normal eyes (p = 0.663), to the eyes with initial glaucoma (p = 0.120), and
terminal glaucomatous atrophy (p = 0.068). There is statistically importance of
E/D parameter in healthy group 0.17 (0.04-0.27), early glaucomatous group 0.44
(0.35-0.51), developed glaucoma 0.47 (0.39-0.61) respectively p < 0.005. The
volume of cup was significantly greater in the eyes with terminal glaucomatous
atrophy 1.05 (0.85-1.4) compared to the healthy eyes 0.31 (0.06-0.51) (p <
0.005), significantly greater to initial glaucoma 0.84 (0.58-1.12) (p = 0.007)
and significantly higher compared to developed glaucoma 0.82 (0.62-1.07) (p =
0.003). There is no significant difference in the cup between the eyes with early
and developed glaucoma (p = 0.912). The eyes with terminal glaucoma had
significant lower value of the thickness of retinal nerve fiber layer 56.50
(45.50-71.25) compared to developed glaucoma group 82.5 (72-95.75), initial
glaucoma 110.50 (102-123) and healthy eyes 132 (119-150) (p < 0.005). CONCLUSION:
The SD-OCT scanning should be used to quantify optic nerve head anatomy in human
eyes. The changes can be recognized and can indicate as important risk factor in
considering glaucoma changes. It also should be considered as an exact model of
glaucoma pathology.
PMID- 24937936
TI - The safety and efficacy of day care cataract surgery.
AB - PURPOSE: To evaluate safety and efficacy of day care cataract surgery in
developing country. PATIENTS AND METHODS: This prospective study included 200
patients planned for cataract surgery during October and November 2012 divided in
to two groups, day care cataract surgery (DCCS) and inpatient cataract surgery
(ICS), with same number of male and female patients right and left eyes. All
patients had same operative conditions and postoperative follow up. RESULTS: The
average age of patients in this study was 68.4 +/- 7.47 years. Visual acuity
before cataract extraction was 0.1754 where 44.5% of patients had severe visual
impairment and another 23% had complicated cataract. Posterior capsule rupture
was noted in 4.5% of cases. The main risk factors in both groups were: higher
age, female gender, left side, complicated cataract, higher dioptric power of IOL
and ECCE. Regular control opthalmologic examinations 30, 90 and 180 days after
the cataract extraction did not reveal signs bullous keratopathy, wound
dehiscence, cystoid macular edema and endophtalmitis in any of patients.
Postoperative visual acuity 180 days after the operation in DCCS was 0.920 +/-
0.154 and 0.928 +/- 0.144 in ICS. Visual acuity less than 0.5 was noted in 4.5%
due to posterior eye segment changes. Patients in DCCS group had 30 control
examinations more and 95 days of hospitalization less than ICS with 16.5% cost
reduction. CONCLUSION: The concept of day care cataract surgery is equally safe
and more cost effective than inpatient cataract surgery.
PMID- 24937937
TI - Short-term results after local application of steroids and anesthetics in
patients with painful spine conditions.
AB - INTRODUCTION: Spinal pain is the most common of all chronic pain disorders.
Imaging studies can be used to determine whether a pathological process is
associated with the patient's symptoms. OBJECTIVE: To determine the short-term
efficiency of local instillation of steroids in patients with painful spine
conditions. MATERIALS AND METHODS: A prospective study included 35 patients with
diagnosis of lumbar or cervical radiculopathy, or cervical and lumbar syndrome at
the Department for the Physical Medicine and Rehabilitation, Department for the
Orthopedics and Traumatology, and Department for the Neurosurgery, Clinical
Canter University of Sarajevo (KCUS). A clinical examination, visual pain scale
(VAS) and Oswestry Disability Index (ODI) were performed prior to the needle
procedure and seven days after it. Descriptive and comparative statistics were
used for comparison of pre and post-interventional results. This procedure was
done for the first time in our region. RESULTS: The males and females were
equally represented in this study (17:18). The patients were 29 to 80 years old.
The highest number of patients have been between 40-60 years, older then that
have been 44.2% of patients, and younger only 8.5%. Patients have complained
about the radicular pain along the legs or arms or back or neck pain. Most of
them had disc herniation-57.14%, 8.57% had bulging disc, 8.57% had spinal canal
stenosis, 5.71% had fasetarthrosis, rest of them had combination of those
conditions. There was a statistically significant difference between the value of
ODI score before procedure and 7 days later (26 +/- 10:16 +/- 12; p < 0.001). The
difference was also statistically significant in VAS values (7 +/- 1:1 +/- 1; p <
0.001). DISCUSSION: Our study suggests that needle instillation of steroid and
lidocaine is effective in short-term pain occurs in different painful spine
conditions (Sy cervicale, lumbare and radiculopathy). It is valuable alternative
to the classic methods of physical and drug therapy. It can also postpone
surgical treatment, and it is very useful in situations of diffuse degenerative
changes when is very important to define exact source of pain, like for instance
in hip-spine syndrome.
PMID- 24937938
TI - Assessment of the effects of rehabilitation after cerebrovascular accident in
patients with diabetes mellitus and hypertension as risk factors.
AB - AIM: The aim of this study is to evaluate the results of rehabilitation, to
determine the prevalence of major risk factors in cerebrovascular accident and
their consequences, as well as to propose measures and procedures that will
affect the better rehabilitation. METHODS: The survey analyzed: age, sex,
duration of rehabilitation, activities in daily life through the Barthel index at
admission and at discharge, presence of risk factors HTA and DM. The study
included a total of 116 patients, the majority of patients are older than 61
years. We had 49% of male patients and 51% of female patients and they spent 31
40 days at the rehabilitation. RESULTS: The most common risk factor is HTA (83%)
and diabetes (33%). Most of the patients at admission had a BI from 0 to 4
(32.7%), and at discharge BI in the range 17-20 (36.2%). Statistical analysis
shows that there is a statistically significant correlation between the BI at
admission, BI at discharge and risk factors of HTA and diabetes mellitus.
CONCLUSIONS: The rehabilitation results in most patients is good results of
rehabilitation. The most important risk factors in patients are HTA, DM and
directly affect on results of rehabilitation. For the better results we should
have energetic fight against risk factors for HTA and DM through primary and
secondary prevention and patient education about early detection and treatment of
these risk factors.
PMID- 24937939
TI - Physical therapy and splinting after flexor tendon repair in zone II.
AB - INTRODUCTION: Early physical therapy and splinting after flexor tendon repair in
zone II is very important to improve tendon healing, increase tensile strength,
decrease adhesion formation, early return of function and less stiffness and
deformity. We conducted a study to observe and record the results of early active
mobilization of repaired flexor tendons in zone II. MATERIALS AND METHOD: This
study reports the results of physical therapy and splinting which was applied to
75 patients with 76 digits after flexor tendon repair in zone II, treated at the
Department of Plastic Surgery and Physical and Rehabilitation Medicine Clinic,
Pristine-Kosovo. Physical therapy and splinting started the first day after
surgery and have lasts until week 12. Patients were evaluated with regarding to
the range of motion and grip strength. The assessments were done at the 8, 10, 12
weeks and the finale assessments were done after 6 months. RESULTS: Range of
motion after 6 months according to the Strickland Classification were excellent
in 21.1%, good in 44.7, fair in 11.8% and poor in 22.4%. Grip strength was good
in 63.8% of cases. CONCLUSION: Results of this study shows that using a physical
therapy and splinting achieve good results in range of motion, muscle force and
early return of function of the hand.
PMID- 24937940
TI - Urinary biomarkers of acute kidney injury in patients with liver cirrhosis.
AB - BACKGROUND AND AIM: Acute kidney injury is a common complication in cirrhotic
patients. Serum creatinine is a poor biomarker for detection of renal impairment
in cirrhotic patients. The aim of this study was to evaluate Urinary Neutrophils
Gelatinase-Associated Lipocalin (NGAL) and Urinary interleukin-18 (IL-18) as
early biomarkers of acute kidney injury in cirrhotic patients. PATIENTS AND
METHODS: 160 cirrhotic patients was enrolled in this study divided into 3 main
groups according to presence or absence of ascites and renal impairment. RESULTS:
Significant elevation of both Urinary NGAL and Urinary IL-18 in cirrhotic
patients with renal impairment especially in patients with Acute tubular necrosis
(ATN) was observed. AUROC was (0.909) with (sensitivity 95.5%, specificity 76.1)
for Urinary NGAL and AUROC was (0.975), with (sensitivity 95.5%, specificity
91.3%) for Urinary IL-18. CONCLUSION: Both Urinary NGAL and Urinary IL-18 can act
as urinary biomarkers of acute kidney injury in cirrhotic patient.
PMID- 24937941
TI - Prevalence of acute blood transfusion reactions in Mazandaran Heart Center, Sari,
Iran, 2010-2012.
AB - INTRODUCTION: Although blood transfusion is life saving for patients, it is
responsible for a series of complications and exposes the patients to a variety
of risks. Therefore knowing different adverse effects of blood transfusion
represents a great issue in managing recipient patients. AIM: The aim of the
present work was to study the prevalence of blood transfusion complications among
patients in the Mazandaran Heart Center, Sari, Iran, during a period of 2 years.
MATERIAL AND METHODS: A retrospective review of all reported and evaluated acute
transfusion reactions during a 2 years period in Mazandaran Heart Center was
performed. Associated clinical signs and symptoms were evaluated. RESULTS: In
9193 transfused blood products, there was 34 (0.4%) acute transfusion reactions.
The commonest were discomfort and restlessness (0.16%), dyspnea (0.16%), rigors
(0.13%), fever (0.08%), chest pain (0.06%), rash or urticaria (0.04%), nausea and
vomiting (0.03%), palpitation (0.03%), hypertension (0.03%) flashing (0.02%),
hypotension (0.02%). CONCLUSION: Acute transfusion reaction is seen in %0.4 of
transfused patients therefore, we recommend a well-structured program for
monitoring adverse reactions associated with blood transfusion and blood product
administration (Hemovigilance program).
PMID- 24937942
TI - Platinum-based chemotherapy in recurrent high-grade glioma patients:
retrospective study.
AB - INTRODUCTION: To investigate the efficacy of platinum-based chemotherapy in
patients with recurrent high-grade glioma (HGG) who had received previous
alkylating line of chemotherapy. MATERIAL AND METHODS: Case notes of patients who
had received chemotherapy with carboplatin or cysplatin for recurrent HGG between
June 2006 and July 2012 were reviewed. Baseline characteristics and outcomes
after treatment were recorded. RESULTS: Forty-eight patients received
carboplatin/cysplatin as second line chemotherapy for recurrent HGG (grade III n
= 6; grade IV n = 42). The median number of cycles completed was 4. Fifteen
patients (28%) had at least minor response, 22 (49%) had stable disease and 11
(23%) had progressive disease. Six month progression-free survival was 30% (52%
in patients with grade III glioma and 18% in patients with grade IV glioma). The
median time to disease progression from the first treatment with platinum drug
was 3.2 months. The median survival was 8 months (10 months for patients with
grade III glioma and 7 months for patients with grade IV glioma). Among patients
with either stable disease or a partial response, the median survival was 12
months compared with 3 months in patients with progressive disease. No survival
or response rate differences were noted regarding the type of previous
chemotherapy, nitrosoureas or temozolomide. CONCLUSIONS: Single-agent
carboplatin/cysplatin has modest activity in patients with recurrent HGG
previously treated with one line of chemotherapy, nitrosoureas or temozolomide.
Despite the improvement of median survival of patients achieving stable disease
or a partial response to treatment, more effective regimens are required for this
patient population.
PMID- 24937944
TI - [Unselective single embryo transfer: chances of pregnancy related to operator
experience].
AB - BACKGROUND: Selection of best quality embryo aims to achieve higher success rate,
the pregnancy is unique and therefore obstetric risks are reduced. OBJECTIVE: To
evaluate the pregnancy rate with no transfer of selected single embryo (TSSE)
three days versus the experience of the physician performing the embryo transfer.
PATIENTS AND METHODS: A retrospective, cross-sectional observational study in 159
patients Mexican Fertility Center in CEPAM protocol in vitro fertilization any
indication, other ovulatory disorders and who was only possible obtain an embryo
to be transferred in three day. For the analysis were grouped according to age,
number of cells of the embryo transfer day and the doctor performed. Continuous
variables are reported as means and standard deviations and univariate logistic
regression was performed to determine statistical significance. Categorical
variables were evaluated in frequencies and percentages. The calculations were
performed with the software JMP. RESULTS: Protocol of single-embryo transfer not
selected in three day pregnancy rate of 17% was obtained, with lower rates in
women over 40 years of age and older embryos of more than 9 cells but also higher
rate abortion. More experienced doctors achieved better pregnancy rates.
CONCLUSION: This is the first study in Mexican population to assess the
possibility of pregnancy with single embryo transfer in selected post-harvest
with a three day success rate of 17% and first-order variables: number of cells
on the day of transfer and experience of the physician who performed the
procedure.
PMID- 24937943
TI - Anesthetic management of hysterosalpingooophorectomy in a case with severe
idiopathic dilated cardiomyopathy.
AB - Idiopathic dilated cardiomyopathy is a primary myocardial disease with unknown
aetiology. This disease follows a prospective course that is characterized by
ventricular dilation and impaired myocardial dilation. Congestive heart failure
and malignant arrhythmias are the most widespread complications. The incidence of
idiopathic dilated cardiomyopathy in the general population is 5-8/100.000.
Because of the increased risks of perioperative complications, anesthetic
management of this disease requires the application of a specific technique. This
case report demonstrates the application of successful regional anesthetic
management (thoracic epidural anesthesia) in a patient who had been diagnosed
with severe idiopathic dilated cardiomyopathy.
PMID- 24937945
TI - [Health staff performance taking of smear: knowledge, skills and practice].
AB - BACKGROUND: The quality of cervical cytology is one of the factors involved in
the optimal performance of screening programs for cervical cancer in Mexico, so
it is necessary to design indicators to improve the skills of health personnel in
this procedure. OBJECTIVE: To evaluate the performance of the health workers to
take the Pap smear. MATERIAL AND METHODS: Cross-sectional study made in the
period 2006-2007 in San Luis Potosi, Mexico, in 21 health centers in a health
jurisdiction. We studied the universe of professionals who take the Pap: 100
nurses, doctors and interns. A knowledge test and a check list were applied. The
correlation of Pearson, Student's t and ANOVA was used for data analysis.
RESULTS: There is a correlation between knowledge and qualification in the take
of the Pap smear (r = 0.340) (p = 001). Providers have a performance on average
62.2% in knowledge and 78.5% in practice. Doctors obtained knowledge that the
nurses (6.80) (p = .000) and trainees (4.14) (p = 014). In the practical
implementation, there was no difference between the doctors and the nurses (2.68)
(p = .718) but there was difference between the doctors and interns (6.47) (p =
.036). To know one or more sections of the knowledge influences the qualification
of the procedure. CONCLUSIONS: The performance of the service provider is
regular. There is heterogeneity in the knowledge and practical skills.
Educational interventions are needed to raise the skills.
PMID- 24937946
TI - [Ovarian stimulation with gonadotropins: comparison between recombinant FSH plus
menotropins versus recombinant FSH + LH].
AB - BACKGROUND: Nowadays various assisted reproduction ovarian stimulation schemes
are used for different clinical outcomes is interesting to compare. OBJECTIVE:
Compare two ovarian stimulation protocols: recombinant FSH + LH versus
recombinant FSH + Menotropins. MATERIAL AND METHODS: Observational, retrospective
study, 38 cycles were analyzed, made from 2010 until 2013, assigned to two groups
(n = 19 each), group 1 received FSHr + LHr, group 2 received FSHr + menotropins.
Study variables were: days of ovarian stimulation, total gonadotropin dose,
retrieved oocytes, fertilization rate, pregnancy rate and number of vitrified
embryos. RESULTS: Fewer stimulation days (8.5 +/- 1.5 vs 9 +/- 1.1) were found in
group 1 (recombinant gonadotropins). Group 2 (FSHr + Menotropins), had a greater
total dose of gonadotropins (1930.2 +/- 346.2 vs 2000 +/- 418.8) and more
retrieved oocytes (8.15 +/- 3.9 vs 8.57 +/- 4). Group 1 patients had higher
pregnancy and fertilization rates (56.2 vs 36.8%, and 75.4 vs 69.3%)
respectively, no statistical significance was found. CONCLUSION: This study found
higher pregnancy and fertilization rates in patients treated with recombinant
gonadotropins (FSH + LH) for ovarian stimulation.
PMID- 24937947
TI - [Comparative study between pregnancies induced for premature rupture of membranes
and for prolonged pregnancy].
AB - BACKGROUND: To decrease maternal and fetal morbidity oftem is indicated the
elective termination of pregnancy; when the cervix is unfavourable, it is
possible to try to artificially reproduce these changes with exogenous
prostaglandins. OBJECTIVES: Comparative evaluation of maternal and fetal results
between patients in which cervical ripening is practiced with indication of
premature rupture of membranes and those with prolonged pregnancy. MATERIAL AND
METHOD: Historic cohorts study about pregnancies requiring cervical ripening,
either for premature rupture of membranes or for gestational age > or = 41 weeks,
in the "Miguel Servet" Hospital (Zaragoza, Spain), from 15/11/2005 to 15/05/2008.
In all the cases dinoprostone (slow release vaginal system) was employed and the
initial Bishop score was < 7. The main analysed outcomes were: intrapartum fetal
heart monitoring characteristics, type of delivery, umbilical artery pH, Apgar
score, hospitalization in neonatal unit requirement and time from cervical
ripening start to delivery. RESULTS: Neonatal hospitalization was significantly
more frequent in the ruptured membranes cohort (11.70% vs 2.33%); p = 0.001. This
difference could be justified by gestational age (OR: 2,623. IC: 0.515-13.353. P
= 0.246). It was observed more time cervical ripening - delivery in prolonged
pregnancies cohort (25.96h vs 20.11h); p < 0.001. Umbilical cord medium pH was
significantly superior in ruptured membranes group (7.25 vs 7.23); p = 0.017. No
significant differences were observed in the rest of analyzed outcomes.
CONCLUSIONS: Pregnancies electively ended for premature rupture of membranes are
associated with a shorter time to delivery and a slightly superior umbilical cord
pH than induced prolonged pregnancies. Neonatal hospitalization requirement is
determined by gestational age but not by the rupture of the membranes. Cervical
ripening in those patients has been demonstrated to be secure and effective.
PMID- 24937948
TI - [Endocopic fetal surgery].
AB - At present, the fetus is already considered a "patient" and as such, can develop
diseases with fatal outcome in which the only therapeutic option can be fetal
surgery. Currently, fetal surgery is limited almost exclusively to endoscopic
surgery. Different techniques have gained clinical acceptance for improving the
prognosis of various lethal fetal pathologies. Laser therapy for twin to twin
transfusion syndrome and cord occlusion in monochorionic twins with selective
intrauterine growth restriction are the procedures of choice for the management
of monochorionic twins complications, and are associated with survival rates of
up to 80-90% for at least one fetus. In fetuses with isolated congenital
diaphragmatic hernia and severe pulmonary hypoplasia, fetal endoscopic tracheal
occlusion has shown to improve the survival probabilities from 5% to 55% and from
1% to 33% in left and right congenital diaphragmatic hernia, respectively, and a
decrease in the rate of pulmonary hypertension and neonatal morbidity. In
selected cases with low urinary tract obstruction (megacystis) and without renal
failure; fetal cystoscopy is a diagnostic method that excludes the possibility of
urethral stenosis or atresia and may be used to ablate posterior urethral valves
by laser, restoring urethral patency and potentially preserving respiratory and
bladder function. In fetuses with pulmonary masses, either primary or due to
airway obstruction, there is high risk of fetal death due to cardiac compression
and contralateral pulmonary hypoplasia. In such cases fetal bronchoscopy can
provide a successful therapeutic option to release airway obstruction.
PMID- 24937949
TI - [Acute appendicitis during pregnancy: report of 4 cases].
AB - BACKGROUND: Acute appendicitis is the more frequent no obstetric surgical
emergency during pregnancy with an incidence of 1 in 1500 pregnancies. The
clinical diagnosis is difficult because of the physiological changes of pregnancy
itself. If not treated early increases the risk of maternal and fetal morbidity.
OBJECTIVE: To describe the diagnosis and treatment of four cases of acute
appendicitis during pregnancy. CLINICAL CASE: Four cases of acute appendicitis
during pregnancy diagnosed in the period of a month. Gestational age at diagnosis
of appendicitis was between 8 and 13 week. All patients underwent laparotomy;
three cases were appendicitis phase II and one phase III. The preoperative was
managed with indomethacin and ceftriaxone. There were no maternal or obstetric
complications. CONCLUSIONS: An early diagnosis and treatment of acute
appendicitis during pregnancy, and a multidisciplinary approach between surgeon,
obstetrician and anesthesiologist is the basis for success in the management of
these patients.
PMID- 24937951
TI - [Leiomyomatosis peritonealis disseminate. Laparoscopic management].
AB - Leiomyomatosis peritonealis disseminata constitutes a rare pathology of an unkown
etilogy. It is chararacterized by the appearence of multiple pelvic and abdominal
nodules, which are basically composed of smooth muscle. The first case was
published in 1952 by Wilson and Peale although was Tubert, in 1965, the first who
identified this disease as a pathology. Currently, around 100 cases can be found
in literature. We present, as far as we know, the first case resolved by
laparoscopic technique plus gonadotropin-releasing hormone agonist therapy.
PMID- 24937950
TI - [Primary carcinoma of the peritoneum. Case report and literature review].
AB - Peritoneal primary carcinoma is an unusual tumor originated on the pelvic and
abdominal peritoneal surface. It is believed that it is originates from a
secondary mullerian system giving place to a peritoneal primary carcinoma very
similar to the epithelial ovarian cancer in its clinical presentation,
histological aspect, spread patron and its treatment and prognosis. It's complex
clinical presentation difficult the differentiation from an epithelial tumors
from the ovary. Both tumors present ascitis, elevated CA 125 and signs of
peritoneal carcinomatosis on the tomographic images. In this report is exposed
the case of a 39 years old women with a pre-surgical diagnosis of primary
carcinoma of the ovarian, wich was discarded during surgery founding that the
primary tumor was on the peritoneal surface of the descending colon.
PMID- 24937952
TI - [Atypical preeclampsia: case report].
AB - BACKGROUND: Preeclampsia that occurs at < 20 weeks of gestation is rare and has
been usually reported with molar or hydropic degeneration of the placenta and
antiphospholipid syndrome. CASE REPORT: To describe the clinical presentation of
atypical preeclampsia of a patient of 37 years old at her first gestation who
developed this entity at 18.5 weeks of gestation. She had history of pre-existing
hypertension and infertility. This pregnancy was obtained through in vitro
fertility. She reported a severe headache and was admitted to our hospital
secondary to elevated blood pressure of 160/110 mm Hg. The laboratory evaluation
revealed platelet count 51,000, alanine aminotransferase of 331 UI/L, aspartate
aminotransferase of 285 UI/L, lactate dehydrogenase 421 UI/L and urinalysis with
+2 proteinuria, soluble fms-like tyrosine kinase-1/placental growth factor ratio
895.5. The diagnosis of chronic hypertension and superimposed preeclampsia and
incomplete HELLP syndrome was supported. After termination of pregnancy, the
patient improved rapidly. She was discharged home on postoperative day 7 with a
blood pressure of 120/70 mm Hg with normal laboratory. CONCLUSIONS: Clinicians
should consider the diagnosis of preeclampsia and HELLP syndrome before 20 weeks
of gestation in women presenting with clinical or laboratory abnormalities
consistent with this disease.
PMID- 24937953
TI - [Some considerations of venous thrombosis and pulmonary embolism. 1958].
PMID- 24937954
TI - Gestational trophoblastic disease: presentations from the XVIIth World Congress
on Gestational Trophoblastic Diseases.
PMID- 24937955
TI - Subsequent pregnancy outcomes after complete and partial molar pregnancy,
recurrent molar pregnancy, and gestational trophoblastic neoplasia: an update
from the New England Trophoblastic Disease Center.
AB - OBJECTIVE: To review and update the subsequent reproductive outcomes in patients
with complete, partial, and recurrent hydatidiform moles, as well as gestational
trophoblastic neoplasia (GTN) at the New England Trophoblastic Disease Center.
STUDY DESIGN: Patients with complete and partial hydatidiform mole, recurrent
hydatidiform mole, and GTN were identified from the Donald P. Goldstein, M.D.,
Trophoblastic Tumor Registry. Questionnaires regarding subsequent pregnancies
were mailed to patients with current mailing addresses available. Additional
patient data was obtained from electronic medical records. RESULTS: A total of
2,432 subsequent pregnancies have been reported since 1965. Of those, 1,388
pregnancies were after complete mole, 357 after partial mole, and 667 after GTN.
The subsequent reproductive outcomes in patients with complete and partial molar
pregnancies and persistent GTN remain similar to those in the general population.
However, approximately 1.7% of patients with a prior molar pregnancy had a molar
pregnancy in a later gestation. Furthermore, after successful chemotherapy for
GTN the incidence of stillbirth was slightly increased to 1.3% in later
pregnancies. CONCLUSION: Patients with molar pregnancies and GTN should expect
similar reproductive outcomes as compared to the general population. However,
patients receiving chemotherapy for GTN have a slightly increased risk stillbirth
in subsequent pregnancies.
PMID- 24937956
TI - Evolution of a specialist gestational trophoblastic disease service with a major
nursing component: the Sheffield, United Kingdom, experience.
AB - OBJECTIVE: To describe the evolution of a highly regarded and unique model of
multidisciplinary care providing support, monitoring, and treatment for all
gestational trophoblastic disease (GTD) patients referred to Sheffield
Trophoblastic Disease Centre, 1 of the 3 United Kingdom (UK) supraregional GTD
centers. BACKGROUND: The UK GTD service was first established in 1973 and since
its inception has centralized care for GTD patients and played a leading
international role in developing therapies, management protocols, and biomarker
assays with good outcomes for patients. The service preceded recent trends
towards centralization for rare cancers in the U.K. In Sheffield the GTD team has
evolved to become a true multidisciplinary team with a strong nursing component,
which is set to expand in the future. RESULTS: Centralization of care for GTD in
the U.K. has been directly associated with the impressive results the service has
achieved, with high cure rates (98-100%) and low (5-8%) chemotherapy rates. The
addition of GTD nurse specialists has been beneficial to patients as they provide
a communication link between patients and their clinicians and ensure that
information, support, and advice is available for all GTD patients, both in
hospital and at home. CONCLUSION: The UK GTD service is an internationally
renowned, multidisciplinary organization. The service achieves impressive
clinical results and now features a strong nursing component. The addition of
nurse specialists has enabled the team to offer both clinical and psychological
care and means that specialist advice is available for patients and healthcare
professionals involved in giving care to this patient group.
PMID- 24937957
TI - Hepatic metastasis in gestational trophoblastic neoplasia: patient
characteristics, prognostic factors, and outcomes.
AB - OBJECTIVE: To identify patient characteristics, determine prognostic factors, and
evaluate outcomes for women with hepatic metastases in gestational trophoblastic
neoplasia (GTN). STUDY DESIGN: Seventeen GTN patients with hepatic metastases
were treated at our institution between 1962 and 2010. Demographic data, disease
characteristics, and survival were all analyzed retrospectively. Fisher's exact
test was used to determine significance. RESULTS: The median age was 29 years
(range, 16-48), and the antecedent pregnancy was nonmolar in 12 patients (75%)
and a hydatidiform mole in 4 patients (25%). Fifteen patients (88%) had
metastatic disease outside the liver, including lung (13), brain (5), and other
intraabdominal organs (8). Median FIGO score was 14 (range, 12-19). Chemotherapy
consisted of single-agent methotrexate or actinomycin D in 2 patients;
methotrexate, actinomycin D, cyclophosphamide (MAC) in 4 patients; and etoposide,
methotrexate, actinomycin D, cyclophosphamide and vincristine (EMACO) in 11
patients. Complete response rate to chemotherapy was 82% for EMA-CO versus 17%
for other types of chemotherapy (p = 0.035). Overall survival was 41% (7/17).
CONCLUSION: Survival of patients with GTN and hepatic metastases increased from
17% (1/6) to 55% (6/11) after 1986 when EMA-CO chemotherapy was introduced.
Survival was significantly decreased for patients with concomitant intraabdominal
or brain metastases (11% vs. 75%, p = 0.015).
PMID- 24937958
TI - Reproductive outcomes after multiagent chemotherapy for high-risk gestational
trophoblastic neoplasia.
AB - OBJECTIVE: To analyze the reproductive outcomes of women with high-risk
gestational trophoblastic neoplasia (GTN) treated with multiagent EMA-CO
chemotherapy. STUDY DESIGN: Of 212 patients treated with chemotherapy for GTN
between 1986 and 2012, 65 (31%) could be contacted by telephone or mail and
consented to participate in a questionnaire designed to assess their menstrual
and reproduction outcomes. RESULTS: Twenty-four high-risk (HR) and 41 low-risk
(LR) patients consented to the study. Fifteen (63%) HR and 34 (83%) LR women had
not undergone hysterectomy (p = 0.08). Of the 12 HR and 33 LR women who could
recall their menstrual history, all 12 (100%) HR and 32 (97%) LR women resumed
menses after chemotherapy. Both groups also had a similar age of menopause (HR,
43.8 years; LR, 48.5 years) (p = 0.19). Although fewer women in the HR group
desired to become pregnant after chemotherapy (HR 5/15 [33%] vs. LR 25/34 [74%])
(p = 0.01), 8 HR women (53%) and 29 LR women (85%) eventually became pregnant (p
= 0.03), with equivalent live birth rates of 74% and 76%, respectively.
CONCLUSION: Multiagent EMA-CO chemotherapy did not significantly alter menstrual
or reproductive outcomes compared to single-agent methotrexate chemotherapy for
GTN.
PMID- 24937959
TI - Determining the time required to achieve negative human chorionic gonadotropin
value after a nonmolar pregnancy: preliminary results.
AB - OBJECTIVE: To determine the time of human chorionic gonadotropin (hCG) regression
in nonmolar gestation. STUDY DESIGN: Patients treated at our institution between
June 2012 and July 2013 were selected by a deterministic sequential sampling
distributed among 2 phases. Distribution of the patients included resolved cases
by vaginal delivery and cesarean section in preterm and term pregnancies,
ectopic, and abortion. Phase 1: serum hCG values were determined at 4, 5 and 6
weeks of obstetric resolution. Phase 2: hCG serum values were determined
immediately at obstetric resolution and at 2, 3 and 4 weeks of the resolution of
the cases, too. RESULTS: Phase 1: After the analysis of serum hCG of 63 patients
at 4, 5 and 6 weeks postresolution, a negative result was corroborated in 100% of
cases, in any period of the sample, with average values of 1.71, 0.55, and 0.18
mIU/mL, respectively. Phase 2 included 398 patients, with serum hCG in the first
72 hours postresolution, showing an average hCG value of 1,483.5 mIU/mL (range,
0.07-10,789), noting that 1% of cases had negative results. We then obtained hCG
values at 2, 3 and 4 weeks of resolution, showing the regression in 73.4% of
cases in the 2nd week, in 96.7% of patients in the 3rd week, and in all cases in
the 4th week. CONCLUSION: The regression of hCG after nonmolar pregnancy does not
exceed 3 weeks.
PMID- 24937960
TI - Histopathological and immunohistochemical features of early hydatidiform mole in
relation to subsequent development of persistent gestational trophoblastic
disease.
AB - OBJECTIVE: To examine histomorphological and immunohistochemical findings in
hydatidiform moles to determine whether any features can reliably predict
clinical behavior. STUDY DESIGN: Blinded semiquantitative review of histological
and immunohistochemical findings in cases of partial hydatidiform mole (PHM) (N =
50) and complete hydatidiform mole (CHM) which either spontaneously resolved (N =
50) or required chemotherapy (N = 50). Immunostains assessed included MLH1, MSH2,
nm23, TERT, p53, EGFR, and CerbB2 based on previous data. RESULTS: There were
marked morphological differences in various criteria between CHMs and PHMs,
including the proportion of villi with abnormal trophoblast hyperplasia (29% vs.
6%, respectively). However, there were no significant differences in any
morphological parameters between CHMs that spontaneously resolved and those that
subsequently required chemotherapy. Similarly, there were no clinically useful
differences regarding any immunostaining scores between CHM groups. CONCLUSION:
Neither morphological nor immunohistochemical features can reliably predict
subsequent requirement of chemotherapy in CHMs.
PMID- 24937962
TI - Changes in the management of high-risk gestational trophoblastic neoplasia in the
National Trophoblastic Disease Center of Hungary.
AB - OBJECTIVE: To compare the clinical management of patients with high-risk
gestational trophoblastic neoplasia (GTN) among the periods of 1977-1990, 1991
2000, and 2001-2012 at the National Trophoblastic Disease Center of Hungary and
to assess the efficacy of the FIGO 2000 staging and risk factor scoring system in
comparison to the original WHO prognostic scoring system (1983). STUDY DESIGN: We
reviewed the medical records of 185 patients with high-risk GTN. From 1977-2000,
patients were classified according to the original WHO prognostic scoring system
(1983). From 2001-2012, high-risk patients were categorized by the FIGO 2000
system. We assessed the efficacy of MAC and EMA-CO primary combination
chemotherapies. For 1977-2006 and 2007-2012 we assessed the efficacy of MAC and
EMA-CO primary combination chemotherapies. RESULTS: From 1977-1990, 63 high-risk
patients (average, 4-5 patients/year), from 1991-2000, 50 high-risk patients
(average, 5 patients/year), and from 2001-2012, 72 high-risk patients (average, 6
patients/year) were treated primarily with combination chemotherapy (MAC and/or
EMA-CO and/or CEB). From 1977-2006, 100 high-risk patients received MAC primary
combination chemotherapy and 17 cases received EMA-CO. The ratio of primary MAC
primarily with and EMA-CO therapy among our high-risk patients was 5.9 (100/17)
over the referred period. From 2007-2012, 21 high-risk patients were treated with
primary MAC chemotherapy and 16 patients received EMA-CO. The MAC/EMA-CO ratio
over this time interval was 1.3 (21/16). CONCLUSION: We attained complete
remission in 95.7% of the high-risk patients. During the last 6 years the use of
EMA-CO primary combination chemotherapy increased among our high-risk patients,
which has resulted in increased efficacy and fewer side effects.
PMID- 24937961
TI - The encouraging prognosis of nongestational ovarian choriocarcinoma with lung
metastases.
AB - OBJECTIVE: To study nongestational ovarian choriocarcinoma (NGOC) with lung
metastases: its early diagnosis, optimal therapeutic method, and prognosis. STUDY
DESIGN: Twelve cases of NGOC with lung metastases treated in Peking Union Medical
College Hospital from 1982-2011 were analyzed retrospectively. The 12 cases
included 9 pure NGOCs and 3 mixed with other germ cell tumors (mature teratoma,
endodermal sinus tumor and embryonal carcinoma components, and dysgerminoma,
respectively). Chemotherapy was given in all 12 cases, mainly including EMA/CO,
BEP, and RESULTS: The median age for the cases was 23.9 years. Abdominal pain was
the most common symptom (7/12). Follow-up was available for 11 cases, ranging
from 17-174 months (median, 86.6 months). Of those, only 1 patient died of the
disease, at 42 months from the disease onset. The other patient for whom follow
up was not available gave up treatment due to chemoresistance and disease
progression. An overall sustained remission had been achieved in 10 cases
(83.3%). CONCLUSION: Surgery combined with the appropriate chemotherapy regimen
can improve therapeutic efficacy and survival in the treatment of NGOC with lung
metastasis, even in recurrent or chemorefractory cases. Commencement of EMA/CO
chemotherapy, which seems to be associated with better prognosis, should be
considered as a good choice of treatment. Conservative surgery is acceptable for
young patients desiring to preserve fertility.
PMID- 24937963
TI - Hydatidiform mole and its complications: review of patient profiles and
management at the university of the Philippines-Philippine General Hospital.
AB - OBJECTIVE: To describe the clinicopathologic profile of patients diagnosed with
molar pregnancy and complications associated with the disease and their
management at a tertiary government hospital. STUDY DESIGN: A descriptive
retrospective review of medical records of patients diagnosed with hydatidiform
mole for a 5-year period was done. The demographics, clinical course, management
options and complications, monitoring and progression to malignancy, and
histopathologic diagnosis of these patients were reviewed. RESULTS: From January
2008-December 2012 there were 551 cases of molar pregnancies. The hospital-based
incidence of hydatidiform mole was 13.38/1,000 pregnancies. The most common
manifestation was vaginal bleeding, with 98% (540/551) of patients. However, 75%
(405/540) had significant anemia described as hemoglobin level < 10 mg/dL, and
32% (173/540) had accompanying pallor, hypotension and tachycardia. Other medical
complications included those related to increased beta-hCG titers such as
preeclampsia, hyperthyroidism and pulmonary insufficiency. The most common
histopathologic diagnosis (71%) was complete hydatidiform mole. Seventy percent
of patients were given methotrexate chemoprophylaxis, mainly due to serum hCG >
100,000 mIU/ mL. Of the 551 patients 49 (9%) progressed to malignancy within the
next 36 months. The mortality rate was 1.6% (9/551). The most common cause of
mortality was hemorrhagic complication. CONCLUSION: At the Philippine General
Hospital hydatidiform mole is not uncommon. The knowledge of the clinical course
of the condition as well as prompt and appropriate management of complications is
integral in its management for an excellent prognosis.
PMID- 24937964
TI - Epidemiological report on the treatment of patients with gestational
trophoblastic disease in 10 Brazilian referral centers: results after 12 years
since International FIGO 2000 Consensus.
AB - OBJECTIVE: To evaluate treatment of Brazilian patients with gestational
trophoblastic disease (GTD). STUDY DESIGN: A retrospective cohort study with
analysis of medical reports performed in 10 Brazilian referral centers from
January 2000 to December 2011. RESULTS: Of 5,250 patients 3 died (0.06%) at the
time of uterine evacuation. Spontaneous remission of GTD (group G1) was observed
in 4,103 cases, and 1,144 (21.8%) progressed to gestational trophoblastic
neoplasia (GTN) (G2). In G1 2,716 (66.2%) had complete hydatidiform mole (HM) and
1,210, partial HM (29.5%); 3,772 patients (92.7%) recovered as noted in December
2012. In G2, of 1,118 patients treated, initial histopathological results of
previous gestation were complete HM (77.5% [n = 886]), partial HM (8.8% [n =
100]), and choriocarcinoma (8.0% [n = 92]); 930 (81.3%) were low-risk, 200
(17.5%) were high-risk GTN, and 14 had placental site trophoblastic tumor (PSTT)
(1.2%); cure was achieved in 1,078 cases (96.4%), but 26 patients (2.3%) died (4
low-risk [0.4%], 19 high-risk [9.5%], and 3 PSTT [21.4%]). CONCLUSION: The
highest death rates were due to high-risk GTN and PSTT. Patients with molar
pregnancy should be referred to a referral center for an early diagnosis and
prompt treatment of GTN in order to reduce the morbidity and mortality found in
advanced stages.
PMID- 24937965
TI - Effect of early pregnancy following chemotherapy on disease relapse and fetal
outcome in women treated for gestational trophoblastic neoplasia.
AB - OBJECTIVE: To examine the effects of early pregnancy (< 12 months following
chemotherapy) on a recent cohort of women treated with modern therapies for
gestational trophoblastic neoplasia (GTN). STUDY DESIGN: The Charing Cross GTN
database was screened between 1998-2012 to identify 1,204 patients treated with
either single-agent (61.9%) or multiagent (38.1%) chemotherapy. RESULTS: A total
of 23% of single-agent and 15.4% of the multiagent treatment groups conceived
within 12 months of chemotherapy, resulting in 255 early pregnancies, with 73.3%
resulting in live births. There was no significant increased risk of miscarriage,
ectopic pregnancy, second molar pregnancy or stillbirth as compared to the
general U.K. population. Intriguingly, the incidence of relapse was only 1.7% in
the early pregnancy group as compared to 5.2% in the 963 patients who did not
conceive early. CONCLUSION: Women who become pregnant within 12 months
postchemotherapy for GTN can be reassured of a likely favorable outcome, although
the safest option is still to delay pregnancy for a year.
PMID- 24937966
TI - Falling estradiol levels on day after human chorionic gonadotropin administration
in assisted reproductive technology cycles are not predictive of decreasing
oocyte maturity or pregnancy rates.
AB - OBJECTIVE: To determine whether a fall in serum estradiol levels the day after
human chorionic gonadotropin (hCG) administration correlated with the incidence
of a positive serum hCG in fresh, nondonor assisted reproductive technology (ART)
cycles. STUDY DESIGN: A total of 1,969 women undergoing fresh, nondonor ART
cycles at a tertiary referral fertility clinic between January 1, 2003, and
January 31, 2010, were included and retrospectively analyzed. Primary outcome
measures were oocyte maturity and positive serum beta-hCG. RESULTS: A total of
1,969 cycles met inclusion criteria, of which 1,875 had the same or increasing
serum estradiol levels and 94 had decreasing estradiol levels on the morning
after hCG trigger administration (6-11 hours after hCG injection). There were no
statistically significant differences between the groups with respect to age,
baseline FSH levels, type of pituitary downregulation, total ampules of
gonadotropin administered, days of stimulation, average number of oocytes
retrieved, or oocyte maturity. Probability of pregnancy in women with declining
E2 levels after hCG trigger administration did not differ from patients with the
same or rising estradiol levels (53% vs. 54%, p = 0.89). CONCLUSION: Absolute
change in estradiol levels the morning after beta-hCG administration were not
predictive of positive hCG.
PMID- 24937967
TI - Effect of luteal phase support with vaginal progesterone in intrauterine
insemination cycles with regard to follicular response: a prospective randomized
study.
AB - OBJECTIVE: To investigate the effect of luteal phase support with vaginal
progesterone on pregnancy rates of the gonadotropin-stimulated intrauterine
insemination (IUI) cycles in patients with unexplained infertility with regard
tofollicular growth. STUDY DESIGN: A total of 149 patients with unexplained
infertility who underwent 166 recombinant follicle stimulated hormone--stimulated
IUI cycles were prospectively randomized into 2 groups for luteal phase support.
The study group (n = 71) received vaginal progesterone gel supplementation, and
the control group (n = 78) received no drug for luteal support. The clinical
pregnancy rates and live birth rates per cycle and per patient were compared
between the groups. RESULTS: The differences between the groups with regard to
clinical pregnancy rates and live birth rates per patient or per cycle were not
different among all patients. In cycles with > 1 dominant follicle
(multifollicular response), the clinical pregnancy rate per patient was
significantly higher in the supported cycles as compared with the unsupported
cycles (28.2% vs. 11.4%, respectively, p = 0.04). Reproductive outcomes in cycles
with a single dominant follicle (monofollicular response) were not different
between supported and unsupported cycles. CONCLUSION: Luteal phase support with
vaginal progesterone affects the success of gonadotropin-stimulated IUI cycles
with multifollicular response but not with monofollicular response.
PMID- 24937968
TI - Follicular and estradiol parameters that improve success with natural cycle in
vitro fertilization.
AB - OBJECTIVE: To describe clinical thresholds for follicle size and estradiol levels
to optimize success with natural cycle in vitro fertilization (NCIVF). STUDY
DESIGN: Descriptive cohort of candidates for stimulated IVF, < 43 years old, with
regular menstrual cycles, regardless of ovarian reserve or fertility treatment
history. Patients underwent NCIVF, defined as oocyte retrieval, fertilization and
embryo transfer after human chorionic gonadotropin (hCG) trigger without
luteinizing hormone (LH) suppression or ovarian stimulation medications. RESULTS:
A total of 422 patients underwent 821 NCIVF cycles. Clinical pregnancy rates per
cycle start, retrieval, and transfer were 13%, 17%, and 32%, respectively, for
all patients and 19%, 25%, and 49% for patients < 30 years old. The threshold
estradiol level on day of hCG was 101 pg/mL; below that level no clinical
pregnancies occurred. Likewise, a mean follicular diameter > 15 mm was the
optimal threshold for hCG trigger. Anti-Mullerian hormone and follicle
stimulating hormone levels did not predict success in NCIVF, and no statistical
difference in clinical pregnancy rates between day 3 or day 5 embryo transfer was
observed. CONCLUSION: NCIVF is an effective therapy for infertile patients
regardless of their ovarian reserve. Cycle cancellation due to a premature LH
surge can be reduced, without sacrificing success, by triggering smaller
follicles above a threshold level of estradiol.
PMID- 24937969
TI - Fertility-sparing partial hysterectomy for gestational trophoblastic neoplasia:
an analysis of 36 cases.
AB - OBJECTIVE: To evaluate the success of partial hysterectomy in treating
gestational trophoblastic neoplasia (GTN) and preserving fertility. STUDY DESIGN:
A retrospective review was conducted on 36 patients with GTN who underwent
adjuvant fertility-sparing partial hysterectomy at the Department of Gynecologic
Oncology, Women's Hospital, School of Medicine, Zhejiang University, China,
between 1991 and 2008. RESULTS: Of 36 patients, 34 had fertility-sparing partial
hysterectomy; 2 required full hysterectomy due to excessive bleeding during
surgery. All women went into remission from their disease. Twenty-five
subsequently achieved clinical pregnancy, resulting in a total of 23 live births.
CONCLUSION: Partial hysterectomy with chemotherapy can be effective in treating
GTN while preserving future fertility. This should be considered as an option for
women who wish to pursue pregnancy in the future.
PMID- 24937970
TI - Emotional intelligence characteristics in a cohort of faculty, residents, and
medical students.
AB - OBJECTIVE: To assess emotional intelligence (EQ) and the construct validity of
the EQ Appraisal survey among academic faculty, residents, and medical students.
STUDY DESIGN: From 2007 to 2011, 155 medical education personnel participated in
4 self-administered surveys. The EQ Appraisal survey measures Self-Awareness,
Self-Management, Social Awareness, and Relationship Management. The DISC survey
defines 4 personality domains: Dominance, Influence, Steadiness/ Submissiveness,
and Conscientious/Compliance. The Thomas-Kilmann Conflict Instrument defines 5
conflict styles: Competing, Collaborating, Compromising, Accommodating, and
Avoiding. The Interpersonal Influence Inventory categorizes 4 behavior styles:
Openly Aggressive, Assertive, Concealed Aggressive, and Passive behaviors.
Statistical analysis was done as indicated. The p value of < 0.05 was taken as
significant. RESULTS: The overall mean EQ score was 75.9 (SD 8.2), and components
scores were: Self-Awareness = 74.6 (SD 10), Self-Management = 74.8 (SD 10.6),
Social Awareness = 77.0 (SD 9.6), and Relationship Management = 76.8 (SD 9.9).
Faculty and residents had higher Social Awareness scores as compared to medical
students (79.33 [SD 8] vs. 75.59 [SD 10.3], p = 0.01). Mean EQ scores correlated
positively with Collaborating conflict style, Assertive Behavior, Influence, and
Steadiness/Submissiveness but correlated negatively with Dominance personality
domain, Passive, and Concealed Aggressive behaviors. CONCLUSION: EQ varied with
level of training. EQ competence may occur by Collaborating and Assertive
Behaviors utilizing Influence and Steadiness/Submissiveness personality domains.
PMID- 24937971
TI - Serum human chorionic gonadotropin level measured 17 days after oocyte retrieval
can predict final clinical pregnancy outcomes in IVF/ICSI treatment cycles.
AB - OBJECTIVE: To investigate whether serum human chorionic gonadotropin (hCG) levels
measured 17 days after oocyte retrieval can predict final clinical pregnancy
outcomes in in vitro fertilization/intracytoplasmic sperm injection embryo
transfer cycles. STUDY DESIGN: Serum hCG levels 17 days after oocyte retrieval
and the subsequent clinical pregnancy outcomes in patients with positive serum
hCG were analyzed. RESULTS: Of 6,560 patients, patients with positive but low
serum hCG levels (< 100 IU/L) had an increased risk of abnormal clinical
pregnancy outcomes (spontaneous miscarriage or ectopic pregnancy) (p < 0.05).
Compared with abnormal clinical pregnancy, normal clinical pregnancy (live birth)
showed significantly higher hCG levels at 17 days after oocyte retrieval (596.80
IU/L vs. 277.80 IU/L, p < 0.001). The hCG level of live birth was markedly higher
than that of spontaneous miscarriage (596.80 IU/L vs. 357.15 IU/L, p < 0.001) and
ectopic pregnancy (596.80 IU/L vs. 129.30 IU/L, p < 0.001). The cutoff value was
377.8 IU/L to predict live birth with 0.730 area under the receiver operating
characteristic curve (95% CI 0.713-0.747, 75.9% sensitivity, 61.2% specificity, p
< 0.001). CONCLUSION: Serum hCG levels measured on the 17th day after oocyte
retrieval are clinically useful in predicting final clinical pregnancy outcomes.
However, it is important to note that no hCG cutoff had a sensitivity or
specificity of 100% for either normal or abnormal pregnancies, making it
essential to continue routine monitoring of assisted reproductive technology
pregnancy outcomes.
PMID- 24937972
TI - Third trimester insulin levels are not correlated with fetal macrosomia or
delivery complications.
AB - OBJECTIVE: To examine the relationship of glucose and insulin levels during the 1
hour gestational diabetes screening test to determine their relation to
gestational diabetes mellitus (GDM) and possible resulting pregnancy
complications. STUDY DESIGN: This is a prospective observational study of the
delivery records of 784 patients who obtained third trimester screening for both
glucose and insulin levels during routine 1-hour 50 g oral glucose load. RESULTS:
Insulin levels were positively correlated with glucose levels (p < 0.001). GDM
was diagnosed in 17 patients (2.2%). Mean birth weight was not significantly
different with glucose levels < 130 pmol/L, 130-140 pmol/L, or > 140 pmol/L
(3,282 g, 3,409 g, and 3,310 g, respectively, p = 0.13), nor were 5-minute Apgar
scores (p = 0.66). No difference in mean fetal birth weight was found in insulin
ranges < 30 pmol/L, 30-60 pmol/L, and > 60 pmol/L (3,330 g, 3,306 g, and 3,276 g,
respectively, p = 0.56). Moreover, no significant differences in 5-minute Apgar
scores were observed between those groups (p = 0.05). Women who underwent
cesarean section (n = 230) had significantly higher glucose and insulin levels
than did those who had vaginal deliveries (n = 554) (p = 0.01 and p = 0.003,
respectively). CONCLUSION: Our data indicates that neither insulin nor glucose
levels are predictive of fetal macrosomia, low Apgar scores, or birth injuries.
PMID- 24937973
TI - Analysis of the safety and reliability of a hydrothermal ablation system: a
multicenter, prospective postmarket study.
AB - OBJECTIVE: To obtain information on practitioner experience in the use of the
Genesys HydroThermAblator (HTA) System under normal clinical conditions through
documentation of the system's acute safety features, in terms of burn rates, and
its technical reliability. STUDY DESIGN: This was a prospective, observational,
multicenter, postmarket interventional clinical trial with outcome measures of
acute (within 21 days post-procedure) safety, serious adverse device effects, and
technical malfunctions in a population of premenopausal women > or = 18 years of
age. RESULTS: A total of 992 women (mean age, 41.7 +/- 6.8 years; range, 22-65
years) were enrolled in 18 clinical sites throughout the United States. The
Genesys HTA System provided low burn rates in the intent-to-treat (n = 992 [0.4%]
[95% CI 0.1-1.0%]) and evaluable (n = 931 [0.2%] [95% CI 0.1-0.8%]) subject
populations. Only 1 burn was clinically significant and was defined as a serious
adverse device effect (1/992 [0.10%] [95% CI 0.0-0.6%]). Fifty-three (5.1%)
technical malfunctions occurred in 44 procedures, and 27 (27/44 [61.4%]) patients
completed their procedures after 31 (31/ 53 [58.5%]) technical problems were
addressed and resolved. CONCLUSION: The Genesys HTA System delivers a safe and
reliable treatment option for premenopausal women with heavy menstrual bleeding.
PMID- 24937974
TI - Management of etonogestrel subdermal implant-related bleeding.
AB - OBJECTIVE: To determine removal rates for unacceptable bleeding in etonogestrel
subdermal implant (ESI) users treated with reassurance alone as well as in those
receiving reassurance plus medical interventions including doxycycline and
ibuprofen, and to compare removal rates between ESI users treated with
reassurance alone with those receiving the medical interventions listed above in
addition to reassurance. STUDY DESIGN: Medical records of 391 women receiving ESI
for contraception within the period June 2007-April 2011 were abstracted for
patient characteristics and interventions including reassurance, doxycycline, and
ibuprofen. Removal rates were compared between intervention groups using the chi2
test and multivariable logistic regression. RESULTS: Contact for bleeding
occurred in 128 women (32.7%). Of those, 83 women (21.2% of entire cohort)
requested removalfor bleeding (median, 381 days; range, 29-1,078 days). Of 53
women receiving reassurance alone, 40 (75.5%) requested removal, whereas fewer
(15 of 33 [45.5%]) women receiving reassurance plus doxycycline requested removal
(p = 0.005), even after adjusting for prior contraception use and body mass index
at ESI insertion (p = 0.006). Of 10 women receiving ibuprofen and 19 women
receiving doxycycline plus ibuprofen, 7 (70.0%) and 11(57.9%), respectively,
requested removal for bleeding. CONCLUSION: Reassurance plus doxycycline is
associated with lower removal rates for ESI-related bleeding than is reassurance
alone.
PMID- 24937975
TI - Acupuncture performed before and after embryo transfer: a randomized controlled
trial.
AB - OBJECTIVE: To compare the clinical pregnancy rates in women who underwent fresh
embryo transfer (ET) with and without one acupuncture session before and after
the transfer using a modified Paulus protocol. STUDY DESIGN: The prospective,
physician-blinded study randomized 113 women to either no intervention (n = 56)
or acupuncture performed off-site (n = 57). Secondary outcomes were positive
pregnancy test and live birth rates. Intent-to-treat analysis and per protocol
analysis were performed. RESULTS: No difference in age and in vitro fertilization
embryo transfer (IVF-ET) parameters were detected. Compared to the control group,
the treatment group had a lower pregnancy rate (43.6% vs. 64.8%, p = 0.045). More
women in the control group had live births than did those in the acupuncture
group (56.0% vs. 36.0%, respectively, p = 0.033). Generalized mixed models
revealed that patients who received acupuncture had lower odds of clinical
pregnancy than those who did not undergo acupuncture treatment (OR = 0.42, 95% CI
0.19-0.93). CONCLUSION: Our study found that acupuncture performed off-site on
the day of ET was detrimental to the success of the transfer. More research is
needed with a greater number of subjects to elucidate the role of acupuncture
before and after ET, ideal treatment frequency, and to further explore the role
of individualized acupuncture treatment on IVF-ET pregnancy rates.
PMID- 24937976
TI - Does the addition of recombinant luteinizing hormone to progesterone for luteal
supplementation improve IVF outcomes in high-responder patients? A preliminary
randomized controlled study.
AB - OBJECTIVE: To determine the impact of recombinant luteinizing hormone (rLH)
combined with vaginal progesterone (P)for luteal supplementation on in vitro
fertilization (IVF) pregnancy outcomes in high-responder patients undergoing long
gonadotropin-releasing hormone (GnRH) agonist cycles. STUDY DESIGN: A total of 47
patients undergoing IVF cycles with the serum estradiol levels of > or = 2,500
pg/mL on the day of human chorionic gonadotropin administration were included in
this prospective randomized study. Patients were divided into 2 treatment groups:
the control group (n = 23) used luteal vaginal P, and the study group (n = 24)
received a combination of vaginal P and 75 IU rLH, 4 doses every 3 days, starting
on the day of embryo transfer. The main outcome measure was the clinical
pregnancy rate (PR). RESULTS: The study group had 11 pregnancies (45.8%), while
the control group had 6 (26.1%). There was no significant difference between the
groups in clinical PRs (p = 0.15). No differences were found with respect to the
implantation rate, miscarriage rate, and multiple PR. CONCLUSION: Our data show
that luteal supplementation with rLH combined to vaginal P was not found to
improve pregnancy outcomes in high responder patients undergoing long GnRH
agonist IVF treatments.
PMID- 24937977
TI - Anterior vaginal introitoplasty for an acquired sensation of wide vagina: a case
report and new surgical intervention.
AB - BACKGROUND: A vaginal introital defect case and its symptomatology have never
been published before. The objective of this presentation was to describe
symptoms associated with an acquired sensation of wide vagina and to present a
new surgical treatment for anterior vaginal introital defects. CASE: A 42-year
old, Caucasian woman, G4P4013, presented with a sensation of wide vagina at the
vaginal opening and a history of colpoperineoplasty, without mesh, for the same
condition 4 years prior. Clinical evaluation documented anterior vaginal
introital defects and the absence of vaginal site-specific defects.
Reconstruction of a vaginal introital defect was completed without complications.
Surgical resolution of symptoms and signs of this condition were noted.
CONCLUSION: Anterior vaginal introitoplasty can assist in the management of an
acquired sensation of wide vagina.
PMID- 24937978
TI - Clear cell adenocarcinoma of the abdominal wall: a case report.
AB - BACKGROUND: Primary clear cell adenocarcinoma of the abdominal wall is
exceptionally rare and most likely arises within scar endometriosis. CASE: A 37
year-old woman with 3 prior cesarean sections developed an abdominal wall mass
that was confirmed as clear cell adenocarcinoma. CONCLUSION: Clear cell
adenocarcinoma is in the differential diagnosis of abdominal wall masses,
particularly in cases of prior surgery. Fine needle aspiration may be helpful in
establishing the diagnosis.
PMID- 24937979
TI - May-Thurner syndrome complicating pregnancy: a report of four cases.
AB - BACKGROUND: May-Thurner syndrome is a common anatomic variant associated with
deep venous thrombosis. There are few reports describing implications for and
management in pregnancy. CASES: We performed a retrospective review and
description of 4 women with May-Thurner syndrome receiving complete or
consultative obstetrical care in our practice. Presentations included (1)
previous stroke from presumed paradoxical embolus, (2) chronic lower extremity
venous congestion treated prepregnancy with stenting, (3) prior iliac thrombosis
treated with thrombolysis, anticoagulation, and stenting, and (4) active third
trimester iliac thrombosis. The first 3 patients received prophylactic
anticoagulation, while the fourth was fully anticoagulated. CONCLUSION: May
Thurner is an underappreciated cause of deep venous thrombosis in reproductive
aged women. Awareness may lead to improved recognition, treatment, and fewer long
term sequelae.
PMID- 24937980
TI - Maldescended ovary associated with Mullerian and kidney dysgenesis: a case
report.
AB - BACKGROUND: Maldescended ovary is a rare entity which has been associated with
Mullerian and kidney dysgenesis. It can be discovered incidentally during a
workup for pelvic or abdominal pain or an infertility workup. While MRI is the
best diagnostic tool for the discovery of this entity, it can also be discovered
using pelvic or abdominal ultrasound or hysterosalpingogram or by diagnostic
laparoscopy. CASE: We present a case of maldescended ovary associated with a
unicornuate uterus, normal left ovary and fallopian tube, elongated right
fallopian tube and utero-ovarian ligament, and right pelvic kidney, discovered
incidentally during a workup for chronic pelvic and abdominal pain. CONCLUSION:
It is important to consider looking for a maldescended ovary whenever a patient
has a Mullerian and/or kidney anomaly with absence of one or both ovaries on
pelvic imaging.
PMID- 24937981
TI - Social media can strengthen emergency communication.
PMID- 24937982
TI - Despite progress, more work still needed to prevent infections.
PMID- 24937983
TI - Extending the reach of care: telehealth network provides services to rural areas.
PMID- 24937984
TI - Speed to market: hospitals tap modular construction to meet building demand.
PMID- 24937985
TI - Sustainable foundation: environmentally friendly flooring materials.
PMID- 24937986
TI - Put it there: selecting attractive recycling stations.
PMID- 24937987
TI - Light wave: energy savings, long life and quality of light drive LED adoption.
PMID- 24937988
TI - ASHE provides answers to code questions.
PMID- 24937989
TI - A dashboard for achieving ES excellence.
PMID- 24937990
TI - Maintenance alternatives: CMS provides new options for taking care of equipment.
PMID- 24937991
TI - Key leadership areas: how ES managers can achieve sustained success.
PMID- 24937992
TI - Volunteerism & student leadership.
PMID- 24937993
TI - Getting access for those in need.
PMID- 24937994
TI - Team Rubicon.
PMID- 24937995
TI - The personal side of student leadership.
PMID- 24937996
TI - Happenings spotlight: student nurses step into action to support local VA
initiative serving homeless veterans.
PMID- 24937997
TI - We fix bullet holes.
PMID- 24937998
TI - Credit bearing independent studies: translating leadership into scholarship.
PMID- 24938000
TI - What does being an effective nurse leader mean to you? 2014 essay contest
winners.
PMID- 24937999
TI - Seeing is believing in a vision for the future.
PMID- 24938001
TI - Shifting perspective.
PMID- 24938002
TI - Many thanks to our volunteer leaders: these valuable individuals contribute much
to ACHE, the profession.
PMID- 24938003
TI - Financial planning in a value-based world.
PMID- 24938004
TI - Quality, cost and accountable care.
PMID- 24938005
TI - Decentralizing the pharmacy: baystate health improves patient safety while
enhancing labor and inventory management efficiency.
PMID- 24938006
TI - Innovation in healthcare.
PMID- 24938007
TI - The ethics of hospital security: leaders have an ethical responsibility to ensure
safety while maintaining organizational values.
PMID- 24938008
TI - From ground zero to recovery: a hospital's devastating experience provides
valuable lessons in hope and service.
PMID- 24938009
TI - A holistic approach to health: focusing on social determinants promotes wellness
and prevents disease.
PMID- 24938010
TI - An ACA and delivery system reform update: a balanced scorecard analysis.
PMID- 24938011
TI - High "ACHevers": postgraduate fellowships chart the course for early careerists.
PMID- 24938012
TI - Helping boards achieve and demonstrate accountability: taking responsibility to
new levels.
PMID- 24938013
TI - Leading improvement in population health: focusing on population health requires
a new leadership approach.
PMID- 24938014
TI - Walking in physician shoes: empathy and communication are key to effective
physician relations.
PMID- 24938015
TI - Preface. Advances in clinical chemistry.
PMID- 24938016
TI - Matrix metalloproteinases in coronary artery disease.
AB - Matrix metalloproteinases (MMP) are a family of zinc-containing endoproteinases
that degrade extracellular matrix (ECM) components. MMP have important roles in
the development, physiology and pathology of cardiovascular system.
Metalloproteases also play key roles in adverse cardiovascular remodeling,
atherosclerotic plaque formation and plaque instability, vascular smooth muscle
cell (SMC) migration and restenosis that lead to coronary artery disease (CAD),
and progressive heart failure. The study of MMP in developing animal model
cardiovascular systems has been helpful in deciphering numerous pathologic
conditions in humans. Increased peripheral blood MMP-2 and MMP-9 in acute
coronary syndrome (ACS) may be useful as noninvasive tests for detection of
plaque vulnerability. MMP function can be modulated by certain pharmacological
drugs that can be exploited for treatment of ACS. CAD is a polygenic disease and
hundreds of genes contribute toward its predisposition. A large number of
sequence variations in MMP genes have been identified. Case-control association
studies have highlighted their potential association with CAD and its clinical
manifestations. Although results thus far are inconsistent, meta-analysis has
demonstrated that MMP-3 Glu45Lys and MMP-9 1562C/T gene polymorphisms were
associated with CAD risk.
PMID- 24938017
TI - Heat shock proteins and cardiovascular disease.
AB - Atherosclerosis is the leading global cause of mortality, morbidity, and
disability. Heat shock proteins (HSPs) are a highly conserved family of proteins
with diverse functions expressed by all cells exposed to environmental stress.
Studies have reported that several HSPs may be potential risk markers of
atherosclerosis and related cardiovascular diseases, or may be directly involved
in the atherogenic process itself. HSPs are expressed by cells in atherosclerotic
plaque and anti-HSP has been reported to be increased in patients with vascular
disease. Autoimmune responses may be generated against antigens present within
the atherosclerotic plaque, including HSP and may lead to a cycle of ongoing
vascular injury. It has been suggested that by inducing a state of tolerance to
these antigens, the atherogenic process may be limited and thus provide a
potential therapeutic approach. It has been suggested that anti-HSPs are
independent predictors of risk of vascular disease. In this review, we summarize
the current understanding of HSP in cardiovascular disease and highlight their
potential role as diagnostic agents and therapeutic targets.
PMID- 24938019
TI - Neutrophil gelatinase-associated lipocalin in cancer.
AB - Neutrophil gelatinase-associated lipocalin (NGAL), also known as lipocalin-2, is
a 178-amino acid protein which exists in three molecular forms, including a 25
kDa monomer, a 45-kDa homodimer, and a 135-kDa heterodimer complexed with matrix
metalloproteinase 9 (MMP-9). Polymorphonuclear neutrophils and tubular cells of
the kidney are the most representative cellular sources. As such, NGAL is now
considered the biochemical gold standard for early diagnosis of acute kidney
injury. Recent evidence suggests, however, that ectopic or enhanced expression of
NGAL may occur in many other pathologic conditions including cancer. Several
epidemiologic studies, as reviewed in this chapter, showed that a variety of
malignant tumors consistently overexpressed NGAL with increased concentration in
blood, urine, and other biologic fluids. In addition, NGAL was frequently
associated with tumor size, stage, and invasiveness. These features thus make it
a potential biomarker for malignancy. A number of experimental studies also
demonstrated that the ability to bind MMP-9, to scavenge iron into cancer cells
along with the effect on subcellular localization of transmembrane proteins such
as cadherins and catenins, confers this protein the potential to enhance can cer
aggressiveness and makes it an appealing target of future anticancer research.
PMID- 24938018
TI - Apolipoprotein B-48: a unique marker of chylomicron metabolism.
AB - Apolipoprotein B-48 (apoB-48) is known to be the only specific marker of
intestinal chy lomicron particles. The amino acid sequence of apoB-48 represents
48% of the initial sequence of apoB-100. ApoB-48 is synthesized only by the
intestine in humans, while apoB-100 is synthesized primarily by the liver.
Therefore, apoB-48 is a most appropriate biomarker for cardiovascular and
nutritional investigation of postprandial chylomicron metabolism. In this review
article, we discussed the difference between the recent find ings and
Zilversmit's proposal of postprandial hyperlipidemia reported over 30 years ago.
The characteristics and role of apoB-48 as an apolipoprotein in chylomicrons,
especially as a marker of chylomicron remnant lipoproteins, are described. The
need for appropriate analytical methods to measure apoB-48 is also discussed.
PMID- 24938020
TI - Autoantibodies in breast cancer.
AB - In addition to their historical role, autoantibodies appear promising as
biomarkers to facilitate diagnosis, improve patient outcome and decrease
mortality in cancer. Autoantibodies may also be useful in the identification of
subjects at risk for cancer, that is, those bearing premalignant changes.
Numerous studies have demonstrated that cancer serum contains a variety of
autoantibodies that react with autologous cellular antigens, that is, tumor
associated antigens. Interestingly, some of these antigens are involved in signal
transduction, cell cycle regulation, cell proliferation, and apoptosis. As such,
identification of these molecules has additional importance for development of
novel anticancer drugs and vaccines. This review focuses on the use of
autoantibodies in breast cancer, a major public health problem. We also address
the need for additional research to validate this approach in cancer diagnostics
and therapeutics in general.
PMID- 24938021
TI - Mammaglobin A: review and clinical utility.
AB - Mammaglobin A is a protein that belongs to the secretoglobin superfamily. It has
highly specific expression in cells from most breast cancers and may be used to
detect circulating or disseminated tumor cells. In addition, mammaglobin A is
currently under inves tigation as a potential therapeutic target for immune
therapies that target breast cancer. The present review will highlight our
current understanding of mammaglobin A at the genetic and protein level and its
potential clinical applications. Characteristics of breast cancer and methods
used to isolate and detect circulating tumor cells will also be presented.
PMID- 24938022
TI - 1,5-Anhydroglucitol and glycated albumin in glycemia.
AB - The main purpose of treating diabetes is to prevent the onset and the progression
of diabetic chronic complications. Since the mechanism of onset of chronic
complications is still not well understood, the main strategy to achieve this
purpose is to bring the plasma glucose level in diabetic patients as close as
possible to that in healthy subjects and try to maintain good glycemic control
over the long term. Glycated hemoglobin (HbA1c), glycated albumin (GA),
fructosamine, and 1,5-anhydroglucitol (1,5 AG) are used for evaluating glycemic
control. At present, HbA1c is widely used as a gold standard index for glycemic
control in clinical practice. While HbA1c reflects the long-term glycemic control
state (for the past 1-2 months), it does not accurately reflect glycemic control
in the clinical state in which glycemic control improves or deteriorates in the
short-term. It is also known that HbA1c in patients with hematological disorders
such as anemia and variant hemoglobin shows an abnormal value. In addition, HbA1c
mainly reflects the mean plasma glucose but does not reflect the postprandial
plasma glucose. On the other hand, GA and 1,5-AG reflect intermediate- or short
term glycemic control and are not influenced by hemoglobin metabolism. While 1,5
AG is known to reflect the postprandial plasma glucose, it was shown recently
that GA also reflects the postprandial plasma glucose. This chapter summarizes
the measurement methods, usage methods, evidence, and problems concerning such
indices for glycemic control.
PMID- 24938023
TI - Management innovation from HMA.
PMID- 24938024
TI - Building a culture for innovation: a leadership challenge.
AB - It is recognized that health services are facing increasing cost pressures amid a
climate of increasing demand and increasing expectations from patients and
families. The ability to innovate is important for the future success of all
health care organizations. By malting some simple but profound changes in
behaviours and processes as illustrated across seven dimensions, leaders can have
great impact on the culture for innovation. This in turn can support the
transformation of health services through increased innovation.
PMID- 24938025
TI - The key to health services in Turkey: new perspectives on leadership and hospital
management.
AB - Health services are one of the most important criteria for making a country
function. Turkey has mobilized all of its resources to provide high-quality,
easily accessible and patient-friendly services for its population. To achieve
this aim, the Turkish health care system has been undergoing a significant
transformation through its Health Transformation Programme begun in 2005. The
reforms focus on the introduction of a general health insurance system, changing
hospital health services, improvements in hospital management and
transformational leadership skills. Firstly, all state-run hospitals in the
country were merged under the same umbrella, giving millions of people covered by
the national security agency access to all of these hospitals. Secondly, all
drugs and medical equipment used by patients were made free of charge. Thanks to
these developments, hospitals were modernized, and this modernization process in
the health sector is still continuing swiftly. On the other hand, for Turkish
hospitals to survive, they need to modernize further and become closer to
European models, and produce new leaders with new paradigms. In this new and
changing health system, hospital leaders and executive officers should be
visionaries and strategists advising when to change direction. Following this
doctrine, most Turkish hospitals are now run by two top executives: the hospital
manager and the chief executive officer who is in charge of business functions.
These executives should clearly be the leaders of high-quality, health care
organizations.
PMID- 24938026
TI - The National Accreditation Board for Hospital and Health Care Providers
accreditation programme in India.
AB - Quality in health care is important as it is directly linked with patient safety.
Quality as we know is driven either by regulation or by market demand. Regulation
in most developing countries has not been effective, as there is shortage of
health care providers and governments have to be flexible. In such circumstances,
quality has taken a back seat. Accreditation symbolizes the framework for quality
governance of a hospital and is based on optimum standards. Not only is India
establishing numerous state of the art hospitals, but they are also experiencing
an increase in demand for quality as well as medical tourism. India launched its
own accreditation system in 2006, conforming to standards accredited by ISQua.
This article shows the journey to accreditation in India and describes the
problems encountered by hospitals as well as the benefits it has generated for
the industry and patients.
PMID- 24938027
TI - Hospital accreditation--a foundation for high reliability.
AB - The people who work in health care organizations are committed to providing the
best care possible to their patients. In the contemporary health care environment
this is a very difficult commitment to keep. Health care has never been more
complicated or demanding of the people who work in the industry. This article
describes two different but complimentary methods for improving the quality and
safety of health care. Accreditation provides a foundation for creating systems
of care across many types of health care organizations. High reliability inspires
people and organizations to strive for the highest levels of performance.
Together, these methods give people working in health care an opportunity to
fulfil their commitment to their patients.
PMID- 24938028
TI - Hospital productivity: how to KILL or create a productive hospital environment.
AB - Productivity is NOT the same as quality. Productivity is about the value-add we
bring to work, to each job, to each day. To create a productive hospital
environment, it is so much more than buying the right equipment or hiring the
right mix of people. Productivity comes from investing in our people and giving
them the tools and authority to do their jobs effectively. Adding more "quality
programmes" can actually kill productivity by taking people away from their core
jobs. Adding a tick-list in the operating theatre can cut mortality rates in half
by eliminating the smallest of mistakes. This article is a guide to help you
focus on the key elements of productivity and not to get distracted by the hype
and confusion from media. Its bottom-line focus and "how-to" tools and ideas make
it useful and practical.
PMID- 24938029
TI - Teamwork and communication: an effective approach to patient safety.
AB - Teamwork and communication failures are leading causes of patient safety
incidents in health care. Though health care providers must work in teams, they
are not well-trained in teamwork and communication skills. Health care faces the
problems of differences in communication styles, communication failures and poor
teamwork. There is enough evidence in the literature to show that communication
failure is detrimental to patient safety. It is estimated that 80% of serious
medical errors worldwide take place because of miscommunication between medical
providers. NUH recognizes that effective communication and teamwork are essential
in the delivery of high quality safe patient care, especially in a complex
organization. NUH is a good example, where there is a rich mix of nationalities
and races, in staff and in patients, and there is a rapidly expanding care
environment. NUH had to overcome these challenges by adopting a multi-pronged
approach. The trials and tribulations of NUH in this journey were worthwhile as
the patient safety climate survey scores improved over the years.
PMID- 24938030
TI - Are clinical audits enough to bring about improvement in overall health care
delivery?
AB - This study was conducted to explore the entire spectrum of initiatives that have
evolved globally over time in health care delivery mechanisms. The quality
improvement initiatives that have been reviewed were undertaken at the department
of radiology at a tertiary care teaching hospital in the developing world. This
article reveals that conducting only clinical audits is not enough to bring about
improvements in the health care delivery processes. It also illustrates examples
of other initiatives that combine to enable sustainable, safe and high quality
health care services for the patients whom we serve.
PMID- 24938031
TI - Assessment of changes in health care needs.
AB - By 2020, the population of Ulaanbaatar will reach 1,522,400 inhabitants. In
addition, estimates show that relative to 2010, there will be 13.1% more
outpatient registrations and 11.6% more inpatients by 2020. This study, conducted
by descriptive design based on demographic and morbidity data, analyzes whether
current health care facilities meet the demands and needs of the Ulaanbaatar
population. It also assesses health care needs and accessibilty in Ulaanbaatar by
2020. Both data analysis and qualitative interviews with different sub-groups of
the population reveal the neccesity to both reorganize primary level health care
facilities and adjust resources in accordance with changing morbidity patterns.
PMID- 24938032
TI - Adapt or disappear: AHMA's reality 2016 has a new mission to transform the HIM
workforce through education-- or else.
PMID- 24938033
TI - Evolving ROI specialists into health record ambassadors.
PMID- 24938034
TI - Weaving together a healthcare improvement tapestry. Learning health system brings
together health data stakeholders to share knowledge and improve health.
PMID- 24938035
TI - Paging Dr. Watson: IBM's Watson supercomputer now being used in healthcare.
PMID- 24938036
TI - Black market PHI does exist: why it's time to take security risk assessments
seriously.
PMID- 24938037
TI - The role of analyzing healthcare data: health data analysts aggregate, evaluate,
and validate information for key healthcare stakeholders.
PMID- 24938038
TI - Too many coding systems, too much to do: different clinical classification and
clinical terminology systems uniquely impact IT, quality management.
PMID- 24938039
TI - Researchers using visual analytics to improve care quality.
PMID- 24938040
TI - Managing the integrity of patient identity in health information exchange
(updated).
PMID- 24938041
TI - Coding breast procedures with CPT.
PMID- 24938042
TI - Coding ICD-10-PCS medical and surgical-related sections: understanding
measurement and monitoring, extracorporeal assistance and performance, and
extracorporeal therapies.
PMID- 24938043
TI - [Two level thrombosis. Mysterious bruises on the leg. Hemorrhage in preexisting
hematoma].
PMID- 24938044
TI - [Circus-like acrobatics in the snow. Snowboard: fun with high accident risk].
PMID- 24938045
TI - [General practice report. Giving wings to desire to travel].
PMID- 24938046
TI - [Police overpowers aggressive patient].
PMID- 24938047
TI - [Electronic medical record. Fiction of a safe digital identity in health care].
PMID- 24938048
TI - [Not too much and not too little. How much sleep is optimal?].
PMID- 24938049
TI - [Good sleep promotes retention. Learning overnight].
PMID- 24938050
TI - [Music and relaxation promotion brain development of the fetus. Better dreams in
the uterus].
PMID- 24938051
TI - [New EULAR recommendations on rheumatoid arthritis and cortisone. Patient treated
with high dose cortisone: what must be considered?].
PMID- 24938052
TI - [Opioid lozenges, sprays, injections. Control of breakthrough pain].
PMID- 24938053
TI - [Switching to vitamin K antagonists. More strokes during the first week?].
PMID- 24938055
TI - [Stubborn urticaria: is there an alternative to cortisone?].
PMID- 24938054
TI - [Naturopathy consultation. Soon all patients will be curable. Decisive
breakthrough in therapy of hepatitis C ].
PMID- 24938056
TI - [Survival time of chocolate in hospital departments].
PMID- 24938057
TI - [Virgin births still happen today].
PMID- 24938058
TI - [10 ground rules to observe in disposal of surgical instruments].
PMID- 24938059
TI - [Shaken, not stirred: did James Bond have an alcohol problem?].
PMID- 24938060
TI - [Baby boom after championship league victory?].
PMID- 24938061
TI - [Nasal polyps, sialolithiasis, oropharyngeal cancer. Otolaryngology: exciting
like a thriller].
PMID- 24938062
TI - [Nasal polyps--what the general practitioner should know].
PMID- 24938063
TI - [Sialolithiasis].
PMID- 24938064
TI - [Oropharyngeal squamous cell cancer--change in pathogenesis].
PMID- 24938065
TI - [Emergency checklist: rupture of the quadriceps tendon].
PMID- 24938066
TI - [After-care in general practice after tick-borne encephalitis].
PMID- 24938068
TI - [Proctological complaints: how helpful are anal hygiene, dieting and topical
therapy?].
PMID- 24938067
TI - [Iron deficiency anaemia].
PMID- 24938069
TI - [Sudden rise in liver transaminase activities].
PMID- 24938070
TI - [Intestine specific broad spectrum antibiotic. Rifaximin: therapy option in
hepatic encephalopathy].
PMID- 24938071
TI - [Industry and product information. Retaining an overview with e-college].
PMID- 24938072
TI - Anxiety has a survival value to an animal under threat.
PMID- 24938073
TI - Therapeutic applications of hypnosis.
PMID- 24938074
TI - Placebo, hypnosis, and antidepressants: who is wearing the emperor's new clothes?
PMID- 24938075
TI - Hypnotherapeutic treatment for anxiety-related relational discord: a short-term
hypnotherapeutic protocol.
AB - Hypnotherapeutic interventions are currently used to treat both anxiety disorders
and relational discord between couples, yet few hypnotherapeutic interventions
specifically address anxiety disorders within the context of a romantic
partnership. This article explores a short-term hypnotherapeutic treatment
protocol designed for couples' therapy in which the relational dynamic has been
impacted by one partner's anxiety disorder. Anxiety not only causes suffering for
the anxious patient, but can negatively impact intimate relationships as well.
The hypnotherapeutic treatment protocol advanced in this article is specifically
designed to address relational discord which is fueled by the presence of one
partner's anxiety, and complements more standard individual treatment for anxiety
disorders. Strategies to assess for the presence of relational discord with
individual patients presenting with an anxiety disorder are included, as well as
implications for longer-term couples' treatment.
PMID- 24938076
TI - Childhood anxiety and psychophysiological reactivity: hypnosis to build
discrimination and self-regulation skills.
AB - Clinically anxious, worried, and fearful children and teens need clinicians'
assistance in reducing their exaggerated psychophysiological stress reactivity.
Affective neuroscience finds that chronic activation of the body's emergency
response system inhibits neurogenesis, disrupts neuronal plasticity, and is
detrimental to physical and mental health. Patterns of faulty discrimination
skills, for example, over-estimation of threat and danger and under-estimation of
their coping capacity, fuel this over-arousal. Similarly, contributory patterns
of reduced self-regulation skills are shown by "stuck" attention to and poor
control of their exaggerated psychophysiological reactivity and somatization.
This article considers the literature and focuses on cognitive hypnotherapy to
enhance these under-developed capacities. A case illustration highlights various
hypnotic phenomena and techniques, psychoeducation, and relaxation training that
address the goals of interrupting these unproductive, interconnected patterns and
fostering new patterns of more realistic and accurate discrimination capacities
and sturdier psychophysiological self-regulation skills.
PMID- 24938077
TI - The wounded self: new approach to understanding and treating anxiety disorders.
AB - This article synthesizes the concept of "wounded self" in the understanding and
psychological treatment of anxiety disorders. The focal point of this concept is
the notion of self-wounds or early unresolved emotional injuries. According to
this conceptualization, anxiety represents an unconscious fear of unbearable
insult to the wounded self, which is protected by maladaptive conscious
strategies such as avoidance, cognitive distortions, or emotional constriction.
This perspective provides a theoretical basis for blending elements of
psychodynamic, behavioral, cognitive, and experiential therapies in the
psychological management of anxiety disorders. As cognitive hypnotherapy embodies
all of these therapeutic elements, its application to anxiety disorders is
described in this article. Various hypnotherapeutic techniques for symptomatic
relief and for eliciting and healing the wounded self are discussed and
exemplified by a case. This case illustrates that symptom-focused treatment is
necessary, but not sufficient. A more durable treatment requires resolution of
underlying conflicts that drive the anxiety.
PMID- 24938078
TI - Current issues in the treatment of specific phobia: recommendations for
innovative applications of hypnosis.
AB - Specific phobia is the most common and treatable of the anxiety disorders.
Exposure-based therapies are the treatment of choice and empirically validated
protocols are available that promise rapid and effective results. In many cases,
however, patients are reluctant to comply with demanding schedules of exposure,
increasing the risk of treatment failure. Furthermore, in clinical practice,
patients often present with multiple phobias and other Axis I and Axis II
disorders that can further complicate therapy. This article covers four important
issues that have been addressed in the literature: (a) managing resistance to
treatment, (b) reducing length of treatment, (c) clarifying the optimal
application of relaxation training, and (d) applying advances in cognitive
neuroscience. These issues are reviewed and recommendations proposed for ways in
which to modify current treatments. Specific suggestions are provided for
implementing these recommendations including examples of innovative applications
of standard hypnotic techniques.
PMID- 24938079
TI - Hypnosis aided fixed role therapy for social phobia: a case report.
AB - This case study details how hypnosis aided fixed role therapy (HAFRT) was
employed in the successful treatment of a case of social phobia with a history of
refractory outcomes to previous therapy trials. The treatment consisted of 10
office sessions, scheduled every two weeks, of HAFRT along with twice a day self
hypnotic sessions where the patient performed multiple visualization rehearsals
of the vignettes that were successfully mastered in hypnosis during office
visits. The results indicated that this patient was able to engage in social and
professional affairs that were impossible prior to treatment. The patient
retained the therapy gains at follow up 6 months later.
PMID- 24938080
TI - Higher FOXP3-TSDR demethylation rates in adjacent normal tissues in patients with
colon cancer were associated with worse survival.
AB - BACKGROUND: The influence of natural regulatory T cells (nTregs) on the patients
with colon cancer is unclear. Demethylated status of the Treg-specific
demethylated region (TSDR) of the FOXP3 gene was reported to be a potential
biomarker for the identification of nTregs. METHODS: The demethylation rate of
the TSDR (TSDR-DMR) was calculated by using methylation-specific quantitative
polymerase chain reaction (MS-qPCR) assay. The expression of TSDR-DMR and FOXP3
mRNA was investigated in various colorectal cancer cell lines. A total of 130
colon carcinoma samples were utilized to study the DMR at tumor sites (DMRT) and
adjacent normal tissue (DMRN). The correlations between DMRs and
clinicopathological variables of patients with colon cancer were studied.
RESULTS: The TSDR-DMRs varied dramatically among nTregs (97.920 +/- 0.466%) and
iTregs (3.917 +/- 0.750%). Significantly, DMRT (3.296 +/- 0.213%) was higher than
DMRN (1.605 +/- 0.146%) (n = 130, p = 0.000). Higher DMRN levels were found in
female patients (p = 0.001) and those with distant metastases (p = 0.017), and
were also associated with worse recurrence-free survival in non-stage IV patients
(low vs. high, p = 0.022). However, further Cox multivariate analysis revealed
that the FOXP3-TSDR status does not have prognostic value. CONCLUSION: MS-qPCR
assays of FOXP3-TSDR can efficiently distinguish nTregs from non-nTregs. Abnormal
recruitment of nTregs occurs in the local tumor microenvironment. Infiltration of
tissue-resident nTregs may have a negative role in anti-tumor effects in patients
with colon cancer; however, this role is limited and complicated.
PMID- 24938082
TI - Smart core-shell microgel support for acetyl coenzyme A synthetase: a step toward
efficient synthesis of polyketide-based drugs.
AB - The flexibility in tuning the structure and charge properties of PNIPAm microgels
during their synthesis makes them a suitable choice for various biological
applications. Two-step free radical polymerization, a common method employed for
synthesis of core-shell microgel has been well adopted to obtain cationic poly(N
isopropylacrylamide-aminoethyl methacrylate) (PNIPAm-AEMA) shell and PNIPAm core.
Scanning electron microscopy (SEM), dynamic light scattering (DLS), zeta
potential, and ninhydrin assay suggests nearly monodispersed particles of
cationic nature. Amino groups on the microgel provides suitable attachment point
for covalent immobilization of acetyl coenzyme A synthetase (Acs) via 1-ethyl-3
(3-N,N- dimethylaminopropyl) carbodiimide (EDC) chemistry. On immobilization,
61.55% of initial activity of Acs has been retained, while Michaelis-Menten
kinetics of the immobilized Acs indicates identical K(m) (Michaelis constant) but
decrease in the V(max) (maximum substrate conversion rate) compared to free
enzyme. Immobilized Acs shows an improvement in activity at wide temperature and
pH range and also demonstrates good thermal, storage, and operational stability.
The Acs-microgel bioconjugate has been successfully reused for four consecutive
operation cycles with more than 50% initial activity.
PMID- 24938081
TI - The efficacy of a behavioral activation intervention among depressed US Latinos
with limited English language proficiency: study protocol for a randomized
controlled trial.
AB - BACKGROUND: Major depressive disorder is highly prevalent among Latinos with
limited English language proficiency in the United States. Although major
depressive disorder is highly treatable, barriers to depression treatment have
historically prevented Latinos with limited English language proficiency from
accessing effective interventions. The project seeks to evaluate the efficacy of
behavioral activation treatment for depression, an empirically supported
treatment for depression, as an intervention that may address some of the
disparities surrounding the receipt of efficacious mental health care for this
population. METHODS/DESIGN: Following a pilot study of behavioral activation
treatment for depression with 10 participants which yielded very promising
results, the current study is a randomized control trial testing behavioral
activation treatment for depression versus a supportive counseling treatment for
depression. We are in the process of recruiting 60 Latinos with limited English
language proficiency meeting criteria for major depressive disorder according to
the Diagnostic and Statistical Manual of Mental Disorders 4th and 5th Edition for
participation in a single-center efficacy trial. Participants are randomized to
receive 10 sessions of behavioral activation treatment for depression (n = 30) or
10 sessions of supportive counseling (n = 30). Assessments occur prior to each
session and at 1 month after completing treatment. Intervention targets include
depressive symptomatology and the proposed mechanisms of behavioral activation
treatment for depression: activity level and environmental reward. We will also
examine other factors related to treatment outcome such as treatment adherence,
treatment satisfaction, and therapeutic alliance. DISCUSSION: This randomized
controlled trial will allow us to determine the efficacy of behavioral activation
treatment for depression in a fast-growing, yet highly underserved population in
US mental health services. The study is also among the first to examine the
effect of the proposed mechanisms of change of behavioral activation treatment
for depression (that is, activity level and environmental reward) on depression
over time. To our knowledge, this is the first randomized controlled trial to
compare an empirical-supported treatment to a control supportive counseling
condition in a sample of depressed, Spanish-speaking Latinos in the United
States. TRIAL REGISTRATION: Clinical Trials Register: NCT01958840; registered 8
October 2013.
PMID- 24938083
TI - Complete mitochondrial genome of Sipunculus nudus (Sipuncula, Sipunculidae).
AB - In this paper, the complete mitochondrial (mt) genome of Sipunculus nudus
collected from the coast of southeast China was determined. The complete mt
genome was 15,376 bp in length, including 13 protein-coding genes, 2 rRNA genes,
23 tRNA genes, and a putative control region (CR). The overall base composition
of the H-strand is 29.25% A, 28.78% T, 27.19% C, and 14.78% G, with an AT content
of 58.03%. The mt DNA of Chinese S. nudus shared 73.6% and 60.2% identities with
that of French S. nudus (GenBank accession number: FJ42,2961) and Chinese
Phascolosoma esculenta (GenBank accession number: EF58,3817), respectively.
PMID- 24938084
TI - Complete mitochondrial genome of Sakhalin taimen Parahucho perryi (Salmoniformes,
Salmonidae) without two frame-disrupting indels in the ND4 gene.
AB - The complete mitochondrial genome was sequenced in two individuals of Sakhalin
taimen Parahucho perryi. The genome sizes were 16,652 bp and 16,653 bp in the two
isolates. Gene arrangement, base composition, and size of the two sequenced
haplotypes are very similar to the P. perryi genome previously published
(KC897653), but do not contain two frame-disrupting indels in the ND4 gene.
PMID- 24938085
TI - Complete mitochondrial genome of the green odorous frog Odorrana margaretae
(Anura: Ranidae).
AB - The complete mitochondrial genome (mitogenome) of the green odorous frog Odorrana
margaretae (Anura: Ranidae) has been studied. The 17,903 bp circular genome
contains the typical complement of 13 protein-coding genes, 2 ribosomal RNAs, 22
transfer RNAs, and a control region. The AT content of the overall base
compositon of H-strand is 56% and the length of control region is 2501 bp with
63.8% AT content. The arrangement of the protein-coding and ribosomal RNA genes
was the same as that found in other anurans. The cluster of rearranged LTPF tRNA
genes and the translocation of tRNA(His) gene into the D-loop region are
observed.
PMID- 24938086
TI - Complete mitochondrial genome of the sixblotch hind Cephalopholis sexmaculata
(Pisces: Perciformes).
AB - The complete mitogenome of the sixblotch hind, Cephalopholis sexmaculata was
presented in this study. This mitochondrial genome consists of 16,589 bp, with 13
protein-coding genes, two ribosomal RNA genes, 22 transfer RNA genes, and a
noncoding control region (CR), and its gene arrangement is identical to most
vertebrates. The overall base composition of the heavy strand is A, 29.35%; G,
16.08%; C, 28.56%; and T, 26.01%. The COI gene started with GTG codon and the
ATP6 gene started with CTG codon. The complete mitogenomic data may provide
informative for further phylogenetic approach of species of Cephalopholis and
related genera belong to the Epinephelidae groupers.
PMID- 24938087
TI - The complete mitochondrial genome of the invasive house crow Corvus splendens
(Passeriformes: Corvidae).
AB - The complete mitochondrial genome of the invasive house crow (Corvus splendens)
was sequenced (GenBank accession number: KJ766304) using the MiSeq Personal
Sequencer (Illumina, San Diego, CA). The mitochondrial genome is 16,962 bp in
length, comprising 13 protein-coding genes, 22 transfer RNA genes, 2 ribosomal
subunit genes and a non-coding control region. The mitogenome structural
organization is identical to that of the other Corvus species and related genera.
The overall base composition of C. splendens is 30.65% for A, 29.71% for C,
14.84% for G and 24.80% for T, with an AT content of 55.45%. We propose to use
full mitochondrial genome to address taxonomic issues and to study the population
genetics of crows.
PMID- 24938088
TI - The complete mitochondrial genome of an agama, Phrynocephalus putjatia (Reptilia,
Squamata, Agamidae).
AB - The complete mitochondrial genome was sequenced from the toad-headed viviparous
lizard, Phrynocephalus putjatia. The mitogenome was 16,283 bp in length; it
contained 13 protein coding, 22 tRNA, 2 rRNA genes and 2 control regions. The
gene order and compositions were identical with all published congeneric
mitogenomes for the fragment between 12 s RNA and tRNA-Thr, but with some
differences for the remaining sequences including CR, tRNA-Pro and tRNA-Phe. The
characteristics of the mitogenome was analyzed and discussed in detail.
PMID- 24938089
TI - The complete mitochondrial genome of the sandbar shark Carcharhinus plumbeus.
AB - The sandbar shark, Carcharhinus plumbeus, a major representative species in shark
fisheries worldwide is now considered vulnerable to overfishing. A pool of
774,234 Roche 454 shotgun sequences from one individual were assembled into a
16,706 bp mitogenome with 33* average coverage depth. It comprised 13 protein
coding genes, 22 transfer RNA's, 2 ribosomal genes and 2 non-coding regions,
typical of a vertebrate mitogenome. As expected for sharks, an A-T nucleotide
bias was evident. This adds to rapidly growing number of mitogenome assemblies
for the economically important Carcharhinidae family. The C. plumbeus mitogenome
will assist researchers, fisheries and conservation managers interested in shark
molecular systematics, phylogeography, conservation genetics, population and
stock structure.
PMID- 24938090
TI - DNA barcoding and phylogenetic relationships in Anatidae.
AB - Mitochondrial cytochrome c oxidase subunit I (COI) has been used as a powerful
marker in a variety of phylogenetic studies. According to studies of bird
species, the 694-bp sequence of the mitochondrial gene encoding COI is extremely
useful for species identification and phylogeny. In the present study, we
analyzed the COI barcodes of 79 species from 26 genera belonging to the Anatidae
family. Sixty-six species (83.54%) of the species were identified correctly from
their DNA barcodes. The remaining 13 species shared barcodes sequences with
closely related species. Kimura two-parameter (K2P) distances were calculated
between barcodes. The average genetic distance between species was 41 times
higher compared to the average genetic distance within species. Neighbor-joining
method was used to construct a phylogenetic tree, which grouped all of the genera
into three divergent clades. Dendrocygna and Nomonyx + Oxyura were identified as
early offshoots of the Anatidae. All the remaining taxa fell into two clades that
correspond to the two subfamilies Anserinae and Anatiane. Based on our results,
DNA barcoding is an effective molecular tool for Anatidae species identification
and phylogenetic inference.
PMID- 24938091
TI - Complete mitochondrial genome of disc coral Turbinaria peltata (Scleractinia,
Dendrophylliidae).
AB - To date, no complete nucleotide sequences of the mitochondrial genome of
Dendrophylliidae are yet available. In our study, the entire mitochondrial
nucleotide sequence was determined for the Dendrophylliidae species Turbinaria
peltata. The sequence was 18,966 bp in length and contained thirteen protein
coding genes, two ribosomal RNA genes (12S rRNA and 16S rRNA), two transfer RNA
genes (tRNA(Met) and tRNA(Trp)) and a putative control region locating between
Cyt b and ND2. The overall base composition of the mitogenome was 25.6% A, 37.4%
T, 23.5% G, and 13.5% C, with a high AT content of 63%, indicating an obvious
anti-guanine bias. It shared 93.7%, 87% and 84.8 % mitogenome sequence with
Goniopora columna, Acropora robusta and Euphyllia ancora, respectively.
PMID- 24938092
TI - Complete mitochondrial genome of Platevindex sp. (Gastropoda: Pulmonata:
Systellommatophora: Onchidiidae).
AB - The complete mitochondrial genome sequence of Platevindex sp. is firstly
described in the article. The mitogenome (13,908 bp) contains 22 tRNA genes, 2
ribosomal RNA genes and 13 protein-coding genes, and 1 putative control region
(CR). CR is not well characterized due to lack of discrete conserved sequence
blocks. This characteristic is similar with CRs of other invertebrate
mitochondrial genomes. The characteristic is the typical bivalvia mitochondrial
gene composition.
PMID- 24938093
TI - Extreme sequence divergence between mitochondrial genomes of two subspecies of
White-breasted Wood-wren (Henicorhina leucosticta, Cabanis, 1847) from western
and central Panama.
AB - Prior studies of mitochondrial variation in White-breasted Wood-Wrens
(Henicorhina leucosticta) have suggested that populations in South American and
Mesoamerica might represent multiple species. Here we report the complete
mitochondrial genomes from two individuals of H. leucosticta, representing the
Panamanian subspecies pittieri and alexandri. The two sequences were 16,721 and
16,726 base pairs in size with both genomes comprised of the usual 22 tRNA genes,
2 rRNA genes, 13 protein-coding genes, and one displacement loop region in the
standard avian order. Uncorrected pairwise divergence between mitogenome features
was high, with the highest divergence occurring in protein-coding genes (average
= 8.2%), followed by control region (6.7%). RNA features had lower pairwise
divergences (average tRNA = 4.3%, average rRNA = 2.3%). The protein-coding ATPase
6 gene had a different stop codon between these two specimens. The high level of
sequence variation between these subspecies suggests that Mesoamerican H.
leucosticta might be comprised of multiple species. We urge a full
phylogeographic survey of this widespread Neotropical forest bird.
PMID- 24938094
TI - Determination and analysis of the complete mitochondrial genome sequence of
Yanling white goose.
AB - In this study, the complete mitochondrial genome sequence of the Yanling white
goose was reported in Human Province first, which was determined through PCR
based method. The total length of the mitogenome is 16,742 bp. It contains the
typical structure, including 2 ribosomal RNA genes, 22 transfer RNA genes, 13
protein-coding genes and 1 non-coding control region (D-loop region) as that of
most other vertebrates. The overall composition of the mitogenome was estimated
to be 30.22% for A, 22.70% for T, 32.02% for C and 15.07% for G. All the protein
initiation codons are ATG, except for COX1, COX2 and ND5 are GTG, ND6 is CTA. The
complete mitochondrial genome sequence of the Yanling white goose in Human
Province provides an important data set for the study in genetic mechanism of
poultry.
PMID- 24938095
TI - Molecular characterization of sympatrically distributed Neotricula aperta-like
snails in the Mekong River, Kratie, Cambodia.
AB - Fifty-six samples of Neotricula aperta-like snails were collected from six
locations in Cambodia. Their mitochondrial cytochrome c oxidase subunit 1 (cox1)
sequences were examined using haplotype network and neighbor-joining (NJ) tree
analysis. Twenty-seven haplotypes (H1-H27) were observed and were divided into
two different groups/lineages. Of 27, 17 haplotypes (H11-H27) were clustered with
the reference samples of the gamma-race N. aperta. The remaining 10 haplotypes
(H1-H10) were clustered in a separate group/lineage, differing from the reference
samples of the alpha-, beta-, and gamma-race N. aperta, suggesting a new lineage
belonging the genus Neotricula. Our results show that both the gamma-race and a
new lineage were sympatrically present approximately 60 km upstream of the Mekong
River near the Kratie port, Cambodia. Further morphological and molecular studies
are required to confirm the taxonomic status of this new, unidentified lineage.
PMID- 24938096
TI - The complete mitochondrial genome of the American black flour beetle Tribolium
audax (Coleoptera: Tenebrionidae).
AB - Flour beetles of the genus Tribolium are all pests of stored products and cause
severe economic losses every year. The American black flour beetle Tribolium
audax is one of the important pest species of flour beetle, and it is also an
important quarantine insect. Here we sequenced and characterized the complete
mitochondrial genome of T. audax, which was intercepted by Huangpu Custom in
maize from America. The complete circular mitochondrial genome (mitogenome) of T.
audax was 15,924 bp in length, containing 37 typical coding genes and one non
coding AT-rich region. The mitogenome of T. audax exhibits a gene arrangement and
content identical to the most common type in insects. All protein coding genes
(PCGs) are start with a typical ATN initiation codon, except for the cox1, which
use AAC as its start codon instead of ATN. Eleven genes use standard complete
termination codon (nine TAA, two TAG), whereas the nad4 and nad5 genes end with
single T. Except for trnS1 (AGN), all tRNA genes display typical secondary
cloverleaf structures as those of other insects. The sizes of the large and small
ribosomal RNA genes are 1288 and 780 bp, respectively. The AT content of the AT
rich region is 81.36%. The 5 bp conserved motif TACTA was found in the intergenic
region between trnS2 (UCN) and nad1.
PMID- 24938097
TI - The complete mitochondrial genome of Aythya ferina (Anatidae: Aythya).
AB - The mitochondrial genome of Aythya ferina (Anatidae: Aythya) is a circular
molecule of 16,616 bp in length, containing 13 protein-coding genes, 2 ribosomal
RNA genes, 22 transfer RNA genes and one control region (D-loop). Overall base
composition of the complete mitochondrial DNA was 29.42% A, 22.19% T, 32.83% C
and 15.56% G. All the genes in A. ferina were distributed on the H-strand, except
for the ND6 subunit gene and eight tRNA genes which were encoded on the L-strand.
PMID- 24938098
TI - The complete mitochondrial genome of the Xupu goose.
AB - Xupu goose is one of the famous native breed in China. In this work we reported
the complete mitochondrial genome sequence of the Xupu goose in Hunan Province
for the first time. The total length of the mitogenome is 16,742 bp, with the
base composition of 30.21% for A, 22.70% for T, 32.02% for C, 15.08% for G, in
the order C > A > T > G feature occurring in the Xupu goose. And it is made up of
two ribosomal RNA genes, 13 protein-coding genes, 22 transfer RNA genes and a non
coding control region (D-loop region). The characteristics of the mitochondrial
genome were analyzed and discussed in detail. The complete mitochondrial genome
sequence of Xupu goose will be useful for the phylogenetics of poultry, and be
available as basic data for the genetics and breeding.
PMID- 24938099
TI - The complete mitochondrial genome of Acanthastrea maxima (Cnidaria, Scleractinia,
Lobophylliidae).
AB - The complete nucleotide sequence of the mitochondrial genome of the scleractinian
coral Acanthastrea maxima has been obtained, representing the first sequenced
mitogenome of a member of the Lobophylliidae. The mitochondrial genome is 18,278
bp in length, the longest sequence among the robust corals sequenced mitogenome
to date. The overall GC composition (33.7%) and the gene arrangement are similar
to those of the other scleractinian corals, including 13 protein-coding genes, 2
rRNA genes (rnl and rns) and 2 tRNA genes (tRNA-Met and tRNA-Trp). All genes
except tRNA-Trp, atp8, cox1, tRNA-Met and rnl are engulfed by a large group I
intron in the nad5 gene. A second group I intron of 1077 bp in length is inserted
in the cox1 gene and it encodes a putative homing endonuclease. There are four
regions of gene overlaps totalling 22 bp and nine intergenic spacer regions for a
total of 2220 bp, of which the cox3-cox2 region may correspond to the putative
control region.
PMID- 24938101
TI - The complete mitochondrial genome of the larvae Osbeck's grenadier anchovy Coilia
mystus (Clupeiformes, Engraulidae) from Yangtze estuary.
AB - The complete mitochondrial genome of the larvae Osbeck's grenadier anchovy Coilia
mystus collected from Yangtze estuary was determined by next-generation
sequencing. The mitogenome is a circular molecule 17,125 bp in length, including
the typical structure of 13 protein-coding genes, 2 ribosomal RNA genes, 22
transfer RNA genes, and a control region. The TAS, central CSB and CSB were
detected in the control region. The gene contents of the mitogenome are identical
to those observed in most bony fishes.
PMID- 24938100
TI - The complete mitochondrial genome of Paegniodes cupulatus (Ephemeroptera:
Heptageniidae).
AB - The complete mitochondrial genome of Paegniodes cupulatus (Ephemeroptera:
Heptageniidae), which is sequenced for the first time here, is 15,715 bp in
length, containing 13 protein-coding genes, 2 rRNAs, 22 tRNAs and a control
region. The overall base composition of the genome is 33.1% for T, 20.7% for C,
32.5% for A and 13.7% for G, with an AT bias of 65.6%.
PMID- 24938102
TI - Gene organization and complete sequence of the mitochondrial genome of Linwu
mallard.
AB - Linwu mallard is an excellent native breeds from Hunan province in China. This is
the first study to determine the complete mitochondrial genome sequence of L.
mallard using PCR-based amplification and Sanger sequencing. The characteristic
of the entire mitochondrial genome was analyzed in detail, with the base
composition of 29.19% A, 22.19% T, 32.83% C, 15.79% G in the L. mallard (16,605
bp in length). It contained 2 ribosomal RNA genes, 13 protein-coding genes, 22
transfer RNA genes and a major non-coding control region (D-loop region). The
complete mitochondrial genome sequence of L. mallard will be useful for the
phylogenetics of poultry, and be available as basic data for the genetics and
breeding.
PMID- 24938103
TI - The complete mitochondrial genome of the wasp spider Argiope bruennichi (Araneae:
Araneidae).
AB - The complete mitochondrial genome of Argiope bruennichi is a circular molecule of
14,063 bp in length, containing 13 protein-coding genes, 2 ribosomal RNAs, 21
transfer RNAs, and a control region. The A + T content of the overall base
composition of H-strand is 73.4% (T: 38.0%; C: 9.8%; A: 35.4%; G: 16.8%). ND6
begins with ATG, ND4 and ATP6 begin with ATA, ND5 and COI begin with TTA, ND1,
COII and COIII begin with TTG, and other five protein-coding genes begin with TTG
as start codon. ND5, ND4L, ND6, COI, COII, COIII, ATP6 and ATP8 genes are
terminated with TAA as stop codon, Cyt b, ND1 and ND2 end with TAG, and other two
protein-coding genes end with T.
PMID- 24938104
TI - The complete mitochondrial genome of Nephila clavata (Araneae: Nephilidae)
Chinese population.
AB - The complete mitochondrial genome of Nephila clavata is a circular molecule of
14,433 bp in length, containing 13 protein-coding genes, 2 ribosomal RNAs, 22
transfer RNAs, and a control region. The A + T content of the overall base
composition of H-strand is 76.1% (T: 40.0%; C: 9.2%; A: 36.1%; G: 14.7%). COI
gene begins with TTA as start codon, COII gene begins with TTT as start codon,
COIII gene begins with TTG as start codon, ND3, ND4L, ND6 and ATP8 genes begin
with ATT as start codon, while other six protein-coding genes start with ATA as
initiation codon. ND3, ND4, ND4L, ND5, COI, COII, ATP6 and ATP8 genes are
terminated with TAA as stop codon, ND1, ND2, ND6 and Cyt b end with TAG, and
COIII ends with TGA.
PMID- 24938105
TI - Complete mitochondrial genome of the holotype specimen of Wildemania schizophylla
(Bangiales: Rhodophyta).
AB - Ion Proton data was used to assemble the complete mitochondrial genome from the
holotype specimen of Wildemania schizophylla (29,156 bp). The mitogenome contains
50 genes, including 2 ribosomal RNA, 23 transfer RNA, 4 ribosomal proteins, 2
ymfs, 3 open reading frames (ORFs), and 19 genes involved in cellular
respiration. Although gene synteny is conserved, the mitogenome of W.
schizophylla is significantly smaller due to the lack of large intronic ORFs
present in the cytochrome oxidase locus of other Bangiales. The results support
the recognition of Wildemania as distinct from Porphyra, and demonstrate that
small amounts of type material are suitable for genomic studies.
PMID- 24938106
TI - The complete mitochondrial genome of the Linwu duck.
AB - In this study, the complete mitochondrial genome sequence of the Linwu duck was
first reported in Human Province, which was determined through PCR-based method.
Linwu duck is one of the famous native breed in China. The total length of the
mitogenome is 16,604 bp, with the base composition of 29.20% for A, 22.21% for T,
32.82% for C, 15.78% for G, in the order C > A > T > G feature occurring in the
Linwu duck. It is made up of 2 ribosomal RNA genes, 13 protein-coding genes, 22
transfer RNA genes and one non-coding control region (D-loop region). The
complete mitochondrial genome sequence of the Linwu duck in Human Province
provides an important data for further study about genetic mechanism and
breeding.
PMID- 24938107
TI - The complete mitochondrial genome sequence of Jinshaia abbreviata (Cypriniformes,
Balitoridae).
AB - Jinshaia abbreviata, belonging to the family Balitoridae in Cypriniformes, is
endemic to the Upper Yangtze River with most population distributed in the Jinsha
River. In this study, the complete mitochondrial genome of J. abbreviata was
sequenced with its structure analyzed. The mitochondrial genome of J. abbreviata
is similar to those of the typical vertebrates, 16,567 bp in length, including 13
protein-coding genes, two ribosomal RNA genes, 22 transfer RNA genes, and one non
coding control region (D-loop). The D-loop of J. abbreviata was characterized by
one termination-associated sequence with two pair of motifs and six conserved
sequence blocks (CSB-F, CSB-E, CSB-D, and CSB I-III). The mitogenome sequence of
J. abbreviata could contribute to estimate the phylogenetic relationship of the
Balitoridae. And further investigations with more Jinshaia species and
individuals included need to be performed to better understand the speciation
process and evolutionary history of the genus Jinshaia.
PMID- 24938109
TI - The complete mitochondrial genome of the Youxian duck.
AB - Youxian duck is one of the famous native breed in China. In this work we reported
the complete mitochondrial genome sequence of the Youxian duck in Human Province
for the first time. The total length of the mitogenome is 16,606 bp, with the
base composition of 29.21% for A, 22.18% for T, 32.83% for C, 15.78% for G, in
the order C > A > T > G feature occurring in the Youxian duck. It is made up of
two ribosomal RNA genes, 13 protein-coding genes, 22 transfer RNA genes and one
non-coding control region (D-loop region). The complete mitochondrial genome
sequence of Youxian duck will be useful for the phylogenetics of poultry, and be
available as basic data for the genetics and breeding.
PMID- 24938108
TI - Analysis of mtDNA, miR-155 and BACH1 expression in hearts from donors with and
without Down syndrome.
AB - Cancer patients with Down syndrome (DS) are at increased risk for anthracycline
related cardiotoxicity. Mitochondrial DNA (mtDNA) alterations in hearts with-DS
may contribute to anthracycline-related cardiotoxicity. Cardiac mtDNA and the
mtDNA(4977) deletion were quantitated in samples with- (n = 11) and without-DS (n
= 31). Samples with-DS showed 30% lower mtDNA (DS(MT-ND1/18Sratio): 1.48 +/- 0.72
versus non-DS(MT-ND1/18Sratio): 2.10 +/- 1.59; p = 0.647) and 30% higher
frequency of the mtDNA(4977) deletion (DS(% frequency mtDNA(4977)) deletion:
0.0086 +/- 0.0166 versus non-DS(% frequency mtDNA(4977)) deletion: 0.0066 +/-
0.0124, p = 0.514) than samples without-DS. The BACH1 and microRNA-155 (miR-155)
genes are located in chromosome 21, and their products have demonstrated roles
during oxidative stress. BACH1 and miR-155 expression did not differ in hearts
with- and without-DS. An association between BACH1 and miR-155 expression was
detected in hearts without-DS, suggesting alterations between BACH1-miR-155
interactions in the DS settings.
PMID- 24938110
TI - Long-PCR based next generation sequencing of the whole mitochondrial genome of
the peacock skate Pavoraja nitida (Elasmobranchii: Arhynchobatidae).
AB - We determined the complete mitochondrial genome sequence (16,760 bp) of the
peacock skate Pavoraja nitida using a long-PCR based next generation sequencing
method. It has 13 protein-coding genes, 22 tRNA genes, 2 rRNA genes, and 1
control region in the typical vertebrate arrangement. Primers, protocols, and
procedures used to obtain this mitogenome are provided. We anticipate that this
approach will facilitate rapid collection of mitogenome sequences for studies on
phylogenetic relationships, population genetics, and conservation of
cartilaginous fishes.
PMID- 24938111
TI - Complete mitochondrial genome of Gallus domesticus (Galliformes: Phasianidae).
AB - In this paper, the complete mitochondrial genome (mitogenome) sequence of Gallus
domesticus was determined by long PCR and primer walking methods. The complete
mitochondrial genome is 16,783 bp in length and contains 13 protein-coding genes,
2 ribosomal RNA genes, 22 transfer RNA genes as well as a displacement loop (D
loop). The overall base composition of the genome is A(30.25%), T(23.79%),
C(32.44%), G(13.52%), respectively. The mitogenome of G. domesticus displayed
novel gene order arrangement compared with published Gallus gallus var.
domesticus to date. The mitogenome would contribute to resolving phylogenetic
position and interrelationships of Gallus.
PMID- 24938112
TI - The complete chloroplast genome sequence of the Bambusa multiplex (Poaceae:
Bambusoideae).
AB - The complete nucleotide sequence of the Bambusa multiplex chloroplast genome
(cpDNA) was determined in this study. The cpDNA was 139,394 bp in length,
containing a pair of 21,798 bp inverted repeat regions (IR), which were separated
by small and large single copy regions (SSC and LSC) of 12,875 and 82,923 bp,
respectively. The B. multiplex cp genome encodes 129 predicted functional genes;
110 are unique (77 protein-coding genes, 29 tRNA genes, 4 rRNA), 19 are
duplicated in the IR regions and one gene extended into the IR region in the
junctions between IR and SSC. 43.20% of the genome sequence encodes proteins. The
B. multiplex cp genome is AT-rich (61.08%). In these genes, fourteen genes
contained one intron, while one gene had two introns.
PMID- 24938113
TI - The complete chloroplast genome sequence of the Phyllostachys sulphurea (Poaceae:
Bambusoideae).
AB - The complete nucleotide sequence of the Phyllostachys sulphurea chloroplast
genome (cpDNA) was determined in this study. The cpDNA was 139,731 bp in length,
containing a pair of 21,798 bp inverted repeat regions (IR), which were separated
by small and large single copy regions (SSC and LSC) of 12,879 and 83,256 bp,
respectively. The P. sulphurea cp genome encodes 129 predicted functional genes;
110 are unique (77 protein-coding genes, 29 tRNA genes, 4 rRNA), 19 are
duplicated in the IR regions and one gene extended into the IR region in the
junctions between IR and SSC. 43.06% of the genome sequence encodes proteins. The
P. sulphurea cp genome is AT-rich (61.11%). In these genes, fourteen genes
contained one intron, while one gene had two introns.
PMID- 24938114
TI - The complete mitochondrial genome of Russian sturgeon (Acipenser gueldenstaedti).
AB - Russian sturgeon (Acipenser gueldenstaedti) belongs to the Acipenseriformes
Acipenseridae. In this study, we sequenced and characterized the complete
mitochondrial genome of A. gueldenstaedti from National Sturgeon Hatchery,
Chinese Academy of Fishery Sciences. The circular mitochondrial genome was
determined to be 16,595 bp in length including 13 protein-coding genes, 22
transfer RNAs (tRNAs), 2 ribosomal RNAs (rRNAs) and 1 control region. The
complete mitochondrial genome of A. gueldenstaedti provides basic genome data for
relative studies on Acipenseriformes.
PMID- 24938115
TI - The complete mitogenome of the Australian spiny crayfish Euastacus yarraensis
(McCoy, 1888) (Crustacea: Decapoda: Parastacidae).
AB - The mitochondrial genome sequence of the Australian crayfish, Euastacus
yarraensis, is documented and compared with other Australian crayfish genera.
Euastacus yarraensis has a mitogenome of 15,548 base pairs consisting of 13
protein-coding genes, 2 ribosomal subunit genes, 22 transfer RNAs, and a non
coding AT-rich region. The base composition of E. yarraensis mitogenome is 32.39%
for T, 22.45% for C, 34.43% for A, and 10.73% for G, with an AT bias of 66.82%.
The mitogenome gene order conforms to what is considered the primitive
arrangement for parastacid crayfish.
PMID- 24938116
TI - Complete mitochondrial genome of Sinilabeo decorus tungting (Cypriniformes,
Cyprinidae).
AB - The complete mitochondrial genome of Sinilabeo decorus tungting was determined in
this study. The gene composition, arrangement and transcriptional orientation in
S. decorus tungting mitogenome were identical to most vertebrates. Two start
codon patterns (ATG and GTG) and three stop codon patterns (TAG, TAA and T) were
found in protein-coding genes. Only the tRNA-Ser2 could not fold into a typical
clover-leaf secondary structure for lacking the dihydrouridine arm. Sequences
alignment results suggest that the complete mitogenome of S. decorus tungting is
an efficient tool to check species identification by comparing different gene
sequences.
PMID- 24938117
TI - Complete mitochondrial genome of the pelagic stingray Pteroplatytrygon violacea
(Myliobatiformes: Dasyatidae).
AB - The pelagic stingray Pteroplatytrygon violacea is the only pelagic species of the
Dasyatidae (Chondrichthyes: Elasmobranchii), which is widely distributed in all
tropical, subtropical and temperate oceans. In this study, the complete
mitochondrial genome of Pteroplatytrygon violacea was determined. It is 17,665 bp
in length and contains 13 protein-coding genes, 2 ribosomal RNA genes, 22
transfer RNA genes and 1 control region, with the typical gene order and
direction of transcription of vertebrates. The overall nucleotide compositions of
the whole mitogenome are 30.8% A, 26.9% C, 28.6% T and 13.7% G. The ND2 and CO1
sequences are highly similar to the corresponding sequences of this species
available in NCBI collected from the Atlantic sea.
PMID- 24938118
TI - MRI segmentation analysis in temporal lobe and idiopathic generalized epilepsy.
AB - BACKGROUND: Temporal lobe epilepsy (TLE) and idiopathic generalized epilepsy
(IGE) patients have each been associated with extensive brain atrophy findings,
yet to date there are no reports of head to head comparison of both patient
groups. Our aim was to assess and compare between tissue-specific and structural
brain atrophy findings in TLE to IGE patients and to healthy controls (HC).
METHODS: TLE patients were classified in TLE lesional (L-TLE) or non-lesional (NL
TLE) based on presence or absence of MRI temporal structural abnormalities. High
resolution 3 T MRI with automated segmentation by SIENAX and FIRST tools were
performed in a group of patients with temporal lobe epilepsy (11 L-TLE and 15 NL
TLE) and in15 IGE as well as in 26 HC. Normal brain volume (NBV), normal grey
matter volume (NGMV), normal white matter volume (NWMV), and volumes of
subcortical deep grey matter structures were quantified. Using regression
analyses, differences between the groups in both volume and left/right asymmetry
were evaluated. Additionally, laterality of results was also evaluated to
separately quantify ipsilateral and contralateral effects in the TLE group.
RESULTS: All epilepsy groups had significantly lower NBV and NWMV compared to HC
(p < 0.001). L-TLE had lower hippocampal volume than HC and IGE (p = 0.001), and
all epilepsy groups had significantly lower amygdala volume than HC (p < =
0.004). In L-TLE, there was evidence of atrophy in both ipsilateral and
contralateral structures. CONCLUSIONS: Our study revealed that TLE and IGE
patients demonstrated similar overall tissue-specific brain atrophy, although
specific structures differences were appreciated. L-TLE also appeared to behave
differently than NL-TLE, with atrophy not limited to the ipsilateral side.
PMID- 24938119
TI - What ICU nurses in different Austrian hospitals know and think about the Austrian
organ donation law.
AB - We previously reported a high level of information on the Austrian organ donation
law in medical and non-medical students, patients and ICU nurses, whereby ICU
nurses at University Hospital in Graz (n = 185) were very well informed and also
had the most critical view of the Austrian organ donation law.This letter reports
the extension of our previous study to ICU nurses from hospitals with a Christian
background (n = 60). We found that ICU nurses in hospitals run by religious
congregations considered the Austrian organ donation law to be good more often
than did those at the University Hospital in Graz. A positive attitude was also
influenced by gender and prior knowledge of the law.Reasons for this could be the
Christian orientation of the hospitals or exposure to organ donation and
transplantation procedures on the job.
PMID- 24938121
TI - Prospecting for hyperaccumulators of trace elements: a review.
AB - Specific plant species that can take up and accumulate abnormally high
concentrations of elements in their aboveground tissues are referred to as
"hyperaccumulators". The use of this term is justified in the case of enormous
element-binding capacity of plants growing in their natural habitats and showing
no toxicity symptoms. An increasing interest in the study of hyperaccumulators
results from their potential applications in environmental biotechnology
(phytoremediation, phytomining) and their emerging role in nanotechnology. The
highest number of plant species with confirmed hyperaccumulative properties has
been reported for hyperaccumulators of nickel, cadmium, zinc, manganese, arsenic
and selenium. More limited data exist for plants accumulating other elements,
including common pollutants (chromium, lead and boron) or elements of commercial
value, such as copper, gold and rare earth elements. Different approaches have
been used for the study of hyperaccumulators - geobotanical, chemical,
biochemical and genetic. The chemical approach is the most important in screening
for new hyperaccumulators. This article presents and critically reviews current
trends in new hyperaccumulator research, emphasizing analytical methodology that
is applied in identification of new hyperaccumulators of trace elements and its
future perspectives.
PMID- 24938120
TI - Physicians' perceptions of shared decision-making behaviours: a qualitative study
demonstrating the continued chasm between aspirations and clinical practice.
AB - BACKGROUND: Shared Decision Making (SDM) is a process of engaging patients in
health decisions that involve multiple medically appropriate treatment options.
Despite growing public and policy support for patient engagement in health
decisions, SDM is not widely practiced in clinical settings. OBJECTIVE: The
purpose of our study was to explore clinicians' attitudes, beliefs and perceived
social norms about engaging in SDM behaviours. DESIGN: Semi-structured
qualitative interviews were conducted with physicians in five practice areas.
SETTING AND PARTICIPANTS: This study was conducted at an academic medical centre
in St. Louis, MO. The final sample included 20 physicians: five surgeons, five
OB/GYNs, four medical oncologists, five internists and one emergency medicine
physician. RESULTS: Clinicians described a number of beliefs and cultural- and
system-level obstacles to the widespread implementation of SDM, such as how to
engage in discussions of cost, uncertainty and clinical equipoise and how to
engage patients across various socioeconomic backgrounds. CONCLUSION: Although a
large number of participants expressed general support for incorporating SDM into
practice, most held fundamentally inconsistent beliefs about practicing specific
SDM behaviours. More extensive training of physicians at all levels (pre- and
post-licensure) can help increase clinicians' confidence in SDM skills.
Developing methods of integrating SDM into the institutional framework of
hospitals and training programmes could also increase clinicians' motivation to
practice SDM and work to change the culture of medicine such that SDM behaviours
are supported.
PMID- 24938122
TI - Minimal residual disease testing in hematologic malignancies and solid cancer.
AB - Minimal residual disease (MRD) assays are of a great value to assess treatment
efficacy and may provide prognostic information. This is particularly relevant in
the era of targeted therapy where the introduction of MRD monitoring has
fundamentally transformed the way in which cancer patients are managed. While MRD
guidelines are well-established for chronic myeloid leukemia, acute promyelocytic
leukemia and acute lymphoblastic leukemia, areas for continuing development are
available. High level of standardization and regular external quality control
rounds and recommendations for data interpretation remain essential to improve
MRD monitoring. In this review, we describe the different applications of MRD
assays in most frequent hematologic malignancies and solid cancer and provide an
overview of the strengths and potential weaknesses of each method.
PMID- 24938123
TI - Structure- and context-based analysis of the GxGYxYP family reveals a new
putative class of glycoside hydrolase.
AB - BACKGROUND: Gut microbiome metagenomics has revealed many protein families and
domains found largely or exclusively in that environment. Proteins containing the
GxGYxYP domain are over-represented in the gut microbiota, and are found in
Polysaccharide Utilization Loci in the gut symbiont Bacteroides thetaiotaomicron,
suggesting their involvement in polysaccharide metabolism, but little else is
known of the function of this domain. RESULTS: Genomic context and domain
architecture analyses support a role for the GxGYxYP domain in carbohydrate
metabolism. Sparse occurrences in eukaryotes are the result of lateral gene
transfer. The structure of the GxGYxYP domain-containing protein encoded by the
BT2193 locus reveals two structural domains, the first composed of three
divergent repeats with no recognisable homology to previously solved structures,
the second a more familiar seven-stranded beta/alpha barrel. Structure-based
analyses including conservation mapping localise a presumed functional site to a
cleft between the two domains of BT2193. Matching to a catalytic site template
from a GH9 cellulase and other analyses point to a putative catalytic triad
composed of Glu272, Asp331 and Asp333. CONCLUSIONS: We suggest that GxGYxYP
containing proteins constitute a novel glycoside hydrolase family of as yet
unknown specificity.
PMID- 24938124
TI - Validation of the multi-dimensional scale of perceived social support (MSPSS) and
the relationship between social support, intimate partner violence and antenatal
depression in Malawi.
AB - BACKGROUND: Lack of social support is an important risk factor for antenatal
depression and anxiety in low- and middle-income countries. We translated,
adapted and validated the Multi-dimensional Scale of Perceived Social Support
(MSPSS) in order to study the relationship between perceived social support,
intimate partner violence and antenatal depression in Malawi. METHODS: The MSPSS
was translated and adapted into Chichewa and Chiyao. Five hundred and eighty
three women attending an antenatal clinic were administered the MSPSS, depression
screening measures, and a risk factor questionnaire including questions about
intimate partner violence. A sub-sample of participants (n = 196) were
interviewed using the Structured Clinical Interview for DSM-IV to diagnose major
depressive episode. Validity of the MSPSS was evaluated by assessment of internal
consistency, factor structure, and correlation with Self Reporting Questionnaire
(SRQ) score and major depressive episode. We investigated associations between
perception of support from different sources (significant other, family, and
friends) and major depressive episode, and whether intimate partner violence was
a moderator of these associations. RESULTS: In both Chichewa and Chiyao, the
MSPSS had high internal consistency for the full scale and significant other,
family, and friends subscales. MSPSS full scale and subscale scores were
inversely associated with SRQ score and major depression diagnosis. Using
principal components analysis, the MSPSS had the expected 3-factor structure in
analysis of the whole sample. On confirmatory factor analysis, goodness-of-fit
indices were better for a 3-factor model than for a 2-factor model, and met
standard criteria when correlation between items was allowed. Lack of support
from a significant other was the only MSPSS subscale that showed a significant
association with depression on multivariate analysis, and this association was
moderated by experience of intimate partner violence. CONCLUSIONS: The MSPSS is a
valid measure of perceived social support in Malawi. Lack of support by a
significant other is associated with depression in pregnant women who have
experienced intimate partner violence in this setting.
PMID- 24938126
TI - Is a flavonoid-rich diet with steamer cooking safe during calcineurin inhibitors
therapy?
AB - WHAT IS KNOWN AND OBJECTIVE: Dietary therapy is recommended for decreasing the
symptoms of the metabolic syndrome and the risk of type 2 diabetes and
cardiovascular diseases in subjects on calcineurin inhibitors. However, food-drug
interactions may occur particularly with patients on such immunosuppressive
therapy. This article comments on the benefit/risk assessment of a flavonoid-rich
diet and steam-cooking of such food during calcineurin inhibitors therapy.
COMMENT: Patients are commonly advised against consuming citrus fruits and
juices, grape juice and green tea. High vegetable intake may however increase the
risk of food-diet interactions by inhibiting drug metabolic enzymes and
transporters. Vegetable glucosinolates are potential interactants and may lead to
adverse effects of drugs with narrow therapeutic indices and in the presence of
genetic polymorphism. Examples of food components with potential drug
interactants include all members of the Brassicaceae family. WHAT IS NEW AND
CONCLUSION: The potential additive and synergistic effects of flavonoids with
other molecules in interfering with drug bioavailability need to be taken into
account. The risk is highest with drugs with a narrow therapeutic index and in
subjects with genetic polymorphisms of proteins involved in the disposition of
those drugs.
PMID- 24938125
TI - Repeatability and reproducibility of Galilei measurements in normal keratoconic
and postrefractive corneas.
AB - OBJECTIVE: To assess the repeatability and reproducibility of the anterior
segment measurements performed with a dual Scheimpflug analyzer (Galilei) in
normal, keratoconic and post-refractive surgery corneas. METHODS: To evaluate the
repeatability, two additional measurements were performed by the first examiner.
To assess reproducibility, this was later followed by a single reading by the
second examiner. The following parameters were recorded and evaluated in this
study; central corneal thickness (CCT), thinnest corneal thickness (TCT), mean
total corneal power (TCP) in central (0-4mm), mean posterior corneal power (PCP)
in central (0.5-2mm), anterior and posterior elevation (best fit sphere [BFS]) in
central 8mm anterior and posterior eccentricity (E(2)) in central 8mm.
Repeatability and reproducibility for each corneal parameter was assessed using
the Bland-Altman analysis. RESULTS: Each of the three groups was consisted of 20
subjects (totally 60 patients, 30 men and 30 women). The 95% LoA for
repeatability was very small, indicating small discrepancies between measurements
related to CCT. Acceptable repeatability was also achieved for the other
parameters in each group. However, the 95% LoA for value TCP was larger in
keratoconic eyes. The 95% LoA for reproducibility was also very small, and
acceptable for all measured parameters in each group. In addition, the 95% LoA
was larger for the measurement of CCT and TCT for postrefractive corneas.
CONCLUSIONS: The anterior segment measurements provided by Galilei showed good
repeatability and reproducibility for normal, keratoconic and postrefractive
corneas.
PMID- 24938127
TI - Membrane topology of transmembrane proteins: determinants and experimental tools.
AB - Membrane topology refers to the two-dimensional structural information of a
membrane protein that indicates the number of transmembrane (TM) segments and the
orientation of soluble domains relative to the plane of the membrane. Since
membrane proteins are co-translationally translocated across and inserted into
the membrane, the TM segments orient themselves properly in an early stage of
membrane protein biogenesis. Each membrane protein must contain some topogenic
signals, but the translocation components and the membrane environment also
influence the membrane topology of proteins. We discuss the factors that affect
membrane protein orientation and have listed available experimental tools that
can be used in determining membrane protein topology.
PMID- 24938128
TI - Ablation of Elovl6 protects pancreatic islets from high-fat diet-induced
impairment of insulin secretion.
AB - ELOVL family member 6, elongation of very long-chain fatty acids (Elovl6) is a
microsomal enzyme that regulates the elongation of C12-16 saturated and
monounsaturated fatty acids and is related to the development of obesity-induced
insulin resistance via the modification of the fatty acid composition. In this
study, we investigated the role of systemic Elovl6 in the pancreatic islet and
beta-cell function. Elovl6 is expressed in both islets and beta-cell lines. In
mice fed with chow, islets of the Elovl6(-/-) mice displayed normal architecture
and beta-cell mass compared with those of the wild-type mice. However, when fed a
high-fat, high-sucrose (HFHS) diet, the islet hypertrophy in response to insulin
resistance observed in normal mice was attenuated and glucose-stimulated insulin
secretion (GSIS) increased in the islets of Elovl6(-/-) mice compared with those
of the wild-type mice. Enhanced GSIS in the HFHS Elovl6(-/-) islets was
associated with an increased ATP/ADP ratio and the suppression of ATF-3
expression. Our findings suggest that Elovl6 could be involved in insulin
secretory capacity per beta-cell and diabetes.
PMID- 24938129
TI - Overexpression of ERbeta is sufficient to inhibit hypoxia-inducible factor-1
transactivation.
AB - Estrogen receptor (ER) beta is predicted to play an important role in the
prevention of breast cancer development and progression. We have previously shown
that ERbeta suppresses hypoxia inducible factor (HIF)-1-mediated transcription
through aryl hydrocarbon receptor nuclear translocator (ARNT) degradation via
ubiquitination processes. In this study, we attempted to examine the effect of
ERbeta specific ligand on HIF-1 inhibition in ERbeta positive PC3 cells and
ERbeta transfected MCF-7 cells. ERbeta specific agonist diarylpropionitrile (DPN)
stimulated estrogen response element (ERE)-luciferase activity in a similar
fashion to estradiol in PC3 cells. We observed that DPN down-regulates the ARNT
protein levels leading to an attenuation of hypoxia-induced hypoxia response
element (HRE)-driven luciferase reporter gene activation in PC3 cells. Treatment
of DPN reduced vascular endothelial growth factor (VEGF) expression and co
treatment with ERbeta specific antagonist PHTPP abrogated the effect in PC3
cells. We then examined the effect of DPN in ERbeta transfected MCF-7 cells. HIF
1 transcriptional activity repression by ERbeta was not further reduced by DPN,
as examined by HRE-driven luciferase assays. Expression of ERbeta significantly
decreased VEGF secretion and ARNT expression under hypoxic conditions. However,
DPN did not additionally affect this suppression in MCF-7 cells transfected with
ERbeta. This result shows that unliganded ERbeta is sufficient to inhibit HIF-1
in systems of overexpression.
PMID- 24938131
TI - Robert M. Eiben MD (1922-2013).
PMID- 24938130
TI - Aryl hydrocarbon receptor catabolic activity in bone metabolism is osteoclast
dependent in vivo.
AB - Bone mass is regulated by various molecules including endogenous factors as well
as exogenous factors, such as nutrients and pollutants. Aryl hydrocarbon receptor
(AhR) is known as a dioxin receptor and is responsible for various pathological
and physiological processes. However, the role of AhR in bone homeostasis remains
elusive because the cell type specific direct function of AhR has never been
explored in vivo. Here, we show the cell type specific function of AhR in vivo in
bone homeostasis. Systemic AhR knockout (AhRKO) mice exhibit increased bone mass
with decreased resorption and decreased formation. Meanwhile, osteoclast specific
AhRKO (AhR(DeltaOc/DeltaOc)) mice have increased bone mass with reduced bone
resorption, although the mice lacking AhR in osteoblasts have a normal bone
phenotype. Even under pathological conditions, AhR(DeltaOc/DeltaOc) mice are
resistant to sex hormone deficiency-induced bone loss resulting from increased
bone resorption. Furthermore, 3-methylcholanthrene, an AhR agonist, induces low
bone mass with increased bone resorption in control mice, but not in
AhR(DeltaOc/DeltaOc) mice. Taken together, cell type specific in vivo evidence
for AhR functions indicates that osteoclastic AhR plays a significant role in
maintenance of bone homeostasis, suggesting that inhibition of AhR in osteoclasts
can be beneficial in the treatment of osteoporosis.
PMID- 24938132
TI - Cesare Lombroso MD, PhD (1917-2013).
PMID- 24938134
TI - Oral corticosteroids versus adrenocorticotropic hormone for infantile spasms--an
unfinished story.
PMID- 24938135
TI - We cannot say whether attention deficit hyperactivity disorder exists, but we can
find its molecular mechanisms.
PMID- 24938136
TI - The efficacy of moderate-to-high dose oral prednisolone versus low-to-moderate
dose intramuscular corticotropin for improvement of hypsarrhythmia in West
syndrome: a randomized, single-blind, parallel clinical trial.
AB - BACKGROUND: The role of therapy on improvement of hypsarrhythmia has not been
systematically assessed. This study was performed to assess the efficacy of oral
prednisolone and intramuscular adrenocorticotrophin hormone in improving
hypsarrhythmia in West syndrome. METHOD: Children (2 months-2 years), with
previously untreated West syndrome, were randomized to receive 40-60 IU every
other day of intramuscular adrenocorticotrophin hormone or 40-60 mg/day of oral
prednisolone for 14 days. Children with tuberous sclerosis were excluded.
Improvement of hypsarrhythmia was assessed blindly using a hypsarrhythmia
severity scale before and after completion of therapy. Adverse effects were
assessed on day 14 using symptom diary. (Clinical trial registry identifier:
SLCTR/2010/010.) RESULTS: From 92 newly diagnosed West syndrome infants, 48 were
randomized to receive prednisolone and 44 to receive adrenocorticotrophin
hormone. Eighty infants completed the posttreatment evaluation according to
specifications. The hypsarrhythmia severity score, significantly improved with
hormonal therapy for 2 weeks (10.45 +/- 2.65 vs 3.45 +/- 2.67); P < 0.01. When
individual treatment arms were compared using mean differences in the improvement
of scores, improvement in prednisolone arm (7.95 +/- 2.76) was significantly
greater than that in the adrenocorticotrophin hormone arm (6.00 +/- 2.61); P <
0.01. Both forms of therapy were tolerated well. Frequent crying, irritability,
weight gain, increased appetite, and abdominal distension were more common (but
not statistically significant) with prednisolone. CONCLUSIONS: Hypsarrhythmia
severity score improved significantly with both hormonal therapies, but this
improvement was significantly better with oral prednisolone than intramuscular
adrenocorticotrophin hormone. This is the first ever documentation of a superior
therapeutic role of oral steroids in West syndrome.
PMID- 24938137
TI - Sleep disorders in children with Tourette syndrome.
AB - BACKGROUND: The objective of this study was to determine the frequency, nature,
and impact of sleep disorders in children and adolescents with Tourette syndrome
and to raise awareness about their possible inclusion as a Tourette syndrome
comorbidity. METHODS: Using a prospective questionnaire, we interviewed 123
patients of age <=21 years with a confirmed diagnosis of Tourette syndrome. Each
completed questionnaire was then reviewed in accordance with Diagnostic and
Statistical Manual of Mental Disorders, Fifth Edition, criteria for
categorization to a form of sleep disorder. RESULTS: Of the 123 patients with
Tourette syndrome, 75 (61%) had comorbid attention deficit hyperactivity disorder
and 48 (39%) had Tourette without attention deficit hyperactivity disorder. The
sleep problems observed included problems in the nature of sleep, abnormal
behaviors during sleep, and impact of sleep disturbances on quality of life.
Within these cohorts, 31 (65%) of the 48 Tourette-only patients and 48 (64%) of
the 75 Tourette + attention deficit hyperactivity disorder patients could fit
into some form of Diagnostic and Statistical Manual of Mental Disorders, Fifth
Edition, coded sleep disorders. Of the 48 Tourette + attention deficit
hyperactivity disorder patients with sleep disorders, 36 (75%) had insomnia
signs, which could be explained by the co-occurrence of attention deficit
hyperactivity disorder and high stimulant use. However, 10 (32%) of the 31
Tourette-only patients with sleep disorders had insomnia irrespective of
attention deficit hyperactivity disorder or medication use. CONCLUSIONS: Sleep
problems are common in children with Tourette syndrome irrespective of comorbid
attention deficit hyperactivity disorder, justifying their inclusion as a
comorbidity of Tourette syndrome.
PMID- 24938139
TI - Development, reliability, and validity of the Alberta Perinatal Stroke Project
Parental Outcome Measure.
AB - BACKGROUND: Perinatal stroke is a leading cause of cerebral palsy and lifelong
disability, although parent and family outcomes have not yet been studied in this
specific population. The Alberta Perinatal Stroke Project Parental Outcome
Measure was developed as a 26-item questionnaire on the impact of perinatal
stroke on parents and families. METHODS: The items were derived from expert
opinion and scientific literature on issues salient to parents of children with
perinatal stroke, including guilt and blame, which are not well captured in
existing measures of family impact. Data were collected from 82 mothers and 28
fathers who completed the Parental Outcome Measure and related questionnaires
(mean age, 39.5 years; mean child age, 7.4 years). Analyses examined the Parental
Outcome Measure's internal consistency, test-retest reliability, validity, and
factor structure. RESULTS: The Parental Outcome Measure demonstrated three unique
theoretical constructs: Psychosocial Impact, Guilt, and Blame. The Parental
Outcome Measure has excellent internal consistency (Cronbach alpha = 0.91) and
very good test-retest reliability more than 2-5 weeks (r = 0.87). Regarding
validity, the Parental Outcome Measure is sensitive to condition severity,
accounts for additional variance in parent outcomes, and strongly correlates with
measures of anxiety, depression, stress, quality of life, family functioning, and
parent adjustment. CONCLUSIONS: The Parental Outcome Measure contributes to the
literature as the first brief measure of family impact designed for parents of
children with perinatal stroke.
PMID- 24938140
TI - Magnetic resonance spectroscopy at term-equivalent age in extremely preterm
infants: association with cognitive and language development.
AB - BACKGROUND: Proton magnetic resonance spectroscopy can be used to assess brain
integrity and maturation with age. OBJECTIVE: To compare regional cerebral
magnetic resonance spectroscopy metabolite ratios in extremely low birth weight
and healthy term control infants measured at term-equivalent age and to evaluate
association between magnetic resonance spectroscopy metabolites and cognitive and
language development at 18-22 months' corrected age. METHODS: Single-voxel point
resolved spectroscopy sequence was performed in a prospective cohort of 43
infants. Magnetic resonance spectroscopy metabolite ratios of N-acetylaspartate
to choline-containing compounds and N-acetylaspartate to myo-inositiol in the
hippocampus, cortex, and subventricular zone were associated with Bayley mental,
cognitive, and language scores at 18-22 months' corrected age. RESULTS: The mean
(+/-S.D.) gestation of the 31 extremely low birth weight population was 25 (+/
1.1) weeks and mean (+/-S.D.) birth weight was 749 (+/-133.9) g. Compared with
healthy term control infants, extremely low birth weight infants exhibited
consistently lower N-acetylaspartate-to-choline-containing compounds ratios in
our three regions of interest, with differences reaching statistical significance
for the subventricular zone and cortex regions. In multiple linear regression
analyses, N-acetylaspartate-to-choline-containing compounds ratio in the
subventricular zone, N-acetylaspartate-to-choline-containing compounds ratio in
the cortex, and N-acetylaspartate-to-myo-inositiol ratio in the subventricular
zone were significantly associated with Bayley mental scores at 18-22 months'
corrected age. CONCLUSIONS: Magnetic resonance spectroscopy metabolite
abnormalities at term-equivalent age appear to be significantly associated with
cognitive and language development in extremely low birth weight infants.
PMID- 24938141
TI - Effect of low-level prenatal mercury exposure on neonate neurobehavioral
development in China.
AB - BACKGROUND: This study aimed to assess the effects of low-level prenatal mercury
exposure on neonate neurobehavioral development in China. METHODS: In total, 418
mother-neonate pairs were included in the study. Maternal urine, hair, and blood
samples and cord blood samples were used to document prenatal exposure to
mercury. The Neonatal Behavioral Neurological Assessment was used to estimate
neurobehavioral development in the neonates at 3 days of age. RESULTS: Total
mercury level was significantly higher in cord blood than that in maternal blood.
A strong correlation was found between total mercury levels in maternal blood and
those in cord blood (r = 0.7431; P < 0.0001). Trend analysis revealed that
mothers who consumed more fish had higher blood and cord blood mercury levels
(all P < 0.0001). Significant differences were also found between male and female
cord blood mercury levels among groups with different fish consumption
frequencies (all P < 0.0001). Cord blood mercury level was significantly
associated with total Neonatal Behavioral Neurological Assessment scores (beta =
0.03; standard error = 0.01; P = 0.0409), passive muscle tone (odds ratio = 1.07;
95% confidence interval = 1.12-1.13; P = 0.0071), and active muscle tone (odds
ratio = 1.06; 95% confidence interval = 1.01-1.11; P = 0.0170) scores after
adjustment, respectively. CONCLUSIONS: Neonatal neurodevelopment was associated
with prenatal exposure to mercury. Women with high mercury levels should avoid
intake seafood excessively during pregnancy. Long-term effects of exposure to
mercury on childhood development need to be further explored.
PMID- 24938142
TI - Thiamine deficiency secondary to anorexia nervosa: an uncommon cause of
peripheral neuropathy and Wernicke encephalopathy in adolescence.
AB - INTRODUCTION: We present a developmentally appropriate adolescent boy who
presented with upper and lower extremity glove-and-stocking paresthesias, distal
weakness, vertigo, high-pitched voice, inattention, ataxia, and binocular
diplopia after a voluntary 59-kg weight loss over 5 months. CLINICAL
INVESTIGATIONS: Extensive investigations revealed serum thiamine levels <2
nmol/L. Brain magnetic resonance imaging revealed symmetric abnormal T2
prolongation of the mammillary bodies. Nerve conduction studies were consistent
with axonal, length-dependent polyneuropathy. Together, these findings were
diagnostic for peripheral polyneuropathy and Wernicke encephalopathy secondary to
thiamine deficiency. CONCLUSION: This patient illustrates that eating disorders
can be an uncommon cause of rapidly progressive paresthesias, weakness, and
neurological decline due to thiamine deficiency.
PMID- 24938138
TI - Impaired visual fixation at the age of 2 years in children born before the twenty
eighth week of gestation. Antecedents and correlates in the multicenter ELGAN
study.
AB - BACKGROUND: Very little is known about the prevalence, antecedents, and
correlates of impaired visual fixation in former very preterm newborns. METHODS:
In the multicenter ELGAN study sample of 1057 infants born before the twenty
eighth week of gestation who had a developmental assessment at 2 years corrected
age, we identified 73 who were unable to follow an object across the midline. We
compared them to the 984 infants who could follow an object across the midline.
RESULTS: In this sample of very preterm newborns, those who had impaired visual
fixation were much more likely than those without impaired visual fixation to
have been born after the shortest of gestations (odds ratio, 3.2; 99% confidence
interval, 1.4-7.5) and exposed to maternal aspirin (odds ratio, 5.2; 99%
confidence interval, 2.2-12). They were also more likely than their peers to have
had prethreshold retinopathy of prematurity (odds ratio, 4.1; 99% confidence
interval, 1.8-9.0). At age 2 years, the children with impaired fixation were more
likely than others to be unable to walk (even with assistance) (odds ratio, 7.5;
99% confidence interval, 2.2-26) and have a Mental Development Index more than
three standard deviations below the mean of a normative sample (odds ratio, 3.6;
99% confidence interval, 1.4-8.2). CONCLUSION: Risk factors for brain and retinal
damages, such as very low gestational age, appear to be risk factors for impaired
visual fixation. This inference is further supported by the co-occurrence at age
2 years of impaired visual fixation, inability to walk, and a very low Mental
Development Index.
PMID- 24938143
TI - "Light switch" mental status changes and irritable insomnia are two particularly
salient features of anti-NMDA receptor antibody encephalitis.
AB - BACKGROUND: Anti-N-methyl-D-aspartate antibody encephalitis is becoming
increasingly recognized as a cause of acute and subacute encephalopathy in both
adults and children. The typical features of this disorder include some degree of
encephalopathy, seizures, and often a movement disorder component. However, there
is wide variability in its presentation, and diagnosis based on clinical features
alone is often delayed. PATIENTS: We report a series of four of 12 patients
observed at our children's hospital between 2011 and 2013 that we chose as
particularly representative examples of two distinct clinical features. RESULTS:
In these individuals with anti-N-methyl-D-aspartate receptor antibody
encephalitis, we note a very rapid on-off state between responsiveness and
nonresponsiveness and/or insomnia accompanied by extreme irritability. We
describe the abrupt mental status shift as "light switch" because the patients
can awaken in seconds from a completely nonresponsive state. The insomnia noted
in our patients was also impressive and often present early in the patients'
courses. CONCLUSIONS: Light switch mental status changes and irritable insomnia
are important early features of anti-N-methyl-D-aspartate receptor antibody
encephalitis that can signal the presence of this disorder. The exact
pathophysiology of these two symptoms has not been fully elucidated, and we feel
that presence of one or both of these symptoms early in the disease course should
prompt immediate concern for this disorder.
PMID- 24938144
TI - Use of ketamine in a newborn with refractory status epilepticus: a case report.
AB - BACKGROUND: Brain malformations represent a major cause of refractory seizures.
Standardized protocols to treat status epilepticus of newborn are not available
in the literature. PATIENT: We present a case report of use of ketamine
administered to a late preterm with Pierre Robin sequence, lissencephaly,
polymicrogyria, and severe epilepsy. RESULTS: The infusion of ketamine permitted
resolution of status epilepticus, cardiorespiratory stabilization, and improved
parental care for 15 days. No significant side effects were noted. CONCLUSION: In
the literature there are few studies regarding the use of ketamine for refractory
status epilepticus, and only in nine of these described the use of, ketamine in
children (2 months-18 years). This is the first report to document the effective
use of ketamine in the newborn with status epilepticus.
PMID- 24938145
TI - Vanishing white matter disease presenting as opsoclonus myoclonus syndrome in
childhood--a case report and review of the literature.
AB - BACKGROUND: Vanishing white matter disease is caused by mutations of the
eukaryotic translation initiation factor 2B (EIF2B) and is a prevalent cause of
inherited childhood leukoencephalopathy. Infantile and early childhood onset
forms are associated with chronic progressive neurological signs, with episodes
of rapid, neurological, and poor prognosis, with death in few months or years. In
contrast, onset in late childhood and adult onset is rare and is associated with
long-term survival because of milder signs and slow progression. PATIENT
DESCRIPTION: We present a patient with a genetically proven vanishing white
matter disease, typical brain MRI, presenting with opsoclonus myoclonus in early
childhood and a delayed development of adult multifocal dystonia and
schizoaffective disorder with continued survival. In addition we have also
reviewed the relevant literature based on 42 previous articles summarizing
clinical details of 318 individuals with vanishing white matter disease (single
case reports to case series). In 283, genetic mutation of EIF2B was confirmed
with the onset of vanishing white matter disease reported as antenatal (seven),
infantile (eight), early childhood (107), between infantile and early childhood
(20), late childhood (25), between early and late childhood (three), adult (68),
and between late childhood and adult (21). CONCLUSIONS: Various movement
disorders have been described with vanishing white matter disease either at
presentation (mimicking an opsoclonus myoclonus syndrome) or in adulthood
(dystonia and myoclonus) with continuing survival. Relatively preserved cognition
is a novel presentation and is reported in this article along with a
comprehensive literature review.
PMID- 24938146
TI - Clinical and molecular analysis of a novel COLQ missense mutation causing
congenital myasthenic syndrome in a Syrian family.
AB - BACKGROUND: Congenital myasthenic syndromes with end-plate acetylcholinesterase
deficiency are rare autosomal recessive disorders characterized by onset of the
disease in early childhood, general weakness exacerbated by exertion,
ophthalmoplegia, and refractoriness to anticholinesterase drugs. To date, all
reported cases have been attributed to mutations in 18 genes including the COLQ
gene that encodes a specific collagen that anchors acetylcholinesterase at the
basal lamina of the neuromuscular junction. We identified a Syrian family with
two children of consanguineous parents from two branches affected with congenital
myasthenic syndrome with end-plate acetylcholinesterase deficiency. METHOD: The
absence of acetylcholinesterase antibodies was demonstrated biochemically.
Consequently, all the coding regions, exon-intron boundaries, and the 5' and 3'
untranslated regions of the COLQ gene were amplified and sequenced using the
Sanger sequencing method. RESULTS: We observed that the severity of the phenotype
in the two affected children differed. One child had mild symptoms that included
difficulties in gait and feeding with mild respiratory insufficiency. Her sibling
died in the first months of life because of severe respiratory failure. The
second patient had severe symptoms from birth and has been mechanically
ventilated. DNA sequencing revealed a novel homozygous single nucleotide
substitution mutation (c.1010T>C) in the COLQ gene in both patients. This
substitution leads to a missense amino acid substitution at position 337 of the
protein (p.Ile337Thr). This mutation is likely to impair ColQ's trimeric
organization and therefore its anchoring within the synaptic basal lamina.
CONCLUSION: We identified the molecular cause underlying congenital myasthenic
syndrome in two patients. The marked phenotypic variation suggests that other
factors including modifier genes may affect the severity of this disease.
PMID- 24938147
TI - Chromosome 9q33q34 microdeletion with early infantile epileptic encephalopathy,
severe dystonia, abnormal eye movements, and nephroureteral malformations.
AB - BACKGROUND: Microdeletion of chromosome 9q33q34 is an emerging disease disorder
associated with early infantile epileptic encephalopathy, intellectual
disability, and a variety of movement disorders. PATIENT: We describe a male
infant with early infantile epileptic encephalopathy with suppression-burst
(Ohtahara syndrome) who carried a de novo 2.0-Mb microdeletion in chromosome
9q33q34, including STXBP1. The previously reported examples of 9q33q34
microdeletion including STXBP1 are reviewed. RESULTS: The patient developed
infantile spasms at 4 months of age, and these were refractory to multiple
antiepileptic drugs. He also developed severe dystonia during infancy, rotatory
nystagmus, and nephroureteral malformations. Immunoglobulin and clobazam
administered at 11 months were effective for the spasms, but profound psychomotor
retardation remained. A comparative genomic hybridization array analysis and the
fluorescence in situ hybridization analysis revealed a de novo 2.0-Mb
microdeletion in chromosome 9q33q34, which encompasses STXBP1, ENG, SPTAN1, and
52 other genes. A total of 14 patients (13 from the literature) with a 9q33q24
microdeletion including STXBP1 were reviewed, five of them displayed early
infantile epileptic encephalopathy with suppression-burst, and six of them had
early-onset epilepsy but not early infantile epileptic encephalopathy. Dystonia
has been previously described in 9q33q34 deletions involving TOR1A but not
STXBP1. Neither abnormal eye movements nor nephroureteral malformations has been
previously described. CONCLUSIONS: This patient adds unique clinical
presentations of neurological and nephroureteral abnormalities to the features of
9q33q34 microdeletion.
PMID- 24938148
TI - Congenital lingual hemihyperplasia, Horner's syndrome, and agenesis of the
internal carotid artery.
PMID- 24938149
TI - Prenatal multicystic encephalopathy in isolated sulfite oxidase deficiency with a
novel mutaion.
PMID- 24938150
TI - Control of early seedling development by BES1/TPL/HDA19-mediated epigenetic
regulation of ABI3.
AB - Seed germination and young seedling establishment should be tightly regulated to
maximize plant survival and thereby enable successful propagation. Plants have
evolved developmental signalling networks to integrate environmental cues for
proper control of these critical processes, in which brassinosteroids are known
to attenuate ABA-mediated arrest of early seedling development; however, the
underlying regulatory mechanism remains elusive. Here we reveal that a
BES1/TPL/HDA19 repressor complex mediates the inhibitory action of
brassinosteroids on ABA responses during early seedling development. BR-activated
BES1 forms a transcriptional repressor complex with TPL-HDA19, which directly
facilitates the histone deacetylation of ABI3 chromatin. This event leads to the
transcriptional repression of ABI3 and consequently ABI5, major ABA signalling
regulators in early seedling development. Our data reveal that the BR-activated
BES1-TPL-HDA19 repressor complex controls epigenetic silencing of ABI3 and
thereby suppresses the ABA signalling output during early seedling development.
PMID- 24938151
TI - Measuring professional satisfaction in Greek nurses: combination of qualitative
and quantitative investigation to evaluate the validity and reliability of the
Index of Work Satisfaction.
AB - PURPOSE: The Index of Work Satisfaction (IWS) is a comprehensive scale assessing
nurses' professional satisfaction. The aim of the present study was to explore:
a) the applicability, reliability and validity of the Greek version of the IWS
and b) contrasts among the factors addressed by IWS against the main themes
emerging from a qualitative phenomenological investigation of nurses'
professional experiences. METHODS: A descriptive correlational design was applied
using a sample of 246 emergency and critical care nurses. Internal consistency
and test-retest reliability were tested. Construct and content validity were
assessed by factor analysis, and through qualitative phenomenological analysis
with a purposive sample of 12 nurses. Scale factors were contrasted to
qualitative themes to assure that IWS embraces all aspects of Greek nurses'
professional satisfaction. RESULTS: The internal consistency (alpha = 0.81) and
test-retest (tau = 1, p < 0.0001) reliability were adequate. Following
appropriate modifications, factor analysis confirmed the construct validity of
the scale and subscales. The qualitative data partially clarified the low
reliability of one subscale. CONCLUSIONS: The Greek version of the IWS scale is
supported for use in acute care. The mixed methods approach constitutes a
powerful tool for transferring scales to different cultures and healthcare
systems.
PMID- 24938152
TI - An efficient asymmetric synthesis of (-)-lupinine.
AB - The asymmetric synthesis of (-)-lupinine was achieved in 8 steps, 15% overall
yield and >99 : 1 dr from commercially available starting materials. The strategy
used for the construction of the quinolizidine scaffold involved reaction of an
enantiopure tertiary dibenzylamine via two sequential ring-closures which both
occurred with concomitant N-debenzylation.
PMID- 24938154
TI - [Not Available].
PMID- 24938153
TI - [Family practice and psychiatry: 2 different areas, a large interface].
PMID- 24938155
TI - [CME. Pleural effusion].
PMID- 24938157
TI - [Comparison of management of ambulatory emergency patients in the family practice
and the hospital emergency center].
AB - The increase in health care cost is broadly discussed in the public, either in
the hospital or ambulatory setting. With the present study we compared the
invoice amount generated by a first doctor's advice in the general practitioner
to the one in the emergency room. In addition, we compared the diagnostic
procedures used in the general practice to the one in the hospital, and whether
the choice of diagnostic procedures would substantially influence the invoice
amount. The invoice amount in the hospital setting was on average CHF 197.-, i.
e. 134%, higher compared to the general practice. The difference in the invoice
amount was largely explained by more diagnostic procedures in the hospital.
Moreover, part of the higher invoice amount was generated by the extensive
physical examination by doctors in the hospital.
PMID- 24938158
TI - [Psychotropic drugs in general practice].
AB - The article presents a user-friendly overview of psychotropic drugs which are
helpful for the prescription in a primary care practice. The author recommends to
get familiar with just a small selection of drugs first and second line. This
means to know well about their effectiveness, short-and long-term side effects,
interactions with other drugs and the necessary monitoring that should be done.
PMID- 24938159
TI - [Accidental falls in the elderly].
AB - Falls in the elderly are common with consecutive high mortality and morbidity.
Recent consecutive data focus on identification and therapy of intrinsic risk
factors. Sarcopenia, imbalance and gait disorders represent the major risk
factors. Sarcopenia is caused by a disequilibrium of protein synthesis and
breakdown, probably in consequence of age-related changes in protein metabolism.
Protein supplements in combination with strength training shows the best benefit.
Disorders in balance and gait are caused by age-related or pathologic changes in
a complex regulation system of gait. The individual fall risk correlates with the
gait variability and even increases with bad dual task performance. Activities
with high requirements of attention and body awareness are the most effective
prevention for falls in the elderly (-50%).
PMID- 24938160
TI - [Risk of recurrence and postoperative intervertebral disc degeneration after
lumbar intervertebral disc operation - is an anulus closure prosthesis the
solution?].
AB - A lumbar disc herniation is a frequent pathology. The incidence of a
postoperative recurrence is between 1-18%. According to the current literature, a
large anular defect and a limited perioperative microdiscectomy leads to an
increased risk of recurrence. In contrast, a generous perioperative
microdiscectomy comes along with an accelerated postoperative disc height loss
and a progressive degenerative disc disease. The present work discusses the
position of an anular-closure-device in respect of the problem risk of recurrence
versus postoperative degenerative disc disease.
PMID- 24938161
TI - [Self injury behavior by cutting in young persons].
PMID- 24938162
TI - [Atrial fibrillation: new anticoagulants are more effective and safer, but
expensive].
PMID- 24938163
TI - [Benign thyroid nodules have a very good prognosis - even when they become
malignant].
PMID- 24938165
TI - [CME ECG 45. Palpitations and broad complex tachycardia].
PMID- 24938167
TI - [Figures in the history of medicine. Virginia Apgar].
PMID- 24938168
TI - Actinopyrone D, a new downregulator of the molecular chaperone GRP78 from
Streptomyces sp.
AB - A new downregulator of the molecular chaperone GRP78, actinopyrone D, was
isolated together with a known related compound, PM050463, from Streptomyces sp.
RAG92. The molecular formula of actinopyrone D was established as C25H36O4 by
high-resolution FAB-MS. NMR spectroscopic analysis revealed the structure of
actinopyrone D, which consists of an alpha-methoxy-gamma-pyrone ring and a C17
side chain containing a cis olefin moiety. Actinopyrone D and PM050463 dose
dependently inhibited 2-deoxyglucose-induced luciferase expression in HT1080
human fibrosarcoma cells transfected with a luciferase reporter plasmid
containing the GRP78 promoter. Actinopyrone D inhibited GRP78 protein expression
and induced cell death under endoplasmic reticulum stress.
PMID- 24938171
TI - Caffeine prevents human prion protein-mediated neurotoxicity through the
induction of autophagy.
AB - The human prion protein (PrP) fragment PrP(106-126) possesses the majority of the
pathogenic properties associated with the infectious scrapie isoform of PrP,
known as PrPSc. The accumulation of PrPSc in the brain of humans and animals
affects the central nervous system. Recent epidemiological studies have suggested
that caffeine, one of the major components of coffee, exerts protective effects
against the development of neurodegeneration. However, the protective effects of
caffeine against prion disease have not been reported to date. In this study, we
therefore investigated the effects of caffeine on PrP-mediated neurotoxicity. The
protein expression of the autophagosomal marker, LC3-II, was increased by
caffeine in a dose-dependent manner, and the autophagy induced by caffeine
protected the neuronal cells against PrP(106-126)-induced cell death. On the
contrary, the downregulation of LC3-II using the autophagy inhibitors, 3
methyladenine (3-MUAlpha) and wortmannin, prevented the caffeine-mediated
neuroprotective effects. To the best of our knowledge, the present study provides
the first evidence that treatment with caffeine protects human neuronal cells
against prion-mediated neurotoxicity and these neuroprotective effects are
mediated by caffeine-induced autophagy signals. Our data suggest that treatment
with caffeine may be a novel therapeutic strategy for prion peptide-induced
apoptosis.
PMID- 24938172
TI - Helsingor statement on poly- and perfluorinated alkyl substances (PFASs).
AB - In this discussion paper, the transition from long-chain poly- and perfluorinated
alkyl substances (PFASs) to fluorinated alternatives is addressed. Long-chain
PFASs include perfluoroalkyl carboxylic acids (PFCAs) with 7 or more
perfluorinated carbons, perfluoroalkyl sulfonic acids (PFSAs) with 6 or more
perfluorinated carbons, and their precursors. Because long-chain PFASs have been
found to be persistent, bioaccumulative and toxic, they are being replaced by a
wide range of fluorinated alternatives. We summarize key concerns about the
potential impacts of fluorinated alternatives on human health and the environment
in order to provide concise information for different stakeholders and the
public. These concerns include, amongst others, the likelihood of fluorinated
alternatives or their transformation products becoming ubiquitously present in
the global environment; the need for more information on uses, properties and
effects of fluorinated alternatives; the formation of persistent terminal
transformation products including PFCAs and PFSAs; increasing environmental and
human exposure and potential of adverse effects as a consequence of the high
ultimate persistence and increasing usage of fluorinated alternatives; the high
societal costs that would be caused if the uses, environmental fate, and adverse
effects of fluorinated alternatives had to be investigated by publicly funded
research; and the lack of consideration of non-persistent alternatives to long
chain PFASs.
PMID- 24938174
TI - Development of an active risk-based surveillance strategy for avian influenza in
Cuba.
AB - The authors designed a risk-based approach to the selection of poultry flocks to
be sampled in order to further improve the sensitivity of avian influenza (AI)
active surveillance programme in Cuba. The study focused on the western region of
Cuba, which harbours nearly 70% of national poultry holdings and comprise several
wetlands where migratory waterfowl settle (migratory waterfowl settlements -
MWS). The model took into account the potential risk of commercial poultry farms
in western Cuba contracting from migratory waterfowl of the orders Anseriformes
and Charadriiformes through dispersion for pasturing of migratory birds around
the MWS. We computed spatial risk index by geographical analysis with Python
scripts in ESRI((r)) ArcGIS 10 on data projected in the reference system NAD 1927
UTM17. Farms located closer to MWS had the highest values for the risk indicator
pj and in total 31 farms were chosen for targeted surveillance during the risk
period. The authors proposed to start active surveillance in the study area 3
weeks after the onset of Anseriformes migration, with additional sampling
repeated twice in the same selected poultry farms at 15 days interval (Comin et
al., 2012; EFSA, 2008) to cover the whole migration season. In this way, the
antibody detectability would be favoured in case of either a posterior AI
introduction or enhancement of a previous seroprevalence under the sensitivity
level. The model identified the areas with higher risk for AIV introduction from
MW, aiming at selecting poultry premises for the application of risk-based
surveillance. Given the infrequency of HPAI introduction into domestic poultry
populations and the relative paucity of occurrences of LPAI epidemics, the
evaluation of the effectiveness of this approach would require its application
for several migration seasons to allow the collection of sufficient reliable
data.
PMID- 24938175
TI - Diabetes education and care in a developing country: observations from Karachi,
Pakistan.
AB - OBJECTIVE: To explore the kind of care being received by a sample of middle
income group subjects with diabetes in Karachi. DESIGN: Cross-sectional. PLACE
AND DURATION OF STUDY: Raana Liaquat Ali Khan College of Home Economics, Karachi
from January 2009 to September 2009. PATIENTS AND METHODS: Information was
collected from 105 type 2 diabetics through a structured, pre-tested, self
administered questionnaire distributed in two colleges only to those students who
had any adult onset diabetic in their family. SPSS version 16 was used for data
entry and analysis (e.g., chi-square tests). RESULTS: The mean duration of
diabetes was 8.9 years. Random blood sugar, blood pressure check and urine test
for sugar were the most frequently performed tests. Many important diabetes
related tests had not been performed even on subjects with duration of diabetes
of 10 years or more such as HbA1c had never been performed for 74% of subjects in
this class. Test for circulation had never been performed for 93% of subjects
with 1 year's diabetes duration and for 62% of subjects with duration of 10 years
or more. More than 90% subjects received information about diet and causes of
diabetes; >70% had been informed about diabetes complications, foot care, dental
care, self monitoring of blood glucose and testing sugar in urine and only 48%
had been educated about insulin injections. Physician was the source of this
information for more than 70% of subjects. CONCLUSIONS: This study has explored
insufficient clinical monitoring of diabetes complications and provision of
casual diabetes education. These observations highlight the need for provision of
appropriate diabetes education, both to health care team and professionals.
PMID- 24938173
TI - Polybacterial human disease: the ills of social networking.
AB - Polybacterial diseases involve multiple organisms that act collectively to
facilitate disease progression. Although this phenomenon was highlighted early in
the 20th century, recent technological advances in diagnostics have led to the
appreciation that many infections are far more complex than originally believed.
Furthermore, it is apparent that although most treatments focus on the dominant
bacterial species in an infection, other microbes, including commensals, can have
a profound impact on both the response to therapy and virulence. Very little is
known about the molecular mechanisms that underpin interactions between bacteria
during such infections. Here, we discuss recent studies identifying and
characterizing mechanisms of bacterial interaction and the biological processes
they govern during certain diseases. We also highlight how possible strategies
for targeting these interbacterial interactions may afford a route towards
development of new therapies, with consequences for disease control.
PMID- 24938176
TI - Fixed-dose combination PRO 160/120 of sabal and urtica extracts improves nocturia
in men with LUTS suggestive of BPH: re-evaluation of four controlled clinical
studies.
AB - PURPOSE: To determine the effects of the herbal fixed-dose combination PRO
160/120 (extracts from saw palmetto fruits and stinging nettle roots) on
nocturnal voiding frequency, as measured by question 7 of the IPSS questionnaire,
in patients with moderate-to-severe LUTS/BPH after 24 weeks of treatment compared
to placebo, to the alpha-blocker tamsulosin, or to the 5alpha-reductase inhibitor
finasteride. METHODS: The study is about post hoc evaluation of four published
randomized, double-blind clinical trials on PRO 160/120, two compared with
placebo, one with finasteride and one with tamsulosin. In addition, a pooled data
analysis of the two placebo-controlled trials was conducted. RESULTS: We analyzed
data from a total of 922 patients with a mean age of 66 years and a mean baseline
nocturnal voiding frequency of 2.1. In the pooled analysis of placebo-controlled
trials, nocturnal voids improved by 0.8 (29 %) with PRO 160/120 compared to 0.6
(18 %) with placebo (p = 0.015, Wilcoxon test, one-tailed). The 69 % responder
rate to PRO 160/120 was significantly superior to the placebo response (52 %; p =
0.003, chi (2)-test, two-tailed). The majority of responders improved by 1
void/night. Absolute improvements and response rates were consistently higher
with PRO 160/120 than with placebo over a range of baseline nocturnal voiding
frequencies. There were no differences between PRO 160/120 and finasteride or
tamsulosin regarding absolute improvement of nocturnal voids or responds rates.
CONCLUSION: PRO 160/120 significantly improved nocturnal voiding frequency
compared to placebo and similar to tamsulosin or finasteride.
PMID- 24938177
TI - Diet: from food to stone.
AB - Dietary factors have been shown to influence urine composition and modulate the
risk of kidney stone disease. With the rising prevalence of stone disease in many
industrialized nations, dietary modification as therapy to improve lithogenic
risk factors and prevent stone recurrence has gained appeal, as it is both
relatively inexpensive and safe. While some dietary measures, such as a high
fluid intake, have been shown in long-term randomized clinical trials to have
durable effectiveness, other dietary factors have been subjected to only short
term clinical or metabolic studies and their efficacy has been inferred. Herein,
we review the current literature regarding the role of diet in stone formation,
focusing on both the effect on urinary stone risk factors and the effect on stone
recurrence.
PMID- 24938179
TI - Letter to the Editor concerning "Calculation of corrected body height in
idiopathic scoliosis: comparison of four methods" by M. Tyrakowski et al. (Eur
Spine J, doi:10.1007/s00586-014-3275-1).
PMID- 24938178
TI - Criteria for successful correction of thoracolumbar/lumbar curves in AIS
patients: results of risk model calculations using target outcomes and failure
analysis.
AB - INTRODUCTION: Failure to select the appropriate lowest instrumented vertebra
(LIV) in selective lumbar fusion (SLF) for thoracolumbar/lumbar curves (LC) can
result in adding-on in the lumbar curve (LC) or the need for fusion extension due
to a decompensating thoracic curve (TC). The selection criteria that predict
optimal outcomes still need to be refined. The objectives of the current study
were to identify risk factors for failure of anterior scoliosis correction and
fusion (ASF) as well as predictors of optimal outcomes and ASF efficacy for SLF.
MATERIALS AND METHODS: A retrospective review of all patients (n = 245) with AIS
who had anterior SLF at one institution was conducted. Optimal outcomes were
defined as a target LC <= 20 degrees and a target TC <= 30 degrees . The
distance from the LIV to the SV was recorded. An increase in the LIV adjacent
level disc angulation (LIVDA) >= 5 degrees was defined as adding-on. An increase
in the TC at follow-up was defined as TC-progression. Stepwise univariate and
multivariate linear and logistic regression analyses were performed to identify
criteria predicting the target LC and TC. A total of 68 % of the patients had the
LIV at SV-2 (=2 levels above stable vertebra). RESULTS: The patients' average age
was 17 years, the average fusion length was 4.6 levels, and the average follow-up
time was 32 months. The preoperative LC was 49 +/- 14 degrees , the LC-bending
was 22 +/- 13 degrees (57 +/- 18 %), and the follow-up LC was 25 +/- 10 degrees
. LC correction was 59 +/- 17% (p < 0.01). The preoperative TC was 39 +/- 13
degrees , the TC-bending was 21 +/- 12 degrees , and the follow-up TC was 29 +/-
13 degrees . The TC-correction was 32 +/- 19% (p < 0.01). At follow-up, 85
patients (35%) had an LC <= 20 degrees , 110 patients (45 %) had a TC <= 30
degrees . The follow-up LC and an LC <= 20 degrees were predicted by LC-bending
(p < 0.01, r = 0.6), preoperative LC (p < 0.01, r = 0.6). The logistic regression
models could define patients at risk for failing the target LC <= 20 degrees or
TC <= 30 degrees . At follow-up, TC <= 30 degrees was best predicted by the
preoperative TC (p < 0.01, r = 0.8; OR 1.2) and TC-bending (p < 0.01, r = 0.8; OR
1.06), with the logistic regression model revealing a correct prediction in 84 %
of all cases. Among the patients, 8 % required late posterior surgery. Patients
achieving the target LC <= 20 degrees had a significantly reduced risk for
failure (p = 0.01). Selecting an LIV at SV-1 vs. SV-2 significantly increased the
chance of achieving a target LC <= 20 degrees (p = 0.01) and reduced the risk of
adding-on (p < 0.01). Predictors for failure also included a high preoperative LC
(p = 0.02; OR 0.97), TC-bending (p < 0.01), and preoperative TC (p = 0.01). A cut
off in the failure risk analysis was established at a TC of 38 degrees .
Additionally, a significant cut-off for risk of adding-on was established at
LIVDA <3.5 degrees . CONCLUSION: A high chance of achieving a target LC <= 20
degrees and a low risk of revision was dependent on LC-bending, preoperative LC
and TC, and a LIV at SV-1 with non-parallel LIVDA. Our risk model analysis may
support the selection of a safe LIV to achieve the target LC.
PMID- 24938180
TI - Posterior C1-C2 screw and rod instrument for reduction and fixation of basilar
invagination with atlantoaxial dislocation.
AB - PURPOSE: To report the surgical technique and preliminary clinical results for
the treatment of basilar invagination (BI) with atlantoaxial dislocation (AAD) by
posterior C1-C2 pedicle screw and rod instrument. METHODS: Between July 2012 and
August 2013, 33 patients who had BI with AAD underwent surgery at our
institution. Pre and postoperative three-dimensional computed tomographic (CT)
scans were performed to assess the degree of dislocation. Magnetic resonance (MR)
imaging was used to evaluate the compression of the medulla oblongata. For all
patients, reduction of the AAD was conducted by two steps: fastening nuts and
rods was performed to achieve the horizontal reduction. Distraction between C1
and C2 screws was performed to obtain the vertical reduction. RESULTS: No
neurovascular injury occurred during surgery. Follow-up ranged from 6 to 15
months (mean 10.38 months) in 32 patients. Post-operative three-dimensional CT
showed that complete horizontal reduction was obtained in 30/33 (90.9%), and
complete vertical reduction was obtained in 31/33 (93.9%). The repeated three
dimensional CT and MR image demonstrated that bony fusion and the decompression
of the medulla oblongata were obtained in all patients. Clinical symptoms
improved significantly 3 months after surgery. CONCLUSIONS: This C1-C2 pedicle
screw and rod instrument is a promising technique for the treatment of BI with
AAD.
PMID- 24938181
TI - Treatment of basilar invagination.
AB - PURPOSE: Basilar invagination is a rare craniocervical malformation which may
lead to neurological deficits related to compression of brainstem and upper
cervical cord as well as instability of the craniocervical junction. This study
presents results of a treatment algorithm developed over a 20-year period
focussing on anatomical findings, short-term and long-term outcomes. METHODS: 69
patients with basilar invagination (mean age 41 +/- 18 years, history 64 +/- 85
months) were encountered. The clinical courses were documented with a score
system for individual neurological symptoms for short-term results after 3 and 12
months. Long-term outcomes were analyzed with Kaplan-Meier statistics. RESULTS:
Patients with (n = 31) or without (n = 38) ventral compression were
distinguished. 25 patients declined an operation, while 44 patients underwent 48
operations. Surgical management depended on the presence of ventral compression
and segmentation anomalies between occiput and C3, signs of instability and
presence of caudal cranial nerve dysfunctions. 16 patients without ventral
compression underwent foramen magnum decompressions without fusion. 19 patients
with ventral compression and abnormalities of segmentation or evidence of
instability underwent a foramen magnum decompression with craniocervical (n = 18)
or C1/2 (n = 1) stabilization. In nine patients with severe ventral compression
and caudal cranial nerve deficits, a transoral resection of the odontoid was
combined with a posterior decompression and fusion. Within the first
postoperative year neurological scores improved for all symptoms in each patient
group. In the long-term, postoperative deteriorations were related exclusively to
instabilities either becoming manifest after a foramen magnum decompression in
three or as a result of hardware failures in two patients. CONCLUSIONS: The great
majority of patients with basilar invagination report postoperative improvements
with this management algorithm. Most patients without ventral compression can be
managed by foramen magnum decompression alone. The majority of patients with
ventral compression can be treated by posterior decompression, realignment and
stabilization alone, reserving anterior decompressions for patients with
profound, symptomatic brainstem compression.
PMID- 24938182
TI - Genetic structure and hybridization in the species group of Ficus auriculata: can
closely related sympatric Ficus species retain their genetic identity while
sharing pollinators?
AB - Obligate mutualistic nursery pollination systems between insects and plants have
led to substantial codiversification involving at least some parallel
cladogenesis, as documented in Yucca, Ficus and Phyllanthaceae. In such systems,
pollinators are generally species specific thus limiting hybridization and
introgression among interfertile host species. Nevertheless, in the three
systems, cases of one insect pollinating several plant species are reported. In
most cases, host plants sharing pollinators are allopatric. However, in the case
of the species group of Ficus auriculata, forms may co-occur over large parts of
their range. We show here that the species group of F. auriculata is constituted
by four well-defined genetic entities that share pollinators. We detected hybrids
in nature mainly when both parental forms were growing nearby. Controlled crosses
showed that F1 offspring could be successfully backcrossed. Hence, despite
sharing pollinators and despite hybrid viability, the different forms have
preserved their genetic and morphological identity. We propose that ecological
differentiation among forms coupled with limited overlap of reproductive season
has facilitated the maintenance of these interfertile forms. As such,
establishment of pollinator host specificity may not be a prerequisite for
sympatric diversification in Ficus.
PMID- 24938183
TI - Potential clinical implications of BRAF mutations in histiocytic proliferations.
AB - For a growing number of tumors the BRAF V600E mutation carries therapeutic
relevance. In histiocytic proliferations the distribution of BRAF mutations and
their relevance has not been clarified. Here we present a retrospective
genotyping study and a prospective observational study of a patient treated with
a BRAF inhibitor. Genotyping of 69 histiocytic lesions revealed that 23/48
Langerhans cell lesions were BRAF-V600E-mutant whereas all non-Langerhans cell
lesions (including dendritic cell sarcoma, juvenile xanthogranuloma, Rosai
Dorfman disease, and granular cell tumor) were wild-type. A metareview of 29
publications showed an overall mutation frequency of 48.5% and with N=653 samples
this frequency is well defined. The BRAF mutation status cannot be predicted
based on clinical parameters and outcome analysis showed no difference.
Genotyping identified a 45 year-old woman with an aggressive and treatment
refractory, ultrastructurally confirmed systemic BRAF-mutant LCH. Prior
treatments included glucocorticoid/vinblastine and cladribine-monotherapy.
Treatment with vemurafenib over 3 months resulted in a dramatic metabolic
response by FDG-PET and stable radiographic disease; the patient experienced
progression after 6 months. In conclusion, BRAF mutations in histiocytic
proliferations are restricted to lesions of the Langerhans-cell type. While for
most LCH-patients efficient therapies are available, patients with BRAF mutations
may benefit from the BRAF inhibitor vemurafenib.
PMID- 24938184
TI - Prostate specific antigen testing is associated with men's psychological and
physical health and their healthcare utilisation in a nationally representative
sample: a cross-sectional study.
AB - BACKGROUND: Prostate cancer incidence has risen considerably in recent years,
primarily due to Prostate Specific Antigen (PSA) testing in primary care. The
objective of this study was to investigate associations between PSA testing and
the psychological and physical health, and healthcare utilisation of men in a
population where PSA testing is widespread. METHODS: A cross-sectional study was
carried out in a population-representative sample of men >= 50 years enrolled in
The Irish Longitudinal Study on Ageing (TILDA). TILDA participants underwent
structured interviews, health assessments and completed standardised
questionnaires. Men were classified as ever/never having received a PSA test.
Multivariate logistic regression (Odds Ratios (OR) and 95% Confidence Intervals
(CI) was used to determine associations between PSA testing, and men's
psychological and physical health and healthcare utilisation. RESULTS: This
analysis included 3,628 men, 68.2% of whom ever had a PSA test. In adjusted
analysis, men with sub-threshold depression were significantly less likely to
have had a PSA test, (OR=0.79, 95% CI 0.64-0.97). Likelihood of having a PSA test
was inversely associated with anxiety, but this was not significant (OR=0.79, 95%
CI 0.57-1.09). Frailty (OR=0.61, 95% CI 0.31-1.05) and eligibility for free
primary care (OR=0.63, 95% CI 0.52-0.77) were also inversely associated with PSA
testing. Positive associations were observed between PSA testing and more chronic
illnesses (OR=1.11, 95% CI 1.05-1.19), more primary care visits (OR=1.03, 95% CI
1.01-1.05) and preventative health practices, including cholesterol testing and
influenza vaccination (OR=1.35, 95% CI 1.13-1.60). CONCLUSIONS: Men's
psychological and physical health and their healthcare utilisation are associated
with PSA testing in primary care. The association between poorer psychological
health, in particular sub-threshold depression, and reduced likelihood of PSA
testing in primary care requires further investigation. These findings may have
wider implications for other cancer screening.
PMID- 24938185
TI - Influences of attribution and stigma on working relationships with providers
practicing Western psychiatry in the Taiwanese context.
AB - This study examined influences of causal attributions of schizophrenia and
perceived and internalized mental illness stigma on perceived working alliance
with, and informational support received from doctors practicing Western
psychiatry in the Taiwanese social-cultural context. This cross-sectional
quantitative study used a non-probability, purposive sampling technique to
recruit 212 Taiwanese diagnosed with schizophrenia from Taiwanese Alliance of the
Mentally Ill, 4 community mental health rehabilitation centres and 2 psychiatric
hospitals between July 2012 and March 2013. Linear regression models were used
for analysis. The results showed that environmental attributions were positively
associated with both perceived working alliance and perceived informational
support, while supernatural attributions were negatively associated with
perceived working alliance and perceived informational support. Perceived stigma
had a negative association with perceived working alliance. The discrimination
domain of internalized stigma specifically had a positive association with
perceived working alliance, while the withdraw domain had a negative association
with perceived informational support. Findings inform the importance of
culturally sensitive practices in developing an effective working relationship.
Western psychiatric care providers need to explore consumers' casual attributions
of mental illness and understand the impact of stigma so that providers may
successfully engage consumers in care and provide tailored illness education and
information.
PMID- 24938186
TI - Frequency and clinicopathological features of fibroelastotic changes in the
gastrointestinal tract.
AB - Fibroelastotic changes (FEC) and especially elastotic polyps of the
gastrointestinal (GI) tract are considered rare benign lesions. They consist of
accumulations of elastic fibers within the mucosal, submucosal, or muscular
layer, occurring in all parts of the GI tract and often appearing as polyps, but
also as diffuse non-polyp-forming deposits. They have been the subject of only a
few studies. To explore the clinical and histopathological features of FEC in the
GI tract, a series of 162 elastotic lesions was collected within a 2-year period.
The clinical data and endoscopic findings were correlated. FEC appeared as polyp
forming lesions of the large intestine in 23 samples (14 %), all other samples
concerning histological findings without an identifiable gross mass. Frequently
related findings were postinterventional status (9 %), previous irradiation (7
%), and history of GI lymphoma (4 %). Eight samples (5 %) presented
endoscopically with lesions justifying surgical intervention. We identified three
different histological patterns of FEC, which we have called fibroelastosis,
angioelastosis, and elastofibroma. Consistent with previous studies, CD34
immunohistochemical staining (performed on 38 polypoid FEC specimens) showed an
increase of CD34-positive mesenchymal cells in 95 % of immunostained samples,
suggesting a potential role for CD34-positive mesenchymal cells in the
accumulation of elastic fibers. In conclusion, FEC are more common in the GI
tract than previously recognized. They often present as a benign polyp. Many
accompany other diseases like ulcers and atrophic gastritis or represent a
residual finding after an intervention.
PMID- 24938187
TI - Effect of mechanical loads on stability of nanodomains in ferroelectric ultrathin
films: towards flexible erasing of the non-volatile memories.
AB - Intensive investigations have been drawn on nanoscale ferroelectrics for their
prospective applications such as developing memory devices. In contrast with the
commonly used electrical means to process (i.e., read, write or erase) the
information carried by ferroelectric domains, at present, mechanisms of non
electrical processing ferroelectric domains are relatively lacking. Here we make
a systematical investigation on the stability of 180 degrees cylindrical domains
in ferroelectric nanofilms subjected to macroscopic mechanical loads, and explore
the possibility of mechanical erasing. Effects of domain size, film thickness,
temperature and different mechanical loads, including uniform strain, cylindrical
bending and wavy bending, have been revealed. It is found that the stability of a
cylindrical domain depends on its radius, temperature and film thickness. More
importantly, mechanical loads have great controllability on the stability of
cylindrical domains, with the critical radius nonlinearly sensitive to both
strain and strain gradient. This indicates that erasing cylindrical domain can be
achieved by changing the strain state of nanofilm. Based on the calculated phase
diagrams, we successfully simulate several mechanical erasing processes on 4 * 4
bits memory devices. Our study sheds light on prospective device applications of
ferroelectrics involving mechanical loads, such as flexible memory devices and
other micro-electromechanical systems.
PMID- 24938188
TI - The neurotoxicity of 5-S-cysteinyldopamine is mediated by the early activation of
ERK1/2 followed by the subsequent activation of ASK1/JNK1/2 pro-apoptotic
signalling.
AB - Parkinson's disease is characterized by the progressive and selective loss of
dopaminergic neurons in the substantia nigra. It has been postulated that
endogenously formed CysDA (5-S-cysteinyldopamine) and its metabolites may be, in
part, responsible for this selective neuronal loss, although the mechanisms by
which they contribute to such neurotoxicity are not understood. Exposure of
neurons in culture to CysDA caused cell injury, apparent 12-48 h post-exposure. A
portion of the neuronal death induced by CysDA was preceded by a rapid uptake and
intracellular oxidation of CysDA, leading to an acute and transient activation of
ERK2 (extracellular-signal-regulated kinase 2) and caspase 8. The oxidation of
CysDA also induced the activation of apoptosis signal-regulating kinase 1 via its
de-phosphorylation at Ser967, the phosphorylation of JNK (c-Jun N-terminal
kinase) and c-Jun (Ser73) as well as the activation of p38, caspase 3, caspase 8,
caspase 7 and caspase 9. Concurrently, the inhibition of complex I by the
dihydrobenzothiazine DHBT-1 [7-(2-aminoethyl)-3,4-dihydro-5-hydroxy-2H-1,4
benzothiazine-3-carboxylic acid], formed from the intracellular oxidation of
CysDA, induces complex I inhibition and the subsequent release of cytochrome c
which further potentiates pro-apoptotic mechanisms. Our data suggest a novel
comprehensive mechanism for CysDA that may hold relevance for the selective
neuronal loss observed in Parkinson's disease.
PMID- 24938189
TI - Pain in rheumatic diseases: how relevant is it?
AB - Pain, a complex phenomenon influenced by a series of genetic, biological,
psychological and social factors, is a major component of many rheumatological
conditions and the result of physiological interactions between central and
peripheral nervous system signalling. It may be acute or chronic (generally
defined as lasting >= three months): acute pain is often primarily attributable
to inflammation and/or damage to peripheral structures (i.e. nociceptive input),
whereas chronic pain is more likely to be due to input from the central nervous
system (CNS). The many different aspects of pain mean that rheumatologists and
other clinicians need to have enough expertise to diagnose the type of pain
correctly and treat it appropriately. However, most rheumatologists receive
little formal training concerning contemporary theories of pain processing or
management, and this may affect the clinical results of any specific target
therapy.
PMID- 24938190
TI - Physiopathology of pain in rheumatology.
AB - Pain is the main manifestation of many rheumatic diseases (be they overtly
inflammatory such as rheumatoid arthritis or dysfunctional such as fibromyalgia)
but, at least initially, the mechanisms involved in the genesis, amplification
and chronicisation of the persistent pain characterising the various conditions
can be very different. The main peripheral mechanism underlying acute nociceptive
pain is a change in the activity of the nociceptors located in the affected
anatomical structures (joints, tendons and ligaments), which makes them more
sensitive to normally painful stimuli (hyperalgesia) or normally non-painful
stimuli (allodynia). This physiopathological mechanism of peripheral
sensitisation plays a primary role in rheumatic diseases characterised by acute
inflammation, such as the arthritides due to microcrystals. In the case of
chronic rheumatic diseases that do not regress spontaneously, functional and
structural central nervous system changes cause a generalised reduction in the
pain threshold that is not limited to the anatomical structures involved, thus
leading to the appearance of hyperalgesia and allodynia in many, if not all body
districts. This is the physiopathological basis of chronic, widespread
musculoskeletal pain.
PMID- 24938191
TI - Pharmacology of pain.
AB - This article discusses the mechanisms of action of the main drugs used to treat
pain, in particular inflammatory pain. The drugs are described following a
classification based on the steps of pain processing that they primarily affect.
PMID- 24938192
TI - Pain in rheumatoid arthritis: a critical review.
AB - Patients with rheumatoid arthritis (RA) are frequently afflicted by pain, which
may be caused by joint inflammation (leading to structural joint damage) or
secondary osteoarthritis, and may be increased by central sensitisation. Non
inflammatory pain may also confuse the assessment of disease activity, and so the
aim of treatment is not only to combat inflammatory disease, but also relieve
painful symptoms. In order to ensure effective treatment stratification, it is
necessary to record a patients medical history in detail, perform a physical
examination, and objectively assess synovitis and joint damage. The management of
pain requires various approaches that include pharmacological analgesia and
biological and non-biological treatments. Although joint replacement surgery can
significantly improve RA-related pain, it may only be available to patients with
the most severe advanced disease.
PMID- 24938193
TI - Chronic widespread pain in spondyloarthritis.
AB - The pain associated with spondyloarthritis (SpA) can be intense, persistent and
disabling. It frequently has a multifactorial, simultaneously central and
peripheral origin, and may be due to currently active inflammation, or joint
damage and tissue destruction arising from a previous inflammatory condition.
Inflammatory pain symptoms can be reduced by non-steroidal anti-inflammatory
drugs, but many patients continue to experience moderate pain due to alterations
in the mechanisms that regulate central pain, as in the case of the chronic
widespread pain (CWP) that characterises fibromyalgia (FM). The importance of
distinguishing SpA and FM is underlined by the fact that SpA is currently treated
with costly drugs such as tumour necrosis factor (TNF) inhibitors, and direct
costs are higher in patients with concomitant CWP or FM than in those with FM or
SpA alone. Optimal treatment needs to take into account symptoms such as fatigue,
mood, sleep, and the overall quality of life, and is based on the use of
tricyclic antidepressants or selective serotonin reuptake inhibitors such as
fluoxetine, rather than adjustments in the dose of anti-TNF agents or disease
modifying drugs.
PMID- 24938194
TI - Pain and systemic lupus erythematosus.
AB - Systemic lupus erythematosus (SLE) is an autoimmune disease characterized by
heterogeneous clinical manifestations involving virtually the entire body. The
pain in SLE can have different causes. The SLE classification criteria include
mainly the musculoskeletal manifestations of pain, which are commonly reported as
initial symptoms of SLE, such as arthralgia, arthritis and/or myalgia. Chronic
widespread pain, which is typical of fibromyalgia (FM), is frequently associated
with SLE. The aim of this review is to describe widespread pain and fatigue in
SLE, and the association of SLE and FM. Although secondary FM is not correlated
with the disease activity, it may interfere with the daily activities of SLE
patients. Therefore it is necessary to identify its symptoms and treat them
promptly to improve the quality of life of patients. In conclusion, it is
essential to identify the origin of pain in SLE in order to avoid dangerous over
treatment in patients with co-existing widespread pain and FM.
PMID- 24938195
TI - Pain in Sjogren's syndrome.
AB - Sjogren's syndrome (SjS) is an autoimmune disease that affects the salivary and
lacrimal glands, but it can also have extra-glandular manifestations. Although
pain has not yet been fully studied and characterized, it is a symptom that can
be often found in patients with SjS, who mainly complain of neuropathic pain,
followed by nociceptive pain. The latter when combined with widespread
dysfunctional symptoms is defined fibromyalgia. The aim of this work is to
analyze the scientific literature on the presence of pain in patients with
primary Sjogren's syndrome.
PMID- 24938196
TI - Pain in systemic sclerosis.
AB - Chronic pain is a healthcare problem that significantly affects the mental
health, and the professional and private life of patients. It can complicate many
disorders and represents a common symptom of rheumatologic diseases, but the data
on its prevalence is still limited. Pain is a ubiquitous problem in systemic
sclerosis (SSc). SSc-related pain has been studied on the basis of biomedical
models and is considered a symptom caused by the disease activity or previous
tissue damage. Effective pain management is a primary goal of the treatment
strategy, although this symptom in SSc has not yet been investigated in detail.
However, these patients do not all respond adequately to pharmacological pain
therapies, therefore in these cases a multimodal approach needs to be
adopted.This paper must be considered as retracted due to a plagiarism
misconduct.See the Retraction note at:
https://doi.org/10.4081/reumatismo.2018.1171
PMID- 24938197
TI - Pain and microcrystalline arthritis.
AB - Microcrystals are responsible for some of the most common and complex
arthropathies which are often accompanied by intense, severe pain and
inflammatory reactions. The main pathogens are crystals of monosodium urate
(MSU), responsible for the gout, calcium pyrophosphate (CPP), which deposits also
in various clinical forms of arthopathies, and basic calcium phosphate associated
with osteoarthritis. In this context, the microcrystal arthritis is characterized
by multiple, acute attacks followed by chronic pain, disability, impaired quality
of life, and increased mortality. Given their chronic nature, they represent an
ever more urgent public health problem. MSU and CPP crystals are also able to
activate nociceptors. The pain in mycrocrystalline arthritis (MCA) is an
expression of the inflammatory process. In the course of these diseases there is
an abundant release of inflammatory molecules, including prostaglandins 2 and
kinins. Interleukin-1 represents the most important cytokine released during the
crystal-induced inflammatory process. Therefore, clinically, pain is the most
important component of MCA, which lead to functional impairment and disability in
a large proportion of the population. It is fundamental to diagnose these
diseases as early as possible, and to this aim, to identify appropriate and
specific targets for a timely therapeutic intervention.
PMID- 24938198
TI - The sources of pain in osteoarthritis: a pathophysiological review.
AB - The pain of osteoarthritis (OA) has multifaceted etiologies within and outside
the joint. It is believed to be driven by both nociceptive and neuropathic
mechanisms, as well as abnormal excitability in the pain pathways of the
peripheral and central nervous system. Inflammation in the joint triggers a
cascade of events that leads to peripheral sensitization, increased sensitivity
of nociceptive primary afferent neurons, and hyperexcitability of the nociceptive
neurons in the central nervous system. Pain receptors have been found in the
synovium, ligaments, capsule, subchondral bone and surrounding tissues, with the
exception of articular cartilage. The bone-related causes of pain in OA include
subchondral microfractures, bone stretching with elevation of the periosteum due
to osteophyte growth, bone remodeling and repair, bone marrow lesions, and bone
angina caused by decreased blood flow and increased intra-osseous pressure.
Central factors alter pain processing by setting the gain in such a way that,
when a peripheral input is present, it is processed against a background of
central factors that can enhance or diminish the experience of pain. As a complex
phenomenon with a strong subjective component, pain can also be influenced by the
nature of the underlying disease, personal predisposition (biological and
psychological), and environmental and psychosocial factors. This review examines
the current literature regarding the sources and mechanisms of pain in OA.
PMID- 24938199
TI - Pain in fibromyalgia and related conditions.
AB - Pain is the hallmark symptom of fibromyalgia (FM) and other related syndromes,
but quite different from that of other rheumatic diseases, which depends on the
degree of damage or inflammation in peripheral tissues. Sufferers are often
defined as patients with chronic pain without an underlying mechanistic cause,
and these syndromes and their symptoms are most appropriately described as
"central pain", "neuropathic pain", "nonnociceptive pain" or "central sensitivity
syndromes". The pain is particular, regional or widespread, and mainly relates to
the musculoskeletal system; hyperalgesia or allodynia are typical. Its origin is
currently considered to be distorted pain or sensory processing, rather than a
local or regional abnormality. FM is probably the most important and extensively
described central pain syndrome, but the characteristics and features of FM
related pain are similar in other disorders of particular interest for
rheumatologists, such as myofascial pain syndromes and temporo-mandibular joint
disorders, and there is also an intriguing overlap between FM and benign joint
hypermobility syndrome. This suggests that the distinctive aspects of pain in
these idiopathic or functional conditions is caused by central nervous system
hypersensitivity and abnormalities. Pharmacological and non-pharmacological
therapies have been suggested for the treatment of these conditions, but a
multidisciplinary approach is required in order to reduce the abnormal cycle of
pain amplification and the related maladaptive and self-limiting behaviours.
PMID- 24938200
TI - Chronic pelvic pain: comorbidity between chronic musculoskeletal pain and
vulvodynia.
AB - Chronic pelvic pain (CPP) is a common condition that has a major impact on the
quality of life of both men and women. Male CPP is usually attributable to well
defined urogenital conditions (most frequently infectious/non infectious
prostatic diseases) or musculoskeletal or bowel diseases, whereas the features of
female CPP are much more complex and are of particular clinical and
epidemiological importance. It is a multifactorial syndrome that can be due to
diseases of the urogenital, gastrointestinal, or musculoskeletal systems, or to
neurological or neuropsychiatric disorders. It is not always easy to identify its
predominant pathogenesis, although it often occurs as a central sensitization
syndrome triggered by an initial stimulus which is no longer detectable and only
manifests itself clinically through pain. In this respect, there are some very
interesting relationships between vulvodynia and fibromyalgic syndrome, as
identified in a preliminary study of women with chronic musculoskeletal pain in
which it was demonstrated that vulvar pain plays an important role, although it
is often overlooked and undiagnosed.
PMID- 24938201
TI - Anxiety and depression in rheumatologic diseases: the relevance of diagnosis and
management.
AB - The high prevalence of emotional disorders (anxiety, chronic stress, mood
depression) in patients with pain during rheumatologic diseases (particularly
fibromyalgia) is closely related to the common pathogenic mechanisms concerning
emotions and pain. Therefore a prompt identification of any psychic component of
pain, also by means of specific tools, is a must, because it can require an
adjustment of the therapeutic approach by combining both an analgesic treatment
and antidepressants and/or psychotherapeutic strategies.
PMID- 24938202
TI - Somatoform disorders and rheumatic diseases: from DSM-IV to DSM-V.
AB - Medically unexplained symptoms are considered 'somatoform disorders' in the
fourth edition of the Diagnostic and Statistical Manual of Mental Disorders (DSM
IV). The introduction of this nosographic category has been helpful in drawing
attention to a previously neglected area, but has not been successful in
promoting an understanding of the disorders' biological basis and treatment
implications, probably because of a series of diagnostic shortcomings. The newly
proposed DSM-V diagnostic criteria try to overcome the limitations of the DSM-IV
definition, which was organised centrally around the concept of medically
unexplained symptoms, by emphasising the extent to which a patient's thoughts,
feelings and behaviours concerning their somatic symptoms are disproportionate or
excessive. This change is supported by a growing body of evidence showing that
psychological and behavioural features play a major role in causing patient
disability and maintaining high level of health care use. Pain disorders is the
sub-category of DSM-IV somatoform disorders that most closely resembles
fibromyalgia. Regardless of the diagnostic changes recently brought about by DSM
V, neuroimaging studies have identified important components of the mental
processes associated with a DSM- IV diagnosis of pain disorder.
PMID- 24938203
TI - Costs of pain in rheumatology.
AB - Chronic pain has been identified as an important issue related to various
rheumatic diseases. At the time of a major government spending review, it is
appropriate to discuss the pain characterising rheumatic diseases and its related
costs. It is clearly essential for healthcare authorities to rationalise their
policies on the basis of the increasing expectations of the users of healthcare
services while simultaneously balancing their books. There are few published
studies concerning the costs of pain of any kind, and the same is true of the
costs of the chronic pain associated with diseases such as rheumatoid arthritis,
osteoarthritis, and fibromyalgia.
PMID- 24938204
TI - Zr(IV) loaded cross-linked chitosan beads with enhanced surface area for the
removal of nitrate and phosphate.
AB - In this work, a new method namely silica dissolution method, has been adapted to
increase the surface area of the cross-linked chitosan beads. Zr(IV) was loaded
in the cross-linked chitosan beads in order to make it selective for the nitrate
and phosphate anions from aqueous solutions. Zr(IV) loaded cross-linked chitosan
beads prepared by normal method (ZrCB) and silica dissolution method (SD-ZrCB)
were characterised using N2 adsorption/desorption studies, SEM, EDAX, XRD, FTIR,
TGA, DTA and water regain property. The SD-ZrCB exhibited higher N2 adsorption,
water regain property as well as anion adsorption capacity than ZrCB. Batch
method was adapted for the adsorption studies. The nitrate and phosphate adsorbed
SD-ZrCB was regenerated using 0.025M NaCl solution. There was not much drop in
adsorption capacities up to 10th regeneration cycle. Freundlich adsorption
isotherm was the best fit adsorption isotherm among Freundlich, Langmuir and
Dubinin-Radushkevich (D-R) isotherms which have been used to fit the nitrate and
phosphate adsorption data. Thermodynamic parameters such as DeltaG degrees ,
DeltaH degrees and DeltaS degrees were calculated in order to understand the
nature of adsorption process.
PMID- 24938205
TI - In vitro selection and identification of ssDNA aptamers recognizing the Ras
protein.
AB - The aim of this study was to develop high-affinity single-stranded DNA (ssDNA)
aptamers that can selectively recognize the protein Ras and can be used as
preventive and therapeutic agents for restenosis occurring after coronary surgery
or angioplasty. For this purpose, we used the systematic evolution of ligands by
exponential enrichment (SELEX) technique, also known as in vitro selection. Using
this technique, ssDNA aptamers recognizing the Ras protein were obtained from a
synthesized random ssDNA library in vitro. The binding rate and affinity of each
aptamer pool, isolated in successive rounds of selection, were measured using
ELISA, and the finally selected aptamer pool was cloned and sequenced. The
binding affinities of each aptamer in this pool were measured. Their primary and
secondary structures were analyzed using the DNAMAN 5.29 software, and the
relationship between these structures and corresponding binding affinities was
analyzed. The rate of aptamer pool binding to the Ras protein gradually increased
from 2.4 to 34.5% along the selection process. Optical density (OD) and
equilibrium dissociation constant (Kd) measurements showed that OD gradually
increased from 0.220 to 1.080 and Kd decreased from 51.5 to 18.3 nM. The 11th
pool of aptamers was selected based on these analyses, and cloning and sequencing
of individual aptamers was performed. Secondary structure analysis revealed
different conformations, but of a single type: stem-loop. The aptamer Ra1 showed
the highest affinity, with a measured OD of 1.213 and an estimated Kd of 15.3 nM.
The binding affinity of the aptamer Ra1 to Ras was dose-dependent. In conclusion,
high-affinity ssDNA aptamers recognizing the Ras protein have been successfully
selected. These aptamers may serve in the future as preventive and/or therapeutic
agents for restenosis occurring after coronary surgery or angioplasty.
PMID- 24938207
TI - Computational insights into the binding mechanism of antagonists with
neuropeptide B/W receptor 1.
AB - Neuropeptide B/W receptor 1 (NPBWR1), previously known as G-protein coupled
receptor 7 (GPR7), is a class A G-protein coupled receptor implicated in the
modulation of several neuroendocrine functions such as feeding behavior, energy
homeostasis, epilepsy, and analgesia. In recent years, a few antagonists have
been designed that bind to NPBWR1 with high affinity. However, the exact binding
modes between the antagonists and the receptor are still unknown. Unraveling the
key pharmacophoric features of the receptor will guide the development of novel
compounds with increased potency for therapeutic use. Here, we studied the
structural organization of NPBWR1 receptor and its antagonist binding modes
through computational approaches. Based on the dynamics and energetic features of
receptor-ligand interactions, we categorized the binding affinities of the
antagonists for NPBWR1 and identified key residues responsible for ligand
recognition by NPBWR1. Binding free energy calculations revealed that the
residues Trp102(ECL1), Val113(3.29), Gln281(ECL3), and Ala274(6.58) were crucial
for ligand interaction. The results of our study will be useful to understand the
structure-function relationship of NPBWR1 that may assist future drug discovery
initiatives.
PMID- 24938206
TI - Influence of catalyst structure and reaction conditions on anti- versus syn
aminopalladation pathways in Pd-catalyzed alkene carboamination reactions of N
allylsulfamides.
AB - The Pd-catalyzed coupling of N-allylsulfamides with aryl and alkenyl triflates to
afford cyclic sulfamide products is described. In contrast to other known Pd
catalyzed alkene carboamination reactions, these transformations may be
selectively induced to occur by way of either anti- or syn-aminopalladation
mechanistic pathways by modifying the catalyst structure and reaction conditions.
PMID- 24938208
TI - The rolC gene increases caffeoylquinic acid production in transformed artichoke
cells.
AB - Caffeoylquinic acids are found in artichokes, and they are currently considered
important therapeutic or preventive agents for treating Alzheimer's disease and
diabetes. We transformed artichoke [the cultivated cardoon or Cynara cardunculus
var. altilis DC (Asteraceae)] with the rolC gene, which is a known inducer of
secondary metabolism. High-performance liquid chromatography with UV and high
resolution mass spectrometry (HPLC-UV-HRMS) revealed that the predominant
metabolites synthesized in the transgenic calli were 1,5-dicaffeoylquinic acid,
3,4-dicaffeoylquinic acid, and chlorogenic acid. The rolC-transformed calli
contained 1.5% caffeoylquinic acids by dry weight. The overall production of
these metabolites was three times higher than that of the corresponding control
calli. The enhancing effect of rolC remained stable over long-term cultivation.
PMID- 24938209
TI - Induction and carbon catabolite repression of phenol degradation genes in
Rhodococcus erythropolis and Rhodococcus jostii.
AB - Rhodococcus erythropolis CCM2595 is able to efficiently utilize phenol and other
aromatic compounds. We cloned and sequenced its complete gene cluster - catA,
catB, catC, catR, pheR, pheA2, pheA1 - involved in the ortho-cleavage pathway of
phenol. The activity of the key enzyme of the phenol degradation pathway, two
component phenol hydroxylase, was found to be induced by phenol. When both phenol
and succinate were present in the medium, phenol hydroxylase activity decreased
substantially. To analyze the regulation of phenol degradation at the
transcriptional level, the transcriptional fusions of the divergently oriented
promoters PpheA2 and PpheR with the gfpuv reporter gene were constructed. The
promoters driving expression of the genes of the pheR-pheA2pheA1 cluster were
localized by determining the respective transcriptional start points.
Measurements of GFP fluorescence as well as quantitative RT-PCR revealed that
expression of the phe genes is induced by phenol at the transcriptional level.
The transcription of pheA2A1 and pheR was repressed by succinate, whereas no
repression by glucose or glycerol was observed. Activation of the R. erythropolis
CCM2595 pheA2 promoter by PheR, an AraC-type transcriptional regulator, was
demonstrated by overexpression of the pheR gene. Analysis of the transcriptional
regulation of two similar phe clusters from R. jostii RHA1 by various substrates
showed that the type of carbon catabolite repression and the temporal
transcriptional pattern during cultivation are different in each of the three phe
clusters analyzed.
PMID- 24938211
TI - A 2,6-bis(phenylamino)pyridinato titanium catalyst for the highly regioselective
hydroaminoalkylation of styrenes and 1,3-butadienes.
AB - The C-C bond forming catalytic hydroaminoalkylation of terminal alkenes, 1,3
dienes, or styrenes allows a direct and highly atom efficient (100 %) synthesis
of amines which can result in the formation of two regioisomers, the linear and
the branched product. We present a new titanium catalyst with 2,6
bis(phenylamino)pyridinato ligands for intermolecular hydroaminoalkylation
reactions of styrenes and 1-phenyl-1,3-butadienes that delivers the corresponding
linear hydroaminoalkylation products with excellent regioselectivities.
PMID- 24938213
TI - The promises and pitfalls of genetic epidemiologic approaches to pediatric
cancers: lessons from MDM2.
PMID- 24938212
TI - The impact of chronic physical illness, maternal depressive symptoms, family
functioning, and self-esteem on symptoms of anxiety and depression in children.
AB - The present study extends earlier research identifying an increased risk of
anxiety among children with chronic physical illness (CwCPI) by examining a more
complete model that explains how physical illness leads to increased symptoms of
anxiety and depression. We tested a stress-generation model linking chronic
physical illness to symptoms of anxiety and depression in a population-based
sample of children aged 10 to 15 years. We hypothesized that having a chronic
physical illness would be associated with more symptoms of anxiety and
depression, increased levels of maternal depressive symptoms, more family
dysfunction, and lower self-esteem; and, that maternal depressive symptoms,
family dysfunction, and child self-esteem would mediate the influence of chronic
physical illness on symptoms of anxiety and depression. Data came from the
National Longitudinal Survey of Children and Youth (N = 10,646). Mediating
processes were analyzed using latent growth curve modeling. Childhood chronic
physical illness was associated with increases in symptoms of anxiety and
depression, beta = 0.20, p < 0.001. Mediating effects were also observed such
that chronic physical illness resulted in increases in symptoms of maternal
depression and family dysfunction, leading to declines in child self-esteem, and
in turn, increases in symptoms of anxiety and depression. CwCPI are at-risk for
symptoms of anxiety and depression. Some of this elevated risk appears to work
through family processes and child self-esteem. This study supports the use of
family-centered care approaches among CwCPI to minimize burden on families and
promote healthy psychological development for children.
PMID- 24938214
TI - Childhood anxiety trajectories and adolescent disordered eating: findings from
the NICHD Study of Early Child Care and Youth Development.
AB - OBJECTIVE: The goal of the present article was to examine whether childhood
anxiety trajectories predict eating psychopathology. We predicted that girls with
trajectories of increasing anxiety across childhood would have significantly
greater risk of disordered eating in adolescence in comparison to girls with
stable or decreasing trajectories of anxiety over childhood. METHOD: Data were
collected as part of the prospective longitudinal NICHD Study of Early Child Care
and Youth Development (N = 450 girls). Childhood anxiety was assessed yearly (54
months through 6th grade) via maternal report on the Child Behavior Checklist.
Disordered eating behaviors were assessed at age 15 via adolescent self-report on
the Eating Attitudes Test (EAT-26). We conducted latent growth mixture modeling
to define girls' childhood anxiety trajectories. Maternal sensitivity, maternal
postpartum depression, maternal anxiety, and child temperament were included as
predictors of trajectory membership. RESULTS: The best fitting model included
three trajectories of childhood anxiety, the low-decreasing class (22.9% of
girls), the high-increasing class (35.4%), and the high-decreasing class (41.6%).
Mothers with more symptoms of depression and separation anxiety had girls who
were significantly more likely to belong to the high-increasing anxiety
trajectory. There were no significant differences in adolescent disordered eating
for girls across the three childhood anxiety trajectories. DISCUSSION: Childhood
anxiety, as captured by maternal report, may not be the most robust predictor of
adolescent disordered eating and may be of limited utility for prevention
programs that aim to identify children in the community at greatest risk for
disordered eating.
PMID- 24938217
TI - Bicuspid aortic valve endocarditis complicated by ruptured sinus of Valsalva
aneurysm.
PMID- 24938215
TI - Creativity and neurological disease.
AB - Although humans have long valued creativity, the generation of such innovation is
still incompletely understood. Looking at the healthy brain, researchers have
localized certain parts for a basic understanding of these mechanisms. By
researching the brain affected by neurological disease, scientists have observed
unique manifestations of creativity, such as in frontotemporal lobar
degeneration, Alzheimer's disease, Parkinson's disease and parkinsonian spectrum
disorders, and stroke, which help clarify these creative underpinnings.
Incorporating both healthy and disease models of cerebral functioning,
neurological and neuroscientific research from recent years has built on
established theories and expanded current knowledge.
PMID- 24938216
TI - Update on HIV dementia and HIV-associated neurocognitive disorders.
AB - The introduction of combined antiretroviral therapy (cART) has dramatically
reduced the risk of central nervous system opportunistic infection and severe
dementia secondary to HIV infection in the last two decades. However, a milder
form of HIV-associated neurocognitive disorder (HAND) remains prevalent in the
cART era and has a significant impact on patients' quality of life. In this
review, we outline updated research findings on investigating and monitoring
cognitive impairment in HAND patients. The outcomes of recent research on the
pathogenesis of HAND and how it overlaps with neurodegenerative diseases are
discussed. Lastly, there is a brief discussion of the results of clinical trials
using a brain-penetrating cART regimen.
PMID- 24938218
TI - Recurrent syncope associated with idiopathic jugular vein stenosis. Report of a
young female patient.
PMID- 24938219
TI - Right ventricular and atrial functions in systemic sclerosis patients without
pulmonary hypertension. Speckle-tracking echocardiographic study.
AB - BACKGROUND: Systemic sclerosis (SSc) is a systemic connective tissue disease and
cardiac involvement is one of the most important causes of death. Right
ventricular (RV) systolic dysfunction is a poor prognostic finding in SSc
patients. Assessment of RV function has some difficulties because of its crescent
shape and extensive trabeculations. Two-dimensional (2D) speckle-tracking
echocardiography (STE) is an angle-independent quantitative technique to evaluate
myocardial function. The aim of this study was to assess the RV and right atrial
(RA) functions of SSc patients without pulmonary hypertension by using 2D STE.
PATIENTS AND METHODS: A total of 40 patients with SSc (mean age 48.5 +/- 11.4
years, 28 female) and 40 healthy volunteers (mean age 45.9 +/- 7.6 years, 21
female) were included in the study. All subjects underwent transthoracic
echocardiography for evaluation of RV and RA functions with 2D STE. RESULTS:
Although left ventricular systolic and diastolic functions, systolic pulmonary
artery pressure (PAP), and RA measurements were similar in both groups, tricuspid
annular plane systolic excursion (TAPSE) and maximum systolic myocardial velocity
(S') were decreased in SSc patients. The RV free wall global longitudinal strain
(GLS) of SSc patients was lower than the controls (- 18.5 +/- 4.9 % vs. - 21.8 +/
2.4 %, p < 0.001) and the RA reservoir and conduit functions were also decreased
in SSc patients compared with controls (34.4 +/- 9.9 % vs. 39.7 +/- 11.2 %, p =
0.027 and 15.0 +/- 5.7 % vs. 18.7 +/- 6.4 %, p = 0.009, respectively). Disease
duration was inversely correlated with RVGLS and TAPSE (r: - 0.416, p = 0.018 and
r: - 0.383, p = 0.031, respectively). CONCLUSION: The use of 2D STE can be
helpful in the detection of impairment in RV and RA functions in SSc patients
with normal PAP.
PMID- 24938221
TI - DFT calculations on kinetic data for some [4+2] reactions in solution.
AB - The reaction mechanisms of [4+2] cycloaddition reactions between dienes and
dienophiles have been investigated with several density functional theory (DFT)
methods, such as CAM-B3LYP, BMK, M062x wB97x and wB97xd, and the obtained results
show that most of the reactions are synchronous or asynchronous. The stability of
the transition state is moderated by the interaction of frontier molecular
orbitals (FMOs), in which a diene acts as an electron-donating partner and a
dienophile acts as an electron-acceptor from the charge transfer direction in the
transition state. The activation free energy barriers have been calculated with
both gas-phase translational entropy and solution translational entropy, in which
those from gas-phase translational entropy (output of the Gaussian job) are far
away from the experimental estimations. It has been found that free-energy
barriers generated from solution translational entropies with CAM-B3LYP+IDSCRF/6
31G(d), BMK+IDSCRF/6-31G(d) and wB97x+IDSCRF/6-31G(d) are very close to the
experimental measurements, but both M062x and wB97xd methods predict too low free
energy barriers for most of the studied reactions. The substituent and solvent
effects on reaction dynamic data have also been addressed.
PMID- 24938220
TI - Suspected inflammatory cardiomyopathy. Prevalence of Borrelia burgdorferi in
endomyocardial biopsies with positive serological evidence.
AB - BACKGROUND: Cardiac involvement in Lyme disease, caused by the tick-borne
spirochete Borrelia burgdorferi, has been reported to occur in 0.3-4 % of
infected patients in Europe. Cardiac manifestations may include conduction
disturbances, and also myocarditis, pericarditis, and left ventricular
dysfunction. We investigated the prevalence of B. burgdorferi DNA in
endomyocardial biopsies from patients with suspected inflammatory heart disease
and positive serology for B. burgdorferi. METHODS AND RESULTS: In 64 patients,
endomyocardial biopsies were taken after exclusion of coronary heart disease by
coronary angiography, and investigated with polymerase chain reaction (PCR) for
the presence of B. burgdorferi and cardiotropic viruses. B. burgdorferi DNA was
not detected in any of the endomyocardial biopsies. Viruses, particularly
parvovirus B19, were detected as infectious agents in 19 (30 %) patients.
CONCLUSION: The results of our study demonstrate that PCR analysis of
endomyocardial biopsies from patients with suspected inflammatory heart disease,
including individuals with dilated cardiomyopathy (DCM) and positive serology for
B. burgdorferi, did not reveal the B. burgdorferi genome in any biopsy sample.
PMID- 24938210
TI - Developmental consequences of fetal exposure to drugs: what we know and what we
still must learn.
AB - Most drugs of abuse easily cross the placenta and can affect fetal brain
development. In utero exposures to drugs thus can have long-lasting implications
for brain structure and function. These effects on the developing nervous system,
before homeostatic regulatory mechanisms are properly calibrated, often differ
from their effects on mature systems. In this review, we describe current
knowledge on how alcohol, nicotine, cocaine, amphetamine, Ecstasy, and opiates
(among other drugs) produce alterations in neurodevelopmental trajectory. We
focus both on animal models and available clinical and imaging data from cross
sectional and longitudinal human studies. Early studies of fetal exposures
focused on classic teratological methods that are insufficient for revealing more
subtle effects that are nevertheless very behaviorally relevant. Modern
mechanistic approaches have informed us greatly as to how to potentially
ameliorate the induced deficits in brain formation and function, but conclude
that better delineation of sensitive periods, dose-response relationships, and
long-term longitudinal studies assessing future risk of offspring to exhibit
learning disabilities, mental health disorders, and limited neural adaptations
are crucial to limit the societal impact of these exposures.
PMID- 24938222
TI - Sensitivity improvement in fluorescence-based particle detection.
AB - Microfluidic flow cytometers are highly interesting candidates for biomedical
point-of-care applications. However, the sensitivity, reliability, and throughput
of these systems must be improved to provide the full functionality of
established flow cytometric systems. One proposed method to improve fluorescence
detection systems is to use spatial modulation techniques. We derive the noise
related statistics and calculate the coefficient of variation for a detection
system with and without spatial modulation. We measure the noise properties of a
nonmodulated microfluidic fluorescence particle detection system and analyze the
possible performance gains using spatial modulation.
PMID- 24938223
TI - Vanadium-controlled crystallization of stereoisomers of NBu4[VO2(N-salicylidene
isoleucinato)] through epimerization.
AB - Reported herein is a simple synthetic and crystallization procedure for
sequential isolation of two stereoisomers of isoleucine-derived vanadium(V)
complexes from a racemic mixture with three stereogenic centers and therefore
eight hypothetical species. The products of this crystallization were
characterized by electronic and vibrational circular dichroism, NMR spectroscopy,
and polarimetry to compare the chiroptic properties of the enantiomerically pure
analogues prepared from L-isoleucine and D-allo-isoleucine. NMR studies pointed
to the yet unobserved phenomenon of vanadium-catalyzed epimerization of
isoleucine.
PMID- 24938224
TI - The HYPERFlax trial for determining the anti-HYPERtensive effects of dietary
flaxseed in newly diagnosed stage 1 hypertensive patients: study protocol for a
randomized, double-blinded, controlled clinical trial.
AB - BACKGROUND: In 2013 the World Health Organization deemed hypertension as a global
crisis as it is the leading risk factor attributed to global mortality.
Therefore, there is a great need for effective alternative treatment strategies
to combat a condition that affects 40% of adults worldwide. Recently, the FlaxPAD
Trial observed a significant reduction in systolic and diastolic blood pressure
in hypertensive patients with peripheral arterial disease that consumed 30 g of
milled flaxseed per day for one year. However, these patients were already on
anti-hypertensive medication. Therefore, there is a need to assess if dietary
flaxseed can effectively reduce blood pressure in the absence of peripheral
arterial disease and anti-hypertensive medication in newly diagnosed hypertensive
patients. METHODS/DESIGN: The HYPERFlax Trial is a parallel, superiority, phase
II/III, randomized, double-blinded, controlled clinical trial. St. Boniface
Hospital and the Health Sciences Centre of Winnipeg, Canada, will recruit 100
participants newly diagnosed with stage 1 hypertension who have yet to be
administered anti-hypertensive medication. Participants will be randomly
allocated with a 1:1 ratio into a flaxseed or control group and provided food
products to consume daily for six months. At baseline, two, four, and six months,
participant assessments will include the primary outcome measure, averaged
automated blood pressure, and secondary measures: 24-hour food recall,
international physical activity questionnaire, anthropometrics, and blood and
urine sampling for biochemical analysis. Plasma will be assessed for lipids,
metabolomics profiling, and molecules that regulate vascular tone. Urine will be
collected for metabolomics profiling. With an estimated dropout rate of 20%, the
trial will have a power of 0.80 to detect differences between groups and across
time, out of an effect size of 0.7 (SD) at an alpha level of 0.05. DISCUSSION:
This trial will determine if dietary flaxseed is efficacious over six months as
an anti-hypertensive therapy in subjects newly diagnosed with hypertension. If
flaxseed can effectively reduce blood pressure as a monotherapy, then flaxseed
will provide individuals on a global basis with a cost-effective food-based
strategy to control hypertension. TRIAL REGISTRATION: NCT01952340, Registered 24
September 2013.
PMID- 24938225
TI - Activation of multiple transcriptional regulators by growth restriction in
Pseudomonas aeruginosa.
AB - Growth restriction by antibiotics is a common feature that pathogenic bacteria
must overcome for survival. The struggle of bacteria to escape from growth
restriction eventually results in development of antibiotic-resistance through
the expression of a set of genes. Here we found that some physiologically
important transcriptional regulators of Pseudomonas aeruginosa including QscR, a
quorum sensing (QS) receptor, SoxR, a superoxide sensor-regulator, and AntR, a
regulator of anthranilate-related secondary metabolism, are activated by various
growth-restricted conditions. We generated the growth-restricted conditions by
various methods, such as overexpression of PA2537 and treatment with antibiotics
or disinfectants. The overexpression of PA2537, encoding an acyltransferase
homologue, tightly restricted the growth of P. aeruginosa and significantly
activated QscR during the growth restriction. Similarly, treatments with
gentamycin, tetracycline, and ethanol also activated QscR near their minimal
inhibitory concentrations (MICs). Some non-QS regulators, such as AntR and SoxR,
were also activated near the MICs in the same conditions. However, LasR and PqsR,
other QS receptors of P. aeruginosa, were not activated, suggesting that only a
specific set of transcriptional regulators is activated by growth restriction.
Since paraquat, a super-oxide generator, significantly activated QscR and AntR,
we suggest that the oxidative stress generated by growth restriction may be
partly involved in this phenomenon.
PMID- 24938226
TI - Effect of body mass index on global DNA methylation in healthy Korean women.
AB - Obesity is known to be strongly associated with cardiovascular disease and
cancer, the leading causes of mortality worldwide, and develops owing to
interactions between genes and the environment. DNA methylation can act as a
downstream effector of environmental signals, and analysis of this process
therefore holds substantial promise for identifying mechanisms through which
genetic and environmental factors jointly contribute to disease risk. Global DNA
methylation of peripheral blood cells has recently been proposed as a potential
biomarker for disease risk. Repetitive element DNA methylation has been shown to
be associated with prominent obesity-related chronic diseases, but little is
known about its relationship with weight status. In this study, we quantified the
methylation of Alu elements in the peripheral blood DNA of 244 healthy women with
a range of body mass indexes (BMIs) using pyrosequencing technology. Among the
study participants, certain clinical laboratory parameters, including hemoglobin,
serum glutamic oxaloacetic transaminase, serum glutamic-pyruvic transaminase,
total cholesterol, and triglyceride levels were found to be strongly associated
with BMI. Moreover, a U-shaped association between BMI and Alu methylation was
observed, with the lowest methylation levels occurring at BMIs of between 23 and
30 kg/m(2). However, there was no significant association between Alu methylation
and age, smoking status, or alcohol consumption. Overall, we identified a
differential influence of BMI on global DNA methylation in healthy Korean women,
indicating that BMI-related changes in Alu methylation might play a complex role
in the etiology and pathogenesis of obesity. Further studies are required to
elucidate the mechanisms underlying this relationship.
PMID- 24938227
TI - Monitoring the differentiation and migration patterns of neural cells derived
from human embryonic stem cells using a microfluidic culture system.
AB - Microfluidics can provide unique experimental tools to visualize the development
of neural structures within a microscale device, which is followed by guidance of
neurite growth in the axonal isolation compartment. We utilized microfluidics
technology to monitor the differentiation and migration of neural cells derived
from human embryonic stem cells (hESCs). We co-cultured hESCs with PA6 stromal
cells, and isolated neural rosette-like structures, which subsequently formed
neurospheres in suspension culture. Tuj1-positive neural cells, but not nestin
positive neural precursor cells (NPCs), were able to enter the microfluidics
grooves (microchannels), suggesting that neural cell-migratory capacity was
dependent upon neuronal differentiation stage. We also showed that bundles of
axons formed and extended into the microchannels. Taken together, these results
demonstrated that microfluidics technology can provide useful tools to study
neurite outgrowth and axon guidance of neural cells, which are derived from human
embryonic stem cells.
PMID- 24938228
TI - Nuclease delivery: versatile functions of SLX4/FANCP in genome maintenance.
AB - As a scaffold, SLX4/FANCP interacts with multiple proteins involved in genome
integrity. Although not having recognizable catalytic domains, SLX4 participates
in diverse genome maintenance pathways by delivering nucleases where they are
needed, and promoting their cooperative execution to prevent genomic
instabilities. Physiological importance of SLX4 is emphasized by the
identification of causative mutations of SLX4 genes in patients diagnosed with
Fanconi anemia (FA), a rare recessive genetic disorder characterized by genomic
instability and predisposition to cancers. Recent progress in understanding
functional roles of SLX4 has greatly expanded our knowledge in the repair of DNA
interstrand crosslinks (ICLs), Holliday junction (HJ) resolution, telomere
homeostasis and regulation of DNA damage response induced by replication stress.
Here, these diverse functions of SLX4 are reviewed in detail.
PMID- 24938231
TI - A new field-of-view autotracking method for online tomography reconstruction
based on back-projected ray image cross-correlation.
AB - We devised a new field-of-view autotracking method for online tomography
reconstruction based on a cross-correlation between a pair of neighbours, called
back-projected ray images, among a specimen tilt sequence. One ray image is
calculated through normal filtered back-projection only in the cross-sectional
plane from each projection image. This ray-image matching can reliably track the
field-of-view because a pair of neighbouring ray images mostly cross-correlates
at the existing three-dimensional object position. Online experiments using real
specimens resulted in successful autotracking performance with high accuracy, and
online tomograms were obtained immediately after the final tracking at the last
tilting angle.
PMID- 24938232
TI - [Epidomiological characteristics of infective endocarditis: a study of 135
cases].
AB - BACKGROUND: Infective endocarditis (IE) is a serious pathology which is in a
continuum evolution in the setting of diagnosis and therapeutic fields AIM: To
describe clinical, echocardiographic, microbiological, therapeutic and outcome
characteristics of infective endocarditis in a Tunisian population. METHODS: The
records of 135 patients admitted to adult cardiology department of la Rabta
hospital between January 1981 and December 2011 were collected. The diagnosis of
certain IE was retained according to modified Duke Criteria. RESULTS: The mean
age of patients was 38.5 +/- 16 years, with a male predominance (sex ratio: 1.4).
IE affected native valves in 77% of cases, prosthetic valve in 15.5% of cases,
congenital heart disease in 2% and pacemaker in 1.48% of patients. The portal of
entry was identified in 43.7% of the patients, the oral origin was predominant.
Blood cultures were positive in only 34% of patients. Causative microorganism was
staphylococcus in 43.6%, streptococcus in 43.6% and negative bacill gram in 17.4%
of patients. Echocardiography showed vegetation in 98% of patients, cardiac
abscess in 23.7% of patients and valve mutilation in 17.7% of cases. Prosthesis
dehiscence was present in 4.5% of cases. Complications were primarily hemodynamic
(57%) followed by embolic events (34%). Surgery occurred in 57.7% of patients, it
was early in 69% of cases. The indication was mainly hemodynamic and mixed in
70%of patients. Hospital mortality was 28% with predictor's factors: left heart
(p=0.02), prosthesis (p <0.05), staphylococcus (p<0.005), heart failure (p<0.05)
and neurological complications (p=0.04). CONCLUSION: According to our study,
infective endocarditis has always touched a young population in Tunisia,
rheumatic valve disease is still the predominant underlying heart disease and
both streptococcus and staphylococcus are most frequently isolated. Mortality
remains high despite considerable progress in terms of diagnosis and therapy.
PMID- 24938229
TI - Hypoxia-induced endothelial progenitor cell function is blunted in
angiotensinogen knockout mice.
AB - Angiotensinogen (AGT), the precursor of angiotensin I, is known to be involved in
tumor angiogenesis and associated with the pathogenesis of coronary
atherosclerosis. This study was undertaken to determine the role played by AGT in
endothelial progenitor cells (EPCs) in tumor progression and metastasis. It was
found that the number of EPC colonies formed by AGT heterozygous knockout (AGT(+/
)) cells was less than that formed by wild-type (WT) cells, and that the
migration and tube formation abilities of AGT(+/-) EPCs were significantly lower
than those of WT EPCs. In addition, the gene expressions of vascular endothelial
growth factor (VEGF), Flk1, angiopoietin (Ang)-1, Ang-2, Tie-2, stromal derived
factor (SDF)-1, C-X-C chemokine receptor type 4 (CXCR4), and of endothelial
nitric oxide synthase (eNOS) were suppressed in AGT(+/-) EPCs. Furthermore, the
expressions of hypoxia-inducible factor (HIF)-1alpha and -2alpha were
downregulated in AGT(+/-) early EPCs under hypoxic conditions, suggesting a
blunting of response to hypoxia. Moreover, the activation of Akt/eNOS signaling
pathways induced by VEGF, epithelial growth factor (EGF), or SDF-1alpha were
suppressed in AGT(+/-) EPCs. In AGT(+/-) mice, the incorporation of EPCs into the
tumor vasculature was significantly reduced, and lung tumor growth and melanoma
metastasis were attenuated. In conclusion, AGT is required for hypoxia-induced
vasculogenesis.
PMID- 24938233
TI - [Primary biliary cirrhosis: a retrospective study of 36 cases].
AB - BACKGROUND: Primary biliary cirrhosis is a rare cholestasis liver disease
affecting the women of mature age,it association with Autoimmune hepatitis
defined the overlap syndrome. AIM: It was to determine epidemiological, clinic
biological, immunological, histological, therapeutic and evolutive
characteristics of PBC and to compare them to those in subjects having an overlap
syndrome. METHODS: It is a retrospective study grouping all the cases of PBC
hospitalized over a period of 15 years (1995-2009) in the hepatogastro-enterology
department at Sahloul hospital in Sousse. RESULTS: Thirty six cases were grouped
over the period of the study. They were all women. The mean age was 54.5 years
(28-79). The disease was symptomatic at the moment of diagnosis in 75% of the
cases. The functional signs revealing the disease were principally asthenia,
present in 69.4% of the cases, followed by jaundice and prurit. Cholestasis was
constant in all the patients, stage III of scheuer was the most frequent in the
patients (25%) followed by stage IV (22%). OS was present in 1/3 of the patients;
the treatment is based on the prescription of urso-desoxycholic Acid associated
with corticoids and with immunosuppressors in the case of OS. The average
survival of the patient having PBC and OS was comparable. It was respectively of
61.8 and 55.9 months. CONCLUSION: Our results as well as the literature reveal
the rarety of this disease and its predominance in women. PBC has to be diagnosed
at an early stage to guarantee a better response and a better survival of the
patients.
PMID- 24938234
TI - [The morbidity diagnosed among the elderly in primary care at the sanitary region
of Sousse].
AB - BACKGROUND: Nowadays, the ageing of the population became a societal frequent
problem throughout the world. In Tunisia, the part of the elderly of 60 years old
and over is estimated at 17,7 % in 2029. This ageing is at the origin of
important health problems, in particular the increase of the frequency of the
chronic diseases. AIM: To identify the Sociodemographic characteristics of the
consultants of 65 years old and over, and to describe the morbidity diagnosed in
this age group in the primary health care centers of the sanitary region of
Sousse. METHODS: We conducted a cross-sectional descriptive study in 86 primary
health care centers in Sousse over 1 year (2002-2003). Medical records for 3
weeks per season were randomly selected. The International Classification of
Primary Care (ICPC) was used to code recorded data. RESULTS: 2198 consultations
were collected, elderly person presents on average 1,22 (+/- 0,55) morbid states
by consultation. The main diseases identified in order were cardiovascular
(26,2%), breathing (20,8%), osteo-articular (14,8%). Psychological and social
disorders accounted for only 0,4% of cases. The major health problems were
uncomplicated hypertension (22,4%), acute bronchitis / bronchiolitis (9,2%),
diabetes mellitus type 2 (7,9%) and osteoarthritis of knee (4,7%). CONCLUSION:
These results are important both to meet the needs of consultants and especially
to better adapt the training to practice field.
PMID- 24938230
TI - Strategies for improving potassium use efficiency in plants.
AB - Potassium is a macronutrient that is crucial for healthy plant growth. Potassium
availability, however, is often limited in agricultural fields and thus crop
yields and quality are reduced. Therefore, improving the efficiency of potassium
uptake and transport, as well as its utilization, in plants is important for
agricultural sustainability. This review summarizes the current knowledge on the
molecular mechanisms involved in potassium uptake and transport in plants, and
the molecular response of plants to different levels of potassium availability.
Based on this information, four strategies for improving potassium use efficiency
in plants are proposed; 1) increased root volume, 2) increasing efficiency of
potassium uptake from the soil and translocation in planta, 3) increasing
mobility of potassium in soil, and 4) molecular breeding new varieties with
greater potassium efficiency through marker assisted selection which will require
identification and utilization of potassium associated quantitative trait loci.
PMID- 24938235
TI - Appropiateness of colonoscopy in the university hospital center of monastir.
Interest and applicability of criteria established by the European panel on the
appropriateness of gastrointestinal endoscopy.
AB - AIM: 1) To assess the applicability of EPAGE criteria in there first version
(EPAGE I) in clinical practice, 2) to assess colonoscopy appropriateness using
EPAGE I criteria, 3) to compare colonoscopy appropriateness and findings.
METHODS: Four hundred and eighty-seven consecutive diagnostic colonoscopies were
included. The appropriateness of the indication of colonoscopy was appreciated
using a scoring system on the basis of the EPAGE criteria in there first version
(EPAGE I). RESULTS: Appropriateness were applied in 86,4% of the colonoscopies.
Fifty-one percent were appropriate, 14% uncertain and 18% inappropriate. The
difference of 17% represented the procedures indicated for hematochezia and for
witch an appropriateness score can not be attributed because of lack of clinical
information. The probability of finding a clinically significant lesion was
significantly higher in patients aged >= 50 years, males, inpatients, those
referred by gastroenterologists and those who had the colonoscopy for
"appropriate" indication according to the EPAGE I criteria. The independent
variables correlated with the diagnostic yield of colonoscopy were the age, the
appropriateness of indication and the health care setting. CONCLUSION: EPAGE I
criteria were applicable in most patients and were correlated with significant
findings. They are thereby useful to rationalize colonoscopy demand. However,
they could be regularly updated.
PMID- 24938236
TI - [Bacterial meningitis in children: epidemiological data and outcome].
AB - BACKGROUND: The bacterial meningitis in children remains a worrisome affection
both by its frequency and by its gravity. AIM: describe the epidemiological,
clinical and evolutionary data of bacterial meningitis in children aged between 3
months and 15 years. METHODS: we conducted a retrospective study of all cases of
bacterial meningitis collected in the department of pediatrics of Hedi Chaker
hospital, during a period of 6 years (2006-2011). We analyzed the files
retrospectively and we studied the epidemiological data and the outcome. RESULTS:
Thirty cases of bacterial meningitis were recorded. The most frequent species
were Streptococcus pneumoniae and Haemophilus influenzae followed by Neisseria
meningitidis with respectively 43%, 40%, and 6% of cases. The yearly distribution
of these bacteria showed an increase of the rate of the bacterial meningitis
during the last 2 years. The average age of our patients was 1 year 6months (3
months-13 years). All the patients were vaccinated according to the Tunisian
vaccination calendar; and none of them had pneumococcal vaccine or the Hib
vaccine. The main clinical manifestations were fever (100 %), seizures (14 %) and
vomiting (44 %). Bulging fontanel was noted in 15cas (55 %), somnolence in 11
cases (40 %) and axial hypotonia in 12 cases (44 %). In the outcome, the
neurological sequelae were showed in eight patients (26%). CONCLUSION: In our
study, H. influenzae and S. pneumoniae were the main microorganisms responsible
for bacterial meningitis. We strongly recommend the introduction pneumococcal
vaccine in our vaccination calendar.
PMID- 24938237
TI - [Evaluation of fibrinolysis with streptokinase in ST-elevation myocardial
infarction admitted to emergency department].
AB - BACKGROUND: Fibrinolysis during ST elevation myocardial infarction (STEMI) is
feasible in the emergency departments (ED), and this reduces the delay from first
medical contact to coronary reperfusion. The aim of the study is to: 1) Evaluate
fibrinolysis with streptokinase (SK) in STEMI admitted to the ED. 2) identify
clinical criteria predictive of fibrinolysis success. METHODS: prospective study
(July 2008-March 2012). Inclusion of STEMI thrombolysed by SK. Fibrinolysis
success was defined according to clinical and Electrocardiogram criteria.
Multivariate study is used to identify the factors associated with thrombolysis
success. RESULTS: Out of the 329 STEMI enrolled during the study period, 224
(68%) were thrombolysed. Mean age = 57 +/- 11 years (20-86 years) sex ratio = 6.
The average time chest pain - emergency admission was 195 +/- 177 min (15 min to
12 hours). The 2/3 of patients had consulted during the first 3 hours. The
average success rate of thrombolysis was 59% and reached 83% the first hour, 66%
the second hour and 58.7% the third hour. In multivariate analysis, the three
independent predictors factors of a fibrinolysis success were: active smoking,
current treatment with beta blockers and the delay from onset chest pain to the
ED visit less than 180 min. Conversely, diabetes was associated with fibrinolysis
failure. Fibrinolysis got complicated by two intracerebral hemorrhages. Three
patients had died in the ED. CONCLUSION: Two thirds of patients with STEMI have
consulted 3 h after onset of chest pain. Fibrinolysis with streptokinase was
effective in 59% of cases.
PMID- 24938238
TI - [Somatic manifestations among depressed children: the case of complains and
conversion symptoms].
AB - BACKGROUND: If all authors agree on the presence of fundamental changes in mood,
as the basis of the diagnosis of depression, little importance is accorded to
other symptoms that can mislead the diagnosis: in particular, age and cultural
influence on depressive symptoms. AIM: Our work aims to describe and assess the
frequency of somatic complaints and symptoms of conversion in Tunisian children
and adolescents followed for depressive disorders, and to compare, through a
study of literature to populations from different cultures. METHODS: This work
consisted in a retrospective review of children who consulted the Department of
Child Psychiatry between 2008 and 2011 and in whom the diagnosis of depressive
disorders was retained according to DSM IV criteria. Were searched all the
conversion symptoms corresponding to the DSM IV diagnoses of conversion and
dissociative disorders, as well as all the somatic complaints reported. Children
with organic were excluded in order not to confuse the pain secondary to these
disorders from those associated with depression. Also, children with mental
retardation, moderate, severe or profound were not included because their
difficulties of expression can alter the semiological assessment. RESULTS: 119
children and adolescents aged 6 to19 years were included in the study. The mean
age of the population was 11.6 years. Somatic complains were present in 37% of
cases. Headaches were mostly represented (27.7%), followed by abdominal pain
(14.3%). The presence of somatic complaints was significantly correlated with the
presence of a comorbid anxiety (p=0.035). Conversion symptoms were present in
22.7% of cases. They were significantly more frequent among girls (p=0.006) and
in children whose father had a low level of education (p=0,013). Among the
conversions, fainting were more common in girls (p=0.004), when the father's
educational level was low (p=0.001), and when the geographical origin was rural
(p=0.016). CONCLUSION: Conversion symptoms and somatic complaints are frequent in
depressed children.
PMID- 24938239
TI - Incidence and risk factors of postpartum anal incontinence: a prospective study
of 503 cases.
AB - BACKGROUND: Background: Anal incontinence is a devastating functional postpartum
complication. it can cause deep deterioration in the quality of life. AIM: To
assess the incidence of postpartum anal incontinence (PPAI) and the major risk
factors predisposing for it in the short and middle terms. METHODS: This
prospective observational study was conducted in the department of Obstetrics and
Gynecology B in the Maternity and Neonatology Center of Tunis between March 1st
and july 31st, 2009. RESULTS: Five hundred and three women were included. PPAI
was 4.2% on the fourth day postpartum and 4% between weeks 6 and 8 postpartum.
Predisposing factors to 4th day PPAI were forceps delivery (p<0.001), prolonged
second stage of labor> 5hours (p=0.047), expulsion phase > 20mn (p<0.001),
uterine revision (P=0.001) and first degree perineal lacerations (p<0.001).
Between 6 and 8 weeks postpartum, identified risk factors were Shoulder dystocia
(p<0.001), anu-vulvar distance < 2cm, perineal scars and transverse abdominal
diameter >105mm (p<0.001). CONCLUSION: Preventive measures must be implemented in
patients with PPAI risk factors.
PMID- 24938240
TI - Ultrasound-guided spinal anesthesia in an obese patient.
AB - We describe the case of 62-year-old man with a body mass index of 53,
hypertension, diabetes mellitus and obstructive sleep apnea that was proposed for
transurethral resection of prostate under spinal anesthesia. The surface landmark
guided approach was difficult and was abandoned after many unsuccessful attempts.
Spinal anesthesia was achieved in one attempt with ultrasound guidance using the
midline approach at the identified level. The trajectory was determined from the
transducer angle.
PMID- 24938241
TI - The pena-shoker syndrome type I: clinicopathological report of a recurrent case.
PMID- 24938242
TI - Gallbladder agenesis intraoperatively diagnosed: a case report.
PMID- 24938243
TI - An exceptional association: ulcerative colitis, amyloidosis and type-2 diabetes
mellitus.
PMID- 24938244
TI - [Prostate cancer with bilateral testicular metastasis].
PMID- 24938245
TI - [Gastro-duodenal involvement in hernia of the linea alba revealed by digestive
hemorrhage].
PMID- 24938246
TI - Klippel Trenaunay syndrome in association with Sturge Weber syndrome about one
case.
PMID- 24938247
TI - [Unusual tumor of bile duct].
PMID- 24938248
TI - A case of anti-Jo1 myositis associated with sarcoidosis.
PMID- 24938249
TI - Vascular reconstruction following an oncologic resection of a sarcoma of the
groin: about two cases.
PMID- 24938250
TI - Tracheo-aortic fatal fistula after tracheostomy: a rare complication to keep in
mind.
PMID- 24938251
TI - Unusual feature of pycnodysostosis: pectus carinatum.
PMID- 24938252
TI - [Systemic sarcoidosis revealed by an involvement of the nasal sinuses].
PMID- 24938274
TI - Beneficial and harmful consequences of prepartum and postpartum antidepressant
exposure.
PMID- 24938275
TI - European Medicines Agency changes policy on clinical trial data publication.
PMID- 24938273
TI - Relationship between Community Periodontal Index and Fecal Hemoglobin
Concentration, an Indicator for Colorectal Neoplasm.
AB - Periodontal disease and colorectal cancer have inflammatory processes in common.
It is therefore worthwhile to investigate whether there is an association between
periodontal probing depth and fecal hemoglobin concentration (FHbC), an indicator
of colorectal neoplasms, in 40- to 44-year-old Taiwanese. We enrolled a total of
6,214 attendees aged 40 to 44 yr who were participating in a community-based
integrated screening program and who received both periodontal and FHbC
examinations between 2003 and 2008. A proportional odds logistic regression model
was used to estimate the odds ratios of different FHbC levels in treating an
increased level of community periodontal index (CPI) measuring periodontal
probing depth as ordinary data from 0 to 4. Periodontal probing depth with the
order of CPI was in parallel with an increase in the mean values of FHbC: 21.3 +/
156.3, 26.0 +/- 167.7, 27.2 +/- 151.1, and 39.5 +/- 255.7 ng/mL for CPI 0, CPI
1, CPI 2, and CPI 3/4, respectively. The log-FHbC varied across the categories of
CPI (p = .0078). After adjusting for age, sex, education level, smoking, alcohol
intake, exercise, body mass index, and intake of meat and vegetables, subjects
with positive fecal immunochemical test results (FHbC >= 100 ng/mL) had a 33%
higher risk of deteriorating to severe CPI than did those within the normal range
of fecal immunochemical test (FHbC < 100 ng/mL) (adjusted odds ratio = 1.33, 95%
confidence interval: 1.03-1.73). A positive association was demonstrated between
FHbC and periodontal probing depth assessed by CPI among 6,214 Taiwanese aged 40
to 44 yr who participated in a community-based integrated health screening
program. These results could have significant implications for early
identification of high-risk individuals, as those with deep periodontal pockets
should be advised to undergo screening for colorectal cancer at a younger age
than commonly recommended.
PMID- 24938276
TI - Terrible triad of elbow.
PMID- 24938277
TI - Long-term medication adherence in patients with ST-elevation myocardial
infarction and primary percutaneous coronary intervention.
AB - AIMS: Besides early percutaneous coronary intervention (PCI) long-term medical
treatment is crucial for outcomes after ST-elevation myocardial infarction
(STEMI). The present study aimed to identify predictors of adherence to evidence
based medication in this high risk population. METHODS AND RESULTS: A total of
1025 consecutive patients with adjudicated STEMI treated by primary PCI in a
single centre as part of the Cologne Infarction Model (KIM) were prospectively
analysed. Gender-specific multivariate predictors of long-term medication
adherence were identified. Follow-up with available information on drug use was
completed for 610 of 738 (82.7%) patients confirmed to be alive after a median
period of 36 months. Adherence was persistently high for evidence-based
medication with 90.8% for acetylsalicylic acid (ASA), 88.2% for statins, 87.5%
for beta-blockers and 79.2% for ACE-inhibitors or angiotensin-receptor blockers
(ARBs). Patients with a history of heart failure had a higher medication
adherence to beta-blockers, ACE-inhibitors/ARBs and diuretics, whereas long-term
prescription rates for calcium channel blockers (CCBs) were lower in patients
with reduced versus preserved ejection fraction. Patients with a history of
hypertension presented higher medication adherence to CCBs, ACE-inhibitors/ARBs
and diuretics but not to beta-blockers. On multivariate analysis, age, body mass
index (BMI), hypertension, chronic kidney disease and lack of PCI were
independently associated with prescription of diuretics at follow-up. In women,
adherence was lower to beta-blockers and higher to CCBs compared to men.
CONCLUSION: In the high risk population of STEMI patients long-term adherence to
evidence-based medication is high. The lower adherence to beta-blockers and
higher prescription rate for CCBs in women needs particular attention.
PMID- 24938278
TI - Informal allopathic provider knowledge and practice regarding control and
prevention of TB in rural Bangladesh.
AB - BACKGROUND: BRAC (formerly Bangladesh Rural Advancement Committee), in
collaboration with the National Tuberculosis Control Programme, provides one full
day training on TB to make informal allopathic providers knowledgeable for
managing TB in rural Bangladesh. This study explored the knowledge and practices
of the providers receiving the above training in the control and prevention of
TB. METHODS: The study was conducted in 30 subdistricts, with 30 trained and 30
untrained providers randomly selected from each subdistrict. Approximately 3%
(49/1800) did not provide complete information. Pre-tested structured and semi
structured questionnaires were used. RESULTS: TB was commonly perceived as a
disease of only males (66.1%, 1157/1751). Only one-quarter knew about the
bacterial cause of TB. Very few providers (2.1%, 36) had adequate knowledge
regarding prevention of TB. They also lacked knowledge about TB treatment
duration (71.6%, 1253), the meaning of DOTS (directly observed treatment, short
course) (26.0%, 455) and multidrug resistance (20.6%, 360). Antibiotics (79.7%,
1396) and cough syrup (75.0%, 1313) were commonly prescribed by providers despite
symptoms suggestive of TB. However, 70.2% (613) and 74.5% (650) of trained
providers' knowledge and practice scores were equal to or more than the mean
scores (>=6.97 and >=6.6, respectively), whereas they were only 49.5% (435) and
64.2% (563), respectively, among untrained providers (p<0.0001). CONCLUSIONS:
Misperception, lack of knowledge and irrational use of antibiotics are challenges
that need to be addressed for controlling and preventing TB efficiently.
PMID- 24938279
TI - Age-specific exercise capacity threshold for mortality risk assessment in male
veterans.
AB - BACKGROUND: Mortality risk decreases beyond a certain fitness level. However,
precise definition of this threshold is elusive and varies with age. Thus,
fitness-related mortality risk assessment is difficult. METHODS AND RESULTS: We
studied 18 102 male veterans (8305 blacks and 8746 whites). All completed an
exercise test between 1986 and 2011 with no evidence of ischemia. We defined the
peak metabolic equivalents (METs) level associated with no increase in all-cause
mortality risk (hazard ratio, 1.0) for the age categories of <50, 50 to 59, 60 to
69, and >=70 years. We used this as the threshold group to form additional age
specific fitness categories based on METs achieved below and above it: least-fit
(>2 METs below threshold; n=1692), low-fit (2 METs below threshold; n=4884),
moderate-fit (2 METs above threshold; n=4646), fit (2.1-4 METs above threshold;
n=1874), and high-fit (>4 METs above threshold; n=1301) categories. Multivariable
Cox models were used to estimate hazard ratios (HRs) and 95% confidence intervals
(CIs) for mortality across fitness categories. During follow-up (median=10.8
years), 5102 individuals died. Mortality risk for the cohort and each age
category increased for the least-fit and low-fit categories (HR, 1.51; 95% CI,
1.37-1.66; and HR, 1.21; 95% CI, 1.12-1.30, respectively) and decreased for the
moderate-fit; fit and high-fit categories (HR, 0.71; 95% CI, 0.65-0.78; HR, 0.63;
95% CI, 0.56-0.78; and HR, 0.49; 95% CI, 0.41-0.58, respectively). The trends
were similar for 5- and 10-year mortality risk. CONCLUSION: We defined age
specific exercise capacity thresholds to guide assessment of mortality risk in
individuals undergoing a clinical exercise test.
PMID- 24938280
TI - The association between labour variables and primiparous women's experience of
childbirth; a prospective cohort study.
AB - BACKGROUND: Studies have suggested several risk factors for a negative birth
experience among primiparas. Factors that are mentioned frequently include labour
dystocia, operative intervention such as acute caesarean section or vacuum
extraction, or the infant being transferred to neonatal care. Another important
factor mentioned is lack of support from the midwife. METHODS: A study was made
of the deliveries of 446 healthy primiparas in a prospective cohort study
performed at Soder Hospital, Stockholm, Sweden. Samples of amniotic fluid were
collected at delivery and the levels of amniotic fluid lactate (AFL) were
measured to give an indication of the metabolism of the uterine tissue.
Obstetrical data were collected from birth records.Postpartum, all the women
included in the study were asked to complete the Wijma Delivery Experience
Questionnaire (W-DEQ B) that measures the experience of a woman's delivery. The
main objective of the project was to study well-known as well as new factors
associated with negative experience of childbirth among a group of healthy
primiparas. RESULTS: Risk factors for reporting a higher level of negative
childbirth experience were shown to be a high level of AFL (AOR 3.1, 95%, CI; 1.1
8.9), a longer latent phase (AOR 1.8, 95%, CI; 1.03-3.1), and a low Apgar score
(<7 at 1 min) (AOR 13.3, 95%, CI; 1.6-111.0). Those women who had a negative
birth experience wanted the midwife to be present more of the time during labour
(p = 0.003). CONCLUSIONS: A high AFL level, as a marker of uterine metabolic
status, and a longer latent phase are strongly associated with a negative
experience of childbirth. A low 1 minute Apgar score of the newborn seems to have
the strongest negative influence on the woman's experience of childbirth, even
when the infant recovers immediately.
PMID- 24938281
TI - Challenges to providing quality substance abuse treatment services for American
Indian and Alaska Native communities: perspectives of staff from 18 treatment
centers.
AB - BACKGROUND: Substance abuse continues to exact a significant toll, despite
promising advancements in treatment, and American Indian and Alaska Native
(AI/AN) communities remain disproportionately impacted. Understanding the
challenges to providing quality substance abuse treatment to AI/AN communities
could ultimately result in more effective treatment interventions, but no multi
site studies have examined this important issue. METHODS: This qualitative study
examined the challenges of providing substance abuse treatment services for
American Indian and Alaska Native (AI/AN) communities. We conducted key informant
interviews and focus groups at 18 substance abuse treatment programs serving
AI/AN communities. Seventy-six service participants (21 individuals in clinical
administrative positions and 55 front-line clinicians) participated in the
project. Interview transcripts were coded to identify key themes. RESULTS: We
found that the challenges of bringing effective substance abuse treatment to
AI/AN communities fell into three broad categories: challenges associated with
providing clinical services, those associated with the infrastructure of
treatment settings, and those associated with the greater service/treatment
system. These sets of challenges interact to form a highly complex set of
conditions for the delivery of these services. CONCLUSIONS: Our findings suggest
that substance abuse treatment services for AI/AN communities require more
integrated, individualized, comprehensive, and longer-term approaches to care.
Our three categories of challenges provide a useful framework for eliciting
challenges to providing quality substance abuse treatment in other substance
abuse treatment settings.
PMID- 24938282
TI - IL32 is progressively expressed in mycosis fungoides independent of helper T-cell
2 and helper T-cell 9 polarization.
AB - Mycosis fungoides, the most common type of cutaneous T-cell lymphoma (CTCL), is
characterized by a helper T-cell 2 (Th2) skewing with a mature CD4(+) memory T
cell phenotype. Using skin samples from patients with mycosis fungoides (n = 21),
healthy volunteers (n = 17), and individuals with atopic dermatitis (n = 17) and
psoriasis (n = 9), we found IL32 mRNA expression significantly higher in mycosis
fungoides samples than in samples from benign inflammatory skin diseases, and its
expression increases with disease progression. By IHC and immunofluorescence, we
confirmed IL32 protein expression in many CD3(+)CD4(+) T cells and some
epidermotropic T cells in mycosis fungoides lesions. MyLa cells (a mycosis
fungoides cell line) express IL32, which, in turn, could promote cellular
proliferation and viability in a dose-dependent fashion. IL32-treated MyLa and
CTCL HH cells upregulated cell proliferation and survival genes. Of the major
"polarizing" T-cell cytokines, only IFNgamma mRNA increases with mycosis
fungoides progression and positively correlates with IL32 mRNA expression. Th2
cytokines do not positively correlate with IL32 mRNA expression or mycosis
fungoides progression. Furthermore, by flow cytometry, IL32 production by
circulating activated T cells in healthy individuals was found in both
IFNgamma(+) and IFNgamma(-) cells but not in IL4(+) or IL13(+) cells. In
conclusion, we have identified IL32(+) cells as the likely tumor cells in mycosis
fungoides, and demonstrated that IL32 mRNA expression increases with mycosis
fungoides progression and is significantly higher than mRNA expression in other
skin diseases, and that some IL32(+) T cells are independent from the defined Th
subsets. Thus, IL32 may play a unique role in mycosis fungoides progression as an
autocrine cytokine.
PMID- 24938284
TI - Comparison of Tripterygium wilfordii Hook F with methotrexate in the treatment of
rheumatoid arthritis.
PMID- 24938283
TI - Targeting 4-1BB costimulation to the tumor stroma with bispecific aptamer
conjugates enhances the therapeutic index of tumor immunotherapy.
AB - Despite the recent successes of using immune modulatory Abs in patients with
cancer, autoimmune pathologies resulting from the activation of self-reactive T
cells preclude the dose escalations necessary to fully exploit their therapeutic
potential. To reduce the observed and expected toxicities associated with immune
modulation, here we describe a clinically feasible and broadly applicable
approach to limit immune costimulation to the disseminated tumor lesions of the
patient, whereby an agonistic 4-1BB oligonucleotide aptamer is targeted to the
tumor stroma by conjugation to an aptamer that binds to a broadly expressed
stromal product, VEGF. This approach was predicated on the premise that by
targeting the costimulatory ligands to products secreted into the tumor stroma,
the T cells will be costimulated before their engagement of the MHC-peptide
complex on the tumor cell, thereby obviating the need to target the costimulatory
ligands to noninternalizing cell surface products expressed on the tumor cells.
Underscoring the potency of stroma-targeted costimulation and the broad spectrum
of tumors secreting VEGF, in preclinical murine tumor models, systemic
administration of the VEGF-targeted 4-1BB aptamer conjugates engendered potent
antitumor immunity against multiple unrelated tumors in subcutaneous,
postsurgical lung metastasis, methylcholantrene-induced fibrosarcoma, and
oncogene-induced autochthonous glioma models, and exhibited a superior
therapeutic index compared with nontargeted administration of an agonistic 4-1BB
Ab or 4-1BB aptamer.
PMID- 24938285
TI - Epidemiology of primary Sjogren's syndrome: a systematic review and meta
analysis.
AB - OBJECTIVE: Epidemiological studies of primary Sjogren's syndrome (pSS) are
crucial for describing the burden to society and the public medical system and
for shedding light on aetiology. Previous reports of the epidemiology of pSS show
variable outcomes. We conducted a systematic review of the epidemiology of pSS to
assess the prevalence rates (PRs) and incidence rates (IRs), and to investigate
possible geographic variations in pSS. METHODS: A systematic literature search of
PubMed and Embase (updated to 22 October 2013) was performed to identify all
published reports on the epidemiology of pSS. The incidence and prevalence rates
of pSS were summarised with IRs or PRs and 95% CIs. RESULTS: The literature
search yielded 1880 related citations. Only 21 fulfilled the inclusion criteria.
According to a random-effects model, the pooled IR for pSS was 6.92 (95% CI 4.98
to 8.86) per 100 000 person-years. The overall PR was 60.82 (95% CI 43.69 to
77.94) cases per 100 000 inhabitants with a slightly lower estimate of Baodong
Qin is BDQ, Jiaqi Wang is JQW, Zaixing Yang is ZXY, Renqian Zhong is RQZ. 43.03
(25.74 to 60.31) cases per 100 000 inhabitants when only considering population
based studies. The female/male ratio in incidence data was 9.15 (95% CI 3.35 to
13.18). The female/male ratio in prevalence data was 10.72 (95% CI 7.35 to
15.62). The overall age of pSS patients was 56.16 years (95% CI 52.54 to 59.78).
CONCLUSIONS: Incidence and prevalence rates of pSS vary widely around the world.
The results help us better understand the global epidemiology of pSS. Large
population-based studies combining meticulous case-finding and case-ascertainment
strategies are needed.
PMID- 24938286
TI - Lysine propionylation is a prevalent post-translational modification in Thermus
thermophilus.
AB - Recent studies of protein post-translational modifications revealed that various
types of lysine acylation occur in eukaryotic and bacterial proteins. Lysine
propionylation, a newly discovered type of acylation, occurs in several proteins,
including some histones. In this study, we identified 361 propionylation sites in
183 mid-exponential phase and late stationary phase proteins from Thermus
thermophilus HB8, an extremely thermophilic eubacterium. Functional
classification of the propionylproteins revealed that the number of
propionylation sites in metabolic enzymes increased in late stationary phase,
irrespective of protein abundance. The propionylation sites on proteins expressed
in mid-exponential and late stationary phases partially overlapped. Furthermore,
amino acid frequencies in the vicinity of propionylation sites differed, not only
between the two growth phases but also relative to acetylation sites. In
addition, 33.8% of mid-exponential phase-specific and 80.0% of late stationary
phase-specific propionylations (n >= 2) implied that specific mechanisms regulate
propionylation in the cell. Moreover, the limited degree of overlap between
lysine propionylation (36.8%) and acetylation (49.2%) sites in 67 proteins that
were both acetylated and propionylated strongly suggested that the two acylation
reactions are regulated separately by specific enzymes and may serve different
functions. Finally, we also found that eight propionylation sites overlapped with
acetylation sites critical for protein functions such as Schiff-base formation
and ligand binding.
PMID- 24938288
TI - Tomato Pistil Factor STIG1 Promotes in Vivo Pollen Tube Growth by Binding to
Phosphatidylinositol 3-Phosphate and the Extracellular Domain of the Pollen
Receptor Kinase LePRK2.
AB - The speed of pollen tube growth is a major determinant of reproductive success in
flowering plants. Tomato (Solanum lycopersicum) STIGMA-SPECIFIC PROTEIN1 (STIG1),
a small Cys-rich protein from the pistil, was previously identified as a binding
partner of the pollen receptor kinase LePRK2 and shown to promote pollen tube
growth in vitro. However, the in vivo function of STIG1 and the underlying
mechanism of its promotive effect were unknown. Here, we show that a 7-kD
processed peptide of STIG1 is abundant in the stigmatic exudate and accumulates
at the pollen tube surface, where it can bind LePRK2. Antisense LePRK2 pollen was
less responsive than wild-type pollen to exogenous STIG1 in an in vitro pollen
germination assay. Silencing of STIG1 reduced both the in vivo pollen tube
elongation rate and seed production. Using partial deletion and point mutation
analyses, two regions underlying the promotive activity of the STIG1 processed
peptide were identified: amino acids 80 to 83, which interact with LePRK2; and
amino acids 88 to 115, which bind specifically to phosphatidylinositol 3
phosphate [PI(3)P]. Furthermore, exogenous STIG1 elevated the overall redox
potential of pollen tubes in both PI(3)P-dependent and LePRK2-dependent manners.
Our results demonstrate that STIG1 conveys growth-promoting signals acting
through the pollen receptor kinase LePRK2, a process that relies on the external
phosphoinositide PI(3)P.
PMID- 24938287
TI - NeuCode labels for relative protein quantification.
AB - We describe a synthesis strategy for the preparation of lysine isotopologues that
differ in mass by as little as 6 mDa. We demonstrate that incorporation of these
molecules into the proteomes of actively growing cells does not affect cellular
proliferation, and we discuss how to use the embedded mass signatures (neutron
encoding (NeuCode)) for multiplexed proteome quantification by means of high
resolution mass spectrometry. NeuCode SILAC amalgamates the quantitative accuracy
of SILAC with the multiplexing of isobaric tags and, in doing so, offers up new
opportunities for biological investigation. We applied NeuCode SILAC to examine
the relationship between transcript and protein levels in yeast cells responding
to environmental stress. Finally, we monitored the time-resolved responses of
five signaling mutants in a single 18-plex experiment.
PMID- 24938289
TI - A Single-Pore Residue Renders the Arabidopsis Root Anion Channel SLAH2 Highly
Nitrate Selective.
AB - In contrast to animal cells, plants use nitrate as a major source of nitrogen.
Following the uptake of nitrate, this major macronutrient is fed into the
vasculature for long-distance transport. The Arabidopsis thaliana shoot expresses
the anion channel SLOW ANION CHANNEL1 (SLAC1) and its homolog SLAC1 HOMOLOGOUS3
(SLAH3), which prefer nitrate as substrate but cannot exclude chloride ions. By
contrast, we identified SLAH2 as a nitrate-specific channel that is impermeable
for chloride. To understand the molecular basis for nitrate selection in the
SLAH2 channel, SLAC1 and SLAH2 were modeled to the structure of HiTehA, a
distantly related bacterial member. Structure-guided site-directed mutations
converted SLAC1 into a SLAH2-like nitrate-specific anion channel and vice versa.
Our findings indicate that two pore-occluding phenylalanines constrict the pore.
The selectivity filter of SLAC/SLAH anion channels is determined by the polarity
of pore-lining residues located on alpha helix 3. Changing the polar character of
a single amino acid side chain (Ser-228) to a nonpolar residue turned the nitrate
selective SLAH2 into a chloride/nitrate-permeable anion channel. Thus, the
molecular basis of the anion specificity of SLAC/SLAH anion channels seems to be
determined by the presence and constellation of polar side chains that act in
concert with the two pore-occluding phenylalanines.
PMID- 24938290
TI - Linking genetics to structural biology: complex heterozygosity screening with
actin alanine scan alleles identifies functionally related surfaces on yeast
actin.
AB - Previous genome-level genetic interaction screens with the single essential actin
gene of yeast identified 238 nonessential genes that upon deletion result in
deleterious, digenic complex haploinsufficiences with an actin null allele.
Deletion alleles of these 238 genes were tested for complex heterozygous
interactions with 32 actin alanine scan alleles, which target clusters of
residues on the surface of actin. A total of 891 deleterious digenic combinations
were identified with 203 of the 238 genes. Two-dimensional hierarchical cluster
analysis of the interactions identified nine distinct groups, and the alleles
within clusters tended to affect localized regions on the surface of actin. The
mutants in one cluster all affect electrostatic interactions between stacked
subunits in the long pitch helix of the actin filament. A second cluster that
contains the most highly interactive alleles may disrupt the tropomyosin/myosin
system, as one of the mutants in that cluster cannot support Type V myosin
dependent movement of secretory vesicles in haploids and causes processivity
defects in heterozygous diploids. These examples suggest the clusters represent
mutations with shared protein-protein interaction defects. These results show
that complex heterozygous interaction screens have benefit for detecting actin
related genes and suggest that having actin filaments of mixed composition,
containing both mutant and wild-type subunits, presents unique challenges to the
cell.
PMID- 24938292
TI - Feasibility of using the Multinational Association of Supportive Care in Cancer
Antiemesis Tool for assessment of chemotherapy-induced nausea and vomiting at the
Tom Baker Cancer Centre.
AB - INTRODUCTION: Chemotherapy-induced nausea and vomiting (CINV) has been shown to
adversely impact patient anxiety, quality of life, treatment adherence, and use
of health care resources. CINV control still remains a challenge, and lack of
effective communication between the patient and clinician has been highlighted in
the literature as the main barrier to optimal control. The Multinational
Association of Supportive Care in Cancer (MASCC) has developed a tool (MASCC
Antiemesis Tool (MAT)) to improve assessment and subsequent management of CINV by
enhancing communication between patients and their clinicians. This study
assessed the feasibility of using the MAT in patients at the Tom Baker Cancer
Centre. The secondary objective was to describe the incidence of CINV as
identified by the tool. METHODS AND MATERIALS: This study involved a prospective
survey using the MAT in patients receiving intravenous chemotherapy. Subjects
completed the MAT twice post-chemotherapy regarding CINV symptoms and returned it
at their next clinic appointment. Participants were also surveyed to evaluate
feasibility with regard to using the MAT. RESULTS: Of the 50 patients recruited,
56% returned surveys. The majority of patients reported that the MAT facilitated
communication with their clinician, particularly those who had experienced CINV.
Fifty-four percent of patients who returned the MAT reported CINV; however, less
than half of them had received American Society of Clinical Oncology-recommended
antiemetic regimens. Only four patients with CINV had antiemetic changes made for
subsequent cycles. CONCLUSION: The MAT is a feasible tool which can improve
communication of CINV symptoms between patients and clinicians, a foundational
step toward improving CINV management.
PMID- 24938291
TI - Harnessing natural sequence variation to dissect posttranscriptional regulatory
networks in yeast.
AB - Understanding how genomic variation influences phenotypic variation through the
molecular networks of the cell is one of the central challenges of biology.
Transcriptional regulation has received much attention, but equally important is
the posttranscriptional regulation of mRNA stability. Here we applied a systems
genetics approach to dissect posttranscriptional regulatory networks in the
budding yeast Saccharomyces cerevisiae. Quantitative sequence-to-affinity models
were built from high-throughput in vivo RNA binding protein (RBP) binding data
for 15 yeast RBPs. Integration of these models with genome-wide mRNA expression
data allowed us to estimate protein-level RBP regulatory activity for individual
segregants from a genetic cross between two yeast strains. Treating these
activities as a quantitative trait, we mapped trans-acting loci (activity
quantitative trait loci, or aQTLs) that act via posttranscriptional regulation of
transcript stability. We predicted and experimentally confirmed that a coding
polymorphism at the IRA2 locus modulates Puf4p activity. Our results also
indicate that Puf3p activity is modulated by distinct loci, depending on whether
it acts via the 5' or the 3' untranslated region of its target mRNAs. Together,
our results validate a general strategy for dissecting the connectivity between
posttranscriptional [corrected] regulators and their upstream signaling pathways.
PMID- 24938293
TI - Dosing algorithm for concomitant administration of sirolimus, tacrolimus, and an
azole after allogeneic hematopoietic stem cell transplantation.
AB - BACKGROUND: Allogeneic hematopoietic stem cell transplant patients are at risk of
invasive fungal infections and prophylaxis with azole agents is common practice.
The concomitant use of these agents with sirolimus and tacrolimus for the
prevention of graft-versus-host disease may result in excessive immunosuppression
or toxicity. METHODS: This retrospective study identified hospitalized patients
who underwent allogeneic hematopoietic stem cell transplantation between August
2009 and April 2011 at Rush University Medical Center. From this group, patients
who underwent concomitant tacrolimus, sirolimus, and azole therapy were included
for evaluation. The immunosuppression dosing in conjunction with azole use at
discharge was analyzed to develop a dosing algorithm dependent on whether
fluconazole, posaconazole, or voriconazole was used. RESULTS: A total of 36
patients were screened for inclusion, of which 8 were excluded due to acute renal
failure and/or hemolysis. The remaining patients were stratified by the azole
they were concomitantly taking with tacrolimus and sirolimus. The fluconazole arm
required the lowest magnitude of dose reductions, while voriconazole required the
greatest. CONCLUSION: Dose reductions of 50-75% for both sirolimus and
tacrolimus, in combination with standard dosing of azole antifungal agents, were
necessary to achieve therapeutic drug concentrations for immunosuppressants and
potentially avoid toxicities.
PMID- 24938294
TI - KVFinder: steered identification of protein cavities as a PyMOL plugin.
AB - BACKGROUND: The characterization of protein binding sites is a major challenge in
computational biology. Proteins interact with a wide variety of molecules and
understanding of such complex interactions is essential to gain deeper knowledge
of protein function. Shape complementarity is known to be important in
determining protein-ligand interactions. Furthermore, these protein structural
features have been shown to be useful in assisting medicinal chemists during lead
discovery and optimization. RESULTS: We developed KVFinder, a highly versatile
and easy-to-use tool for cavity prospection and spatial characterization.
KVFinder is a geometry-based method that has an innovative customization of the
search space. This feature provides the possibility of cavity segmentation, which
alongside with the large set of customizable parameters, allows detailed cavity
analyses. Although the main focus of KVFinder is the steered prospection of
cavities, we tested it against a benchmark dataset of 198 known drug targets in
order to validate our software and compare it with some of the largely accepted
methods. Using the one click mode, we performed better than most of the other
methods, staying behind only of hybrid prospection methods. When using just one
of KVFinder's customizable features, we were able to outperform all other
compared methods. KVFinder is also user friendly, as it is available as a PyMOL
plugin, or command-line version. CONCLUSION: KVFinder presents novel usability
features, granting full customizable and highly detailed cavity prospection on
proteins, alongside with a friendly graphical interface. KVFinder is freely
available on http://lnbio.cnpem.br/bioinformatics/main/software/.
PMID- 24938295
TI - How "moral" are the principles of biomedical ethics?--a cross-domain evaluation
of the common morality hypothesis.
AB - BACKGROUND: The principles of biomedical ethics - autonomy, non-maleficence,
beneficence, and justice - are of paradigmatic importance for framing ethical
problems in medicine and for teaching ethics to medical students and
professionals. In order to underline this significance, Tom L. Beauchamp and
James F. Childress base the principles in the common morality, i.e. they claim
that the principles represent basic moral values shared by all persons committed
to morality and are thus grounded in human moral psychology. We empirically
investigated the relationship of the principles to other moral and non-moral
values that provide orientations in medicine. By way of comparison, we performed
a similar analysis for the business & finance domain. METHODS: We evaluated the
perceived degree of "morality" of 14 values relevant to medicine (n1 = 317,
students and professionals) and 14 values relevant to business & finance (n2 =
247, students and professionals). Ratings were made along four dimensions
intended to characterize different aspects of morality. RESULTS: We found that
compared to other values, the principles-related values received lower ratings
across several dimensions that characterize morality. By interpreting our finding
using a clustering and a network analysis approach, we suggest that the
principles can be understood as "bridge values" that are connected both to moral
and non-moral aspects of ethical dilemmas in medicine. We also found that the
social domain (medicine vs. business & finance) influences the degree of
perceived morality of values. CONCLUSIONS: Our results are in conflict with the
common morality hypothesis of Beauchamp and Childress, which would imply domain
independent high morality ratings of the principles. Our findings support the
suggestions by other scholars that the principles of biomedical ethics serve
primarily as instruments in deliberated justifications, but lack grounding in a
universal "common morality". We propose that the specific manner in which the
principles are taught and discussed in medicine - namely by referring to
conflicts requiring a balancing of principles - may partly explain why the degree
of perceived "morality" of the principles is lower compared to other moral
values.
PMID- 24938297
TI - Gaseous fuels production from dried sewage sludge via air gasification.
AB - Gasification is a perspective alternative method of dried sewage sludge thermal
treatment. For the purpose of experimental investigations, a laboratory fixed-bed
gasifier installation was designed and built. Two sewage sludge (SS) feedstocks,
taken from two typical Polish wastewater treatment systems, were analysed: SS1,
from a mechanical-biological wastewater treatment system with anaerobic
stabilization (fermentation) and high temperature drying; and (SS2) from a
mechanical-biological-chemical wastewater treatment system with fermentation and
low temperature drying. The gasification results show that greater oxygen content
in sewage sludge has a strong influence on the properties of the produced gas.
Increasing the air flow caused a decrease in the heating value of the produced
gas. Higher hydrogen content in the sewage sludge (from SS1) affected the
produced gas composition, which was characterized by high concentrations of
combustible components. In the case of the SS1 gasification, ash, charcoal, and
tar were produced as byproducts. In the case of SS2 gasification, only ash and
tar were produced. SS1 and solid byproducts from its gasification (ash and
charcoal) were characterized by lower toxicity in comparison to SS2. However, in
all analysed cases, tar samples were toxic.
PMID- 24938296
TI - Progress and challenges to the global waste management system.
AB - Rapid economic growth, urbanization and increasing population have caused
(materially intensive) resource consumption to increase, and consequently the
release of large amounts of waste to the environment. From a global perspective,
current waste and resource management lacks a holistic approach covering the
whole chain of product design, raw material extraction, production, consumption,
recycling and waste management. In this article, progress and different
sustainability challenges facing the global waste management system are presented
and discussed. The study leads to the conclusion that the current, rather
isolated efforts, in different systems for waste management, waste reduction and
resource management are indeed not sufficient in a long term sustainability
perspective. In the future, to manage resources and wastes sustainably, waste
management requires a more systems-oriented approach that addresses the root
causes for the problems. A specific issue to address is the development of
improved feedback information (statistics) on how waste generation is linked to
consumption.
PMID- 24938298
TI - Impact of feedstock properties and operating conditions on sewage sludge
gasification in a fixed bed gasifier.
AB - This work presents results of experimental studies on the gasification process of
granulated sewage sludge in a laboratory fixed bed gasifier. Nowadays, there is a
large and pressing need for the development of thermal methods for sewage sludge
disposal. Gasification is an example of thermal method that has several
advantages over the traditional combustion. Gasification leads to a combustible
gas, which can be used for the generation of useful forms of final energy. It can
also be used in processes, such as the drying of sewage sludge directly in waste
treatment plant. In the present work, the operating parameters were varied over a
wide range. Parameters, such as air ratio lambda = 0.12 to 0.27 and the
temperature of air preheating t = 50 degrees C to 250 degrees C, were found to
influence temperature distribution and syngas properties. The results indicate
that the syngas heating value decreases with rising air ratio for all analysed
cases: i.e. for both cold and preheated air. The increase in the concentration of
the main combustible components was accompanied by a decrease in the
concentration of carbon dioxide. Preheating of the gasification agent supports
the endothermic gasification and increases hydrogen and carbon monoxide
production.
PMID- 24938299
TI - Impedance Analysis Using High-resolution Impedance Manometry Facilitates
Assessment of Pharyngeal Residue in Patients With Oropharyngeal Dysphagia.
AB - BACKGROUND/AIMS: Impedance analysis using high-resolution impedance manometry
(HRIM) enables the recognition of pharyngeal residue in patients with
oropharyngeal dysphagia. The aims of this study were to evaluate appropriate
criteria for impedance analysis in a large patient cohort, as well as the
diagnostic accuracy and agreement of analysis performed by HRIM trainees.
METHODS: We reviewed 33 controls (13 males; median age, 61.2 years) and 104
oropharyngeal dysphagia patients (61 males; median age, 70.4 years) who underwent
a flexible endoscopic evaluation of swallowing study (FEES) and HRIM. Two experts
compared the pharyngeal residue on FEES and impedance color pattern at 1,000,
1,500 and 2,000 Omega of the impedance bar. Three trainees were given a 60
minutes tutorial to determine the diagnostic accuracy and agreement of this
analysis. RESULTS: The diagnostic sensitivity of experts for predicting liquid
residue was 73.1% for 1,000 Omega, 96.2% for 1,500 Omega and 100% for 2,000
Omega. Significantly higher sensitivity was observed at 1,500 Omega compared to
1,000 Omega (P < 0.001). The diagnostic specificity of experts for liquid residue
was 98.3% for 1,000 Omega, 96.6% for 1,500 Omega and 83.1% for 2,000 Omega. There
was a higher specificity at 1,500 Omega compared to 2,000 Omega (P = 0.008). The
kappa value among the 3 trainees was 0.89 and the diagnostic accuracy of the
trainees for liquid residue was comparable to that of the experts. CONCLUSIONS:
The impedance analysis at 1,500 Omega provides more accurate information for the
detection of liquid residue, irrespective of the level of expertise.
PMID- 24938300
TI - A systems biology approach to understand the pathophysiological mechanisms of
cardiac pathological hypertrophy associated with rosiglitazone.
AB - BACKGROUND: Cardiac pathological hypertrophy is associated with a significantly
increased risk of coronary heart disease and has been observed in diabetic
patients treated with rosiglitazone whereas most published studies do not suggest
a similar increase in risk of cardiovascular events in pioglitazone-treated
diabetic subjects. This study sought to understand the pathophysiological and
molecular mechanisms underlying the disparate cardiovascular effects of
rosiglitazone and pioglitazone and yield knowledge as to the causative nature of
rosiglitazone-associated cardiac hypertrophy. METHODS: We used a high-fat diet
induced pre-diabetic mouse model to allow bioinformatics analysis of the
transcriptome of the heart of mice treated with rosiglitazone or pioglitazone.
RESULTS: Our data show that rosiglitazone and pioglitazone both markedly improved
systemic markers for glucose homeostasis, fasting plasma glucose and insulin, and
the urinary excretion of albumin. Only rosiglitazone, but not pioglitazone,
tended to increase atherosclerosis and induced pathological cardiac hypertrophy,
based on a significant increase in heart weight and increased expression of the
validated markers, ANP and BNP. Functional enrichment analysis of the
rosiglitazone-specific cardiac gene expression suggests that a shift in cardiac
energy metabolism, in particular decreased fatty acid oxidation toward increased
glucose utilization as indicated by down regulation of relevant PPARalpha and
PGC1alpha target genes. This underlies the rosiglitazone-associated pathological
hypertrophic cardiac phenotype in the current study. CONCLUSION: Application of a
systems biology approach uncovered a shift in energy metabolism by rosiglitazone
that may impact cardiac pathological hypertrophy.
PMID- 24938301
TI - Elastic compression stockings fail to prevent post-thrombotic syndrome after a
first deep vein thrombosis.
PMID- 24938304
TI - Bilateral adrenal incidentaloma with subclinical hypercortisolemia: indications
for surgery.
AB - INTRODUCTION: According to some authors, a higher incidence of subclinical
hypercortisolemia is found among patients with bilateral benign adrenal tumors
than in those with unilateral tumors. It is still unknown whether all patients
with bilateral adrenal tumors and subclinical hypercortisolemia should undergo
surgery, and, if so, which tumor should be removed first. OBJECTIVES: The aim of
the study was to investigate whether unilateral adrenalectomy can lead to
resolution of hypercortisolemia in patients with bilateral adrenal tumors and to
improvement of their clinical status. PATIENTS AND METHODS: The study group
consisted of 25 patients with bilateral benign adrenal tumors and subclinical
hypercortisolemia. In 24 patients, unilateral adrenalectomy was performed. The
adrenal gland was selected for removal on the basis of scintigraphy and/or tumor
diameter. Cortisol concentrations were measured before the surgery and at 1 and 6
months after the surgery at 8:00 AM, 10:00 PM, and after dexamethasone
suppression. The morning blood levels of adrenocorticotropic hormone,
dehydroepiandrosterone, 17-hydroxyprogesterone, glycated hemoglobin, and lipid
profile were determined. RESULTS: In all surgical patients, hypercortisolemia
resolved after the surgery. However, only in 14 patients (58%), the clinical
improvement was evident (improved control of diabetes and hypertension, body mass
loss). CONCLUSIONS: Although subclinical hypercortisolemia resolved after surgery
in all patients with bilateral adrenal tumors, only patients with poorly
controlled diabetes and hypertension and a rapid increase in body mass benefited
from the surgery.
PMID- 24938302
TI - Vitamin D and mortality: meta-analysis of individual participant data from a
large consortium of cohort studies from Europe and the United States.
AB - OBJECTIVE: To investigate the association between serum 25-hydroxyvitamin D
concentrations (25(OH)D) and mortality in a large consortium of cohort studies
paying particular attention to potential age, sex, season, and country
differences. DESIGN: Meta-analysis of individual participant data of eight
prospective cohort studies from Europe and the US. SETTING: General population.
PARTICIPANTS: 26,018 men and women aged 50-79 years. MAIN OUTCOME MEASURES: All
cause, cardiovascular, and cancer mortality. RESULTS: 25(OH)D concentrations
varied strongly by season (higher in summer), country (higher in US and northern
Europe) and sex (higher in men), but no consistent trend with age was observed.
During follow-up, 6695 study participants died, among whom 2624 died of
cardiovascular diseases and 2227 died of cancer. For each cohort and analysis,
25(OH)D quintiles were defined with cohort and subgroup specific cut-off values.
Comparing bottom versus top quintiles resulted in a pooled risk ratio of 1.57
(95% CI 1.36 to 1.81) for all-cause mortality. Risk ratios for cardiovascular
mortality were similar in magnitude to that for all-cause mortality in subjects
both with and without a history of cardiovascular disease at baseline. With
respect to cancer mortality, an association was only observed among subjects with
a history of cancer (risk ratio, 1.70 (1.00 to 2.88)). Analyses using all
quintiles suggest curvilinear, inverse, dose-response curves for the
aforementioned relationships. No strong age, sex, season, or country specific
differences were detected. Heterogeneity was low in most meta-analyses.
CONCLUSIONS: Despite levels of 25(OH)D strongly varying with country, sex, and
season, the association between 25(OH)D level and all-cause and cause-specific
mortality was remarkably consistent. Results from a long term randomised
controlled trial addressing longevity are being awaited before vitamin D
supplementation can be recommended in most individuals with low 25(OH)D levels.
PMID- 24938305
TI - Belowground advantages in construction cost facilitate a cryptic plant invasion.
AB - The energetic cost of plant organ construction is a functional trait that is
useful for understanding carbon investment during growth (e.g. the resource
acquisition vs. tissue longevity tradeoff), as well as in response to global
change factors like elevated CO2 and N. Despite the enormous importance of roots
and rhizomes in acquiring soil resources and responding to global change,
construction costs have been studied almost exclusively in leaves. We sought to
determine how construction costs of aboveground and belowground organs differed
between native and introduced lineages of a geographically widely dispersed
wetland plant species (Phragmites australis) under varying levels of CO2 and N.
We grew plants under ambient and elevated atmospheric CO2, as well as under two
levels of soil nitrogen. We determined construction costs for leaves, stems,
rhizomes and roots, as well as for whole plants. Across all treatment conditions,
the introduced lineage of Phragmites had a 4.3 % lower mean rhizome construction
cost than the native. Whole-plant construction costs were also smaller for the
introduced lineage, with the largest difference in sample means (3.3 %) occurring
under ambient conditions. In having lower rhizome and plant-scale construction
costs, the introduced lineage can recoup its investment in tissue construction
more quickly, enabling it to generate additional biomass with the same energetic
investment. Our results suggest that introduced Phragmites has had an
advantageous tissue investment strategy under historic CO2 and N levels, which
has facilitated key rhizome processes, such as clonal spread. We recommend that
construction costs for multiple organ types be included in future studies of
plant carbon economy, especially those investigating global change.
PMID- 24938303
TI - Impact of patient navigation on timely cancer care: the Patient Navigation
Research Program.
AB - BACKGROUND: Patient navigation is a promising intervention to address cancer
disparities but requires a multisite controlled trial to assess its
effectiveness. METHODS: The Patient Navigation Research Program compared patient
navigation with usual care on time to diagnosis or treatment for participants
with breast, cervical, colorectal, or prostate screening abnormalities and/or
cancers between 2007 and 2010. Patient navigators developed individualized
strategies to address barriers to care, with the focus on preventing delays in
care. To assess timeliness of diagnostic resolution, we conducted a meta-analysis
of center- and cancer-specific adjusted hazard ratios (aHRs) comparing patient
navigation vs usual care. To assess initiation of cancer therapy, we calculated a
single aHR, pooling data across all centers and cancer types. We conducted a
metaregression to evaluate variability across centers. All statistical tests were
two-sided. RESULTS: The 10521 participants with abnormal screening tests and 2105
with a cancer or precancer diagnosis were predominantly from racial/ethnic
minority groups (73%) and publically insured (40%) or uninsured (31%). There was
no benefit during the first 90 days of care, but a benefit of navigation was seen
from 91 to 365 days for both diagnostic resolution (aHR = 1.51; 95% confidence
interval [CI] = 1.23 to 1.84; P < .001)) and treatment initiation (aHR = 1.43;
95% CI = 1.10 to 1.86; P < .007). Metaregression revealed that navigation had its
greatest benefits within centers with the greatest delays in follow-up under
usual care. CONCLUSIONS: Patient navigation demonstrated a moderate benefit in
improving timely cancer care. These results support adoption of patient
navigation in settings that serve populations at risk of being lost to follow-up.
PMID- 24938307
TI - Adverse perinatal outcomes associated with moderate or severe maternal anaemia
based on parity in Finland during 2006-10.
AB - BACKGROUND: Anaemia during pregnancy is an important public health problem. We
investigated whether the association between maternal anaemia during pregnancy
and adverse perinatal outcomes differed between nulliparous and multiparous
women. METHODS: A retrospective population-based cohort study was conducted using
data on all singleton births (n = 290 662) recorded in the Finnish Medical Birth
Register during 2006-10. Maternal anaemia was defined as a maternal haemoglobin
level of <100 g/L). Adverse perinatal outcomes that were examined included
preterm delivery (<37 weeks), small-for-gestational age (SGA, <2 standard
deviation), admission to neonatal intensive care, stillbirth, early neonatal
death, and major congenital anomalies. An association between anaemia and adverse
outcomes was assessed by logistic regression analysis. RESULTS: The prevalence of
anaemia during pregnancy was 2.5% among nulliparous women and 2.3% among
multiparous women. Among nulliparous women, anaemia was not associated with
adverse perinatal outcomes. Among multiparous women, anaemia was associated with
preterm delivery (adjusted odds ratio [aOR] 1.32, [95% CI 1.14, 1.53]), SGA (aOR
1.27, [95% CI 1.04, 1.55]), and admission to neonatal intensive care (aOR 1.23,
[95% CI 1.10, 1.38]); there was a trend towards increased odds of major
congenital anomalies (aOR 1.15, [95% CI 0.99, 1.34]). CONCLUSIONS: These data
underscore that maternal anaemia is associated with several adverse perinatal
outcomes. This association was, however, confined to multiparous women. Future
research should explore in detail the timing of anaemia in these associations.
PMID- 24938306
TI - Development of a survey instrument to investigate the primary care factors
related to differences in cancer diagnosis between international jurisdictions.
AB - BACKGROUND: Survival rates following a diagnosis of cancer vary between
countries. The International Cancer Benchmarking Partnership (ICBP), a
collaboration between six countries with primary care led health services, was
set up in 2009 to investigate the causes of these differences. Module 3 of this
collaboration hypothesised that an association exists between the readiness of
primary care physicians (PCP) to investigate for cancer - the 'threshold' risk
level at which they investigate or refer to a specialist for consideration of
possible cancer - and survival for that cancer (lung, colorectal and ovarian). We
describe the development of an international survey instrument to test this
hypothesis. METHODS: The work was led by an academic steering group in England.
They agreed that an online survey was the most pragmatic way of identifying
differences between the jurisdictions. Research questions were identified through
clinical experience and expert knowledge of the relevant literature.A survey
comprising a set of direct questions and five clinical scenarios was developed to
investigate the hypothesis. The survey content was discussed and refined
concurrently and repeatedly with international partners. The survey was validated
using an iterative process in England. Following validation the survey was
adapted to be relevant to the health systems operating in other jurisdictions and
translated into Danish, Norwegian and Swedish, and into Canadian and Australian
English. RESULTS: This work has produced a survey with face, content and cross
cultural validity that will be circulated in all six countries. It could also
form a benchmark for similar surveys in countries with similar health care
systems. CONCLUSIONS: The vignettes could also be used as educational resources.
This study is likely to impact on healthcare policy and practice in participating
countries.
PMID- 24938308
TI - Global pathways to men's caregiving: mixed methods findings from the
International Men and Gender Equality Survey and the Men Who Care study.
AB - Promoting men's participation in unpaid care work is part of the Programme of
Action for the International Conference on Population and Development. However,
men's involvement in care work does not mirror the advances women have made in
paid work outside the home. This mixed method study explores which men are more
involved in caregiving, and what childhood and adulthood factors influence their
level of involvement. Quantitative research presents findings from 1169 men
across six countries with children aged 0-4, and a qualitative study presents
findings from in-depth interviews with 83 men engaged in atypical caregiving
practices. Survey research finds that being taught to care for children,
witnessing one's father take care of one's siblings, respondents' present
attitudes about gender equality and having outside help (or none, in some cases)
were all also associated with men's higher level of involvement. Qualitative
research reveals that men's experiences of violence, the normalisation of
domestic work as children and life circumstances rather than greater-than-average
beliefs in gender equality all propelled them into care work. Findings suggest
that engaging more men into care work implies changes to policies and structural
realities in the workplace coupled with changing gender attitudes. These insights
inform policy and practice aimed at promoting greater involvement in care work by
men.
PMID- 24938309
TI - Abnormal sensory perception or peristaltic dysfunction: which one is associated
with symptoms?
PMID- 24938310
TI - Novel common variants and susceptible haplotype for exfoliation glaucoma specific
to Asian population.
AB - The common variants in lysyl oxidase-like 1 gene (LOXL1) are associated with
exfoliation glaucoma (XFG) patients developed through exfoliation syndrome (XFS).
However, the risk allele of a variant in LOXL1 has been found to be inverted
between Asian and Caucasian populations. Therefore, we newly performed a genome
wide association study using 201 XFS/XFG and 697 controls in Japanese, and
identified 34 genome-wide significant single-nucleotide polymorphisms (SNPs)
distributing in not only LOXL1 but also TBC1D21 and PML at the 15q24.1 locus.
These SNPs were confirmed by an independent population consisted of 121 XFS/XFG
and 263 controls in Japanese. Moreover, further analyses revealed a unique
haplotype structure only from the combination of TBC1D21 and LOXL1 variants
showing a high XFS/XFG susceptibility specific for the Asian population. Although
there still should be other gene(s) in the other region(s) contributing to the
disease process, these results suggested that the combination of newly discovered
variants in these genes might be useful for precise XFG risk assessment, as well
as for elucidating the molecular mechanism of XFG pathogenesis through XFS.
PMID- 24938311
TI - Pretreatment attrition and formal withdrawal during treatment and their
predictors: an exploratory study of the anxiety online data.
AB - BACKGROUND: Although in its infancy, the field of e-mental health interventions
has been gaining popularity and afforded considerable research attention.
However, there are many gaps in the research. One such gap is in the area of
attrition predictors at various stages of assessment and treatment delivery.
OBJECTIVE: This exploratory study applied univariate and multivariate analysis to
a large dataset provided by the Anxiety Online (now called Mental Health Online)
system to identify predictors of attrition in treatment commencers and in those
who formally withdrew during treatment based on 24 pretreatment demographic and
personal variables and one clinical measure. METHODS: Participants were assessed
using a complex online algorithm that resulted in primary and secondary diagnoses
in accordance with the Diagnostic and Statistical Manual of Mental Disorders,
Fourth Edition, Text Revision (DSM-IV-TR). Those who received a primary or
secondary diagnosis of 1 of 5 anxiety disorders (generalized anxiety disorder,
social anxiety disorder, obsessive-compulsive disorder, posttraumatic stress
disorder, and panic disorder) were offered an online 12-week disorder-specific
treatment program. RESULTS: Of 9394 potential participants, a total of 3880
clients enrolled and 5514 did not enroll in one of the treatment programs
following the completion of pretreatment assessment measures (pretreatment
attrition rate: 58.70%). A total of 3199 individuals did not formally withdraw
from the 12-week treatment cycle, whereas 142 individuals formally dropped out
(formal withdrawal during treatment dropout rate of 4.25%). The treatment
commencers differed significantly (P<.001-.03) from the noncommencers on several
variables (reason for registering, mental health concerns, postsecondary
education, where first heard about Anxiety Online, Kessler-6 score, stage of
change, quality of life, relationship status, preferred method of learning, and
smoking status). Those who formally withdrew during treatment differed
significantly (P=.002-.03) from those who did not formally withdraw in that they
were less likely to express concerns about anxiety, stress, and depression; to
rate their quality of life as very poor, poor, or good; to report adequate level
of social support; and to report readiness to make or were in the process of
making changes. CONCLUSIONS: This exploratory study identified predictors of
pretreatment attrition and formal withdrawal during treatment dropouts for the
Anxiety Online program. TRIAL REGISTRATION: Australian and New Zealand Clinical
Trials Registry ACTRN121611000704998;
http://www.anzctr.org.au/trial_view.aspx?ID=336143 (Archived by WebCite at
http://www.webcitation.org/618r3wvOG).
PMID- 24938312
TI - Three-dimensional microstructure of high-performance pulsed-laser deposited Ni
YSZ SOFC anodes.
AB - The Ni-yttria-stabilized zirconia (YSZ) anode functional layer in solid oxide
fuel cells produced by pulsed laser-deposition was studied using three
dimensional tomography. Anode feature sizes of ~130 nm were quite small relative
to typical anodes, but errors arising in imaging and segmentation were shown
using a sensitivity analysis to be acceptable. Electrochemical characterization
showed that these cells achieved a relatively high maximum power density of 1.4 W
cm(-2) with low cell resistance at an operating temperature of 600 degrees C.
The tomographic data showed anode three-phase boundary density of ~56 MUm(-2),
more than 10 times the value observed in conventional Ni-YSZ anodes. Anode
polarization resistance values, predicted by combining the structural data and
literature values of three-phase boundary resistance in an electrochemical model,
were consistent with measured electrochemical impedance spectra, explaining the
excellent intermediate-temperature performance of these cells.
PMID- 24938313
TI - Clinical trials involving cats: what factors affect owner participation?
AB - STUDY RATIONALE: Clinical trials are frequently hindered by difficulties in
recruiting eligible participants, increasing the timeline and limiting
generalizability of results. In veterinary medicine, where proxy enrollment is
required, no studies have detailed what factors influence owner participation in
clinical trials involving cats. We aimed to investigate these factors through a
survey of owners at first opinion practices. PROTOCOL: The survey was designed
using feedback from a pilot study and input from clinical researchers. Owners
were asked demographic questions and whether they would, would not, or were
unsure about participating in a clinical trial with their cat. They then ranked
the importance and influence of various factors on participation using a five
point Likert-type scale, and incentives from most to least encouraging. A total
of 413 surveys were distributed to cat owners at four hospitals, two feline-only
and two multi-species; 88.6% were completed. Data for importance and influence
factors as well as incentive rankings were analyzed overall, and by hospital
type, location and whether owners would consider participating. FINDINGS: The
most influential factors were trust in the organization, benefit to the cat and
veterinarian recommendation. Importance and influence factors varied by
willingness to participate. Ranked incentives were not significantly different
across groups, with 'Free Services' ranked highest. RELEVANCE: This study
provides a first look at what factors influence participation in clinical trials
with cats. Given the importance placed in the recommendation of veterinarians,
continued work is needed to determine veterinarian-related factors affecting
clinical trial participation. The results provide guidance towards improved
clinical trial design, promotion and education.
PMID- 24938314
TI - Insulin detemir treatment in diabetic cats in a practice setting.
AB - Insulin detemir is a long-acting insulin analogue and may represent a valuable
treatment option for diabetic cats. So far, only one study addressing detemir
treatment of diabetic cats has been published, and this was based on an intensive
blood glucose monitoring protocol. The aim of the current, retrospective study
was to evaluate the effect of detemir therapy in diabetic cats in a general
clinical setting. Fourteen diabetic cats with a follow-up period of at least 3
months were included. Data were collected from medical records at the University
Hospital for Companion Animals, University of Copenhagen, Denmark. Thirteen of 14
cats achieved moderate or excellent control of clinical symptoms within the
initial 3 months of detemir therapy, including five cats previously treated
unsuccessfully with other types of insulin. Clinical improvements were noted
after 1 month of therapy and continued over time. Three cats achieved remission
within the initial 3 months and none experienced a diabetic relapse during the
study period. One cat achieved remission after 13 months of therapy. Improvements
in clinical symptoms were markedly better than indicated by blood glucose and
serum fructosamine concentrations. The safety of detemir was very high, with only
two reported episodes of clinical hypoglycaemia, neither of which required
veterinary attention. Based on these results detemir can be recommended for the
treatment of diabetic cats, including cats previously treated unsuccessfully with
other types of insulin.
PMID- 24938316
TI - Effect of boiling in water of barley and buckwheat groats on the antioxidant
properties and dietary fiber composition.
AB - In recent years, there has been an ever-increasing interest in the research of
polyphenols obtained from dietary sources, and their antioxidative properties.
The purpose of this study was to determine the effect of boiling buckwheat and
barley groats on the antioxidant properties and dietary fiber composition.
Antioxidative properties were investigated using methyl linoleate model system,
by assessing the DPPH (2,2-diphenyl-1-picrylhydrazyl) radical scavenging activity
and metal chelating activity. The results were compared with butylated
hydroxytoluene (BHT). Raw barley and buckwheat groats extracts showed higher DPPH
scavenging ability compared to boiled barley and buckwheat groats extracts. Raw
barley groats extract exhibited higher antioxidant activity than boiled groats
extract in the methyl linoleate emulsion. Higher chelating ability in relation to
Fe (II) ions was observed for boiled groats extracts as compared to raw groats
extracts. BHT showed small antiradical activity and metal chelating activity,
while showing higher antioxidative activity in emulsion system. The analysis of
groats extracts using HPLC method showed the presence of rutin, catechin,
quercetin, gallic, p-hydroxybenzoic, p-coumaric, o-coumaric, vanillic, sinapic,
and ferulic acids. Differences in the content of dietary fiber and its fractions
were observed in the examined products. The highest total dietary fiber content
was detected in boiled buckwheat groats, while the lowest - in boiled barley
groats. The scientific achievements of this research could help consumers to
choose those cereal products available on the market, such as barley and
buckwheat groats, which are a rich source of antioxidative compounds and dietary
fiber.
PMID- 24938317
TI - Erratum to: Differential distribution of Y-box-binding protein 1 and cold shock
domain protein A in developing and adult human brain.
PMID- 24938315
TI - Podoplanin-positive cancer cells at the edge of esophageal squamous cell
carcinomas are involved in invasion.
AB - Podoplanin (PDPN) is a well established lymphatic endothelial marker and has
frequently been observed in cancer cells at the edge of cancer masses. Previous
studies investigating the association between PDPN expression and patient
prognosis have had contradictory results. In the present study, it was
hypothesized that the different locations of PDPN-positive cells may explain
these varying results. The present study aimed to focus on PDPN expression at the
edge of esophageal cancer cell nests. In order to analyze the clinical
significance of this PDPN expression, immunohistochemistry was performed using
esophageal cancer tissue microarrays. PDPN expression at the edge of the cancer
cell nest was found to be significantly associated with invasion (P<0.05) and
poor prognosis (P<0.001) in patients with cancer. To further investigate the role
of PDPN expression in cancer cells, the PDPN gene was cloned and transfected into
esophageal squamous cell carcinoma (ESCC) cell lines. PDPN expression was also
knocked down using small interfering RNA. PDPN-positive cancer cells were found
to exhibit invasion characteristics. Thus, PDPN expression at the edge of a
cancer cell nest may indicate invasion and represent a poor prognostic factor for
ESCCs.
PMID- 24938318
TI - Gabapentin versus pregabalin in relieving early post-surgical neuropathic pain in
patients after lumbar disc herniation surgery: a prospective clinical trial.
AB - OBJECTIVES: The roles of gabapentin and pregabalin are well established in the
management of chronic neuropathic pain. Here, we investigated the effectiveness
of pregabalin and gabapentin for treating acute neuropathic pain following lumbar
discectomy. METHODS: This prospective, non-randomized, and observational study
included 54 patients who experienced acute neuropathic pain after lumbar
discectomy. The assessments included the Leeds assessment of neuropathic symptoms
and signs scale (LANSS), the Oswestry disability index (ODI), and the visual
analog scale (VAS) pre-operatively and at 3 days, 6 months, and 1 year after
surgery. The LANSS scores ?12 suggest the presence of neuropathic pain. Those
patients who reported neuropathic pain were randomly treated with gabapentin or
pregabalin. RESULTS: In the gabapentin group, the LANSS scores increased to 14 at
3 days after surgery. The patients improved neurologically and on the LANSS,
which decreased to 10 points 6 months after surgery and to 4 points at 1 year (P
< 0.001). In the pregabalin group, the LANSS scores increased from 12 to 16
points on post-operative day 3 and then decreased to 12 and 5 at the 6-month and
1-year follow-ups, respectively (both P < 0.001). The ODI and VAS scores
significantly improved in both groups (P < 0.001). DISCUSSION: Many patients may
suffer from neuropathic pain in the early post-surgical period after lumbar
discectomy. Gabapentin and pregabalin are anticonvulsant agents that may decrease
perioperative central sensitization and early post-surgical neuropathic pain.
Gabapentin and pregabalin effectively relieved neuropathic pain and prevented the
conversion of acute pain to chronic pain at the 1-year follow-up after lumbar
discectomy.
PMID- 24938319
TI - Alterations in the gray matter volume in transient ischemic attack: a voxel-based
morphometry study.
AB - BACKGROUND: Several studies have emphasized that transient ischemic attack (TIA)
patients suffered functional impairments, but whether underlying morphological
alterations exist remains unclear. This study aims to detect possible gray matter
volume (GMV) alterations in patients with TIA using voxel-based morphometry (VBM)
method. METHODS: High-resolution T1-weighted anatomical images of 21 patients
were compared with 21 healthy controls of matching age, gender, and education.
Changes in the GMV were observed using VBM technique, followed by two-sample t
test analysis to detect the differences in the GMV between TIA patients and
healthy controls. Correlations between the clinical parameters and the Montreal
cognitive assessment (MoCA) scores, and the altered GMV in TIAs, were
investigated. RESULTS: Two-sample t-test analysis revealed a significant GMV
reduction in specific regions in the default mode network (DMN) in TIA patients,
including the bilateral medial frontal gyrus, anterior cingulate cortex (ACC),
and precuneus. No correlation was found between the reduced GMV and MoCA scores
and clinical parameters. CONCLUSION: Transient ischemic attack patients showed
widespread morphology atrophy in DMN, suggesting that, despite the absence of a
cerebral infarction, ischemic injury may induce structural abnormalities and
eventually contribute to functional impairments in TIA patients. Our results may
provide a valuable basis for the pathophysiological mechanism related to the
cognitive dysfunction of TIA from the view of brain morphology.
PMID- 24938320
TI - Early morphological recovery of the optic chiasm is associated with excellent
visual outcome in patients with compressive chiasmal syndrome caused by pituitary
tumors.
AB - OBJECTIVES: The study objectives are (1) to identify factors predicting the
excellent visual recovery after transsphenoidal removal of pituitary tumors and
(2) to describe the association of excellent visual recovery and early
restoration of symmetry of the decompressed optic chiasm. METHODS: Thirty-five
patients with visual symptoms due to pituitary tumors underwent endoscopic
endonasal surgery. All patients received perioperative diagnostic magnetic
resonance (MR) imaging and ophthalmological assessments within 2 weeks before
surgery, within 2 weeks after surgery, and 3 months or later after surgery.
Preoperative best-corrected visual acuity (BCVA ? 20/20), degree of visual field
deficit (VFD, less than half of VF), thickness of retinal nerve fiber layer
(RNFL) measured by optical coherence tomography (OCT), and thickness of ganglion
cell complex (GCC) measured by OCT were considered for statistical analysis as
predictive factors of VF outcome. Multivariate logistic regression models were
used in statistical evaluation of data. RESULTS: In the multivariate analysis,
RNFL (odds ratio = 62.137, P < 0.001) and preoperative VFD (odds ratio =
8.244, P < 0.02) proved to be effective as factors predicting sufficient VF
recovery. Postoperative restoration of symmetry of the optic chiasm was related
to sufficient VF recovery (P < 0.0001, Fisher's exact test) and RNFL (P < 0.0001,
Fisher's exact test). DISCUSSION: Early decompression is crucial for sufficient
VF recovery, in particular, while RNFL preserves normal or borderline thickness
and while VFD keeps within hemianopia. Morphological reversibility is associated
with functional reversibility in the optic chiasm compressed by a pituitary
tumor. In particular, early morphological recovery suggests functional recovery,
which indicates neurocyte reserve in the compressed optic pathway with functional
recovery.
PMID- 24938321
TI - Valsartan prevents neointimal hyperplasia after carotid artery stenting by
suppressing endothelial cell injuries.
AB - OBJECTIVES: Restenosis or neointimal hyperplasia remains an important
complication after carotid artery stenting (CAS) for carotid artery stenosis. The
purpose of this study was to examine if an anti-hypertensive drug, angiotensin
receptor blocker (ARB), prevents post-CAS neointimal hyperplasia during the first
1-year period after CAS, and to clarify the possible mechanisms. METHODS:
Hypertension had been treated with a calcium channel blocker (CCB) and/or an ARB,
valsartan, by the preference of the neurosurgeon in charge in our department. At
admission to perform CAS, patients were assigned to normotensive, valsartan
(hypertensive patients treated with valsartan with/without any kind of CCBs), and
non-valsartan (hypertensive patients treated with any kind of CCBs without ARBs)
groups. Post-CAS neointimal hyperplasia was evaluated by carotid duplex
ultrasound imaging in terms of intima-media thickening (IMT), which was performed
at pre-CAS and at 90, 180, 270, and 360 days post-CAS. Biomarkers of oxidative
stress (8-hydroxy-2'-deoxyguanosine), inflammation (C-reactive protein, tenascin
C) and endothelial cell injury (von Willebrand factor [vWF] antigen) were
measured at pre-CAS and at 1, 7, and 180 days post-CAS. RESULTS: The non
valsartan group (n = 8) had a higher incidence of maximum in-stent IMT ? 1.1 mm
compared with the normotensive group (n = 6). Valsartan (n = 9) significantly
suppressed plasma vWF levels at 7 days post-CAS and decreased the incidence of
maximum in-stent IMT ? 1.1 mm compared with the non-valsartan group, although
clinical parameters were similar between the two groups. Other biomarkers were
not significantly different among the three groups. CONCLUSIONS: These findings
suggest that valsartan may prevent post-CAS neointimal hyperplasia possibly by
suppressing endothelial cell injury.
PMID- 24938322
TI - Informed consent and ECT: how much information should be provided?
AB - Obtaining informed consent before providing treatment is a routine part of modern
clinical practice. For some treatments, however, there may be disagreement over
the requirements for 'informed' consent. Electroconvulsive therapy (ECT) is one
such example. Blease argues that patients 'should surely be privy to the matters
of fact that: (1) there is continued controversy over the effectiveness of ECT;
(2) there is orthodox scientific consensus that there is currently no
acknowledged explanation for ECT and (3) there is a serious (mainstream) debate
over whether the response to ECT may be a placebo response.' Before embracing
these suggestions, two key questions must be asked. Are these claims a reasonable
representation of current ECT research? And if so, will this information be of
benefit to patients? The evidence-based support for ECT from both National
Institute for Health and Care Excellence and the Royal College of Psychiatrists
appears to undermine the validity of claims (1) and (3), and therefore the
rationale for providing this information. Concerning assertion (2), it is true
that the mechanism by which ECT has its therapeutic effect is not yet
established, although the importance of conveying this fact to the patient is
questionable. Of greater certainty is that the same irresolution surrounds the
mechanism of action of pharmaceutical antidepressants, and so a double standard
in patient care should be mindfully avoided if provision of this information is
deemed a prerequisite for proper 'informed' consent.
PMID- 24938323
TI - Detection of total and PRRSV-specific antibodies in oral fluids collected with
different rope types from PRRSV-vaccinated and experimentally infected pigs.
AB - BACKGROUND: Oral fluid collected by means of ropes has the potential to replace
serum for monitoring and surveillance of important swine pathogens. Until now,
the most commonly used method to collect oral fluid is by hanging a cotton rope
in a pen. However, concerns about the influence of rope material on subsequent
immunological assays have been raised. In this study, we evaluated six different
rope materials for the collection of oral fluid and the subsequent detection of
total and PRRSV-specific antibodies of different isotypes in oral fluid collected
from PRRSV-vaccinated and infected pigs. RESULTS: An initial experiment showed
that IgA is the predominant antibody isotype in porcine saliva. Moreover, it was
found that synthetic ropes may yield higher amounts of IgA, whereas all rope
types seemed to be equally suitable for IgG collection. Although IgA is the
predominant antibody isotype in porcine oral fluid, the PRRSV-specific IgA-based
IPMA and ELISA tests were clearly not ideal for sensitive detection of PRRSV
specific IgA antibodies. In contrast, PRRSV-specific IgG in oral fluids was
readily detected in PRRSV-specific IgG-based IPMA and ELISA tests, indicating
that IgG is a more reliable isotype for monitoring PRRSV-specific antibody
immunity in vaccinated/infected animals via oral fluids with the currently
available tests. CONCLUSIONS: Since PRRSV-specific IgG detection seems more
reliable than PRRSV-specific IgA detection for monitoring PRRSV-specific antibody
immunity via oral fluids, and since all rope types yield equal amounts of IgG, it
seems that the currently used cotton ropes are an appropriate choice for sample
collection in PRRSV monitoring.
PMID- 24938326
TI - Health services for Aboriginal and Torres Strait Islander people: handle with
care.
PMID- 24938324
TI - The inverse agonist propranolol confers no corticosteroid-sparing activity in
mild-to-moderate persistent asthma.
AB - The murine asthma model shows that switching off airway beta2 receptors with an
inverse agonist may confer anti-inflammatory effects as well as corticosteroid
sparing activity. We have assessed for any corticosteroid-sparing effects of
propranolol, an inverse agonist, added to low-dose inhaled corticosteroid (ICS)
compared with higher dose ICS. A randomized double-blind placebo-controlled cross
over trial in mild-to-moderate persistent asthmatic patients was performed. After
a run-in (2 weeks) on hydrofluoroalkane-beclometasone dipropionate (HFA-BDP) at
100 MUg/day (HFA-BDP100), patients received randomized treatments (4 weeks) with
propranolol at 80 mg/day plus HFA-BDP at 100 MUg/day compared with placebo plus
HFA-BDP at 400 MUg/day (HFA-BDP400). Propranolol was up-titrated to 80 mg/day
over the initial 2 weeks. Tiotropium was co-administered until 5 days before each
histamine challenge (the primary outcome). Sixteen patients completed the study
[mean age, 38 years; forced expiratory volume in 1 s (FEV1), 86.4%; histamine
provocative concentration causing a 20% fall in FEV1 (PC20), 1.39 mg/ml; ICS
dose, 406 MUg/day]. Histamine PC20 was unchanged by adding propranolol to HFA
BDP100 compared with baseline (HFA-BDP100) {0.17 doubling dilution (dd)
difference [95% confidence interval (CI): -0.58 to 0.92]}, but there was a
significant improvement with HFA-BDP400 compared with both baseline [1.05 dd (95%
CI: 0.43-1.66); P=0.02], and propranolol+HFA-BDP100 [0.88 dd (95% CI: 0.45-1.30);
P=0.006]. Significant improvements were also observed with HFA-BDP400 for exhaled
nitric oxide, blood eosinophils, serum eosinophilic cationic protein and asthma
quality-of-life questionnaire symptoms compared with propranolol+HFA-BDP100.
Salbutamol recovery post-challenge was partially blunted by propranolol (median
prolongation 5 min; P=0.002). Domiciliary evening FEV1 also fell with
propranolol+HFA-BDP100 [mean reduction from baseline 0.22 litres (95% CI: 0.10
0.34); P=0.012], whereas Asthma Control Questionnaire remained unchanged. In
conclusion, the inverse agonist propranolol produced no improvements when given
with low-dose ICS, whereas further significant improvements in airway hyper
responsiveness and inflammation were demonstrated with higher dose ICS. Thus,
propranolol does not confer corticosteroid-sparing activity in persistent asthma.
PMID- 24938327
TI - Racism, health and constitutional recognition.
PMID- 24938328
TI - The imperative for investment in Aboriginal and Torres Strait Islander health.
PMID- 24938329
TI - The shape of things to come: visions for the future of Aboriginal and Torres
Strait Islander health research.
PMID- 24938331
TI - Indigenous health: radical hope or groundhog day?
PMID- 24938332
TI - From vision to reality: a centre of excellence for Aboriginal and Torres Strait
Islander primary health care.
PMID- 24938334
TI - 2014 Australian medical association national conference.
PMID- 24938333
TI - Decolonising practices: can journalism learn from health care to improve
Indigenous health outcomes?
PMID- 24938335
TI - Can we sustain health spending?
PMID- 24938336
TI - Reports indicate that changes are needed to close the gap for Indigenous health.
PMID- 24938337
TI - Reports indicate that changes are needed to close the gap for Indigenous health.
PMID- 24938338
TI - Engaging Aboriginal and Torres Strait Islander men in primary care settings.
PMID- 24938339
TI - Crusted scabies: a clinical marker of human T-lymphotropic virus type 1 infection
in central Australia.
PMID- 24938340
TI - Partial foot amputations may not always be worth the risk of complications.
PMID- 24938341
TI - Partial foot amputations may not always be worth the risk of complications.
PMID- 24938342
TI - Partial foot amputations may not always be worth the risk of complications.
PMID- 24938343
TI - Off-label prescribing.
PMID- 24938344
TI - A framework for overcoming disparities in management of acute coronary syndromes
in the Australian Aboriginal and Torres Strait Islander population. A consensus
statement from the National Heart Foundation of Australia.
AB - Aboriginal and Torres Strait Islander patients with acute coronary syndromes
(ACS) experience lower intervention rates and poorer outcomes compared with non
Indigenous patients. A broad range of geographical, cultural and systemic factors
contribute to delays and suboptimal treatment for ACS. Every Indigenous ACS
patient, regardless of where they live, should be able to expect a coordinated,
patient-centred pathway of care provided by designated provider clinical networks
and supported by Indigenous cardiac coordinators, Aboriginal liaison officers
(ALOs) and health workers. These designated provider clinical networks provide:
appropriate prehospital and inhospital treatment an individualised patient care
plan developed jointly with the patient and his or her family culturally
appropriate education initiated within the hospital setting and involving
families with support from ALOs effective follow-up care and access to relevant
secondary prevention programs. We outline generic pathways to provide
policymakers, health planners and health care providers with a framework for ACS
diagnosis and management that can be implemented across the diverse settings in
which Aboriginal and Torres Strait Islander people reside and their care is
delivered, in order to optimise care and assertively address the current
disparities in outcomes.
PMID- 24938345
TI - Crusted scabies in remote Australia, a new way forward: lessons and outcomes from
the East Arnhem Scabies Control Program.
AB - Crusted scabies is a highly infectious, debilitating and disfiguring disease, and
remote Aboriginal communities of northern Australia have the highest reported
rates of the condition in the world. We draw on monitoring data of the East
Arnhem Scabies Control Program to discuss outcomes and lessons learnt through
managing the condition in remote communities. Using active case finding, we
identified seven patients with crusted scabies in three communities and found
most had not presented to health services despite active disease. We compared
presentations and hospitalisations for a cumulative total of 99 months during a
novel preventive program with 99 months immediately before the program for the
seven cases and seven sentinel household contacts. Our preventive long-term case
management approach was associated with a significant 44% reduction in episodes
of recurrent crusted scabies (from 36 to 20; P = 0.025) in the seven cases, and a
non-significant 80% reduction in days spent in hospital (from 173 to 35; P =
0.09). It was also associated with a significant 75% reduction in scabies-related
presentations (from 28 to 7; P = 0.017) for the seven sentinel household
contacts. We recommend active surveillance and wider adoption of this preventive
case management approach, with ongoing evaluation to refine protocols and improve
efficiency. Contacts of children presenting with recurrent scabies should be
examined to exclude crusted scabies. In households where crusted scabies is
present, a diagnosis of parental neglect due to recurrent scabies and weight loss
in children should be made with extreme caution. Improved coordination of care by
health services, and research and development of new therapies including
immunotherapies for crusted scabies, must be a priority.
PMID- 24938346
TI - Aboriginal community controlled health services: leading the way in primary care.
AB - The national Closing the Gap framework commits to reducing persisting
disadvantage in the health of Aboriginal and Torres Strait Islander people in
Australia, with cross-government-sector initiatives and investment. Central to
efforts to build healthier communities is the Aboriginal community controlled
health service (ACCHS) sector; its focus on prevention, early intervention and
comprehensive care has reduced barriers to access and unintentional racism,
progressively improving individual health outcomes for Aboriginal people. There
is now a broad range of primary health care data that provides a sound evidence
base for comparing the health outcomes for Indigenous people in ACCHSs with the
outcomes achieved through mainstream services, and these data show: models of
comprehensive primary health care consistent with the patient-centred medical
home model; coverage of the Aboriginal population higher than 60% outside major
metropolitan centres; consistently improving performance in key performance on
best-practice care indicators; and superior performance to mainstream general
practice. ACCHSs play a significant role in training the medical workforce and
employing Aboriginal people. ACCHSs have risen to the challenge of delivering
best-practice care and there is a case for expanding ACCHSs into new areas. To
achieve the best returns, the current mainstream Closing the Gap investment
should be shifted to the community controlled health sector.
PMID- 24938347
TI - Follow-up of Indigenous-specific health assessments - a socioecological analysis.
AB - OBJECTIVES: To describe patterns of uptake of Indigenous-specific health
assessments and associated follow-up items, and examine the barriers and enablers
to delivery and billing of follow-up over the first 3 years of implementation of
the Indigenous Chronic Disease Package (ICDP). DESIGN, SETTING AND PARTICIPANTS:
We used a socioecological approach to analyse data derived from the Sentinel
Sites Evaluation of the ICDP - with data from 24 sites across Australia.
Administrative data (1 May 2009 to 30 May 2012) and program data (1 March 2010 to
30 May 2012) were provided by the Department of Health. Data on barriers and
enablers to follow-up of health assessments were obtained from community focus
groups, in-depth interviews and discussions with key informants (1 November 2010
to 30 December 2012). MAIN OUTCOME MEASURES: Monthly number of Medicare Benefits
Schedule items claimed for Indigenous-specific health services and follow-up;
qualitative data on enablers and barriers categorised according to patient,
patient-health service relationship, health service or organisation, community
and policy environment levels or influence. RESULTS: There was an increase in the
uptake of health assessments, but relatively limited delivery of follow-up care
and billing for Indigenous-specific follow-up items. Follow-up was constrained by
factors that operated at various levels: patient, interpersonal, health service,
community and policy. Constraints included practitioners' lack of awareness of
item numbers, staffing, poor state of clinical information systems, billing
against non-Indigenous-specific items or more general follow-up items, emphasis
on health assessments with less attention to requirements for follow-up, limited
capacity to arrange and facilitate follow-up, and communication and transport
challenges for patients. CONCLUSIONS: Work is required across various levels of
the system to address barriers to follow-up care. Enhancing follow-up care is
vital to achieving health benefits from the large financial and human resource
investment in health assessments.
PMID- 24938348
TI - The cost-effectiveness of primary care for Indigenous Australians with diabetes
living in remote Northern Territory communities.
AB - OBJECTIVE: To evaluate the costs and health outcomes associated with primary care
use by Indigenous people with diabetes in remote communities in the Northern
Territory. DESIGN, SETTING AND PARTICIPANTS: A population-based retrospective
cohort study from 1 January 2002 to 31 December 2011 among Indigenous NT
residents >= 15 years of age with diabetes who attended one of five hospitals or
54 remote clinics in the NT. MAIN OUTCOME MEASURES: Hospitalisations, potentially
avoidable hospitalisations (PAH), mortality and years of life lost (YLL).
Variables included disease stage (new, established or complicated cases) and
primary care use (low, medium or high). RESULTS: 14 184 patients were eligible
for inclusion in the study. Compared with the low primary care use group, the
medium-use group (patients who used primary care 2-11 times annually) had lower
rates of hospitalisation, lower PAH, lower death rates and fewer YLL. Among
complicated cases, this group showed a significantly lower mean annual
hospitalisation rate (1.2 v 6.7 per person [P < 0.001]) and PAH rate (0.72 v 3.64
per person [P < 0.001]). Death rate and YLL were also significantly lower (1.25 v
3.77 per 100 population [P < 0.001] and 0.29 v 1.14 per person-year [P < 0.001],
respectively). The cost of preventing one hospitalisation for diabetes was $248
for those in the medium-use group and $739 for those in the high-use group. This
compares to $2915, the average cost of one hospitalisation. CONCLUSION: Improving
access to primary care in remote communities for the management of diabetes
results in net health benefits to patients and cost savings to government.
PMID- 24938349
TI - Cost of best-practice primary care management of chronic disease in a remote
Aboriginal community.
AB - OBJECTIVE: To estimate the cost of completing all chronic care tasks recommended
by the Central Australian Rural Practitioners Association Standard Treatment
Manual (CARPA STM) for patients with type 2 diabetes and chronic kidney disease
(CKD). DESIGN AND SETTING: The study was conducted at a health service in a
remote Central Australian Aboriginal community between July 2010 and May 2011.
The chronic care tasks required were ascertained from the CARPA STM. The clinic
database was reviewed for data on disease prevalence and adherence to CARPA STM
guidelines. Recommended tasks were observed in a time-and-motion study of
clinicians' work. Clinicians were interviewed about systematic management and its
barriers. Expenditure records were analysed for salary and administrative costs.
MAIN OUTCOME MEASURES: Diabetes and CKD prevalence; time spent on chronic disease
care tasks; completion of tasks recommended by the CARPA STM; barriers to
systematic care identified by clinicians; and estimated costs of optimal primary
care management of all residents with diabetes or CKD. RESULTS: Projected annual
costs of best-practice care for diabetes and CKD for this community of 542 people
were $900 792, of which $645 313 would be met directly by the local primary care
service. Estimated actual expenditure for these conditions in 2009-10 was $446
585, giving a projected funding gap of $198 728 per annum, or $1733 per patient.
High staff turnover, acute care workload and low health literacy also hindered
optimal chronic disease care. CONCLUSION: Barriers to optimal care included
inadequate funding and workforce issues. Reduction of avoidable hospital
admissions and overall costs necessitates adequate funding of primary care of
chronic disease in remote communities.
PMID- 24938350
TI - Temporal trends in weight and current weight-related behaviour of Australian
Aboriginal school-aged children.
AB - OBJECTIVES: To report 13-year trends in weight status of Australian Aboriginal
children, and to describe weight-related behaviour in children in 2010, by
Aboriginality. DESIGN, SETTING AND PARTICIPANTS: Cross-sectional population
surveys of children aged 5-16 years (n = 18 983) conducted in New South Wales
schools in Term 1 of 1997, 2004 and 2010. MAIN OUTCOME MEASURES: For trend
analysis: body mass index and waist-to-height ratio (WtHr). Analyses of weight
related behaviour from 2010 survey data included indicators of dietary habits,
screen time (ST) and physical activity. RESULTS: Between 1997 and 2010, the
relative increase in prevalence of overweight/obesity and WtHr >= 0.5 was 22.4%
and 113.6%, respectively, among Aboriginal children, and 11.8% and 3.4%,
respectively, among non-Aboriginal children. In 2010, Aboriginal children had
1.52 (95% CI, 1.03-2.24) greater odds of having a WtHr >= 0.5 than non-Aboriginal
children. Compared with non-Aboriginal children, Aboriginal children also had
significantly lower odds of eating breakfast daily (adjusted odds ratio [AOR],
0.72; 95% CI, 0.52-0.99) and significantly greater odds of drinking >= 1 cup of
soft drink daily (AOR, 1.61; 95% CI, 1.13-2.29), having a television in their
bedroom (AOR, 2.75; 95% CI, 2.04-3.70), having no ST rules (AOR, 1.34; 95% CI,
1.04-1.73) and exceeding ST recommendations on weekdays (AOR, 1.78; 95% CI, 1.32
2.39). CONCLUSIONS: Overweight/obesity and WtHr have increased more rapidly in
Aboriginal than non-Aboriginal children in NSW. Unhealthy weight-related
behaviour was frequent among all children, but lack of daily breakfast, excessive
ST and soft drink consumption appear particularly problematic among Aboriginal
children. Raising awareness with families of the consequences of excessive ST and
encouraging strategies to limit ST (such as rethinking placement of televisions
in children's bedrooms and implementing ST rules) hold promise.
PMID- 24938351
TI - Spiralling into the nephrotic syndrome.
PMID- 24938352
TI - What can circle sentencing courts tell us about drug and alcohol problems
affecting Aboriginal communities?
PMID- 24938354
TI - Scores on Riley's stuttering severity instrument versions three and four for
samples of different length and for different types of speech material.
AB - Riley stated that the minimum speech sample length necessary to compute his
stuttering severity estimates was 200 syllables. This was investigated.
Procedures supplied for the assessment of readers and non-readers were examined
to see whether they give equivalent scores. Recordings of spontaneous speech
samples from 23 young children (aged between 2 years 8 months and 6 years 3
months) and 31 older children (aged between 10 years 0 months and 14 years 7
months) were made. Riley's severity estimates were scored on extracts of
different lengths. The older children provided spontaneous and read samples,
which were scored for severity according to reader and non-reader procedures.
Analysis of variance supported the use of 200-syllable-long samples as the
minimum necessary for obtaining severity scores. There was no significant
difference in SSI-3 scores for the older children when the reader and non-reader
procedures were used. Samples that are 200-syllables long are the minimum that is
appropriate for obtaining stable Riley's severity scores. The procedural variants
provide similar severity scores.
PMID- 24938353
TI - Should Aboriginals in the "Top End" of the Northern Territory be vaccinated
against hepatitis A? 1994.
PMID- 24938355
TI - An inflammatory myofibroblastic tumor exhibiting immunoreactivity to KIT: a case
report focusing on a diagnostic pitfall.
AB - Inflammatory myofibroblastic tumors (IMTs) and gastrointestinal stromal tumors
(GISTs) are both spindle cell tumors, and occur rarely in the wall of the urinary
bladder. In general, immunostaining allows differentiation of IMTs and GISTs.
Most IMTs are positive for anaplastic lymphoma kinase (ALK) and negative for KIT,
whereas most GISTs are ALK-negative and KIT-positive. Here, we describe a case of
a spindle cell tumor in the wall of the urinary bladder. The spindle cells were
positive for both ALK and KIT, and it was thus difficult to determine whether the
tumor was an IMT or a GIST. We eventually diagnosed an IMT, because ALK gene
rearrangement was confirmed by fluorescent in-situ hybridization. Cytoplasmic
staining for KIT and the absence of other GIST markers, including DOG1 and
platelet-derived growth factor alpha, indicated that the tumor was not a GIST.
Therefore, IMTs should be included in the differential diagnosis of spindle cell
tumors, even those that are KIT-positive.
PMID- 24938356
TI - Curcumin suppresses proliferation and invasion in non-small cell lung cancer by
modulation of MTA1-mediated Wnt/beta-catenin pathway.
AB - Curcumin, a naturally occurring phenolic compound, has a diversity of antitumor
activities. It has been previously demonstrated that curcumin can inhibit the
invasion and metastasis of tumors through activation of the tumor suppressor DnaJ
like heat shock protein 40 (HLJ1). However, the specific roles and mechanisms of
curcumin in regulating the malignant behaviors of non-small cell lung cancer
(NSCLC) cells still remain unclear. In this study, we found that curcumin could
inhibit the proliferation and invasion of NSCLC cells and induce G0/G1 phase
arrest. Metastasis-associated protein 1 (MTA1) overexpression has been detected
in a wide variety of aggressive tumors and plays an important role on cell
invasion and metastasis. Our results showed that curcumin could effectively
inhibit the MTA1 expression of NSCLC cells. Further research on the subsequent
mechanism showed that curcumin inhibited the proliferation and invasion of NSCLC
cells through MTA1-mediated inactivation of Wnt/beta-catenin pathway. Wnt/beta
catenin signaling was reported to play a critical cooperative role on promoting
lung tumorigenesis. Thus, these investigations provided novel insights into the
mechanisms of curcumin on inhibition of NSCLC cell growth and invasion and showed
potential therapeutic strategies for NSCLC.
PMID- 24938357
TI - 1alpha,25(OH)2D3 inhibits FGF-2 release from oral squamous cell carcinoma cells
through down-regulation of HBp17/FGFBP-1.
AB - Heparin-binding protein 17/fibroblast growth factor binding protein-1
(HBp17/FGFBP-1, GenBank accession no. NP-005121) is prominent for its role as the
chaperone for fibroblast growth factor-2 (FGF-2), which plays a crucial role in
angiogenesis as well as promoting tumor growth. HBp17/FGFBP-1 has been proposed
as a candidate biomarker for a number of cancers since it is frequently found to
be elevated in many cancer types including in the tissue and cell lines of oral
squamous cell carcinomas (OSCC). Previously, we reported that 1alpha,25(OH)2D3
suppressed the HBp17/FGFBP-1 expression in OSCC by inhibiting nuclear factor
kappaB (NF-kappaB) expression via vitamin D3 receptor (VDR). In this paper, to
further characterize the inhibitory effect of 1alpha,25(OH)2D3 on HBp17/FGFBP-1,
we examined the cellular localization of HBp17/FGFBP-1 protein and FGF-2 protein
in the UE OSCC cell line. We found that the treatment of OSCC cells with 40-nM
1alpha,25(OH)2D3 suppressed HBp17/FGFBP-1 expression both in the nucleus and
cytosol and reduced FGF-2 release into the culture medium. The expression of
HBp17/FGFBP-1 and FGF-2 was analyzed by immunofluorescence and enzyme-linked
immunosorbent assay (ELISA). In summary, the ability of 1alpha,25(OH)2D3 to
suppress the expression of HBp17/FGFBP-1 and FGF-2 strongly suggests a
therapeutic potential as a molecular-targeted anticancer drug for FGF-dependent
cancers.
PMID- 24938358
TI - The co-localization of HBx and COXIII upregulates COX-2 promoting HepG2 cell
growth.
AB - HBx is a multifunctional regulator that interacts with host factors to contribute
to the development of hepatocellular carcinoma. In this study, to explore the co
localization of HBx and COXIII in HepG2 cells and to investigate the molecular
mechanism of HBx in HepG2 cell growth promotion, we first constructed a HepG2
cell line stably expressing the HBx gene in vitro by lentivirus vectors. In
addition, we found that HBx co-localized with the inner mitochondrial protein,
COXIII, in HepG2 cells by confocal laser scanning microscopy. It led to changes
of mitochondrial biogenesis and morphology, including upregulation of COXIII
protein expression, increased cytochrome c oxidase activity and higher
mitochondrial membrane potential. The upregulation of COX-2 caused by HBx through
generation of mitochondrial reactive oxygen species promoted cell growth. Thus,
we conclude that co-localization of HBx and COXIII leads to upregulation of COX-2
that promotes HepG2 cell growth. Such a mechanism provides deeper insights into
the molecular mechanism of HBV-associated hepatocellular carcinoma.
PMID- 24938359
TI - Prevalence and risk factors of overweight and obesity among individuals over 40
years old in Luzhou city.
AB - In this study, a survey was conducted through questionnaire distribution and
physical examinations were performed in 10,150 residents that were over 40 years
old in Luzhou city. Respondents were selected by the multi-stage sampling method.
The mean body mass index (BMI) of the sample population was 23.9 +/- 3.3 kg/m(2).
Among men, BMI showed a negative relationship with increasing age (P < 0.05),
whereas among women, it showed a positive relationship (P < 0.001). The rates of
overweight and obesity increased with age and reached a peak between 60 to 70
years of age (P < 0.001). The rates of overweight and obesity varied with
different working conditions, training situations, educational levels, marital
status, and other factors (P < 0.05). Age, educational level, daily sitting time,
and family history of diabetes were factors that influenced the prevalence of
overweight and obesity through multivariate logistic regression analysis (P <
0.05). The incidences of overweight and obesity among the middle-aged population
were found to be significantly high. Therefore, prevention and control measures
should be adopted as soon as possible.
PMID- 24938360
TI - Development of a high-yield technique to isolate spermatogonial stem cells from
porcine testes.
AB - PURPOSE: To date, the methods available for isolating spermatogonial stem cells
(SSCs) from porcine testicular cells have a low efficiency of cell separating.
Therefore, we tried to develop a novel isolation technique with a high-yield cell
separating ability to isolate SSCs from porcine testes. METHODS: We confirmed the
presence of SSCs by measuring alkaline phosphatase (AP) activity and SSC-specific
gene expression in neonatal porcine testis-derived testicular cells.
Subsequently, the isolation of SSCs from testicular cells was performed using
different techniques as follows: differential plating (DP), double DP, Petri dish
plating post-DP, magnetic-activated cell sorting (MACS), and MACS post-DP.
Positive AP staining was used to assess and compare the isolation efficiency of
each method. RESULTS: Petri dish plating post-DP resulted in the highest
isolation efficiency. The putative SSCs isolated using this method was then
further characterized by analyzing the expression of SSC-specific genes and
related proteins, and germ cell-specific genes. OCT4, NANOG, EPCAM, THY1, and
UCHL1 were expressed transcriptionally, and OCT4, NANOG, SOX2, TRA-1-60, TRA-1
81, and PLZF were expressed translationally in 86 % of the isolated SSCs. In
contrast, no difference was observed in the percentage of cells expressing
luteinizing hormone receptor (LHR), a Leydig cell-specific protein, or GATA4, a
Sertoli cell-specific protein, between SSCs and negative control cells. In
addition, transcriptional expression of VASA, a primordial germ cell-specific
marker, and DAZL, a premeiotic germ cell-specific marker, wasn't and was
detected, respectively. CONCLUSIONS: We successfully developed a novel high-yield
technique to isolate SSCs from porcine testes to facilitate future porcine SSC
related research.
PMID- 24938361
TI - Developmental competence and expression pattern of bubaline (Bubalus bubalis)
oocytes subjected to elevated temperatures during meiotic maturation in vitro.
AB - OBJECTIVE: To determine the direct effect of physiologically relevant high
temperatures (40.5 and 41.5 degrees C) for two time periods (12 and 24 h) on
bubaline oocytes during in vitro maturation. METHOD: The control group oocytes
were cultured at 38.5 degrees C for 24 h. The treatment 1 (T1) and 3 (T3) group
oocytes were cultured at 40.5 and 41.5 degrees C respectively, for the first 12
h and at 38.5 degrees C for rest of the 12 h. However, treatment 2 (T2) and 4
(T4) group oocytes were cultured at 40.5 and 41.5 degrees C for complete 24 h.
RESULTS: Development of oocytes to blastocyst was severely compromised (p <
0.001) when matured at 40.5 and 41.5 degrees C for both exposure periods (12 h
and 24 h). It was found that the cleavage rates, blastocyst yield and mean cell
number decreased remarkably (p < 0.001) in the treatment groups compared to
control. The relative mRNA expression of heat shock protein (Hsp 70.1, 70.2,
70.8, 60, 10 and HSF1), pro-apoptotic (caspases-3, -7, -8, Bid and Bax) and
oxidative stress (iNOS) related genes was significantly higher (p < 0.05) in all
the treatment groups compared to control. However, mRNA abundance of anti
apoptotic (Bcl-2, Mcl-1, Bcl-xl), glucose transport (Glut1, Glut3 and IGF1R),
developmental competence (ZAR1 and BMP15) and oxidative stress (MnSOD) related
genes was significantly decreased (p < 0.05) in the treatment groups compared to
control. CONCLUSION: The present study clearly establishes that physiologically
relevant elevated temperatures during in vitro meiotic maturation reduce
developmental competence of bubaline oocytes.
PMID- 24938364
TI - The ethical, legal and human rights concerns raised by licensing HIV self-testing
for private use.
AB - We argue that there are no compelling ethical grounds for not allowing the sale
of HIV self-tests to the public, so long as reasonably robust protections are in
place to protect against coerced testing, and so long as the ease of use of the
test is validated carefully in each country in which it is used, with attention
to information about linkage to treatment, social and psychological support. The
tests are not likely to be harmful in a way that justifies restricting people's
access to them, and have plausible benefits. Whether and how self-testing should
be used in public health programs will depend on complex policy questions
concerning priorities, efficacy and cost.
PMID- 24938363
TI - TOPLESS mediates brassinosteroid-induced transcriptional repression through
interaction with BZR1.
AB - Brassinosteroid (BR) regulates plant development by activating the transcription
factor brassinazole resistant 1 (BZR1), which activates and represses different
target genes to switch cellular programmes. The mechanisms that determine BZR1's
transcriptional activities remain largely unknown. Here we show that BZR1
represses target genes by recruiting the Groucho/TUP1-like transcriptional
corepressor TOPLESS (TPL). Specific deletion or mutation of an evolutionarily
conserved ERF-associated amphiphilic repression (EAR) motif at the carboxy
terminus abolishes BZR1's abilities to regulate gene expression and cell
elongation, but these defects are rescued by TPL fusion to the EAR motif-mutated
BZR1. The EAR motif in BZR1 mediates recruitment of TPL to BZR1-repressed
promoters. A triple tpl mutant (tpl;tpr1;tpr4) shows reduced BR sensitivity and
suppresses the gain-of-function bzr1-1D mutant phenotype. BR repression of gene
expression also requires histone deacetylases that interact with TPL. Our study
demonstrates key roles of the EAR motif and TPL in BR regulation of gene
expression and plant growth.
PMID- 24938365
TI - [Trabeculotomy before the estimated delivery date. Case report of a preterm
infant with buphthalamus].
AB - Congenital glaucoma is a disease potentially leading to blindness in children. It
poses a diagnostic and therapeutic challenge even though new knowledge has been
acquired and a sufficient understanding of the pathogenesis has been gained. New
discoveries, such as the exact time when Schlemm's canal develops could lead to a
prenatal diagnosis and therefore surgical intervention so that other
complications including blindness can be avoided. This case report demonstrates
that an early prenatal eye screening with ultrasound (after approximately 30
weeks of pregnancy) would be desirable in order to diagnose buphthalmus early and
to plan postnatal surgery.
PMID- 24938362
TI - AMH in women with diminished ovarian reserve: potential differences by FMR1 CGG
repeat level.
AB - PURPOSE: We explored whether AMH, as a surrogate for oocyte supply, varies by
FMR1 genotype in women diagnosed with diminished ovarian reserve (DOR), a subset
of the Primary Ovarian Insufficiency phenotype. Research is inconsistent on the
relationship between AMH and FMR1 repeat length, controlling for age. METHOD:
Seventy-nine cycling women diagnosed with DOR, and without a family history of
fragile X syndrome, provided blood for FMR1 and AMH testing. DOR was defined as
elevated FSH and/or low AMH and/or low antral follicle count, with regular
menses. FMR1 CGG repeats were stratified by the larger allele <35 repeats (n =
70) v. >=35 repeats (n = 9). Quadratic and linear models were fit to predict log
(AMH) controlling for age. The AMH sample used as the outcome variable was drawn
at a later date than the diagnostic AMH. RESULTS: Serum AMH concentration median
was 0.30 ng/mL; Ages ranged from 26-43 years. A quadratic model (including
age(2)) did not show a relationship with FMR1 CGG level (p-value = 0.25). A
linear model of log (AMH), corresponding to an exponential decline of AMH with
increasing age, was significantly different, and had a steeper slope, for women
with >= 35 CGG repeats than women with < 35 repeats (p = 0.035). CONCLUSION:
Findings suggest a greater rate of follicular loss that starts at later ages in
women with DOR and >= 35 CGG repeats.
PMID- 24938366
TI - [Peracute corneal alterations and anterior chamber reaction following refractive
surface ablation].
PMID- 24938367
TI - [Choroidal tumor with accompanying papilledema].
PMID- 24938368
TI - [Trauma-related secondary glaucoma in childhood: a therapeutic challenge].
AB - CASE REPORT: We performed a minimally invasive trabeculotomy using a trabectome
on a 9-year-old boy with a trauma-related secondary glaucoma where the
intraocular pressure (IOP) could not be controlled by conservative approaches.
After a 1-year follow-up the patient showed well controlled IOP values without
using drugs to reduce pressure. CONCLUSION: Trabectome surgery seems to be a
suitable first step intervention for trauma-related glaucoma in selected cases,
even in children.
PMID- 24938370
TI - Hyperactive antifreeze protein from an Antarctic sea ice bacterium Colwellia sp.
has a compound ice-binding site without repetitive sequences.
AB - Antifreeze proteins (AFPs) are structurally diverse macromolecules that bind to
ice crystals and inhibit their growth to protect the organism from injuries
caused by freezing. An AFP identified from the Antarctic bacterium Colwellia sp.
strain SLW05 (ColAFP) is homologous to AFPs from a wide variety of psychrophilic
microorganisms. To understand the antifreeze function of ColAFP, we have
characterized its antifreeze activity and determined the crystal structure of
this protein. The recombinant ColAFP exhibited thermal hysteresis activity of
approximately 4 degrees C at a concentration of 0.14 mm, and induced rapid
growth of ice crystals in the hexagonal direction. Fluorescence-based ice plane
affinity analysis showed that ColAFP binds to multiple planes of ice, including
the basal plane. These observations show that ColAFP is a hyperactive AFP. The
crystal structure of ColAFP determined at 1.6 A resolution revealed an irregular
beta-helical structure, similar to known homologs. Mutational and molecular
docking studies showed that ColAFP binds to ice through a compound ice-binding
site (IBS) located at a flat surface of the beta-helix and the adjoining loop
region. The IBS of ColAFP lacks the repetitive sequences that are characteristic
of hyperactive AFPs. These results suggest that ColAFP exerts antifreeze activity
through a compound IBS that differs from the characteristic IBSs shared by other
hyperactive AFPs. This study demonstrates a novel method for protection from
freezing by AFPs in psychrophilic microorganisms. DATABASE: Structural data for
ColAFP have been submitted to the Protein Data Bank (PDB) under accession number
3WP9.
PMID- 24938372
TI - Santonic acid: Zn-HCl-ether reduction and ceric ammonium nitrate oxidation.
AB - Reduction of santonic acid using Zn-HCl-ether yielded succinic anhydride
derivatives via pinacolisation followed by rearrangement, whereas oxidation of
santonic acid using ceric ammonium nitrate afforded five oxidative
decarboxylation products. Dedicated to Prof. TBH McMurry.
PMID- 24938371
TI - Methylenetetrahydrofolate reductase (MTHFR) polymorphism susceptibility to
schizophrenia and bipolar disorder: an updated meta-analysis.
AB - Previous studies examining the possible role of the methylenetetrahydrofolate
reductase (MTHFR) polymorphisms in the development of schizophrenia (SZ) and
bipolar disorder (BPD) have provided inconclusive findings, this meta-analysis
was therefore designed to get a more reliable assessment. A total of 38 articles
were identified through a search of electronic databases, up to 27 February 2014.
Odds ratios (ORs) with 95% confidence interval (CIs) were calculated using random
effects models. Meta-analysis showed that MTHFR C677T was significantly
associated with SZ, the highest OR was found for the recessive model (for TT vs.
CT + CC: OR = 1.34, 95% CI: 1.18-1.53); a marginal association of MTHFR C677T
with increased risk of BPD has also been found for the recessive model (OR =
1.26, 95% CI: 1.00-1.59). Subgroup analysis by ethnicity indicated that the
significant association with SZ and BPD existed among Asian and African
populations, but not for the white. MTHFR A1298C was significant associated with
SZ, the highest OR for the dominant model (OR = 1.13, 95% CI: 1.03-1.24).
Subgroup analysis indicated a significant association with SZ existed in Asian
populations, not among the white populations and no significant association was
detected between the MTHFR A1298C and BPD in all groups. We conclude that MTHFR
polymorphism is associated with SZ and BPD among Asian, African populations, but
not the white.
PMID- 24938369
TI - Smoking cessation in smokers who smoke menthol and non-menthol cigarettes.
AB - AIMS: To assess the relations of menthol cigarette use with measures of cessation
success in a large comparative effectiveness trial (CET). DESIGN: Participants
were randomized to one of six medication treatment conditions in a randomized
double-blind, placebo-controlled clinical trial. All participants received six
individual counseling sessions. SETTING: Community-based smokers in two
communities in Wisconsin, USA. PARTICIPANTS: A total of 1504 adult smokers who
smoked at least 10 cigarettes per day during the past 6 months and reported being
motivated to quit smoking. The analysis sample comprised 1439 participants: 814
white non-menthol smokers, 439 white menthol smokers and 186 African American
(AA) menthol smokers. There were too few AA non-menthol smokers (n = 16) to be
included in the analyses. INTERVENTIONS: Nicotine lozenge, nicotine patch,
bupropion sustained release, nicotine patch + nicotine lozenge, bupropion +
nicotine lozenge and placebo. MEASUREMENTS: Biochemically confirmed 7-day point
prevalence abstinence assessed at 4, 8 and 26 weeks post-quit. FINDINGS: In
longitudinal abstinence analyses (generalized estimating equations) controlling
for cessation treatment, menthol smoking was associated with reduced likelihood
of smoking cessation success relative to non-menthol smoking [model-based
estimates of abstinence = 31 versus 38%, respectively; odds ratio (OR) = 0.71,
95% confidence interval (CI) = 0.59, 0.86]. In addition, among menthol smokers,
AA women were at especially high risk of cessation failure relative to white
women (estimated abstinence = 17 versus 35%, respectively; OR = 2.63, 95% CI =
1.75, 3.96; estimated abstinence rates for AA males and white males were both
30%, OR = 1.06, 95% CI = 0.60, 1.66). CONCLUSION: In the United States, smoking
menthol cigarettes appears to be associated with reduced cessation success
compared with non-menthol smoking, especially in African American females.
PMID- 24938373
TI - Selective ratiometric detection of H2O2 in water and in living cells with
boronobenzo[b]quinolizinium derivatives.
AB - Boronobenzo[b]quinolizinium derivatives exhibit several favorable properties for
the fluorimetric detection of hydrogen peroxide, namely quantitative
transformation to a product whose emission maximum is well separated from the one
of the substrate, water solubility, and the ability to operate in living cells.
PMID- 24938374
TI - Myringoplasty using rotation flap of canal skin for total tympanic membrane
perforation.
AB - OBJECTIVE: To evaluate the efficacy of a novel technique of using rotation flap
of canal skin in patients with total or subtotal tympanic membrane (TM)
perforation with no anterior residual tympanic membrane. METHODS: A retrospective
study of 50 patients with total or subtotal perforation repaired with rotation
flap of canal skin. Clinical and audiometric data were recorded. RESULTS: The
overall perforation closure rate was 96%. A statistically significant shortened
healing time was observed. CONCLUSION: The rotation flap of canal skin could be
an effective and safe technique for perforations with no anterior residual TM.
PMID- 24938375
TI - ALDH1A1 expression correlates with clinicopathologic features and poor prognosis
of breast cancer patients: a systematic review and meta-analysis.
AB - BACKGROUND: Aldehyde dehydrogenase 1 family member A1 (ALDH1A1) has been
identified as a putative cancer stem cell (CSC) marker in breast cancer. However,
the clinicopathological and prognostic significance of this protein in breast
cancer patients remains controversial. METHODS: This meta-analysis was conducted
to address the above issues using 15 publications covering 921 ALDH1A1(+) cases
and 2353 controls. The overall and subcategory analyses were performed to detect
the association between ALDH1A1 expression and clinicopathological/prognostic
parameters in breast cancer patients. RESULTS: The overall analysis showed that
higher expression of ALDH1A1 is associated with larger tumor size, higher
histological grade, greater possibility of lymph node metastasis (LNM), higher
level expression of epidermal growth factor receptor 2 (HER2), and lower level
expression of estrogen receptor (ER)/progesterone receptor (PR). The prognosis of
breast cancer patients with ALDH1A1(+) tumors was poorer than that of the
ALDH1A1(-) patients. Although the relationships between ALDH1A1 expression and
some clinicopathological parameters (tumor size, LNM, and the expression of HER2)
was not definitive to some degree when we performed a subcategory analysis, the
predictive values of ALDH1A1 expression for histological grade and survival of
breast cancer patients were significant regardless of the different cutoff values
of ALDH1A1 expression, the different districts where the patients were located,
the different clinical stages of the patients, the difference in antibodies used
in the studies, and the surgery status. CONCLUSIONS: Our results indicate that
ALDH1A1 is a biomarker to predict tumor progression and poor survival of breast
cancer patients. This marker should be taken into consideration in the
development of new diagnostic and therapeutic program for breast cancer.
PMID- 24938376
TI - Pharmacies as providers of expanded health services for people who inject drugs:
a review of laws, policies, and barriers in six countries.
AB - BACKGROUND: People who inject drugs (PWID) are underserved by health providers
but pharmacies may be their most accessible care settings. METHODS: Studies in
the U.S., Russia, Vietnam, China, Canada and Mexico employed a three-level (macro
, meso-, and micro-) model to assess feasibility of expanded pharmacy services
for PWID. Studies employed qualitative and quantitative interviews, review of
legal and policy documents, and information on the knowledge, attitudes, and
practices of key stakeholders. RESULTS: Studies produced a mixed assessment of
feasibility. Provision of information and referrals by pharmacies is permissible
in all study sites and sale and safe disposal of needles/syringes by pharmacies
is legal in almost all sites, although needle/syringe sales face challenges
related to attitudes and practices of pharmacists, police, and other actors.
Pharmacy provision of HIV testing, hepatitis vaccination, opioid substitution
treatment, provision of naloxone for drug overdose, and abscess treatment, face
more serious legal and policy barriers. DISCUSSION: Challenges to expanded
services for drug users in pharmacies exist at all three levels, especially the
macro-level characterized by legal barriers and persistent stigmatization of
PWID. Where deficiencies in laws, policies, and community attitudes block
implementation, stakeholders should advocate for needed legal and policy changes
and work to address community stigma and resistance. Laws and policies are only
as good as their implementation, so attention is also needed to meso- and micro-
levels. Policies, attitudes, and practices of police departments and pharmacy
chains as well as knowledge, attitudes, and practices of individual PWID,
individual pharmacies, and police officers should support rather than undermine
positive laws and expanded services. Despite the challenges, pharmacies remain
potentially important venues for delivering health services to PWID.
PMID- 24938377
TI - Role of tissue-specific AT-rich DNA sequence-binding proteins in lymphocyte
differentiation.
AB - A great many transcription factors, cytokines, and cytokine receptors have been
identified as indispensable elements in lymphocyte differentiation, but the
molecular mechanism that orchestrates the expression and function of these
molecular factors is unknown. The process of lymphocyte differentiation involves
both the simultaneous activation of lymphoid-related genes and the inactivation
of non-lymphoid lineage-related genes, suggesting that there should be critical
molecules that regulate such gene expression in both temporal and spatial
dimensions. Recent studies of chromatin-remodeling proteins shed light on this
complex process. In particular, special AT-rich sequence-binding protein 1 has
been studied extensively. In this article, we review the wealth of information
characterizing this protein.
PMID- 24938378
TI - Progress in the treatment of acute promyelocytic leukemia: optimization and
obstruction.
AB - The past three decades have witnessed a great progress in the treatment of acute
promyelocytic leukemia (APL). The current application of all-trans retinoic acid,
arsenic trioxide (ATO), and anthracycline-based chemotherapies has been proved to
be highly effective. Based on the risk factors of APL, optimization of the
treatment emphasizes the role of ATO in induction, consolidation and maintenance
therapy as a substitute to chemotherapy in low- and intermediate-risk patients,
and in potential reduction of chemotherapy in high-risk group without impact on
the outcome. However, early death and relapse remain obstacles to further
improvement of the rates of remission and long-term survival, and the acute and
chronic adverse effects of ATO should be considered for more appropriate
management. Efforts should be made to more rationally obtain improved outcomes
through the use of less toxic regimens.
PMID- 24938379
TI - HIV-related NK/T-cell lymphoma in the brain relapsed during intensive
chemotherapy but regressed after chemotherapy discontinuation: the importance of
maintaining cellular immunity.
AB - This study reports a case of human immunodeficiency virus (HIV)-related natural
killer/T-cell lymphoma with an unexpected clinical course. The lymphoma cells
were positive for Epstein-Barr virus and the primary nodal lesions regressed
after chemotherapy and combined antiretroviral therapy (c-ART); however, brain
metastasis progressed along with a reduction in the CD8+ T-cell count.
Chemotherapy was discontinued and the patient was treated with c-ART alone,
resulting in regression of the brain lesions and recovery of the CD8+ T-cell
count. This case highlights the importance of maintaining anti-tumor immunity in
patients with HIV-related lymphoma.
PMID- 24938380
TI - Treatment outcomes of adolescent acute lymphoblastic leukemia treated on Tokyo
Children's Cancer Study Group (TCCSG) clinical trials.
AB - There is no standard treatment for adolescents aged 15 years or older with acute
lymphoblastic leukemia (ALL), although this age group has been reported as having
a poorer prognosis compared to younger patients. We retrospectively analyzed the
outcomes of three consecutive Tokyo Children's Cancer Study Group ALL trials
(1995-2006) of 373 patients aged 10 years or older, with particular focus on
adolescents aged 15-18 years (older-adolescents n = 41), compared to those aged
10-14 years (younger-adolescents n = 332). The probability of event-free survival
at 8 years was 67.5 +/- 7.4 % for the older-adolescents and 66.5 +/- 2.6 % for
the younger-adolescents (p = 0.95). Overall survival was 70.7 +/- 7.1 % for the
older-adolescents and 74.3 +/- 2.4 % for the younger-adolescents (p = 0.48). The
differences between groups in relapse incidence, non-relapse mortality, and death
rate during induction were not statistically significant, although the older
adolescents trended towards a higher frequency of having stem-cell
transplantation during the first remission. In conclusion, our treatment
strategy, which consists of intensive induction and block-type consolidation,
provided improved outcomes for patients aged 15-18 years, comparable to those for
patients aged 10-14 years.
PMID- 24938381
TI - Online health information, situational effects and health changes among e
patients in Israel: A 'push/pull' perspective.
AB - BACKGROUND/CONTEXT: Access and use of online health information become
increasingly important to health-oriented individual that may have implication
for their health and wellness. The phenomenon of e-patients suggests that e
patients use the internet to increase health literacy and achieve health
information about diagnosis, treatments, specialists and well-being before
undergoing a health changes. Online health information may not 'fit'
consultations with providers mainly when online source of information is trusted
mainly when e-patients express lack of satisfaction with health-care providers.
The strain between the two becomes evident when e-patients consider health
changes. OBJECTIVE: We examine health changes among e-patients. We assess the
relationship between (a) trust in online health information and (b) satisfaction
with health-care provider to predict two types of health changes: (a) well-being
and (b) health-care changes. We also control for 'situational' effects including
socio-economic and chronic illness variations. DESIGN: A telephone survey was
conducted in 2010 in Israel among approximately 4000 individuals. SETTING AND
PARTICIPANTS: Two-thousand individuals completed interviews (54% response rate).
Seventy percentage were Internet users (n = 1371). MAIN VARIABLES: Well-being
health changes; health-care changes; satisfaction with institutional health-care
provider; chronic illness: socio-economic: age; gender; marital status;
education. MAIN OUTCOME MEASURES: Socio-economic and health status differences
generate variations in use of online health information; trusting online health
positively affects well-being - not health-care - changes but satisfaction with
health-care provider positively improves the likelihood for health-care changes.
FINDINGS: The results indicate that (a) e-patients use online health information
to make well-being health changes - starting a diet or physical activity
programme - but not health-care changes - in medication or in health-care
provider - (b) satisfaction with institutional health provider has a significant
effect on health-care decisions (c) chronically ill are not likely to use online
health information. DISCUSSION: E-patients' expectations from health-care
institutional providers play a central role in initiating health changes. Access
and use of online health information provide an alternative/additional channel
for information when e-patients consider health changes and create a push/pull
decision-making strain on both providers and recipients of health services.
Health-care providers should address e-patients'concerns, mainly in cases of
chronic illness concerns, and point to the boundaries of online health
information. Traditional and novel sources of health information can increase
health empowerment and better health care when communication channels between
health providers and e-patients are comfortably verified in advance and agreed
upon.
PMID- 24938384
TI - Association of Lhermitte-Duclos disease and split cord malformation in a child.
AB - Lhermitte-Duclos disease (LDD) or cerebellar gangliocytoma is a rare pathological
entity, the etiology of which remains controversial. Numerous developmental
anomalies are known to be associated with LDD, but the association between LDD
and split cord malformation (SCM) has not been reported to our knowledge. We
report LDD in a 3-year-old girl in whom repetitive urinary tract infections led
to the diagnosis of a neurogenic bladder. Thorough screening revealed a SCM at
the thoracic level which was surgically repaired. The pathogenesis of this entity
and management strategies are discussed in this paper.
PMID- 24938383
TI - Cohort study on clustering of lifestyle risk factors and understanding its
association with stress on health and wellbeing among school teachers in Malaysia
(CLUSTer)--a study protocol.
AB - BACKGROUND: The study on Clustering of Lifestyle risk factors and Understanding
its association with Stress on health and wellbeing among school Teachers in
Malaysia (CLUSTer) is a prospective cohort study which aims to extensively study
teachers in Malaysia with respect to clustering of lifestyle risk factors and
stress, and subsequently, to follow-up the population for important health
outcomes. METHOD/DESIGN: This study is being conducted in six states within
Peninsular Malaysia. From each state, schools from each district are randomly
selected and invited to participate in the study. Once the schools agree to
participate, all teachers who fulfilled the inclusion criteria are invited to
participate. Data collection includes a questionnaire survey and health
assessment. Information collected in the questionnaire includes socio-demographic
characteristics, participants' medical history and family history of chronic
diseases, teaching characteristics and burden, questions on smoking, alcohol
consumption and physical activities (IPAQ); a food frequency questionnaire, the
job content questionnaire (JCQ); depression, anxiety and stress scale (DASS21);
health related quality of life (SF12-V2); Voice Handicap Index 10 on voice
disorder, questions on chronic pain, sleep duration and obstetric history for
female participants. Following blood drawn for predefined clinical tests,
additional blood and urine specimens are collected and stored for future
analysis. Active follow up of exposure and health outcomes will be carried out
every two years via telephone or face to face contact. Data collection started in
March 2013 and as of the end of March 2014 has been completed for four states:
Kuala Lumpur, Selangor, Melaka and Penang. Approximately 6580 participants have
been recruited. The first round of data collection and blood sampling is expected
to be completed by the end of 2014 with an expected 10,000 participants
recruited. DISCUSSION: Our study will provide a good basis for exploring the
clustering of lifestyle risk factors and stress and its association with major
chronic medical conditions such as obesity, hypertension, impaired glucose
tolerance, diabetes mellitus, coronary heart diseases, kidney failure and cancers
among teachers.
PMID- 24938385
TI - Safe intravenous thrombolysis in acute stroke despite treatment with rivaroxaban.
AB - Data regarding intravenous thrombolysis in stroke patients receiving new oral
anticoagulant drugs (nOAC) is sparse. In the near future, however, an increasing
number of patients with atrial fibrillation will suffer recurrent stroke despite
treatment with nOAC. This will cause a significant therapeutic dilemma as
thrombolysis is contraindicated under such circumstances. We describe an 81-year
old patient presenting with acute ischemic stroke who was successfully treated
with intravenous thrombolysis despite ongoing treatment with rivaroxaban. Our
case report indicates that thrombolysis under nOAC may be safe under certain
conditions and emphasizes the importance of establishing and performing specific
anticoagulation tests for nOAC.
PMID- 24938386
TI - Efficacy of intraoperative vancomycin powder use in intrathecal baclofen pump
implantation procedures: single institutional series in a high risk population.
AB - We aimed to assess the efficacy of intraoperative vancomycin powder in
intrathecal baclofen pump placement patients, a high risk population. A
retrospective review was conducted using prospectively collected data at an
academic tertiary care unit. The neurosurgical adult patient population was
queried for all intrathecal baclofen pump implantation procedures. Patients were
then reviewed for the use of intraoperative crystalline vancomycin powder. Those
with a history of prior surgical site infection, chronic systemic infections or
osteomyelitis were excluded. Anhydrous, crystalline vancomycin was utilized in
the wound bed after completion of implantation, distributed evenly in the case of
multiple incisions. Patients received 500 mg or 1,000 mg of crystallized
vancomycin, evenly distributed through the wound layers based on a 70 kg weight
cutoff. Intraoperative institutional standards of infection prophylaxis were
unchanged throughout the study period. Infection rate of baclofen pump placement
prior to the use of vancomycin powder from 2001-2009 at the same institution was
monitored. Wound infection rate was tracked for a 12 month postoperative period.
Six patients out of 26 baclofen pump implantations (23%) in this cohort were
identified to have seven infections despite vancomycin powder placement in the
lumbar and catheter wounds. Prior infection rates have been investigated for
intrathecal drug delivery systems from 2001 to 2009 at the same institution with
an overall infection rate of 3% (8/274). The use of vancomycin powder in patients
with implants in this series did not reduce infection rates compared to published
historical controls, and was elevated compared to institutional controls. Further
prospective study of this high risk patient population is warranted.
PMID- 24938387
TI - Microvascular decompression for recurrent trigeminal neuralgia.
AB - Recurrence of trigeminal neuralgia (TN) symptoms after microvascular
decompression (MVD) is a challenge for neurosurgeons. This study evaluates the
indication, efficacy and safety of re-do MVD. We retrospectively reviewed
consecutive patients who underwent MVD for TN from January 2000 to June 2012. The
parameters of study interest were pre-operative magnetic resonance tomographic
angiography (MRTA) findings and operative findings. Pain outcome was scored using
the Barrow Neurological Institute (BNI) grading scale. Twelve patients underwent
re-do MVD following recurrence of pain. Vascular compression was detected on pre
operative MRTA images in eight patients, a small mass was found in two patients,
and pre-operative imaging was negative in two patients. Of the eight patients
with a positive finding of arterial conflict, a vascular loop was identified
intra-operatively in five patients (62.5%); in two (25.0%) a small granuloma
filled with Teflon fibers was found compressed the trigeminal nerve; and in one
patient (12.5%) only dense arachnoid adhesions were found around the trigeminal
nerve. For the two patients with mass compression on MRTA images, a granuloma was
found during operation. Neurovascular compression was found in the two patients
with negative preoperative MRTA images. Re-do MVD is a safe and effective
treatment for recurrent TN when indicated by a prolonged pain-free period
following the first surgery.
PMID- 24938388
TI - Posaconazole responsive cerebral aspergillosis in an immunocompetent adult.
AB - Cerebral aspergillosis is a rare manifestation of invasive aspergillosis that
usually affects immunocompromised patients. There are few treatment options for
recurrent disease and experiences with immunocompetent patients are lacking. We
report the clinical course of an immunocompetent patient with recurrent cerebral
aspergillosis, following initial treatment with burr hole aspiration and
voriconazole, who showed remarkable response to posaconazole. The patient remains
clinically well with no evidence of recurrence on MRI 7 years following
diagnosis. To our knowledge this is the first reported experience with
posaconazole in an immunocompetent patient with cerebral aspergillosis.
PMID- 24938389
TI - Pigmented villonodular synovitis of the thoracic spine.
AB - Pigmented villonodular synovitis (PVNS) is a proliferative lesion of the synovial
membranes. Knees, hips, and other large weight-bearing joints are most commonly
affected. PVNS rarely presents in the spine, in particular the thoracic segments.
We present a patient with PVNS in the thoracic spine and describe its clinical
presentation, radiographic findings, pathologic features, and treatment as well
as providing the first comprehensive meta-analysis and review of the literature
on this topic, to our knowledge. A total of 28 publications reporting 56 patients
were found. The lumbar and cervical spine were most frequently involved (40% and
36% of patients, respectively) with infrequent involvement of the thoracic spine
(24% of patients). PVNS affects a wide range of ages, but has a particular
predilection for the thoracic spine in younger patients. The mean age in the
thoracic group was 22.8 years and was significantly lower than the cervical and
lumbar groups (42.4 and 48.6 years, respectively; p=0.0001). PVNS should be
included in the differential diagnosis of osteodestructive lesions of the spine,
especially because of its potential for local recurrence. The goal of treatment
should be complete surgical excision. Although the pathogenesis is not clear,
mechanical strain may play an important role, especially in cervical and lumbar
PVNS. The association of thoracic lesions and younger age suggests that other
factors, such as neoplasia, derangement of lipid metabolism, perturbations of
humoral and cellular immunity, and other undefined patient factors, play a role
in the development of thoracic PVNS.
PMID- 24938392
TI - Assessing professional behaviour: Overcoming teachers' reluctance to fail
students.
AB - BACKGROUND: Developing professional behaviour is an important goal of medical
education in which teachers play a significant part. Many teachers can be
reluctant to fail students demonstrating unprofessional behaviour. We hypothesize
that supporting teachers in teaching and assessing professional behaviour and
involving them in remediation will reduce this reluctance. FINDINGS: In 2010,
VUmc School of Medical Sciences Amsterdam introduced an educational theme on
professional behaviour for the bachelor's and master's programmes in medicine
with a special emphasis on supporting teachers in teaching and assessing
professional behaviour and involving them in the remediation process. Information
was extracted from the student database on the number of unprofessional behaviour
judgments awarded over 2008-2010 (before the intervention), and 2010-2013 (after
introducing the intervention), which was compared. To find out if teachers'
reluctance to fail had decreased, qualitative feedback from the teachers was
gathered and analysed. Since the implementation of the educational theme, the
number of unprofessional behaviour judgments has risen. The teachers are positive
about the implemented system of teaching and assessing professional behaviour,
and feel less reluctant to award an unsatisfactory professional behaviour
judgment. CONCLUSIONS: Supporting teachers in teaching and assessing professional
behaviour and involving them in students' remediation appears to reduce their
reluctance to fail students demonstrating unprofessional behaviour.
PMID- 24938393
TI - CIRCUS: a package for Circos display of structural genome variations from paired
end and mate-pair sequencing data.
AB - BACKGROUND: Detection of large genomic rearrangements, such as large indels,
duplications or translocations is now commonly achieved by next generation
sequencing (NGS) approaches. Recently, several tools have been developed to
analyze NGS data but the resulting files are difficult to interpret without an
additional visualization step. Circos (Genome Res, 19:1639-1645, 2009), a Perl
script, is a powerful visualization software that requires setting up numerous
configuration files with a large number of parameters to handle. R packages like
RCircos (BMC Bioinformatics, 14:244, 2013) or ggbio (Genome Biol, 13:R77, 2012)
provide functions to display genomic data as circular Circos-like plots. However,
these tools are very general and lack the functions needed to filter, format and
adjust specific input genomic data. RESULTS: We implemented an R package called
CIRCUS to analyze genomic structural variations. It generates both data and
configuration files necessary for Circos, to produce graphs. Only few R pre
requisites are necessary. Options are available to deal with heterogeneous data,
various chromosome numbers and multi-scale analysis. CONCLUSION: CIRCUS allows
fast and versatile analysis of genomic structural variants with Circos plots for
users with limited coding skills.
PMID- 24938394
TI - Cost of practice in a tertiary/quaternary referral center: is it sustainable?
AB - BACKGROUND: Third-party payers are moving toward a bundled care payment system.
This means that there will need to be a warranty cost of care-where the cost of
complexity and complication rates is built into the bundled payment. The
theoretical benefit of this system is that providers with lower complication
rates will be able to provide care with lower warranty costs and lower overall
costs. This may also result in referring riskier patients to tertiary or
quaternary referral centers. Unless the payment model truly covers the higher
cost of managing such referred cases, the economic risk may be unsustainable for
these centers. METHODS: We took the last seven patients that were referred by
other surgeons as "too high risk" for colectomy at other centers. A contribution
margin was calculated using standard Medicare reimbursement rates at our
institution and cost of care based on our administrative database. We then
recalculated a contribution margin assuming a 3 % reduction in payment for a
higher than average readmission rate, like that which will take effect in 2014.
Finally, we took into account the cost of any readmissions. RESULTS: Seven
patients with diagnosis related group (DRG) 330 were reviewed with an average age
of 66.8 +/- 16 years, American Society of Anesthesiologists score 2.3 +/- 1.0,
body mass index 31.6 +/- 9.8 kg/m(2) (range 22-51 kg/m(2)). There was a 57 %
readmission rate, 29 % reoperation rate, 10.8 +/- 7.7 day average initial length
of stay with 14 +/- 8.6 day average readmission length of stay. Forty-two percent
were discharged to a location other than home. Seventy-one percent of these
patients had Medicare insurance. The case mix index was 2.45. Average
reimbursement for DRG 330 was $17,084 (based on Medicare data) for our facility
in 2012, with the national average being $12,520. The total contribution margin
among all cases collectively was -$19,122 +/- 13,285 (average per patient
$2,731, range -$21,905-$12,029). Assuming a 3 % reimbursement reduction made the
overall contribution margin -$22,122 +/- 13,285 (average -$3,244). Including the
cost of readmission in the variable cost made the contribution margin -$115,741
+/- 16,023 (average -$16,534). CONCLUSIONS: Care of high-risk patients at
tertiary and quaternary referral centers is a very expensive proposition and can
lead to financial ruin under the current reimbursement system.
PMID- 24938395
TI - Survivorship at minimum 10-year follow-up of a rotating-platform, mobile-bearing,
posterior-stabilised total knee arthroplasty.
AB - PURPOSE: To evaluate prospectively the long-term clinical and radiographic
results and survivorship of a rotating-platform, posterior-stabilised knee
prosthesis at minimum 10 years (mean 11.5 +/- 1.41 years), and to test the
hypothesis that this design would have a mechanical survivorship greater than
95%. METHODS: Between 2000 and 2002, 160 consecutive patients (166 knees)
underwent total knee arthroplasty using a rotating-platform, posterior-stabilised
prosthesis, and clinical and radiographic follow-up data were gathered
prospectively. RESULTS: One hundred and seven patients (112 knees) were available
for final follow-up. Five patients (3%) had undergone revision surgery, giving a
Kaplan-Meier survival rate of 96.6% for all causes of failure. No spin-out of the
polyethylene insert was observed. The mean visual analogue scale, Knee Society
and Oxford Knee Scores showed statistically significant improvements (p < 0.001).
On radiographs, two cases (2.4%) had radiolucent lines >2 mm, and no patient had
osteolysis. CONCLUSIONS: The absence of osteolysis at minimum 10 years seems to
support our hypothesis that this design may be able to reduce peri-prosthetic
bone resorption in the long term. The survivorship was greater than 95% and is
comparable to the best results reported for this type of knee prosthesis in the
literature. The clinical scores are reasonable, given the presence of various
disabling concomitant pathologies and the relatively advanced mean age of the
study population. This study is clinically relevant because it adds valuable
information to the limited data regarding the long-term survivorship and
performance of rotating-platform knee prostheses and, more specifically, of a
single knee design. LEVEL OF EVIDENCE: IV.
PMID- 24938397
TI - Pseudo painting/air bubble technique for planar lipid bilayers.
AB - BACKGROUND: A functional reconstitution of channel proteins in planar lipid
bilayers is still very versatile to study structure/function correlates under
well-defined conditions at the single protein level. NEW METHOD: In this study we
present an improved planar lipid bilayer technique in which an air bubble is used
for stabilizing unstable/leaky bilayers or for removing excess lipids. The bubble
can also be used as a tool for reducing the number of channels in the bilayer
with the goal of having only one active channel in the membrane. RESULTS: Stable
planar lipid bilayers are formed within seconds to minutes. In the case of
multiple channel insertion the air bubble can be used to reduce the number of
channels within minutes. COMPARISON WITH EXISTING METHOD(S): The simple
improvement of the classical folding technique guarantees a very fast creation of
stable bilayers even with difficult phospholipids in a conventional vertical
bilayer set-up; it requires no modifications of the existing set-up. CONCLUSIONS:
This technique is very easy to handle and guarantees successful single channel
recordings for any kind of planar lipid bilayer experiment.
PMID- 24938396
TI - Plasma rich in growth factors (PRGF) as a treatment for high ankle sprain in
elite athletes: a randomized control trial.
AB - PURPOSE: Syndesmotic sprains are uncommon injuries that require prolonged
recovery. The influence of ultrasound-guided injections of platelet-rich plasma
(PRP) into the injured antero-inferior tibio-fibular ligaments (AITFL) in
athletes on return to play (RTP) and dynamic stability was studied. METHODS:
Sixteen elite athletes with AITFL tears were randomized to a treatment group
receiving injections of PRP or to a control group. All patients followed an
identical rehabilitation protocol and RTP criteria. Patients were prospectively
evaluated for clinical ability to return to full activity and residual pain.
Dynamic ultrasound examinations were performed at initial examination and at 6
weeks post-injury to demonstrate re-stabilization of the syndesmosis joint and
correlation with subjective outcome. RESULTS: All patients presented with a tear
to the AITFL with dynamic syndesmosis instability in dorsiflexion-external
rotation, and larger neutral tibia-fibula distance on ultrasound. Early diagnosis
and treatment lead to shorter RTP, with 40.8 (+/-8.9) and 59.6 (+/-12.0) days for
the PRP and control groups, respectively (p = 0.006). Significantly less residual
pain upon return to activity was found in the PRP group; five patients (62.5 %)
in the control group returned to play with minor discomfort versus one patient in
the treatment group (12.5 %). One patient in the control group had continuous
pain and disability and subsequently underwent syndesmosis reconstruction.
CONCLUSIONS: Athletes suffering from high ankle sprains benefit from ultrasound
guided PRP injections with a shorter RTP, re-stabilization of the syndesmosis
joint and less long-term residual pain. LEVEL OF EVIDENCE: II.
PMID- 24938398
TI - [Noninvasive ventilation in patients with persistent hypercapnia].
AB - BACKGROUND: Chronic respiratory failure is caused by insufficiency of the
inspiratory muscles, i.e. mainly the diaphragm, which represents the so-called
"respiratory pump". Insufficiency of the respiratory pump causes hypercapnia.
THERAPEUTIC INTERVENTION: Diseases with chronic hypercapnia are characterized by
reduced survival. Mechanical ventilation-mostly applied as noninvasive mechanical
ventilation (NIV)-improves ventilation and unloads the inspiratory muscles.
INDICATION: Strong evidence supports the use of domiciliary NIV already in mild
degrees of chronic respiratory failure caused by neuromuscular diseases, thoracic
restrictions and obesity hypoventilation. In these diseases long-term NIV
improves both physiological parameters (such as blood gases) and clinical
outcome, e.g. exercise capacity, right heart dysfunction, sleep quality, disease
specific aspects of health-related quality of life (HRQL) and survival rate. In
contrast, its influence on long-term survival in chronic obstructive pulmonary
disease (COPD) patients is not clearly proven. Prescription of home NIV in COPD
should therefore be restricted to severe degrees of chronic respiratory failure.
Finally, there is an indication for domiciliary NIV in patients after prolonged
weaning from mechanical ventilation. This paper elaborates underlying
pathophysiology, diseases and how NIV works in chronic hypercapnic respiratory
failure.
PMID- 24938399
TI - 3-Nitropropionic acid-induced ischemia tolerance in the rat brain is mediated by
reduced metabolic activity and cerebral blood flow.
AB - Tissue tolerance to ischemia can be achieved by noxious stimuli that are below a
threshold to cause irreversible damage ('preconditioning'). Understanding the
mechanisms underlying preconditioning may lead to the identification of novel
therapeutic targets for diseases such as stroke. We here used the oxidative chain
inhibitor 3-nitropropionic acid (NPA) to induce ischemia tolerance in a rat
middle cerebral artery occlusion (MCAO) stroke model. Cerebral blood flow (CBF)
and structural integrity were characterized by longitudinal magnetic resonance
imaging (MRI) in combination with behavioral, histologic, and biochemical
assessment of NPA-preconditioned animals and controls. Using this approach we
show that the ischemia-tolerant state is characterized by a lower energy charge
potential and lower CBF, indicating a reduced baseline metabolic demand, and
therefore a cellular mechanism of neural protection. Blood vessel density and
structural integrity were not altered by NPA treatment. When subjected to MCAO,
preconditioned animals had a characteristic MRI signature consisting of enhanced
CBF maintenance within the ischemic territory and intraischemic reversal of the
initial cytotoxic edema, resulting in reduced infarct volumes. Thus, our data
show that tissue protection through preconditioning occurs early during ischemia
and indicate that a reduced cellular metabolism is associated with tissue
tolerance to ischemia.
PMID- 24938400
TI - Role of Akt and mammalian target of rapamycin in functional outcome after
concussive brain injury in mice.
AB - Akt (protein kinase B) and mammalian target of rapamycin (mTOR) have been
implicated in the pathogenesis of cell death and cognitive outcome after cerebral
contusion in mice; however, a role for Akt/mTOR in concussive brain injury has
not been well characterized. In a mouse closed head injury (CHI) concussion
traumatic brain injury (TBI) model, phosphorylation of Akt (p-Akt), mTOR (p
mTOR), and S6RP (p-S6RP) was increased by 24 hours in cortical and hippocampal
brain homogenates (P<0.05 versus sham for each), and p-S6RP was robustly induced
in IBA-1+ microglia and glial fibrillary acidic protein-positive (GFAP+)
astrocytes. Pretreatment with inhibitors of Akt or mTOR individually by the
intracerebroventricular route reduced phosphorylation of their respective direct
substrates FOXO1 (P<0.05) or S6RP (P<0.05) after CHI, confirming the activity of
inhibitors. Rapamycin pretreatment significantly worsened hidden platform
(P<0.01) and probe trial (P<0.05) performance in CHI mice.
Intracerebroventricular administration of necrostatin-1 (Nec-1) before CHI
increased hippocampal Akt and S6RP phosphorylation and improved place learning
(probe trials, P<0.001 versus vehicle), whereas co-administration of rapamycin or
Akt inhibitor with Nec-1 eliminated improved probe trial performance. These data
suggest a beneficial role for Akt/mTOR signaling after concussion TBI independent
of cell death that may contribute to improved outcome by Nec-1.
PMID- 24938401
TI - Reliable estimation of capillary transit time distributions using DSC-MRI.
AB - The regional availability of oxygen in brain tissue is traditionally inferred
from the magnitude of cerebral blood flow (CBF) and the concentration of oxygen
in arterial blood. Measurements of CBF are therefore widely used in the
localization of neuronal response to stimulation and in the evaluation of
patients suspected of acute ischemic stroke or flow-limiting carotid stenosis. It
was recently demonstrated that capillary transit time heterogeneity (CTH) limits
maximum oxygen extraction fraction (OEF(max)) that can be achieved for a given
CBF. Here we present a statistical approach for determining CTH, mean transit
time (MTT), and CBF using dynamic susceptibility contrast magnetic resonance
imaging (DSC-MRI). Using numerical simulations, we demonstrate that CTH, MTT, and
OEF(max) can be estimated with low bias and variance across a wide range of
microvascular flow patterns, even at modest signal-to-noise ratios. Mean transit
time estimated by singular value decomposition (SVD) deconvolution, however, is
confounded by CTH. The proposed technique readily identifies malperfused tissue
in acute stroke patients and appears to highlight information not detected by the
standard SVD technique. We speculate that this technique permits the non-invasive
detection of tissue with impaired oxygen delivery in neurologic disorders such as
acute ischemic stroke and Alzheimer's disease during routine diagnostic imaging.
PMID- 24938402
TI - Impaired brain energy metabolism in the BACHD mouse model of Huntington's
disease: critical role of astrocyte-neuron interactions.
AB - Huntington's disease (HD) is caused by cytosine-adenine-guanine (CAG) repeat
expansions in the huntingtin (Htt) gene. Although early energy metabolic
alterations in HD are likely to contribute to later neurodegenerative processes,
the cellular and molecular mechanisms responsible for these metabolic alterations
are not well characterized. Using the BACHD mice that express the full-length
mutant huntingtin (mHtt) protein with 97 glutamine repeats, we first demonstrated
localized in vivo changes in brain glucose use reminiscent of what is observed in
premanifest HD carriers. Using biochemical, molecular, and functional analyses on
different primary cell culture models from BACHD mice, we observed that mHtt does
not directly affect metabolic activity in a cell autonomous manner. However,
coculture of neurons with astrocytes from wild-type or BACHD mice identified
mutant astrocytes as a source of adverse non-cell autonomous effects on neuron
energy metabolism possibly by increasing oxidative stress. These results suggest
that astrocyte-to-neuron signaling is involved in early energy metabolic
alterations in HD.
PMID- 24938403
TI - Endovascular perforation subarachnoid hemorrhage fails to cause Morris water maze
deficits in the mouse.
AB - Cognitive dysfunction is the primary driver of poor long-term outcome in
aneurysmal subarachnoid hemorrhage (SAH) survivors; modeling such deficits
preclinically is thus key for mechanistic and translational investigation.
Although rat SAH causes long-term deficits in learning and memory, it remains
unknown whether similar deficits are seen in the mouse, a species particularly
amenable to powerful, targeted genetic manipulation. We thus subjected mice to
endovascular perforation SAH and assessed long-term cognitive outcome via the
Morris water maze (MWM), the most commonly used metric for rodent neurocognition.
No significant differences in MWM performance (by either of two protocols) were
seen in SAH versus sham mice. Moreover, SAH caused negligible hippocampal CA1
injury. These results undercut the potential of commonly used methods (of SAH
induction and assessment of long-term neurocognitive outcome) for use in targeted
molecular studies of SAH-induced cognitive deficits in the mouse.
PMID- 24938406
TI - Clinical Raman measurements under special ambient lighting illumination.
AB - One challenge in facing the application of biomedical Raman spectroscopy is that
the Raman signal is acquired in a dark operation room. It is inconvenient for
both the operator and the patient because it is difficult for the operator to
accurately and precisely locate the target in the dark environment, and the
patient feels uncomfortable in such a setting. In this note, we propose a method
to implement biomedical Raman measurement with an illumination source, by
multiple filtering of the illumination and the collection optics. Experimental
results are demonstrated on skin Raman measurement under 785-nm excitation.
PMID- 24938404
TI - Circadian and homeostatic modulation of functional connectivity and regional
cerebral blood flow in humans under normal entrained conditions.
AB - Diurnal rhythms have been observed in human behaviors as diverse as sleep,
olfaction, and learning. Despite its potential impact, time of day is rarely
considered when brain responses are studied by neuroimaging techniques. To
address this issue, we explicitly examined the effects of circadian and
homeostatic regulation on functional connectivity (FC) and regional cerebral
blood flow (rCBF) in healthy human volunteers, using whole-brain resting-state
functional magnetic resonance imaging (rs-fMRI) and arterial spin labeling (ASL).
In common with many circadian studies, we collected salivary cortisol to
represent the normal circadian activity and functioning of the hypothalamic
pituitary-adrenal (HPA) axis. Intriguingly, the changes in FC and rCBF we
observed indicated fundamental decreases in the functional integration of the
default mode network (DMN) moving from morning to afternoon. Within the anterior
cingulate cortex (ACC), our results indicate that morning cortisol levels are
negatively correlated with rCBF. We hypothesize that the homeostatic mechanisms
of the HPA axis has a role in modulating the functional integrity of the DMN
(specifically, the ACC), and for the purposes of using fMRI as a tool to measure
changes in disease processes or in response to treatment, we demonstrate that
time of the day is important when interpreting resting-state data.
PMID- 24938405
TI - Quality of cardiovascular disease care in Ontario's primary care practices: a
cross sectional study examining differences in guideline adherence by patient
sex.
AB - BACKGROUND: Women are disproportionately affected by cardiovascular disease,
often experiencing poorer outcomes following a cardiovascular event. Evidence
points to inequities in processes of care as a potential contributing factor.
This study sought to determine whether any sex differences exist in adherence to
process of care guidelines for cardiovascular disease within primary care
practices in Ontario, Canada. METHODS: This is a secondary analysis of pooled
cross-sectional baseline data collected through a larger quality improvement
initiative known as the Improved Delivery of Cardiovascular Care (IDOCC). Chart
abstraction was performed for 4,931 patients from 84 primary care practices in
Eastern Ontario who had, or were at high risk of, cardiovascular disease.
Measures examining adherence to guidelines associated with nine areas of
cardiovascular care (coronary artery disease, peripheral vascular disease (PVD),
stroke/transient ischemic attack, chronic kidney disease, diabetes, dyslipidemia,
hypertension, smoking cessation, and weight management) were collected.
Multivariable logistic regression analysis was performed to evaluate sex
differences, adjusting for age, physician remuneration, and rurality. RESULTS:
Women were significantly less likely to have their lipid profiles taken (OR=1.17,
95% CI 1.03-1.33), be prescribed lipid lowering medication for dyslipidemia
(OR=1.54, 95% CI 1.20-1.97), and to be prescribed ASA following stroke (OR=1.56,
95% CI 1.39-1.75). Women with PVD were significantly less likely to be prescribed
ACE inhibitors and/or angiotensin receptor blockers (OR=1.74, 95% CI 1.25-2.41)
and lipid lowering medications (OR=1.95, 95% CI 1.46-2.62) or ASA (OR=1.59, 95%
CI 1.43-1.78). However, women were more likely to have two blood pressure
measurements taken and to be referred to a dietician or weight loss program. Male
patients with diabetes were less likely to be prescribed glycemic control
medication (OR=0.84, 95% CI 0.74-0.86). CONCLUSIONS: Sex disparities exist in the
quality of cardiovascular care in Canadian primary care practices, which tend to
favour men. Women with PVD have a particularly high risk of not receiving
appropriate medications. Our findings indicate that improvements in care delivery
should be made to address these issues, particularly with regard to the
prescribing of recommended medications for women, and preventive measures for
men.
PMID- 24938407
TI - MED12 overexpression is a frequent event in castration-resistant prostate cancer.
AB - In a recent effort to unravel the molecular basis of prostate cancer (PCa),
Barbieri and colleagues using whole-exome sequencing identified a novel
recurrently mutated gene, MED12, in 5.4% of primary PCa. MED12, encoding a
subunit of the Mediator complex, is a transducer of Wnt/beta-catenin signaling,
linked to modulation of hedgehog signaling and to the regulation of transforming
growth factor beta (TGFbeta)-receptor signaling. Therefore, these studies
prompted us to investigate the relevance of MED12 in PCa. Expression of MED12,
SMAD3 phosphorylation, and proliferation markers was assessed by
immunohistochemistry on tissue microarrays from 633 patients. siRNA-mediated
knockdown of MED12 was carried out on PCa cell lines followed by cellular
proliferation assays, cell cycle analysis, apoptosis assays, and treatments with
recombinant TGFbeta3. We found nuclear overexpression of MED12 in 40% (28/70) of
distant metastatic castration-resistant prostate cancer (CRPC(MET)) and 21%
(19/90) of local-recurrent CRPC (CRPC(LOC)) in comparison with frequencies of
less than 11% in androgen-sensitive PCa, and no overexpression in benign
prostatic tissues. MED12 expression was significantly correlated with high
proliferative activity in PCa tissues, whereas knockdown of MED12 decreased
proliferation, reduced G1- to S-phase transition, and increased the expression of
the cell cycle inhibitor p27. TGFbeta signaling activation associates with MED12
nuclear overexpression in tissues and results in a strong increase in MED12
nuclear expression in cell lines. Furthermore, MED12 knockdown reduced the
expression of the TGFbeta target gene vimentin. Our findings show that MED12
nuclear overexpression is a frequent event in CRPC in comparison with androgen
sensitive PCa and is directly implicated in TGFbeta signaling.
PMID- 24938408
TI - Deficiency of ERbeta and prostate tumorigenesis in FGF8b transgenic mice.
AB - Estrogens contribute to the development and growth of the prostate and are
implicated in prostate tumorigenesis. In their target tissues, estrogens mediate
their effects via estrogen receptor alpha (ERalpha (ESR1)) and beta (ERbeta
(ESR2)). Hyperplasia and decreased differentiation of epithelial cells in the
prostate have been reported in ERbeta knockout (BERKO) mice. Herein, we studied
the effect of ERbeta deficiency on prostate tumorigenesis by crossing BERKOFVB
mice with prostate-targeted human fibroblast growth factor 8b transgenic (FGF8b
Tg) mice. Consistent with results described in our previous report, the prostates
of 1-year-old FGF8b-Tg mice displayed stromal aberrations, prostatic
intraepithelial neoplasia (mPIN) lesions, inflammation, and occasionally cancer.
The prostates of BERKOFVB mice exhibited mild epithelial hypercellularity and
inflammation. The prostate phenotypes of FGF8b-Tg-BERKOFVB mice closely resembled
those of FGF8b-Tg mice. However, mucinous metaplasia, indicated by Goblet-like
cells in the epithelium, was significantly more frequent in the prostates of
FGF8b-Tg-BERKOFVB mice when compared with FGF8b-Tg mice. Furthermore, compared
with FGF8b-Tg mice, there was a tendency for increased frequency of inflammation
but milder hyperplasias in the prostate stroma of FGF8b-Tg-BERKOFVB mice. The
expression levels of mRNAs for FGF8b-regulated genes including osteopontin
(Spp1), connective tissue growth factor (Ctgf), fibroblast growth factor
receptors (Fgfrs), and steroid hormone receptors and cytokines were similar in
the prostates of FGF8b-Tg and FGF8b-Tg-BERKOFVB mice. Our results indicate that
ERbeta plays a role in the differentiation of the prostatic epithelium and,
potentially, in the defensive mechanism required for protection against
inflammation but do not support a direct tumor-suppressive function of ERbeta in
the prostate of FGF8b-Tg mice.
PMID- 24938410
TI - Chemical speciation of heavy metals by surface-enhanced Raman scattering
spectroscopy: identification and quantification of inorganic- and methyl-mercury
in water.
AB - Chemical speciation of heavy metals has become extremely important in
environmental and analytical research because of the strong dependence that
toxicity, environmental mobility, persistence and bioavailability of these
pollutants have on their specific chemical forms. Novel nano-optical-based
detection strategies, capable of overcoming the intrinsic limitations of well
established analytic methods for the quantification of total metal ion content,
have been reported, but the speciation of different chemical forms has not yet
been achieved. Here, we report the first example of a SERS-based sensor for
chemical speciation of toxic metal ions in water at trace levels. Specifically,
the inorganic Hg(2+) and the more toxicologically relevant methylmercury
(CH3Hg(+)) are selected as analytical targets. The sensing platform consists of a
self-assembled monolayer of 4-mercaptopyridine (MPY) on highly SERS-active and
robust hybrid plasmonic materials formed by a dense layer of interacting gold
nanoparticles anchored onto polystyrene microbeads. The co-ordination of Hg(2+)
and CH3Hg(+) to the nitrogen atom of the MPY ring yields characteristic changes
in the vibrational SERS spectra of the organic chemoreceptor that can be
qualitatively and quantitatively correlated to the presence of the two different
mercury forms.
PMID- 24938409
TI - Functional characterization of Prickle2 and BBS7 identify overlapping phenotypes
yet distinct mechanisms.
AB - Ciliopathies are genetic disorders that are caused by dysfunctional cilia and
affect multiple organs. One type of ciliopathy, Bardet-Biedl syndrome, is a rare
disorder characterized by obesity, retinitis pigmentosa, polydactyly, mental
retardation and susceptibility to cardiovascular diseases. The Wnt/Planar cell
polarity (PCP) has been associated with cilia function and ciliogenesis in
directing the orientation of cilia and basal bodies. Yet the exact relationship
between PCP and ciliopathy is not well understood. Here, we examine interactions
between a core PCP component, Prickle2 (Pk2), and a central BBS gene, Bbs7, using
gene knockdown in the zebrafish. pk2 and bbs7 knockdown both disrupt the
formation of a ciliated organ, the Kupffer's vesicle (KV), but do not display a
synergistic interaction. By measuring cell polarity in the neural tube, we find
that bbs7 activity is not required for Pk asymmetric localization. Moreover, BBS
protein complex formation is preserved in the Pk2-deficient (Pk2(-/-)) mouse.
Previously we reported an intracellular melanosome transport delay as a cardinal
feature of reduced bbs gene activity. We find that pk2 knockdown suppresses bbs7
related retrograde transport delay. Similarly, knockdown of ift22, an anterograde
intraflagellar transport component, also suppresses the bbs7-related retrograde
delay. Notably, we find that pk2 knockdown larvae show a delay in anterograde
transport. These data suggest a novel role for Pk2 in directional intracellular
transport and our analyses show that PCP and BBS function independently, yet
result in overlapping phenotypes when knocked down in zebrafish.
PMID- 24938411
TI - Ullrich congenital muscular dystrophy: clinicopathological features, natural
history and pathomechanism(s).
AB - Collagen VI is widely distributed throughout extracellular matrices (ECMs) in
various tissues. In skeletal muscle, collagen VI is particularly concentrated in
and adjacent to basement membranes of myofibers. Ullrich congenital muscular
dystrophy (UCMD) is caused by mutations in either COL6A1, COL6A2 or COL6A3 gene,
thereby leading to collagen VI deficiency in the ECM. It is known to occur
through either recessive or dominant genetic mechanism, the latter most typically
by de novo mutations. UCMD is well defined by the clinicopathological hallmarks
including distal hyperlaxity, proximal joint contractures, protruding calcanei,
scoliosis and respiratory insufficiency. Recent reports have depicted the robust
natural history of UCMD; that is, loss of ambulation by early teenage years,
rapid decline in respiratory function by 10 years of age and early-onset, rapidly
progressive scoliosis. Muscle pathology is characterised by prominent
interstitial fibrosis disproportionate to the relative paucity of necrotic and
regenerating fibres. To date, treatment for patients is supportive for symptoms
such as joint contractures, respiratory failure and scoliosis. There have been
clinical trials based on the theory of mitochondrion-mediated myofiber apoptosis
or impaired autophagy. Furthermore, the fact that collagen VI producing cells in
skeletal muscle are interstitial mesenchymal cells can support proof of concept
for stem cell-based therapy.
PMID- 24938412
TI - [Diabetic nephropathy: emerging treatments].
AB - Diabetic nephropathy is a leading cause of end-stage renal disease worldwide. The
mainstay of treatment has been management of hyperglycaemia, blood pressure and
proteinuria using hypoglycemic agents, ACE inhibitors, and angiotensin receptor
blockers. Since 2000, new therapeutic strategies began to emerge targeting the
biochemical activity of glucose molecules on the renal tissue. Various substances
have been studied with varying degrees of success, ranging from vitamin B to
camel's milk. Silymarin reduces urinary excretion of albumin, tumor necrosis
factor (TNF)-alpha, and malondialdehyde in patients with diabetic nephropathy and
may be considered as a novel addition to the anti-diabetic nephropathy
armamentarium. Although some results are promising, studies on a larger scale are
needed to validate the utility of these molecules in the treatment of the DN.
PMID- 24938414
TI - Mitochondrial tRNA mutations manifest not only as hypertrophic cardiomyopathy but
also as noncompaction--reply.
PMID- 24938413
TI - Small molecules that target the toxic RNA in myotonic dystrophy type 2.
AB - Myotonic dystrophy type 2 (DM2) is caused by an expansion of CCTG repeats in the
zinc-finger protein gene (ZNF9). Transcribed CCUG repeats sequester muscleblind
like protein 1 (MBNL1), an important alternative splicing regulator, preventing
its normal function, leading to the disease phenotype. We describe a series of
ligands that disrupt the MBNL1-r(CCUG)n interaction as potential lead agents for
developing DM2 therapeutics. A previously reported triaminopyrimidine-acridine
conjugate was a moderate inhibitor in vitro, however it proved to be poorly water
soluble and not cell-permeable. To improve its therapeutic potential, the new set
of ligands maintained the key triaminopyrimidine recognition unit but replaced
the acridine intercalator with a bisamidinium groove binder. The optimized
ligands exhibit low micromolar inhibition potency to MBNL1-r(CCUG)8. Importantly,
the ligands are the first to show the ability to disrupt the MBNL1-r(CCUG)n foci
in DM2 model cell culture and exhibit low cytotoxicity.
PMID- 24938415
TI - Mitochondrial tRNA mutations manifest not only as hypertrophic cardiomyopathy but
also as noncompaction.
PMID- 24938417
TI - Selective versus comprehensive neck dissection in the treatment of patients with
a pathologically node-positive neck with or without microscopic extracapsular
spread in oral squamous cell carcinoma.
AB - The objective of this study was to compare the prognosis and complications
between selective neck dissection (SND) and comprehensive neck dissection (CND)
for patients with a pathologically node-positive neck in squamous cell carcinoma
of the tongue and the floor of the mouth. This was a retrospective cohort study.
There was no significant difference between the SND group and the CND group in 3
year neck control rate (86.2% vs. 85.9%, P=0.797) or disease-specific survival
(DSS) rate (64.6% vs. 61.9%, P=0.646). Further analyses of the respective 3-year
DSS rates in the SND and CND subgroups were as follows: pN1 without extracapsular
spread (ECS), 67.7% vs. 72.2%, P=0.851; pN2b without ECS, 64.7% vs. 68.8%,
P=0.797; and pN+ with ECS, 57.1% vs. 60.0%, P=0.939. Of note, there were
significantly fewer complications in the SND group compared with the CND group
(7.3% vs. 20.0%, P=0.032). Multivariate analysis showed that the modality of neck
treatment, pN+ status, and microscopic ECS did not serve as independent
prognostic factors. SND plus adjuvant radiotherapy is a management strategy of
high efficiency and minor morbidity for selected oral cancer patients with a pN+
neck with or without microscopic ECS.
PMID- 24938416
TI - Insights into battles between Mycobacterium tuberculosis and macrophages.
AB - As the first line of immune defense for Mycobacterium tuberculosis (Mtb),
macrophages also provide a major habitat for Mtb to reside in the host for years.
The battles between Mtb and macrophages have been constant since ancient times.
Triggered upon Mtb infection, multiple cellular pathways in macrophages are
activated to initiate a tailored immune response toward the invading pathogen and
regulate the cellular fates of the host as well. Toll-like receptors (TLRs)
expressed on macrophages can recognize pathogen-associated-molecular patterns
(PAMPs) on Mtb and mediate the production of immune-regulatory cytokines such as
tumor necrosis factor (TNF) and type I Interferons (IFNs). In addition, Vitamin D
receptor (VDR) and Vitamin D-1-hydroxylase are up-regulated in Mtb-infected
macrophages, by which Vitamin D participates in innate immune responses. The
signaling pathways that involve TNF, type I IFNs and Vitamin D are inter
connected, which play critical roles in the regulation of necroptosis, apoptosis,
and autophagy of the infected macrophages. This review article summarizes current
knowledge about the interactions between Mtb and macrophages, focusing on
cellular fates of the Mtb-infected macrophages and the regulatory molecules and
cellular pathways involved in those processes.
PMID- 24938418
TI - Very short NMR relaxation times of anions in ionic liquids: new pulse sequence to
eliminate the acoustic ringing.
AB - NMR relaxation processes of anions were studied in two neat imidazolium-based
room temperature ionic liquids (RTILs) 1-decyl-3-methyl-imidazolium bromide- and
chloride. The spin-lattice and spin-spin relaxations of 81Br and 35Cl nuclei were
found to be extremely fast due to very strong quadrupolar interactions. The
determined relaxation rates are comparable with those observed in the solids or
in some critical organic solute/water/salt systems. In order to eliminate the
acoustic ringing of the probe-head during relaxation times measurements the novel
pulse sequence has been devised. It is based on the conventional inversion
recovery pulse sequence, however, instead of the last 90 degrees pulse the
subsequence of three 90 degrees pulses applied along axes to fulfill the phase
cycling condition is used. Using this pulse sequence it was possible to measure
T1 for both studied nuclei. The viscosity measurements have been carried out and
the rotational correlation times were calculated. The effective 35Cl quadrupolar
coupling constant was found to be almost one order lower than that for 81Br, i.e.
1.8 MHz and 16.0 MHz, respectively. Taking into account the facts that the ratio
of (Q(35Cl)/Q(81Br))2~0.1 and EFG tensors on the anions are quite similar,
analogous structural organizations are expected for both RTILs. The observed
T1/T2 (1.27-1.44) ratios were found to be not sufficiently high to confirm the
presence of long-living (on the time scale of >=10(-8) s) mesoscopic structures
or heterogeneities in the studied neat ionic liquids.
PMID- 24938419
TI - Accuracy and reliability of linear measurements using 3-dimensional computed
tomographic imaging software for Le Fort I osteotomy.
PMID- 24938420
TI - Triazine-based mesoporous covalent imine polymers as solid supports for copper
mediated Chan-Lam cross-coupling N-arylation reactions.
AB - The synthesis of a novel mesoporous covalent imine polymeric (MCIPs) material,
involving simple Schiff-base chemistry, is reported. This highly functionalised
nitrogen-rich material acts as a good support for immobilising Cu(II) ions,
exhibiting excellent catalytic activity in promoting the Chan-Lam cross-coupling
reaction between biologically active amines and arylboronic acids. The
performance of this catalyst is also evident from its broad substrate scope, high
stability, real heterogeneity, mild reaction conditions and reusability without
loss of activity. The observed results will provide additional scope on the
design and catalytic applications of this emerging class of materials.
PMID- 24938421
TI - Comparison between in situ and ex situ gamma measurements on land areas within a
decommissioning nuclear site: a case study at Dounreay.
AB - Measurements made in situ with gamma detectors and ex situ measurements of soil
samples in a laboratory can have complementary roles in the assessment of
radioactively contaminated land on decommissioning nuclear sites. Both in situ
and ex situ methods were used to characterize (137)Cs contamination within an
area at the Dounreay site in Scotland. The systematic difference (bias) between
estimates of the mean activity concentration was found to be non-significant when
in situ measurements were interpreted using a linear depth model, based on ex
situ measurements made at two different depths. An established method of
evaluating the random components of measurement uncertainty was used. The random
component of analytical uncertainty in the in situ measurements, made in field
conditions, was found to exceed that for the ex situ measurements, made in the
controlled conditions of a laboratory. However, contamination by the target
radionuclide was found to be heterogeneous over small spatial scales. This
resulted in significantly higher levels of random sampling uncertainty in
individual ex situ measurements. As in situ measurements are substantially less
costly, a greater number of measurements can be made, which potentially reduces
the uncertainty on the mean. Providing the depth profile of contaminants can be
modelled with confidence, this can enable estimates of mean activity
concentration over an averaging area to be made with lower overall uncertainties
than are possible using ex situ methods.
PMID- 24938422
TI - Effects of obstetric complications on volume and functional connectivity of
striatum in anorexia nervosa patients.
AB - OBJECTIVE: To investigate the volume and functional connectivity of dorsal and
ventral striatal nuclei in anorexia nervosa (AN) and their relationship with
early exposure to obstetric complications. METHOD: Fifty-one patients with
lifetime AN (35 acute, 16 recovered) and 34 healthy controls underwent high
resolution and resting-state functional magnetic resonance imaging. RESULTS: The
AN group showed reduced functional connectivity of the putamen compared with
healthy women, and this reduction was more evident in patients with lifetime
binge eating/purging. Both acute and recovered AN groups showed a larger left
accumbens area compared with that of healthy women. The functional connectivity
of bilateral nucleus accumbens and putamen showed significant negative
correlations with number of obstetric complications in the AN group. DISCUSSION:
This study supports the hypothesis that AN is associated with structural and
functional alterations of striatal networks, and reveals the possible role of
obstetric complications in the pathogenesis of striatal dysfunction.
PMID- 24938423
TI - Universal health coverage may not be enough to ensure universal access to sexual
and reproductive health beyond 2014.
AB - With the expiration of the Millennium Development Goals looming at the end of
2015, governments have set themselves the task of defining a new set of
sustainable development goals for the years beyond. This debate has major
implications for how global public health will be addressed moving forward -
particularly sexual and reproductive health and rights, which are the centrepiece
of the International Conference on Population and Development agenda. One idea
that has generated significant attention is universal health coverage. Universal
health coverage is one, among many tools, that can help to address the sexual and
reproductive health needs of women, adolescents and other marginalised groups
such as migrants, people with disabilities, people of diverse sexual orientations
and gender identities, sex workers and people who use drugs. However, a singular
focus on universal health coverage is not likely to be sufficient to meet these
needs; in fact, recent experiences have demonstrated that it often falls short.
Without addressing the full range of factors that affect health, including social
determinants of health, we will not be able to achieve the development goals of
ensuring universal access to sexual and reproductive health or reducing
preventable related mortalities and morbidities.
PMID- 24938424
TI - The feasibility and benefits of a 12-week yoga intervention for pediatric cancer
out-patients.
AB - BACKGROUND: Increasing rates of survival present a new set of psychosocial and
physical challenges for children undergoing treatment for cancer. Physical
activity (PA) has been shown to be a safe and effective strategy to mitigate the
significant burden of cancer and its treatments, with yoga increasingly gaining
recognition as a gentle alternative. The purpose of this study was to determine
the feasibility and benefits of a 12-week community-based yoga intervention on
health-related quality of life (HRQL), select physical fitness outcomes and PA
levels (PAL). PROCEDURE: Eight pediatric cancer out-patients (4 male; 4 female;
Mage = 11.88, SD = 4.26) participated in the 12-week intervention consisting of
supervised yoga sessions 2 times/week. Participants (patients and parent proxies)
completed measures assessing HRQL, physical fitness and PAL at baseline and post
intervention. RESULTS: Rates of recruitment, retention, attendance and adverse
events indicated the program was feasible. Wilcoxon Signed Rank tests indicated
significant improvements for patient (P = 0.02) and parent reported HRQL (P =
0.03), functional mobility (P = 0.01), hamstring flexibility (left, P = 0.01 and
right P = 0.02), and total PAL (P = 0.02) pre to post intervention. CONCLUSION:
This 12-week community-based yoga intervention was feasible and provides
preliminary evidence for the benefits of yoga on HRQL, physical fitness and PAL
in pediatric cancer out-patients. In a population where sedentary behavior and
the associated co-morbidities are a growing concern, these results promote the
continued exploration of yoga programming.
PMID- 24938426
TI - Highly chemo-, enantio-, and regioselective synthesis of alpha,alpha
disubstituted furanones by Cu-catalyzed conjugate addition.
AB - A highly chemo-, enantio-, and regioselective synthesis of furanones bearing an
alpha,alpha-disubstituted quaternary stereogenic center is reported. The Cu
catalyzed enantioselective conjugate addition of organoaluminum reagents to
unsaturated ketoesters at room temperature and subsequent lactonization took
place. Synthetic transformations of furanones represent facile approaches to
various cyclic or acyclic compounds bearing a quaternary stereogenic center.
PMID- 24938425
TI - Maternal and cord blood 25(OH)-vitamin D concentrations in relation to child
development and behaviour.
AB - BACKGROUND: Animal studies have linked in utero vitamin D exposure to various
aspects of offspring brain development. Limited research has translated these
findings to humans, and none have employed cord blood to measure exposure late in
gestation. METHODS: Our objective was to examine the associations between
maternal 25(OH)D measured at <=26 weeks' gestation or cord blood 25(OH)D and
offspring global development, IQ, achievement, and behaviour in the US
Collaborative Perinatal Project (1959-73). This was a secondary analysis of data
from a case-cohort study, with 3896 women and children who participated in at
least one outcome assessment. Psychologists assessed global development at 8
months, IQ and behaviour at 4 and 7 years, and achievement at 7 years. Multiple
linear and logistic regression was used to examine the associations between
25(OH)D and child outcomes, controlling for maternal education, age, parity,
race, maternal body mass index, marital status, smoking, gestational age and
month of blood draw, and study site. RESULTS: Positive associations for many
outcomes were greatly attenuated upon adjustment for confounders and were
generally null. Only IQ at age 7 was associated with both maternal and cord blood
25(OH)D, but the effect estimates were very small (beta for 5 nmol/L increment of
maternal 25(OH)D = 0.10; [95% CI 0.00, 0.19]). CONCLUSION: We observed very
little indication that maternal or cord blood 25(OH)D are associated with
cognitive development, achievement, and behaviour between 8 months and 7 years of
age.
PMID- 24938427
TI - Integrative genomic analyses of secreted protein acidic and rich in cysteine and
its role in cancer prediction.
AB - Secreted protein acidic and rich in cysteine (SPARC), also termed osteonectin or
basement-membrane-40 (BM-40), is a matrix-associated protein that elicits changes
in cell shape, inhibits cell-cycle progression and affects the synthesis of
extracellular matrix (ECM). The final mature SPARC protein has 286 amino acids
with three distinct domains, including an NH2-terminal acidic domain (NT),
follistatin-like domain (FS) and C terminus domain (EC). The present study
identified SPARC genes from 14 vertebrate genomes and revealed that SPARC existed
in all types of vertebrates, including fish, amphibians, birds and mammals. In
total, 21 single nucleotide polymorphisms (SNPs) causing missense mutations were
identified, which may affect the formation of the truncated form of the SPARC
protein. The human SPARC gene was found to be expressed in numerous tissues or
organs, including in the bone marrow, whole blood, lymph node, thymus, brain,
cerebellum, retina, heart, smooth muscle, skeletal muscle, spinal cord,
intestine, colon, adipocyte, kidney, liver, pancreas, thyroid, salivary gland,
skin, ovary, uterus, placenta, cervix and prostate. When searched in the
PrognoScan database, the human SPARC gene was also found to be expressed in
bladder, blood, breast, glioma, esophagus, colorectal, head and neck, ovarian,
lung and skin cancer tissues. It was revealed that the association between the
expression of SPARC and prognosis varied in different types of cancer, and even
in the same cancer from different databases. It implied that the function of
SPARC in these tumors may be multidimensional, functioning not just as a tumor
suppressor or oncogene.
PMID- 24938429
TI - Photoinduced water splitting with oxotitanium tetraphenylporphyrin.
AB - Photocatalytic splitting of water was investigated in a heterogeneous system
consisting of micro-crystallites of oxotitanium tetraphenylporphyrin deposited on
fused silica plates, immersed in water and excited within the visible range of
their absorption spectra. The water photolysis was evidenced by the spectroscopic
detection of hydroxyl radicals generated in the reaction. The experimental
results confirm the mechanism of water splitting and generation of OH radicals
proposed theoretically by Sobolewski and Domcke [Phys. Chem. Chem. Phys., 2012,
14, 12807] for the oxotitaniumporphyrin-water complex. It is shown that
photocatalytic water splitting occurs in pure water, and neither pH-bias nor
external voltage is required to promote the reaction.
PMID- 24938428
TI - Analysis of interactions between SNARE proteins using imaging ellipsometer
coupled with microfluidic array.
AB - The soluble N-ethylmaleimide-sensitive factor attachment receptor (SNARE)
proteins are small and abundant membrane-bound proteins, whose specific
interactions mediate membrane fusion during cell fusion or cellular trafficking.
In this study, we report the use of a label-free method, called imaging
ellipsometer to analyze the interactions among three SNAREs, namely Sec22p, Ykt6p
and Sso2p. The SNAREs were immobilized on the silicon wafer and then analyzed in
a pairwise mode with microfluidic array, leading us to discover the interactions
between Ykt6p and Sso2p, Sec22p and Sso2p. Moreover, by using the real-time
function of the imaging ellipsometer, we were able to obtain their association
constants (K(A)) of about 10(4) M(-1). We argue that the use of imaging
ellipsometer coupled with microfluidic device will deepen our understanding of
the molecular mechanisms underlying membrane fusion process.
PMID- 24938430
TI - Author's response re: letter to the editor re: Martinez-Garcia R, Ubeda-Sansano
MI, Diez-Domingo J, Perez-Hoyos S, Gil-Salom M. It is time to abandon "expected
bladder capacity." Systematic review and new models for children's normal maximum
voided volumes. Neurourol Urodyn 2013;33:1099.
PMID- 24938431
TI - Setting standards for severity of common symptoms in oncology using the PROMIS
item banks and expert judgment.
AB - BACKGROUND: Although the use of patient-reported outcome measures (PROs) has
increased markedly, clinical interpretation of scores remains lacking. We
developed a method to identify clinical severity thresholds for pain, fatigue,
depression, and anxiety in people with cancer. METHODS: Using available Patient
Reported Outcomes Measurement Information System (PROMIS) item bank response data
collected on 840 cancer patients, symptom vignettes across a range of symptom
severity were developed and placed on index cards. Cards represented symptom
severity at five-point intervals differences on the T score metric [mean = 50;
standard deviation (SD) = 10]. Symptom vignettes for each symptom were anchored
on these standardized scores at 0.5 SD increments across the full range of
severity. Clinical experts, blind to the PROMIS score associated with each
vignette, rank-ordered the vignettes by severity, then arrived at consensus
regarding which two vignettes were at the upper and lower boundaries of normal
and mildly symptomatic for each symptom. The procedure was repeated to identify
cut scores separating mildly from moderately symptomatic, and moderately from
severely symptomatic scores. Clinician severity rankings were then compared to
the T scores upon which the vignettes were based. RESULTS: For each of the
targeted PROs, the severity rankings reached by clinician consensus perfectly
matched the numerical rankings of their associated T scores. Across all symptoms,
the thresholds (cut scores) identified to differentiate normal from mildly
symptomatic were near a T score of 50. Cut scores differentiating mildly from
moderately symptomatic were at or near 60, and those separating moderately from
severely symptomatic were at or near 70. CONCLUSIONS: The study results provide
empirically generated PROMIS T score thresholds that differentiate levels of
symptom severity for pain interference, fatigue, anxiety, and depression. The
convergence of clinical judgment with self-reported patient severity scores
supports the validity of this methodology to derive clinically relevant symptom
severity levels for PROMIS symptom measures in other settings.
PMID- 24938432
TI - Copper-catalyzed one-pot trifluoromethylation/aryl migration/carbonyl formation
with homopropargylic alcohols.
AB - A novel copper-catalyzed one-pot functionalization of homopropargylic alcohols
that involves trifluoromethylation, aryl migration, and formation of a carbonyl
moiety has been developed. This reaction constitutes the first direct conversion
of homopropargylic alcohols into CF3-containing 3-butenal or 3-buten-1-one
derivatives in a regioselective manner. Mechanistic studies indicate that the 1,4
aryl migration proceeds through a radical pathway.
PMID- 24938433
TI - Gastric cancer-derived MSC-secreted PDGF-DD promotes gastric cancer progression.
AB - PURPOSE: This study was designed to investigate the role of PDGF-DD secreted by
gastric cancer-derived mesenchymal stem cells (GC-MSCs) in human gastric cancer
progression. METHODS: Gastric cancer cells were indirectly co-cultured with GC
MSCs in a transwell system. The growth and migration of gastric cancer cells were
evaluated by cell colony formation assay and transwell migration assay,
respectively. The production of PDGF-DD in GC-MSCs was determined by using
Luminex and ELISA. Neutralization of PDGFR-beta by su16f and siRNA interference
of PDGF-DD in GC-MSCs was used to demonstrate the role of PDGF-DD produced by GC
MSCs in gastric cancer progression. RESULTS: GC-MSC conditioned medium promoted
gastric cancer cell proliferation and migration in vitro and in vivo. Co-culture
with GC-MSCs increased the phosphorylation of PDGFR-beta in SGC-7901 cells.
Neutralization of PDGFR-beta by su16f blocked the promoting role of GC-MSC
conditioned medium in gastric cancer cell proliferation and migration.
Recombinant PDGF-DD duplicated the effects of GC-MSC conditioned medium on
gastric cancer cells. Knockdown of PDGF-DD in GC-MSCs abolished its effects on
gastric cancer cells in vitro and in vivo. CONCLUSIONS: PDGF-DD secreted by GC
MSCs is capable of promoting gastric cancer cell progression in vitro and in
vivo. Targeting the PDGF-DD/PDGFR-beta interaction between MSCs and gastric
cancer cells may represent a novel strategy for gastric cancer therapy.
PMID- 24938434
TI - Methylation of PITX2, HOXD3, RASSF1 and TDRD1 predicts biochemical recurrence in
high-risk prostate cancer.
AB - PURPOSE: To explore differential methylation of HAAO, HOXD3, LGALS3, PITX2,
RASSF1 and TDRD1 as a molecular tool to predict biochemical recurrence (BCR) in
patients with high-risk prostate cancer (PCa). METHODS: A multiplexed nested
methylation-specific PCR was applied to quantify promoter methylation of the
selected markers in five cell lines, 42 benign prostatic hyperplasia (BPH) and 71
high-risk PCa tumor samples. Uni- and multivariate Cox regression models were
used to assess the importance of the methylation level in predicting BCR.
RESULTS: A PCa-specific methylation marker HAAO in combination with HOXD3 and a
hypomethylation marker TDRD1 distinguished PCa samples (>90 % of tumor cells
each) from BPH with a sensitivity of 0.99 and a specificity of 0.95. High
methylation of PITX2, HOXD3 and RASSF1, as well as low methylation of TDRD1,
appeared to be significantly associated with a higher risk for BCR (HR 3.96,
3.44, 2.80 and 2.85, correspondingly) after correcting for established risk
factors. When DNA methylation was treated as a continuous variable, a two-gene
model PITX2 * 0.020677 + HOXD3 * 0.0043132 proved to be the best predictor of BCR
(HR 4.85) compared with the individual markers. This finding was confirmed in an
independent set of 52 high-risk PCa tumor samples (HR 11.89). CONCLUSIONS:
Differential promoter methylation of HOXD3, PITX2, RASSF1 and TDRD1 emerges as an
independent predictor of BCR in high-risk PCa patients. A two-gene continuous DNA
methylation model "PITX2 * 0.020677 + HOXD3 * 0.0043132" is a better predictor of
BCR compared with individual markers.
PMID- 24938435
TI - Complement components, proteolysis-related, and cell communication-related
proteins detected in urine proteomics are associated with IgA nephropathy.
AB - INTRODUCTION: IgA nephropathy (IgAN) is the most common primary
glomerulonephritis. The first symptoms of IgAN are erytrocyturia or hematuria,
proteinuria, and decline in renal function, or any combination of the above. One
of the promising diagnostic methods is urine proteomics. OBJECTIVES: We studied
urine proteomics in patients with IgAN and age- and sex-matched healthy controls.
To minimize the risk of protein degradation, we proposed a new protocol for urine
collection and preparation. PATIENTS AND METHODS: A total of 30 patients with
IgAN and 30 controls were enrolled into the study. Thirty urine samples of the
IgAN group were divided into 3 disease pooled samples (DPS I, II, and III) and 30
urine samples of the control group were divided into 3 control pooled samples
(CPS I, II, and III). We used isoelectric focusing/liquid chromatography-mass
spectrometry/mass spectrometry (IEF/LC-MS/MS) to detect all proteins larger than
10 kDa. RESULTS: Using qualitative analysis, we identified 761, 951, and 956
proteins in each of the 3 IEF/LC-MS/MS experiments. The results were combined,
yielding a dataset with 1238 proteins identified by at least 2 peptides. The
statistical analysis of the quantitative results revealed 18 proteins that were
differently populated in the urine of IgAN patients compared with healthy
controls. We found increased urinary concentrations of complement components,
coagulation factors, extracellular matrix, intracellular, transmembrane, and
other proteins in patients with IgAN. Some of them have never been linked to IgAN
before. CONCLUSIONS: We demonstrated that urine proteomics is a promising tool
for diagnosing and monitoring patients with IgAN.
PMID- 24938436
TI - Transposable elements: ERE and there for pluripotency.
PMID- 24938439
TI - Synergistic role of self-emulsifying lipids and nanostructured porous silica
particles in optimizing the oral delivery of lovastatin.
AB - AIM: To investigate the role of self-emulsifying lipids and porous silica
particles in enhancing supersaturated drug loading and biopharmaceutical
performance of nanostructured silica-lipid hybrid (SLH) systems. MATERIALS &
METHODS: Two lovastatin (LOV)-SLHs were engineered from self-emulsifying lipid
(Gelucire((r)) 44/14; Gattefosse, Lyon, France) and Aerosil((r)) 380 (SLH-A;
Evonik Industries, Essen, Germany) or Syloid((r)) 244FP silica (SLH-S; Grace
Davison Discovery Sciences, Rowville, Australia). RESULTS & DISCUSSION: The LOV
SLHs encapsulated LOV at 10% w/w, which is >=3-fold higher than typical lipid
formulations in the absence of porous silica. The LOV-SLHs retained self
emulsifying lipid-associated solubilization benefits and improved drug
solubilization by twofold in simulated intestinal condition. SLH-S, with larger
surface area (299 m(2)/g), was superior to SLH-A (184 m(2)/g) in optimizing oral
bioavailability, suggesting a critical role of the silica geometry.
Bioavailability of SLH-S was 2.8- and 1.3-fold higher than pure drug and drug
suspension in Gelucire 44/14, respectively. CONCLUSION: In conclusion, SLHs
profit from advantages associated with both self-emulsifying lipids and porous
silica, and provide potentially improved therapy against coronary artery disease.
PMID- 24938440
TI - Ayurvedic medicine for rheumatoid arthritis.
AB - Ayurvedic medicine is the traditional medicine of India, which originated over
5,000 years ago. Parts of this alternative medical system have become
increasingly popular worldwide as patients seek approaches to medical care that
they perceive as more holistic and less toxic than those offered by conventional
Western medicine. Despite the advent of highly effective pharmacologic therapy,
most individuals with rheumatoid arthritis (RA) continue to use alternative
therapy at some point in the treatment of their disease. This report discusses
some of the in-vitro data that suggest potential mechanisms through which
Ayurvedic herbal medicines might have beneficial actions in rheumatoid arthritis,
and the available clinical data evaluating the use of Ayurvedic medicine for RA.
PMID- 24938441
TI - Use of DXA-based technology for detection and assessment of risk of vertebral
fracture in rheumatology practice.
AB - Measurement of bone mineral density (BMD) by dual-energy X-ray absorptiometry
(DXA) is the recommended method for diagnosis of osteoporosis and assessment of
future fracture risk. However, most patients who will suffer fractures do not
have osteoporosis by DXA (T-score of -2.5 or less). Bone strength, which is most
closely associated with resistance to fracture, is a composite of both bone
density and bone quality, and the latter is not measured by DXA. Thus, other
technology is needed for non-invasive and inexpensive assessment of bone strength
and fracture risk. Vertebral fractures, the most common clinical fracture in the
general population, are of even greater importance in rheumatoid arthritis and
other rheumatic disorders. Vertebral fracture assessment (VFA) and trabecular
bone scores (TBS), two techniques which can aid prediction of future fracture
risk, can be used with currently available DXA machines. Description of these
techniques and their potential application to clinical rheumatology practice will
be the focus of this paper.
PMID- 24938442
TI - Treatment of uveitis associated with juvenile idiopathic arthritis.
AB - Chronic anterior uveitis affects 10-30 % of patients with juvenile idiopathic
arthritis (JIA) and is still a cause of blindness in childhood. In most patients
it is asymptomatic, bilateral, and recurrent, so careful screening and early
diagnosis are important to obtain the best long-term prognosis. The treatment of
chronic uveitis associated with JIA is challenging. Initial treatment is based on
topical steroids and mydriatic drops. Methotrexate is the most common first-line
immunomodulatory drug used. For refractory patients, biologicals, mainly the anti
tumor-necrosis-factor (TNF) drugs adalimumab and infliximab, have been revealed
to be effective and have changed the outcome for these patients. Collaboration
between pediatric rheumatologists and ophthalmologists is important for the
successful diagnosis and treatment of patients with uveitis associated with JIA.
PMID- 24938444
TI - Xiaoji Decoction inhibited cell proliferation and induced apoptosis through Akt
signaling pathway in human lung cancer A549 cells.
AB - OBJECTIVE: To investigate the inhibitive effect and the underlying mechanism of
Xiaoji Decoction (, XJD) in human lung cancer A549 cells. METHODS: A549 cells in
logarithmic proliferation were cultivated in RPMI-1640 containing 10% low, medium
or high dosages of XJD serum. The inhibitive effect of XJD in A549 cell
proliferation was assessed by methylthiazolyldiphenyl-tetrazolium bromide (MTT)
assay. The pro-apoptotic effect of XJD in A549 cells was observed by fluorescence
microscope via Hoechst 33258 staining. The role of the Akt signaling pathway was
observed by examining the presence of p-Akt protein by Western blot and the mRNA
expression of downstream proteins such as Bcl-2/Bcl-XL-associated death promoter
(BAD) and caspase-9 by real time polymerase chain reaction. RESULTS: MTT assay
revealed that XJD could inhibit A549 proliferation in a dose- and time-dependent
manner. Hoechst 33258 staining showed that XJD induced the typical nuclear
apoptotic morphology after XJD treatment. Moreover, XJD could reduce the
phosphorylation of Akt and increase the mRNA expression of BAD and caspase-9.
CONCLUSIONS: XJD can inhibit the proliferation of A549 cells in a dose- and time
dependent manner through signaling Akt pathway via up-regulating the expression
of BAD and caspase-9. XJD may provide a novel therapeutic model for lung cancer
and deserve further study.
PMID- 24938443
TI - Conditions for production of interdisciplinary teamwork outcomes in oncology
teams: protocol for a realist evaluation.
AB - BACKGROUND: Interdisciplinary teamwork (ITW) is designed to promote the active
participation of several disciplines in delivering comprehensive cancer care to
patients. ITW provides mechanisms to support continuous communication among care
providers, optimize professionals' participation in clinical decision-making
within and across disciplines, and foster care coordination along the cancer
trajectory. However, ITW mechanisms are not activated optimally by all teams,
resulting in a gap between desired outcomes of ITW and actual outcomes observed.
The aim of the present study is to identify the conditions underlying outcome
production by ITW in local oncology teams. METHODS: This retrospective multiple
case study will draw upon realist evaluation principles to explore associations
among context, mechanisms and outcomes (CMO). The cases are nine
interdisciplinary cancer teams that participated in a previous study evaluating
ITW outcomes. Qualitative data sources will be used to construct a picture of CMO
associations in each case. For data collection, reflexive focus groups will be
held to capture patients' and professionals' perspectives on ITW, using the
guiding question, 'What works, for whom, and under what circumstances?' Intra
case analysis will be used to trace associations between context, ITW mechanisms,
and patient outcomes. Inter-case analysis will be used to compare the different
cases' CMO associations for a better understanding of the phenomenon under study.
DISCUSSION: This multiple case study will use realist evaluation principles to
draw lessons about how certain contexts are more or less likely to produce
particular outcomes. The results will make it possible to target more
specifically the actions required to optimize structures and to activate the best
mechanisms to meet the needs of cancer patients. This project could also
contribute significantly to the development of improved research methods for
conducting realist evaluations of complex healthcare interventions. To our
knowledge, this study is the first to use CMO associations to improved empirical
and theoretical understanding of interdisciplinary teamwork in oncology, and its
results could foster more effective implementation in clinical practice.
PMID- 24938447
TI - Protective effect of Tianqi Hangli Recipe () extract on high sustained positive
acceleration stress-induced myocardial mitochondrial injury in rats.
AB - OBJECTIVE: To explore the protective effect of Tianqi Hangli Recipe () extract
(THRE) on high sustained positive acceleration (+Gz) stress-induced myocardial
mitochondrial injury in rats. METHODS: Seventy-two male SD rats were randomly
assigned to various groups with 12 rats per group: blank control group, stress
control group, high +Gz stress group, low-dose THRE group (0.75 g/kg), medium
dose THRE group (1.5 g/kg) and high dose THRE group (3.0 g/kg). Each rat was fifi
rstly fed with 20 mL/kg menstruum once a day for 14 days. The rats were then
exposed to high +Gz at the 15th day. Myocardial mitochondrial structure,
respiratory function, antioxidant capacity and ATPases activities were examined
for the comparison after the high +Gz exposure. RESULTS: The rats treated with
high +Gz stress showed signififi cant pathological changes: the myocardial
mitochondria were swelled, degenerated and decreased, and mitochondrial cristae
were broken or disappeared. State 3 respiration and the respiratory control ratio
(RCR) were both significantly lower, and state 4 respiration was higher as
compared with the blank control group and stress control groups (P <0.01, P
<0.05). In addition, the activities of its antioxidant enzymes [superoxide
dismutase (SOD) and glutathione peroxidase (GSH-Px)] and Na+-K+-ATPase were also
decreased (P <0.01 or P <0.05), but the formation of malondialdehyde (MDA) was
increased (P <0.01). However, THRE preconditioning could attenuate mitochondrial
structural damages and reverse the high +Gz stress-caused changes of parameters
about respiration, antioxidant enzymes and ATPases, most of which had no
significant difference between the high-dose THRE group and the stress control
group. CONCLUSION: THRE can protect high sustained +Gz stress-induced myocardial
mitochondrial injury in rats as was shown to ameliorate respiratory function and
increase activities of antioxidant enzymes and ATPases.
PMID- 24938445
TI - Current research and future directions in pattern identification: Results of an
international symposium.
AB - A symposium on pattern identification (PI) was held at the Korea Institute of
Oriental Medicine (KIOM) on October 2, 2013, in Daejeon, South Korea. This
symposium was convened to provide information on the current research in PI as
well as suggest future research directions. The participants discussed the nature
of PI, possible research questions, strategies and future international
collaborations in pattern research. With eight presentations and an extensive
panel discussion, the symposium allowed participants to discuss research methods
in traditional medicine for PI. One speaker presented the topic, 'Clinical
pattern differentiation and contemporary research in PI.' Two speakers presented
current trends in research on blood stasis while the remaining five other
delegates discussed the research methods and future directions of PI research.
The participants engaged in in-depth discussions regarding the nature of PI,
potential research questions, strategies and future international collaborations
in pattern research.
PMID- 24938446
TI - Appraisal of clinical practice guidelines for ischemic stroke management in
Chinese medicine with appraisal of guidelines for research and evaluation
instrument: A systematic review.
AB - OBJECTIVE: To systematically review the clinical practice guidelines (CPGs) for
ischemic stroke in Chinese medicine (CM) with the Appraisal of Guidelines for
Research and Evaluation (AGREE II) instrument. METHODS: CM CPGs for ischemic
stroke were searched in 5 online databases and hand-searches in CPGrelated
handbooks published from January 1990 to December 2012. The CPGs were categorized
into evidence based (EB) guideline, consensus based with no explicit
consideration of evidence based (CB-EB) guideline and consensus based (CB)
guideline according to the development method. Three reviewers independently
appraised the CPGs based on AGREE II instrument, and compared the CPGs'
recommendations on CM pattern classification and treatment. RESULTS: Five CM CPGs
for ischemic stroke were identified and included. Among them, one CPG was EB
guideline, two were CB guidelines and two were CB-EB guidelines. The quality
score of the EB guideline was higher than those of the CB-EB and CB guidelines.
Five CM patterns in the CPGs were recommended in the EB CPG. The comprehensive
protocol of integrative Chinese and Western medicine recommended in the EB CPG
was mostly recommended for ischemic stroke in the CPGs. The recommendations
varied based on the CM patterns. CONCLUSION: The quality of EB CPG was higher
than those of CB and CB-EB CPGs in CM for ischemic stroke and integrative
approaches were included in CPGs as major interventions.
PMID- 24938448
TI - The association between pulmonary function impairment and colon inflammation in
ulcerative colitis patients: A scientific basis for exterior-interior correlation
between lung and large intestine.
AB - OBJECTIVE: To investigated the involvement of pulmonary function impairment in
ulcerative colitis (UC), to explore a scientific basis for the Chinese medicine
(CM) theory of exterior-interior correlation between Lung (Fei) and Large
intestine (Dachang). METHODS: Totally 120 patients with a diagnosis of UC were
recruited and the demographics, clinical data, and blood samples were collected.
C-reactive protein (CRP) and erythrocyte sedimentation rate (ESR) concentrations
were measured. Every patient accepted pulmonary function test and took chest
radiograph (CXR).> RESULTS: Pulmonary function abnormalities were present in 72
of 120 patients. The median (interquartile range) vital capacity (VC), forced
vital capacity (FVC), forced expiratory volume in 1 s (FEV1), carbon monoxide
diffusion capacity (DLCO) of lung, total lung capacity (TLC) and functional
residual volume (FRV) were decreased in distal UC and pancolitis compared with
ulcerative prochitis (P <0.0005). Male patients had increased VC, FEV1/FVC, and
residual volume (RV)/TLC compared with female (P <0.0005), but decreased DLCO and
carbon monoxide iffusion capacity (KCO) of lung/alveolar ventilation (P <0.0005).
Age was strongly correlated with RV (Spearman rank correlation coefficient (rs)=
0.57,P <0.0001), and RV/TLC (rs=0.48,P<0.0001). Age was also correlated with
FEV1/FVC (rs=-0.29, P=0.001), forced expiratory flow in 75% vital capacity
(FEF75%, rs=-0.20, P=0.03), DLCO (rs=-0.21, P=0.02), TLC (rs=-0.25, P=0.006), and
FRV (rs=-0.28, P=0.002). The course of disease was correlated with FEF75% (rs=
0.18, P=0.049) and KCO (rs=-0.19, P=0.036). Chest radiograph abnormalities were
presented in 38 of 120. Pulmonary symptoms were presented in 10 of 120. Other
extraintestinal complications were presented in 21 of 120. CONCLUSIONS: Pulmonary
function impairment was more frequently than other extraintestinal complications
in UC patients, which may be affected by sex, age, extent and course of disease.
These results may be a scientific basis for the theory of exterior-interior
correlation between Lung and Large intestine.
PMID- 24938449
TI - Effect of Longbishu capsule () plus doxazosin on benign prostatic hyperplasia: a
randomized controlled trial.
AB - OBJECTIVE: To investigate the effect of Longbishu Capsule (, LBS), doxazosin, and
combination therapy on benign prostatic hyperplasia (BPH). METHODS: A randomized,
double-blind, multi-center parallel trial was conducted involving 360 patients in
hospitals in Beijing (108 cases), Heilongjiang (90 cases), Sichuan (90 cases),
Shanghai (72 cases), China. They were randomly assigned with central
randomization method to group A (LBS placebo plus doxazosin), group B (LBS plus
doxazosin) or group C (LBS plus doxazosin placebo), 120 cases for each group. The
international prostate symptom score, maximum urinary flow rate, postvoid
residual urine volume and prostate volume were measured for evaluating the
efficacy of the three treatments. RESULTS: At baseline, there was no significant
difference in the measured variables among the three groups. After 12-month
treatment, the three groups showed significant improvements in IPSS and maximum
urinary flow rate from baseline (P<0.01). Although postvoid residual urine volume
was not significantly different from the baseline in group A (P>0.05), it
significantly decreased in group B and C (P<0.05). The incidence of adverse
events were similar among the three groups. CONCLUSIONS: The treatment of LBS
alone or LBS plus doxazosin was able to significantly improve IPSS in patients
with BPH. The treatments may reduce the increase in prostate volume and postvoid
residual urine volume as well.
PMID- 24938451
TI - Symmetrical drug-related intertriginous and flexural exanthema (SDRIFE) induced
by oral metronidazole.
AB - Baboon syndrome is a special form of systemic contact dermatitis to systemic or
local administration of contact allergens. Baboon syndrome without known previous
cutaneous sensitisation was also described as drug-related baboon syndrome or
symmetrical drug-related intertriginous and flexural exanthema (SDRIFE). The
major drugs causing SDRIFE was beta-lactam antibiotic such as amoxicillin and
ampicillin. We report a case of 16-year-old woman who developed pruritic
eruptions after oral metronidazole treatment for diarrhea. She was diagnosed
SDRIFE according to her clinical and histopathological findings. To our
knowledge, our patient is the first case who developed SDRIFE due to
metronidazole in the literature.
PMID- 24938450
TI - Anticancer effect of total annonaceous acetogenins on hepatocarcinoma.
AB - OBJECTIVE: To confirm the anticancer effect of total annonaceous acetogenins
(TAAs) abstracted from Annona squamosa Linn. on human hepatocarcinoma. METHODS:
The inhibitory effect of TAAs was demonstrated in H22-bearing mice. The potency
of TAAs was confirmed as its 50% inhibiting concentration (IC50) on Bel-7402 cell
under Sulfur Rhodamine B staining. Both underlying mechanisms were explored as
cellular apoptosis and cell cycle under flow cytometry. Mitochondrial and
recipient apoptotic pathways were differentiated as mitochondrial membrane
potential under flow cytometry and caspases activities under fluorescence
analysis. RESULTS: The inhibitory rate of TAAs in mice was 50.98% at 4 mg/kg
dose. The IC50 of TAAs on Bel-7402 was 20.06 ug/mL (15.13-26.61ug/mL). Effective
mechanisms of TAAs were confirmed as both of arresting cell cycle at G1 phase and
inducing apoptosis dose- and time-dependently. Mitochondrial and recipient
pathways involved in apoptotic actions of TAAs. CONCLUSION: TAAs is effective for
hepatocarcinoma, via inhibiting proliferation and inducing apoptosis.
PMID- 24938452
TI - The effect of antihypertensive therapy on dry eye disease.
AB - CONTEXT: There is a generalization that "antihypertensive (antiHT) therapy causes
Dry Eye Syndrome", which has been claimed for years however most of the
publications are epidemiological studies. We performed a clinical study to
investigate the effects of antiHT agents on tear function. OBJECTIVE: The aim of
this article is to evaluate the effects of different classes of antiHT
medications on tear osmolarity, ocular surface problems and dry eye symptoms.
MATERIALS AND METHODS: Prospective, non-randomized a clinical study. A total of
71 patients who would be initiated antiHT medication due to elevated systemic
blood pressure were included in the study. Thirty of these patients were given
antiHT drugs containing diuretic (diuretic +), and 41 of them were given diuretic
free drugs (diuretic -). While the number of the patients medicated in the group
that received Angiotensin Converting Enzyme inhibitors (ACE inh)/Angiotensin
receptor blockers (ARB) (ACE/ARB +) was 29, the number of those medicated in the
ACE/ARB-free group (ACE/ARB -) was 42. Ocular surface disease index scores, tear
osmolarity, Schirmer I test, tear film break-up time (TBUT), fluorescein (FL) and
rose bengal corneal staining patterns of the patients were analyzed. The patients
were examined through the repetition of all the tests in the 1st and the 3rd
month. RESULTS: The participants (n = 71) comprised 38 males and 33 females with
a mean age of 51.8 +/- 10.4. When the first (0-1st month) and the third month (0
3rd months) control measurements between diuretics (+) and diuretics (-) groups
before and after antiHT therapies were compared, a statistically significant
difference was not found in any of the tests applied. When the 0-1st month
measurements of ACE/ARB (+) and ACE/ARB (-) groups were compared, it was observed
that staining with FL in ACE/ARB (+) group decreased in a statistically
significant manner (p = 0.035) and there was a significant increase in TBUT
values (p = 0.022). DISCUSSION AND CONCLUSION: The use of antiHT drugs containing
diuretic had no adverse effect on the tear function tests, but using drugs that
contain ACE/ARB could have a positive impact.
PMID- 24938453
TI - An open, comparative clinical study on the efficacy and safety of 10%
trichloroacetic acid, 25% trichloroacetic acid and cryotherapy for verruca plana.
AB - OBJECTIVE: Although there are several methods to treat Verruca plana, warts do
not respond well to the common therapeutic options. In this study, we compared
the safety and efficacy of 10% trichloroacetic acid, 25% trichloroacetic acid,
and cryotherapy for the treatment of warts caused by Verruca plana. METHODS: Ten
percent and 25% trichloroacetic acid were applied to warts weekly until all
lesions cleared. Cryotherapy was performed by liquid nitrogen spray for 5-10
seconds for each lesion per week until the lesions cleared. The number of Verruca
plana lesions and adverse effects were evaluated five times during the treatment
(the initial visit, week 2, week 4, week 6, and week 8). RESULTS: The number of
lesions decreased through week 8 for all three treatments, and the reductions in
the mean numbers of lesions were statistically similar (p > 0.05). Those in the
cryotherapy group exhibited more erythema, pain, erosions, bullae, and
hyperpigmentation (p < 0.001, p < 0.001, p < 0.001, p < 0.05, and p = 0.001,
respectively) than those in either TCA group. Itching was more common among those
in the trichloroacetic acid groups than in the cryotherapy group (p < 0.05).
Additionally, hyperpigmentation, erythema, pain, and itching were more frequent
in the 25% trichloroacetic acid group than in the 10% trichloroacetic acid group
(p < 0.001), (p < 0.05), (p < 0.05), (p < 0.05). CONCLUSION: Ten percent
trichloroacetic acid, 25% trichloroacetic acid, and cryotherapy are effective
methods to treat Verruca plana. 10% trichloroacetic acid offers a safer and
easier treatment than either 25% trichloroacetic acid or cryotherapy.
PMID- 24938454
TI - Lichen striatus: after a bite by bumblebee.
AB - Lichen striatus (LS) is an uncommon dermatosis characterised by a linear
inflammatory papular eruption. It is frequently seen in children and rarely in
adults. We present in this study a 49-year-old female patient who was diagnosed
as LS, which occurs after a bite by bumblebee. As we know, it is the first case
of LS reported after a bite by bumblebee.
PMID- 24938455
TI - Gentamicin affects melanogenesis in normal human melanocytes.
AB - BACKGROUND: Aminoglycoside antibiotics, including gentamicin, despite their
ability to induce adverse effects on pigmented tissues, remain valuable and
sometimes indispensable for the treatment of various infections. It is known that
gentamicin binds to melanin biopolymers, but the relation between this drug
affinity to melanin and its toxicity is not well documented. The aim of this work
was to examine the impact of gentamicin on viability and melanogenesis in HEMa-LP
(light pigmented) and HEMn-DP (dark pigmented) normal human melanocytes.
METHODOLOGY/PRINCIPAL FINDINGS: The effect of gentamicin on cell viability was
determined by 4-[3-(4-iodophenyl)-2-(4-nitrophenyl)-2H-5-tetrazolio]-1,3-benzene
disulfonate (WST-1) assay; melanin content and tyrosinase activity were measured
spectrophotometrically. It has been demonstrated that gentamicin induces
concentration-dependent loss in melanocytes viability. The application of
antibiotic in concentration of 10 mM causes higher reduction in viability of the
light pigmented melanocytes (by about 74%) when compared with the dark pigmented
ones (by about 62%). The value of the concentration of a drug that produces loss
in cell viability by 50% (EC50) for both cell lines was found to be ~7.5 mM. It
has been shown that gentamicin causes inhibition of tyrosinase activity and
reduces melanin content in light pigmented melanocytes significantly more than in
the dark pigmented cells. CONCLUSION/SIGNIFICANCE: We have found that gentamicin
modulates melanization process in melanocytes in vitro, what may explain the
potential role of melanin biopolymer in the mechanisms of undesirable toxic
effects of this drug in vivo, as a result of its accumulation in pigmented
tissues. We have also stated that the melanogenesis process in light pigmented
melanocytes is more sensitive to the inhibitory effect of gentamicin than in the
dark pigmented cells.
PMID- 24938456
TI - Targeting macrophage subsets for infarct repair.
AB - Macrophages are involved in every cardiovascular disease and are an attractive
therapeutic target. Macrophage activation is complex and can be either beneficial
or deleterious, depending upon its mode of action, its timing, and its duration.
An important macrophage characteristic is its plasticity, which enables it to
switch from one subset to another. Macrophages, which regulate healing and repair
after myocardial infarction, have become a major target for both treatment and
diagnosis (theranostic). The aim of the present review is to describe the recent
discoveries related to targeting and modulating of macrophage function to improve
infarct repair. We will briefly review macrophage polarization, plasticity,
heterogeneity, their role in infarct repair, regeneration, and cross talk with
mesenchymal cells. Particularly, we will focus on the potential of macrophage
targeting in situ by liposomes. The ability to modulate macrophage function could
delineate pathways to reactivate the endogenous programs of myocardial
regeneration. This will eventually lead to development of small molecules or
biologics to enhance the endogenous programs of regeneration and repair.
PMID- 24938457
TI - Proprotein convertase subtilisin/kexin 9 inhibitors: an emerging lipid-lowering
therapy?
AB - Proprotein convertase subtilisin/kexin 9 (PCSK9) is part of the proteinase K
subfamily of subtilases and plays a key role in lipid metabolism. It increases
degradation of the low-density lipoprotein receptor (LDL-R), modulates
cholesterol metabolism and transport, and contributes to the production of
apolipoprotein B (apoB) in intestinal cells. Exogenous PCSK9 modifies the
activity of 3-hydroxy-3-methylglutaryl-coenzyme A reductase and acyl coenzyme
A:cholesterol acyltransferase and enhances secretion of chylomicrons by
modulating production of lipids and apoB-48. Statins increase PCSK9 messenger RNA
expression and attenuate the capacity to increase LDL-R levels. Therefore, the
inhibition of PCSK9 in combination with statins provides a promising approach for
lowering low-density lipoprotein cholesterol (LDL-C) concentrations. This review
will address new therapeutic strategies targeting PCSK9, including monoclonal
antibodies, antisense oligonucleotides, small interfering RNAs, and other small
molecule inhibitors. Further studies are still needed to determine the efficacy
and safety of the PCSK9 inhibitors not only to decrease LDL-C but also to
investigate the potential underlying mechanisms involved and to test whether
these compounds actually reduce cardiovascular end points and mortality.
PMID- 24938458
TI - Ginsenoside Rg3 inhibition of vasculogenic mimicry in pancreatic cancer through
downregulation of VE-cadherin/EphA2/MMP9/MMP2 expression.
AB - Ginsenoside Rg3 (Rg3), a trace tetracyclic triterpenoid saponin, is extracted
from ginseng and shown to have anticancer activity against several types of
cancers. This study explored the effect of Rg3 on pancreatic cancer vasculogenic
mimicry. Altered vasculogenic mimicry formation was assessed using
immunohistochemistry and PAS staining and associated with the expression of
vascular endothelial-cadherin (VE-cadherin), epithelial cell kinase (EphA2),
matrix metalloproteinase (MMP)-2 and MMP-9. The effect of Rg3 on the regulation
of pancreatic cancer vasculogenic mimicry was evaluated in vitro and in vivo. The
data showed vasculogenic mimicry in pancreatic cancer tissues. In addition, the
expression of VE-cadherin, EphA2, MMP-2 and MMP-9 proteins associated with
formation of pancreatic cancer vasculogenic mimicry. Rg3 treatment reduced the
levels of vasculogenic mimicry in nude mouse xenografts in vitro and in vivo,
while the expression of VE-cadherin, EphA2, MMP-2 and MMP-9 mRNA and proteins was
downregulated by Rg3 treatment in vitro and in tumor xenografts. In conclusion,
ginsenoside Rg3 effectively inhibited the formation of pancreatic cancer
vasculogenic mimicry by downregulating the expression of VE-cadherin, EphA2, MMP9
and MMP2. Further studies are required to evaluate ginsenoside Rg3 as an agent to
control pancreatic cancer.
PMID- 24938459
TI - Prevalence and incidence of hand eczema in adolescence: report from BAMSE--a
population-based birth cohort.
AB - BACKGROUND: BAMSE is a Swedish population-based birth cohort. OBJECTIVES: To
estimate prevalence proportions and the incidence rate of hand eczema in Swedish
adolescents, and to compare information given by adolescents and parents. Further
aims were to study sex distribution, age at onset and extension of hand eczema.
METHODS: At 16 years of age, 2927 adolescents were included in this study; both
adolescent and parental questionnaires were used, as well as clinical
examination. RESULTS: The 1-year prevalence of hand eczema was 5.2% (n = 152) and
4.0% (n = 116) (P < 0.03), and lifetime prevalence was 9.7% (n = 284) and 7.0% (n
= 206) (P < 0.01), respectively, when adolescents and parents reported. The
incidence rate was 573/100 000 person-years according to the adolescent report.
The level of agreement between adolescents and parents was fair for 1-year and
lifetime prevalence (kappa = 0.56 and kappa = 0.49, respectively). According to
the Hand Eczema Extent Score, 27.0% (n = 36) had moderate-to-severe hand eczema.
CONCLUSIONS: At the age of 16 years, the 1-year prevalence of hand eczema was
substantial, with an incidence rate of the same magnitude as in adults. Female
predominance was seen in adolescence. It is preferable that the occurrence of
hand eczema is reported by adolescents themselves, as they are the ones most
aware of their symptoms.
PMID- 24938460
TI - HRM and its effect on employee, organizational and financial outcomes in health
care organizations.
AB - BACKGROUND: One of the main goals of Human Resource Management (HRM) is to
increase the performance of organizations. However, few studies have explicitly
addressed the multidimensional character of performance and linked HR practices
to various outcome dimensions. This study therefore adds to the literature by
relating HR practices to three outcome dimensions: financial, organizational and
employee (HR) outcomes. Furthermore, we will analyze how HR practices influence
these outcome dimensions, focusing on the mediating role of job satisfaction.
METHODS: This study uses a unique dataset, based on the 'ActiZ Benchmark in
Healthcare', a benchmark study conducted in Dutch home care, nursing care and
care homes. Data from autumn 2010 to autumn 2011 were analyzed. In total, 162
organizations participated during this period (approximately 35% of all Dutch
care organizations). Employee data were collected using a questionnaire (61,061
individuals, response rate 42%). Clients were surveyed using the Client Quality
Index for long-term care, via stratified sampling. Financial outcomes were
collected using annual reports. SEM analyses were conducted to test the
hypotheses. RESULTS: It was found that HR practices are - directly or indirectly
linked to all three outcomes. The use of HR practices is related to improved
financial outcomes (measure: net margin), organizational outcomes (measure:
client satisfaction) and HR outcomes (measure: sickness absence). The impact of
HR practices on HR outcomes and organizational outcomes proved substantially
larger than their impact on financial outcomes. Furthermore, with respect to HR
and organizational outcomes, the hypotheses concerning the full mediating effect
of job satisfaction are confirmed. This is in line with the view that employee
attitudes are an important element in the 'black box' between HRM and
performance. CONCLUSION: The results underscore the importance of HRM in the
health care sector, especially for HR and organizational outcomes. Further
analyses of HRM in the health care sector will prove to be a productive endeavor
for both scholars and HR managers.
PMID- 24938462
TI - Enhanced carrier multiplication in engineered quasi-type-II quantum dots.
AB - One process limiting the performance of solar cells is rapid cooling
(thermalization) of hot carriers generated by higher-energy solar photons. In
principle, the thermalization losses can be reduced by converting the kinetic
energy of energetic carriers into additional electron-hole pairs via carrier
multiplication (CM). While being inefficient in bulk semiconductors this process
is enhanced in quantum dots, although not sufficiently high to considerably boost
the power output of practical devices. Here we demonstrate that thick-shell
PbSe/CdSe nanostructures can show almost a fourfold increase in the CM yield over
conventional PbSe quantum dots, accompanied by a considerable reduction of the CM
threshold. These structures enhance a valence-band CM channel due to effective
capture of energetic holes into long-lived shell-localized states. The attainment
of the regime of slowed cooling responsible for CM enhancement is indicated by
the development of shell-related emission in the visible observed simultaneously
with infrared emission from the core.
PMID- 24938463
TI - Disruption of the hormonal network and the enantioselectivity of bifenthrin in
trophoblast: maternal-fetal health risk of chiral pesticides.
AB - Endocrine-disrupting chemicals (EDCs) can interfere with normal hormone signaling
to increase health risks to the maternal-fetal system, yet few studies have been
conducted on the currently used chiral EDCs. This work tested the hypothesis that
pyrethroids could enantioselectively interfere with trophoblast cells. Cell
viability, hormone secretion, and steroidogenesis gene expression of a widely
used pyrethroid, bifenthrin (BF), were evaluated in vitro, and the interactions
of BF enantiomers with estrogen receptor (ER) were predicted. At low or
noncytotoxic concentrations, both progesterone and human chorionic gonadotropin
secretion were induced. The expression levels of progesterone receptor and human
leukocyte antigen G genes were significantly stimulated. The key regulators of
the hormonal cascade, GnRH type-I and its receptor, were both upregulated. The
expression levels of selected steroidogenic genes were also significantly
altered. Moreover, a consistent enantioselective interference of hormone
signaling was observed, and S-BF had greater effects than R-BF. Using molecular
docking, the enantioselective endocrine disruption of BF was predicted to be
partially due to enantiospecific ER binding affinity. Thus, BF could act through
ER to enantioselectively disturb the hormonal network in trophoblast cells. These
converging results suggest that the currently used chiral pesticides are of
significant concern with respect to maternal-fetal health.
PMID- 24938464
TI - Polymorphisms in the XRCC1 gene are associated with treatment response to
platinum chemotherapy in advanced non-small cell lung cancer patients based on
meta-analysis.
AB - X-ray repair cross complementing group 1(XRCC1) polymorphisms have been
implicated in interindividual variability of efficacy of platinum chemotherapy
for treating non-small cell lung cancer (NSCLC); however, results of different
studies have been inconsistent. We conducted a meta-analysis to investigate the
association between polymorphisms in the XRCC1 gene and response rate of platinum
chemotherapy in advanced NSCLC patients. Searches were performed on MEDLINE,
PubMed, EMBASE, Chinese Biological Medicine Database, China National Knowledge
Infrastructure, and Wangfang Data, covering all relevant studies published up to
August 1, 2012. Statistical analyses were performed using the Revman 5.0 and
STATA 10.0 software. Two polymorphisms, Arg399Gln (G>A) and Arg194Trp (C>T), were
investigated in 19 studies, involving 2152 advanced NSCLC patients. For XRCC1
Arg399Gln, patients carrying two G alleles had a significantly increased response
rate of platinum chemotherapy, when compared with those carrying the A allele
[odds ratio (OR) = 2.05, 95% confidence interval CI = 1.62-2.60 for GG vs GA+AA].
Similarly, the AA carriers had a 54% decreased response rate compared with the G
allele carriers (OR = 0.46, 95%CI = 0.30-0.70 for AA vs GA+GG). For XRCC1
Arg194Trp, patients carrying two C alleles had a 62% decreased response rate
compared with those carrying either one or two variant T alleles (OR = 0.38,
95%CI = 0.30-0.48 for CC vs CT+TT). However, although TT carriers had a better
response rate compared with the C allele carriers, the difference was not
significant (OR = 1.27, 95%CI = 0.92-1.77 for TT vs CC+CT). Based on this meta
analysis, we conclude that XRCC1 polymorphisms are associated with treatment
response to platinum chemotherapy in advanced NSCLC patients.
PMID- 24938465
TI - Homocysteine induces blood vessel global hypomethylation mediated by LOX-1.
AB - Homocysteine (Hcy) is an independent risk factor of atherosclerosis through its
involvement with the methionine cycle. In this study, we aimed to determine the
blood vessel global methylation rate in Hcy-induced atherosclerosis in
apolipoprotein-E-deficient (ApoE-/-) mice, and to explore the possible mechanism
of this change in endothelial cells. ApoE-/- mice were divided into a
hyperlipidemia (HLP) group, a hyperhomocysteinemia (HHcy) group, and an HHcy +
folate + vitamin B12 (HHcy+FA+VB) group. Wild-type C57BL/6J mice were prepared as
controls. Total Hcy, lipids, S-adenosylmethionine (SAM), and S
adenosylhomocysteine (SAH) contents in serum were measured with an automatic
biochemistry analyzer and high-performance liquid chromatography. Methylation of
B1 repetitive elements in blood vessels was tested using nested methylation
specific-polymerase chain reaction (nMS-PCR). Endothelial cells (ECs) were
pretreated with Hcy or by adding FA and VB. Lectin-like oxidized LDL receptor-1
(LOX-1) expressions were determined by quantitative PCR, Western blot, and nMS
PCR. The HHcy group displayed severe HLP and HHcy. SAM and SAH contents were also
elevated in the HHcy group compared with other groups. Methylation of B1
repetitive elements was significantly increased in the HHcy group (0.5050 +/-
0.0182) compared to the HLP (0.5158 +/- 0.0163) and control (0.5589 +/- 0.0236)
groups. mRNA and protein expressions of LOX-1 increased (0.2877 +/- 0.0341,
0.6090 +/- 0.0547), whereas methylation expression decreased (0.5527 +/- 0.0148)
after 100 MUM Hcy stimulation in ECs. In conclusion, Hcy-induced atherosclerosis
was closely associated with induced hypomethylation status in the blood vessel,
and this process was partially mediated by LOX-1 DNA methylation.
PMID- 24938466
TI - Inter-retrotransposon-amplified polymorphism markers for germplasm
characterization in Manihot esculenta (Euphorbiaceae).
AB - Manioc, Manihot esculenta, is economically important in many tropical and
subtropical countries. The genetic variability of the species has not been fully
explored, and new information may help expand its use. Molecular markers based on
retrotransposons have good potential for analysis of genetic diversity given
their abundance in the genome. Eight long terminal repeat retrotransposons were
selected for the development of inter-retrotransposon-amplified polymorphism
markers. To test these primers, we analyzed 32 varieties from Anori, 30 from
Manicore and 10 Mandiocabas from the Manioc Germplasm Bank at Embrapa Western
Amazonia. The six informative primer pairs yielded 20- 60 polymorphic bands,
averaging 92% polymorphism (51.7-98.4) and 0.37 heterozygosity (0.17 to 0.40),
with a Shannon information index of 0.54 (0.26-0.59). These markers can be used
to explore the genetic diversity of manioc.
PMID- 24938467
TI - Genetic variants of the endothelial NO synthase gene (eNOS) may confer increased
risk of sporadic congenital heart disease.
AB - The endothelial NO synthase (eNOS) enzyme is expressed during the early stages of
cardiogenesis and plays an important role in normal heart development. Genetic
variations of eNOS G894T have been shown to influence individual susceptibility
to some phenotypes of congenital heart disease (CHD) in different populations. We
conducted a case-control study comprised of 945 CHD patients and 972 non-CHD
individuals in a Chinese population. Two functional single nucleotide
polymorphisms (SNPs) (T-786C: rs2070744 and G894T: rs1799983) and one tagging SNP
(rs7830) were evaluated in our study, and we assessed their association with the
risk of CHD. Compared with the rs7830 CC/AC genotypes, the eNOS rs7830 AA
genotype showed a significantly increased risk of CHD (adjusted odds radio (OR) =
1.45, 95% confidence interval (CI = 1.13-1.85). A stratified analysis was
performed and showed that the association between the rs7830 AA genotype and CHD
risk was stronger in patients with perimembranous ventricular septal defects
(adjusted OR = 1.62, 95%CI = 1.20-2.20). Our results suggest that the eNOS rs7830
polymorphism may contribute to the susceptibility of sporadic CHD in a Chinese
population.
PMID- 24938468
TI - Implication of polymorphisms in DNA repair genes with an increased risk of
hepatocellular carcinoma.
AB - We explored the association between 4 XRCC1 (Arg194Trp and Arg399Gln) and XPD
(Asp312Asn and Lys751Gln) polymorphisms with the development and prognosis of
hepatocellular carcinoma (HCC). A total of 218 cases with HCC and 277 healthy
controls were included in the study. Genotyping of the XRCC1 (Arg194Trp and
Arg399Gln) and XPD (Asp312Asn and Lys751Gln) polymorphisms was performed in a 384
well plate format on the Sequenom MassARRAY platform. We found that individuals
with the XRCC1 399AA genotype had a higher risk of HCC compared with the GG
genotype (odds ratio, OR = 1.85, 95% confidence interval, CI = 1.03-3.23).
Similarly, individuals carrying the XPD 751GG genotype showed a greatly increased
risk of HCC (OR = 2.97, 95%CI = 126- 7.38). Cox regression analysis showed that
individuals carrying XPD 751Gln/Gln genotypes had a 0.30-fold increased risk of
death from HCC. These results suggest that polymorphisms in XRCC1 and XPD may
have functional significance in HCC.
PMID- 24938469
TI - High-sensitivity cardiac troponin T is a predictor of recurrent acute coronary
syndrome in patients with acute myocardial infarction.
AB - High-sensitivity cardiac troponin T is a useful tool for diagnosing myocardial
ischemia. However, its role in the prognosis of patients with acute myocardial
infarction has not been studied. Here, the prognostic value of high-sensitivity
cardiac troponin T for patients with acute myocardial infarction was
investigated. The concentrations of high-sensitivity cardiac troponin T, other
clinical chemistry makers, and living habits were investigated at the time of
admission in patients with acute coronary syndrome, whereas the high-sensitivity
cardiac troponin T concentrations at 6 h after admission and during recovery were
analyzed in other patient groups. The concentration of high-sensitivity cardiac
troponin T was significantly higher in patients with acute myocardial infarction
than in those with other cardiac diseases and in controls (P < 0.01). Based on
the standard diagnostic criterion, 134 patients were diagnosed with acute
myocardial infarction. Monitoring the change in concentration of high-sensitivity
cardiac troponin T in patients with acute coronary syndrome can reduce the risk
of recurrence and death.
PMID- 24938470
TI - Association of polymorphisms of the xeroderma pigmentosum complementation group F
gene with increased glioma risk.
AB - We aimed to investigate the role of 4 single nucleotide polymorphisms of the
xeroderma pigmentosum complementation group F (XPF) gene (rs3136038, rs1799798,
rs1800067, and rs2276466) in glioma, and the roles of gene-gene interactions in
the risk of developing this type of cancer. We collected samples from 225 glioma
cases and 262 controls and genotyped the rs3136038, rs1799798, rs1800067, and
rs2276466 polymorphisms using a 384-well plate format with the Sequenom MassARRAY
platform. Individuals carrying the rs1800067 GG genotype were more likely to have
an increased risk of glioma when compared with carriers of the A/A genotype in a
co-dominant model, with an odds ratio (OR) [95% confidence interval (CI)] of 2.85
(1.14-7.76). However, we did not find an association with increased risk of
glioma for the polymorphisms rs3136038, rs1799798, and rs2276466 in XPF. The
combination genotype of the rs1800067 G allele and the rs2276466 G allele was
associated with a moderate risk of glioma (OR = 1.71, 95%CI = 1.02-2.87). Our
study suggests that the rs1800067 genetic variant of XPF functions in the
development of glioma.
PMID- 24938471
TI - Effect of RNAi-mediated silencing of Livin gene on biological properties of colon
cancer cell line LoVo.
AB - This study aimed to investigate the effect of RNAi-mediated silencing of the
Livin gene on biological properties of the colon cancer cell line LoVo.
Interference vectors pSilencer4.1-Ll and pSilencer4.1-L2 targeting the Livin gene
were constructed and transfected into LoVo cells. The expression of the Livin
gene was determined by RT-PCR and Western blotting. The apoptosis, cell cycle,
colony formation, proliferation of LoVo cells, as well as their sensitivity to
cisplatin, were detected by flow cytometry, colony formation assay and MTT. Livin
mRNA and protein expression in LoVo cells could be effectively silenced by
pSilencer4.1-Ll but not pSilencer4.1-L2. In the pSilencer4.1-Ll transfection
group, the apoptosis rate of LoVo cells was significantly higher than in the
control group (24.2 +/- 3.2 vs 8.1 +/- 1.4%, P < 0.01), and after 72 h, cell
proliferation was clearly decreased (about 70% inhibition). Compared with the
control group, the colony formation rate in pSilencer4.1-Ll transfection group
was obviously decreased (15 +/- 4.6 vs 85 +/- 5.8%, P < 0.01), with increased
proportion of S phase cells (45.7 +/- 4.9 vs 28.0 +/- 3.0%, P < 0.01), decreased
proportion of G1 phase cells (43.0 +/- 5.2 vs 62.8 +/- 5.1%, P < 0.01), and
increased sensitivity to cisplatin (apoptosis rate increased from 43.4 +/- 6.9 to
65.3 +/- 6.2%, P < 0.01). pSilencer4.1-Ll can effectively silence Livin gene
expression in LoVo colon cancer cells, inhibit cell proliferation and colony
formation, induce apoptosis, and enhance sensitivity to cisplatin.
PMID- 24938472
TI - Multidrug-resistant genes of aminoglycoside-modifying enzymes and 16S rRNA
methylases in Acinetobacter baumannii strains.
AB - We examined the distribution of genes of aminoglycoside-modifying enzymes and 16S
rRNA methylases in multidrug-resistant Acinetobacter baumannii to explore the
association of these genes with drug resistance. Strains isolated from clinical
specimens were screened using an automatic microbial identification system, and 9
aminoglycoside-modifying enzyme and 6 16S rRNA methylase genes were analyzed
using polymerase chain reaction and verified by DNA sequencing. Next, sequence
alignment was carried out using the Chromas software and a susceptibility test
was performed using the Kirby-Bauer disk diffusion method. Genes encoding
aminoglycoside-modifying enzymes were detected in all 20 strains of multidrug
resistant A. baumannii. The positive rates of aac(3')-I, aac(6')-Ib, ant(3'')-I,
and aph(3')-I were 90.0, 90.0, 85.0, and 35.0%, respectively. However, genes
encoding 16S rRNA methylases were not positively detected in the 20 strains of
multidrug-resistant A. baumannii. The resistance of multidrug-resistant A.
baumannii may be associated with aminoglycoside-modifying enzyme genes but not
with 16S rRNA methylase genes.
PMID- 24938473
TI - Expression and enzymatic activity of phenylalanine ammonia-lyase and p-coumarate
3-hydroxylase in mango (Mangifera indica 'Ataulfo') during ripening.
AB - Phenylalanine ammonia lyase (PAL) and p-coumarate 3-hydroxylase (C3H) are key
enzymes in the phenylpropanoid pathway. The relative expression of PAL and C3H
was evaluated in mango fruit cultivar 'Ataulfo' in four ripening stages (RS1,
RS2, RS3, and RS4) by quantitative polymerase chain reaction. In addition, enzyme
activity of PAL and C3H was determined in mango fruits during ripening. The PAL
levels were downregulated at the RS2 and RS3 stages, while C3H levels were
upregulated in fruits only at RS3. The enzyme activity of PAL followed a pattern
that was different from that of the PAL expression, thus suggesting regulation at
several levels. For C3H, a regulation at the transcriptional level is suggested
because a similar pattern was revealed by its activity and transcript level. In
this study, the complexity of secondary metabolite biosynthesis regulation is
emphasized because PAL and C3H enzymes are involved in the biosynthesis of
several secondary metabolites that are active during all mango ripening stages.
PMID- 24938474
TI - Olfactory mucosal microstructural changes in a rat model of acute rhinosinusitis
with dysosmia.
AB - This study aimed to examine olfactory mucosal changes in a rat model of acute
rhinosinusitis and to determine how acute rhinosinusitis induces dysosmia. Total
100 rats were divided into the experimental (N = 80) and control (N = 20) groups.
An acute rhinosinusitis model was established in the experimental rats. From the
experimental group, 20 rats each were sacrificed at 1, 2, 3, or 4 weeks. The
control group was sacrificed at 1 week without inoculation. Olfaction was tested
by the buried food pellet test before killing the rats, and the duration until
the pellet was recorded and analyzed by a statistical software program. Mature
olfactory receptor neurons (ORNs) and olfactory ensheathing cells (OECs) were
examined. The durations spent by the experimental group in the BFPT were 402.9 +/
9.3, 453.7 +/- 7.3, 351.9 +/- 8.9, and 278.7 +/- 8.1 s at 1, 2, 3, and 4 weeks,
respectively. These durations were greater than that of the control group (178.3
+/- 6.6 s), and the difference was statistically significant (P < 0.01). A
reduction in ORNs and thinning of the epithelium were detected in the
experimental group, most notably in the 2nd week and less in the fourth week.
OECs were reduced in the 1st week, increased in the 2nd week, and almost
completely recovered in the fourth week. In addition, some growing OECs were
detected in the experimental group. Acute rhinosinusitis decreased both the
mature ORNs and OECs, but OECs increased faster than the ORNs.
PMID- 24938476
TI - Assessment of adolescent mental health and behavioral problems in institutional
care: discrepancies between staff-reported CBCL scores and adolescent-reported
YSR scores.
AB - For children residing in institutional settings, staff act as primary caregivers
and often provide assessment of child psychopathology. Minimal research exists on
how and when staff-caregivers are best positioned to report on youth mental
health. This study examines differences between 60 staff-reported and 60
adolescent-reported Child Behavioral Checklist/Youth Self-Report (CBCL/YSR)
scores in Jordanian care centers, and the associations between adolescent-staff
agreement, demographic characteristics and child-caregiver relationship factors.
Results indicated small to modest correlations between informant scores.
Additionally, staff-caregivers who know the child over 1 year and have a high
perceived fit are better able to approximate the adolescent's self-report of
psychopathology.
PMID- 24938475
TI - A GMP-compliant protocol to expand and transfect cancer patient T cells with mRNA
encoding a tumor-specific chimeric antigen receptor.
AB - Chimeric antigen receptors (CARs), which combine an antibody-derived binding
domain (single chain fragment variable) with T-cell-activating signaling domains,
have become a promising tool in the adoptive cellular therapy of cancer. Retro-
and lenti-viral transductions are currently the standard methods to equip T cells
with a CAR; permanent CAR expression, however, harbors several risks like
uncontrolled auto-reactivity. Modification of T cells by electroporation with CAR
encoding RNA to achieve transient expression likely circumvents these
difficulties. We here present a GMP-compliant protocol to activate and expand T
cells for clinical application. The protocol is optimized in particular to
produce CAR-modified T cells in clinically sufficient numbers under full GMP
compliance from late-stage cancer patients. This protocol allows the generation
of 6.7 * 10(8) CAR-expressing T cells from one patient leukapheresis. The CAR
engineered T cells produced pro-inflammatory cytokines after stimulation with
antigen-bearing tumor cells and lysed tumor cells in an antigen-specific manner.
This functional capacity was maintained after cryopreservation. Taken together,
we provide a clinically applicable protocol to transiently engineer sufficient
numbers of antigen-specific patient T cells for use in adoptive cell therapy of
cancer.
PMID- 24938477
TI - Fibre-induced feed sorting in King Quail (Coturnix chinensis): behavioural
plasticity elicited by a physiological challenge.
AB - We examined the effect of an abrupt change in diet fibre content on the feed
intake, gastrointestinal morphology and utilisation of gastroliths by a small
(ca. 40 g body mass) herbivorous bird, the King Quail (Coturnix chinensis). King
Quail were acclimated for 14 days on a low-fibre (LF) pullet starter diet.
Following acclimation, half the quail population was immediately switched to a
23% wood-shaving diluted high-fibre (HF) diet for a further 14 days. Contrary to
expectations, we found no differences in feed intake, gut morphology or
gastrolith mass between the LF- and HF-fed quail. However, when switched from the
LF to HF diet, the quail commenced feed-sorting behaviours that permitted HF-fed
animals to maintain body condition (mass, abdominal fat mass) without adjustments
to intestinal organ sizes or gastrolith mass. Feed sorting was initiated only
after exposure to the HF diet, which corresponded with an immediate reduction in
food intake, suggesting that the sorting behaviour was cued by a physiological
challenge associated with the HF diet. This challenge apparently induced
preferential sorting behaviour and was possibly due to abrupt changes in the rate
of food passage, impacting satiation or other internal cues.
PMID- 24938478
TI - Fabrication of well-ordered binary colloidal crystals with extended size ratios
for broadband reflectance.
AB - Binary colloidal crystals (BCCs) possess great potentials in tuning material
properties by controlling the size ratio of small to large colloidal spheres
(gammaS/L). In this paper, we present a method for the fabrication of BCCs with
much more extended size ratios than those obtained in conventional convective
self-assembly method. It is found that gammaS/L can be extended to 0.376 by
adding TEOS sol into the colloidal suspension. The resulting polystyrene/silica
(PS/SiO2) BCCs show distinctive reflections, indicating their well-ordered
structure. The extended size ratios render more flexibility in engineering the
photonic bandgap structures of BCCs and hence provide a better platform for
developing a range of applications such as photonics, spintronics, sensing and
bioseparation.
PMID- 24938479
TI - The hexosamine biosynthesis pathway and O-GlcNAcylation maintain insulin
stimulated PI3K-PKB phosphorylation and tumour cell growth after short-term
glucose deprivation.
AB - Glucose provides an essential nutrient source that supports glycolysis and the
hexosamine biosynthesis pathway (HBP) to maintain tumour cell growth and
survival. Here we investigated if short-term glucose deprivation specifically
modulates the phosphatidylinositol 3-kinase/protein kinase B (PI3K/PKB) cell
survival pathway. Insulin-stimulated PKB activation was strongly abrogated in the
absence of extracellular glucose as a consequence of the loss of insulin
stimulated PI3K activation and short-term glucose deprivation inhibited
subsequent tumour cell growth. Loss of insulin-stimulated PKB signalling and cell
growth was rescued by extracellular glucosamine and increased flux through the
HBP. Disruption of O-GlcNAc transferase activity, a terminal step in the HBP,
implicated O-GlcNAcylation in PKB signalling and cell growth. Glycogenolysis is
known to support cell survival during glucose deprivation, and in A549 lung
cancer cells its inhibition attenuates PKB activation which is rescued by
increased flux through the HBP. Our studies show that rerouting of glycolytic
metabolites to the HBP under glucose-restricted conditions maintains PI3K/PKB
signalling enabling cell survival and proliferation.
PMID- 24938480
TI - Longitudinal patterns of problematic computer game use among adolescents and
adults--a 2-year panel study.
AB - AIMS: To investigate the longitudinal patterns (stability and change) of
problematic computer game use and its interdependencies with psychosocial
wellbeing in different age groups. DESIGN: Three-wave, annual panel study using
computer-assisted telephone surveys. SETTING: Germany. PARTICIPANTS: A total of
112 adolescents aged between 14 and 18 years, 363 younger adults between 19-39
years and 427 adults aged 40 years and older (overall n = 902). MEASUREMENTS:
Problematic game use was measured with the Gaming Addiction Short Scale (GAS),
which covers seven criteria including salience, withdrawal and conflict.
Additionally, gaming behaviour and psychosocial wellbeing (social capital and
support, life satisfaction and success) were measured in all three panel waves.
FINDINGS: The generally low GAS scores were very stable in yearly intervals
[average autocorrelation across waves and age groups: r = 0.74, confidence
interval (CI) = 0.71, 0.77]. Only nine respondents (1%, CI = 0.5, 1.9)
consistently exhibited symptoms of problematic game use across all waves, while
no respondent could be classified consistently as being addicted according to the
GAS criteria. Changes in problematic gaming were not related consistently to
changes in psychosocial wellbeing, although some cross-lagged effects were
statistically significant in younger and older adult groups. CONCLUSIONS: Within
a 2-year time-frame, problematic use of computer games appears to be a less
stable behaviour than reported previously and not related systematically to
negative changes in the gamers' lives.
PMID- 24938481
TI - Psychomotor development following early treatment of severe infantile vitamin B12
deficiency and West syndrome--is everything fine? A case report and review of
literature.
AB - BACKGROUND: Severe infantile vitamin B12 deficiency is occasionally reported in
developed countries due to maternal nutritional deficiency. The clinical
manifestation comprises megaloblastic anemia and neurodevelopmental delay
culminating in demyelination and brain atrophy. Few case reports have documented
manifestation of West syndrome. PATIENT: We report the 8-year long-term follow-up
on a 6-month-old exclusively breast-fed girl with serious vitamin B12 deficiency
secondary to undiagnosed maternal pernicious anemia. MRI revealed cerebral
atrophy and delayed myelination. Strikingly, initial vitamin B12-mediated
improvement of neurological and hematological findings was followed by temporary
manifestation of infantile spasms requiring anticonvulsive therapy. RESULTS:
Seizures soon dissolved, EEG and MRI scan normalized and developmental catch-up
occurred. At the age of 8 years, the girl is symptom-free and visits primary
school illustrating remarkable recovery of severe neurodevelopmental delay and
symptomatic West syndrome. CONCLUSION: Infantile vitamin B12 deficiency has to be
considered in the differential diagnosis of mental retardation and infantile
spasms, especially if maternal nutritional deficiency might be suspected. Early
treatment seems to be crucial for the prevention of irreversible brain damage.
PMID- 24938482
TI - Single-nucleotide polymorphisms and reading frame shifts in RNA2 recombinant
regions of tobacco rattle virus isolates Slu24 and Deb57.
AB - Two previously sequenced tobacco rattle virus (TRV) isolates, Slu24 and Deb57,
from Polish potato fields have recombinant RNA2 species. The 3'-proximal region
of the Slu24 RNA2 is derived from the 3' terminus of its supporting RNA1, while
that of the Deb57 RNA2 is derived from the 3' terminus of the unrelated RNA1 from
the isolate SYM or PpK20. Gene structure annotation revealed open reading frames
encoding truncated 16-kDa proteins in the recombinant regions of the RNA2 of
Deb57 and Slu24. Reading frame shifts, single nucleotide substitutions and
deletions occurred during recombination, including shifts from a stop codon or
replacements of an internal stop codon. In the recombinant region of the Deb57
RNA2, the first reading frameshift event starts from the AUG start codon of the
truncated 16-kDa protein. The second frameshift event, caused by a single
nucleotide deletion upstream of the stop codon, leads to the splitting of the
stop codon into two amino acid codons and the continuation of translation. In
addition, a U-to-A substitution changes a potential internal stop codon UAA,
which is caused by recombination-related frame shifts, into the codon AAA,
encoding lysine. The replacement of this internal stop codon with an amino acid
codon prevented the premature translation termination of the truncated 16-kDa
protein. These recombination-related reading frame shifts are the driving force
underlying the major differences in the translated amino acids, consequently
leading to their translation into distinct polypeptides. Conversely, single
nucleotide substitutions in the recombinant regions of the RNA2 of Deb57 or Slu24
resulted in only minor changes in the translated amino acids.
PMID- 24938483
TI - Experimental infection of cattle and goats with a foot-and-mouth disease virus
isolate from the 2010 epidemic in Japan.
AB - In this study, we carried out experimental infections in cattle and goats using a
foot-and-mouth disease virus (FMDV) isolate from the 2010 epidemic in Japan to
analyze clinical manifestations, virus-shedding patterns and antibody responses
in the animals. We found that the FMDV O/JPN/2010 isolate is virulent in cattle
and goats, produces clinical signs, is spread efficiently by direct contact
within the same species, and is persistently infectious in cattle. Quantitative
analysis of levels of viral RNA in the tissues of cattle and goats infected with
the isolate showed that the pharyngeal region is an important major target of the
FMDV O/JPN/2010. Time course data of viral loads, excretion and transmission of
the FMDV O/JPN/2010 in this study are key in providing quantitative data
essential for epidemiological investigation and risk analysis in relation to
disease controls.
PMID- 24938484
TI - Simultaneous detection of groundnut rosette assistor virus (GRAV), groundnut
rosette virus (GRV) and satellite RNA (satRNA) in groundnuts using multiplex RT
PCR.
AB - Groundnut rosette disease (GRD) is the most devastating disease of groundnuts in
sub-Saharan Africa. The disease is caused by synergistic interactions between
viruses and virus-like pathogens: groundnut rosette assistor virus (GRAV),
groundnut rosette virus (GRV) and a satellite RNA (satRNA). The multi-pathogenic
nature of GRD requires efficient diagnostic systems for plant breeding and
pathology work. Currently, TAS-ELISA and RT-PCR are used to detect all three
pathogens. This approach is time-consuming, expensive and not easily amenable to
high throughput. A multiplex PCR-based approach was developed to detect all three
pathogens at once, reducing diagnostics costs and time by two thirds. The
technique is highly robust and amenable to high throughput, with sensitivity and
specificity values of 88 % and 100 %, respectively. The positive predictive value
for the technique is 100 %, and the negative predictive value is 90.6 %.
PMID- 24938485
TI - Complete genome sequence of enterobacteria phage 4MG, a new member of the
subgroup "PVP-SE1-like phage" of the "rV5-like viruses".
AB - A novel virulent enterobacteria phage, 4MG, which was isolated from soil near a
sewer, belongs to the family Myoviridae, as it possesses an isometric head and a
long contractile tail. The complete genome of 4MG consists of a double-stranded
DNA with a length of 148,567 bp, a G + C content of 46.3 %, 271 open reading
frames (ORFs), and 21 tRNAs. Bioinformatic analysis revealed that 4MG highly
resembles "rV5-like viruses" but can be separated, together with Salmonella phage
PVP-SE1 and Cronobacter sakazakii phage vB_CsaM_GAP31, as part of the subgroup
"PVP-SE1-like phage".
PMID- 24938486
TI - Detection and sequence analysis of two novel co-infecting double-strand RNA
mycoviruses in Ustilaginoidea virens.
AB - Four novel double-stranded RNA molecules, named dsRNA 1 (5124 bp), dsRNA 2(1711
bp), dsRNA 3 (1423 bp) and dsRNA 4 (855 bp), were detected in strain HNHS-1 of
Ustilaginoidea virens, the causal agent of rice false smut disease. Sequence
analysis showed that the dsRNA1 contains two overlapping open reading frames
(ORF) potentially encoding proteins with modest levels of sequence similarity to
the coat protein (CP) and putative RNA-dependent RNA polymerase (RdRp),
respectively, of viruses of the family Totiviridae. The deduced gene product of
the ORF encoded by dsRNA2 is homologous to putative RdRp of viruses in the family
Partitiviridae; the ORF encoded by dsRNA3 shares some similarity to a
hypothetical protein with unknown function. It is noteworthy that the dsRNA4
lacked integrated ORFs. Isomeric viral particles of about 40 nm in diameter were
observed by transmission electron microscopy in a mycelium tissue preparation of
strain HNHS-1-R1, a single-spore subculture of strain HNHS-1 containing only the
dsRNA1 segment. Phylogenetic analysis and examination of the organization of the
two putative RdRp sequences both indicated that there are at least two novel
virus species present in strain HNHS-1. We named the two novel viruses
Ustilaginoidea virens RNA virus 2 and Ustilaginoidea virens partitivirus 4,
respectively.
PMID- 24938487
TI - First report of isolation and molecular characterization of bubaline herpesvirus
1 (BuHV1) from Argentinean water buffaloes.
AB - Herpesviruses have mainly co-evolved with their hosts for millions of years.
However, bovine herpesvirus 1 (BoHV1) and related ruminant alphaherpesviruses
have been reported to cross the species barrier. Bubaline herpesvirus 1 (BuHV1)
is an alphaherpesvirus closely related to BoHV1 and BoHV5. According to the
serological cross-relationships between ruminant alphaherpesviruses, several
surveys have studied the occurrence of BoHV1-related virus infection in wild and
domestic ruminant species. Recent studies in Argentina showed an increase in
serological prevalence against BoHV1 related viruses in water buffaloes (Bubalus
bubalis) population. The aim of this study was to investigate the presence of
related ruminant alphaherpesvirus in the Argentinean water buffalo population.
BuHV1 was successfully isolated from 5 out of 225 buffaloes analyzed. One isolate
was obtained from nasal secretions, and the others were from vaginal swabs. The
buffaloes belonged to four different farms located in northeastern Argentina. The
isolates were characterized as alphaherpesvirus by direct immunofluorescence
using FITC-anti-BoHV1 IgG. Restriction analysis performed with BamHI and BstEII
on the complete genome showed differences between the isolates and those from
BoHV1 and BoHV5 subtypes. Phylogenetic analysis on both UL27 and US6 showed
similarity in tree topology. While three of the isolates grouped together with
sequences of BoHV5, two other isolates clustered separately. Genetic analysis of
eight concatenated sequences from all isolates and references strains showed high
nucleotide sequence identity between BuHV1 and BoHV5. While three of the isolates
clustered together with the BoHV5 reference strain, the last two isolates were
closely related to an Australian BuHV1 strain. To our knowledge, this is the
first report on the isolation and molecular characterization of BuHV1 in South
America. Phylogenetic analysis suggested that two different BuHV1 lineages
circulate in the Argentinean water buffalo population.
PMID- 24938488
TI - Urgineaglyceride A: a new monoacylglycerol from the Egyptian Drimia maritima
bulbs.
AB - One new compound, (2S)-1-O-(Z)-tetracos-6-enoate glycerol (1) named
urgineaglyceride A, along with six known compounds, 3,5,7,3',5'
pentahydroxydihydroflavonol (2), stigmasterol (3), (25S)-5beta-furostane-3beta
22alpha-26-triol (4), scillaridin A (5), (2S)-(+)-2-hydroxynaringenin-4'-O-beta-D
glucopyranoside (6) and quercetin-3'-O-beta-D-glucopyranoside (7), were isolated
from the EtOAc fraction of Drimia maritima (L.) Stearn bulbs. Their structures
were secured based on their IR, UV, 1D and 2D NMR data, in addition to HR-MS data
and comparison with the literature data. The isolated compounds were evaluated
for their in vitro growth inhibitory activity against A549 non-small cell lung
cancer (NSCLC), U373 glioblastoma (GBM) and PC-3 prostate cancer cell lines.
Compounds 2 and 3 displayed variable activities against the tested cancer cell
lines. Compound 2 was a selective inhibitor of the NSCLC cell line with an IC50
of 2.3 MUM, whereas 3 was selective against GBM with IC50 of 0.5 MUM and against
PC-3 with 2.0 MUM.
PMID- 24938489
TI - Automated synthesis of backbone protected peptides.
AB - The synthesis of peptides rich in aggregation prone sequences can be improved
with backbone protection. We report the automated introduction of backbone
protection to a peptide. This new method was applied in a fully-automated
synthesis, giving improved handling, quality and yield of several challenging
target sequences.
PMID- 24938490
TI - Efficient one-pair experimental system for spatial resolution demonstration of
prototype PET detectors.
AB - In the development of depth-of-interaction (DOI)-positron emission tomography
(PET) detectors, one of the important steps toward their practical use is an
evaluation of their imaging performance, such as the spatial resolution as
measured by use of a point source and a one-pair experimental system which
simulates actual PET geometries. The DOI-PET detectors have a broad field of view
providing good imaging performance compared with conventional detectors.
Therefore, evaluation including the region from the center to the periphery close
to the detector ring is required in an effort to show their advanced performance
regarding uniform spatial resolution. In this study, we aimed to develop and
evaluate an efficient one-pair experimental system for demonstration of the DOI
PET detector performance. For this purpose, we propose a one-pair experimental
system that can simulate an arbitrary ring diameter and acquire projection data
efficiently by skipping unnecessary combinations according to the position of the
point source. As a result, the proposed system and our measuring scheme could
significantly reduce the total measurement time, especially for a large ring size
such as that used in brain PET scanners and whole-body PET scanners. We used the
system to evaluate the X'tal cube PET detector with a 2-mm cubic crystal array
arranged in simulated PET geometries with ring diameters of 8.2 and 14.6 cm for
12 and 18 detector blocks, respectively. The results showed that a uniform
spatial resolution was achieved even in the peripheral region, and measurements
were obtained semi-automatically in a short time.
PMID- 24938491
TI - Colon and rectal cancer incidence and water trihalomethane concentrations in New
South Wales, Australia.
AB - BACKGROUND: There is evidence, although inconsistent, that long term exposure to
disinfection by products (DBPs) increases the risk of bowel cancer. No study has
been conducted in Australia to examine this association and due to difference in
the methods of disinfection the risk can vary across geographical regions and.
This study was conducted to analyse the association of trihalomethanes (THMs) in
water with colon and rectal cancer in NSW Australia. METHODS: Average yearly
concentrations of total and individual species of THMs were obtained for 50 local
government areas (LGAs). Indirectly-standardized incidence rates of colon and
rectal cancers in LGAs for the period 1995 to 2001 were regressed against mean
THM concentrations lagged five years, adjusting for socioeconomic status, high
risk drinking, smoking status, usual source of water and year of diagnosis,
including local and global random effects within a Bayesian framework. The
incidence rate ratios (IRRs) for an interquartile range (IQR) increase in THMs
were estimated. RESULTS: Using five year lag of exposure there was a positive
association between bromoform concentration and CRC in men (IRR = 1.025, 95% CI
1.010, 1.040) but not in women (IRR = 1.003, 95% CI 0.987, 1.018). The
association in men was mainly found in colon cancer with bromoform (IRR = 1.035,
95% CI 1.017, 1.053). There was no appreciable association of colorectal cancer
with other species of THMs. Sensitivity analyses did not materially change the
associations observed. CONCLUSION: A positive association was observed between
colon cancer and water bromoform concentrations in men. Given the potential
population impact of such an association, further research into the relationship
between THMs, particularly brominated species, and colorectal cancer is
warranted.
PMID- 24938492
TI - Aligning everyday life priorities with people's self-management support networks:
an exploration of the work and implementation of a needs-led telephone support
system.
AB - BACKGROUND: Recent initiatives to target the personal, social and clinical needs
of people with long-term health conditions have had limited impact within primary
care. Evidence of the importance of social networks to support people with long
term conditions points to the need for self-management approaches which align
personal circumstances with valued activities. The Patient-Led Assessment for
Network Support (PLANS) intervention is a needs-led assessment for patients to
prioritise their health and social needs and provide access to local community
services and activities. Exploring the work and practices of patients and
telephone workers are important for understanding and evaluating the workability
and implementation of new interventions. METHODS: Qualitative methods
(interviews, focus group, observations) were used to explore the experience of
PLANS from the perspectives of participants and the telephone support workers who
delivered it (as part of an RCT) and the reasons why the intervention worked or
not. Normalisation Process Theory (NPT) was used as a sensitising tool to
evaluate: the relevance of PLANS to patients (coherence); the processes of
engagement (cognitive participation); the work done for PLANS to happen
(collective action); the perceived benefits and costs of PLANS (reflexive
monitoring). 20 patients in the intervention arm of a clinical trial were
interviewed and their telephone support calls were recorded and a focus group
with 3 telephone support workers was conducted. RESULTS: Analysis of the
interviews, support calls and focus group identified three themes in relation to
the delivery and experience of PLANS. These are: formulation of 'health' in the
context of everyday life; trajectories and tipping points: disrupting everyday
routines; precarious trust in networks. The relevance of these themes are
considered using NPT constructs in terms of the work that is entailed in engaging
with PLANS, taking action, and who is implicated this process. CONCLUSIONS: PLANS
gives scope to align long-term condition management to everyday life priorities
and valued aspects of life. This approach can improve engagement with health
relevant practices by situating them within everyday contexts. This has potential
to increase utilisation of local resources with potential cost-saving benefits
for the NHS. TRIAL REGISTRATION: ISRCTN45433299.
PMID- 24938493
TI - The social determinants of health for people with type 1 diabetes that progress
to end-stage renal disease.
AB - OBJECTIVE: Self-management of type 1 diabetes over a lifetime is complex and
challenging even in the best of circumstances, and the social environment can be
a powerful determinant of health behaviours and outcomes. The aim of this study
was to identify how social determinants of health can impact on the capacity of
young people to manage their glycaemic control. METHODS: The findings emerged
from a constructivist grounded theory approach through an in-depth examination of
life course events that were recounted through qualitative interviews. The rich
descriptive detail obtained from this enquiry locates common experiences and the
context in which concordance with therapies occurs and health behaviours develop.
RESULTS: This qualitative study of young people with type 1 diabetes who have
developed end-stage renal disease demonstrates that there are many factors beyond
individual control that can contribute to health outcomes. The social
determinants of childhood environment, education, socio-economic status, gender
and the culture of public health can contribute to disengagement from treatment
regimens and the health-care system and to the development of microvascular
complications at a comparatively young age. CONCLUSION: These findings challenge
the assumptions of health-care practitioners about individual responsibility and
highlight the importance of considering how social determinants can shape lives,
behaviours and health.
PMID- 24938494
TI - Prevalence, patterns and correlates of alcohol consumption and its' association
with tobacco smoking among Sri Lankan adults: a cross-sectional study.
AB - BACKGROUND: Most studies on alcohol consumption carried out in Sri Lanka are
limited to single/few provinces in the island. The objective of this study was to
determine the prevalence, patterns and correlates of alcohol consumption among a
larger sample of adults in Sri Lanka. METHODS: This cross-sectional study was
conducted in seven of all nine provinces in Sri Lanka, between 2005 and 2006. A
nationally representative sample of 5000 adults aged >=18 years was selected
using multi-stage random cluster sampling. Data of 4532 participants were
collected using an interviewer administered questionnaire. Data analysis included
chi-squared test, multiple logistic regression analysis and Spearman correlation
using Stata/SE 10.0 (StataCorp LP., Texas, USA) software package. RESULTS: Males
were 40%; mean age was 46.1 years (+/-15.1). The overall, urban and rural
prevalence (95% CI) of current drinking was 23.7% (21.7 - 25.7), 29.5% (25.7 -
33.3) and 22.2% (19.8 - 24.7) respectively (p = 0.001). Current (M: 48.1%, F:
1.2%, p < 0.0001) and former (M: 21.4%, F: 0.7%, p < 0.0001) drinking was much
higher in males. The highest prevalence of drinking in males (58.9%) and females
(2.2%) was in the 30 - 39 and <20 year age groups respectively. Lowest prevalence
in men (24.6%) and women (0%) was in the >70 years age-group. Hazardous drinking
was seen in 5.2% of men and 0.02% of women. Male sex, urban living and current
smoking correlated with both current and hazardous drinking. Lower level of
education, and age >70 years positively correlated with hazardous drinking.
CONCLUSIONS: Alcohol is predominantly a problem in Sri Lankan males. In males,
both current and hazardous drinking positively correlated with urban living,
white collar occupation, Burgher ethnicity and current smoking. Hazardous
drinking positively correlated with lower level of education and older age. The
data shown here are useful in planning interventions simultaneously targeting
alcohol and tobacco.
PMID- 24938495
TI - Pharmacological evaluation and docking studies of alpha,beta-unsaturated carbonyl
based synthetic compounds as inhibitors of secretory phospholipase A2,
cyclooxygenases, lipoxygenase and proinflammatory cytokines.
AB - Arachidonic acid and its metabolites have generated high level of interest among
researchers due to their vital role in inflammation. The inhibition of enzymes
involved in arachidonic acid metabolism has been considered as synergistic anti
inflammatory effect. A series of novel alpha,beta-unsaturated carbonyl based
compounds were synthesized and evaluated for their inhibitory activity on
secretory phospholipase A2 (sPLA2), cyclooxygenases (COX), soybean lipoxygenase
(LOX) in addition to proinflammatory cytokines comprising IL-6 and TNF-alpha. Six
alpha,beta-unsaturated carbonyl based compounds (2, 3, 4, 12, 13 and 14)
exhibited strong inhibition of sPLA2 activity, with IC50 values in the range of
2.19-8.76 MUM. Nine compounds 1-4 and 10-14 displayed inhibition of COX-1 with
IC50 values ranging from 0.37 to 1.77 MUM (lower than that of reference
compound), whereas compounds 2, 10, 13 and 14 strongly inhibited the COX-2. The
compounds 10-14 exhibited strong inhibitory activity against LOX enzyme. All
compounds were evaluated for the inhibitory activities against LPS-induced TNF
alpha and IL-6 release in the macrophages. On the basis of screening results,
five active compounds 3, 4, 12, 13 and 14 were found strong inhibitors of TNF
alpha and IL-6 release in a dose-dependent manner. Molecular docking experiments
were performed to clarify the molecular aspects of the observed COX and LOX
inhibitory activities of the investigated compounds. Present findings increases
the possibility that these alpha,beta-unsaturated carbonyl based compounds might
serve as beneficial starting point for the design and development of improved
anti-inflammatory agents.
PMID- 24938496
TI - Design and synthesis of 5-[(2-chloro-6-fluorophenyl)acetylamino]-3-(4
fluorophenyl)-4-(4-pyrimidinyl)isoxazole (AKP-001), a novel inhibitor of p38 MAP
kinase with reduced side effects based on the antedrug concept.
AB - Inhibitors of p38 mitogen-activated protein (MAP) kinase, which are closely
involved in the production of inflammatory cytokines, are considered promising
curative drugs for chronic inflammatory disorders. However, there is also a
growing concern regarding its systemic side effects. To reduce the occurrence of
side effects, we have identified a novel p38 MAP kinase inhibitor that shows
properties of an antedrug, which imparts its effect solely on the inflammatory
site and is metabolically inactivated right after. We have designed isoxazole
derivatives through the addition of a fresh interacting fourth site to the
structure of the prototypical p38 MAP kinase inhibitor that harbors three point
interactive sites. The derivative 26d (AKP-001) shows excellent p38 MAP kinase
inhibitory activity and a high selectivity for various kinases. Its rapid
metabolism has been confirmed in rats. Moreover, 26d has been shown to be
effective in animal models of inflammatory bowel disease.
PMID- 24938497
TI - Regio- and stereoselective hydroxylation of 10-undecenoic acid with a light
driven P450 BM3 biocatalyst yielding a valuable synthon for natural product
synthesis.
AB - We report herein the selective hydroxylation of 10-undecenoic acid with a light
activated hybrid P450 BM3 enzyme. Under previously developed photocatalytic
reaction conditions, only a monohydroxylated product is detected by gas
chromatography. Hydroxylation occurs exclusively at the allylic position as
confirmed from a synthesized authentic standard. Investigation into the
stereochemistry of the reaction indicates that the R enantiomer is obtained in
85% ee. The (R)-9-hydroxy-10-undecenoic acid obtained enzymatically is a valuable
synthon en route to various natural products further expanding the light
activated P450 BM3 biocatalysis and highlighting the advantages over traditional
methods.
PMID- 24938498
TI - Serum level and immunohistochemical expression of vascular endothelial growth
factor for the prediction of postoperative recurrence in renal cell carcinoma.
AB - BACKGROUND: Vascular endothelial growth factor (VEGF) plays a major role in
angiogenesis. One of the functions of VEGF is to regulate neovascularization in
clear cell renal cell carcinoma (CCRCC). The objective of our study was to
examine whether before nephrectomy serum levels of VEGF or expression of VEGF
using immunohistochemistry (IHC) could predict postoperative recurrence in
nonmetastatic CCRCC. RESULTS: Twelve patients (14.5%) had recurrence during a
mean follow-up of 52.6 +/- 31.2 months. The serum VEGF level was significantly
higher in patients with recurrence than in those without recurrence (P = 0.038).
High serum VEGF levels were above 416 pg/mL; this value was chosen based on a
receiver operating characteristic analysis. The recurrence-free survival rate in
patients with a high serum VEGF level was significantly lower than in those with
a low serum VEGF level (P = 0.003). In total, tumors from 26 patients (31.3%)
showed overexpression of VEGF using IHC. The recurrence-free survival rate in the
IHC-positive group was significantly lower than that in the IHC-negative group (P
= 0.044). Multivariate analysis indicated that preoperative serum VEGF levels (P
= 0.013) and female gender (P = 0.004) were independent predictors of
postoperative recurrence in nonmetastatic CCRCC. CONCLUSIONS: Preoperative serum
VEGF levels is a useful predictor compared with IHC analysis of VEGF of
postoperative recurrence in nonmetastatic CCRCC.
PMID- 24938499
TI - CHF5074 protects SH-SY5Y human neuronal-like cells from amyloidbeta 25-35 and
tumor necrosis factor related apoptosis inducing ligand toxicity in vitro.
AB - Alzheimer's disease (AD) is contributed by multiple pathogenic causes. The
anomalous protein amyloid-beta (Abeta) is regarded as a pivotal factor in AD, and
originates from enzymatic cleavage of a precursor protein by the secretase
family. 1-(3',4'-Dichloro-2-fluoro[1,1'-biphenyl]-4-yl)-cyclopropanecarboxylic
acid (CHF5074) is a non-steroidal antiinflammatory derivative able to inhibit
Abeta deposition in the brain of transgenic mouse models of AD. The proapoptotic
cytokine TRAIL has been reported to mediate Abeta-dependent neurotoxicity. Here,
the effects of CHF5074 on Abeta25-35- triggered TRAIL toxicity were evaluated in
the differentiated human neuroblastoma cell line SH-SY5Y in vitro. Cells were pre
treated 1h with CHF5074 at graded concentrations (range: 1 nM-1 uM) and then
challenged for 72 h with either Abeta25-35 or TRAIL. Results show that CHF5074
treatment prevented apoptotic death in SH-SY5Y cell line in a concentration-
dependent fashion. Its maximally active concentration was 10 nM. Then,
investigation of related molecular mechanisms underlying such protective effect
of CHF5074 suggested that the levels of caspases, as well as of various kinases,
including stress and MAP kinases, are modulated by CHF5074. Finally, treatment of
injured human neuroblastoma cell line SH-SY5Y with CHF5074 resulted in prominent
protection from apoptotic death. The bulk of these data suggest that CHF5074
represents a potential candidate for pharmacological neuroprotective treatment in
neurodegenerative disorders.
PMID- 24938501
TI - Depression and anxiety levels increase chronic musculoskeletal pain in patients
with Alzheimer's disease.
AB - BACKGROUND/OBJECTIVES: During the next decades a rapid increase is expected in
the number of patients with dementia suffering from pain who often take less
medication compared to normal elderly, due to several diagnostic barriers.
Comorbid mood disorders result in great difficulties in pain assessment and
further treatment. DESIGN: Twenty five patients with Alzheimer's disease,
comorbid mood disorders, and chronic musculoskeletal pain (experimental group)
and thirty one patients with Alzheimer's disease and chronic musculoskeletal pain
without comorbid mood disorders (control group) were examined. The assessment
tools used were Geriatric Pain Measure, Patient Health Questionnaire, Pain
Assessment in Advanced Dementia, Mini Mental State Examination and Pain Anxiety
Symptom Scale. Statistical analysis was performed by SPSS v17.0, using the
Pearson correlation and the multiple linear regression analysis. RESULTS: The
correlation between mood disorders and levels of pain intensity in the
experimental group was found to be statistically higher than that in the control
group (p<.001). Among all quantitative variables, highly significant correlation
(p<.001) was observed between stress and depression symptomatology (r =.550,
p<.001) in the experimental group. Normal regression analysis was used to assess
possible differences between demographic data and PASS scores. Scores in fearful
thinking and physiological responses scales of PASS were higher in female than
male (p=.014), whereas scores in the cognitive anxiety scale of PASS have shown a
highly significant positive correlation with years of education (p<.001).
DISCUSSION: It seems that depression and anxiety are associated with chronic
musculoskeletal pain intensity in dementia, thus need to be taken into
consideration by health professionals for patient's management.
PMID- 24938502
TI - Rivastigmine transdermal patch and physical exercises for Alzheimer's disease: a
randomized clinical trial.
AB - OBJECTIVE: To determine the effects of rivastigmine patch associated with
physical exercise versus rivastigmine patch alone in quality of life (QOL),
cognition, activities of daily living (ADL) and functional mobility in
Alzheimer's disease (AD)subjects. METHODS: A randomized, controlled, single
blinded trial was conducted in 40 patients with mild to moderate stages of AD.
All patients were daily treated with rivastigmine transdermal patch at a stable
dose of 4.6 mg and randomized into two groups: physical exercises or control. The
exercise program consisted of aerobic, flexibility, strength and balance
movements, twice a week for 6 months. Main outcomes were Quality of Life in
Alzheimer's disease scale (QOL), Activities of Daily Living Questionnaire (ADL),
Mini-Mental State Examination (MMSE) and "Time Up and Go Test". RESULTS: Thirty
four patients completed the study. After 6 months, there was a significant
improvement in QOL of patients randomized to physical exercise group (P< 0.05).
In both groups, there was an improvement on caregivers QOL (P>0.05). When
considering cognitive functions, there was no difference between groups. The
ability to perform ADL worsened in the group enrolled to RTP alone. There was an
improvement in functional mobility in the group treated with RTP. CONCLUSION: Our
results suggest that the association between physical exercises and RTP improves
QOL in patients with AD. Cognition remained unchanged in both groups. Regarding
the effect of physical exercises in ADL, further trials are necessary to confirm
these results.
PMID- 24938503
TI - Association of SORL1 gene variants with hippocampal and cerebral atrophy and
Alzheimer's disease.
AB - BACKGROUND: Sortilin-related receptor, Sorl1, is a neuronal receptor that
interacts with the amyloid precursor protein to regulate amyloidogenesis.
Variants in the gene encoding Sorl1 are associated with Alzheimer's disease (AD),
as well as its neuroimaging markers. OBJECTIVES: To investigate the relationship
between SORL1 gene variants with ADrelated brain morphologies and AD, testing for
sex-specific effects. METHODS: The sample comprised 292 individuals aged >= 75
years participating in the longitudinal Sydney Older Persons Study. A sub-sample
also underwent a brain MRI scan (n=102, 53 males; 49 females). The relationships
of three SORL1 single nucleotide polymorphisms (SNPs): rs4935774, rs2298813,
rs1133174 with brain MRI measures, and AD were determined. RESULTS: Significant
associations of SORL1 variants with cross-sectional brain MRI measures and AD
were observed only when the sample was stratified by sex. The most common
haplotype (H1), comprising rs4935774-T, rs2298813-G, and rs1133174-G alleles
(T/G/G) was associated with whole brain atrophy in both males and females
(p=0.012 & p=0.013; respectively). Only SNP rs1133174 was individually associated
with hippocampal atrophy in males (p= 0.039) and females (p=0.025). Of the 292
participants, 111 had either probable or possible AD. A significant association
of H1 with AD (p = 0.017) was observed in females. A nominally significant
association of SNP rs1133174 with AD (p = 0.051) was also observed in the whole
cohort. CONCLUSION: The results provide evidence that the association of
polymophisms in the sortilin-related receptor gene (SORL1) with AD and its MRI
biomarkers of brain and hippocampal atrophy are moderated by sex.
PMID- 24938500
TI - Biomarkers of Alzheimer's disease risk in peripheral tissues; focus on buccal
cells.
AB - Alzheimer's disease (AD) is a progressive degenerative disorder of the brain and
is the most common form of dementia. To-date no simple, inexpensive and minimally
invasive procedure is available to confirm with certainty the early diagnosis of
AD prior to the manifestations of symptoms characteristic of the disease.
Therefore, if population screening of individuals is to be performed, more
suitable, easily accessible tissues would need to be used for a diagnostic test
that would identify those who exhibit cellular pathology indicative of mild
cognitive impairment (MCI) and AD risk so that they can be prioritized for
primary prevention. This need for minimally invasive tests could be achieved by
targeting surrogate tissues, since it is now well recognized that AD is not only
a disorder restricted to pathology and biomarkers within the brain. Human buccal
cells for instance are accessible in a minimally invasive manner, and exhibit
cytological and nuclear morphologies that may be indicative of accelerated ageing
or neurodegenerative disorders such as AD. However, to our knowledge there is no
review available in the literature covering the biology of buccal cells and their
applications in AD biomarker research. Therefore, the aim of this review is to
summarize some of the main findings of biomarkers reported for AD in peripheral
tissues, with a further focus on the rationale for the use of the buccal mucosa
(BM) for biomarkers of AD and the evidence to date of changes exhibited in buccal
cells with AD.
PMID- 24938505
TI - Shaping thin nematic films with competing boundary conditions.
AB - Free interfaces of liquid crystals tend to minimise both capillarity and
anchoring forces. Here we study nematic films in planar and radial geometries
with antagonistic anchoring boundary conditions and one deformable interface.
Assuming a perturbation ansatz we study possible couplings of the director
configuration with the shape of free interfaces. In the long-wavelength limit
independent of the surface tension, we find analytically the threshold thickness
when the flat film becomes unstable. Next we quantify the bifurcation of a
circular ring towards structures with m-fold rotational symmetry, induced by
elastic anisotropy of the nematic director in the bulk. We believe that our
simplified approach can give additional insight into elastic and capillary
phenomena of materials with inherent liquid crystalline order and free
interfaces.
PMID- 24938504
TI - Does an interdisciplinary network improve dementia care? Results from the IDemUck
study.
AB - BACKGROUND: Most persons with dementia live at home and are treated in the
primary care. However, the ambulatory health care system in Germany contains a
lot of "interface problems" and is not optimized for the future challenges.
Innovative concepts like regional networks in dementia care exist on a project
level and need to be tested for efficacy to encourage implementation. The goal of
the study is the scientific evaluation of an already existing regional dementia
network. METHODS: Prospective randomized controlled trial of 235 community-living
elderly with dementia and their family caregivers of network treatment (n=117)
compared to usual care (n=118) in a predominantly rural region. The allocation to
intervention or control group was based on network membership of their General
Practitioner. Intervention patients received diagnostic evaluation and subsequent
treatment according to network guidelines. Main outcome measures were the early
contact with a neurologic or psychiatric specialist and dementia-specific
medication as well as quality of life of the patients, and as secondary outcomes
caregiver burden and caregiver health-related quality of life. RESULTS: Network
patients were more likely to receive antidementive drugs (50.5 % vs. 35.8 %;
p=0.035) and had more often contact to a neurologist (18.6 % vs. 2.8 %; p<0.001).
No group differences were found on patient's quality of life nor overall effects
or treatment by time effects. Intervention caregivers reported no significant
improvements in health related quality of life measured by SF-36 and EQ-5D.
CONCLUSION: The management of dementia patients in an interdisciplinary regional
network solelyprovides measurable advantages with respect to the provision of
dementia-specific medication and utilization of medical treatment i.e. referral
rates to specialists. Further evaluation research is needed to identify relevant
mechanismsof collaborative processes with respect to their impact on patient and
caregiver related outcomes.
PMID- 24938506
TI - Hemoglobin and hip fracture risk in older non-Hispanic white adults.
AB - SUMMARY: The relationship between hemoglobin and hip fracture was examined in
older non-Hispanic white adults from the third National Health and Nutrition
Examination Survey (NHANES III). Both low and high hemoglobin values were
associated with increased hip fracture risk before and after adjusting for
selected risk factors. INTRODUCTION: The few studies to date that have examined
the relationship between hemoglobin and fracture risk have focused on low
hemoglobin values. The present study examined hip fracture risk across the
hemoglobin distribution in older non-Hispanic white adults from the third
National Health and Nutrition Examination Survey (NHANES III, 1988-1994).
METHODS: Hemoglobin was measured using a Coulter S-plus Jr.(r) (Coulter
Electronics, Hialeah, FL) in 2,122 non-Hispanic whites age 65 years and older.
Hip fracture cases were identified using linked Medicare and mortality records
obtained through 2007. Cox proportional hazards models were used to assess the
best-fitting model and to estimate the hazards ratio (HR) for hip fracture by
hemoglobin decile before and after adjusting for selected confounders. RESULTS:
There were 239 hip fracture cases in the analytic sample. The best fitting model
was quadratic. When compared to values in the middle of the distribution, those
with hemoglobin in the lowest and highest deciles had increased hip fracture risk
(HRlowest decile = 2.96, 95 % confidence interval (CI) 1.44-6.08; HRhighest
decile = 2.06, 95 % CI 1.09-3.92) after adjusting for age and sex. Both HRs
remained significant after adjusting for additional confounders (HRlowest decile
= 2.24, 95 % CI 1.09-3.92; HRhighest decile = 2.37, 95 % CI 1.35-4.16).
CONCLUSIONS: Both low and high hemoglobin values were associated with increased
hip fracture risk. The mechanism underlying the relationship is not clear, but
there were some suggestions that it may differ for low versus high hemoglobin.
PMID- 24938507
TI - EM for phylogenetic topology reconstruction on nonhomogeneous data.
AB - BACKGROUND: The reconstruction of the phylogenetic tree topology of four taxa is,
still nowadays, one of the main challenges in phylogenetics. Its difficulties lie
in considering not too restrictive evolutionary models, and correctly dealing
with the long-branch attraction problem. The correct reconstruction of 4-taxon
trees is crucial for making quartet-based methods work and being able to recover
large phylogenies. METHODS: We adapt the well known expectation-maximization
algorithm to evolutionary Markov models on phylogenetic 4-taxon trees. We then
use this algorithm to estimate the substitution parameters, compute the
corresponding likelihood, and to infer the most likely quartet. RESULTS: In this
paper we consider an expectation-maximization method for maximizing the
likelihood of (time nonhomogeneous) evolutionary Markov models on trees. We study
its success on reconstructing 4-taxon topologies and its performance as input
method in quartet-based phylogenetic reconstruction methods such as QFIT and
QuartetSuite. Our results show that the method proposed here outperforms neighbor
joining and the usual (time-homogeneous continuous-time) maximum likelihood
methods on 4-leaved trees with among-lineage instantaneous rate heterogeneity,
and perform similarly to usual continuous-time maximum-likelihood when data
satisfies the assumptions of both methods. CONCLUSIONS: The method presented in
this paper is well suited for reconstructing the topology of any number of taxa
via quartet-based methods and is highly accurate, specially regarding largely
divergent trees and time nonhomogeneous data.
PMID- 24938510
TI - Dermal exposure from transfer of lubricants and fuels by consumers.
AB - Consumer uses of fuels and lubricants in Europe are subject to the Registration,
Evaluation, Authorization and restriction of CHemicals (REACH) legislation. Ten
volunteers completed a series of exposure situations to simulate filling a
vehicle fuel tank with diesel (ES1 Diesel), adding lubricant to a car engine (two
situations, one filling point easier to reach (ES2 Easy) than the other (ES3
Hard)) and lubricating a bicycle chain (ES4 Bike). Dermal exposure to the hands
and forearms was assessed using a wipe sampling method. A high proportion of
samples was less than the limit of detection (ES1=38%, ES3=60%, ES2 and 4, both
78%). In ES1 Diesel, dermal exposure to the hands and forearms ranged from <0.25
MUg/cm(2) to 96.21 MUg/cm(2). Significantly higher dermal exposure was observed
when a lower level of care was taken to complete the task. In ES2 Easy and ES3
Hard, the hand and forearm results ranged from <0.1 MUg/cm(2) to 3.33 MUg/cm(2)
and from <0.1 MUg/cm(2) to 3.54 MUg/cm(2), respectively. In ES4 Bike, the hand
and forearm exposures ranged from <0.35 MUg/cm(2) to 5.25 MUg/cm(2). Not all
volunteers fully complied with the ES4 instructions, thus highlighting that this
situation may have more variability in consumer behaviour. The ratio of the
amount measured on the hands and forearms to the amount of product handled for
ES1 Diesel, ES2 Easy and ES3 Hard was less than 0.0001%, for ES4 Bike it was
0.04%. Mixed effect models showed that the between and within volunteer
variations are small for all except ES1 Diesel, where the within volunteer
variation was relatively large (likely due to the few high measurements). This
study reports dermal exposure measurement data, which will be of value when
updating REACH and other exposure assessments for these, and similar, petroleum
products.
PMID- 24938509
TI - Renal tubular dysfunction increases mortality in the Japanese general population
living in cadmium non-polluted areas.
AB - The aim of this study was to establish the cause-effect relationship between
renal tubular dysfunction and mortality. A 19-year cohort study was conducted in
900 men and 1313 women in 1993 or 1994 who lived in two cadmium non-polluted
areas in Japan. Hazard ratio (HR) and 95% confidence interval (95% CI) of urinary
beta2-microglobulin (beta2-MG) and N-acetyl-beta-glucosaminidase (NAG) for
mortality were calculated using a proportional hazard regression. Forward
stepwise model selection was applied to the potential covariates such as age,
body mass index, mean arterial pressure, various lifestyle factors and present
illness. Simultaneously, the dose-effect relationship between renal tubular
markers and urinary cadmium at baseline was evaluated using multiple regression
analyses. In men, HR was significant for beta2-MG (HR corresponding to an
increase of 100 MUg/g cre: 1.02) and NAG (HR corresponding to an increase of 1
IU/g cre: 1.05). In women, a significant HR was observed for beta2-MG (HR
corresponding to an increase of 100 MUg/g cre: 1.01) and NAG (HR corresponding to
an increase of 1 IU/g cre: 1.02). Dose-effect relationships were significant for
urinary cadmium and all renal tubular markers in men and women. The present study
indicated that renal tubular dysfunction was significantly related to mortality
in the general population of cadmium non-polluted areas in Japan.
PMID- 24938508
TI - Ambient polycyclic aromatic hydrocarbons and pulmonary function in children.
AB - Few studies have examined the relationship between ambient polycyclic aromatic
hydrocarbons (PAHs) and pulmonary function in children. Major sources include
vehicular emissions, home heating, wildland fires, agricultural burning, and
power plants. PAHs are an important component of fine particulate matter that has
been linked to respiratory health. This cross-sectional study examines the
relationship between estimated individual exposures to the sum of PAHs with 4, 5,
or 6 rings (PAH456) and pulmonary function tests (forced expiratory volume in one
second (FEV1) and forced expiratory flow between 25% and 75% of vital capacity)
in asthmatic and non-asthmatic children. We applied land-use regression to
estimate individual exposures to ambient PAHs for averaging periods ranging from
1 week to 1 year. We used linear regression to estimate the relationship between
exposure to PAH456 with pre- and postbronchodilator pulmonary function tests in
children in Fresno, California (N=297). Among non-asthmatics, there was a
statistically significant association between PAH456 during the previous 3
months, 6 months, and 1 year and postbronchodilator FEV1. The magnitude of the
association increased with the length of the averaging period ranging from 60 to
110 ml decrease in FEV1 for each 1 ng/m(3) increase in PAH456. There were no
associations with PAH456 observed among asthmatic children. We identified an
association between annual PAHs and chronic pulmonary function in children
without asthma. Additional studies are needed to further explore the association
between exposure to PAHs and pulmonary function, especially with regard to
differential effects between asthmatic and non-asthmatic children.
PMID- 24938512
TI - [Qualitative analysis of the integration of sex education for young people in
audio-visual media in Kinshasa Democratic Republic of Congo].
PMID- 24938511
TI - Physiological and perceived health effects from daily changes in air pollution
and weather among persons with heart failure: a panel study.
AB - We carried out this daily diary panel study in Montreal, Quebec, to determine
whether oxygen saturation, pulse rate, blood pressure, self-rated health, and
shortness of breath at night were associated with concentrations of indoor carbon
monoxide (CO), and indoor and outdoor fine particles (PM2.5), temperature, and
relative humidity. Over a 2-month consecutive period between 2008 and 2010, we
measured daily indoor and outdoor levels of the air pollutants and weather
variables and 55 subjects measured their daily health and other variables. To
estimate the associations between the health outcomes and the environmental
exposures, we used a mixed effects regression model using an autoregressive model
of order-one and we adjusted for month and day and personal variables. The
general pattern of associations can be summarized as follows: oxygen saturation
was reduced for increases in indoor- and outdoor-PM2.5 and temperature. Pulse
rate increased on the concurrent day for increases in indoor CO and PM2.5.
Diastolic blood pressure increased with increasing indoor and outdoor PM2.5 and
relative humidity. Systolic blood pressure increased with indoor PM2.5 and
decreased with increasing indoor and outdoor temperature. Self-rated health
diminished with increases in outdoor PM2.5 and indoor and outdoor temperature.
Self-reported shortness of breath at night increased with increasing indoor and
outdoor temperatures. Health in heart failure is affected in the short term by
personal and environmental conditions that are manifest in intermediate
physiological parameters.
PMID- 24938513
TI - A call for an urgent ban on E-cigarettes in India--a race against time.
AB - Being the second largest consumer of tobacco in the world and with more than 65%
of its population below the age of 35, India would face electronic cigarettes
(ECs) as an enormous public health challenge in future. In the absence of
established facilities for tobacco cessation in the country, ECs may provide an
additional opportunity for the industry to project itself as a harm-reduction
crusader. Regulating ECs as tobacco products or as drugs is not a prudent option
in the Indian context. Banning ECs seems to be the most plausible approach at
present. However, in the long run, India should be open to new research. More
significantly, policy makers in India should be wary of the lead time before a
ban is implemented-a shorter intervening period could ensure that a well
established, better politically connected and more defiant EC industry,
aggressively promoting ECs to Indian youth, never becomes a reality.
PMID- 24938514
TI - Establishing a disability-inclusive agenda for sustainable development in 2015
and beyond.
AB - Disability was omitted from the Millennium Declaration and eight Millennium
Development Goals. As a result, individuals with disabilities and their
advocates, health-related agencies and major United Nations (UN) groups
collaborated to explicate the rights and needs of persons with disabilities. A
community empowerment approach was guided by the Convention on the Rights of
Persons with Disabilities, input from expert groups, public meetings held across
the globe, questionnaires sent to civil society representatives, and rich online
conversation. Persons with disabilities have the right to health, education,
gainful employment, social protection, and participation in political and public
life. Selected results from The World We Want consultation for the topic of
'Inequalities and a disability-inclusive agenda' illustrate the potential of
reaching consensus among a broad array of constituents, informing decisions about
policy and practice.
PMID- 24938515
TI - Strong adverse prognostic impact of hyperglycemic episodes during adjuvant
chemoradiotherapy of glioblastoma multiforme.
AB - BACKGROUND: In comparison to normal brain tissue, glioblastomas exhibit
significantly increased glucose uptake. Brain edema is a common complication
during adjuvant chemoradiotherapy, leading to a requirement for glucocorticoid
treatment. Glucocorticoid treatment frequently causes considerable deregulation
of blood glucose levels. Therefore, episodes of hyperglycemia may contribute to
radio- and/or chemoresistance. PATIENTS AND METHODS: This study comprises a
retrospective analysis of the influence of hyperglycemic episodes (HEs) during
adjuvant therapy on the overall survival of 106 glioblastoma multiforme patients.
RESULTS: The occurrence of one or more deregulated blood glucose value(s) > 10 mM
is associated with a reduction in median overall survival from 16.7 to 8.8
months. A significantly poorer overall survival of patients with hyperglycemia
could also be detected in subgroup analyses of patients with complete tumor
resection and complete treatment according to the EORTC 22891/26891 trial
protocol, as well as in a multivariate Cox proportional hazards analysis. A
history of diabetes mellitus had no influence on prognosis. DISCUSSION: Our data
suggest that the observed negative impact of elevated blood glucose levels on
overall survival may not solely be explained by the patients' poorer general
condition; the elevated blood glucose concentration itself may play a
pathogenetic role. This could be due to increased activity of antioxidant
systems, elevated expression of DNA damage response proteins and protection of
hypoxic tumor cells against apoptosis combined with hypoxia-mediated
radioresistance. CONCLUSION: A possible prognostic impact of elevated blood
glucose levels during the period of adjuvant (chemo-) radiotherapy of
glioblastoma should be evaluated in a prospective clinical trial.
PMID- 24938516
TI - The Computer-Vision Symptom Scale (CVSS17): development and initial validation.
AB - PURPOSE: To develop a questionnaire (in Spanish) to measure computer-related
visual and ocular symptoms (CRVOS). METHODS: A pilot questionnaire was created by
consulting the literature, clinicians, and video display terminal (VDT) workers.
The replies of 636 subjects completing the questionnaire were assessed using the
Rasch model and conventional statistics to generate a new scale, designated the
Computer-Vision Symptom Scale (CVSS17). Validity and reliability were determined
by Rasch fit statistics, principal components analysis (PCA), person separation,
differential item functioning (DIF), and item-person targeting. To assess
construct validity, the CVSS17 was correlated with a Rasch-based visual
discomfort scale (VDS) in 163 VDT workers, this group completed the CVSS17 twice
in order to assess test-retest reliability (two-way single-measure intraclass
correlation coefficient [ICC] and their 95% confidence intervals, and the
coefficient of repeatability [COR]). RESULTS: The CVSS17 contains 17 items
exploring 15 different symptoms. These items showed good reliability and internal
consistency (mean square infit and outfit 0.88-1.17, eigenvalue for the first
residual PCA component 1.37, person separation 2.85, and no DIF). Pearson's
correlation with VDS scores was 0.60 (P < 0.001). Intraclass correlation
coefficient for test-retest reliability was 0.849 (95% confidence interval [CI],
0.800-0.887), and COR was 8.14. CONCLUSIONS: The Rasch-based linear-scale CVSS17
emerged as a useful tool to quantify CRVOS in computer workers. : Spanish
Abstract.
PMID- 24938517
TI - Biomechanical characterization of keratoconus corneas ex vivo with Brillouin
microscopy.
AB - PURPOSE: Loss of corneal strength is a central feature of keratoconus
progression. However, it is currently difficult to measure corneal mechanical
changes noninvasively. The objective of this study is to evaluate if Brillouin
optical microscopy can differentiate the mechanical properties of keratoconic
corneas versus healthy corneas ex vivo. METHODS: We obtained eight tissue samples
from healthy donor corneas used in Descemet's stripping endothelial keratoplasty
(DSEK) and 10 advanced keratoconic corneas from patients undergoing deep anterior
lamellar keratoplasty (DALK). Within 2 hours after surgery, a confocal Brillouin
microscope using a monochromatic laser at 532 nm was used to map the Brillouin
frequency shifts of the corneas. RESULTS: The mean Brillouin shift in the
anterior 200 MUm of the keratoconic corneas at the cone was measured to be 7.99
+/- 0.10 GHz, significantly lower than 8.17 +/- 0.06 GHz of the healthy corneas
(P < 0.001). The Brillouin shift in the keratoconic corneas decreased with depth
from the anterior toward posterior regions with a steeper slope than in the
healthy corneas (P < 0.001). Within keratoconic corneas, the Brillouin shift in
regions away from the apex of the cone was significantly higher than within the
cone region (P < 0.001). CONCLUSIONS: Brillouin measurements revealed notable
differences between healthy and keratoconic corneas. Importantly, Brillouin
imaging showed that the mechanical loss is primarily concentrated within the area
of the keratoconic cone. Outside the cone, the Brillouin shift was comparable
with that of healthy corneas. The results demonstrate the potential of Brillouin
microscopy for diagnosis and treatment monitoring of keratoconus.
PMID- 24938518
TI - High glucose alters Cx43 expression and gap junction intercellular communication
in retinal Muller cells: promotes Muller cell and pericyte apoptosis.
AB - PURPOSE: To investigate whether high glucose (HG) alters connexin 43 (Cx43)
expression and gap junction intercellular communication (GJIC) activity in
retinal Muller cells, and promotes Muller cell and pericyte loss. METHODS:
Retinal Muller cells (rMC-1) and cocultures of rMC-1 and retinal pericytes were
grown in normal (N) or HG (30 mM glucose) medium. Additionally, rMC-1 transfected
with Cx43 small interfering RNA (siRNA) were grown as cocultures with pericytes,
and rMC-1 transfected with Cx43 plasmid were grown in HG. Expression of Cx43 was
determined by Western blotting and immunostaining and GJIC was assessed by scrape
loading dye transfer (SLDT) technique. Apoptosis was analyzed by TUNEL or
differential staining assay, and Akt activation by assessing Akt phosphorylation.
RESULTS: In monocultures of rMC-1 and cocultures of rMC-1 and pericytes, Cx43
protein level, number of Cx43 plaques, GJIC, and Akt phosphorylation were
significantly reduced in HG medium. Number of TUNEL-positive cells was also
significantly increased in rMC-1 monocultures and in rMC-1 and pericyte
cocultures grown in HG medium. Importantly, when rMC-1 transfected with Cx43
siRNA were grown as cocultures with pericytes, a significant decrease in GJIC,
and increase in TUNEL-positive cells was observed, concomitant with decreased Akt
phosphorylation. Upregulation of Cx43 rescued rMC-1 from HG-induced apoptosis.
CONCLUSIONS: Gap junction communication between Muller cells and pericytes is
essential for their survival. Downregulation of Cx43 that is HG induced and
impairment of GJIC activity in Muller cells contributes to loss of glial and
vascular cells associated with the pathogenesis of diabetic retinopathy.
PMID- 24938519
TI - Morphological alterations within the peripheral fixation of the iris dilator
muscle in eyes with pigmentary glaucoma.
AB - PURPOSE: To analyze the peripheral fixation of the iris dilator muscle in normal
eyes and in eyes with pigmentary glaucoma (PG). METHODS: Using 63 control eyes
(age 18 months-99 years), the peripheral iris dilator was investigated by light
microscopy, immunohistochemistry, and electron microscopy. Development was
studied using 18 differently aged fetal eyes stained immunohistochemically
against alpha-smooth muscle (SM) actin. The peripheral iris dilator muscle in PG
was analyzed using semithin and ultrathin sections of six glutaraldehyde-fixed
eyes from three donors aged 38, 62, and 74 years. RESULTS: In normal eyes, the
peripheral end of the iris dilator muscle is arranged in a sphincter-like manner.
Arcade-shaped tendinous connections associated with myofibroblasts (iridial
strands) anchor the iris dilator within the elastic-fibromuscular ciliary
meshwork that also serves as fixation area for the elastic tendons of the inner
ciliary muscle portions. The iridial strands are innervated and can adapt their
length during accommodation. The PG eyes show incomplete circular bundles and
iridial strands that are mainly anchored to the iris stroma and the flexible
uveal parts of the trabecular meshwork. CONCLUSIONS: The normal anchorage of the
peripheral iris dilator and its presumably neuronally regulated length adaptation
stabilize the peripheral iris during accommodation. Insufficient fixation in PG
could promote posterior bowing of the iris with rubbing against the zonular
fibers and pigment liberation from the iris pigmented epithelium.
PMID- 24938520
TI - Comparison of procedures for the extraction of supernatants and cytotoxicity
tests in Vero cells, applied to assess the toxigenic potential of Bacillus spp.
and Lactobacillus spp., intended for use as probiotic strains.
AB - Interest in using Bacillus strains as probiotic components of animal feeds has
grown in recent years. However, some of these strains, especially those
taxonomically related to the Bacillus cereus group, may have enterotoxigenic
activity. Assessment of their toxigenic potential by well-established and robust
protocols is required before authorizing their use in animal nutrition. Three
methods of extraction and concentration of supernatants of Bacillus and
Lactobacillus strains (methanol extraction, ammonium sulphate and ultrafiltration
concentration) and three cytotoxic tests in Vero cells (WST-1, LDH and protein
synthesis inhibition assays) for the assessment of the cytotoxicity activity of
Lactobacillus strains (as probiotic strains in human and animal nutrition) and
Bacillus toyonensis BCT-7112(T) (as animal probiotic strain in animal nutrition
Toyocerin(r)-) were evaluated in this study. Methanol extraction was not useful
under any circumstances. The other two concentration methods (ammonium sulphate
and ultrafiltration) were feasible, with slightly greater sensitivity achieved by
ultrafiltration. The probiotic strain B. toyonensis BCT-7112(T) proved to be a
non-cytotoxic strain in all the protocols tested. However, some Lactobacillus
strains showed cytotoxicity activity, regardless of the protocols applied.
PMID- 24938521
TI - Preoperative GNAS and KRAS testing in the diagnosis of pancreatic mucinous cysts.
AB - PURPOSE: Management guidelines for pancreatic intraductal papillary mucinous
neoplasms (IPMN) and mucinous cystic neoplasms (MCN) are based on the assumption
that mucinous cysts can be accurately distinguished from other pancreatic cystic
lesions. Previous studies using surgical material have identified recurrent
mutations in GNAS and KRAS in pancreatic mucinous neoplasms. Yet, the diagnostic
utility of testing for both genes in pancreatic cyst fluid obtained by endoscopic
ultrasound-fine-needle aspiration (EUS-FNA) remains unclear. EXPERIMENTAL DESIGN:
GNAS and KRAS testing was performed on EUS-FNA pancreatic cyst fluid from 91
pancreatic cysts: 41 IPMNs, 9 IPMNs with adenocarcinoma, 16 MCNs, 10 cystic
pancreatic neuroendocrine tumors (PanNET), 9 serous cystadenomas (SCA), 3
retention cysts, 2 pseudocysts, and 1 lymphoepithelial cyst. RESULTS: Mutations
in GNAS were detected in 16 (39%) IPMNs and 2 (22%) IPMNs with adenocarcinoma.
KRAS mutations were identified in 28 (68%) IPMNs, 7 (78%) IPMNs with
adenocarcinoma, and 1 (6%) MCN. Mutations in either gene were present in 34 (83%)
IPMNs, 8 (89%) IPMNs with adenocarcinoma, and 1 (6%) MCN. No mutations were found
in cystic PanNETs, SCAs, retention cysts, pseudocysts, and a lymphoepithelial
cyst. GNAS and KRAS mutations had 100% specificity [95% confidence interval (CI),
0.83-1.00] but 65% sensitivity (95% CI, 0.52-0.76) for mucinous differentiation.
Among IPMNs, mutations in either gene had 98% specificity (95% CI, 0.86-1.00) and
84% sensitivity (95% CI, 0.70-0.92). CONCLUSIONS: The combination of GNAS and
KRAS testing was highly specific and sensitive for IPMNs; however, the lack of
sensitivity for MCNs highlights the need for additional markers to improve the
detection of pancreatic mucinous neoplasms.
PMID- 24938523
TI - Targeting cancer cells via the reactive oxygen species-mediated unfolded protein
response with a novel synthetic polyphenol conjugate.
AB - PURPOSE: The selective killing of tumor cells is an important strategy for cancer
therapeutics. The aim of this study was to develop a novel antitumor agent that
is safe for normal cells with the ability to selectively target cancer cells.
EXPERIMENTAL DESIGN: On the basis of quantitative structure-activity
relationship, we synthesized a novel polyphenol conjugate (E)-3-(3,5
dimethoxyphenyl)-1-(2-methoxyphenyl)prop-2-en-1-one (DPP-23). We evaluated the
effect of DPP-23 on proliferation, cell cycle, and apoptosis in various tumor
cells. We also assessed molecular targets of DPP-23 using genome-wide expression
profiling by DNA microarray and real-time PCR array systems. RESULTS: DPP-23
effectively inhibited the growth of cancer cells in vitro and in vivo (xenografts
in Balb/c nude mice). At a molecular level, DPP-23 targeted the unfolded protein
response (UPR) in the endoplasmic reticulum (ER) through the production of
reactive oxygen species (ROS) in cancer cells, but not in normal cells, resulting
in selective killing of tumor cells via caspase-dependent apoptosis. CONCLUSIONS:
The selective generation of ROS in cancer cells could be an attractive strategy
for the selective killing of cancer cells, while maintaining negligible
cytotoxicity to normal cells. DPP-23 represents a promising novel therapeutic
agent for the selective production of ROS in cancer cells.
PMID- 24938522
TI - Serum CA19-9 is significantly upregulated up to 2 years before diagnosis with
pancreatic cancer: implications for early disease detection.
AB - PURPOSE: Biomarkers for the early detection of pancreatic cancer are urgently
needed. The primary objective of this study was to evaluate whether increased
levels of serum CA19-9, CA125, CEACAM1, and REG3A are present before clinical
presentation of pancreatic cancer and to assess the performance of combined
markers for early detection and prognosis. EXPERIMENTAL DESIGN: This nested case
control study within the UKCTOCS included 118 single and 143 serial serum samples
from 154 postmenopausal women who were subsequently diagnosed with pancreatic
cancer and 304 matched noncancer controls. Samples were split randomly into
independent training and test sets. CA19-9, CA125, CEACAM1, and REG3A were
measured using ELISA and/or CLIA. Performance of markers to detect cancers at
different times before diagnosis and for prognosis was evaluated. RESULTS: At 95%
specificity, CA19-9 (>37 U/mL) had a sensitivity of 68% up to 1 year, and 53% up
to 2 years before diagnosis. Combining CA19-9 and CA125 improved sensitivity as
CA125 was elevated (>30 U/mL) in approximately 20% of CA19-9-negative cases.
CEACAM1 and REG3A were late markers adding little in combined models. Average
lead times of 20 to 23 months were estimated for test-positive cases.
Prediagnostic levels of CA19-9 and CA125 were associated with poor overall
survival (HR, 2.69 and 3.15, respectively). CONCLUSIONS: CA19-9 and CA125 have
encouraging sensitivity for detecting preclinical pancreatic cancer, and both
markers can be used as prognostic tools. This work challenges the prevailing view
that CA19-9 is upregulated late in the course of pancreatic cancer development.
PMID- 24938524
TI - Circulating CD4+ T cells that produce IL4 or IL17 when stimulated by melan-A but
not by NY-ESO-1 have negative impacts on survival of patients with stage IV
melanoma.
AB - PURPOSE: We initially observed that the presence of circulating NY-ESO-1- and/or
Melan-A-specific T cells in patients with stage IV melanoma was significantly
associated with prolonged survival. Here, we report the ways in which the
phenotypes and functions of these T cells differentially affect survival in
patients preselected for NY-ESO-1 and/or Melan-A reactivity. EXPERIMENTAL DESIGN:
We assayed functional antigen-reactive T cells recognizing NY-ESO-1 and/or Melan
A after in vitro stimulation using overlapping peptide pools. After
restimulation, we assayed six cytokines simultaneously by intracellular cytokine
staining. This allowed us to analyze the functional antigen response of both
CD4(+) and CD8(+) T cells at the single-cell level. RESULTS: We observed that NY
ESO-1 stimulated mainly CD4(+) T cells, whereas Melan-A more often stimulated
CD8(+) T cells. NY-ESO-1 reactivity was not associated with an additional impact
on survival, whether CD4(+) T cells, CD8(+) T cells, or both types of T cells
were responding. In contrast, recognition of Melan-A by CD4(+) T cells was
associated with reduced survival in our cohort of patients preselected for NY-ESO
1 and/or Melan-A reactivity (that is, in patients with exceptionally long
survival). We further observed a negative effect on survival in patients with
CD4(+) T cells producing IL4 and IL17 upon Melan-A stimulation. Their prognosis
was comparable to patients without any Melan-A reactivity. CONCLUSIONS: The
nature and prognostic impact of specific T-cell responses is different according
to targeted antigen. Independent from phenotype and functional aspects, NY-ESO-1
reactivity is associated with good prognosis. In terms of Melan-A, antigen
specific CD8(+) but not CD4(+) responses are associated with prolonged survival.
Clin Cancer Res; 20(16); 4390-9. (c)2014 AACR.
PMID- 24938525
TI - Inflammatory bowel disease.
AB - Inflammatory bowel diseases (IBD), including Crohn's disease (CD) and ulcerative
colitis (UC), are chronic, relapsing inflammatory condition of the
gastrointestinal tract. CD and UC have distinct pathologic and clinical
characteristics and despite the extensive amount of research conducted over the
past decades, their pathogenesis remains still poorly understood. So far, the
accepted dogma is that IBD results from dysregulated mucosal immune response to
environmental factors in genetical susceptible hosts. Various components are
implicated in the pathogenesis of IBD, including genetic susceptibility,
environmental and microbial factors, intestinal epithelial cells and components
of innate and adaptive immune system. Given the complexity of IBD, several
different animal models of IBD have been developed during the last years. Animal
models are very important tools to study the involvement of various factors in
the pathogenesis of IBD and, importantly, to test new therapeutic options. This
review examines some of the key components that have been found to be closely
associated to IBD and describe the distinct features of some of the most
important IBD models.
PMID- 24938527
TI - Psychosocial predictors of primiparous breastfeeding initiation and duration.
AB - BACKGROUND: Many US women fall short of meeting the recommendations on
breastfeeding. Whereas prenatal demographic factors have been well researched in
relation to breastfeeding, psychosocial maternal characteristics are less
understood but could be important predictors of breastfeeding initiation and
duration. OBJECTIVE: This study examined primiparous maternal psychosocial
characteristics and temperamentally based negative infant affect as predictors of
breastfeeding initiation and duration while accounting for depression and
sociodemographic covariates. METHODS: Prenatally, 237 primiparous women were
administered the Adult Attachment Interview and completed a measure of beliefs
related to infant crying. At 6 months postpartum, negative infant affect was
assessed via mother report. Breastfeeding was assessed at 6 months and 1 year
postpartum via mother report. RESULTS: Results indicated that younger, low
income, less educated, single, ethnic minority mothers and mothers with elevated
depressive symptoms were less likely to initiate breastfeeding and breastfed for
a shorter period than other women. Women who initiated breastfeeding tended to
have higher adult attachment coherence scores (more secure attachment) than those
who did not initiate breastfeeding (median score of 6.00 vs 4.00). An interaction
was observed between negative infant affect and beliefs about crying related to
spoiling, such that earlier cessation of breastfeeding was observed among mothers
who reported high levels of negative infant affect and strongly endorsed the
belief that responding to cries spoils infants (hazard ratio = 1.71, P < .01).
CONCLUSION: Although these psychosocial variables predicted relatively little
variation in breastfeeding over and above covariates, the results suggest some
novel approaches to promote breastfeeding.
PMID- 24938528
TI - Polyunsaturated fatty acid content may be increased in the milk of women with
pregnancy-associated breast cancer.
AB - BACKGROUND: Pregnancy-associated breast cancer (PABC) is aggressive and difficult
to diagnose. High intake of most types of dietary fat is thought to increase
breast cancer risk; however, results in humans supporting this premise remain
equivocal. Fatty acid (FA) concentrations in the body comprise both dietary
intake and endogenous FA production. Most assessments of FA levels have been
performed on blood, with little information on the effect of FA levels in breast
milk on PABC risk. OBJECTIVE: This study aimed to determine if FA concentrations
in the milk from women diagnosed with breast cancer while nursing were different
in the cancer-containing breast and opposite breast. METHODS: We quantified 16
long-chain FA and soluble FA synthase (sFAS) enzyme levels from 4 women diagnosed
with PABC, comparing results from the cancer-containing breast to those from the
normal breast. RESULTS: Fatty acid concentrations consistently exceeded and
trended higher (P < .10) in each cancer-containing breast for 20:4n-6
(arachidonic acid [AA]), 20:5n-3 (eicosapentaenoic acid [EPA]), and 22:5n-6
(docosapentaenoic acid [DPA]). Soluble FA synthase levels were similar in the
cancer-containing and normal breasts. CONCLUSION: Breast milk concentrations of
AA, EPA, and DPA increased in the cancer-containing breast of women with PABC.
This increase was not associated with higher sFAS levels.
PMID- 24938526
TI - Predictors of suboptimal CD4 response among women achieving virologic suppression
in a randomized antiretroviral treatment trial, Africa.
AB - BACKGROUND: A subset of HIV-1 infected patients starting highly active
antiretroviral treatment (HAART) experience suboptimal CD4 response (SCR) despite
virologic suppression. We studied the rate of and risk factors for SCR among
women starting HAART in the ACTG A5208 study conducted in 7 African countries.
741 HAART-naive women with screening CD4 count <200 cells/MUL were randomized to
start HAART with Tenofovir/Emtricitabine plus either Nevirapine or
Lopinavir/Ritonavir. METHODS: This analysis includes the 625 women who remained
on-study through 48 weeks without experiencing protocol-defined virologic
failure. We defined SCR as<100 CD4 cells/MUL increase from baseline and absolute
CD4 cell count<350 cells/MUL, both at 48 weeks after HAART initiation. RESULTS:
The baseline characteristics for the 625 women prior to HAART initiation were:
median age 33 years, screening CD4 count 134 cells/MUL, and HIV-1 RNA 5.1 log10
copies/mL; 184 (29%) were WHO Stage 3 or 4.Seventy one (11%) of these 625 women
experienced SCR. Baseline factors independently associated with increased odds of
SCR included older age, lower HIV-1 RNA, positive Hepatitis B surface antigen,
and site location. At 96 weeks, only 6% of the SCR group had CD4 >= 350 cells/MUL
compared with 67% in the non SCR group. CONCLUSION: After starting HAART, 11% of
women with virologic suppression through 48 weeks experienced SCR. These patients
were also less likely to achieve CD4 >= 350 cells/MUL by 96 weeks. The underlying
causes and long term clinical implications of SCR deserve further investigation.
TRIAL REGISTRATION: Clinicaltrials.gov Identifier: NCT00089505.
PMID- 24938529
TI - Quality of reporting of randomised controlled trials of acupuncture for
neurological diseases conducted in China.
AB - OBJECTIVES: To investigate the quality of reporting for randomised controlled
trials of acupuncture for neurological disorders conducted in China before and
after the implementation of the Consolidated Standards of Reporting Trials
(CONSORT) and Standards for Reporting Interventions in Controlled Trials of
Acupuncture (STRICTA) guidelines. METHODS: The quality of reporting for included
papers was assessed against a subset of criteria adapted from CONSORT and
STRICTA. CONSORT and STRICTA were developed in 1996 and 2001, respectively. Thus,
for the date of publication we selected 2-year periods, at 5-yearly intervals:
1994-1995; 1999-2000; 2004-2005 and 2009-2010. These selections cover the periods
before the publication dates of both guidelines (1996, 2001) and at least 3 years
afterwards, and provide reasonably up-to-date data. We calculated the total score
for each guideline and compared reported differences during different date
ranges. RESULTS: For CONSORT items (maximum score 8), there was evidence of a
slight improvement in reporting between 1994-1995 and 1999-2000 combined (2.5+/
0.6) and 2004-2005 and 2009-2010 combined (3.0+/-0.9) (difference 0.5, 95% CI 0.2
to 0.8). For STRICTA items (maximum score 17), there was evidence of a slight
improvement in reporting between 1994-1995 and 1999-2000 combined (8.9+/-1.8) and
2004-2005 and 2009-2010 combined (10.3+/-1.6) (difference 1.4, 95% CI 0.9 to
1.9). CONCLUSIONS: The quality of reporting for studies of acupuncture for
neurological disorders has generally improved since the implementation of STRICTA
and CONSORT guidelines.
PMID- 24938530
TI - Motion patterns in acupuncture needle manipulation.
AB - BACKGROUND: In clinical practice, acupuncture manipulation is highly
individualised for each practitioner. Before we establish a standard for
acupuncture manipulation, it is important to understand completely the
manifestations of acupuncture manipulation in the actual clinic. To examine
motion patterns during acupuncture manipulation, we generated a fitted model of
practitioners' motion patterns and evaluated their consistencies in acupuncture
manipulation. METHODS: Using a motion sensor, we obtained real-time motion data
from eight experienced practitioners while they conducted acupuncture
manipulation using their own techniques. We calculated the average amplitude and
duration of a sampled motion unit for each practitioner and, after normalisation,
we generated a true regression curve of motion patterns for each practitioner
using a generalised additive mixed modelling (GAMM). RESULTS: We observed
significant differences in rotation amplitude and duration in motion samples
among practitioners. GAMM showed marked variations in average regression curves
of motion patterns among practitioners but there was strong consistency in motion
parameters for individual practitioners. The fitted regression model showed that
the true regression curve accounted for an average of 50.2% of variance in the
motion pattern for each practitioner. CONCLUSIONS: Our findings suggest that
there is great inter-individual variability between practitioners, but remarkable
intra-individual consistency within each practitioner.
PMID- 24938531
TI - Copper deficiency.
PMID- 24938532
TI - Synthesis of microcrystals of the [Fe(L)(bipy)] spin crossover coordination
polymer in a poly-4-vinylpyridine matrix.
AB - Microcrystals of the spin-crossover coordination polymer [FeL(bipy)] (L=[3,3']
[1,2-phenylenebis(iminoethylidyne)]bis-(2,4-pentanedionato)(2-), bipy=4,4'
bipyridine) have been prepared in a poly(4-vinylpyridine) (P4VP) matrix. This was
done by sequential addition of the iron(II) precursor complex and the bridging
ligand bipy to a P4VP matrix, and by repetition of this cycle. The obtained
composite material was characterized using TEM, SEM, XRPD, and SQUID
measurements, and Mossbauer spectroscopy. With repeating cycles, the size of the
[FeL(bipy)] crystals in the P4VP matrix increases from submicrometer to
micrometer dimensions. A strong dependence on the number of cycles is observed.
Above a critical size and concentration, the microcrystals show the same
cooperative spin transition as the bulk material. No indication for a gradual
spin transition is observed, but the remaining iron centers are either high-spin
or low-spin depending on the coordination environment.
PMID- 24938533
TI - Population genetics for 17 Y-STR loci in a Chinese Han population sample from
Mudanjiang city, Northeast China.
PMID- 24938535
TI - Severe manifestations of extrapulmonary tuberculosis in HIV-infected children
initiating antiretroviral therapy before 2 years of age.
AB - BACKGROUND: Early initiation of antiretroviral therapy (ART) in HIV-infected
infants reduces mortality and opportunistic infections including tuberculosis
(TB). However, young HIV-infected children remain at high risk of TB disease
following mycobacterial infection. We document the spectrum of TB disease in HIV
infected children <2 years of age on ART. METHODS: Retrospective cohort study;
records of children <2 years of age initiating routine ART at Tygerberg
Children's Hospital, Cape Town, January 2003-December 2010 were reviewed.
Clinical data at ART initiation (baseline) and TB episodes after ART initiation,
to June 2012, were recorded. TB immune reconstitution syndrome (TB-IRIS) and
incident TB were defined as TB diagnosed within 3 months, and >3 months after,
ART initiation respectively. Baseline characteristics were compared in children
with TB-IRIS and those with incident TB. RESULTS: In 494 children, median follow
up time on ART was 10.7 months. Fifty-five TB treatment episodes occurred after
ART initiation: 23 (42%) TB-IRIS (incidence 21.9/100 person years (py)) and 32
(58%) incident TB (incidence 3.9/100 py). Children with TB-IRIS and those with
incident TB had similar baseline characteristics. Eight of 10 cases of
extrapulmonary TB were severe: 4 IRIS (2 meningitis, 1 disseminated, 1
pericarditis) and 4 incident cases (1 each miliary, meningitis, pericarditis and
spinal). Fifty-one children (10%) died (mortality rate 5.96/100 py). Starting ART
at <1 year of age approached significance as a risk factor for TB-IRIS (adjusted
OR (AOR) 8.64, p=0.06); weight-for-age Z score <-2 predicted death (AOR 6.37,
p<0.001). CONCLUSIONS: Severe TB manifestations were observed among young HIV
infected children on ART.
PMID- 24938536
TI - Intravenous salbutamol for childhood asthma: evidence-based medicine?
AB - Intravenous salbutamol is commonly used to treat children with severe asthma
unresponsive to inhaled beta2-agonist therapy. However, in this setting, there is
little clinical trial data demonstrating its effectiveness. Additionally, there
are significant concerns that intravenous salbutamol-dosing recommendations for
children with acute asthma are excessive, and unnecessarily raise the potential
for adverse reactions, such as lactic acidosis and tachycardia which, by
increasing respiratory workload, exacerbate respiratory failure. Here, we review
salbutamol clinical pharmacology and toxicology, evidence relating to its use in
acute asthma and highlight gaps in the evidence base.
PMID- 24938538
TI - A rare ovarian tumour in a 5-month-old infant.
PMID- 24938537
TI - Use of high flow nasal cannula oxygen (HFNCO) in infants with bronchiolitis on a
paediatric ward: a 3-year experience.
PMID- 24938534
TI - Comparative effects of two different forms of selenium on oxidative stress
biomarkers in healthy men: a randomized clinical trial.
AB - Epidemiologic and laboratory studies indicate that dietary selenium protects
against prostate cancer. Results from clinical trials suggest that selenium
enriched yeast (SY) but not selenomethionine (SeMet) may be effective at reducing
prostate cancer risk. Our objectives were to directly compare for the first time
the effects of SeMet and SY on prostate cancer relevant biomarkers in men. We
performed a randomized double blind, placebo-controlled trial of SY (200 or 285
MUg/day) and SeMet (200 MUg/day) administered for 9 months in 69 healthy men.
Primary endpoints included blood levels of selenium-containing compounds and
oxidative stress biomarkers [urine 8-hydroxy-2'-deoxyguanosine (8-OHdG) and 8-iso
prostaglandin-F2alpha (8-iso-PGF2alpha) and blood glutathione (GSH)]. Secondary
endpoints included plasma glucose and PSA levels. Compliance was high in all
groups (>95%). Plasma selenium levels were increased 93%, 54%, and 86% after 9
months in SeMet and low- and high-dose SY groups, respectively, and returned to
baseline levels after a 3-month washout (P < 0.05). Levels of 8-OHdG and 8-iso
PGF2alpha were decreased 34% and 28%, respectively, after 9 months in the high
dose SY group (P < 0.05). These decreases were greatest in individuals with low
baseline plasma levels of selenium (<127 ng/mL). No changes in serum PSA or blood
glucose and GSH were observed. Overall, we showed for the first time, reductions
in biomarkers of oxidative stress following supplementation with SY but not SeMet
in healthy men. These findings suggest that selenium-containing compounds other
than SeMet may account for the decrease in oxidative stress.
PMID- 24938539
TI - Government of the people, by the people, for the people: bioethics, literature,
and method.
PMID- 24938540
TI - Bioethics and literature: an exciting overlap.
PMID- 24938542
TI - ESGAR 2014 Book of Abstracts.
PMID- 24938541
TI - Sex determination by tooth size in a sample of Greek population.
AB - Sex assessment from tooth measurements can be of major importance for forensic
and bioarchaeological investigations, especially when only teeth or jaws are
available. The purpose of this study is to assess the reliability and
applicability of establishing sex identity in a sample of Greek population using
the discriminant function proposed by Rosing et al. (1995). The study comprised
of 172 dental casts derived from two private orthodontic clinics in Athens. The
individuals were randomly selected and all had clear medical history. The
mesiodistal crown diameters of all the teeth were measured apart from those of
the 3rd molars. The values quoted for the sample to which the discriminant
function was first applied were similar to those obtained for the Greek sample.
The results of the preliminary statistical analysis did not support the use of
the specific discriminant function for a reliable determination of sex by means
of the mesiodistal diameter of the teeth. However, there was considerable
variation between different populations and this might explain the reason for
lack of discriminating power of the specific function in the Greek population. In
order to investigate whether a better discriminant function could be obtained
using the Greek data, separate discriminant function analysis was performed on
the same teeth and a different equation emerged without, however, any real
improvement in the classification process, with an overall correct classification
of 72%. The results showed that there were a considerably higher percentage of
females correctly classified than males. The results lead to the conclusion that
the use of the mesiodistal diameter of teeth is not as a reliable method as one
would have expected for determining sex of human remains from a forensic context.
Therefore, this method could be used only in combination with other
identification approaches.
PMID- 24938543
TI - Glucose reduces the anticonvulsant effects of the ketogenic diet in EL mice.
AB - The ketogenic diet (KD) is known to be anticonvulsant and anti-epileptogenic.
While the mechanism behind this therapeutic benefit is unclear, a reduction of
circulating glucose levels through calorie restriction (CR) has been implicated.
Foods or drinks that elevate blood glucose are known to compromise the
therapeutic benefit of the KD in some children with epilepsy. We therefore
evaluated the effect of a calorie restricted KD (KD-R) with supplementation of
glucose in the drinking water of EL mice, a natural model of idiopathic
generalized epilepsy, prior to seizure testing to assess the effect of glucose on
seizure generation. Mice were fed either a standard diet or the KD unrestricted
(SD-UR and KD-UR, respectively), or the KD restricted (KD-R). d-Glucose (25 mM)
was supplemented in the drinking water of KD-R fed mice for 0.5h or for 2.5h
prior to seizure testing. Each restricted mouse served as its own body weight
control to achieve a 15-18% body weight reduction. Seizure susceptibility, body
weights, and plasma glucose and beta-hydroxybutyrate levels were measured over a
nine-week treatment period. Body weights and glucose levels remained high over
the testing period in both the SD-UR and the KD-UR groups, but were significantly
reduced in all R-fed groups. A significant increase in beta-hydroxybutyrate
levels was observed in all KD groups. Seizure susceptibility remained highest in
the SD-UR group, was slightly reduced in the KD-UR group, and was significantly
reduced after three weeks in all R-fed groups. Supplementation of glucose prior
to seizure testing resulted in a decrease of seizure threshold for R-fed mice,
but did not alter bodyweight or circulating glucose levels. The KD has both an
anticonvulsant and antiepileptogenic effect in EL mice. Here we confirm that CR
enhances the anticonvulsant action of the KD in EL mice. Additionally, we show
for the first time that supplementation of glucose decreases the anticonvulsant
action of the KD, which further supports the hypothesis that CR works through
transitioning metabolism from glucose to ketone utilization for energy.
PMID- 24938544
TI - Immunohistochemistry comparing endoscopic vein harvesting vs. open vein
harvesting on saphenous vein endothelium.
AB - OBJECTIVE: The present study attempts to compare the immunohistochemistry (IHC)
of von Willebrand factor (vWf) , endothelial cadherin, Caveolin and endothelial
Nitric Oxide Synthase (eNOS) in VasoView Endoscopic Vein Harvesting (EVH) versus
traditional Open Vein Harvesting (OVH) techniques for Coronary Artery Bypass
Graft (CABG) Surgery performed in Javad al Aemeh Hospital of Mashhad, Iran in
2013,. METHODS AND MATERIALS: Forty-seven patients were scheduled for CABG (30
EVH and 17 OVH) among whom patients with relatively same gender and similar age
were selected. Three separate two cm vein samples were harvested from each
patient's saphenous vein. Each portion was collected from distal, middle and
proximal zones of the saphenous vein. The tissues were deparaffinized, and
antigen retrieval was done using EZ-retriever followed by an immunohistochemistry
evaluation with vWf, e-cadherin, Caveolin and eNOS. In addition, demographic
questioner as of Lipid profile, FBS, BMI, and cardiovascular risk factors were
collected. Data analyses, including parametric and nonparametric tests were
undertaken using the SPSS 16 software. A P value < 0.05 was regarded as
statistically significant. RESULTS: The mean age of the EVH and OVH groups were
63.76 +/- 9.51 and 63.63 +/- 8.31 years respectively with no significant
difference between them (p = 0.989). In addition, there was no great difference
between the EVH and OVH groups in lipid profile, DM, HTN, smoking history, CVA,
and valvular dysfunction (P > 0.05). Qualitative report of vWf, e-cadherin,
Caveolin and eNOS reveals no significant difference between the EVH and OVH (P >
0.05). CONCLUSION: This study indicates that VasoView EVH technique causes no
endothelial damage in comparison with OVH. This study could be a molecular
confirmation for the innocuous of EVH technique.
PMID- 24938547
TI - Black on red: Florence Arnold.
PMID- 24938548
TI - Task force recommends screening high-risk individuals for hepatitis B infection.
PMID- 24938559
TI - Management of comorbid diabetes mellitus and worsening heart failure.
PMID- 24938560
TI - A piece of my mind. Keeping the pace.
PMID- 24938561
TI - Thrombolytic therapy for pulmonary embolism.
PMID- 24938563
TI - Association between tumor necrosis factor-alpha antagonists and risk of cancer in
patients with inflammatory bowel disease.
AB - IMPORTANCE: A Cochrane review and network meta-analysis concluded that there is
need for more research on adverse effects, including cancer, after treatment with
tumor necrosis factor alpha (TNF-alpha) antagonists and that national registries
and large databases would provide relevant sources of data to evaluate these
effects. OBJECTIVE: To investigate whether patients with inflammatory bowel
disease (IBD) exposed to TNF-alpha antagonists were at increased risk of
developing cancer. DESIGN, SETTING, AND PARTICIPANTS: Nationwide register-based
cohort study in Denmark, 1999-2012. Participants were 56,146 patients 15 years or
older with IBD identified in the National Patient Registry, of whom 4553 (8.1%)
were exposed to TNF-alpha antagonists. Cancer cases were identified in the Danish
Cancer Registry. MAIN OUTCOMES AND MEASURES: Rate ratios (RRs) for incident
cancer (overall and site-specific) comparing TNF-alpha antagonist users and
nonusers, estimated using Poisson regression adjusted for age, calendar year,
disease duration, propensity scores, and use of other IBD medications. RESULTS:
During 489,433 person-years of follow-up (median, 9.3 years [interquartile range,
4.2-14.0]), 81 of 4553 patients exposed to TNF-alpha antagonists (1.8%) (median
follow-up, 3.7 years [interquartile range, 1.8-6.0]) and 3465 of 51,593 unexposed
patients (6.7%) developed cancer, yielding a fully adjusted RR of 1.07 (95% CI,
0.85-1.36). There was no significantly increased risk of cancer in analyses
according to time since first TNF-alpha antagonist exposure (less than 1 year:
RR, 1.10 [95% CI, 0.67-1.81]; 1 to less than 2 years: RR, 1.22 [95% CI, 0.77
1.93]; 2 to less than 5 years: RR, 0.82 [95% CI, 0.54-1.24]; 5 or more years: RR,
1.33 [95% CI, 0.88-2.03]) and in analyses according to the number of TNF-alpha
antagonist doses received (1 to 3 doses: RR, 1.02 [95% CI, 0.71-1.47]; 4 to 7
doses: RR, 0.89 [95% CI, 0.55-1.42]; 8 or more doses: RR, 1.29 [95% CI, 0.90
1.85]). No site-specific cancers were in significant excess in fully adjusted
models. CONCLUSIONS AND RELEVANCE: In this Danish nationwide study, exposure to
TNF-alpha antagonists among patients with IBD was not associated with an
increased risk of cancer over a median follow-up of 3.7 years among those
exposed. An increased risk associated with longer-term accumulated doses and
follow-up cannot be excluded.
PMID- 24938562
TI - Effect of selumetinib vs chemotherapy on progression-free survival in uveal
melanoma: a randomized clinical trial.
AB - IMPORTANCE: Uveal melanoma is characterized by mutations in GNAQ and GNA11,
resulting in mitogen-activated protein kinase pathway activation. OBJECTIVE: To
assess the efficacy of selumetinib, a selective, non-adenosine triphosphate
competitive inhibitor of MEK1 and MEK2, in uveal melanoma. DESIGN, SETTING, AND
PARTICIPANTS: Randomized, open-label, phase 2 clinical trial comparing
selumetinib vs chemotherapy conducted from August 2010 through December 2013
among 120 patients with metastatic uveal melanoma at 15 academic oncology centers
in the United States and Canada. INTERVENTIONS: One hundred one patients were
randomized in a 1:1 ratio to receive selumetinib, 75 mg orally twice daily on a
continual basis (n = 50), or chemotherapy (temozolomide, 150 mg/m2 orally daily
for 5 of every 28 days, or dacarbazine, 1000 mg/m2 intravenously every 21 days
[investigator choice]; n = 51) until disease progression, death, intolerable
adverse effects, or withdrawal of consent. After primary outcome analysis, 19
patients were registered and 18 treated with selumetinib without randomization to
complete the planned 120-patient enrollment. Patients in the chemotherapy group
could receive selumetinib at the time of radiographic progression. MAIN OUTCOMES
AND MEASURES: Progression-free survival, the primary end point, was assessed as
of April 22, 2013. Additional end points, including overall survival, response
rate, and safety/toxicity, were assessed as of December 31, 2013. RESULTS: Median
progression-free survival among patients randomized to chemotherapy was 7 weeks
(95% CI, 4.3-8.4 weeks; median treatment duration, 8 weeks; interquartile range
[IQR], 4.3-16 weeks) and among those randomized to selumetinib was 15.9 weeks
(95% CI, 8.4-21.1 weeks; median treatment duration, 16.1 weeks; IQR, 8.1-25.3
weeks) (hazard ratio, 0.46; 95% CI, 0.30-0.71; P < .001). Median overall survival
time was 9.1 months (95% CI, 6.1-11.1 months) with chemotherapy and 11.8 months
(95% CI, 9.8-15.7 months) with selumetinib (hazard ratio, 0.66; 95% CI, 0.41
1.06; P = .09). No objective responses were observed with chemotherapy. Forty
nine percent of patients treated with selumetinib achieved tumor regression, with
14% achieving an objective radiographic response to therapy. Treatment-related
adverse events were observed in 97% of patients treated with selumetinib, with
37% requiring at least 1 dose reduction. CONCLUSIONS AND RELEVANCE: In this
hypothesis-generating study of patients with advanced uveal melanoma, selumetinib
compared with chemotherapy resulted in a modestly improved progression-free
survival and response rate; however, no improvement in overall survival was
observed. Improvement in clinical outcomes was accompanied by a high rate of
adverse events. TRIAL REGISTRATION: clinicaltrials.gov Identifier: NCT01143402.
PMID- 24938564
TI - Thrombolysis for pulmonary embolism and risk of all-cause mortality, major
bleeding, and intracranial hemorrhage: a meta-analysis.
AB - IMPORTANCE: Thrombolytic therapy may be beneficial in the treatment of some
patients with pulmonary embolism. To date, no analysis has had adequate
statistical power to determine whether thrombolytic therapy is associated with
improved survival, compared with conventional anticoagulation. OBJECTIVE: To
determine mortality benefits and bleeding risks associated with thrombolytic
therapy compared with anticoagulation in acute pulmonary embolism, including the
subset of hemodynamically stable patients with right ventricular dysfunction
(intermediate-risk pulmonary embolism). DATA SOURCES: PubMed, the Cochrane
Library, EMBASE, EBSCO, Web of Science, and CINAHL databases from inception
through April 10, 2014. STUDY SELECTION: Eligible studies were randomized
clinical trials comparing thrombolytic therapy vs anticoagulant therapy in
pulmonary embolism patients. Sixteen trials comprising 2115 individuals were
identified. Eight trials comprising 1775 patients specified inclusion of patients
with intermediate-risk pulmonary embolism. DATA EXTRACTION AND SYNTHESIS: Two
reviewers independently extracted trial-level data including number of patients,
patient characteristics, duration of follow-up, and outcomes. MAIN OUTCOMES AND
MEASURES: The primary outcomes were all-cause mortality and major bleeding.
Secondary outcomes were risk of recurrent embolism and intracranial hemorrhage
(ICH). Peto odds ratio (OR) estimates and associated 95% CIs were calculated
using a fixed-effects model. RESULTS: Use of thrombolytics was associated with
lower all-cause mortality (OR, 0.53; 95% CI, 0.32-0.88; 2.17% [23/1061] vs 3.89%
[41/1054] with anticoagulants; number needed to treat [NNT] = 59) and greater
risks of major bleeding (OR, 2.73; 95% CI, 1.91-3.91; 9.24% [98/1061] vs 3.42%
[36/1054]; number needed to harm [NNH] = 18) and ICH (OR, 4.63; 95% CI, 1.78
12.04; 1.46% [15/1024] vs 0.19% [2/1019]; NNH = 78). Major bleeding was not
significantly increased in patients 65 years and younger (OR, 1.25; 95% CI, 0.50
3.14). Thrombolysis was associated with a lower risk of recurrent pulmonary
embolism (OR, 0.40; 95% CI, 0.22-0.74; 1.17% [12/1024] vs 3.04% [31/1019]; NNT =
54). In intermediate-risk pulmonary embolism trials, thrombolysis was associated
with lower mortality (OR, 0.48; 95% CI, 0.25-0.92) and more major bleeding events
(OR, 3.19; 95% CI, 2.07-4.92). CONCLUSIONS AND RELEVANCE: Among patients with
pulmonary embolism, including those who were hemodynamically stable with right
ventricular dysfunction, thrombolytic therapy was associated with lower rates of
all-cause mortality and increased risks of major bleeding and ICH. However,
findings may not apply to patients with pulmonary embolism who are
hemodynamically stable without right ventricular dysfunction.
PMID- 24938566
TI - Exercise for depression.
AB - CLINICAL QUESTION: Is exercise an effective treatment for depression? BOTTOM
LINE: Exercise is associated with a greater reduction in depression symptoms
compared with no treatment, placebo, or active control interventions, such as
relaxation or meditation. However, analysis of high-quality studies alone
suggests only small benefits.
PMID- 24938565
TI - Does this patient have an exudative pleural effusion? The Rational Clinical
Examination systematic review.
AB - IMPORTANCE: Thoracentesis is performed to identify the cause of a pleural
effusion. Although generally safe, thoracentesis may be complicated by transient
hypoxemia, bleeding, patient discomfort, reexpansion pulmonary edema, and
pneumothorax. OBJECTIVE: To identify the best means for differentiating between
transudative and exudative effusions and also to identify thoracentesis
techniques for minimizing the risk of complications by performing a systematic
review the evidence. DATA SOURCES: We searched The Cochrane Library, MEDLINE, and
Embase from inception to February 2014 to identify relevant studies. STUDY
SELECTION: We included randomized and observational studies of adult patients
undergoing thoracentesis that examined diagnostic tests for differentiating
exudates from transudates and evaluated thoracentesis techniques associated with
a successful procedure with minimal complications. DATA EXTRACTION AND SYNTHESIS:
Two investigators independently appraised study quality and extracted data from
studies of laboratory diagnosis of pleural effusion for calculation of likelihood
ratios (LRs; n = 48 studies) and factors affecting adverse event rates (n = 37
studies). RESULTS: The diagnosis of an exudate was most accurate if cholesterol
in the pleural fluid was greater than 55 mg/dL (LR range, 7.1-250), lactate
dehydrogenase (LDH) was greater than 200 U/L (LR, 18; 95% CI, 6.8-46), or the
ratio of pleural fluid cholesterol to serum cholesterol was greater than 0.3 (LR,
14; 95% CI, 5.5-38). A diagnosis of exudate was less likely when all Light's
criteria (a ratio of pleural fluid protein to serum protein >0.5, a ratio of
pleural fluid LDH to serum LDH >0.6, or pleural fluid LDH >two-thirds the upper
limit of normal for serum LDH) were absent (LR, 0.04; 95% CI, 0.02-0.11). The
most common complication of thoracentesis was pneumothorax, which occurred in
6.0% of cases (95% CI, 4.0%-7.0%). Chest tube placement was required in 2.0% of
procedures (95% CI, 0.99%-2.9%) in which a patient was determined to have
radiographic evidence of a pneumothorax. With ultrasound, a radiologist's marking
the needle insertion site was not associated with decreased pneumothorax events
(skin marking vs no skin marking odds ratio [OR], 0.37; 95% CI, 0.08-1.7). Use of
ultrasound by any experienced practitioner also was not associated with decreased
pneumothorax events (OR, 0.55; 95% CI, 0.06-5.3). CONCLUSIONS AND RELEVANCE:
Light's criteria, cholesterol and pleural fluid LDH levels, and the pleural fluid
cholesterol-to-serum ratio are the most accurate diagnostic indicators for
pleural exudates. Ultrasound skin marking by a radiologist or ultrasound-guided
thoracentesis were not associated with a decrease in pneumothorax events.
PMID- 24938567
TI - Lung opacification.
PMID- 24938568
TI - Changes in health and medical spending among young adults under health reform.
PMID- 24938569
TI - Number of human papillomavirus vaccine doses and condyloma.
PMID- 24938570
TI - Number of human papillomavirus vaccine doses and condyloma--reply.
PMID- 24938571
TI - Home-based exercise and hip fracture rehabilitation.
PMID- 24938572
TI - Home-based exercise and hip fracture rehabilitation--reply.
PMID- 24938573
TI - Withdrawal of Personal Genome Service.
PMID- 24938574
TI - Withdrawal of Personal Genome Service--reply.
PMID- 24938578
TI - Landmarks in simple pleural effusions.
PMID- 24938579
TI - JAMA patient page. Gastroesophageal reflux disease.
PMID- 24938580
TI - Effect of tadalafil once daily on prostate blood flow and perfusion in men with
lower urinary tract symptoms secondary to benign prostatic hyperplasia: a
randomized, double-blind, multicenter, placebo-controlled trial.
AB - OBJECTIVE: To assess effects of tadalafil vs placebo on prostatic blood flow
measured by transrectal ultrasonography in men aged >=45 years with moderate-to
severe benign prostatic hyperplasia-lower urinary tract symptoms. METHODS: After
screening and washout, patients were randomized to placebo (n = 50) or tadalafil
5 mg (n = 47) once daily for 8 weeks. Transrectal ultrasonography was performed
at baseline, 4, and 8 weeks. The primary efficacy measure was the prostate
transition zone (TZ) resistive index (RI). Secondary efficacy measures were RI in
the peripheral zone and bladder neck, color pixel intensity (CPI), and color
pixel density (CPD) in all 3 regions. Outcomes were assessed using mixed-model
repeated-measures analyses. RESULTS: The overall treatment effect (tadalafil vs
placebo) for the change from baseline through week 8 in prostate TZ RI was not
statistically significant (least squares mean change: placebo, -0.01; tadalafil,
0.00; P = .118), nor was the change from baseline in prostate TZ CPI (P = .564)
or CPD (P = .592). Results were similar for all flow measures in prostate
peripheral zone and bladder neck. The adverse event profile was consistent with
previous studies with no new safety findings. CONCLUSION: Tadalafil for 8 weeks
in men with BPH-LUTS did not result in detectable decreases in arterial RI or
increases in CPI or CPD in the prostate or bladder neck. Detection of changes may
not be possible because of already low baseline RI, insufficient sensitivity of
techniques used, or may have been confounded by methodologic variability across
sites. Alternatively, other possible mechanisms not assessed in this study may be
more prominently involved.
PMID- 24938581
TI - Editorial comment.
PMID- 24938582
TI - Reply: To PMID 24938580.
PMID- 24938583
TI - Elimination of central sleep apnea by cardiac valve replacement: a continuous
follow-up study in patients with rheumatic valvular heart disease.
AB - BACKGROUND: Recent studies have suggested that cardiac surgery may affect sleep
disordered breathing (SDB) in chronic heart failure patients. However, the
dynamic changes in sleep apnea and heart function after cardiac surgery and the
mechanisms responsible for these changes remain unknown. METHODS: Patients with
rheumatic valvular heart disease (RVHD) and SDB were enrolled and followed up at
three, six and 12 months after cardiac valve replacement (CVR). Baseline and
follow-up clinical data consisting of NYHA classification, 6min walk distance (6
MWD), medications, echocardiography, electrocardiography, chest X-ray, arterial
blood gas, lung-to-finger circulation time (LFCT), and sleep data were collected
and evaluated. RESULTS: Twenty-four central sleep apnea (CSA) patients and 15
obstructive sleep apnea (OSA) patients completed three follow-up assessments.
Comparison of the baseline parameters between OSA patients and CSA patients
showed that CSA patients had a worse baseline cardiac function assessed by higher
NYHA class, shorter 6-MWD, larger left atrial diameter, longer LFCT, and enhanced
chemosensitivity (higher pH and lower arterial carbon dioxide tension (PaCO2)). A
continuous significant elevation in 6-MWD and left ventricular ejection fraction
and decrease in NYHA class, plasma BNP, and left atrial diameter were found in
both CSA and OSA patients. When comparing CSA and OSA patients, the CSA indices
were remarkably reduced at month 3 post CVR and sustained throughout the trial,
whereas there were no significant decreases in OSA index and hypopnea index. pH
values and LFCT were markedly decreased and PaCO2 markedly increased in patients
with CSA at the end of the third months following CVR. These changes were
sustained until the end of the trial. CONCLUSIONS: CSA patients with RVHD had a
worse baseline cardiac function, enhanced chemosensitivity and disordered
hemodynamic as compared with OSA patients with RVHD. CSA were eliminated after
CVR; however, there were no changes in OSA. The elimination of CSA, post CVR, is
associated with the combined efficacies of improvement of cardiac function,
normalized chemosensitivity, and stabilized hemodynamic.
PMID- 24938584
TI - Validation study of REM sleep behavior disorder questionnaire-Hong Kong (RBDQ-HK)
in east China.
AB - OBJECTIVE: To validate the REM Sleep Behavior Disorder (RBD) Questionnaire-Hong
Kong (RBDQ-HK) in polysomnography (PSG)-confirmed RBD and non-RBD subjects, and
to evaluate its usefulness in different clinical populations. METHODS: In total,
325 subjects (115 RBD and 210 controls) from East China were enrolled. After
patients had finished the structured interview, and completed the RBDQ-HK and
video-PSG test, we evaluated the reliability of RBDQ-HK (areas under the curves
(AUC), the best cut-off values, factor 2 of RBDQ-HK, and overall scale) and
validated the usefulness of RBDQ-HK between the Parkinson disease (PD) and
obstructive sleep apnea (OSA) groups. RESULTS: The best cut-off values for factor
2 of RBDQ-HK were located at 7/8 with a sensitivity of 90% and specificity of 82%
(AUC=0.911), and for RBDQ-HK overall scale were located at 17 with a sensitivity
of 85% and specificity of 81% (AUC=0.892) in all subjects. Both factor 2 and
overall scale of RBDQ-HK are valid in all subjects (PD and OSA patients), with a
higher accuracy given by factor 2 of RBDQ-HK. CONCLUSIONS: RBDQ-HK and its factor
2 are useful and validated RBD screening instruments, and could be used as a tool
for screening RBD in patients with PD and OSA.
PMID- 24938586
TI - Novel strategies to improve early outcomes following total knee arthroplasty: a
case control study of intra articular injection versus femoral nerve block.
AB - PURPOSE: The incidence of total joint arthroplasty is rapidly increasing. As
costs are rising as well, orthopaedic surgeons are now being called on to
demonstrate the value of our procedures. We recently evaluated a new technique of
local injection in total knee arthroplasty (TKA) as a potential means to improve
quality and decrease costs. METHODS: A case-control study was performed. One
hundred patients were prospectively studied receiving a novel local injection
using liposomal bupivicaine in TKA. These were compared to a historical cohort of
100 patients receiving a femoral nerve catheter. RESULTS: We found that patients
receiving liposomal bupivicaine had improved pain scores, shorter lengths of
stay, slightly less opioid intake, and large decreases in costs. CONCLUSION:
Local injection in TKA with liposomal bupivicaine appears to be a useful tool in
adding value to patient care. This technique was shown to improve patient
outcomes while simultaneously decreasing costs.
PMID- 24938587
TI - Sexual attraction and psychological adjustment in Dutch adolescents: coping style
as a mediator.
AB - This study examined whether feelings of same-sex attraction (SSA) in 12- to 15
year-old Dutch adolescents were related to psychological health (self-esteem and
psychological distress) and whether this relation was mediated by coping styles
and moderated by biological sex. Data were collected from 1,546 high school
students (802 boys and 744 girls; M age = 13.57 years) by means of standardized
measurements. SSA was found to predict lower levels of self-esteem and higher
levels of psychological distress. Further analyses showed that passive coping
style partly mediated these associations. This mediation was not moderated by
biological sex. The findings suggest that in understanding and addressing mental
health disparities between sexual minorities and heterosexual youth attention
should be paid to intrapersonal psychological factors such as coping styles.
PMID- 24938588
TI - Why can't pedophilic disorder remit?
PMID- 24938585
TI - Parkinson's disease and REM sleep behavior disorder result in increased non-motor
symptoms.
AB - OBJECTIVE: Rapid eye movement (REM)-sleep behavior disorder (RBD) is often
comorbid with Parkinson's disease (PD). The current study aimed to provide a
detailed understanding of the impact of having RBD on multiple non-motor symptoms
(NMS) in patients with PD. METHODS: A total of 86 participants were evaluated for
RBD and assessed for multiple NMS of PD. Principal component analysis was
utilized to model multiple measures of NMS in PD, and a multivariate analysis of
variance was used to assess the relationship between RBD and the multiple NMS
measures. Seven NMS measures were assessed: cognition, quality of life, fatigue,
sleepiness, overall sleep, mood, and overall NMS of PD. RESULTS: Among the PD
patients, 36 were classified as having RBD (objective polysomnography and
subjective findings), 26 as not having RBD (neither objective nor subjective
findings), and 24 as probably having RBD (either subjective or objective
findings). RBD was a significant predictor of increased NMS in PD while
controlling for dopaminergic therapy and age (p=0.01). The RBD group reported
more NMS of depression (p=0.012), fatigue (p=0.036), overall sleep (p=0.018), and
overall NMS (p=0.002). CONCLUSION: In PD, RBD is associated with more NMS,
particularly increased depressive symptoms, sleep disturbances, and fatigue. More
research is needed to assess whether PD patients with RBD represent a subtype of
PD with different disease progression and phenomenological presentation.
PMID- 24938589
TI - On the definition of female sexual interest/arousal disorder.
PMID- 24938591
TI - Efficiency of radiation protection equipment in interventional radiology: a
systematic Monte Carlo study of eye lens and whole body doses.
AB - Monte Carlo calculations were used to investigate the efficiency of radiation
protection equipment in reducing eye and whole body doses during fluoroscopically
guided interventional procedures. Eye lens doses were determined considering
different models of eyewear with various shapes, sizes and lead thickness. The
origin of scattered radiation reaching the eyes was also assessed to explain the
variation in the protection efficiency of the different eyewear models with
exposure conditions. The work also investigates the variation of eye and whole
body doses with ceiling-suspended shields of various shapes and positioning. For
all simulations, a broad spectrum of configurations typical for most
interventional procedures was considered. Calculations showed that 'wrap around'
glasses are the most efficient eyewear models reducing, on average, the dose by
74% and 21% for the left and right eyes respectively. The air gap between the
glasses and the eyes was found to be the primary source of scattered radiation
reaching the eyes. The ceiling-suspended screens were more efficient when
positioned close to the patient's skin and to the x-ray field. With the use of
such shields, the Hp(10) values recorded at the collar, chest and waist level and
the Hp(3) values for both eyes were reduced on average by 47%, 37%, 20% and 56%
respectively. Finally, simulations proved that beam quality and lead thickness
have little influence on eye dose while beam projection, the position and head
orientation of the operator as well as the distance between the image detector
and the patient are key parameters affecting eye and whole body doses.
PMID- 24938590
TI - Colorectal cancer of the elderly.
AB - OPINION STATEMENT: Colorectal cancer (CRC) disproportionately affects the
elderly. Older age is a strong risk factor for both the development of
precancerous adenomas and CRC, thus raising the issue of screening and
surveillance in older patients. However, screening and surveillance decisions in
the elderly can be complex and challenging. Elderly patients are a diverse and
heterogeneous group and special considerations such as co-morbid medical
conditions, functional status, and cognitive ability play a role in one's
decisions regarding the utility of screening and surveillance. Such
considerations also play a role in factors related to screening modalities, such
as colonoscopy, as well as CRC treatment options and regimens. This review
addresses many of the unique factors associated with CRC of the elderly and
critically examines many of the controversies and challenges surrounding CRC in
older patients.
PMID- 24938592
TI - Self-assembled capsules based on tetrafunctionalized calix[4]resorcinarene
cavitands.
AB - Calix[4]resorcinarene-based cavitands with a bowl-shaped aromatic cavity are
widely used as scaffolds for covalently bound and self-assembled capsules. There
are two main categories of calix[4]resorcinarene-based cavitands that are
tetrafunctionalized at the upper (wider) rim: one category includes derivatives
that have functionalized bridges between pairs of hydroxy groups of the
calix[4]resorcinarene, and the second category includes derivatives with
functional groups at the 2-position on the resorcinol ring and the methylene
bridge between pairs of hydroxy groups. This review describes capsular self
assemblies of the latter type of methylene-bridge cavitands, which are formed
through hydrogen bonds, metal-coordination bonds, and dynamic covalent bonds.
PMID- 24938593
TI - Effect of nitrogen fertilisation and irrigation on phenolic content, phenolic
acid composition, and antioxidant activity of winter wheat grain.
AB - BACKGROUND: Understanding the variance of antioxidant in wheat grain responses to
irrigation and nitrogen (N) fertiliser management will improve the nutrient
quality of wheat grain. Four N rates (0, 180, 240, and 300 kg ha(-1)) combined
with irrigation times (I0, no irrigation; I1, jointing time irrigation; I2,
jointing + flowering time irrigation), were used to determine the effect of N
fertilisation and irrigation on total phenolic content (TPC), phenolic acid
composition, and antioxidant activity (AOA) of wheat grain. RESULTS: Irrigation,
N fertilisation and their interactions had significant effect on TPC, total
flavonoid content (TFC), AOA, p-coumaric acid (PCA), as well as vanillic acid
(VA) and chlorogenic acid (CA). I1 N300 treatment had the highest TPC at
Zhengzhou and Wenxian (1451.5 ug g(-1) and 1397.9 ug g(-1), respectively)
location, while I1 N240 resulted in the highest TFC (0.75 mg g(-1)) and VA (19.77
ug g(-1)) at Wenxian. TPC, TFC, AOA, ferulic acid (FA), PCA and VA increased with
N application rate (from 180 to 300 kg N ha(-1)). CONCLUSION: An appropriate
irrigation and N management improved antioxidant content and AOA in wheat grain.
Generally, I1 N240 and I1 N300 treatment resulted in the higher TPC, TFC, AOA, as
well as phenolic acid, i.e. FA and VA.
PMID- 24938594
TI - Is plasma C3 and C4 levels useful in young cerebral ischemic stroke patients?
Associations with prognosis at 3 months.
AB - Plasma complement C3 and C4 act as risk factor for vascular diseases related to
atherosclerosis. The association C3 and C4 levels in young ischemic stroke
patients with the prognosis were still not unknown. We conducted this study to
establish the significance of admission C3 and C4 levels as a possible predictor
of 3 months prognosis in young patients with acute ischemic stroke. We conducted
this study in 1,451 young Chinese patients as determined by the modified Rankin
Scale at 3 months. Bivariate logistic regression analyses were used to determine
the risk factors of outcome in male and female patients. Stepwise logistic
regression analysis confirmed only the lowest quartile of C3 level (0.17-0.90
g/L) was independently associated with prognosis in male patient after adjustment
the confounding risk factors of stroke [0.558 (0.382-0.815); P = 0.003], but not
the association for plasma C4 levels. Meanwhile, serum SUA and WBC
concentrations, TIA history are typically related to prognosis at 3 months after
acute ischemic stroke. Our analysis does provide compelling information regarding
the baseline complement C3 levels in young ischemic stroke patients as possible
predictors of early prognosis after 3 months of acute phase. Thus, our results
must be seen as a hypothesis only and will have to be confirmed in larger trials.
PMID- 24938595
TI - The stoichiometry of scaffold complexes in living neurons - DLC2 functions as a
dimerization engine for GKAP.
AB - Quantitative spatio-temporal characterization of protein interactions in living
cells remains a major challenge facing modern biology. We have investigated in
living neurons the spatial dependence of the stoichiometry of interactions
between two core proteins of the N-methyl-D-aspartate (NMDA)-receptor-associated
scaffolding complex, GKAP (also known as DLGAP1) and DLC2 (also known as DYNLL2),
using a novel variation of fluorescence fluctuation microscopy called two-photon
scanning number and brightness (sN&B). We found that dimerization of DLC2 was
required for its interaction with GKAP, which, in turn, potentiated GKAP self
association. In the dendritic shaft, the DLC2-GKAP hetero-oligomeric complexes
were composed mainly of two DLC2 and two GKAP monomers, whereas, in spines, the
hetero-complexes were much larger, with an average of ~16 DLC2 and ~13 GKAP
monomers. Disruption of the GKAP-DLC2 interaction strongly destabilized the
oligomers, decreasing the spine-preferential localization of GKAP and inhibiting
NMDA receptor activity. Hence, DLC2 serves a hub function in the control of
glutamatergic transmission by ordering GKAP-containing complexes in dendritic
spines. Beyond illuminating the role of DLC2-GKAP interactions in glutamatergic
signaling, these data underscore the power of the sN&B approach for quantitative
spatio-temporal imaging of other important protein complexes.
PMID- 24938597
TI - Procentriole assembly without centriole disengagement - a paradox of male
gametogenesis.
AB - Disengagement of parent centrioles represents the licensing process to restrict
centriole duplication exactly once during the cell cycle. However, we provide
compelling evidence that this general rule is overridden in insect gametogenesis,
when distinct procentrioles are generated during prophase of the first meiosis
while parent centrioles are still engaged. Moreover, the number of procentrioles
increases during the following meiotic divisions, and up to four procentrioles
were found at the base of each mother centriole. However, procentrioles fail to
organize a complete set of A-tubules and are thus unable to function as a
template for centriole formation. Such a system, in which procentrioles form but
halt growth, represents a unique model to analyze the process of cartwheel
assembly and procentriole formation.
PMID- 24938598
TI - Application of mathematical modeling in sustained release delivery systems.
AB - INTRODUCTION: This review, presenting as starting point the concept of the
mathematical modeling, is aimed at the physical and mathematical description of
the most important mechanisms regulating drug delivery from matrix systems. The
precise knowledge of the delivery mechanisms allows us to set up powerful
mathematical models which, in turn, are essential for the design and optimization
of appropriate drug delivery systems. AREAS COVERED: The fundamental mechanisms
for drug delivery from matrices are represented by drug diffusion, matrix
swelling, matrix erosion, drug dissolution with possible recrystallization (e.g.,
as in the case of amorphous and nanocrystalline drugs), initial drug distribution
inside the matrix, matrix geometry, matrix size distribution (in the case of
spherical matrices of different diameter) and osmotic pressure. Depending on
matrix characteristics, the above-reported variables may play a different role in
drug delivery; thus the mathematical model needs to be built solely on the most
relevant mechanisms of the particular matrix considered. EXPERT OPINION: Despite
the somewhat diffident behavior of the industrial world, in the light of the most
recent findings, we believe that mathematical modeling may have a tremendous
potential impact in the pharmaceutical field. We do believe that mathematical
modeling will be more and more important in the future especially in the light of
the rapid advent of personalized medicine, a novel therapeutic approach intended
to treat each single patient instead of the 'average' patient.
PMID- 24938599
TI - Effect of phytosterols on rumen fermentation in vitro.
AB - We investigated the effect of phytosterols on rumen fermentation in vitro using
gas syringes as incubators. Phytosterols were dissolved in ethyl acetate (8.3%)
and added at various concentrations to the common diet in rumen fluid. In vitro
gas production (GP) was recorded after 3, 6, 12, 18, and 24 h incubation.
Incubation was stopped at 6, 12, and 24 h and the inoculants were then tested for
pH, dry matter digestibility (DMD), microbial protein yield (MCP), lactic acid,
NH3-N, and volatile fatty acids (VFAs). GP was consistently higher than the
control; particularly, treatments at 12, 18, and 24 h reached extremely
significant levels (P < 0.01). Compared to the control group, the pH of ruminal
fluid was slightly lower after incubation, and DMD and MCP increased with
increasing phytosterol level except for the content of MCP at 6 h, which changed
only minimally. Lactate was significantly lower after treatment compared to the
control at 12 h (P < 0.01) and 24 h (P < 0.05), while NH3-N at 12 h (P < 0.05)
and 24 h (P < 0.01) after treatment decreased significantly. Acetate, propionate,
butyrate, and total VFA for all treatments were higher than those of the control,
particularly for butyrate at 6 h (P < 0.01). These results suggest that
phytosterols modify rumen fermentation by inhibiting released harmful products
and promoting the release of beneficial product, which may be useful for
improving nutrient utilization and animal health.
PMID- 24938600
TI - Association of BRCA2 variants with cardiovascular disease in Saudi Arabia.
AB - Abnormalities in the breast cancer tumor suppressor genes (BRCA1 and BRCA2) are
associated with breast and ovarian cancer. Recently, two single nucleotide
polymorphisms (SNPs; rs11571836 and rs1799943) were identified, both located in
untranslated regions of chromosome 13, associated with cardiovascular disease
(CVD) in a multi-ethnic population. We examined the association between these
BRCA2 polymorphisms and traits of CVD patients from Saudi Arabia. We genotyped
rs11571836 and rs1799943 in 159 unrelated CVD patients and 176 healthy controls.
The genotype and allele distributions in the overall population revealed a
statistically significant association between rs1799943 and CVD (P = 0.01-0.022),
whereas no risk association was identified for rs11571836. Additionally,
haplotype analysis using both SNPs demonstrated no association between the SNPs
and CVD. The genotype distribution of the 2 SNPs in the normal Saudi population
deviated significantly (P < 0.000001) from that of the 6 different HapMap
populations (CEU, CHB-Han, JPT, YRI, GIH, and MKK), except for the JPT population
for rs1799943. This is the first study to examine the association between these
SNPs and CVD in a Saudi population. Our results suggest that the increased health
risk associated with the rs11571836 genotype is specific to male patients
suffering from CVD. Stratification of patients and controls based on gender
revealed no association between rs1799943 and the risk of CVD in either gender.
These SNPs should be evaluated in larger cohorts in different populations to
determine their suitability as screening markers for predicting CVD risk earlier
in life to implement necessary preventive measures.
PMID- 24938596
TI - Phosphorylation of nucleoporin Tpr governs its differential localization and is
required for its mitotic function.
AB - A major constituent of the nuclear basket region of the nuclear pore complex
(NPC), nucleoporin Tpr, plays roles in regulating multiple important processes.
We have previously established that Tpr is phosphorylated in both a MAP-kinase
dependent and MAP-kinase-independent manner, and that Tpr acts as both a
substrate and as a scaffold for ERK2 (also known as MAPK1). Here, we report the
identification of S2059 and S2094 as the major novel ERK-independent
phosphorylation sites and T1677, S2020, S2023 and S2034 as additional ERK
independent phosphorylation sites found in the Tpr protein in vivo. Our results
suggest that protein kinase A phosphorylates the S2094 residue and that the site
is hyperphosphorylated during mitosis. Furthermore, we find that Tpr is
phosphorylated at the S2059 residue by CDK1 and the phosphorylated form
distinctly localizes with chromatin during telophase. Abrogation of S2059
phosphorylation abolishes the interaction of Tpr with Mad1, thus compromising the
localization of both Mad1 and Mad2 proteins, resulting in cell cycle defects. The
identification of novel phosphorylation sites on Tpr and the observations
presented in this study allow better understanding of Tpr functions.
PMID- 24938601
TI - Cytogenetic and molecular identification of a wheat-Leymus mollis alien multiple
substitution line from octoploid Tritileymus x Triticum durum.
AB - Leymus mollis (Trin.) Pilger (NsNsXmXm, 2n = 28), a wild relative of common
wheat, possesses many traits that are potentially valuable for wheat improvement.
In order to exploit and utilize the useful genes of L. mollis, we developed a
multiple alien substitution line, 10DM50, from the progenies of octoploid
Tritileymus M842-16 x Triticum durum cv. D4286. Genomic in situ hybridization
analysis of mitosis and meiosis (metaphase I), using labeled total DNA of
Psathyrostachys huashanica as probe, showed that the substitution line 10DM50 was
a cytogenetically stable alien substitution line with 36 chromosomes from wheat
and three pairs of Ns genome chromosomes from L. mollis. Simple sequence repeat
analysis showed that the chromosomes 3D, 6D, and 7D were absent in 10DM50.
Expressed sequence tag-sequence tagged sites analysis showed that new chromatin
from 3Ns, 6Ns, and 7Ns of L. mollis were detected in 10DM50. We deduced that the
substitution line 10DM50 was a multiple alien substitution line with the 3D, 6D,
and 7D chromosomes replaced by 3Ns, 6Ns, and 7Ns from L. mollis. 10DM50 showed
high resistance to leaf rust and significantly improved spike length, spikes per
plant, and kernels per spike, which are correlated with higher wheat yield. These
results suggest that line 10DM50 could be used as intermediate material for
transferring desirable traits from L. mollis into common wheat in breeding
programs.
PMID- 24938602
TI - Identification of spliced mRNA isoforms of retinoid X receptor (RXR) in the
Oriental freshwater prawn Macrobrachium nipponense.
AB - Retinoid X receptors (RXR) are members of the nuclear receptor family that are
conserved from invertebrates to vertebrates, and they play an essential role in
regulating reproductive maturation, molting, and embryo development. In this
study, five RXR isoforms, named RXRL2 (L, long form), RXRL3, RXRS1 (S, short
form), RXRS2, and RXRS3, containing six domains from A to F, were cloned from the
prawn Macrobrachium nipponense using 5'- and 3'- rapid amplification of cDNA
ends. Differences among their structures were observed not only in the D and E
domains but also in the A/B domain, which were previously found in insects but
not in crustaceans. This is the first report to show that differences occur in
the A/B domain of RXR in crustaceans. RXR expressions were also examined in
various tissues including the ovary, testis, muscle, hepatopancreas, heart, gill,
stomach, intestine, and cuticle. Expression pattern investigations indicated that
the five isoforms were differentially expressed. RXRS3 was only detected in the
ovary, and the other RXRs were abundant in the ovary and testis. These data
suggested that RXR mediates a series of processes related to reproduction.
PMID- 24938603
TI - Mapping of quantitative trait loci for the bolting trait in Brassica rapa under
vernalizing conditions.
AB - Premature bolting can occur occasionally during spring cultivation of heading
Chinese cabbage in East Asia when the plants encounter low temperatures
(vernalization), leading to economic loss. Breeding bolting-resistant cultivars
is the best choice for solving this problem. We looked for QTLs responsible for
varietal differences in the bolting trait in Brassica rapa under environmental
conditions that promote vernalization. To achieve this goal, we constructed a
linkage map with 107 simple sequence repeats and 54 insertion/deletion markers
based on a segregating population of 186 F2 individuals. The resulting map
consisted of 10 linkage groups and covered a total length of 947.1 cM, with an
average genetic distance of 5.84 cM between adjacent markers. QTL analysis of the
bolting trait was performed by two phenotypic evaluations (bolting index and
flowering time) based on the scores in an F2 population in the spring of 2010,
and scores in F2:3 families in autumn 2010 and spring 2011, respectively. Twenty
six QTLs that controlled bolting were detected, accounting for 2.6 to 31.2% of
the phenotypic variance. The detected QTLs with large effects co-localized mainly
on linkage groups A02, A06, and A07. These QTLs may provide useful information
for marker-assisted selection in a breeding program for late bolting or bolting
resistant cultivars in B. rapa crops.
PMID- 24938604
TI - Expression of RUNX3 gene in pancreatic adenocarcinoma and its clinical
significance.
AB - We investigated the clinical significance of RUNX3 gene expression in human
pancreatic carcinoma. Five samples of pancreatic tissues and 30 samples of
pancreatic cancer tissues and paracancerous tissues were collected. RUNX3
expression was detected by real-time PCR and immunohistochemistry. The
relationships between clinicopathological findings and the expression of RUNX3
were analyzed. The relative quantification level of RUNX3 mRNA expression in
human pancreatic carcinoma tissues and paracancerous tissues was 2.60 (0.42
12.82) and 1.02 (0.19-3.58), respectively (P < 0.05). The percentage of positive
cells expressing RUNX3 protein in human pancreatic tissues and paracancerous
tissues was 45.5 +/- 26.2 and 6.9 +/- 6.0%, respectively (P < 0.01). The high
RUNX3 group (N = 9) with 45.5% or more of the cancer cells staining for RUNX3 and
the low RUNX3 group (N = 21) with less than 45.5% cancer cells staining for
RUNX3. Low expression of RUNX3 correlated significantly with an advanced TNM
stage (chi(2) = 6.897, P = 0.045), lymph node metastasis (chi(2) = 4.739, P =
0.029) and neural invasion (chi(2) = 5.44, P = 0.020). On the other hand, no
association could be found between RUNX3 expression and clinicopathological
variables including age, gender, tumor location, tumor size, tumor
differentiation or the serum concentration of CEA and CA199. The expression of
RUNX3 in pancreatic cancer tissues was obviously higher than that in the
paracancerous tissues. Low expression of RUNX3 may have an important role in
aggressiveness, lymph node metastasis and neural invasion in pancreatic cancer.
In pancreatic carcinoma tissues, low expression of RUNX3 may indicate a poor
prognosis.
PMID- 24938605
TI - Novel method to establish molecular identity using inter-simple sequence repeat
markers in cotton (Gossypium hirsutum) cultivars.
AB - Plant molecular identity (ID) is used to describe molecular characteristics of
plants, which should contain all of the necessary information. Using inter-simple
sequence repeat (ISSR) primers, molecular ID can be described in a way that
reflects the polymerase chain reaction (PCR) conditions, annealing temperature,
and the bands obtained in PCR amplification. A new complete molecular ID system
is described in this study, which can be easily used and expanded to include more
information. Using three cotton cultivars, we analyzed the products of PCR with
ISSR primers and discussed the strategy for establishing their molecular ID.
Using the segmented naming method, we designate the simple names and the full
name systems of these three cultivars.
PMID- 24938606
TI - Analysis of cell cycle shortening and developmental interruption in Dictyostelium
discoideum allC RNAi mutants.
AB - Dictyostelium discoideum allC RNAi mutant cells are motile and aggregate
together, but do not undergo further morphological development. The relatively
quick growth rate of allC RNAi mutants compared to wild-type D. discoideum
results in a shortened mutant cell cycle. However, at present, little is known
about the mechanism underlying this phenomenon. Here, we used semi-quantitative
reverse transcription-polymerase chain reaction (RT-PCR), real-time quantitative
RT-PCR, two-dimensional gel electrophoresis, and mass spectrometry/mass
spectrometry to elucidate the phenomenon. We found significant downregulation of
myosin II heavy chain, D. discoideum calcium-dependent cell adhesion molecule-1
(DdCAD-1) mRNA, DdCAD-1 protein, D. discoideum mRNA for 14-3-3 and 14-3-3
protein, and type A von Willebrand factor domain-containing protein mRNA in allC
RNAi mutants. The results suggest that downregulation of the myosin II heavy
chain could be one of key factors causing the developmental interruption and that
downregulation of the 14-3-3 protein and the type A von Willebrand factor domain
containing protein mRNA plays an important role in shortening the cell cycle of
allC RNAi mutants.
PMID- 24938607
TI - Polymorphic microsatellite loci isolated from Cervus unicolor (Cervidae) show
inbreeding in a domesticated population of Taiwan Sambar deer.
AB - Primers for eight microsatellites were developed; they successfully amplified DNA
from 20 domesticated Formosan Sambar deer (Cervus unicolor swinhoei). All loci
were polymorphic, with 10-19 alleles per locus. The average observed
heterozygosity across loci and samples was 0.310, ranging from 0 to 0.750 at each
locus. All loci but one, CU18, deviated from Hardy-Weinberg equilibrium due to
excessive homozygosity in these domesticated broodstocks, reflecting inbreeding.
These microsatellite loci will be useful, not only for assessment of population
structure and genetic variability, but also for conservation of wild deer
populations in Taiwan.
PMID- 24938608
TI - A new reliable reference gene UBA52 for quantitative real-time polymerase chain
reaction studies in pyloric cecal tissues of the starfish Asterias rubens.
AB - The starfish Asterias rubens is one of the most abundant echinoderm species in
the White, Barents, North, and Baltic Seas. This species is an important
component of marine ecosystems and a model object for certain biological studies,
in particular those requiring quantitative estimation of gene expression. As a
rule, expression at the transcriptional level is estimated by real-time qPCR
using the DeltaDeltaCt method, which allows the comparison of the copy number of
target gene transcripts in samples with unknown mRNA/cDNA concentration.
Application of this method requires normalization of the results relative to
genes with stable expression levels (reference genes). The identification of
reference genes is still a challenging task since data of this kind are missing
for certain taxa, whereas the use of "standard" endogenous control genes without
additional tests might lead to erroneous conclusions. We performed a preliminary
analysis of the expression of many housekeeping genes in the pyloric ceca of A.
rubens by high-throughput sequencing under normal and heat shock conditions. For
one of them, the ubiquitin gene UBA52, low variation of expression (not greater
than 2-fold) was shown using real-time qPCR. Tissues of pyloric ceca of normal
adults and underyearlings and of adults after heat shock were used. The data
obtained suggest that the UBA52 gene may be used as reference for normalization
of gene expression at the mRNA level in the starfish A. rubens and probably in
closely related species.
PMID- 24938609
TI - In vitro cytotoxicity screening of wild plant extracts from Saudi Arabia on human
breast adenocarcinoma cells.
AB - This study investigated the in vitro anticancer activities of a total of 14 wild
angiosperms collected in Saudi Arabia. The cytotoxic activity of each extract was
assessed against human breast adenocarcinoma (MCF-7) cell lines by using the MTT
assay. Among the plants screened, the potential cytotoxic activity exhibited by
the extract of Lavandula dentata (Lamiaceae) was identified, and we analyzed its
anticancer potential by testing antiproliferative and apoptotic activity. Our
results clearly show that ethanolic extract of L. dentata exhibits promising
cytotoxic activity with an IC50 value of 39 MUg/mL. Analysis of cell
morphological changes, DNA fragmentation and apoptosis (using an Annexin V assay)
also confirmed the apoptotic effect of L. dentata extract, and thus, our data
call for further investigations to determine the active chemical constituent(s)
and their mechanisms of inducing apoptosis.
PMID- 24938610
TI - Roles of Fas/Fasl, Bcl-2/Bax, and Caspase-8 in rat nonalcoholic fatty liver
disease pathogenesis.
AB - The aim of this study was to investigate the roles of Fas/FasL, Bcl-2/Bax, and
Caspase-8 mRNA expressions in nonalcoholic fatty liver disease (NAFLD). The
apoptosis percentage was measured by flow cytometry, the immunohistochemical
assay was performed for the determination of Fas, FasL, Bcl-2, and Bax
expressions, and a real-time polymerase chain reaction (PCR) assay was performed
to detect Caspase-8 mRNA expression. Flow cytometry showed that the apoptosis
percentage of the rat liver in the experimental group increased, which increased
more obviously with the extension of modeling time. Immunohistochemistry showed
that with increasing hepatic steatosis, Fas and FasL protein staining intensified
and the number of positive cells increased; the number of positive cells for Bcl
2 and Bax gradually increased on the 4th, 8th, and 12th weeks in the experimental
group, whereas the Bcl-2/Bax ratio decreased. The real-time PCR assay showed that
Caspase-8 mRNA expression increased with increasing hepatic steatosis and
inflammation, exhibiting a progressively rising trend. Hepatocyte apoptosis could
promote NAFLD progression; Fas, FasL, and Caspase-8 mRNA activation were
important contributing factors to NAFLD. The upregulation of Bax and Bcl-2
expression might be one important mechanism of the apoptosis in NAFLD.
PMID- 24938611
TI - Quantative trait loci of seed traits for soybean in multiple environments.
AB - Seed length and seed width are an important factor to the soybean yield. So the
quantitative trait loci (QTL) location for seed length and seed width could
assistant the breeding of soybean. In this study, the QTL underlying seed length
and seed width were studied. A recombinant inbred line population of soybeans
derived from a cross between the American semi-draft cultivars Charleston and
Dongnong 594 were used in 7 environments. The quantitative trait loci underlying
seed length, seed width, and seed length/seed width were analyzed by the method
of composite interval mapping. Then, the epistatic effects and the QTL
environment (QE) interaction effects were also analyzed. Some valuable QTL sites
found had great effect to the seed trait. Results showed that 7 QTLs underlying
seed length were identified mainly on linkage groups D1a, C2, B1, A1, G, and A2.
For the seed width, 7 QTLs were identified on linkage groups D1a and O. Two QTLs
of seed length/seed width were identified on linkage groups D1b and C2. No QE
interaction was found for QTLs of seed length and seed width in 7 environments.
QTLs of seed length/seed width on linkage groups A1 and I had a QE interaction in
7 environments. Seven pairs of QTLs were identified that affected additive x
additive epistatic effect of seed length, seed width, and seed length/seed width,
which occurred among 8 linkage groups. These results supply a good foundation for
molecular assistant breeding for soybean seed trait.
PMID- 24938612
TI - Expression of immune response genes in peripheral blood of cattle infested with
Rhipicephalus microplus.
AB - The bovine tick Rhipicephalus microplus is responsible for severe economic losses
in tropical cattle production. Bos indicus breeds are more resistant to tick
infestations than are Bos taurus breeds, and the understanding of the
physiological mechanisms involved in this difference is important for the
development of new methods of parasite control. We evaluated differences in the
transcript expression of genes related to the immune response in the peripheral
blood of cattle previously characterized as resistant or susceptible to tick
infestation. Crossbreed F2 Gir x Holstein animals (resistant, N = 6; susceptible,
N = 6) were artificially submitted to tick infestation. Blood samples were
collected at 0, 24, and 48 h after tick infestation and evaluated for transcript
expression of the CD25, CXCL8, CXCL10, FoxP3, interleukin (IL)-10, and tumor
necrosis factor alpha (TNFalpha) genes. Gene expression of CD25 (6.00, P < 0.01),
IL-10 (31.62, P < 0.01), FoxP3 (35.48, P < 0.01), and CXCL10 (3.38, P < 0.05) was
altered in the resistant group at 48 h compared with samples collected before
infestation. In the susceptible group, CXCL8 (-2.02, P < 0.05) and CXCL10 (2.20,
P < 0.05) showed altered expression 24 h after infestation. CXCL8 (-5.78, P <
0.05) also showed altered expression at 48 h after infestation when compared with
samples collected before infestation. We detected a correlation between T
gammadelta cell activity and the immunological mechanisms that result in a higher
resistance to R. microplus in cattle.
PMID- 24938613
TI - Cloning and characterization of the drought-resistance OsRCI2-5 gene in rice
(Oryza sativa L.).
AB - The genomic expression profile of the super-hybrid rice Liangyoupeijiu female
parent Pei'ai 64S in different tissues at different developmental stages under
low temperature, drought, and high temperature stresses were detected using an
Affymetrix GeneChip Rice Genome Array to screen upregulated and downregulated
genes. In this study, we screened the drought-resistant gene OsRCI2-5, after
which a constitutive OsRCI2-5 construct was created and transferred into
Nipponbare. After polyethylene glycol-6000 and drought treatment, we found that
the OsRCI2-5 gene improved the drought resistance of Nipponbare. Gene expression
profiling showed that the OsRCI2-5 gene was expressed in the rice leaves, stems,
and flower organs. Subcellular localization revealed that the gene was located in
the membranes, and hence, we can deduce that a membrane signal peptide was
responsible for signal transduction.
PMID- 24938614
TI - Motivational patterns as an instrument for predicting success in promising young
football players.
AB - Psychological characteristics are crucial to identifying talents, which is why
these are being incorporated in today's multidimensional talent models. In
addition to multidimensionality, talent studies are increasingly drawing on
holistic theories of development, leading to the use of person-oriented
approaches. The present study adopts such an approach by looking at the influence
that motivational characteristics have on the development of performance, in a
person-oriented way. For this purpose, it looks at how the constructs achievement
motive, achievement goal orientation and self-determination interact with one
another, what patterns they form and how these patterns are linked to subsequent
sports success. Ninety-seven top young football players were questioned twice.
Another year later, it was enquired which of these players had been selected for
the U15 national team. At both measuring points, four patterns were identified,
which displayed a high degree of structural and individual stability. As
expected, the highly intrinsically achievement-oriented players were
significantly more likely to move up into the U15 national team. The results
point to the importance of favourable patterns of motivational variables in the
form of specific types, for medium-term performance development among promising
football talents, and thus provide valuable clues for the selection and promotion
of those.
PMID- 24938616
TI - [Female genital surgery, G-spot amplification techniques--state of the science].
AB - The G-spot amplification is a process of "functional" intimate surgery consisting
of a temporary physical increase of the size and sensitivity of the G-spot with a
filler injected into the septum between the bladder and the vagina's anterior
wall, in order to increase the frequency and importance of female orgasm during
vaginal penetration. This surgical technique is based on the existence of an
eponymous anatomical area described by Dr Grafenberg in 1950, responsible upon
stimulation of systematic orgasm different from the clitoral orgasm, referring to
the vaginal orgasm as described by Freud in 1905. The purpose of this article is
to review the scientific basis of the G-spot, whose very existence is currently a
debated topic, and to discuss the role of G-spot amplification surgery.
PMID- 24938615
TI - Partial mitigation of gold nanoparticle interactions with human lymphocytes by
surface functionalization with a 'mixed matrix'.
AB - AIM: To investigate interactions of gold nanoparticles with primary human
lymphocytes and determine if the addition of a self-assembled monolayer of 'mixed
matrix' ligands influenced these interactions. MATERIALS & METHODS: The effect of
gold nanoparticles was measured by exposure to peripheral blood mononuclear cells
(PBMCs) from healthy volunteers with subsequent examination of cell
proliferation, cytokine secretion and CD4(+) T-cell activation relative to
controls. RESULTS: Capped and as-synthesized gold nanoparticles augmented PBMC
proliferation in response to phytohemagglutinin and this effect was greater for
as-synthesized than for capped gold nanoparticles. Release of IL-10 and IFN-gamma
from PBMCs was increased and the effect was again more marked for as-synthesized
than capped gold nanoparticles. CONCLUSION: This method provides an ex vivo
approach for studying the interaction of nanoparticles with the human immune
system. Further research is required to determine the specific mechanisms for
reduction of immune activation seen here which could then be used to design a
truly 'stealth' nanoparticle.
PMID- 24938617
TI - Aerodynamic and electrostatic properties of model dry powder aerosols: a
comprehensive study of formulation factors.
AB - The impact of formulation variables on aerodynamic and electrostatic properties
of dry powder aerosol particles is of great importance to the development of
efficient and reproducible inhaler products. Systematic evaluation requires a
well-designed series of experiments using appropriate methods. A factorial
experimental design was employed. In broad terms, the conditions considered were
two drugs, albuterol and budesonide, in combination with different excipients,
drug concentrations, delivered doses, and metering system (capsule composition)
and sampled under different flow conditions using standard entrainment tubes.
Samples were collected in an electrical low-pressure impactor, to evaluate
distribution of electrostatic properties, and an Andersen eight-stage nonviable
cascade impactor, to estimate aerodynamic particle size distribution,
concurrently. The deposition studies allowed calculation of approximate per
particle charge levels for drug. The results showed very high particle charge
levels, often in the 1,000-10,000 of elementary charges per particle range,
orders of magnitude higher than charge levels predicted by the Boltzmann charge
distribution. The charge levels are considerably higher than had previously been
estimated (200e per particle).
PMID- 24938618
TI - Glass delamination: a comparison of the inner surface performance of vials and
pre-filled syringes.
AB - The occurrence of glass delamination is a serious concern for parenteral drug
products. Over the past several years, there has been a series of product recalls
involving glass delamination in parenteral drugs stored in vials which has led to
heightened industry and regulatory scrutiny. In this study, a two-pronged
approach was employed to assess the inner surface durability of vials and pre
filled syringes. Non-siliconized syringes were used in order to directly compare
glass to glass performance between vials and syringes. The vial and syringe
performance was screened with pharmaceutically relevant formulation conditions.
The influence of pH, buffer type, ionic strength, and glass type and source was
evaluated. In addition, an aggressive but discriminating formulation condition
(glutaric acid, pH 11) was used to ascertain the impact of syringe processing.
Advanced analytical tools including inductively coupled plasma/mass spectrometry,
scanning electron microscopy, atomic force microscopy, and dynamic secondary ion
mass spectroscopy showed significant differences in glass performance between
vials and syringes. Pre-filled syringes outperform vials for most tests and
conditions. The manufacturing conditions for vials lead to glass defects, not
found in pre-filled syringes, which result in a less chemically resistant
surface. The screening methodology presented in this work can be applied to
assess suitability of primary containers for specific drug applications.
PMID- 24938619
TI - Constructing all carbon nanotube hollow fiber membranes with improved performance
in separation and antifouling for water treatment.
AB - Manipulating carbon nanotubes (CNTs) through engineering into advanced membranes
with superior performance for disinfection and decontamination of water shows
great promise but is challenging. In this paper, a facile assembly of CNTs into
novel hollow fiber membranes with tunable inner/outer diameters and structures is
developed for the first time. These free-standing membranes composed entirely of
CNTs feature a porosity of 86+/-5% and a permeation flux of about 460+/-50 L m(
2) h(-1) at a pressure differential of 0.04 MPa across the membrane. The randomly
oriented interwoven structure of CNTs endows the membranes considerable
resistance to pore blockage. Moreover, the adsorption capability of the CNT
hollow fiber membranes, which is crucial in the efficient removal of small and
trace contaminant molecules, is about 2 orders of magnitude higher than that of
commercial polyvinylidene fluoride hollow fiber membranes. The unique advantage
of the CNT hollow fiber membranes over other commercial membranes is that they
can be in situ electrochemically regenerated after adsorption saturation.
PMID- 24938620
TI - Experimental chondrocyte hypertrophy is promoted by the activation of discoidin
domain receptor 2.
AB - The aim of the present study was to assess the association between chondrocytes
and the extracellular matrix (ECM), and determine whether this contributes to
osteoarthritis (OA). Chondrocyte hypertrophy was measured in articular cartilage
samples from early-stage OA patients. In addition, rat chondrocytes were cultured
and divided into four groups (A to D): Group A was an untreated control group,
group B was incubated with chicken collagen II, group C was transfected with the
discoidin domain of discoidin domain receptor-2 (DDR2) and group D was
transfected with full-length DDR2. The expression levels of DDR2 and hypertrophic
markers in each group were then measured by quantitative polymerase chain
reaction (qPCR) and western blot analyses. Chondrocyte hypertrophy was identified
in samples of early-stage OA patients. In rat chondrocyte cultures, the relative
mRNA and protein expression levels of hypertrophic markers were determined as:
Group D > B > C > A. In conclusion, transfection with DDR2 induced the expression
of hypertrophic markers, as assessed by qPCR and western blot analyses. DDR2
therefore promoted chondrocyte hypertrophy and terminal differentiation.
PMID- 24938621
TI - An effect of moderate hepatic impairment on the pharmacokinetics and safety of
darapladib.
AB - AIM/METHODS: This was a phase 1, open label, non-randomized study designed to
assess the pharmacokinetics and safety/tolerability of 10 consecutive once daily
40 mg oral doses of darapladib in subjects with moderate hepatic impairment (n =
12) compared with matched healthy volunteers (n = 12). RESULTS: For total
darapladib, a small increase in total and peak exposure was observed in the
subjects with moderate hepatic impairment compared with the subjects with normal
hepatic function. The area under the plasma concentration-time curve during a
dosing interval of duration tau (AUC(0,tau), geometric mean 223 ng ml(-1) h [90%
CI 158, 316 ng ml(-1 ) h], in moderate hepatic impaired subjects, vs. geometric
mean 186 ng ml(-1 ) h [90% CI 159, 217 ng ml(-1 ) h], in healthy subjects) and
maximum concentration (Cmax ) were 20% and 7% higher, respectively, in the
subjects with moderate hepatic impairment than in the healthy control subjects
and there was no change in time to maximum concentration (tmax ). Protein binding
was performed to measure the amount of unbound drug vs. bound. Steady-state was
achieved by day 10 for darapladib and its metabolites (M4, M3 and M10).
Darapladib was generally well tolerated, with adverse events (AEs) reported by
seven subjects in the hepatic impairment group and three subjects in the healthy
matched group (five and one of which were drug-related AEs, respectively). The
most common AEs were gastrointestinal. These AEs were mostly mild to moderate and
there were no deaths, serious AEs or withdrawals due to AEs. CONCLUSIONS: The
results of this phase 1 study show that darapladib (40 mg) is well tolerated and
its pharmacokinetics remain relatively unchanged in patients with moderate
hepatic impairment.
PMID- 24938623
TI - Oriented circular dichroism analysis of chiral surface-anchored metal-organic
frameworks grown by liquid-phase epitaxy and upon loading with chiral guest
compounds.
AB - Oriented circular dichroism (OCD) is explored and successfully applied to
investigate chiral surface-anchored metal-organic frameworks (SURMOFs) based on
camphoric acid (D- and Lcam) with the composition [Cu2(Dcam)(2x)(Lcam)(2
2x)(dabco)]n (dabco = 1,4-diazabicyclo-[2.2.2]-octane). The three-dimensional
chiral SURMOFs with high-quality orientation were grown on quartz glass plates by
using a layer-by-layer liquid-phase epitaxy method. The growth orientation, as
determined by X-ray diffraction (XRD), could be switched between the [001] and
[110] direction by using either OH- or COOH-terminated substrates. These SURMOFs
were characterized by using OCD, which confirmed the ratio as well as the
orientation of the enantiomeric linker molecules. Theoretical computations
demonstrate that the OCD band intensities of the enantiopure [Cu2(Dcam)2(dabco)]n
grown in different orientations are a direct result of the anisotropic nature of
the chiral SURMOFs. Finally, the enantiopure [Cu2(Dcam)2(dabco)]n and
[Cu2(Lcam)2(dabco)]n SURMOFs were loaded with the two chiral forms of ethyl
lactate [(+)-ethyl-D-lactate and (-)-ethyl-L-lactate)]. An enantioselective
enrichment of >60 % was observed by OCD when the chiral host scaffold was loaded
from the racemic mixture.
PMID- 24938622
TI - Comparison of the effects of beta3 -adrenoceptor agonism on urinary bladder
function in conscious, anesthetized, and spinal cord injured rats.
AB - AIMS: To compare the dose effect relationship of a selective beta3 -adrenoceptor
agonist (CL-316,243) on cystometric parameters in anesthetized and conscious rats
and to evaluate its effect in a model of neurogenic bladder overactivity induced
by spinal cord injury (SCI). METHODS: Experiments were performed in anesthetized
and conscious normal rats and in conscious rats after complete transection at the
T8 level of the spinal cord. The jugular vein and urinary bladder were
catheterized and the bladder infused with saline. CL-316,243 was tested
intravenously at 0.01, 0.03, and 0.1 mg/kg in anesthetized and conscious rats and
at 0.01 mg/kg in sham and SCI rats. Intravesical pressure was recorded for 1 hr
following drug administration. Intercontraction interval (ICI), amplitude of
micturition (AM), micturition frequency (MF) and non-voiding contractions (NVC)
were analyzed. RESULTS: In anesthetized and conscious normal rats, CL-316,243
significantly increased ICI in a dose-dependent manner. In anesthetized rats, AM
was significantly decreased at all doses tested whereas in conscious rats, a
significant decrease (-19 +/- 6%) in AM was only observed at the highest dose
(0.1 mg/kg). In conscious sham and SCI rats, CL-316,243 significantly increased
ICI (42 +/- 17% and 49 +/- 17%, respectively) and decreased MF without affecting
AM. In SCI rats, CL-316,243 reduced the frequency of NVC (-53 +/- 14%) without
significant effects on amplitude. CONCLUSIONS: The current results suggest that
anesthesia can alter the effects of beta3 -adrenoceptor agonists in experimental
models. In addition, this is the first demonstration that stimulation of beta3
adrenoceptors can produce decreases in micturition frequency and NVC in SCI rats
without affecting AM.
PMID- 24938624
TI - MicroRNA target prediction: theory and practice.
AB - The present study is one of the few that includes tissue samples in the
evaluation of target prediction algorithms designed to detect microRNA (miRNA)
sequences that might interact with particular messenger RNA (mRNA) sequences.
Twelve different target prediction tools were used to find miRNA sequences that
might interact with CCL20 gene expression. Different algorithms predicted
controversial miRNA sequences for CCL20 regulation due to a different weighting
of parameters. Hsa-miR-21 and hsa-miR-145 suggested by four or more programs were
chosen for further investigation. Possible real interaction of these miRNA
sequences with CCL20 gene expression was monitored using luciferase assays and
expression analyses of tissue samples of colorectal adenocarcinoma by either qRT
PCR or ELISA. Folding status of seed-binding sites in complete mRNA and 3'UTR of
CCL20 was predicted. Prediction of miRNA expression was attempted based on CCL20
expression data. Eight of the target prediction tools forecasted a role for hsa
miR-21 and four mentioned hsa-miR-145 in CCL20 gene regulation. Laboratory
experimentation showed that CCL20 may serve as a target of hsa-miR-21 but not hsa
miR-145. Expression of the molecules resulted in no clear assertion. Folding of
seed-binding sites was predicted to be relatively constant for the complete mRNA
and 3'UTR. Predicting miRNA expression based on target gene expression was
impossible. This might be attributable to the fact that effects of miRNA activity
may oscillate between gene product repression and activation. Additional
systematic studies are needed to address this issue.
PMID- 24938625
TI - Pericyte protection by edaravone after tissue plasminogen activator treatment in
rat cerebral ischemia.
AB - Pericytes play a pivotal role in contraction, mediating inflammation and
regulation of blood flow in the brain. In this study, changes of pericytes in the
neurovascular unit (NVU) were examined in relation to the effects of exogenous
tissue plasminogen activator (tPA) and a free radical scavenger, edaravone.
Immunohistochemistry and Western blot analyses showed that the overlap between
platelet-derived growth factor receptor beta-positive pericytes and N
acetylglucosamine oligomers (NAGO)-positive endothelial cells increased
significantly at 4 days after 90 min of transient middle cerebral artery
occlusion (tMCAO). The number of pericytes and the overlap with NAGO decreased
with tPA but recovered with edaravone 4 days after tMCAO with proliferation.
Thus, tPA treatment damaged pericytes, resulting in the detachment from
astrocytes and a decrease in glial cell line-derived neurotrophic factor
secretion. However, treatment with edaravone greatly improved tPA-induced damage
to pericytes. The present study demonstrates that exogenous tPA strongly damages
pericytes and destroys the integrity of the NVU, but edaravone treatment can
greatly ameliorate such damage after acute cerebral ischemia in rats.
PMID- 24938627
TI - Symptom severity is associated with cardiovascular outcome in patients with
permanent atrial fibrillation in the RACE II study.
AB - AIMS: Symptoms and symptom burden have a central place in diagnosis and
management of atrial fibrillation (AF). The aim of the present study is to
investigate whether severity of AF symptoms impacts prognosis in permanent AF.
METHODS AND RESULTS: We studied the relation between AF symptom severity
[quantified with the Toronto AF Severity Scale (AFSS)] and cardiovascular outcome
in patients included in the RACE II study. The primary endpoint was a composite
of cardiovascular morbidity and mortality. Secondary outcome was cardiovascular
hospitalizations. Of 614 permanent AF patients in RACE II, AFSS questionnaires
were available in 558 patients (91%). Mean age was 68 +/- 8 years. One hundred
and seventy-four patients (31%) reported a low score (score 0-3; lowest tertile),
190 patients (34%) reported a moderate score (score 4-9; middle tertile), and 194
(35%) reported a high score (score 10-35; highest tertile). Patients with the
most severe symptoms were more often women, had higher N-terminal prohormone of
brain natriuretic peptide concentrations, and had more previous heart failure
hospitalizations. Median follow-up was 3.0 (interquartile range 2.3-3.0) years.
The primary endpoint occurred most frequently in the highest tertile of the AFSS
[16 (9%), 19 (10%), 36 (19%), respectively, P = 0.01], being mainly driven by
heart failure hospitalizations [4 (2%), 1 (1%), 16 (8%), respectively, P <
0.001]. After multivariable adjustment, higher AFSS scores were associated with
the primary endpoint [hazard ratio 1.38 (1.15-1.66), P = 0.001], as well as with
cardiovascular hospitalizations [hazard ratio 1.33 (1.14-1.54), P < 0.001].
CONCLUSION: In permanent AF, after multivariable adjustment, symptom severity is
associated with cardiovascular outcome.
PMID- 24938628
TI - Abnormal electrocardiographic QRS transition zone and risk of mortality in
individuals free of cardiovascular disease.
AB - AIMS: We examined the prognostic significance of abnormal electrocardiographic
QRS transition zone (clockwise and counterclockwise horizontal rotations) in
individuals free of cardiovascular disease (CVD). METHODS AND RESULTS: A total of
5541 adults (age 53 +/- 10.4 years, 54% women, 24% non-Hispanic black, 25%
Hispanic) without CVD or any major electrocardiogram (ECG) abnormalities from the
US Third National Health and Nutrition Examination Survey were included in this
analysis. Clockwise and counterclockwise horizontal rotations were defined from
standard 12-lead ECG using Minnesota ECG Classification. Mortality and cause of
death were assessed through 2006. At baseline, 282 participants had clockwise
rotation and 3500 had counterclockwise rotation. During a median follow of 14.6
years, 1229 deaths occurred of which 415 were due to CVD. In multivariable
adjusted Cox proportional hazard analysis and compared with normal rotation,
clockwise rotation was significantly associated with increased risk of all-cause
mortality {hazard ratio (HR) [95% confidence interval (CI)]: 1.43 (1.15-1.78); P
= 0.002} and CVD mortality [HR (95% CI): 1.61 (1.09, 2.37) P = 0.016]. In
contrast, counterclockwise rotation was associated with significantly lower risk
of all-cause mortality [HR (95% CI): 0.86 (0.76, 0.97); P = 0.017] and non
significant association with CVD mortality [HR (95% CI): 1.07 (0.86, 1.33); P =
0.549]. These results were consistent in subgroup analysis stratified by age,
sex, and race. CONCLUSION: In a diverse community-based population free of CVD
and compared with normal rotation, clockwise rotation was associated with
increased risk of all-cause and CVD mortality while counterclockwise rotation was
associated with lower risk of all-cause mortality and non-significant association
with CVD mortality. These findings call for attention to these often neglected
ECG markers, and probably call for revising the current definition of normal
rotation.
PMID- 24938626
TI - Age-related macular degeneration and changes in the extracellular matrix.
AB - Age-related macular degeneration (AMD) is the leading cause of permanent,
irreversible, central blindness (scotoma in the central visual field that makes
reading and writing impossible, stereoscopic vision, recognition of colors and
details) in patients over the age of 50 years in European and North America
countries, and an important role is attributed to disorders in the regulation of
the extracellular matrix (ECM). The main aim of this article is to present the
crucial processes that occur on the level of Bruch's membrane, with special
consideration of the metalloproteinase substrates, metalloproteinase, and tissue
inhibitor of metalloproteinase (TIMP). A comprehensive review of the literature
was performed through MEDLINE and PubMed searches, covering the years 2005-2012,
using the following keywords: AMD, extracellular matrix, metalloproteinases,
tissue inhibitors of metalloproteinases, Bruch's membrane, collagen, elastin. In
the pathogenesis of AMD, a significant role is played by collagen type I and type
IV; elastin; fibulin-3, -5, and -6; matrix metalloproteinase (MMP)-2, MMP-9, MMP
14, and MMP-1; and TIMP-3. Other important mechanisms include: ARMS2 and HTR1
proteins, the complement system, the urokinase plasminogen activator system, and
pro-renin receptor activation. Continuous rebuilding of the extracellular matrix
occurs in both early and advanced AMD, simultaneously with the dysfunction of
retinal pigment epithelium (RPE) cells and endothelial cells. The pathological
degradation or accumulation of ECM structural components are caused by impairment
or hyperactivity of specific MMPs/TIMPs complexes, and is also endangered by the
influence of other mechanisms connected with both genetic and environmental
factors.
PMID- 24938630
TI - Atrial arrhythmias in the young: early onset atrial arrhythmias preceding a
diagnosis of a primary muscular dystrophy.
AB - AIMS: The aetiology of atrial arrhythmias in the otherwise healthy and young is
usually unrecognized. We hypothesized that rare cases of atrial arrhythmias in
the young may represent the initial manifestation of a muscular dystrophy
syndrome. METHODS AND RESULTS: We describe the clinical characteristics, disease
progression, results of electrophysiological study, and genetic findings in four
patients (age <40 years) presenting with idiopathic atrial arrhythmias who
subsequently received a diagnosis of a muscular dystrophy syndrome. The mean age
at presentation with atrial arrhythmias was 29.5 years (range, 21-37 years), and
the mean delay to diagnosis of muscular dystrophy was 3.6 years (range, 0.5-6
years). Two patients received a subsequent diagnosis of myotonic dystrophy type 1
and 2 a diagnosis of Emery-Dreifuss muscular dystrophy. Disease-causing genetic
defects were identified in all four patients. One patient underwent catheter
ablation of atrial flutter, experiencing improvement in arrhythmia symptoms. Two
patients required device therapy, each receiving cardiac resynchronization
therapy-defibrillator implantation for progressive left ventricular dysfunction.
CONCLUSION: Early onset atrial arrhythmias may be the first clinical
manifestation of a muscular dystrophy syndrome. Appropriate clinical assessment
and surveillance may uncover this primary cause and provide an opportunity for
timely genetic counselling and family screening.
PMID- 24938629
TI - Desmoplakin truncations and arrhythmogenic left ventricular cardiomyopathy:
characterizing a phenotype.
AB - AIMS: Risk stratification for sudden death in arrhythmogenic right ventricular
cardiomyopathy (ARVC) is challenging in clinical practice. We lack
recommendations for the risk stratification of exclusive left-sided phenotypes.
The aim of this study was to investigate genotype-phenotype correlations in
patients carrying a novel DSP c.1339C>T, and to review the literature on the
clinical expression and the outcomes in patients with DSP truncating mutations.
METHODS AND RESULTS: Genetic screening of the DSP gene was performed in 47
consecutive patients with a phenotype of either an ARVC (n = 24) or an idiopathic
dilated cardiomyopathy (DCM), who presented with ventricular arrhythmias or a
family history of sudden death (n = 23) (aged 40 +/- 19 years, 62% males). Three
unrelated probands with DCM were found to be carriers of a novel mutation
(c.1339C>T). Cascade family screening led to the identification of 15 relatives
who are carriers. Penetrance in c.1339C>T carriers was 83%. Sustained ventricular
tachycardia was the first clinical manifestation in six patients and nine
patients were diagnosed with left ventricular impairment (two had overt severe
disease and seven had a mild dysfunction). Cardiac magnetic resonance revealed
left ventricular involvement in nine cases and biventricular disease in three
patients. Extensive fibrotic patterns in six and non-compaction phenotype in five
patients were the hallmark in imaging. CONCLUSION: DSP c.1339C>T is associated
with an aggressive clinical phenotype of left-dominant arrhythmogenic
cardiomyopathy and left ventricular non-compaction. Truncating mutations in
desmoplakin are consistently associated with aggressive phenotypes and must be
considered as a risk factor of sudden death. Since ventricular tachycardia occurs
even in the absence of severe systolic dysfunction, an implantable cardioverter
defibrillator should be indicated promptly.
PMID- 24938632
TI - Epigenetics: the TRPM7 ion channel modifies histones.
PMID- 24938633
TI - Autonomy, special offers and routines: a Q methodological study of industry
driven marketing influences on young people's drinking behaviour.
AB - AIM: To identify shared patterns of views in young people relating to the
influence of industry-driven alcohol marketing (price, promotion, product and
place of purchase/consumption) on their reported drinking behaviour. DESIGN: Q
methodology harnessed qualitative and quantitative data to generate distinct
clusters of opinions as follows: 39 opinion statements were derived from earlier
in-depth qualitative interviews with 31 young people; by-person factor analysis
was carried out on 28 participants' (six previous interviewees and 22 new
recruits) rank orderings of these statements (most-to-least agreement);
interpretation of the factor arrays was aided by 10-15-minute debriefing
interviews held immediately following each Q-sort. SETTING: Northeast England
PARTICIPANTS: Young people aged 14-17 years purposively recruited from high
schools, higher education colleges, youth centres and youth offending teams.
FINDINGS: Centroid factor extraction and varimax rotation of factors generated
three distinct accounts: factor one ('autonomous, sophisticated consumers')
illustrated a self-defined sense of individuality and autonomy in alcohol
choices; factor two ('price-driven consumers') appeared price-led, choosing to
drink what was most accessible or cheapest; and factor three ('context-focused
consumers') described drinking practices where products were chosen to serve
specific functions such as being easy to carry while dancing. CONCLUSIONS:
Considering young people's views on alcohol marketing, different perspectives can
be identified. These include perceived imperviousness to maketing, responsiveness
to price and affordability and responsiveness to marketing focusing on youth
lifestyles.
PMID- 24938631
TI - The emergence of proteome-wide technologies: systematic analysis of proteins
comes of age.
AB - During the lifetime of a cell proteins can change their localization, alter their
abundance and undergo modifications, all of which cannot be assayed by tracking
mRNAs alone. Methods to study proteomes directly are coming of age, thereby
opening new perspectives on the role of post-translational regulation in
stabilizing the cellular milieu. Proteomics has undergone a revolution, and novel
technologies for the systematic analysis of proteins have emerged. These methods
can expand our ability to acquire information from single proteins to proteomes,
from static to dynamic measures and from the population level to the level of
single cells. Such approaches promise that proteomes will soon be studied at a
similar level of dynamic resolution as has been the norm for transcriptomes.
PMID- 24938634
TI - Large-scale dissipative particle dynamics simulations of self-assembled
amphiphilic systems.
AB - We present large-scale simulation results on the self-assembly of amphiphilic
systems in bulk solution and under soft confinement. Self-assembled unilamellar
and multilamellar vesicles are formed from amphiphilic molecules in bulk
solution. The system is simulated by placing amphiphilic molecules inside large
unilamellar vesicles (LUVs) and the dynamic soft confinement-induced self
assembled vesicles are investigated. Moreover, the self-assembly of sickle
hemoglobin (HbS) is simulated in a crowded and fluctuating intracellular space
and our results demonstrate that the HbS self-assembles into polymer fibers
causing the LUV shape to be distorted.
PMID- 24938635
TI - Changes in the profiles of smokers seeking cessation treatment and in its
effectiveness in Galicia (Spain) 2001-10.
AB - BACKGROUND: In recent years, the prevalence of daily smokers has decreased in all
developed countries due to a great variety of factors. Despite this decrease, the
effectiveness of clinical treatments has decreased and several studies report a
change in smokers' characteristics. The purpose of the present study is to
analyze the changes in the characteristics of Spanish smokers who seek smoking
cessation treatment between 2001 and 2010 and the changes in the effectiveness of
such treatment. METHODS: The sample was made up of 870 smokers who sought
psychological treatment for giving up smoking at the Smoking Cessation Unit in
the Faculty of Psychology of the University of Santiago de Compostela (Spain)
during the period 2001 to 2010. RESULTS: Smokers in the 2006-2010 group, compared
to those in the 2001-2005 group, were older, smoked fewer cigarettes per day and
of a brand with fewer mg/nicotine, had been smoking longer, were less motivated
to give up smoking, and had more antecedents of depression. Quit rates were
validated by testing smokers' carbon monoxide (CO) levels.Percentages of
abstinence were higher in the 2001-2005 group than in the 2006-2010 group (58.7%
vs. 52.15 at the end of treatment, p = 0.05); 30.8% vs. 24.2% at 6 months follow
up, p = 0.031; 27.5% vs. 22% at 12 months follow-up, p = 0.059). Although
abstinence decreased more than 5% in the 2006-2010 group there were no
differences between the two groups in nicotine dependence. Those participants who
did not assist to the follow-up were considered smokers at pretreatment level.
CONCLUSIONS: In Spain there has been a qualitative change in the profile of the
smokers seeking smoking cessation treatment. Treatment effectiveness has
decreased, and the variables predicting intervention outcome have changed.
PMID- 24938636
TI - Autoimmune thyroid disease following alemtuzumab therapy and hematopoietic cell
transplantation in pediatric patients with sickle cell disease.
AB - Allogenic hematopoietic cell transplantation (alloHCT) is currently the only
curative treatment option for patients with sickle cell disease. Alemtuzumab is a
monoclonal antibody directed against CD52 positive cells used in myeloablative
conditioning regimens for alloHCT. Its use has been associated with development
of autoimmune disease in adult patients with rheumatologic conditions. We report
on three cases of new onset autoimmune thyroid disease after alloHCT treatment
with alemtuzumab in pediatric patients with sickle cell disease.
PMID- 24938637
TI - Periprocedural outcomes of prophylactic protamine administration for reversal of
heparin after cryoballoon ablation of atrial fibrillation.
AB - PURPOSE: The aim of this study was to investigate the efficacy and the safety of
prophylactic use of protamine in a series of heparinized patients having
undergone cryoballoon (CB) ablation for atrial fibrillation (AF). METHODS: From
October 2013 to January 2014, 54 consecutive patients received protamine after CB
ablation to neutralize unfractionated heparin (UFH) effects. They were
prospectively included in this study and compared to a control group of 53
patients who underwent CB ablation without receiving protamine. RESULTS: A total
of 54 consecutive patients (33 male, 61%; mean age, 58 +/- 12 years) were
included. Twenty-one patients (39%) presented with hypertension, 17 (31%) with
dyslipidemia, and 4 (7%) with diabetes. Five patients (9%) had a previous episode
of ischemic stroke. Mean protamine dose was 68 +/- 22 mg. No adverse reaction to
protamine was observed. Among patients having received protamine, one (2%)
experienced a cardiac tamponade requiring non-surgical drainage. No patient
having undergone protamine administration experienced vascular complications.
Conversely, the group of patients not treated with protamine had a significantly
higher incidence of vascular complications as compared to patients having
undergone protamine infusion (11 vs 0%, p = 0.01). CONCLUSIONS: Reversing effects
of UFH by the means of protamine administration appears to be safe after CB
ablation for AF. It can allow in-laboratory sheath removal with potentially less
vascular complications and no increase of thromboembolic risk. Larger randomized
studies are needed in order to confirm our findings.
PMID- 24938638
TI - Multifunctional photosensitizer-based contrast agents for photoacoustic imaging.
AB - Photoacoustic imaging is a novel hybrid imaging modality combining the high
spatial resolution of optical imaging with the high penetration depth of
ultrasound imaging. Here, for the first time, we evaluate the efficacy of various
photosensitizers that are widely used as photodynamic therapeutic (PDT) agents as
photoacoustic contrast agents. Photoacoustic imaging of photosensitizers exhibits
advantages over fluorescence imaging, which is prone to photobleaching and
autofluorescence interference. In this work, we examined the photoacoustic
activity of 5 photosensitizers: zinc phthalocyanine, protoporphyrin IX, 2,4-bis
[4-(N,N-dibenzylamino)-2,6-dihydroxyphenyl] squaraine, chlorin e6 and methylene
blue in phantoms, among which zinc phthalocyanine showed the highest
photoacoustic activity. Subsequently, we evaluated its tumor localization
efficiency and biodistribution at multiple time points in a murine model using
photoacoustic imaging. We observed that the probe localized at the tumor within
10 minutes post injection, reaching peak accumulation around 1 hour and was
cleared within 24 hours, thus, demonstrating the potential of photosensitizers as
photoacoustic imaging contrast agents in vivo. This means that the known
advantages of photosensitizers such as preferential tumor uptake and PDT efficacy
can be combined with photoacoustic imaging capabilities to achieve longitudinal
monitoring of cancer progression and therapy in vivo.
PMID- 24938639
TI - Thermoregulatory plasticity in free-ranging vervet monkeys, Chlorocebus
pygerythrus.
AB - We used implanted miniature data loggers to obtain the first measurements of body
temperature from a free-ranging anthropoid primate. Vervet monkeys (Chlorocebus
pygerythrus) living in a highly seasonal, semi-arid environment maintained a
lower mean 24-h body temperature in winter (34.6 +/- 0.5 degrees C) than in
summer (36.2 +/- 0.1 degrees C), and demonstrated increased heterothermy (as
indexed by the 24-h amplitude of their body temperature rhythm) in response to
proximal environmental stressors. The mean 24-h amplitude of the body temperature
rhythm in summer (2.5 +/- 0.1 degrees C) was lower than that in winter (3.2 +/-
0.4 degrees C), with the highest amplitude for an individual monkey (5.6
degrees C) recorded in winter. The higher amplitude of the body temperature
rhythm in winter was a consequence primarily of lower 24-h minimum body
temperatures during the nocturnal phase, when monkeys were inactive. These low
minimum body temperatures were associated with low black globe temperature (GLMM,
beta = 0.046, P < 0.001), short photoperiod (beta = 0.010, P < 0.001) and low
rainfall over the previous 2 months, which we used as a proxy for food
availability (beta = 0.001, P < 0.001). Despite the lower average winter minimum
body temperatures, there was no change in the lower modal body temperature
between winter and summer. Therefore, unlike the regulated physiological
adjustments proposed for torpor or hibernation, these minimum winter body
temperatures did not appear to reflect a regulated reduction in body temperature.
The thermoregulatory plasticity nevertheless may have fitness benefits for vervet
monkeys.
PMID- 24938640
TI - Colourimetric and fluorometric substrates for measurement of pullulanase
activity.
AB - Specific and highly sensitive colourimetric and fluorometric substrate mixtures
have been prepared for the measurement of pullulanase and limit-dextrinase
activity and assays employing these substrates have been developed. These
mixtures comprise thermostable alpha- and beta-glucosidases and either 4,6-O
benzylidene-2-chloro-4-nitrophenyl-beta-maltotriosyl (1-6) alpha-maltotrioside
(BzCNPG3G3, 1) as a colourimetric substrate or 4,6-O-benzylidene-4
methylumbelliferyl-beta-maltotriosyl (1-6) alpha-maltotrioside (BzMUG3G3, 2) as a
fluorometric substrate. Hydrolysis of substrates 1 and 2 by exo-acting enzymes
such as amyloglucosidase, beta-amylase and alpha-glucosidase is prevented by the
presence of the 4,6-O-benzylidene group on the non-reducing end D-glucosyl
residue. The substrates are not hydrolysed by any alpha-amylases studied,
(including those from Aspergillus niger and porcine pancreas) and are resistant
to hydrolysis by Pseudomonas sp. isoamylase. On hydrolysis by pullulanase, the 2
chloro-4-nitrophenyl-beta-maltotrioside (3) or 4-methylumbelliferyl-beta
maltotrioside (4) liberated is immediately hydrolysed to D-glucose and 2-chloro-4
nitrophenol or 4-methylumbelliferone. The reaction is terminated by the addition
of a weak alkaline solution leading to the formation of phenolate ions in
solution whose concentration can be determined using either spectrophotometric or
fluorometric analysis. The assay procedure is simple to use, specific, accurate,
robust and readily adapted to automation.
PMID- 24938641
TI - Physical activity promotion in Latin American populations: a systematic review on
issues of internal and external validity.
AB - The purpose of this review was to determine the degree to which physical activity
interventions for Latin American populations reported on internal and external
validity factors using the RE-AIM framework (reach & representativeness,
effectiveness, adoption, implementation, maintenance). We systematically
identified English (PubMed; EbscoHost) and Spanish (SCIELO; Biblioteca Virtual en
Salud) language studies published between 2001 and 2012 that tested physical
activity, exercise, or fitness promotion interventions in Latin American
populations. Cross-sectional/descriptive studies, conducted in Brazil or Spain,
published in Portuguese, not including a physical activity/fitness/exercise
outcome, and with one time point assessment were excluded. We reviewed 192
abstracts and identified 46 studies that met the eligibility criteria (34 in
English, 12 in Spanish). A validated 21-item RE-AIM abstraction tool was used to
determine the quality of reporting across studies (0-7 = low, 8-14 = moderate,
and 15-21 = high). The number of indicators reported ranged from 3-14 (mean = 8.1
+/- 2.6), with the majority of studies falling in the moderate quality reporting
category. English and Spanish language articles did not differ on the number of
indicators reported (8.1 vs. 8.3, respectively). However, Spanish articles
reported more across reach indicators (62% vs. 43% of indicators), while English
articles reported more across effectiveness indicators (69% vs 62%). Across RE
AIM dimensions, indicators for reach (48%), efficacy/effectiveness (67%), and
implementation (41%) were reported more often than indicators of adoption (25%)
and maintenance (10%). Few studies reported on the representativeness of
participants, staff that delivered interventions, or the settings where
interventions were adopted. Only 13% of the studies reported on quality of life
and/or potential negative outcomes, 20% reported on intervention fidelity, and
11% on cost of implementation. Outcomes measured after six months of
intervention, information on continued delivery and institutionalization of
interventions, were also seldom reported. Regardless of language of publication,
physical activity intervention research for Latin Americans should increase
attention to and measurement of external validity and cost factors that are
critical in the decision making process in practice settings and can increase the
likelihood of translation into community or clinical practice.
PMID- 24938642
TI - Flap raising on pulsatile perfused cadaveric tissue: a novel method for surgical
teaching and exercise.
AB - Exercising flap raising procedures on cadavers is considered a prerequisite to
prepare for clinical practise. To improve teaching and create conditions as
realistic as possible, a perfusion device was developed providing pulsatile flow
through the vessels of different donor sites. A plastic bag filled with red
stained tab water was placed into a pump, which was driven by an electric motor.
The bag was set under rhythmic compression with variable frequency and pressure.
The pedicles of the radial forearm, anterolateral thigh, rectus abdominis,
fibular and iliac crest flap were cannulated at the origin from their source
arteries. Flap raising was performed under pulsatile perfusion in 15 fresh bodies
and subsequently in 6 Thiel-embalmed cadavers during a flap raising course. We
regularly observed staining of the skin and skin bleeding in fresh bodies and
less reliable in embalmed cadavers. All flap pedicles showed pulsatile movements,
and the radial pulse became palpable. Most perforators of the anterolateral thigh
and osteocutaneous fibular flap could be identified by their pulse. Bleeding from
bony tissue and venous return was seldom observed. We conclude that pulsatile
perfusion of cadaveric tissue creates more realistic conditions for flap raising
and improves teaching for beginners and advanced surgeons.
PMID- 24938643
TI - Clinical characteristics of craniomaxillofacial fibrous dysplasia.
AB - BACKGROUND: The clinical characteristics of craniomaxillofacial fibrous dysplasia
(FD) have not been clearly identified. The objective of this meta-analysis is to
assess the predominance of the monostotic form of FD using an evidence-based
review. Furthermore, we examined the laterality and sex dominance of FD in
patients from international study populations. METHODS: We performed a systematic
search of PubMed, Embase, Cochrane Central Register of Systematic Reviews,
Cochrane Central Register of Controlled Trials and EBSCO for trials published
through August 2013. Data extracted from the literature were analysed with Review
manager 5.0.24. RESULTS: The results of this study showed that unilateral FD
occurred more frequently than bilateral FD (RR, 12.37; 95% CI, 2.92-61.24; P =
0.008, N = 263 patients). For unilateral FD, there was no significant difference
between cases involving the left or right side of the face (RR, 0.98; 95% CI,
0.66-1.44; P = 0.91; N = 201 patients). There were no significant sex-dependent
differences for monostotic and polyostotic forms of craniomaxillofacial FD.
CONCLUSION: There is a significantly higher percentage of the unilateral form
than the bilateral form in the craniomaxillofacial FD studies analysed, and an
almost equal distribution of left- and right-sided unilateral FD. These
proportions were maintained among males and females and there were nearly equal
frequencies of monostotic and polyostotic FD.
PMID- 24938644
TI - 2-(Hetero(aryl)methylene)hydrazine-1-carbothioamides as potent urease inhibitors.
AB - A small series of 2-(hetero(aryl)methylene) hydrazine-1-carbothioamides including
two aryl derivatives was synthesized and tested for their inhibitory activity
against urease. Compound (E)-2-(Furan-2-ylmethylene) hydrazine-1-carbothioamide
(3f), having a furan ring, was the most potent inhibitor of urease with an IC50
value of 0.58 MUM. Molecular modeling was carried out through docking the
designed compounds into the urease binding site to predict whether these
derivatives have analogous binding mode to the urease inhibitors. The study
revealed that all of the tested compounds bind with both metal atoms at the
active site of the enzyme. The aromatic ring of the compounds forms ionic
interactions with the residues, Ala(440), Asp(494), Ala(636), and Met(637).
PMID- 24938645
TI - Bronsted acid catalyzed, conjugate addition of beta-dicarbonyls to in situ
generated ortho-quinone methides--enantioselective synthesis of 4-aryl-4H
chromenes.
AB - We describe herein a catalytic, enantioselective process for the synthesis of 4H
chromenes which are important structural elements of many natural products and
biologically active compounds. A sequence comprising a conjugate addition of beta
diketones to in situ generated ortho-quinone methides followed by a
cyclodehydration reaction furnished 4-aryl-4H-chromenes in generally excellent
yields and high optical purity. A BINOL-based chiral phosphoric acid was employed
as a Bronsted acid catalyst which converted ortho-hydroxy benzhydryl alcohols
into hydrogen-bonded ortho-quinone methides and effected the carbon-carbon bond
forming event with high enantioselectivity.
PMID- 24938646
TI - Anti-inflammatory effects of Panax notoginseng saponins ameliorate acute lung
injury induced by oleic acid and lipopolysaccharide in rats.
AB - This study investigated the effect of Panax notoginseng saponins (PNS) on acute
lung injury (ALI) induced by oleic acid (OA) and lipopolysaccharide (LPS). A
total of 28 Wistar rats were divided into four groups: sham; sham + PNS; OA-LPS
induced ALI and ALI + PNS. Lung tissue histology, lung wet-to-dry (W/D) weight
ratio, extravascular lung water (EVLW) and epithelial sodium channel alpha
(alphaENaC) mRNA and protein expression were examined. In addition, levels of
inflammatory cytokines, including tumor necrosis factor alpha (TNF-alpha),
interleukin (IL)-6 and IL-10, as well as total leukocyte and neutrophil counts,
were analyzed in rat bronchoalveolar lavage fluid (BALF) and serum. ALI + PNS
rats were observed to exhibit significantly lower pulmonary parenchymal damage
and EVLW compared with ALI rats. Furthermore, total leukocyte and neutrophil
counts, and levels of inflammatory cytokines were significantly decreased
following PNS administration in ALI rats. In addition, the decrease in alphaENaC
mRNA and protein expression observed in the lung tissue of ALI rats was partially
restored following PNS treatment. PNS treatment was demonstrated to ameliorate OA
LPS-induced ALI, potentially through restoration of alphaENaC mRNA and protein
expression and through PNS-induced anti-inflammatory effects.
PMID- 24938647
TI - Microarray of surface-exposed proteins of Rickettsia heilongjiangensis for
serodiagnosis of Far-eastern spotted fever.
AB - BACKGROUND: Far-eastern spotted fever (FESF) is an important emerging infectious
disease in Northeast Asia. The laboratory diagnosis of FESF in hospitals is
mainly based on serological methods. However, these methods need to cultivate
rickettsial cells as diagnostic antigens, which is both burdensome and dangerous.
METHODS: Eleven surface-exposed proteins (SEPs) were identified in our previous
study and their recombinant proteins (rSEPs) fabricated on a microarray were
serologically analyzed with seventeen paired sera from patients suffered from
FESF in this study. RESULTS: All the rSEPs showed sensitivities of between 53%
and 82% to acute-phase sera and of between 65% and 82% to convalescent-phase
sera, and all the rSEPs except rRplA showed specificities of between 80% and 95%.
The combination assay of two, three, or four of the four rSEPs (rOmpA-2, rOmpB-3,
rRpsB, and rSdhB) showed better sensitivities of between 76% and 94% to the acute
phase sera or between 82% and 100% to the convalescent-phase sera and acceptable
specificities of between 75% and 90%. CONCLUSIONS: Our results suggest that the
four rSEPs are more likely candidate antigens for serological diagnosis of FESF.
PMID- 24938648
TI - Next generation treatment of acute graft-versus-host disease.
AB - Despite rapid increase in the utilization of allogeneic hematopoietic stem cell
transplantation, non-relapse mortality and sequela from acute graft-versus-host
disease (GVHD) remain principle barriers. GVHD involves complex interactions
between innate and adaptive immunity, culminating in tissue damage by
inflammatory mediators and cellular effectors. Recently, our understanding of the
molecular intricacies of GVHD has grown tremendously. New insights into the roles
played by novel cytokines, chemokines, intracellular signaling pathways,
epigenetics and post-translational modifications of proteins in GVHD biology
provide numerous targets that might be therapeutically exploited. This review
highlights recent advances and identifies opportunities for reshaping
contemporary GVHD therapeutics.
PMID- 24938649
TI - Sequential myeloablative autologous stem cell transplantation and reduced
intensity allogeneic hematopoietic cell transplantation is safe and feasible in
children, adolescents and young adults with poor-risk refractory or recurrent
Hodgkin and non-Hodgkin lymphoma.
AB - The outcome of children, adolescents and young adults (CAYA) with poor-risk
recurrent/refractory lymphoma is dismal (?30%). To overcome this poor prognosis,
we designed an approach to maximize an allogeneic graft vs lymphoma effect in the
setting of low disease burden. We conducted a multi-center prospective study of
myeloablative conditioning (MAC) and autologous stem cell transplantation
(AutoSCT), followed by a reduced intensity conditioning (RIC) and allogeneic
hematopoietic cell transplantation (AlloHCT) in CAYA, with poor-risk refractory
or recurrent lymphoma. Conditioning for MAC AutoSCT consisted of
carmustine/etoposide/cyclophosphamide, RIC consisted of busulfan/fludarabine.
Thirty patients, 16 Hodgkin lymphoma (HL) and 14 non-Hodgkin lymphoma (NHL), with
a median age of 16 years and median follow-up of 5years, were enrolled. Twenty
three patients completed both MAC AutoSCT and RIC AlloHCT. Allogeneic donor
sources included unrelated cord blood (n=9), unrelated donor (n=8) and matched
siblings (n=6). The incidence of transplant-related mortality following RIC
AlloHCT was only 12%. In patients with HL and NHL, 10 year EFS was 59.8% and 70%
(P=0.613), respectively. In summary, this approach is safe, and long-term EFS
with this approach is encouraging considering the poor-risk patient
characteristics and the use of unrelated donors for RIC AlloHCT in the majority
of cases.
PMID- 24938650
TI - Considerations in establishing a post-mortem brain and tissue bank for the study
of myalgic encephalomyelitis/chronic fatigue syndrome: a proposed protocol.
AB - BACKGROUND: Our aim, having previously investigated through a qualitative study
involving extensive discussions with experts and patients the issues involved in
establishing and maintaining a disease specific brain and tissue bank for myalgic
encephalomyelitis/chronic fatigue syndrome (ME/CFS), was to develop a protocol
for a UK ME/CFS repository of high quality human tissue from well characterised
subjects with ME/CFS and controls suitable for a broad range of research
applications. This would involve a specific donor program coupled with rapid
tissue collection and processing, supplemented by comprehensive prospectively
collected clinical, laboratory and self-assessment data from cases and controls.
FINDINGS: We reviewed the operations of existing tissue banks from published
literature and from their internal protocols and standard operating procedures
(SOPs). On this basis, we developed the protocol presented here, which was
designed to meet high technical and ethical standards and legal requirements and
was based on recommendations of the MRC UK Brain Banks Network. The facility
would be most efficient and cost-effective if incorporated into an existing
tissue bank. Tissue collection would be rapid and follow robust protocols to
ensure preservation sufficient for a wide range of research uses. A central
tissue bank would have resources both for wide-scale donor recruitment and rapid
response to donor death for prompt harvesting and processing of tissue.
CONCLUSION: An ME/CFS brain and tissue bank could be established using this
protocol. Success would depend on careful consideration of logistic, technical,
legal and ethical issues, continuous consultation with patients and the donor
population, and a sustainable model of funding ideally involving research
councils, health services, and patient charities. This initiative could
revolutionise the understanding of this still poorly-understood disease and
enhance development of diagnostic biomarkers and treatments.
PMID- 24938651
TI - Effectiveness of complex interventions focused on quality-of-life assessment to
improve palliative care patients' outcomes: a systematic review.
AB - BACKGROUND: One of the most crucial palliative care challenges is in determining
how patient' needs are defined and assessed. Assessing quality of life has been
defined as a priority in palliative care, and it has become a central concept in
palliative care practice. AIM: To determine to what extent interventions focused
on measuring quality of life in palliative care practice are effective in
improving outcomes in palliative care patients. DESIGN: Systematic review
according to the recommendations of the Preferred Reporting Items for Systematic
Reviews and Meta-Analyses (PRISMA) statement and hand searches. DATA SOURCES:
MEDLINE, CINAHL, EMBASE, PsycINFO, and the Cochrane Library were searched for
articles published until June 2012, and through hand searching from references
lists of included articles. Only studies that included adult palliative care
patients, in any palliative care clinical practice setting of care, and with an
experimental, quasi-experimental, or observational analytical study design were
eligible for inclusion. All studies were independently reviewed by two
investigators who scored them for methodological quality by using the Edwards
Method Score. RESULTS: In total, 11 articles (of 8579) incorporating information
from 10 studies were included. Only three were randomized controlled trials. The
quality of the evidence was found from moderate to low. Given a wide variability
among patients' outcomes, individual effect size (ES) was possible for 6 out of
10 studies, 3 of which found a moderate ES on symptoms (ES = 0.68) and
psychological (ES = 0.60) and social (ES = 0.55) dimensions. CONCLUSION:
Effectiveness of interventions focused on quality-of-life assessment is moderate.
Additional studies should explore the complexity of the real palliative care
world more accurately and understand the effects of independent variables
included in complex palliative care interventions.
PMID- 24938652
TI - Uropygial gland size and composition varies according to experimentally modified
microbiome in Great tits.
AB - BACKGROUND: Parasites exert important selective pressures on host life history
traits. In birds, feathers are inhabited by numerous microorganisms, some of them
being able to degrade feathers or lead to infections. Preening feathers with
secretions of the uropygial gland has been found to act as an antimicrobial
defence mechanism, expected to regulate feather microbial communities and thus
limit feather abrasion and infections. Here, we used an experimental approach to
test whether Great tits (Parus major) modify their investment in the uropygial
gland in response to differences in environmental microorganisms. RESULTS: We
found that males, but not females, modified the size of their gland when exposed
to higher bacterial densities on feathers. We also identified 16 wax esters in
the uropygial gland secretions. The relative abundance of some of these esters
changed in males and females, while the relative abundance of others changed only
in females when exposed to greater bacterial loads on feathers. CONCLUSION: Birds
live in a bacterial world composed of commensal and pathogenic microorganisms.
This study provides the first experimental evidence for modifications of
investment in the defensive trait that is the uropygial gland in response to
environmental microorganisms in a wild bird.
PMID- 24938653
TI - Antibiotic-loaded chitosan hydrogel with superior dual functions: antibacterial
efficacy and osteoblastic cell responses.
AB - It is critical for the clinical success to take the biological function into
consideration when integrating the antibacterial function into the implanted
biomaterials. To this aim, we prepared gentamycin sulfate (GS)-loaded
carboxymethyl-chitosan (CM-chitosan) hydrogel cross-linked by genipin. The
prepared hydrogels not only achieved superb inhibition on bacteria growth and
biofilm formation of Staphylococcus aureus but also significantly enhanced the
adhesion, proliferation, and differentiation of MC3T3-E1 cells. The observed dual
functions were likely based on the intrinsic property of the positive charged
chitosan-based hydrogel, which could be modified to selectively disrupt the
bacteria wall/membrane and promote cell adhesion and proliferation, as suggested
by the membrane permeability study. The genipin concentration played an important
role in controlling the degradation time of the chitosan hydrogel and the MC3T3
E1 cell responses. The loading of GS not only significantly increased the
antibacterial efficiency but also was beneficial for the osteoblastic cell
responses. Overall, the biocompatibility of the prepared chitosan-GS hydrogel
could be tuned with both the genipin and GS concentrations, which control the
available positive charged sites of chitosan. The results demonstrated that
chitosan-GS hydrogel is an effective and simple approach to achieving combined
antibacterial efficacy and excellent osteoblastic cell responses, which has great
potential in orthopedic applications.
PMID- 24938654
TI - Focal abnormalities in idiopathic generalized epilepsy: a critical review of the
literature.
AB - Conventionally, epilepsy is dichotomized into distinct "focal" and "generalized"
categories. However, many studies have reported so-called focal features among
patients with idiopathic generalized epilepsy (IGE) in the domains of semiology,
electroencephalography, neuropsychology, neuropathology, and neuroimaging. We
sought to review such features and clinical implications. A Web of Science
database search was conducted to identify relevant publications. Our search
yielded 145 papers describing focal features involving different domains in IGE,
with 117 papers analyzed after excluding abstracts and case reports. Focal
semiologic features are commonly seen in IGE. There are conflicting data from
studies in the domains of electroencephalography, neuroimaging, and
neuropathology. Studies on neuropsychology are suggestive of frontal lobe
functional deficits in juvenile myoclonic epilepsy. Most advanced neuroimaging
studies demonstrate the involvement of both the thalamus and the cortex during
generalized spike-wave discharges (GSWDs). A few electroencephalographic and
neuroimaging studies indicate that the cortex precedes the thalamus at the onset
of GSWD. Focal features may contribute to misdiagnosis of IGE as focal epilepsy.
However there are methodologic limitations in the studies that affect the
results.
PMID- 24938655
TI - Co-evolution of compensatory mutation K43E with mutation M41L in long-term HIV
antiretroviral treatment.
AB - BACKGROUND: Compensatory mutations have been observed to emerge with drug
resistance (DR) mutations, but their effects on virological response to treatment
have not been fully examined. In this study, we characterized the emergence and
depletion dynamics of a compensatory mutation K43E that correlated with primary
nucleoside reverse transcriptase inhibitor (NRTI) drug resistance mutations in
Chinese HIV patients on antiretroviral treatment. METHOD: Single Genome
Amplification (SGA) was used to obtain the HIV-1 pol gene quasispecies in three
patients over 6 years of Antiretroviral Therapy (ART) treatment. SGA sequences
were analyzed by Markov Chain Monte Carlo (MCMC) phylogenetic trees with
molecular clock to identify and track compensatory mutation K43E correlated with
primary DR mutation M41L. We evaluated the relationship between potential
compensatory mutation and DR mutations through Ka/Ks ratio, Jaccard similarity
coefficient, and compared these with concurrent viral load data. CONCLUSION: We
determined that a known compensatory mutation, K43E, frequently co-occurs with
the drug resistance mutation M41L and may offer a significant advantage in the
long-term survival of such drug resistant strains.
PMID- 24938656
TI - Petawatt laser absorption bounded.
AB - The interaction of petawatt (10(15) W) lasers with solid matter forms the basis
for advanced scientific applications such as table-top particle accelerators,
ultrafast imaging systems and laser fusion. Key metrics for these applications
relate to absorption, yet conditions in this regime are so nonlinear that it is
often impossible to know the fraction of absorbed light f, and even the range of
f is unknown. Here using a relativistic Rankine-Hugoniot-like analysis, we show
for the first time that f exhibits a theoretical maximum and minimum. These
bounds constrain nonlinear absorption mechanisms across the petawatt regime,
forbidding high absorption values at low laser power and low absorption values at
high laser power. For applications needing to circumvent the absorption bounds,
these results will accelerate a shift from solid targets, towards structured and
multilayer targets, and lead the development of new materials.
PMID- 24938658
TI - Individually adapted tube current selection and contrast medium injection
protocol of coronary CT angiography based on test bolus parameters: a feasibility
study.
AB - BACKGROUND: Test bolus is mostly used to determine the starting point of a full
cardiac scanning with respect to injection of a larger bolus of contrast
material. So far there are limited data demonstrating the feasibility of using
information obtained from a test bolus to adjust contrast delivery protocols and
tube current individually during coronary computed tomography angiography (CCTA).
PURPOSE: To evaluate the feasibility of individually adapted tube current
selection and contrast injection protocols of CCTA based on test bolus
parameters. MATERIAL AND METHODS: Test bolus followed by CCTA was performed in 93
patients at 100 kV and in 81 patients at 120 kV, respectively. Simulated
attenuation of the descending aorta (SimDA) of CCTA was calculated at a fixed
contrast injection rate of 4 mL/s. Univariate and multivariate comparisons were
performed to identify associations of SimDA and image noise of CCTA (NoiseCCTA)
with test bolus information and patient-related factors including body weight
(BW), body mass index (BMI), and body surface area (BSA). RESULTS: Compared with
BW, BMI, and BSA, SimDA was more closely related to the peak time of left
ventricle and peak enhancement of right ventricle obtained from test bolus (r =
0.495 and r = 0.642 for 100 and 120 kV protocol, respectively). Similarly,
NoiseTB was much more closely related to NoiseCCTA (r = 0.740 and r = 0.630 for
100 and 120 kV protocol, respectively) when compared with BW, BMI, and BSA.
CONCLUSION: It is feasible to individually adapt tube current and contrast
injection protocol of CCTA based on the information of test bolus.
PMID- 24938657
TI - Comparative analysis of conjugated alkynyl chromophore-triazacyclononane ligands
for sensitized emission of europium and terbium.
AB - A series of europium and terbium complexes based on a functionalized
triazacyclononane carboxylate or phosphinate macrocyclic ligand is described. The
influence of the anionic group, that is, carboxylate, methylphosphinate, or
phenylphosphinate, on the photophysical properties was studied and rationalized
on the basis of DFT calculated structures. The nature, number, and position of
electron-donating or electron-withdrawing aryl substituents were varied
systematically within the same phenylethynyl scaffold in order to optimize the
brightness of the corresponding europium complexes and investigate their two
photon absorption properties. Finally, the europium complexes were examined in
cell-imaging applications, and selected terbium complexes were studied as
potential oxygen sensors.
PMID- 24938659
TI - A focal marked hypoechogenicity within an isoechoic thyroid nodule: is it a focal
malignancy or not?
AB - BACKGROUND: A marked hypoechogenicity is a reliable criterion for a malignant
nodule, whereas isoechogenicity is considered to be a benign ultrasonographic
(US) finding. However, there have been no reports to demonstrate whether a focal
marked hypoechogenicity within an isoechoic nodule is a focal malignancy.
PURPOSE: To evaluate whether a focal marked hypoechogenicity within an isoechoic
nodule on US examination is a focal malignancy and to correlate US histologic
findings using surgical or core needle biopsy (CNB) specimens. MATERIAL AND
METHODS: From January 2010 to August 2011, 28 consecutive patients (25 women, 3
men; mean age, 52.2 years) with 29 thyroid nodules, which showed a focal marked
hypoechogenicity within an isoechoic nodule on US, underwent US-guided CNB for a
suspicion of a focal malignancy. All nodules were confirmed by surgery (n = 6) or
more than two consecutive US-guided biopsies (n = 23). We evaluated the risk of
malignancy, and analyzed histological components which correlated with a focal
marked hypoechogenic area within an isoechoic nodule on US. Statistical analysis
was performed using the Mann-Whitney U test and Fisher's exact test. RESULTS: Of
the 29 nodules, 25 were benign (86.2%) and four were malignant (13.8%). The
peripheral location of focal marked hypoechogenicity within an isoechoic nodule
was the only significant US finding that suggested malignancy (P = 0.03). All
benign focal marked hypoechogenicities were histologically composed of severe
fibrosis with a few follicular cells with varying frequencies of hemosiderin,
calcification, infarction, and granulation tissue. CONCLUSION: Although a focal
marked hypoechogenicity within an isoechoic nodule is usually benign, the
peripheral location of a focal marked hypoechogenicity may be a malignancy. The
histologic information obtained by CNB specimen may reduce the needs for
repetitive fine needle aspirations or diagnostic surgery.
PMID- 24938660
TI - Invasive cribriform carcinoma of the breast: mammographic, sonographic, MRI, and
18 F-FDG PET-CT features.
AB - BACKGROUND: Invasive cribriform carcinoma (ICC) of the breast is a rare type of
invasive carcinoma which shows a favorable prognosis and a lower frequency of
axillary nodal metastases. Few imaging findings related to ICC have been
reported. PURPOSE: To evaluate imaging findings with multiple imaging techniques
in ICC of the breast. MATERIAL AND METHODS: Twenty-eight cases of
histopathologically proven ICC of the breast were gathered for this study. We
retrospectively reviewed the mammographic, sonographic, and magnetic resonance
imaging (MRI) findings of ICC according to the American College of Radiology
(ACR) breast imaging reporting and data system (BI-RADS) lexicon. 18 F
fluorodeoxyglucose positron emission tomography-computed tomography (18 F-FDG PET
CT) findings were also evaluated. Microscopic slides of surgical specimens were
reviewed by a breast pathologist. RESULTS: The mean age of the patients was 51
years. The most common mammographic findings were irregular shape (72.8%),
spiculated margin (63.7%), and a high density (81.8%) mass. Microcalcifications
were noted in 9/28 cases. The most common shape was pleomorphic (66.7%). The most
common sonographic findings were irregular shape (77.8%), spiculated margin
(29.6%), hypoechogenicity (81.5%), and no posterior acoustic features (85.2%). On
MRI, most ICCs presented as irregular shaped mass (62.0%) and irregular (42.9%)
margin. All four patients (16.0%) who presented with non-mass-like enhancement
pattern showed a segmental distribution. The 18 F-FDG PET-CT showed a mean
maximum standardized uptake value (SUVmax) of 5.90. Axillary nodal metastases
were found in 17.9% (5/28) of the surgical specimens. Immunohistochemical studies
showed a high positivity for estrogen and progesterone receptor (100% and 87.5%,
respectively). CONCLUSION: The imaging features of invasive cribriform carcinoma
are highly suggestive of malignancy and are not distinguishable from those of
other breast cancers like infiltrating ductal carcinoma.
PMID- 24938662
TI - Safety in simultaneous EEG-fMRI at 3 T: temperature measurements.
AB - BACKGROUND: In simultaneous electroencephalography (EEG) and functional magnetic
resonance imaging (fMRI), safety of the EEG equipment is ensured by the
manufacturer only for localizers and fMRI sequences. To conduct a clinically
feasible simultaneous EEG-fMRI study, other sequences, e.g. anatomical and B0
correction sequences, have to be acquired in the same imaging session. PURPOSE:
To measure the temperature increase of the electrodes in different size EEG caps
in a phantom and volunteers during magnetic resonance imaging (MRI) sequences
typically used in clinical studies. MATERIAL AND METHODS: A phantom with EEG caps
of size 52, 56, and 60 was imaged using several sequences in two 3 T MRI scanners
to determine the maximum and average temperature increases in the electrodes.
Additionally, three volunteer studies were performed for the EEG caps of sizes 56
and 60. The sequences were gradient echo based echo planar imaging sequence, T2
weighted turbo spin echo (T2-TSE), spin echo multiecho for B0-correction,
diffusion tensor imaging and T1-weighted 3D sequences. RESULTS: In phantom
studies the maximum temperature increase was 4.1C with a mean of 1.2 +/- 1.1C. In
volunteer studies, the maximum temperature measured was 35.6C and the maximum
temperature rise was 2.1C with a mean of 0.9 +/- 0.7C. Both were observed with a
T2-TSE sequence. CONCLUSION: The temperature of the electrodes did not exceed the
limits set by the IEC 60601-1 standard (43C) or manufacturer (45C), thus
indicating a safe EEG-fMRI protocol in this respect.
PMID- 24938661
TI - Intra-arterial and intravenous applications of Iosimenol 340 injection, a new non
ionic, dimeric, iso-osmolar radiographic contrast medium: phase 2 experience.
AB - BACKGROUND: Iosimenol 340 injection is a new, dimeric, iso-osmolar, iodinated
contrast medium for X-ray angiography. PURPOSE: To compare the safety and
efficacy of iosimenol injection to iodixanol injection in two randomized,
controlled phase 2 trials. MATERIAL AND METHODS: One hundred and forty-four adult
patients were enrolled in the two trials, one for evaluation during arteriography
and the other for evaluation during computed tomography. Safety was compared by
assessing adverse events, vital signs, ECGs, and laboratory parameters. Efficacy
was assessed as X-ray attenuation in the computed tomography (CT) trial and as
the quality of contrast enhancement in the arteriography trial. RESULTS: There
were no statistically significant differences in terms of safety or efficacy
between the two contrast media. Both were well tolerated upon intravenous as well
as intra-arterial injection. The most common adverse event was a feeling of
warmth (observed in 35.1% of the patients with Iosimenol injection and 44.3% with
iodixanol injection). CONCLUSION: Iosimenol upon intravenous as well as upon
intra-arterial injection exhibits a safety profile and shows an efficacy similar
to that of iodixanol.
PMID- 24938663
TI - Diagnostic performance of indirect MR arthrography for the diagnosis of rotator
cuff tears at 3.0 T.
AB - BACKGROUND: Indirect magnetic resonance (MR) arthrography is a non-invasive
method for shoulder imaging. However, there are no studies that have examined the
diagnostic performance of indirect MR arthrography for the diagnosis of rotator
cuff tears in a large patient population. PURPOSE: To assess the diagnostic
performance of indirect fast spin-echo (FSE) MR arthrography for the diagnosis of
rotator cuff tears at 3.0 T. MATERIAL AND METHODS: A total of 149 patients who
had undergone indirect shoulder MR arthrography followed by arthroscopic surgery
were enrolled in this retrospective study. Two musculoskeletal radiologists
evaluated images from each patient for the presence of supraspinatus
infraspinatus (SSP-ISP) or subscapularis (SSC) tendon tears. Using the
arthroscopic findings as the reference standard, the overall diagnostic
performance and detection rates for SSP-ISP and SSC tendon tears were calculated.
RESULTS: The sensitivity, specificity, and accuracy of readers I and II for the
diagnosis of SSP-ISP tendon tears were 94% and 95%, 89% and 85%, and 93% and 93%,
respectively. The sensitivity of imaging for detection of SSP-ISP tendon tears by
readers I and II were 100% and 100% for full-thickness tears and 84% and 86% for
partial-thickness tears, respectively. The sensitivity, specificity, and accuracy
of readers I and II for the diagnosis of SSC tendon tears were 80% and 76%, 89%
and 93%, and 85% and 85%, respectively. CONCLUSION: Indirect MR arthrography is
useful for the detection of SSP-ISP and SSC tendon tears.
PMID- 24938664
TI - In vivo characterization of urinary calculi on dual-energy CT: going a step ahead
with sub-differentiation of calcium stones.
AB - BACKGROUND: The role of dual-energy computed tomography (DECT) in
characterization of urinary calculi is evolving and literature regarding
differentiation of calcium calculi is sparse and confounding. PURPOSE: To
evaluate the capability of DECT in assessing the urinary calculi composition in
vivo, especially in differentiating various types of calcium calculi. MATERIAL
AND METHODS: One hundred and twenty patients underwent DECT for characterization
of urinary calculi. Seventy patients with 114 calculi, including 93 calcium
stones, were retrospectively analyzed. DE ratios and attenuation differences were
compared using ANOVA and receiver-operating-characteristic (ROC) analysis was
done to predict cut-off values, in particular for detecting calcium-oxalate
monohydrate (COM) stones. RESULTS: DE ratio <=1.14 accurately detected uric acid
calculi, >=1.29 was definitive for calcium and intermediate values were
characteristic of cystine stones. DE ratios were significantly different between
group 1 (COM [n = 32]; mean 1.376 +/- 0.041), group 2 ([calcium oxalate dihydrate
(COD) + COM] [n = 51]; 1.416 +/- 0.048), and group 3 ([carbonate apatite (CaP) +
COD + COM] [n = 10]; 1.468 +/- 0.038) (group 1 vs. 2, P = 0.001; 1 vs. 3, P =
0.000; 2 vs. 3, P = 0.004). More importantly, pure COM calculi (group 1) had
significantly lower DE ratio compared with mixed calcium calculi (groups 2 and 3)
(P = 0.000). Attenuation differences (between low and high kV images) could not
distinguish between COM and mixed calculi. ROC analysis for detection of COM
calculi yielded AUC of 0.770 with cut-off DE ratio 1.385 (sensitivity 65.6%,
specificity 82%) and value <1.335 was seen only with COM calculi (100%
specificity). CONCLUSION: DECT can be employed for in vivo differentiation of
various types of calculi and for detection of relatively lithotripsy-resistant
COM calculi.
PMID- 24938665
TI - Myosin IIb controls actin dynamics underlying the dendritic spine maturation.
AB - Precise control of the formation and development of dendritic spines is critical
for synaptic plasticity. Consequently, abnormal spine development is linked to
various neurological disorders. The actin cytoskeleton is a structural element
generating specific changes in dendritic spine morphology. Although mechanisms
underlying dendritic filopodia elongation and spine head growth are relatively
well understood, it is still not known how spine heads are enlarged and
stabilized during dendritic spine maturation. By using rat hippocampal neurons,
we demonstrate that the size of the stable actin pool increases during the
neuronal maturation process. Simultaneously, the treadmilling rate of the dynamic
actin pool increases. We further show that myosin IIb controls dendritic spine
actin cytoskeleton by regulating these two different pools of F-actin via
distinct mechanisms. The findings indicate that myosin IIb stabilizes the stable
F-actin pool through actin cross-linking. Simultaneously, activation of myosin
IIb contractility increases the treadmilling rate of the dynamic pool of actin.
Collectively, these data show that myosin IIb has a major role in the regulation
of actin filament stability in dendritic spines, and elucidate the complex
mechanism through which myosin IIb functions in this process. These new insights
into the mechanisms underlying dendritic spine maturation further the model of
dendritic spine morphogenesis.
PMID- 24938666
TI - Compounds from marine-derived Verrucosispora sp. FIM06054 and their potential
antitumour activities.
AB - Strain FIM06054 was isolated from a marine sponge sample collected from the East
China Sea and was characterised as a strain of Verrucosispora genus on the basis
of its 16S rRNA gene sequence. One new compound, FW054-1 (1), together with a
known aminofuran compound proximicin A (2), was isolated from the culture broth
of Verrucosispora sp. FIM06054. Their structures were elucidated on the basis of
spectral analysis. 1 and 2 showed antiproliferative activity against several
human tumour cell lines.
PMID- 24938668
TI - What every radiologist should know about paediatric echocardiography.
AB - Congenital heart defects (CHD) occur in less than one percent of all newborns.
Echocardiography represents the imaging modality of choice for morphological and
functional assessment. In childhood the different CHD types can be diagnosed
trustfully and can be performed bedside. In the follow-up of CHD cross sectional
imaging plays an important role and therefore it is essential for the radiologist
to know the features, challenges and limitations of echocardiography. Within this
review article a systematic approach for morphological and functional assessment
of the heart will is given along with representative example images. In addition,
typical echocardiographic findings in common CHD is presented. In older children,
adolescents and grown-ups with CHD (GUCH) echocardiography suffers from
limitations - partially due to skeletal deformations and lung emphysema. In
particular right ventricular function assessment is not always possible by
echocardiography. Therefore strengths and limitations of echocardiography will be
discussed the role of cardiac magnetic resonance imaging (cMRI) and cardiac
computed tomography (cCT) emphasized.
PMID- 24938667
TI - Characteristics, survival, and related factors of newly diagnosed colorectal
cancer patients refusing cancer treatments under a universal health insurance
program.
AB - BACKGROUND: Colorectal cancer is the third most commonly diagnosed cancer
worldwide. Few studies have addressed the causes and risks of treatment refusal
in a universal health insurance setting. METHODS: We examined the characteristics
and survival associated with treatment refusal in patients with newly diagnosed
colorectal cancer in Taiwan during 2004-2008. Treatment refusal was defined as
not undergoing any cancer treatment within 4 months of confirmed cancer
diagnosis. Patient data were extracted from four national databases. Factors
associated with treatment refusal were identified through logistic regression
using the generalized estimating equation method, and survival analysis was
performed using the Cox proportional hazards model. RESULTS: Of the 41,340 new
colorectal cancer cases diagnosed, 3,612 patients (8.74%) refused treatment.
Treatment refusal rate was higher in patients with less urbanized areas of
residence, lower incomes, preexisting catastrophic illnesses, cancer stages of 0
and IV, and diagnoses at regional and district hospitals. Logistic regression
analysis revealed that patients aged >75 years were the most likely to refuse
treatment (OR, 1.87); patients with catastrophic illnesses (OR, 1.66) and stage
IV cancer (OR, 1.43) had significantly higher refusal rates. The treatment
refusers had 2.66 times the risk of death of those who received treatment.
Factors associated with an increased risk of death in refusers included age >= 75
years, insured monthly salary >= 22,801 NTD, low-income household or aboriginal
status, and advanced cancer stage (especially stage IV; HR, 11.33). CONCLUSION:
Our results show a lower 5-year survival for colorectal patients who refused
treatment than for those who underwent treatment within 4 months. An age of 75
years or older, low-income household status, advanced stages of cancer,
especially stage IV, were associated with higher risks of death for those who
refused treatment.
PMID- 24938669
TI - Effect of breast cancer phenotype on diagnostic performance of MRI in the
prediction to response to neoadjuvant treatment.
AB - AIM: The estimation of response to neoadjuvant chemotherapy (NAC) is useful in
the surgical decision in breast cancer. We addressed the diagnostic reliability
of conventional MRI, of diffusion weighted imaging (DWI) and of a merged
criterion coupling morphological MRI and DWI. Diagnostic performance was analysed
separately in different tumor subtypes, including HER2+ (human epidermal growth
factor receptor 2)/HR+ (hormone receptor) (hybrid phenotype). MATERIALS AND
METHODS: Two-hundred and twenty-five patients underwent MRI before and after NAC.
The response to treatment was defined according to the RECIST classification and
the evaluation of DWI with apparent diffusion coefficient (ADC). The complete
pathological response - pCR was assessed (Mandard classification). RESULTS: Tumor
phenotypes were Luminal (63.6%), Triple Negative (16.4%), HER2+ (7.6%) or Hybrid
(12.4%). After NAC, pCR was observed in 17.3% of cases. Average ADC was
statistically higher after NAC (p<0.001) among patients showing pCR vs. those who
had not pCR. The RECIST classification showed adequate performance in predicting
the pCR in Triple Negative (area under the receiver operating characteristic
curve, ROC AUC=0.9) and in the HER2+ subgroup (AUC=0.826). Lower performance was
found in the Luminal and Hybrid subgroups (AUC 0.693 and 0.611, respectively),
where the ADC criterion yielded an improved performance (AUC=0.787 and 0.722).
The coupling of morphological and DWI criteria yielded maximally improved
performance in the Luminal and Hybrid subgroups (AUC=0.797 and 0.761).
CONCLUSION: The diagnostic reliability of MRI in predicting the pCR to NAC
depends on the tumor phenotype, particularly in the Luminal and Hybrid subgroups.
In these cases, the coupling of morphological MRI evaluation and DWI assessment
may facilitate the diagnosis.
PMID- 24938670
TI - Comment on "impact of a new respiratory amplitude-based gating technique in
evaluation of upper abdominal PET lesions".
PMID- 24938673
TI - Deposition of callose in young ovules of two Taraxacum species varying in the
mode of reproduction.
AB - Although callose occurs during megasporogenesis in most flowering plants, the
knowledge about its general function and the mechanisms by which the callose
layer is formed in particular places is still not sufficient. The results of
previous studies suggest a total lack of callose in the ovules of diplosporous
plants in which meiosis is omitted or disturbed. This report is the first
documentation of callose events in dandelions ovules. We demonstrated the pattern
of callose deposition during the formation of megaspores through diplospory of
Taraxacum type and during normal meiotic megasporogenesis in apomictic triploid
Taraxacum atricapillum and amphimictic diploid Taraxacum linearisquameum. We
found the presence of callose in the megasporocyte wall of both diplosporous and
sexual dandelions. However, in a diplosporous dandelion, callose predominated at
the micropylar pole of megaspore mother cell (MMC) which may be correlated with
abnormal asynaptic meiosis and may indicate diplospory of the Taraxacum type.
After meiotic division, callose is mainly deposited in the walls between
megaspores in tetrads and in diplodyads. In subsequent stages, callose gradually
disappears around the chalazal functional megaspore. However, some variations in
the pattern of callose deposition within tetrad may reflect variable positioning
of the functional megaspore (FM) observed in the ovules of T. linearisquameum.
PMID- 24938674
TI - Should health insurers target prevention of cardiovascular disease? A cost
effectiveness analysis of an individualised programme in Germany based on routine
data.
AB - BACKGROUND: Cardiovascular diseases are the main cause of death worldwide, making
their prevention a major health care challenge. In 2006, a German statutory
health insurance company presented a novel individualised prevention programme
(KardioPro), which focused on coronary heart disease (CHD) screening, risk factor
assessment, early detection and secondary prevention. This study evaluates
KardioPro in CHD risk subgroups, and analyses the cost-effectiveness of different
individualised prevention strategies. METHODS: The CHD risk subgroups were
assembled based on routine data from the statutory health insurance company,
making use of a quasi-beta regression model for risk prediction. The control
group was selected via propensity score matching based on logistic regression and
an approximate nearest neighbour approach. The main outcome was cost
effectiveness. Effectiveness was measured as event-free time, and events were
defined as myocardial infarction, stroke and death. Incremental cost
effectiveness ratios comparing participants with non-participants were calculated
for each subgroup. To assess the uncertainty of results, a bootstrapping approach
was applied. RESULTS: The cost-effectiveness of KardioPro in the group at high
risk of CHD was ? 20,901 per event-free year; in the medium-risk group, ? 52,323
per event-free year; in the low-risk group, ? 186,074 per event-free year; and in
the group with known CHD, ? 26,456 per event-free year. KardioPro was associated
with a significant health gain but also a significant cost increase. However,
statistical significance could not be shown for all subgroups. CONCLUSION: The
cost-effectiveness of KardioPro differs substantially according to the group
being targeted. Depending on the willingness-to-pay, it may be reasonable to only
offer KardioPro to patients at high risk of further cardiovascular events. This
high-risk group could be identified from routine statutory health insurance data.
However, the long-term consequences of KardioPro still need to be evaluated.
PMID- 24938672
TI - The development and initial validation of a clinical tool for patients'
preferences on patient participation--The 4Ps.
AB - AIMS: To report on the development and initial testing of a clinical tool, The
Patient Preferences for Patient Participation tool (The 4Ps), which will allow
patients to depict, prioritize, and evaluate their participation in health care.
BACKGROUND: While patient participation is vital for high quality health care, a
common definition incorporating all stakeholders' experience is pending. In order
to support participation in health care, a tool for determining patients'
preferences on participation is proposed, including opportunities to evaluate
participation while considering patient preferences. METHODS: Exploratory mixed
methods studies informed the development of the tool, and descriptive design
guided its initial testing. The 4Ps tool was tested with 21 Swedish researcher
experts (REs) and patient experts (PEs) with experience of patient participation.
Individual Think Aloud interviews were employed to capture experiences of
content, response process, and acceptability. RESULTS: 'The 4Ps' included three
sections for the patient to depict, prioritize, and evaluate participation using
12 items corresponding to 'Having Dialogue', 'Sharing Knowledge', 'Planning', and
'Managing Self-care'. The REs and PEs considered 'The 4Ps' comprehensible, and
that all items corresponded to the concept of patient participation. The tool was
perceived to facilitate patient participation whilst requiring amendments to
content and layout. CONCLUSIONS: A tool like The 4Ps provides opportunities for
patients to depict participation, and thus supports communication and
collaboration. Further patient evaluation is needed to understand the conditions
for patient participation. While The 4Ps is promising, revision and testing in
clinical practice is required.
PMID- 24938675
TI - Electrochemical performance of platinum electrodes within the multi-electrode
spiral nerve cuff.
AB - In this study, the electrochemical performance of platinum electrodes within a
multi-electrode spiral cuff to be used for selective nerve stimulation was
investigated. The original cuff, simplified into a half-cuff, contained a single
row of nine electrodes (0.5 * 2 mm) at a distance of 2 mm from its inner surface.
Cyclic voltammetry was used to investigate the electrochemical reactions at the
electrode-electrolyte interface, to define a potential window within which the
electrode could be safely used in selective nerve stimulation, to calculate the
charge injection capacity and cathodal charge storage capacity. Voltage
transients retrieved during excitation with quasitrapezoidal biphasic current
pulses, tested by selective nerve stimulation of the isolated porcine left
cervical vagus nerve segment, were used to determine the maximum polarization
across the electrode-electrolyte interface and to calculate cathodic charge
injection capacity of the electrode. The results show that the most negative and
most positive potentials across the electrode-electrolyte interface reached -0.54
and 0.59 V; these did not exceed the safe potential limits for water
electrolysis. Furthermore, the time integral of the cathodic current by cyclic
voltammetry measured over the potential range of water electrolysis, actually
representing the cathodal charge storage capacity, was approximately -4 mC cm(
2). The charge injection capacity, representing the maximum charge density
injected in a current stimulation pulse, using only reversible processes,
however, was around 75 uC cm(-2). In conclusion, both, the tested stimulation
pulse and electrode are suitable for efficient and safe selective nerve
stimulation.
PMID- 24938676
TI - Ligamentous contributions to pelvic stability in a rotationally unstable open
book injury: a cadaver study.
AB - INTRODUCTION: Due to the orientation of the sacroiliac joint (SIJ), as the
symphysis widens in an open-book pelvic ring disruption, it should displace
inferiorly. The purposes of this study were to reconfirm this inferior
displacement and to evaluate the relative contributions of the pubic symphysis
(PS), the sacrotuberous/sacrospinous ligament complex (STL/SSL) and the anterior
sacroiliac ligament (ASIL) to pelvic ring stability in a rotationally unstable
open-book injury. METHODS: For each of 6 cadaver pelves, the right hemipelvis was
fixed to a table and the PS was sectioned. Under fluoroscopy, a manual external
rotational force was then applied through the unfixed, left ilium. At the point
of maximal displacement, a permanent AP image was obtained. With magnification
corrected, horizontal (H) and vertical (V) displacements were measured. The
pelves were then divided into two groups of three each. In Group 1, the PS
release was followed by sectioning of the STL/SSL, and then the ASIL. In Group 2,
the PS release was followed by sectioning of the ASIL and then the STL/SSL. The
above described technique of manual manipulation and radiographic measurement was
repeated after each stage of ligament release. RESULTS: The displacement after
initial PS sectioning was not significantly different when comparing Group 1 to
Group 2. In both groups, a significant and progressive increase in displacement
was noted when the PS (H and V; p<0.05) and ASIL (H and V; p<0.05) were
sectioned. However, there was no significant change with SSL/STL sectioning in
either group. Vertical displacements were all directed inferiorly. CONCLUSIONS:
The PS and ASIL are important in maintaining pelvic ring external rotational
stability. However, the SSL/STL has little, if any, effect in this regard. Due to
the orientation of the SIJ, external rotation of the hemipelvis, as in open-book
injury, will show inferior vertical, as well as horizontal, displacement on the
AP radiograph, despite the PSIL being intact.
PMID- 24938671
TI - Neuropathic pain-induced depressive-like behavior and hippocampal neurogenesis
and plasticity are dependent on TNFR1 signaling.
AB - Patients suffering from neuropathic pain have a higher incidence of mood
disorders such as depression. Increased expression of tumor necrosis factor (TNF)
has been reported in neuropathic pain and depressive-like conditions and most of
the pro-inflammatory effects of TNF are mediated by the TNF receptor 1 (TNFR1).
Here we sought to investigate: (1) the occurrence of depressive-like behavior in
chronic neuropathic pain and the associated forms of hippocampal plasticity, and
(2) the involvement of TNFR1-mediated TNF signaling as a possible regulator of
such events. Neuropathic pain was induced by chronic constriction injury of the
sciatic nerve in wild-type and TNFR1(-/-) mice. Anhedonia, weight loss and
physical state were measured as symptoms of depression. Hippocampal neurogenesis,
neuroplasticity, myelin remodeling and TNF/TNFRs expression were analyzed by
immunohistochemical analysis and western blot assay. We found that neuropathic
pain resulted in the development of depressive symptoms in a time dependent
manner and was associated with profound hippocampal alterations such as impaired
neurogenesis, reduced expression of neuroplasticity markers and myelin proteins.
The onset of depressive-like behavior also coincided with increased hippocampal
levels of TNF, and decreased expression of TNF receptor 2 (TNFR2), which were all
fully restored after mice spontaneously recovered from pain. Notably, TNFR1(-/-)
mice did not develop depressive-like symptoms after injury, nor were there
changes in hippocampal neurogenesis and plasticity. Our data show that
neuropathic pain induces a cluster of depressive-like symptoms and profound
hippocampal plasticity that are dependent on TNF signaling through TNFR1.
PMID- 24938677
TI - Responsiveness of SF-36 and Lower Extremity Functional Scale for assessing
outcomes in traumatic injuries of lower extremities.
AB - OBJECTIVE: To assess the responsiveness of one generic questionnaire, Medical
Outcomes Study Short Form-36 (SF-36), and one region-specific outcome measure,
Lower Extremity Functional Scale (LEFS), in patients with traumatic injuries of
lower extremities. DESIGN: A prospective and observational study of patients
after traumatic injuries of lower extremities. Assessments were performed at
baseline and 3 months later. SETTING: In-patients and out-patients in two
university hospitals in Taiwan. PARTICIPANTS: A convenience sample of 109
subjects were evaluated and 94 (86%) were followed. INTERVENTIONS: Not
applicable. MAIN OUTCOME MEASURE(S): Assessments of responsiveness with
distribution-based approach (effect size, standardized response mean [SRM],
minimal detectable change) and anchor-based approach (receiver's operating curve
analysis, ROC analysis). RESULTS: LEFS and physical component score (PCS) of SF
36 were all responsive to global improvement, with fair-to-good accuracy in
discriminating between participants with and without improvement. The area under
curve gained by ROC analysis for LEFS and SF-36 PCS was similar (0.65 vs. 0.70,
p=0.26). CONCLUSIONS: Our findings revealed comparable responsiveness of LEFS and
PCS of SF-36 in a sample of subjects with traumatic injuries of lower limbs.
Either type of functional measure would be suitable for use in clinical trials
where improvement in function was an endpoint of interest.
PMID- 24938679
TI - Systematic investigation of the ring-expansion reaction of N-heterocyclic
carbenes with an iminoborane dihydride.
AB - Conversions of iminoboranes with an N-heterocyclic carbene (NHC) result in borane
dihydride formation (BDF) concomitant with dihydrogenated NHC. The iminoborane
dihydrides are prone to a hydride-mediated ring-expansion reaction (RER) at
elevated temperature, that is, the insertion of the boron atom into the adjacent
C?N bond of the NHC to yield boracycles. Upon conversion of a saturated-backbone
NHC with respective iminoborane precursors RER yet occurs at ambient temperature
to yield the ring-expanded products. When a less bulky iminoborane is brought in
contact with sterically unhindered NHC neither the iminoborane dihydride is
stable at room temperature nor is the RER observed to take place upon heating.
The conversions of iminoboranes with very bulky NHC do not show BDF at ambient
temperature and only in the case of the less hindered borane precursor the RER is
found to proceed in a controlled fashion upon heating.
PMID- 24938678
TI - Volume of resected stomach as a predictor of excess weight loss after sleeve
gastrectomy.
AB - BACKGROUND: Laparoscopic sleeve gastrectomy (LSG) is gaining popularity for the
treatment of obesity. The objective of this study was to evaluate the volume of
the resected stomach (VRS) as a predictor of the percentage of excess weight loss
(%EWL) 1 year after LSG. METHODS: This was a single-surgeon study of
prospectively collected data of patients who underwent LSG at Jordan University
Hospital (February 2009 to February 2012). The VRS was measured using a
standardized technique. The %EWL was calculated at 3, 6, and 12 months
postoperatively. The correlation between the VRS and %EWL was statistically
evaluated. RESULTS: Ninety patients underwent LSG during the study period. Of
these, 73 patients (57 female) completed at least 1 year of follow-up and were
analyzed; their body mass index was 45 +/- 7.6 kg/m(2) (33-81). The VRS was
1,337.4 +/- 435.2 ml (600-2,800). The %EWL was 33.6 +/- 11.1 % at 3 months, 48.6
+/- 15.5 % at 6 months, and 56.8 +/- 18.9 % at 12 months. A significant
correlation was observed between the VRS and %EWL at 1 year (p = 0.003). Patients
with a VRS of >1,100 ml (n = 43) achieved significantly greater %EWL at 12 months
than did those with a VRS of <= 1,100 (n = 30). Removal of >1,100 ml of gastric
volume was associated with a sensitivity and specificity of 75.5 and 46.2 %,
respectively, for achieving a %EWL of >= 50 %. CONCLUSION: The VRS can be used as
an indicator of excess weight loss 1 year after LSG.
PMID- 24938681
TI - [Endoscopic submucosal resection of small neuroendocrine rectal tumors].
PMID- 24938680
TI - [Redo intervention and complication management in bariatric surgery].
AB - Morbid obesity and the associated comorbidities are rapidly increasing worldwide.
Bariatric surgery has proven to be an effective treatment option. In 2011 more
then 340,000 bariatric procedures were performed worldwide which is accompanied
by a growing demand for revision bariatric procedures due to complications or
failure, such as insufficient weight loss or weight regain.Redo operation
procedures as well as the management of complications require a profound
preoperative medical history and diagnostic investigation in combination with an
exact knowledge of the anatomical changes made in the primary procedure.
PMID- 24938682
TI - [Obituary prof. Dr. Volker Bay].
PMID- 24938683
TI - MaMP and FeMP: computational mesh phantoms applied for studying the variation of
WBC efficiency using a NaI(Tl) detector.
AB - In a previous study, the counting efficiency calibration was calculated for 36
computational mesh phantoms called MaMP and FeMP-Male and Female Mesh Phantoms,
which span variations in height, weight and gender. They were created to be used
in a sitting configuration WBC set-up using an HPGe detector. Now this work is
extended to investigate the influence of body sizes and the position of the
detector on the counting efficiency (CE) for a different counting geometry. This
was done by creating a new set of MaMP and FeMP mesh phantoms lying on a
reclining chair and by studying the variation of the counting efficiency using a
NaI(Tl) detector for the WBC set-up of SCK-CEN. The deviation of the CE due to
the detector's position with respect to the phantom was investigated.
Correlations were also studied for CE as a function of trunk volume, waist-hips
ratio (WHR) and waist-chest ratio (WCR) for different mesh phantoms.
PMID- 24938684
TI - Transgelin overexpression in lung adenocarcinoma is associated with tumor
progression.
AB - Hypoxia is a common feature of solid tumors and is associated with an increased
likelihood of local recurrence and distant metastasis. Transgelin (TAGLN) is an
actin cross-linking/polymerization protein that belongs to the family of actin
associated proteins, and there is evidence that TAGLN may be involved in the
migration of epithelial cells by interacting with actin or promoting podosome
formation. Cell migration is a key step of cancer metastatis. Thus, the aim of
this study was to investigate the potential link between TAGLN protein levels and
hypoxia in lung adenocarcinoma cells and to explore the possible functions and
expression patterns of TAGLN in lung adenocarcinoma. We first examined the
effects of altered TAGLN expression on cell migration under both normoxic and
hypoxic conditions. Immunohistochemical (IHC) staining was also performed to
examine TAGLN protein expression patterns in lung adenocarcinoma samples. Our
results revealed that TAGLN was upregulated in the hypoxic lung adenocarcinoma
cells. The inhibition of TAGLN expression in the cells using small interfering
RNA (siRNA) led to a decreased migration ability. TAGLN was significantly
overexpressed in the lung adenocarcinoma tissues compared to the adjacent tumor
free tissues. A high TAGLN expression correlated with an advanced TNM stage,
lymph node metastasis and greater differentiation. TAGLN was upregulated in the
human lung adenocarcinoma cell lines under hypoxic conditions, which contributed
to the migration ability of the cells. Thus, our data suggest that TAGLN may be a
viable therapeutic target and a potential biomarker for predicting the prognosis
of patients with lung adenocarcinoma.
PMID- 24938685
TI - Retarding the senescence of human vascular endothelial cells induced by hydrogen
peroxide: effects of 17beta-estradiol (E2) mediated mitochondria protection.
AB - This study investigates the influence of 17beta-estradiol (E2) on hydrogen
peroxide (H2O2)-induced human vascular endothelial cell (HUVEC) senescence.
HUVECs were divided into four groups, namely control group, H2O2 stimulation
group, E2 intervention group and ICI182780 (ICI) intervention group. The aging
related beta-galactosidase activities, cytochrome C oxidase activities,
intracellular ATP levels, intracellular reactive oxygen species (ROS) levels and
phosphorylated Rb protein expressions were mainly observed. Of which, senescence
associated beta-galactosidase activities were detected using immunohistochemical
staining, cytochrome C oxidase activities and intracellular ATP levels were
detected using commercial kits, ROS levels were detected by fluorescence
microscopy and fluorescence microplate reader, immunoblotting was used to
quantitatively detect the expressions of phosphorylated Rb proteins. After
continuous treatment of H2O2, the senescent phenotypes appeared in the HUVECs.
The percentage of positive SA-betagal staining cells and the phosphorylated Rb
expressions were significantly increased; intracellular ROS levels, cytochrome C
oxidase activities and intracellular ATP levels were elevated. Compared with the
H2O2 stimulation group, E2 intervention significantly decreased the positive rate
of SA-beta-gal staining, the phosphorylated Rb protein levels, the intracellular
ROS levels, cytochrome C oxidase activities and intracellular ATP levels.
Pretreatment of estrogen receptor blocker ICI182780 weakened the role of E2.
These results indicated that H2O2 could induce HUVEC senescence; 17beta-E2 might
relieve H2O2-induced mitochondrial damage through estrogen receptor and delay the
vascular endothelial cell senescence.
PMID- 24938686
TI - The harms and benefits of modern screening mammography.
PMID- 24938687
TI - Triple-layer midface lifting: long-term follow-up of an effective approach to
aesthetic surgery of the lower eyelid and the midface.
AB - BACKGROUND: It is becoming more and more accepted that better aesthetic results
can be obtained when the lower eyelid is considered as part of the midface when
contemplating surgical rejuvenation. Descent of the orbicularis muscle and
midface tissue causes malar bags, loss of volume over the tear-trough, apparent
vertical lengthening of the lower eyelid, and an accentuation of the orbit-cheek
junction. METHODS: We describe a triple-layer technique that effectively corrects
these problems, performed under local anesthesia and via a standard subciliary
incision, to separately reposition the postseptal fat, suborbicularis oculi fat,
and the musculocutaneous layer of skin and orbicularis oculi. We present a
detailed analysis of the complications arising from a series of over 500
patients, in which this technique has been performed by the senior author.
RESULTS: The average patient age at the time of surgery was 51 years old (+/-
7.9), with a median follow-up of 7 months (range 3-121). Complications were
observed in 77 of 512 cases. In total, 44 of these cases required surgical
reintervention under local anesthesia (rated as major complications and all
reinterventions lasted <30 min) and 33 cases were treated conservatively (minor
complications). CONCLUSION: The triple-layer midface lift is an effective way to
reverse the combination of ptosis and changes in volume of the aging midface. It
yields long-lasting results with a minimal risk for complications, particularly
when a tarsal tuck is performed simultaneously in patients at high risk for the
development of scleral show. LEVEL OF EVIDENCE V: This journal requires that
authors assign a level of evidence to each article. For a full description of
these Evidence-Based Medicine ratings, please refer to the Table of Contents or
the online Instructions to Authors www.springer.com/00266 .
PMID- 24938688
TI - A global update on breast implants.
PMID- 24938689
TI - Augmentation mastopexy: maximal reduction and stable implant coverage using four
flaps.
AB - BACKGROUND: A single-stage operation to correct ptotic and hypoplastic breasts
would appear to be a very appealing option for both surgeons and patients.
However, this procedure is the most often litigated in aesthetic surgery because
of its frequent complications. Our goal in this article is to report our
experience with a four-flap technique for implant coverage in maximum reduction
mastopexy with prosthesis. METHODS: From January 2011 to March 2013, a total of
41 patients with Regnault grade II or grade III ptosis with no hypertrophy
underwent primary bilateral augmentation mastopexy. Our technique includes an
inverted-T mastopexy and a thin, well-vascularized, inferior dermoglandular flap
to cover the inferior pole of the implant. Retrospective data collection revealed
a mean patient age of 37.5 years. Thirty-nine patients were treated with round
cohesive silicone gel implants and two with anatomical cohesive gel implants. The
mean implant volume was 280 cc and mean follow-up time was 14 months. RESULTS:
The following complications were observed in declining frequency: four suture
dehiscences, two hematomas, one capsular contracture, one implant malposition,
and one poor scarring. A satisfaction questionnaire revealed very high
satisfaction in 23 patients (56.09 %), high satisfaction in 12 (29.26 %),
moderate satisfaction in four (9.75 %), and low satisfaction in two (4.87 %).
CONCLUSIONS: Our results demonstrated a low complication rate (21.9 %) and low
reoperation rate (12.1 %) with our technique and make us confident in
recommending this technique for grade II and grade III ptosis. LEVEL OF EVIDENCE
V: This journal requires that authors assign a level of evidence to each article.
For a full description of these Evidence-Based Medicine ratings, please refer to
the Table of Contents or the online Instructions to Authors at
www.springer.com/00266 .
PMID- 24938690
TI - Demineralized bone-fibrin sandwich for genioplasty.
AB - Osseous genioplasty is a versatile technique to improve chin and facial
aesthetics. It carries several benefits compared to an alloplastic implant, but
the downsides of an intersegmental gap and lateral stepoffs must be weighed.
These areas are typically left open, despite being subject to unpredictable
resorption or incomplete osseous healing. We describe the strategy of using a
combination of demineralized bone matrix (DBM) and fibrin glue to promote bone
fill while smoothing contours and transitions along the genioplasty. Our
retrospective study included 32 patients who underwent genioplasty with the DBM
fibrin sandwich. There were no genioplasty-related complications at the 6 months
follow-up. Our results indicate that this technique is safe and is a valuable
addition to possible graft material for genioplasty. LEVEL OF EVIDENCE IV: This
journal requires that authors assign a level of evidence to each article. For a
full description of these Evidence-Based Medicine ratings, please refer to the
Table of Contents or the online Instructions to Authors at www.springer.com/00266
.
PMID- 24938691
TI - Use of a novel orbicularis oculi muscle overlap method for correction of tear
trough deformity.
AB - BACKGROUND: Although the fat-pad-sliding method reported by Loeb and the "arcus
marginalis release with preservation of orbital fat" method reported by Hamra are
useful techniques for correcting tear trough deformity in the Asian population,
including Japanese patients, occasional cases of tear-trough deformity have
persisted even after surgery. To solve this problem, the authors developed a
novel orbicularis oculi muscle overlap method, which has enabled them to obtain
good results. METHODS: The orbicularis oculi muscle overlap method was performed
for 10 patients (9 women and 1 man) with prominent tear-trough deformity. The
average of these patients was 52 years (range, 34-72 years). The origin of the
orbicularis oculi muscle was elevated at its adherence to the maxillary bone, and
the innermost portion of the origin of the orbicularis oculi muscle was excised
by a width of 6 to 7 mm to reduce the muscle tension. This muscle flap was
overlapped relative to the orbital fat, which was repositioned over the orbital
rim and sutured in place. RESULTS: Tear-trough deformity improved in all cases,
and the patients were highly satisfied with their flat lower eyelids. CONCLUSION:
The orbicularis oculi muscle overlap method is effective for thin eyelids with
prominent tear-trough deformity. LEVEL OF EVIDENCE V: This journal requires that
authors assign a level of evidence to each article. For a full description of
these Evidence-Based Medicine ratings, please refer to the Table of Contents or
the online Instructions to Authors www.springer.com/00266 .
PMID- 24938692
TI - Aortic stiffness as a marker of cardiac function and myocardial strain in
patients undergoing aortic valve replacement.
AB - BACKGROUND: Cardiac function and myocardial strain are affected by cardiac
afterload, which is in part due to the stiffness of the aortic wall. In this
study, we hypothesize that aortic pulse wave velocity (PWV) as a marker of aortic
stiffness correlates with conventional clinical and biochemical markers of
cardiac function and perioperative myocardial strain in aortic valve replacement
(AVR). METHODS: Patients undergoing AVR for aortic stenosis between June 2010 and
August 2012 were recruited for inclusion in this study. PWV, NYHA class and left
ventricular (LV) function were assessed pre-operatively. PWV was analysed both as
a continuous and dichotomous variable according to age-standardized reference
values. B-type natriuretic peptide (BNP) was measured pre-operatively, and at 3 h
and 18-24 h after cardiopulmonary bypass (CPB). NYHA class, leg edema, and LV
function were recorded at follow-up (409 +/- 159 days). RESULTS: Fifty-six
patients (16 females) with a mean age of 71 +/- 8.4 years were included, with 50
(89%) patients completing follow-up. The NYHA class of PWV-norm patients was
significantly lower than PWV-high patients both pre- and post-operatively.
Multiple logistic regression also highlighted PWV-cut off as an independent
predictor of NYHA class pre- and post-operatively (OR 8.3, 95% CI [2.27,33.33]
and OR 14.44, 95% CI [1.49,139.31] respectively). No significant relationship was
observed between PWV and either LV function or plasma BNP. CONCLUSION: In
patients undergoing AVR for aortic stenosis, PWV is independently related to pre-
and post-operative NYHA class but not to LV function or BNP. These findings
provisionally support the use of perioperative PWV as a non-invasive marker of
clinical functional status, which when used in conjunction with biomarkers of
myocardial strain such as BNP, may provide a holistic functional assessment of
patients undergoing aortic valve surgery. However, in order for PWV assessment to
be translated into clinical practice and utilised as more than simply a research
tool, further validation is required in the form of larger prospective studies
specifically designed to assess the relationship between PWV and these functional
clinical outcomes.
PMID- 24938693
TI - Aluminum transfer during dialysis: a systematic review.
AB - PURPOSE: Dialysis-dependent patients are particularly susceptible to the toxic
effects of aluminum (Al) because of their impaired ability to eliminate it. Al
contamination of dialysis fluid remains a threat in this population. The
mechanism for Al diffusion across dialysis membranes is not well established. Our
objective is to verify, in AL-exposed patients, the postulate that the direction
of Al transfer is predicted by the concentration gradient between free diffusible
plasma Al and dialysate Al. METHODS: A systematic review of the literature was
performed. Only papers which included Al plasma concentration ([Al]p), Al
dialysate concentration ([Al]d) and direction of Al transfer (positive = from
dialysate to plasma, negative = from plasma to dialysate) were selected. We also
included four patients from our own cohort. Assuming that [Al]p has an
ultrafiltrable fraction between 17 and 23%, cases were considered in keeping with
our hypothesis if any of the following scenarios was present: negative Al
transfer when [Al]d < [Al]p*23% and positive Al transfer when [Al]d > [Al]p*17%.
RESULTS: The search yielded 409 articles, of which 12 were selected for review.
When reviewing individual patients for analysis, 108 out of 115 (94%) patients
followed our hypothesis. By further excluding cases in which Al transfer could
not be determined, only three out of 111 patients were contrary to out
hypothesis. CONCLUSION: Comparing ultrafiltrable Al to dialysate Al permits to
accurately predict the direction of Al transfer. The optimal [Al]d should be <20%
of the maximally acceptable [Al]p. In order to follow K/DOQI guidelines ([Al]p <
20 MUg/L), the [Al]d should therefore not exceed 4 MUg/L. At the level presently
supported by K/DOQI ([Al]d < 10 MUg/L), [Al]p could realistically reach 50 MUg/L
and potentially cause toxicity.
PMID- 24938695
TI - Human Participants in Engineering Research: Notes from a Fledgling Ethics
Committee.
AB - For the past half-century, issues relating to the ethical conduct of human
research have focused largely on the domain of medical, and more recently social
psychological research. The modern regime of applied ethics, emerging as it has
from the Nuremberg trials and certain other historical antecedents, applies the
key principles of: autonomy, respect for persons, beneficence, non-maleficence,
and justice to human beings who enter trials of experimental drugs and devices
(Martensen in J Hist Med Allied Sci 56(2):168-175, 2001). Institutions such as
Institutional Review Boards (in the U.S.) and Ethics Committees (in Europe and
elsewhere) oversee most governmentally-funded medical research around the world,
in more than a hundred nations that are signers of the Declaration of Helsinki
(World Medical Association 2008). Increasingly, research outside of medicine has
been recognized to pose potential risks to human subjects of experiments. Ethics
committees now operate in the US, Canada, the U.K. and Australia to oversee all
governmental-funded research, and in other jurisdictions, the range of research
covered by such committees is expanding. Social science, anthropology, and other
fields are falling under more clear directives to conduct a formal ethical review
for basic research involving human participants (Federman et al. in Responsible
research: a systems approach to protecting research participants. National
Academies Press, Washington, 2003, p. 36). The legal and institutional response
for protecting human subjects in the course of developing non-medical
technologies, engineering, and design is currently vague, but some universities
are establishing ethics committees to oversee their human subjects research even
where the experiments involved are non-medical and not technically covered by the
Declaration of Helsinki. In The Netherlands, as in most of Europe, Asia, Latin
America, or Africa, no laws mandate an ethical review of non-medical research.
Yet, nearly 2 years ago we launched a pilot ethics committee at our technical
university and began soliciting our colleagues to submit their studies for
review. In the past year, we have become officially recognized as a human
subjects ethics committee for our university and we are beginning the process of
requiring all studies using human subjects to apply for our approval. In this
article, we consider some of the special problems relating to protecting human
participants in a technology context, and discuss some of our experiences and
insights about reviewing human subjects research at a technical university,
concluding: that not less than in medical studies, human participants used in
technology research benefit from ethical committees' reviews, practical
requirements for publications, grants, and avoiding legal liability are also
served by such committees, and ethics committees in such contexts have many
similarities to, but certain other special foci than medical ethics committees.
We believe that this experience, and these observations, are helpful for those
seeking to establish such committees in technology research contexts, and for
framing the particular issues that may arise in such contexts for the benefit of
researchers, and nascent committees seeking to establish their own procedures.
PMID- 24938694
TI - Long-term durability, functional outcomes, and factors associated with surgical
failure of tension-free vaginal tape procedure.
AB - PURPOSE: The cure rate of tension-free vaginal tape (TVT) appeared to decrease
overtime, with an associated increased in the stress urinary incontinence (SUI)
recurrence rate. We evaluated the long-term durability and functional outcomes of
TVT and identified the risk factors that may affect recurrence. METHODS: Eighty
eight patients, who were cured of SUI 6 months after undergoing TVT, were
followed-up for at least 12 years. At post-operative, the patients were evaluated
with a Severity Index for Urinary Incontinence questions regarding cure,
patient's satisfaction, goal achievement, 3-day voiding diary with urinary
urgency scale, uroflowmetry, and complications. RESULTS: Cure rates decreased
from 96.6 % at 1-year post-surgery to 83.0 % at 5 years and that the cure rates
between 5 and 12 year were similar (83.0 vs. 79.6 %). Valsalva leak point
pressure (VLPP) < 60 H(2)O was the only independent factor that predicted
recurrence (p = 0.011; hazard radio 5.31). At last follow-up, 39.2 and 70.0 % of
patients were free of urgency and urgency incontinence, respectively; and de novo
urgency and urgency incontinence developed in 40.5 and 17.2 % of these patients,
respectively. Age was the only factor that predicted freedom from overactive
bladder (OAB) symptom. There was a significant reduction in the maximal flow rate
from 23.3 +/- 9.0 ml/s pre-operation to 18.8 +/- 6.7 ml/s at 12 years post
operation. CONCLUSIONS: TVT is an effective long-term treatment for SUI, although
the cure rate may decrease with time. A low VLPP was predictive of SUI
recurrence, and patients showing no OAB symptoms tended to be younger.
PMID- 24938697
TI - Comparison of bivariate and multivariate joint analyses on the selection loss of
beef cattle.
AB - For genetic evaluation of beef cattle, univariate or bivariate analyses are often
performed as an alternative to decrease the complexity of matrices and
mathematical models compared to multivariate analysis, which considers a larger
number of joint traits. The use of bivariate methods to calculate genetic
predictors may cause bias in the estimation of breeding values and, as a
consequence, reclassification of the rank of top-selected sires, resulting in a
loss of genetic gain in future generations. The objective of this study was to
compare the bivariate and multivariate joint methods of genetic evaluation,
verifying the selection loss, and reclassification of the ranking of the best
animals with different selection intensities. Records of 431,224 Nellore breed
animals were evaluated for birth weight, weaning weight, post-weaning gain,
muscle score, scrotal circumference, and selection index. The pedigree file
consisted of 505,848 animals, including 218,727 males and 287,121 females. The
predicted breeding values were obtained using the program PEST 2, and the
complete pedigree analysis was performed by the PopReport software. The results
showed that, for the four different selection intensities considered (TOP 10 and
1, 10, and 30%), selection loss and reclassification of animals in ranking, were
detected for all traits evaluated when the two methods of analysis were compared.
PMID- 24938696
TI - Optimizing atoh1-induced vestibular hair cell regeneration.
AB - OBJECTIVES/HYPOTHESIS: Determine the optimal design characteristics of an
adenoviral (Ad) vector to deliver atoh1 and induce regeneration of vestibular
hair cells. STUDY DESIGN: Evaluation of a mouse model of intralabyrinthine gene
delivery. Tissue culture of mouse and human macular organs. METHODS: Macular
organs from adult C57Bl/6 mice were treated with binding modified and alternate
adenovectors expressing green fluorescent protein (gfp) or luciferase (L).
Expression of marker genes was determined over time to determine vector
transfection efficiency. The inner ear of adult mice was then injected with
modified vectors. Expression of gfp and distribution of vector DNA was followed.
Hearing and balance function was evaluated in normal animals to ensure safety of
the novel vector designs. An optimized vector was identified and tested for its
ability to induce hair cell regeneration in a mouse vestibulopathy model.
Finally, this vector was tested for its ability to induce hair cell regeneration
in human tissue. RESULTS: Ad5 serotype-based vectors were identified as having a
variety of different binding capacities for inner ear tissue. This makes it
difficult to limit the dose of vector due to entry into nontargeted cells.
Screening of rare adenovector serotypes demonstrated that Ad-based vectors were
ideally suited for delivery to supporting cells; therefore, they were useful for
hair cell regeneration studies. Utilization of an Ad28-based vector to deliver
atoh1 to a mouse model of vestibular loss resulted in significant functional
recovery of balance. This vector was also capable of transfecting human macular
organs and inducing regeneration of human vestibular hair cells in vitro.
CONCLUSIONS: Improvement in vector design can lead to more specific cell-based
delivery and reduction of nonspecific delivery of the trans gene, leading to the
development of optimized molecular therapeutics to induce hair cell regeneration.
LEVEL OF EVIDENCE: N/A. Laryngoscope 124:S1-S12, 2014.
PMID- 24938698
TI - Construction and functional study of pGN, a mammary gland-specific expression
plasmid.
AB - The aim of this study was to construct a mammary gland-specific expression
vector, pGN, and to validate its function in expressing growth hormone (GH) both
in vitro and in vivo. First, the GH gene was amplified and inserted downstream of
the b-casein 5'-arm. Next, the neo gene was cloned downstream of the b-casein 3'
arm as a selection marker. To analyze the bioactivity of the pGN plasmid, we
expressed pGN in a Bcap-37 cell line and in the goat mammary gland. Quantitative
PCR analysis revealed that the expression of GH mRNA in the pGN-transfected group
was higher than that of the control group in Bcap-37 cells. Results of a
radioimmunoassay and an enzyme-linked immunosorbent assay demonstrated that the
pGN-transfected group expressed much more GH protein than the non-transfected
group (P < 0.05). Upon injection of the pGN plasmid into the goat mammary gland,
GH mRNA and growth hormone receptor mRNA expressions increased 2-fold. In vivo
analyses revealed that GH protein expression was higher in the injected group
than in the control group. Together, these results strongly demonstrated that the
pGN plasmid was constructed correctly and exhibited favorable bioactivity in
efficiently expressing GH both in vitro and in vivo.
PMID- 24938699
TI - Quantitative genetic study of age at subsequent rebreeding in Nellore cattle by
using survival analysis.
AB - The continuous trait age at subsequent rebreeding (ASR) was evaluated using
survival analysis in Nellore breed cows that conceived for the first time at
approximately 14 months of age. This methodology was chosen because the
restricted breeding season produces censored data. The dataset contained 2885
records of ASR (in days). Records of females that did not produce calves in the
following year after being exposed to a sire were considered censored (48.3% of
the total). The statistical model used was a Weibull mixed survival model, which
included fixed effects of contemporary groups (CG) and period and a random effect
of individual animal. The effect of contemporary groups on ASR was significant (P
< 0.01). Heritabilities obtained for ASR were 0.03 and 0.04 in logarithmic and
original scales, respectively. These results indicate that the genetic selection
response for subsequent reproduction of 2-year-old Nellore breed females is not
expected to be effective based on survival analysis. Furthermore, these results
suggest that environmental improvement is fundamental to this important trait. It
should be highlighted that an increase in the average date of birth can produce
an adverse effect in the future, since this cannot be compensated by genetic
improvement.
PMID- 24938701
TI - Study on the treatment of the p15 gene combined with Bcr-abl-specific siRNA and
STI571 for chronic myeloid leukemia.
AB - The aim of this study was to investigate the effect of the p15 gene combined with
Bcr-abl-specific siRNA and STI571 on the proliferation, cell cycle and apoptosis
of K562 chronic myeloid leukemia cells. Using the gene sequence results, we
amplified the p15 gene from normal peripheral blood by RT-PCR, and constructed a
p15-pcDNA3.1 vector. The K562 cell line with G418 resistance was screened,
synthesized and transfected for bcr-abl gene fusion point for 21-nt siRNA. In p15
pcDNA3.1-K562 cells, the growth rate was slower than that of the control K562
cells, G0/G1-phase was increased and S-phase was decreased significantly. In the
siRNA group, bcr-abl fusion gene expression was significantly decreased in K562
cells accompanied by the downregulation of BCL-xL protein expression and G1-phase
arrest. Cell survival rate was significantly decreased compared with the sole p15
K562 cell group and the sole RNA interference-K562 cell group. In the combination
of p15-pcDNA3.1-K562 cells with STI571, the proportion of apoptosis was
significantly increased and the cell survival rate was significantly decreased
compared with the p15-K562 cell group and STI571-K562 cell group. siRNA at 30 pM
combined with 0.5 MUM STI571 promoted apoptosis compared with sole application.
The p15 gene combined with siRNA had a synergistic effect on the inhibition of
proliferation and the induction of apoptosis in K562 cells. Exogenous p15 protein
expression combined with STI571 appeared to have a synergistic effect on
proliferation inhibition and apoptosis induction in K562 cells. The combination
of low-dose RNA interference with STI571 showed a synergistic effect in inducing
apoptosis.
PMID- 24938700
TI - Fas/FasL in the immune pathogenesis of severe aplastic anemia.
AB - Fas/FasL protein expression of bone marrow hematopoietic cells was investigated
in severe aplastic anemia (SAA) patients. Fas expression was evaluated in
CD34(+), GlycoA(+), CD33(+), and CD14(+) cells labeled with monoclonal antibodies
in newly diagnosed and remission SAA patients along with normal controls. FasL
expression was evaluated in CD8(+) cells in the same manner. In CD34(+) cells,
Fas expression was significantly higher in the newly diagnosed SAA group (46.59
+/- 27.60%) than the remission (6.12 +/- 3.35%; P < 0.01) and control (8.89 +/-
7.28%; P < 0.01) groups. In CD14(+), CD33(+), and GlycoA(+) cells, Fas levels
were significantly lower in the newly diagnosed SAA group (29.29 +/- 9.23, 46.88
+/- 14.30, and 15.15 +/- 9.26%, respectively) than in the remission (47.23 +/-
31.56, 67.22 +/- 34.68, and 43.56 +/- 26.85%, respectively; P < 0.05) and normal
control (51.25 +/- 38.36, 72.06 +/- 39.88, 50.38 +/- 39.88%, respectively; P <
0.05) groups. FasL expression of CD8(+) cells was significantly higher in the
newly diagnosed SAA group (89.53 +/- 45.68%) than the remission (56.39 +/-
27.94%; P < 0.01) and control (48.63 +/- 27.38%; P <0.01) groups. No significant
differences were observed between the remission and control groups. FasL
expression in CD8(+) T cells was significantly higher in newly diagnosed
patients, and CD34(+), CD33(+), CD14(+), and GlycoA(+) cells all showed Fas
antigen expression. The Fas/FasL pathway might play an important role in
excessive hematopoietic cell apoptosis in SAA bone marrow. Furthermore, CD34(+)
cells are likely the main targets of SAA immune injury.
PMID- 24938702
TI - Comparison of computed tomography and magnetic resonance imaging in the
evaluation of facet tropism and facet arthrosis in degenerative cervical
spondylolisthesis.
AB - The aim of this study was to determine the reliability of magnetic resonance
imaging (MRI) in the assessment of facet tropism and facet arthrosis of
spondylolisthesis levels in degenerative cervical spondylolisthesis as compared
to computed tomography (CT). The discrepancies in the interpretation of CT and
MRI data in the evaluation of facet tropism and arthrosis have given rise to
questions regarding the reliability of comparisons of the two techniques. Using a
4-point scale, 3 blinded readers independently graded the severity of facet
tropism and facet arthrosis of 79 cervical facet joints on axial T2-weighted and
sagittal T1 and T2-weighted turbo spin echo images as well as the corresponding
axial CT scans. All results were subjected to the kappa coefficient statistic for
strength of agreement. In the assessment of the severity of facet arthrosis,
intermethod agreement (weighted kappa) between CT scanning with a moderate inter
rater reliability (range kappa = 0.43-0.57) and MRI with fair inter-rater
reliability (range kappa = 0.23-0.38) was 0.76 and 0.43 for the severity of facet
tropism and facet arthrosis, respectively. Intra-rater reliability for the
severity of facet arthrosis was moderate to substantial for CT and was moderate
for MRI scans. Intra-rater reliability for the severity of facet tropism was
substantial to very good for CT and substantial for MRI scans. MRI can reliably
determine the presence or degree of facet tropism but not facet arthrosis.
Therefore, for a comprehensive assessment of cervical facet joint degeneration,
both a CT and an MRI scan should be performed.
PMID- 24938703
TI - Analysis of the genetic variability and structure of Ochlerotatus taeniorhynchus
(Diptera: Culicidae) populations from the Colombian Atlantic coast on the basis
of random amplified polymorphic DNA markers.
AB - Ochlerotatus taeniorhynchus (Diptera: Culicidae) is a mosquito, which is an
efficient vector of the virus causing epidemic-epizootic Venezuelan equine
encephalitis in Colombia. This study used 9 random amplified polymorphic DNA
(RAPD) markers to analyze the mosquito's genetic variability and genetic
structure of 122 specimens in 7 populations from the Colombian Atlantic coast.
Assuming that all loci were in Hardy-Weinberg equilibrium, diversity statistics
and analyses were performed. The average number of amplified fragments for each
primer was 8.3, and the size of these fragments ranged from 350 to 3600 bp. The
expected average heterozygosity was 0.358 +/- 0.103. The genetic heterogeneity
among the populations studied was small (GST = 0.05 +/- 0.01); meanwhile, the
gene flow estimates (Nm = 7.32 +/- 1.35) were high. In an identical way, the
Nei's genetic distances obtained yielded very small values amongst the
populations that were studied in this Colombian region. Furthermore, a spatial
autocorrelation analysis with Moran's I index revealed a very weak, or
inexistent, spatial genetic structure among these populations. The comparison of
these results with those performed for other markers (isoenzymes and
microsatellites) in populations of this same mosquito from the Colombian Atlantic
coast was discussed. The results of our RAPD analysis showed scarce genetic
differentiation among the mosquito populations on the Colombian Atlantic coast,
which was probably determined by high gene flow levels.
PMID- 24938704
TI - Effectiveness of olfactory ensheathing cell transplantation for treatment of
spinal cord injury.
AB - The aim of this study was to determine the effectiveness and safety of
transplantation of olfactory ensheathing cells for functional repair of the
spinal cord. An olfactory bulb was obtained from a 4- to 5-month-old aborted
fetus, and it was digested into single olfactory ensheathing cells and then
cultured and purified for 1 to 2 weeks. Under general anesthesia, these single
cell suspensions of olfactory ensheathing cells were injected into the
corresponding spinal injury site with 0.45-mm-diameter injections. The American
Spinal Injury Association (ASIA) Impairment Scale was used to evaluate spinal
function. A total of 15 patients (12 men, 3 women; age range, 18-56 years; mean
age, 40) were admitted for obsolete spinal injuries. Spinal functions of the 15
patients were observed and followed postoperatively for a period ranging from 2
weeks to 1 month. All the 15 patients exhibited improvements in spinal function,
and the improvement tendencies continued. Twelve patients had obvious spinal
function improvement, and three had slight improvement according to the ASIA
scale, with an obvious difference between preoperation and postoperation measures
(P < 0.05). No fevers, infections, functional deteriorations, or deaths were
seen. Thus, transplantation of olfactory ensheathing cells promoted spinal and
neurofunctional recovery in patients with malignant spinal injuries, and this
therapeutic method was safe.
PMID- 24938705
TI - Identification and authentication of Rosa species through development of species
specific SCAR marker(s).
AB - Roses (Rosa indica) belong to one of the most crucial groups of plants in the
floriculture industry. Rosa species have special fragrances of interest to the
perfume and pharmaceutical industries. The genetic diversity of plants based on
morphological characteristics is difficult to measure under natural conditions
due to the influence of environmental factors, which is why a reliable
fingerprinting method was developed to overcome this problem. The development of
molecular markers will enable the identification of Rosa species. In the present
study, randomly amplified polymorphic DNA (RAPD) analysis was done on four Rosa
species, Rosa gruss-an-teplitz (Surkha), Rosa bourboniana, Rosa centifolia, and
Rosa damascena. A polymorphic RAPD fragment of 391 bp was detected in R.
bourboniana, which was cloned, purified, sequenced, and used to design a pair of
species-specific sequence-characterized amplified region (SCAR) primers (forward
and reverse). These SCAR primers were used to amplify the specific regions of the
rose genome. These PCR amplifications with specific primers are less sensitive to
reaction conditions, and due to their high reproducibility, these species
specific SCAR primers can be used for marker-assisted selection and
identification of Rosa species.
PMID- 24938706
TI - Aberrant DNA methylation of MGMT and hMLH1 genes in prediction of gastric cancer.
AB - We aimed to explore the association between aberrant DNA methylation of the O(6)
methylguanine-DNA methyltransferase (MGMT) and human mutL homolog 1 (hMLH1) genes
with gastric cancer. A total of 283 gastric cancer patients who were confirmed by
pathological diagnosis were included in our study. Aberrant DNA methylation of
MGMT and hMLH1 were detected. The proportions of DNA hypermethylation in MGMT and
hMLH1 in cancer tissues were significantly higher than those in remote normal
appearing tissues. The DNA hypermethylation of MGMT was correlated with the tumor
necrosis-metastasis stage in gastric cancer tissues. Results showed that
individuals with gastric cancer in the N1 and M1 stages had a significantly
higher risk of DNA hypermethylation of MGMT in cancer tissues [odds ratio (OR) =
1.97, 95% confidence interval (CI) = 1.15-3.37 for the N1 stage; OR (95%CI) =
5.39 (2.08-14.98) for the M1 stage]. In conclusion, we found that aberrant
hypermethylation of MGMT could be a predictive biomarker for detecting gastric
cancer.
PMID- 24938707
TI - Insulin-like growth factor binding protein-3 (IGFBP-3) genetic variant and the
risk of esophageal squamous cell carcinoma in a Chinese population.
AB - Insulin-like growth factor binding protein-3 (IGFBP-3) exerts anti-proliferative
or pro-apoptotic effects through IGF-dependent as well as IGF-independent
mechanisms in vitro. The purpose of this study was to examine the association
between genetic variants in IGFBP-3 (rs2270628) and the risk of esophageal
squamous cell carcinoma (ESCC) in a Chinese Han population. Five hundred ESCC
cases and 500 cancer-free controls of the Chinese Han population were involved in
this study. The IGFBP-3 single-nucleotide polymorphism (SNP) rs2270628 was
genotyped and the estimated adjusted odds ratios (ORs) and 95% confidence
intervals (CIs) for its association with the risk of ESCC were determined using
unconditional logistic regression analysis. Compared with the rs2270628 CC
genotype, TT genotype was associated with a significantly increased ESCC risk
with OR (95%CI) of 2.07 (1.05-4.09), but CT genotype was not (OR = 1.25, 95%CI
=0.94-1.66). IGFBP-3 SNP rs2270628 may contribute to the risk of ESCC in the
Chinese Han population.
PMID- 24938709
TI - Development of anti-adhesive spongy sheet composed of hyaluronic acid and
collagen containing epidermal growth factor.
AB - Anti-adhesive products need to be designed while considering the concept of wound
healing. Two main events must proceed simultaneously: facilitating wound healing
in surgically excised tissue, as well as preventing injured tissue from adhering
to the surrounding tissue. The present study aimed to develop an anti-adhesive
spongy sheet composed of hyaluronic acid and collagen (Col) containing epidermal
growth factor, and to investigate the potential of this spongy sheet using an in
vitro wound surface model (placing a spongy sheet on a fibroblast-incorporating
Col gel sheet) and an in vitro inter-tissue model (placing a spongy sheet between
two fibroblast-incorporating Col gel sheets). These in vitro experiments
demonstrated that this spongy sheet effectively stimulates fibroblasts to release
an increased amount of vascular endothelial growth factor and hepatocyte growth
factor, which are essential for wound healing to proceed succesfully. In
addition, anti-adhesive performance of this spongy sheet was evaluated in animal
experiments using Sprague Dawley rats. Under anesthesia, a 1 cm * 2 cm segment of
peritoneum was superficially excised from walls, and the cecum was then abraded
by scraping with a scalpel blade over a 1 cm * 2 cm area. A piece of spongy sheet
was placed on the peritoneal defect. Both defects were placed in contact, and the
incision was closed by suturing. Peritoneal condition was evaluated after one
week. This spongy sheet was capable of facilitating the wound healing of
surgically excised tissue and preventing surgically excised tissue from adhering
to surrounding tissues.
PMID- 24938708
TI - Expression of steroidogenic enzymes in human sebaceous glands.
AB - Androgens are well known to influence sebum synthesis and secretion. Various
factors related to androgen biosynthesis are expressed in human sebaceous glands.
In this study, immunohistochemical analysis of human skin specimens from 43
subjects indicated that various androgen-producing and -metabolizing enzymes were
functionally localized to sebocytes accumulating lipid droplets and that the
exclusive expression of 17beta-hydroxysteroid dehydrogenase type 2 (17beta-HSD2
(HSD17B2)) in sebaceous glands was negatively correlated with that of peroxisome
proliferator-activated receptor gamma (PPARgamma (PPARG)), which also
significantly changed in an age-dependent manner. We also demonstrated that the
changes of 17beta-HSD2 expression in human immortalized sebocytes (SZ95)
influenced the expressions of sebogenesis-related factors. In addition, the
overexpression of 17beta-HSD2 in SZ95 significantly increased the androstenedione
production and markedly decreased the amounts of testosterone and
dihydrotestosterone when DHEA was added externally. On the other hand, the
phosphorylation of mammalian target of rapamycin, which is well known to induce
sebum secretion and the onset and/or aggravation of acne, was increased by the
addition of testosterone in the presence of IGF1 in hamster sebocytes. These
results all indicated that local androgen biosynthesis and metabolism in human
sebaceous glands could play a pivotal role in sebum synthesis and secretion.
PMID- 24938710
TI - Mechanisms of chromate adsorption on boehmite.
AB - Adsorption reactions play an important role in the transport behavior of
groundwater contaminants. Molecular-scale information is needed to elucidate the
mechanisms by which ions coordinate to soil mineral surfaces. In this study, we
characterized the mechanisms of chromate adsorption on boehmite (gamma-AlOOH)
using a combination of extended X-ray absorption fine structure (EXAFS)
measurements, in situ attenuated total reflectance Fourier transform infrared
spectroscopy, and quantum chemical calculations. The effects of pH, ionic
strength, and aqueous chromate concentration were investigated. Our overall
findings were that chromate primarily forms outer-sphere complexes on boehmite
over a broad range of pH and aqueous concentrations. Additionally, a small
fraction of monodentate and bidentate inner-sphere complexes are present under
acidic conditions, as evidenced by two sets of chromate stretching vibrations at
approximately 915, 870, and 780cm(-1), and 940, 890, 850, and 780cm(-1),
respectively. The bidentate complex is supported by a best-fit CrAl distance in
the EXAFS of 3.2A. Results from DFT also support the formation of monodentate and
bidentate complexes, which are predicted to results in Gibbs energy changes of
140.4 and -62.5kJmol(-1), respectively. These findings are consistent with the
intermediate binding strength of chromate with respect to similar oxyanions such
as sulfate and selenite. Overall, the surface species identified in this work can
be used to develop a more accurate stoichiometric framework in mechanistic
adsorption models.
PMID- 24938711
TI - B vitamin supplementation improves cognitive function in the middle aged and
elderly with hyperhomocysteinemia.
AB - OBJECTIVE: An intervention study was performed to determine if supplement
containing folic acid, vitamin B6, and vitamin B12 could improve cognitive
function and lower homocysteine in middle-aged and elderly patients with
hyperhomocysteinemia. METHODS: One hundred and four participants with
hyperhomocysteinemia were recruited in Tianjin, China, aged 55-94 years old.
Fifty-seven individuals with hyperhomocysteinemia were included in the
intervention group (vitamin B group, which received 800 ug/day of folate, with 10
mg of vitamin B6 and 25 ug of vitamin B12) and 47 patients in the placebo group.
The endpoint was the improvement in cognitive function as evaluated by Basic
Cognitive Aptitude Tests (BCATs). All parameters were measured before and after
the treatment period of 14 weeks. RESULTS: The BCAT total score and four sub
tests scores (digit copy, Chinese character rotation, digital working memory, and
recognition of meaningless figure) of BCAT at 14 weeks significantly increased
only for the vitamin B group. Serum total homocysteine (tHcy) levels
significantly decreased in the intervention group, while serum concentrations of
folate, vitamin B6, and vitamin B12 significantly increased in the intervention
group. CONCLUSION: The results demonstrated that supplement containing folate,
vitamin B6, and vitamin B12 in middle-aged and elderly patients with
hyperhomocysteinemia could improve their cognitive function partly and reduce
serum tHcy levels.
PMID- 24938712
TI - Clinical prediction model suitable for assessing hospital quality for patients
undergoing carotid endarterectomy.
AB - BACKGROUND: Assessing hospital quality in the performance of carotid
endarterectomy (CEA) requires appropriate risk adjustment across hospitals with
varying case mixes. The aim of this study was to develop and validate a
prediction model to assess the risk of in-hospital stroke or death after CEA that
could aid in the assessment of hospital quality. METHODS AND RESULTS: Patients
from National Cardiovascular Data Registry (NCDR)'s Carotid Artery
Revascularization and Endarterectomy (CARE) Registry undergoing CEA without acute
evolving stroke from 2005 to 2013 were included. In-hospital stroke or death was
modeled using hierarchical logistic regression with 20 candidate variables and
accounting for hospital-level clustering. Internal validation was achieved with
bootstrapping; model discrimination and calibration were assessed. A total of 213
(1.7%) primary end point events occurred during 12 889 procedures. Independent
predictors of stroke or death included age, prior peripheral artery disease,
diabetes mellitus, prior coronary artery disease, having a symptomatic carotid
lesion, having a contralateral carotid occlusion, or having New York Heart
Association Class III or IV heart failure. The model was well calibrated and
demonstrated moderate discriminative ability (c-statistic 0.65). The NCDR CEA
score was then developed to support simple, prospective risk quantification in
the clinical setting. CONCLUSIONS: The NCDR CEA score, comprising 7 clinical
variables, predicts in-hospital stroke or death after CEA. This model can be used
to estimate hospital risk-adjusted outcomes for CEA and to assist with the
assessment of hospital quality.
PMID- 24938713
TI - Smooth muscle specific Rac1 deficiency induces hypertension by preventing
p116RIP3-dependent RhoA inhibition.
AB - BACKGROUND: Increasing evidence implicates overactivation of RhoA as a critical
component of the pathogenesis of hypertension. Although a substantial body of
work has established that Rac1 functions antagonize RhoA in a broad range of
physiological processes, the role of Rac1 in the regulation of vascular tone and
blood pressure is not fully elucidated. METHODS AND RESULTS: To define the role
of Rac1 in vivo in vascular smooth muscle cells (vSMC), we generated smooth
muscle (SM)-specific Rac1 knockout mice (SM-Rac1-KO) and performed
radiotelemetric blood pressure recordings, contraction measurements in arterial
rings, vSMC cultures and biochemical analyses. SM-Rac1-KO mice develop high
systolic blood pressure sensitive to Rho kinase inhibition by fasudil. Arteries
from SM-Rac1-KO mice are characterized by a defective NO-dependent vasodilation
and an overactivation of RhoA/Rho kinase signaling. We provide evidence that Rac1
deletion-induced hypertension is due to an alteration of cGMP signaling resulting
from the loss of Rac1-mediated control of type 5 PDE activity. Consequently, cGMP
dependent phosphorylation and binding of RhoA with its inhibitory partner, the
phosphatase-RhoA interacting protein (p116(RIP3)), are decreased. CONCLUSIONS:
Our data reveal that the depletion of Rac1 in SMC decreases cGMP-dependent
p116(RIP3)/RhoA interaction and the subsequent inhibition of RhoA signaling.
Thus, we unveil an in vivo role of Rac1 in arterial blood pressure regulation and
a new pathway involving p116(RIP3) that contributes to the antagonistic
relationship between Rac1 and RhoA in vascular smooth muscle cells and their
opposite roles in arterial tone and blood pressure.
PMID- 24938715
TI - Diabetes mellitus potentiates diffuse large B-cell lymphoma via high levels of
CCL5.
AB - There is much evidence suggesting that CCL5 is one of the chemoattractant
cytokines involved in diabetes mellitus (DM) with diffuse large B-cell lymphoma
(DLBCL). However, the pathological impact is unclear. In the current study, in
order to improve understanding regarding the role of CCL5 in DM with DLBCL, the
expression levels of CCL5 mRNA were examined in normal B cells, human DLBCL cell
lines (Ly1, Ly8 and Ly10) and a mouse DLBCL cell line (A20), as well as those in
cells cultured with either 5 or 30 mmol/l glucose. A20-CCL5+ (CCL5
overexpression) and A20-CCL5- (CCL5 knockdown) subclones were obtained through
cell transduction with a lentiviral vector, and were subcutaneously injected into
BALB/c DM mice and normal mice. Tumor growth was observed by calculating the
tumor volume. The results demonstrated that CCL5 mRNA levels in DLBCL cells were
significantly higher than those in the normal cells (P<0.05); and levels in DLBCL
cells in 30 mmol/l Glu were significantly higher than in those of DLBCL cells in
5 mmol/l Glu (P<0.05). A20-CCL5+ cells led to tumor formation in DM mice compared
with A20 and A20-CCL5- cells. These results indicate that high levels of CCL5
expression may accelerate DLBCL formation in DM.
PMID- 24938714
TI - Viral exploitation of actin: force-generation and scaffolding functions in viral
infection.
AB - As a fundamental component of the host cellular cytoskeleton, actin is routinely
engaged by infecting viruses. Furthermore, viruses from diverse groups, and
infecting diverse hosts, have convergently evolved an array of mechanisms for
manipulating the actin cytoskeleton for efficacious infection. An ongoing chorus
of research now indicates that the actin cytoskeleton is critical for viral
replication at many stages of the viral life cycle, including binding, entry,
nuclear localization, genomic transcription and reverse transcription, assembly,
and egress/dissemination. Specifically, viruses subvert the force-generating and
macromolecular scaffolding properties of the actin cytoskeleton to propel viral
surfing, internalization, and migration within the cell. Additionally, viruses
utilize the actin cytoskeleton to support and organize assembly sites, and eject
budding virions for cell-to-cell transmission. It is the purpose of this review
to provide an overview of current research, focusing on the various mechanisms
and themes of virus-mediated actin modulation described therein.
PMID- 24938718
TI - Mutations of 60 known causative genes in 157 families with retinitis pigmentosa
based on exome sequencing.
AB - Retinitis pigmentosa (RP) is the most common and highly heterogeneous form of
hereditary retinal degeneration. This study was to identify mutations in the 60
genes that were known to be associated with RP in 157 unrelated Chinese families
with RP. Genomic DNA from probands was initially analyzed by whole exome
sequencing. Sanger sequencing was used to confirm potential candidate variants
affecting the encoded residues in the 60 genes, including heterozygous variants
from genes that are related to autosomal dominant RP, homozygous or compound
heterozygous variants from genes that are related to autosomal recessive RP, and
hemizygous variants from genes that are related to X-linked RP. Synonymous and
intronic variants were also examined to confirm whether they could affect
splicing. A total of 244 candidate variants were detected by exome sequencing.
Sanger sequencing confirmed 240 variants out of the 244 candidates. Informatics
and segregation analyses suggested 110 potential pathogenic mutations in 28 out
of the 60 genes involving 79 of the 157 (50%) families, including 31 (39%, 31/79)
families with heterozygous mutations in autosomal dominant genes, 37 (47%, 37/79)
families with homozygous (9) or compound heterozygous (28) mutations in autosomal
recessive genes, and 11 (14%, 11/79) families with hemizygous mutations in X
linked genes. Of the 110 identified variants, 74 (67%) were novel. The genetic
defects in approximately half of the 157 studies families were detected by exome
sequencing. A comprehensive analysis of the 60 known genes not only expanded the
mutation spectrum and frequency of the 60 genes in Chinese patients with RP, but
also provided an overview of the molecular etiology of RP in Chinese patients.
The analysis of the known genes also supplied the foundation and clues for
discovering novel causative RP genes.
PMID- 24938721
TI - Neuroendocrinology: a long pain-free life.
PMID- 24938719
TI - The role of concentration-effect relationships in the assessment of QTc interval
prolongation.
AB - Population pharmacokinetic and pharmacokinetic-pharmacodynamic (PKPD) modelling
has been widely used in clinical research. Yet, its application in the evaluation
of cardiovascular safety remains limited, particularly in the evaluation of pro
arrhythmic effects. Here we discuss the advantages of disadvantages of population
PKPD modelling and simulation, a paradigm built around the knowledge of the
concentration-effect relationship as the basis for decision making in drug
development and its utility as a guide to drug safety. A wide-ranging review of
the literature was performed on the experimental protocols currently used to
characterize the potential for QT interval prolongation, both pre-clinically and
clinically. Focus was given to the role of modelling and simulation for design
optimization and subsequent analysis and interpretation of the data,
discriminating drug from system specific properties. Cardiovascular safety
remains one of the major sources of attrition in drug development with stringent
regulatory requirements. However, despite the myriad of tests, data are not
integrated systematically to ensure accurate translation of the observed drug
effects in clinically relevant conditions. The thorough QT study addresses a
critical regulatory question but does not necessarily reflect knowledge of the
underlying pharmacology and has limitations in its ability to address fundamental
clinical questions. It is also prone to issues of multiplicity. Population
approaches offer a paradigm for the evaluation of drug safety built around the
knowledge of the concentration-effect relationship. It enables quantitative
assessment of the probability of QTc interval prolongation in patients, providing
better guidance to regulatory labelling and understanding of benefit/risk in
specific populations.
PMID- 24938720
TI - Enzyme replacement therapy attenuates disease progression in a canine model of
late-infantile neuronal ceroid lipofuscinosis (CLN2 disease).
AB - Using a canine model of classical late-infantile neuronal ceroid lipofuscinosis
(CLN2 disease), a study was conducted to evaluate the potential pharmacological
activity of recombinant human tripeptidyl peptidase-1 (rhTPP1) enzyme replacement
therapy administered directly to the cerebrospinal fluid (CSF). CLN2 disease is a
hereditary neurodegenerative disorder resulting from mutations in CLN2, which
encodes the soluble lysosomal enzyme tripeptidyl peptidase-1 (TPP1). Infants with
mutations in both CLN2 alleles develop normally but in the late-infantile/early
childhood period undergo progressive neurological decline accompanied by
pronounced brain atrophy. The disorder, a form of Batten disease, is uniformly
fatal, with clinical signs starting between 2 and 4 years of age and death
usually occurring by the early teenage years. Dachshunds homozygous for a null
mutation in the canine ortholog of CLN2 (TPP1) exhibit a similar disorder that
progresses to end stage at 10.5-11 months of age. Administration of rhTPP1 via
infusion into the CSF every other week, starting at approximately 2.5 months of
age, resulted in dose-dependent significant delays in disease progression, as
measured by delayed onset of neurologic deficits, improved performance on a
cognitive function test, reduced brain atrophy, and increased life span. Based on
these findings, a clinical study evaluating the potential therapeutic value of
rhTPP1 administration into the CSF of children with CLN2 disease has been
initiated.
PMID- 24938722
TI - Cognition: Klotho spins cognitive fate.
PMID- 24938723
TI - Follow-up of cutaneous melanoma patients: a proposal for standardization.
PMID- 24938725
TI - Opportunistic deep cutaneous mycoses in solid organ transplant recipients.
AB - Invasive fungal infections are a major cause of morbidity and mortality among
organ transplant recipients, despite many progresses concerning diagnosis,
preventions and treatment. Risk factors for invasive fungal infections in
transplanted recipients include type and severity of immunosuppression,
especially in life-saving organs as lung or liver, older age at transplantation,
and technical complexity of surgery, living in endemic areas or exposure to a
contaminated environment. Superficial fungal infections are caused by Candida,
Dermatophytes, and Malassezia. In invasive mycoses, skin lesions may occur as a
consequence of the systemic dissemination of invasive mycoses, or after direct
inoculation in the skin. Aspergillosis, cryptococcosis, Zygomycoses, dark mould
infections, fusariosis and infections attributable to Scedosporium and
Pseudallescheria species are the most common etiological agents. Cutaneous
manifestations of fungal infection are not specific, and a high degree of
suspicion is required, and prompt biopsy for histology and culture is needed.
Therapy with lyposomal amphotericin B and new triazoles are effective.
PMID- 24938726
TI - Reporting transient molecular events by DNA strand displacement.
AB - Transient formation of DNA triplexes was reported by coupling with a permanent
strand displacement reaction.
PMID- 24938727
TI - The relationship between US heroin market dynamics and heroin-related overdose,
1992-2008.
AB - BACKGROUND AND AIMS: Heroin-related overdose is linked to polydrug use, changes
in physiological tolerance and social factors. Individual risk can also be
influenced by the structural risk environment including the illicit drug market.
We hypothesized that components of the US illicit drug market, specifically
heroin source/type, price and purity, will have independent effects on the number
of heroin-related overdose hospital admissions. METHODS: Yearly, from 1992 to
2008, Metropolitan Statistical Area (MSA) price and purity series were estimated
from the US Drug Enforcement Administration data. Yearly heroin overdose
hospitalizations were constructed from the Nationwide Inpatient Sample. Socio
demographic variables were constructed using several databases. Negative binomial
models were used to estimate the effect of price, purity and source region of
heroin on yearly hospital counts of heroin overdoses controlling for poverty,
unemployment, crime, MSA socio-demographic characteristics and population size.
RESULTS: Purity was not associated with heroin overdose, but each $100 decrease
in the price per pure gram of heroin resulted in a 2.9% [95% confidence interval
(CI) = 4.8%, 1.0%] increase in the number of heroin overdose hospitalizations (P
= 0.003). Each 10% increase in the market share of Colombian-sourced heroin was
associated with a 4.1% (95% CI = 1.7%, 6.6%) increase in number of overdoses
reported in hospitals (P = 0.001) independent of heroin quality. CONCLUSIONS:
Decreases in the price of pure heroin in the United States are associated with
increased heroin-related overdose hospital admissions. Increases in market
concentration of Colombian-source/type heroin is also associated with an increase
in heroin-related overdose hospital admissions. Increases in US heroin-related
overdose admissions appear to be related to structural changes in the US heroin
market.
PMID- 24938728
TI - Selective vulnerability of the cerebral vasculature to blast injury in a rat
model of mild traumatic brain injury.
AB - BACKGROUND: Blast-related traumatic brain injury (TBI) is a common cause of
injury in the military operations in Iraq and Afghanistan. How the primary blast
wave affects the brain is not well understood. The aim of the present study was
to examine whether blast exposure affects the cerebral vasculature in a rodent
model. We analyzed the brains of rats exposed to single or multiple (three) 74.5
kPa blast exposures, conditions that mimic a mild TBI. Rats were sacrificed 24
hours or between 6 and 10 months after exposure. Blast-induced cerebral vascular
pathology was examined by a combination of light microscopy,
immunohistochemistry, and electron microscopy. RESULTS: We describe a selective
vascular pathology that is present acutely at 24 hours after injury. The vascular
pathology is found at the margins of focal shear-related injuries that, as we
previously showed, typically follow the patterns of penetrating cortical vessels.
However, changes in the microvasculature extend beyond the margins of such
lesions. Electron microscopy revealed that microvascular pathology is found in
regions of the brain with an otherwise normal neuropil. This initial injury leads
to chronic changes in the microvasculature that are still evident many months
after the initial blast exposure. CONCLUSIONS: These studies suggest that
vascular pathology may be a central mechanism in the induction of chronic blast
related injury.
PMID- 24938729
TI - What works in practice: user and provider perspectives on the acceptability,
affordability, implementation, and impact of a family-based intervention for
child overweight and obesity delivered at scale.
AB - BACKGROUND: As part of a study considering the impact of a child weight
management programme when rolled out at scale following an RCT, this qualitative
study focused on acceptability and implementation for providers and for families
taking part. METHODS: Participants were selected on the basis of a maximum
variation sample providing a range of experiences and social contexts.
Qualitative interviews were conducted with 29 professionals who commissioned or
delivered the programme, and 64 individuals from 23 families in 3 English
regions. Topic guides were used as a tool rather than a rule, enabling
participants to construct a narrative about their experiences. Transcripts were
analysed using framework analysis. RESULTS: Practical problems such as transport,
work schedules and competing demands on family time were common barriers to
participation. Delivery partners often put considerable efforts into recruiting,
retaining and motivating families, which increased uptake but also increased
cost. Parents and providers valued skilled delivery staff. Some providers made
adaptations to meet local social and cultural needs. Both providers and parents
expressed concerns about long term outcomes, and how this was compromised by an
obesogenic environment. Concerns about funding together with barriers to uptake
and engagement could translate into barriers to commissioning. Where these
barriers were not experienced, commissioners were enthusiastic about continuing
the programme. CONCLUSIONS: Most families felt that they had gained something
from the programme, but few felt that it had 'worked' for them. The demands on
families including time and emotional work were experienced as difficult. For
commissioners, an RCT with positive results was an important driver, but family
barriers, alongside concerns about recruitment and retention, a desire for local
adaptability with qualified motivated staff, and funding changes discouraged some
from planning to use the intervention in future.
PMID- 24938731
TI - Development and Validation of the Poker Skills Measure (PSM).
AB - Existing research has demonstrated that poker is a game predominated by skill.
Little is known about the specific characteristics of good poker players however,
likely due in part to the lack of a readily available measure of poker skill. In
the absence of an available and easily administered poker skill measure,
laboratory studies of poker player attributes have used questionable
methodologies to assess skill including peer- and self-report. The aim of the
current research was to create a valid, reliable, and easily administered measure
of poker playing skill. A sample of 100 University of Lethbridge undergraduate
students and Lethbridge community members completed the newly created Poker
Skills Measure (PSM) and an objective measure of poker playing performance
(playing virtual poker). External validity of the measure was demonstrated via
significant associations-expected and detected-between the PSM and the objective
playing measure. Specifically, significant positive associations were found
between PSM scores and hands won, pre- and post flop aggression, and a
significant negative relationship was detected between PSM scores and number of
hands played. Within the current sample, acceptable internal consistency
(Cronbach's alpha = .82) and very good test re-test reliability (r = .78) was
achieved with the 35 item PSM. Future directions are discussed.
PMID- 24938730
TI - Significant inconsistency among pediatric oncologists in the use of the
neutropenic diet.
AB - BACKGROUND: The role of the neutropenic diet in the development of infections in
oncology and stem cell transplant (SCT) patients is controversial. There is no
data on the use of the neutropenic diet among pediatric oncologists. METHODS: A
self-administered electronic survey was sent to 1,639 pediatric oncologists at
198 institutions who are members of Children's Oncology Group. A pediatric
dietitian and pediatric oncologists developed, pretested, and modified the survey
for item clarification. RESULTS: Five hundred fifty-seven physicians (34%)
responded representing 174 (87%) of the 198 member institutions. More than half
of respondents (57%) report implementing the neutropenic diet at their facility.
In a multivariate analysis, being a stem cell transplant (SCT) center was the
only significant factor associated with implementing a neutropenic diet (OR:
6.06, 95% CI, 2.88-12.738, P < 0.001) after controlling for years in practice,
gender, center size, and academic versus private practice. Among physicians who
implemented a neutropenic diet, absolute neutrophil count was the trigger for
initiating the diet in oncology patients (72%) while admission and start of
preparative regimen was used for SCT patients (84%). The majority of respondents
(82%) stop the neutropenic diet when oncology patients are no longer neutropenic
while the practice varied significantly with SCT patients. Providers at the same
institution were not consistent with implementation of the diet, patient
populations placed on the neutropenic diet and parameters for initiation,
discontinuation of the diet and specific food restrictions. CONCLUSION: The
implementation of the neutropenic diet by pediatric oncologists remains quite
variable even among those at the same institution.
PMID- 24938732
TI - Decoding Problem Gamblers' Signals: A Decision Model for Casino Enterprises.
AB - The aim of the present study is to offer a validated decision model for casino
enterprises. The model enables those users to perform early detection of problem
gamblers and fulfill their ethical duty of social cost minimization. To this end,
the interpretation of casino customers' nonverbal communication is understood as
a signal-processing problem. Indicators of problem gambling recommended by
Delfabbro et al. (Identifying problem gamblers in gambling venues: final report,
2007) are combined with Viterbi algorithm into an interdisciplinary model that
helps decoding signals emitted by casino customers. Model output consists of a
historical path of mental states and cumulated social costs associated with a
particular client. Groups of problem and non-problem gamblers were simulated to
investigate the model's diagnostic capability and its cost minimization ability.
Each group consisted of 26 subjects and was subsequently enlarged to 100
subjects. In approximately 95% of the cases, mental states were correctly decoded
for problem gamblers. Statistical analysis using planned contrasts revealed that
the model is relatively robust to the suppression of signals performed by casino
clientele facing gambling problems as well as to misjudgments made by staff
regarding the clients' mental states. Only if the last mentioned source of error
occurs in a very pronounced manner, i.e. judgment is extremely faulty, cumulated
social costs might be distorted.
PMID- 24938735
TI - Case series and descriptive cohort studies in neurosurgery: the confusion and
solution.
AB - BACKGROUND: Case series (CS) are well-known designs in contemporary use in
neurosurgery but are sometimes used in contexts that are incompatible with their
true meaning as defined by epidemiologists. This inconsistent, inappropriate and
incorrect use, and mislabeling impairs the appropriate indexing and sorting of
evidence. METHOD: Using PubMed, we systematically identified published articles
that had "case series" in the "title" in 15 top-ranked neurosurgical journals
from January 2008 to December 2012. The abstracts and/or full articles were
scanned to identify those with descriptions of the principal method as being
"case series" and then classified as "true case series" or "non-case series" by
two independent investigators with 100 % inter-rater agreement. RESULTS: Sixty
four articles had the label "case series" in their "titles." Based on the
definition of "case series" and our appraisal of the articles using Strengthening
the Reporting of Observational Studies in Epidemiology (STROBE) guidelines, 18
articles (28.13 %) were true case series, while 46 (71.87 %) were mislabeled.
Thirty-five articles (54.69 %) mistook retrospective (descriptive) cohorts for
CS. CS are descriptive with an outcome-based sampling, while "descriptive
cohorts" have an exposure-based sampling of patients, followed over time to
assess outcome(s). A comparison group is not a defining feature of a cohort study
and distinguishes descriptive from analytic cohorts. CONCLUSION: A distinction
between a case report, case series, and descriptive cohorts is absolutely
necessary to enable the appropriate indexing, sorting, and application of
evidence. Researchers need better training in methods and terminology, and
editors and reviewers should scrutinize more carefully manuscripts claiming to be
"case series" studies.
PMID- 24938736
TI - Rapid molecular genetic diagnosis of hypertrophic cardiomyopathy by semiconductor
sequencing.
AB - BACKGROUND: Rapidly determining the complex genetic basis of Hypertrophic
cardiomyopathy (HCM) is vital to better understanding and optimally managing this
common polygenetic cardiovascular disease. METHODS: A rapid custom Ion-amplicon
resequencing assay, covering 30 commonly affected genes of HCM, was developed and
validated in 120 unrelated patients with HCM to facilitate genetic diagnosis of
this disease. With this HCM-specific panel and only 20 ng of input genomic DNA,
physicians can, for the first time, go from blood samples to variants within a
single day. RESULTS: On average, this approach gained 595628 mapped reads per
sample, 95.51% reads on target (64.06 kb), 490-fold base coverage depth and
93.24% uniformity of base coverage in CDS regions of the 30 HCM genes. After
validation, we detected underlying pathogenic variants in 87% (104 of 120)
samples. Tested seven randomly selected HCM genes in eight samples by Sanger
sequencing, the sensitivity and false-positive-rate of this HCM panel was 100%
and 5%, respectively. CONCLUSIONS: This Ion amplicon HCM resequencing assay
provides a currently most rapid, comprehensive, cost-effective and reliable
measure for genetic diagnosis of HCM in routinely obtained samples.
PMID- 24938734
TI - Lysine pyrrolation is a naturally-occurring covalent modification involved in the
production of DNA mimic proteins.
AB - Covalent modification of proteins exerts significant effects on their chemical
properties and has important functional and regulatory consequences. We now
report the identification and verification of an electrically-active form of
modified proteins recognized by a group of small molecules commonly used to
interact with DNA. This previously unreported property of proteins was initially
discovered when the gamma-ketoaldehydes were identified as a source of the
proteins stained by the DNA intercalators. Using 1,4-butanedial, the simplest
gamma-ketoaldehyde, we characterized the structural and chemical criteria
governing the recognition of the modified proteins by the DNA intercalators and
identified N(epsilon)-pyrrolelysine as a key adduct. Unexpectedly, the
pyrrolation conferred an electronegativity and electronic properties on the
proteins that potentially constitute an electrical mimic to the DNA. In addition,
we found that the pyrrolated proteins indeed triggered an autoimmune response and
that the production of specific antibodies against the pyrrolated proteins was
accelerated in human systemic lupus erythematosus. These findings and the
apparent high abundance of N(epsilon)-pyrrolelysine in vivo suggest that protein
pyrrolation could be an endogenous source of DNA mimic proteins, providing a
possible link connecting protein turnover and immune disorders.
PMID- 24938738
TI - Treatment outcomes from community-based drug resistant tuberculosis treatment
programs: a systematic review and meta-analysis.
AB - BACKGROUND: There is increasing evidence that community-based treatment of drug
resistant tuberculosis (DRTB) is a feasible and cost-effective alternative to
centralized, hospital-based care. Although several large programs have reported
favourable outcomes from community-based treatment, to date there has been no
systematic assessment of community-based DRTB treatment program outcomes. The
objective of this study was to synthesize available evidence on treatment
outcomes from community based multi-drug resistant (MDRTB) and extensively drug
resistant tuberculosis (XDRTB) treatment programs. METHODS: We performed a
systematic review and meta-analysis of the published literature to examine
treatment outcomes from community-based MDRTB and XDRTB treatment programs.
Studies reporting outcomes from programs using community-based treatment
strategies and reporting outcomes consistent with WHO guidelines were included
for analysis. Treatment outcomes, including treatment success, default, failure,
and death were pooled for analysis. Meta-regression was performed to examine for
associations between treatment outcomes and program or patient factors. RESULTS:
Overall 10 studies reporting outcomes on 1288 DRTB patients were included for
analysis. Of this population, 65% [95% CI 59-71%] of patients had a successful
outcome, 15% [95% CI 12-19%] defaulted, 13% [95% CI 9-18%] died, and 6% [95% CI 3
11%] failed treatment for a total of 35% [95% CI 29-41%] with unsuccessful
treatment outcome. Meta-regression failed to identify any factors associated with
treatment success, including study year, age of participants, HIV prevalence,
XDRTB prevalence, treatment regimen, directly observed therapy (DOT) location or
DOT provider. CONCLUSIONS: Outcomes of community-based MDRTB and XDRTB treatment
outcomes appear similar to overall treatment outcomes published in three
systematic reviews on MDRTB therapy. Work is needed to delineate program
characteristics associated with improved treatment outcomes.
PMID- 24938737
TI - Impaired structural and functional regeneration of skeletal muscles from beta2
adrenoceptor knockout mice.
AB - AIMS: beta2-adrenergic stimulation causes beneficial effects on structure and
function of regenerating muscles; thus, the beta2-adrenoceptor may play an
important role in the muscle regenerative process. Here, we investigated the role
of the beta2 -adrenoceptor in skeletal muscle regeneration. METHODS: Tibialis
anterior (TA) muscles from beta2-adrenoceptor knockout (beta2 KO) mice were
cryolesioned and analysed after 1, 3, 10 and 21 days. The role of beta2
adrenoceptor on regenerating muscles was assessed through the analysis of
morphological and contractile aspects, M1 and M2 macrophage profile, cAMP
content, and activation of TGF-beta signalling elements. RESULTS: Regenerating
muscles from beta2 KO mice showed decreased calibre of regenerating myofibres and
reduced muscle contractile function at 10 days when compared with those from wild
type. The increase in cAMP content in muscles at 10 days post-cryolesion was
attenuated in the absence of the beta2 -adrenoceptor. Furthermore, there was an
increase in inflammation and in the number of macrophages in regenerating muscles
lacking the beta2-adrenoceptor at 3 and 10 days, a predominance of M1 macrophage
phenotype, a decrease in TbetaR-I/Smad2/3 activation, and in the Smad4 expression
at 3 days, while akirin1 expression increased at 10 days in muscles from beta2 KO
mice when compared to those from wild type. CONCLUSIONS: Our results suggest that
the beta2-adrenoceptor contributes to the regulation of the initial phases of
muscle regeneration, especially in the control of macrophage recruitment in
regenerating muscle through activation of TbetaR-I/Smad2/3 and reduction in
akirin1 expression. These findings have implications for the future development
of better therapeutic approaches to prevent or treat muscle injuries.
PMID- 24938740
TI - Carbon dioxide-pneumoperitoneum in rats reduces ischemia/reperfusion-induced
hepatic apoptosis and inflammatory responses by stimulating sensory neurons.
AB - Laparoscopic surgery induces a milder inflammatory response than open surgery,
however, the precise mechanisms underlying this phenomenon remain to be
elucidated. Our previous study demonstrated that stimulation of sensory neurons
inhibited hepatic apoptosis and inflammatory responses in rats subjected to
hepatic ischemia/reperfusion (I/R). Since carbon dioxide (CO2) has been
demonstrated to stimulate sensory neurons, it was hypothesized that CO2
pneumoperitoneum, as used in laparoscopic surgery, may attenuate inflammatory
responses by stimulating sensory neurons. This hypothesis was examined using rats
subjected to hepatic I/R. The rats were subjected to partial hepatic ischemia for
60 min followed by reperfusion. Abdominal insufflation with CO2 or air was
performed for 30 min prior to hepatic I/R. Hepatic I/R-induced hepatocellular
apoptosis and expression of the neutrophil chemoattractant endothelial monocyte
activated polypeptide-II, were inhibited by CO2-pneumoperitoneum, however, not by
air-pneumoperitoneum. Pretreatment with the transient receptor potential
vanilloid 1 antagonist SB366791 reversed the protective effects of CO2
pneumoperitoneum. The results from the present study demonstrated that CO2
pneumoperitoneum attenuates hepatic apoptosis and inflammatory responses in rats
subjected to hepatic I/R, possibly by stimulating sensory neurons. These findings
suggested that CO2-pneumoperitoneum contributed to the attenuated inflammatory
response observed following laparoscopic surgery.
PMID- 24938739
TI - Ordered and kinetically discrete sequential protein release from biodegradable
thin films.
AB - Multidrug regimens can sometimes treat recalcitrant diseases when single-drug
therapies fail. Recapitulating complex multidrug administration from controlled
release films for localized delivery remains challenging because their release
kinetics are frequently intertwined, and an initial burst release of each drug is
usually uncontrollable. Kinetic control over protein release is demonstrated by
cross-linking layer-by-layer films during the assembly process. We used
biodegradable and naturally derived components and relied on copper-free click
chemistry for bioorthogonal covalent cross-links throughout the film that entrap
but do not modify the embedded protein. We found that this strategy restricted
the interdiffusion of protein while maintaining its activity. By depositing a
barrier layer and a second protein-containing layer atop this construct, we
generated well-defined sequential protein release with minimal overlap that
follows their spatial distribution within the film.
PMID- 24938741
TI - Persistent stimulation of photosynthesis in short rotation coppice mulberry under
elevated CO2 atmosphere.
AB - Current study was undertaken to elucidate the responses of short rotation coppice
(SRC) mulberry under elevated CO2 atmosphere (550MUmolmol(-1)). Throughout the
experimental period, elevated CO2 grown mulberry plants showed significant
increase in light saturated photosynthetic rates (A') by increasing intercellular
CO2 concentrations (Ci) despite reduced stomatal conductance (gs). Reduced gs was
linked to decrease in transpiration (E) resulting in improved water use
efficiency (WUE). There was a significant increase in carboxylation efficiency
(CE) of Rubisco, apparent quantum efficiency (AQE), light and CO2 saturated
photosynthetic rates (AMAX), photosynthetic nitrogen use efficiency (PNUE),
chlorophyll a fluorescence characteristics (FV/FM and PIABS), starch and other
carbohydrates in high CO2 grown plants which clearly demonstrate no
photosynthetic acclimation in turn resulted marked increase in above and below
ground biomass. Our results strongly suggest that short rotation forestry
(<1year) with mulberry plantations should be effective to mitigate raising CO2
levels as well as for the production of renewable bio-energy.
PMID- 24938742
TI - The influence of unsupervised time on elementary school children at high risk for
inattention and problem behaviors.
AB - In the last few decades, changing socioeconomic and family structures have
increasingly left children alone without adult supervision. Carefully prepared
and limited periods of unsupervised time are not harmful for children. However,
long unsupervised periods have harmful effects, particularly for those children
at high risk for inattention and problem behaviors. In this study, we examined
the influence of unsupervised time on behavior problems by studying a sample of
elementary school children at high risk for inattention and problem behaviors.
The study analyzed data from the Children's Mental Health Promotion Project,
which was conducted in collaboration with education, government, and mental
health professionals. The child behavior checklist (CBCL) was administered to
assess problem behaviors among first- and fourth-grade children. Multivariate
logistic regression analysis was used to evaluate the influence of unsupervised
time on children's behavior. A total of 3,270 elementary school children (1,340
first-graders and 1,930 fourth-graders) were available for this study; 1,876 of
the 3,270 children (57.4%) reportedly spent a significant amount of time
unsupervised during the day. Unsupervised time that exceeded more than 2h per day
increased the risk of delinquency, aggressive behaviors, and somatic complaints,
as well as externalizing and internalizing problems. Carefully planned
afterschool programming and care should be provided to children at high risk for
inattention and problem behaviors. Also, a more comprehensive approach is needed
to identify the possible mechanisms by which unsupervised time aggravates
behavior problems in children predisposed for these behaviors.
PMID- 24938743
TI - Unique lamina propria stromal cells imprint the functional phenotype of mucosal
dendritic cells.
AB - Mucosal dendritic cells (DCs) in the intestine acquire the unique capacity to
produce retinoic acid (RA), a vitamin A metabolite that induces gut tropism and
regulates the functional differentiation of the T cells they prime. Here, we
identified a stromal cell (SC) population in the intestinal lamina propria (LP),
which is capable of inducing RA production in DCs in a RA- and granulocyte
macrophage colony-stimulating factor (GM-CSF)-dependent fashion. Unlike DCs, LP
SCs constitutively expressed the enzymatic machinery to produce RA even in the
absence of dietary vitamin A, but were not able to do so in germ-free mice
implying regulation by microbiota. Interestingly, DCs promoted GM-CSF production
by the SCs indicating a two-way cross-talk between both cell types. Furthermore,
RA-producing LP SCs and intestinal DCs localized closely in vivo suggesting that
the interactions between both cell types might have an important role in the
functional education of migratory DCs and therefore in the regulation of immune
responses toward oral and commensal antigens.
PMID- 24938744
TI - Commensal Gram-positive bacteria initiates colitis by inducing
monocyte/macrophage mobilization.
AB - Breakdown of the intestinal epithelial layer's barrier function results in the
inflow of commensal flora and improper immune responses against the commensal
flora, leading to inflammatory bowel disease (IBD) development. Using a mouse
dextran sodium sulfate (DSS)-induced colitis model, we show here that commensal
Gram-positive bacteria trigger the mobilization of inflammatory monocytes and
macrophages into the colon. Monocytes/macrophages are major producers of tumor
necrosis factor-alpha (TNF-alpha), a representative cytokine that aggravates
colitis. Notably, pretreating mice with vancomycin, which eliminated Gram
positive bacteria, particularly the Lachnospiraceae family, significantly reduced
the severity of the colitis by selectively blocking the recruitment of
monocytes/macrophages, but not of other cells. Importantly, vancomycin treatment
specifically downregulated the colonic epithelial cell (cEC) expression of C-C
chemokine receptor type-2 (CCR2) ligands, which are critical chemokines for
monocyte/macrophage mobilization into the inflamed colon. Collectively, these
results provide previously undiscovered evidence that Gram-positive commensal
bacteria induce colitis by recruiting colitogenic monocytes and macrophages. Our
findings may lead to new avenues of treatment for IBD.
PMID- 24938746
TI - CD43-, but not CD43+, IL-10-producing CD1dhiCD5+ B cells suppress type 1 immune
responses during Chlamydia muridarum genital tract infection.
AB - Regulatory B (Breg) cells are known to modulate immune responses through
predominantly interleukin-10 (IL-10)-dependent mechanisms and can be
hypothetically divided into innate and adaptive subsets based on the nature of
their activating signals. However, the specific role of different Breg subsets in
modulating immune responses remains ambiguous. Here we have shown that Chlamydia
induces IL-10-producing splenic B-cell populations consisting of CD43(+) and
CD43(-) subsets of IgM(hi)IgD(lo) innate-like B (ILB) cells in vitro. While
CD43(+)IL-10-producing B cells displayed innate type features and were readily
induced by Chlamydia via Toll-like-receptor (TLR) signaling, CD43(-)IL-10
producing B cells required additional B-cell activating factor (BAFF)-mediated
signals from dendritic cells (DCs) for their differentiation and activation,
thereby classifying them as adaptive type Bregs. Importantly, CD43(-), but not
CD43(+), IL-10-producing ILB cells displayed bona fide Breg activity by potently
suppressing interferon-gamma (IFN-gamma) production in vitro in an IL-10
dependent manner. Furthermore, a novel CD43(-)CD1d(hi)CD5(+) IL-10-producing Breg
population was predominantly induced by Chlamydia genital infection in vivo.
Correspondingly, mixed bone marrow chimeric mice with B-cell-specific IL-10
deficiency exhibited significantly increased type 1 immune responses, decreased
bacterial burden, and reduced oviduct pathology upon infection. Our data
demonstrate for the first time a distinct role for CD43(-)CD1d(hi)CD5(+)-adaptive
Bregs over CD43(+) innate counterparts in controlling mucosal responses against
intracellular bacterial infection.
PMID- 24938747
TI - Response to "CD8 subunit expression by plasmacytoid dendritic cells is variable,
and does not define stable subsets".
PMID- 24938748
TI - Identification of intestinal wall abnormalities and ischemia by modeling spatial
uncertainty in computed tomography imaging findings.
AB - Intestinal abnormalities and ischemia are medical conditions in which
inflammation and injury of the intestine are caused by inadequate blood supply.
Acute ischemia of the small bowel can be life-threatening. Computed tomography
(CT) is currently a gold standard for the diagnosis of acute intestinal ischemia
in the emergency department. However, the assessment of the diagnostic
performance of CT findings in the detection of intestinal abnormalities and
ischemia has been a difficult task for both radiologists and surgeons. Little
effort has been found in developing computerized systems for the automated
identification of these types of complex gastrointestinal disorders. In this
paper, a geostatistical mapping of spatial uncertainty in CT scans is introduced
for medical image feature extraction, which can be effectively applied for
diagnostic detection of intestinal abnormalities and ischemia from control
patterns. Experimental results obtained from the analysis of clinical data
suggest the usefulness of the proposed uncertainty mapping model.
PMID- 24938749
TI - FGAP: an automated gap closing tool.
AB - BACKGROUND: The fast reduction of prices of DNA sequencing allowed rapid
accumulation of genome data. However, the process of obtaining complete genome
sequences is still very time consuming and labor demanding. In addition, data
produced from various sequencing technologies or alternative assemblies remain
underexplored to improve assembly of incomplete genome sequences. FINDINGS: We
have developed FGAP, a tool for closing gaps of draft genome sequences that takes
advantage of different datasets. FGAP uses BLAST to align multiple contigs
against a draft genome assembly aiming to find sequences that overlap gaps. The
algorithm selects the best sequence to fill and eliminate the gap. CONCLUSIONS:
FGAP reduced the number of gaps by 78% in an E. coli draft genome assembly using
two different sequencing technologies, Illumina and 454. Using PacBio long reads,
98% of gaps were solved. In human chromosome 14 assemblies, FGAP reduced the
number of gaps by 35%. All the inserted sequences were validated with a reference
genome using QUAST. The source code and a web tool are available at
http://www.bioinfo.ufpr.br/fgap/.
PMID- 24938750
TI - Immunoglobulins: expanding the role for mass spectrometry in protein biomarker
quantification.
PMID- 24938751
TI - Performance of hemoglobin A1c assay methods: good enough?
PMID- 24938752
TI - Validation of a real-time PCR-based qualitative assay for the detection of
methylated SEPT9 DNA in human plasma.
AB - BACKGROUND: Epi proColon(r) is a new blood-based colorectal cancer (CRC)
screening test designed to determine the methylation status of a promoter region
of the SEPT9 (septin 9) gene in cell-free DNA isolated from plasma. We describe
the analytical and clinical performance of the test. METHODS: Analytical
performance at 4 testing laboratories included determination of limit of
detection, precision, and reproducibility of the SEPT9 test. Clinical performance
was evaluated in a prospective study by use of samples (n = 1544) from subjects
enrolled in the PRESEPT clinical trial. Results were analyzed by comparison with
colonoscopy, the reference standard. RESULTS: The limit of detection for
methylated SEPT9 DNA was 7.8 pg/mL (95% CI 6-11 pg/mL) corresponding to <2 genome
copies of methylated SEPT9 per milliliter of plasma. In the prospective clinical
trial, sensitivity for all stages of CRC was 68% (95% CI 53%-80%) and for stage I
III CRC, 64% (48%-77%). Adjusted specificity, on the basis of negative
colonoscopy findings, was 80.0% (78%-82%). SIGNIFICANCE: The Epi proColon test is
a simple, real-time PCR-based assay for the detection of methylated SEPT9 DNA in
blood that may provide a noninvasive CRC screening alternative for people
noncompliant with current CRC screening guidelines.
PMID- 24938754
TI - Influence of the side-chain length on the cellular uptake and the cytotoxicity of
rhenium triscarbonyl derivatives: a bimodal infrared and luminescence
quantitative study.
AB - Rhenium triscarbonyl complexes fac-[Re(CO)3 (N^N)] with appropriate ancillary N^N
ligands are relevant for fluorescent bio-imaging. Recently, we have shown that
[Re(CO)3 ] cores can also be efficiently mapped inside cells using their IR
signature and that they can thus be used in a bimodal approach. To describe them
we have coined the term SCoMPIs for single-core multimodal probes for imaging. In
the context of the use of these SCoMPIs in bio-imaging, the questions of their
cellular uptake and cytotoxicity are critical. We report here a series of
compounds derived from the [Re(CO)3 Cl(pyta)] core (pyta=4-(2-pyridyl)-1,2,3
triazole). The pyta ligand is of interest because it can be easily
functionalized. Aliphatic side chains (C4 , C8 , and C12 ) were appended to this
core. A correlative study involving IR and luminescence was performed to monitor
and quantify their cellular internalization. We studied the relationship between
lipophilicity (log P(o/w)), cytotoxicity (IC50 ), and cellular uptake, and we
showed that both uptake and cytotoxicity increase with the length of the side
chain, with a higher uptake for the C12 derivative. This study stresses the
distinction that has to be made between apparent toxicity, determined as an
incubation concentration IC50 , and intrinsic toxicity. Indeed, the intrinsic
toxicity of a compound can remain hidden if it is not cell permeable. Therefore
it must be kept in mind that IC50 values are composite values, reflecting both
cellular uptake and intrinsic toxicity.
PMID- 24938753
TI - Speciation in Western Scrub-Jays, Haldane's rule, and genetic clines in secondary
contact.
AB - BACKGROUND: Haldane's Rule, the tendency for the heterogametic sex to show
reduced fertility in hybrid crosses, can obscure the signal of gene flow in mtDNA
between species where females are heterogametic. Therefore, it is important when
studying speciation and species limits in female-heterogametic species like birds
to assess the signature of gene flow in the nuclear genome as well. We studied
introgression of microsatellites and mtDNA across a secondary contact zone
between coastal and interior lineages of Western Scrub-Jays (Aphelocoma
californica) to test for a signature of Haldane's Rule: a narrower cline of
introgression in mtDNA compared to nuclear markers. RESULTS: Our initial
phylogeographic analysis revealed that there is only one major area of contact
between coastal and interior lineages and identified five genetic clusters with
strong spatial structuring: Pacific Slope, Interior US, Edwards Plateau (Texas),
Northern Mexico, and Southern Mexico. Consistent with predictions from Haldane's
Rule, mtDNA showed a narrower cline than nuclear markers across a transect
through the hybrid zone. This result is not being driven by female-biased
dispersal because neutral diffusion analysis, which included estimates of sex
specific dispersal rates, also showed less diffusion of mtDNA. Lineage-specific
plumage traits were associated with nuclear genetic profiles for individuals in
the hybrid zone, indicating that these differences are under genetic control.
CONCLUSIONS: This study adds to a growing list of studies that support
predictions of Haldane's Rule using cline analysis of multiple loci of differing
inheritance modes, although alternate hypotheses like selection on different
mtDNA types cannot be ruled out. That Haldane's Rule appears to be operating in
this system suggests a measure of reproductive isolation between the Pacific
Slope and interior lineages. Based on a variety of evidence from the phenotype,
ecology, and genetics, we recommend elevating three lineages to species level: A.
californica (Pacific Slope); A. woodhouseii (Interior US plus Edwards Plateau
plus Northern Mexico); A. sumichrasti (Southern Mexico). The distinctive Edwards
Plateau population in Texas, which was monophyletic in mtDNA except for one
individual, should be studied in greater detail given habitat threat.
PMID- 24938755
TI - Endothelium-dependent vasorelaxant effect of Alchemilla vulgaris methanol
extract: a comparison with the aqueous extract in rat aorta.
AB - We aimed to investigate the vascular effects of methanol extract (ME) and aqueous
extract (AE) of Alchemilla vulgaris (Rosaceaea). Increasing concentrations of the
ME (0.01-10 mg/mL) produced relaxations in noradrenaline (NA: 10-6 M) and K+ (40
mM) precontracted aortas while contractions were obtained with the AE (0.01-10
mg/mL). Responses to the ME were inhibited in the presence of putative inhibitors
of endothelial vasodilators or after removal of the endothelium. Pretreatment of
aortic rings with the ME (10 mg/mL, 20 min) reduced the maximal contractions to
NA and K+, whereas an enhanced contractility was observed with the AE (10 mg/mL,
20 min). Total flavonoid content was higher in the ME than in the AE. Quercetin
was determined particularly high in the ME while gallic acid was high in the AE.
Our results indicated that the ME of A. vulgaris displays favourable vascular
effects via endothelium-dependent mechanisms.
PMID- 24938756
TI - Laser light triggers increased Raman amplification in the regime of nonlinear
Landau damping.
AB - Stimulated Raman backscattering (SRS) has many unwanted effects in megajoule
scale inertially confined fusion (ICF) plasmas. Moreover, attempts to harness SRS
to amplify short laser pulses through backward Raman amplification have achieved
limited success. In high-temperature fusion plasmas, SRS usually occurs in a
kinetic regime where the nonlinear response of the Langmuir wave to the laser
drive and its host of complicating factors make it difficult to predict the
degree of amplification that can be achieved under given experimental conditions.
Here we present experimental evidence of reduced Landau damping with increasing
Langmuir wave amplitude and determine its effects on Raman amplification. The
threshold for trapping effects to influence the amplification is shown to be very
low. Above threshold, the complex SRS dynamics results in increased amplification
factors, which partly explains previous ICF experiments. These insights could aid
the development of more efficient backward Raman amplification schemes in this
regime.
PMID- 24938757
TI - CT based three dimensional dose-volume evaluations for high-dose rate
intracavitary brachytherapy for cervical cancer.
AB - BACKGROUND: In this study, high risk clinical target volumes (HR-CTVs) according
to GEC-ESTRO guideline were contoured retrospectively based on CT images taken at
the time of high-dose rate intracavitary brachytherapy (HDR-ICBT) and correlation
between clinical outcome and dose of HR-CTV were analyzed. METHODS: Our study
population consists of 51 patients with cervical cancer (Stages IB-IVA) treated
with 50 Gy external beam radiotherapy (EBRT) using central shield combined with 2
5 times of 6 Gy HDR-ICBT with or without weekly cisplatin. Dose calculation was
based on Manchester system and prescribed dose of 6 Gy were delivered for point
A. CT images taken at the time of each HDR-ICBT were reviewed and HR-CTVs were
contoured. Doses were converted to the equivalent dose in 2 Gy (EQD2) by applying
the linear quadratic model (alpha/beta = 10 Gy). RESULTS: Three-year overall
survival, Progression-free survival, and local control rate was 82.4%, 85.3% and
91.7%, respectively. Median cumulative dose of HR-CTV D90 was 65.0 Gy (52.7-101.7
Gy). Median length from tandem to the most lateral edge of HR-CTV at the first
ICBT was 29.2 mm (range, 18.0-51.9 mm). On univariate analysis, both LCR and PFS
was significantly favorable in those patients D90 for HR-CTV was 60 Gy or greater
(p = 0.001 and 0.03, respectively). PFS was significantly favorable in those
patients maximum length from tandem to edge of HR-CTV at first ICBT was shorter
than 3.5 cm (p = 0.042). CONCLUSION: Volume-dose showed a relationship to the
clinical outcome in CT based brachytherapy for cervical carcinoma.
PMID- 24938758
TI - A comprehensive neuropsychological description of cognition in drug-refractory
juvenile myoclonic epilepsy.
AB - The study of juvenile myoclonic epilepsy is important in that: it is common and
heterogeneous; the etiology is unknown; and patients report broad cognitive
problems. We utilized a broad battery of neuropsychometric tests to assess the
following: intellectual function, memory, language and naming, executive
function, the impact of epilepsy, and antiepilepsy drug side effects. Sixty
people with drug-refractory JME were interviewed, and performance was profoundly
impaired across the range of tests. Impairments included the following: full
scale IQ (89, p<0.001); processing speed (86, p<0.001); visual memory (immediate
and delayed) more affected than verbal memory; verbal fluency and inhibition
(p<0.001); and self-reported drug side effects (p<0.001). Eighty-three percent of
patients exhibited frank executive dysfunction, which was moderate to severe in
66%. Regression modeling confirmed that an early age at onset and the need for
polytherapy were associated with poorer cognitive outcomes. This study confirms
previous reports of executive dysfunction in a larger cohort and with greater
statistical rigor. We also identified a high prevalence of neurotoxicity symptoms
such as fatigue and poorer functioning across intellectual and memory tests than
had previously been reported.
PMID- 24938759
TI - Experimental treatment of Curvularia infection.
AB - We have evaluated the efficacy of amphotericin B, posaconazole, and voriconazole
in immunosuppressed murine models of disseminated infection by Curvularia
spicifera and Curvularia hawaiiensis. The 3 antifungals improved survival of mice
in comparison to controls; however, only the 2 azoles were able to reduce
significantly the fungal load.
PMID- 24938760
TI - Rapid urine antigen testing for Streptococcus pneumoniae in adults with community
acquired pneumonia: clinical use and barriers.
AB - Streptococcus pneumoniae (pneumococcus) is the most common bacterial etiology of
community-acquired pneumonia (CAP) in adults, a leading cause of death. The
majority of pneumococcal CAP is diagnosed by blood culture, which likely
underestimates the burden of disease. The 2007 CAP guidelines recommend routine
use of the rapid pneumococcal urinary antigen (UAg) test. To assess the how
pneumococcal UAg testing is being used among hospitalized adult CAP patients and
what barriers restrict its use, a Web-based survey was distributed in 2013 to
1287 infectious disease physician members of the Emerging Infectious disease
Network of the Infectious Disease Society of America. Of 493 eligible responses,
65% use the pneumococcal UAg test. The primary barrier to UAg use was
availability (46%). UAg users reported ordering fewer other diagnostic tests and
tailoring antibiotic therapy. Increased access to UAg tests could improve
pneumonia management and pneumococcal CAP surveillance.
PMID- 24938761
TI - Why patients may not exercise their choice when referred for hospital care. An
exploratory study based on interviews with patients.
AB - BACKGROUND: Various north-western European health-care systems encourage patients
to make an active choice of health-care provider. This study explores,
qualitatively, patients' hospital selection processes and provides insight into
the reasons why patients do or do not make active choices. METHODS: Semi
structured individual interviews were conducted with 142 patients in two
departments of three Dutch hospitals. Interviews were recorded, transcribed and
analysed in accordance with the grounded theory approach. RESULTS: Three levels
of choice activation were identified - passive, semi-active and active. The
majority of the patients, however, visited the default hospital without having
used quality information or considered alternatives. Various factors relating to
patient, provider and health-care system characteristics were identified that
influenced patients' level of choice activation. On the whole, the patients
interviewed could be classified into five types with regard to how they chose, or
'ended up at' a hospital. These types varied from patients who did not have a
choice to patients who made an active choice. CONCLUSIONS: A large variation
exists in the way patients choose a hospital. However, most patients tend to
visit the default without being concerned about choice. Generally, they do not
see any reason to choose another hospital. In addition, barriers exist to making
choices. The idea of a patient who actively makes a choice originates from
neoclassical microeconomic theory. However, policy makers may try in vain to
bring principles originating from this theory into health care. Even so, patients
do value the opportunity of attending 'their' own hospital.
PMID- 24938762
TI - Analysis of RBFOX1 gene expression in lymphoblastoid cell lines of Italian
discordant autism spectrum disorders sib-pairs.
AB - Several lines of evidence suggest that RBFOX1 is a key regulator of
transcriptional and splicing programs in neural cells during development, and
that it is expressed in a neuronal module enriched for known autism
susceptibility genes. We have investigated its expression by semiquantitative RT
PCR in accessible nonbrain resources in eighteen autism spectrum disorder sib
pairs belonging to the Italian Autism Network cohort. RBFOX1 gene expression was
detected in lymphoblastoid cell lines but not in lymphocytes. No significant
differences between autism spectrum disorders and non-affected brothers were
found. We were not able to replicate in lymphoblastoid cell lines the previously
reported RBFOX1 gene downregulation in autism, even if a trend was observed. This
might be due to less pronounced transcription level differences in RBFOX1 gene
expression in lymphoblastoid cell lines than in brain samples.
PMID- 24938763
TI - Determination and stereochemistry of proteinogenic and non-proteinogenic amino
acids in Saudi Arabian date fruits.
AB - Whereas an abundance of literature is available on the occurrence of common
proteinogenic amino acids (AAs) in edible fruits of the date palm (Phoenix
dactylifera L.), recent reports on non-proteinogenic (non-coded) AAs and amino
components are scarce. With emphasis on these components we have analyzed total
hydrolysates of twelve cultivars of date fruits using automated ion-exchange
chromatography, HPLC employing a fluorescent aminoquinolyl label, and GC-MS of
total hydrolysates using the chiral stationary phases Chirasil((r))-L-Val and
Lipodex((r)) E. Besides common proteinogenic AAs, relatively large amounts of the
following non-proteinogenic amino acids were detected: (2S,5R)-5-hydroxypipecolic
acid (1.4-4.0 g/kg dry matter, DM), 1-aminocyclopropane-1-carboxylic acid (1.3
2.6 g/kg DM), gamma-amino-n-butyric acid (0.5-1.2 g/kg DM), (2S,4R)-4
hydroxyproline (130-230 mg/kg DM), L-pipecolic acid (40-140 mg/kg DM), and 2
aminoethanol (40-160 mg/kg DM) as well as low or trace amounts (<70 mg/kg DM) of
L-ornithine, 5-hydroxylysine, beta-alanine, and in some samples (<20 mg/kg DM) of
(S)-beta-aminoisobutyric acid and (<10 mg/kg DM) L-allo-isoleucine. In one date
fruit, traces of alpha-aminoadipic acid could be determined. Enantiomeric
analysis of 6 M DCl/D2O hydrolysates of AAs using chiral capillary gas
chromatography-mass spectrometry revealed the presence of very low amounts of D
Ala, D-Asp, D-Glu, D-Ser and D-Phe (1.2-0.4%, relative to the corresponding L
enantiomers), besides traces (0.2-1%) of other D-AAs. The possible relevance of
non-proteinogenic amino acids in date fruits is briefly addressed.
PMID- 24938764
TI - Increased dietary vitamin D suppresses MAPK signaling, colitis, and colon cancer.
AB - Epidemiologic studies associate low serum vitamin D levels with an increased risk
of colon cancer and inflammatory diseases such as inflammatory bowel disease
(IBD). 129-Smad3(tm1Par)/J (Smad3(-/-)) mice are a model of bacteria-driven
colitis and colon cancer when infected with Helicobacter bilis (H. bilis). Thus,
we used this mouse model to determine whether increased dietary vitamin D would
reduce inflammation and colon cancer. Smad3(-/-) mice were fed purified diet with
either maintenance (1 IU vitamin D/g diet; maintenance) or increased
concentrations of vitamin D (5 IU vitamin D/g diet; high vitamin D). One week
after diet initiation, mice were inoculated with broth or H. bilis and were
necropsied at several time points postinoculation to assess inflammation,
dysplasia, and neoplasia incidence. At 16 weeks postinfection, 11% of mice fed
high vitamin D diet had cancer compared with 41% of mice fed maintenance diet (P
= 0.0121). Evaluation at an early time point (1 week postinfection) showed that
animals fed high vitamin D had decreased MAPK (p-P38 and p-JNK) activation in
lamina propria leukocytes as well as decreased NFkappaB activation in colonic
epithelial cells. Reduction in MAPK and NFkappaB activation correlated with
decreased IBD scores (2.7 vs. 15.5; P < 0.0001) as well as decreased inflammatory
cell infiltrates and reduced expression of proinflammatory cytokines in cecal
tissue. These findings suggest that increased dietary vitamin D is beneficial in
preventing inflammation-associated colon cancer through suppression of
inflammatory responses during initiation of neoplasia or early-stage
carcinogenesis.
PMID- 24938765
TI - Engineered fusokine GIFT4 licenses the ability of B cells to trigger a
tumoricidal T-cell response.
AB - Engineered chimeric cytokines can generate gain-of-function activity in immune
cells. Here, we report potent antitumor activity for a novel fusion cytokine
generated by N-terminal coupling of GM-CSF to IL4, generating a fusokine termed
GIFT4. B cells treated with GIFT4 clustered GM-CSF and IL4 receptors on the cell
surface and displayed a pan-STAT hyperphosphorylation associated with acquisition
of a distinct phenotype and function described to date. In C57BL/6J mice,
administration of GIFT4 expanded endogenous B cells and suppressed the growth of
B16F0 melanoma cells. Furthermore, B16F0 melanoma cells engineered to secrete
GIFT4 were rejected immunologically in a B-cell-dependent manner. This effect was
abolished when GIFT4-expressing B16F0 cells were implanted in B-cell-deficient
mice, confirming a B-cell-dependent antitumor effect. Human GIFT4-licensed B
cells primed cytotoxic T cells and specifically killed melanoma cells in vitro
and in vivo. Taken together, our results demonstrated that GIFT4 could mediate
expansion of B cells with potent antigen-specific effector function. GIFT4 may
offer a novel immunotherapeutic tool and define a previously unrecognized
potential for B cells in melanoma immunotherapy.
PMID- 24938767
TI - Mutations in Ralstonia solanacearum loci involved in lipopolysaccharide
biogenesis, phospholipid trafficking and peptidoglycan recycling render
bacteriophage infection.
AB - Ralstonia solanacearum causes deadly wilting on many crops worldwide. However,
the information on its components important for cell integrity and interactions
with phages is limited. By systematically characterizing mutants resistant to a
T7-like phage, we showed that the biosynthesis of rough lipopolysaccharides (R
LPS) was crucial for maintaining the membrane integrity, while the production of
smooth LPS (S-LPS) was required for the resistance to polymyxin B and phage
adsorption. Furthermore, RSc0154/ampG disruption did not affect LPS production
and phage adsorption but may have caused aberrant release of peptidoglycan
fragments, thus hindering phage DNA injection into or virion release from the
cell. Mutations in the RSc2958-RSc2962/mla cluster, although not affecting LPS
production, may have caused elevated phospholipid level in the outer leaflet of
the outer membrane, consequently sheltering the mutants from phage adsorption on
the O-antigen. These results specify important roles of the biogenesis and
homeogenesis of envelope components for R. solanacearum-phage interaction.
PMID- 24938766
TI - B-cell expansion and lymphomagenesis induced by chronic CD40 signaling is
strictly dependent on CD19.
AB - CD40, a member of the TNF receptor family, is expressed on all mature B cells and
on most B-cell lymphomas. Recently, we have shown that constitutive activation of
CD40 signaling in B cells induced by a fusion protein consisting of the
transmembrane part of the Epstein-Barr viral latent membrane protein 1 (LMP1) and
the cytoplasmic part of CD40 (LMP1/CD40) drives B-cell lymphoma development in
transgenic mice. Because LMP1/CD40-expressing B cells showed an upregulation of
CD19, we investigated CD19's function in CD40-driven B-cell expansion and
lymphomagenesis. Here, we demonstrate that ablation of CD19 in LMP1/CD40
transgenic mice resulted in a severe loss and reduced lifespan of mature B cells
and completely abrogated development of B-cell lymphoma. CD19 is localized to
lipid rafts and constitutively activated by the LMP1/CD40 fusion protein in B
cells. We provide evidence that the improved survival and malignant
transformation of LMP1/CD40-expressing B cells are dependent on activation of the
MAPK Erk that is mediated through CD19 in a PI3K-dependent manner. Our data
suggest that constitutively active CD40 is dependent on CD19 to transmit survival
and proliferation signals. Moreover, we detected a similarly functioning
prosurvival pathway involving phosphorylated CD19 and PI3K-dependent Erk
phosphorylation in human diffuse large B-cell lymphoma cell lines. Our data
provide evidence that CD19 plays an important role in transmitting survival and
proliferation signals downstream of CD40 and therefore might be an interesting
therapeutic target for the treatment of lymphoma undergoing chronic CD40
signaling.
PMID- 24938768
TI - Rhizobial infection in Adesmia bicolor (Fabaceae) roots.
AB - The native legume Adesmia bicolor shows nitrogen fixation efficiency via
symbiosis with soil rhizobia. The infection mechanism by means of which rhizobia
infect their roots has not been fully elucidated to date. Therefore, the purpose
of the present study was to identify the infection mechanism in Adesmia bicolor
roots. To this end, inoculated roots were processed following conventional
methods as part of our root anatomy study, and the shape and distribution of root
nodules were analyzed as well. Neither root hairs nor infection threads were
observed in the root system, whereas infection sites-later forming nodules-were
observed in the longitudinal sections. Nodules were found to form between the
main root and the lateral roots. It can be concluded that in Adesmia bicolor, a
bacterial crack entry infection mechanism prevails and that such mechanism could
be an adaptive strategy of this species which is typical of arid environments.
PMID- 24938769
TI - Case management for frequent users of the emergency department: study protocol of
a randomised controlled trial.
AB - BACKGROUND: We devised a randomised controlled trial to evaluate the
effectiveness and efficiency of an intervention based on case management care for
frequent emergency department users. The aim of the intervention is to reduce
such patients' emergency department use, to improve their quality of life, and to
reduce costs consequent on frequent use. The intervention consists of a
combination of comprehensive case management care and standard emergency care. It
uses a clinical case management model that is patient-identified, patient
directed, and developed to provide high intensity services. It provides a
continuum of hospital- and community-based patient services, which include
clinical assessment, outreach referral, and coordination and communication with
other service providers. METHODS/DESIGN: We aim to recruit, during the first year
of the study, 250 patients who visit the emergency department of the University
Hospital of Lausanne, Switzerland. Eligible patients will have visited the
emergency department 5 or more times during the previous 12 months. Randomisation
of the participants to the intervention or control groups will be computer
generated and concealed. The statistician and each patient will be blinded to the
patient's allocation. Participants in the intervention group (N = 125),
additionally to standard emergency care, will receive case management from a
team, 1 (ambulatory care) to 3 (hospitalization) times during their stay and
after 1, 3, and 5 months, at their residence, in the hospital or in the
ambulatory care setting. In between the consultations provided, the patients will
have the opportunity to contact, at any moment, the case management team.
Participants in the control group (N = 125) will receive standard emergency care
only. Data will be collected at baseline and 2, 5.5, 9, and 12 months later,
including: number of emergency department visits, quality of life (EuroQOL and
WHOQOL), health services use, and relevant costs. Data on feelings of
discrimination and patient's satisfaction will also be collected at the baseline
and 12 months later. DISCUSSION: Our study will help to clarify knowledge gaps
regarding the positive outcomes (emergency department visits, quality of life,
efficiency, and cost-utility) of an intervention based on case management care.
TRIAL REGISTRATION: ClinicalTrials.gov Identifier: NCT01934322.
PMID- 24938771
TI - Changing the role of the traditional birth attendant in Somaliland.
AB - OBJECTIVE: To explore the feasibility of changing the role of the traditional
birth attendant (TBA) to act as birth companion and promoter of skilled birth
attendance. METHODS: Between 2008 and 2012, 75 TBAs received 3days of training
and were paid US $5 for each patient brought to any of five healthcare facilities
in Maroodi Jeex, Somaliland. Health facilities were upgraded (infrastructure,
drugs and equipment, staff training, and incentivization). Eight key informant
interviews (KIIs) and 10 focus group discussions (FGDs) involving 32 TBAs and 32
mothers were conducted. A framework approach was used for analysis. RESULTS: TBAs
adopted their new role easily; instead of conducting home births and referring
women to a facility only at onset of complications, they accompanied or referred
mothers to a nearby facility for delivery, prenatal care, or postnatal care. Both
TBAs and mothers accepted this new role, resulting in increased deliveries at
health facilities. Facilitating factors included the creation of an enabling
environment at the health facility, acceptance of the TBA by health facility
staff, and monetary incentivization. CONCLUSION: Changing the role of the TBA to
support facility-based delivery is feasible and acceptable. Further research is
needed to see whether this is replicable and can be scaled-up.
PMID- 24938770
TI - The immediate effects of fitting and tuning solid ankle-foot orthoses in early
stroke rehabilitation.
AB - BACKGROUND: Ankle-foot orthoses are known to have a generally positive effect on
gait in stroke, however the specifc type of AFO and the time point at which it is
provided are highly variable in the currently available literature. OBJECTIVE:
The objective was to determine the immediate spatiotemporal and kinematic effect
of custom-made solid ankle-foot orthoses in early stroke rehabilitation, compared
to shod walking. METHODS: Five male and three female participants were recruited
to the study (n = 8), with a mean age of 57 (16) years who were 3.5 (3) weeks
post-stroke. Each received a custom-made solid ankle-foot orthosis to a
predefined set of design criteria and tuned using heel wedges to control the
shank inclination angle during shod walking. Repeated spatiotemporal and three
dimensional gait measures were taken pre- and immediately post-intervention.
STUDY DESIGN: A pre-post-test experimental study. RESULTS: With the solid ankle
foot orthosis, walking velocity increased from 0.22 (0.2) to 0.36 (0.3) m/s (p <
0.05), overall average step length increased from 0.28 (0.1) to 0.37 (0.1) m (p <
0.05), cadence increased from 45 (19) to 56 (19) steps/min (p < 0.05) and step
length symmetry ratio increased from 0.65 (0.2) to 0.74 (0.2) (not significant).
No clear changes were observed in the joint kinematics of the hip and knee.
CONCLUSION: In our small group of early stroke patients who were fitted with a
solid ankle-foot orthosis, immediate significant improvements occurred in walking
speed, step length and cadence, when compared to walking with shoes only.
CLINICAL RELEVANCE: This study provides evidence about the immediate effects of
custom solid ankle-foot orthoses on gait of early stroke survivors. Ankle-foot
orthosis design specifications are fully described for replication. This study
suggests that observing global segment orientation may be more useful than joint
angles when fitting and tuning ankle-foot orthoses for optimal ankle-foot
orthosis/footwear alignment.
PMID- 24938772
TI - Looking at the kidney to predict global outcome in the elderly.
PMID- 24938773
TI - Inhibition of brain ischemia-caused notch activation in microglia may contribute
to isoflurane postconditioning-induced neuroprotection in male rats.
AB - Prolonged exposure to volatile anesthetics alone may be detrimental to the brain.
However, volatile anesthetics, such as isoflurane, can provide neuroprotection
against various damaging insults. Application of isoflurane after focal brain
ischemia reduces ischemic brain injury. We determined whether this isoflurane
postconditioning-induced neuroprotection requires inhibition of brain ischemia
induced Notch signaling activation. Here, we showed that TUNEL-positive staining
cell density and active caspase 3 expression were increased in the ischemic
penumbral brain tissues of male rats after a 90-min middle cerebral arterial
occlusion (MCAO). This increase was inhibited by isoflurane postconditioning and
a Notch inhibitor. Isoflurane postconditioning and the Notch inhibitor also
inhibited brain ischemia-induced Notch activation and proinflammatory cytokine
production. Most cells expressing active Notch also were positive for CD11b, a
microglial and white blood cell marker. Isoflurane postconditioning and the Notch
inhibitor inhibited 1 ng/ml lipopolysaccharide- and oxygen-glucose deprivation
induced Notch activation and proinflammatory cytokine production from microglial
cultures. The inhibition of cytokine production by isoflurane postconditioning,
but not by a high concentration of the Notch inhibitor, disappeared in the
presence of 10 ng/ml lipopolysaccharide. Our results suggest that Notch
activation in microglia contributes to the cell apoptosis in the ischemic brain
tissues. Inhibiting this Notch activation may participate in isoflurane
postconditioning-induced neuroprotection against transient focal brain ischemia
in male rats.
PMID- 24938775
TI - Effect of glatiramer acetate on peripheral blood brain-derived neurotrophic
factor and phosphorylated TrkB levels in relapsing-remitting multiple sclerosis.
AB - Glatiramer acetate (GA) is one of the most widely used disease-modifying drugs
for the treatment of relapsing-remitting multiple sclerosis; is assumed to have
inductor effects on neurotrophic factor expression. One of these neurotrophic
factor systems is the brain-derived neurotrophic factor (BDNF)/receptor tyrosine
kinase B (TrkB) pathway. Peripheral blood is thought to contain soluble BDNF, and
some blood cells express TrkB. We attempted to determine whether GA treatment
leads to changes in plasma BDNF levels and TrkB activation. Such a phenomenon are
relapsing-remitting multiple sclerosis patients is significantly reduced; GA
treatment is not influencing peripheral BDNF levels, after one year of sustained
therapy, not from the point of view of total free BDNF nor the phosphorylated
TrkB.
PMID- 24938776
TI - Scopolamine and depression: a role for muscarinic antagonism?
AB - Depressive disorders have, for a sizeable extent, proven resilient to
pharmacotherapy. Established drugs such as selective serotonin reuptake
inhibitors (SSRIs) or serotonin-noradrenaline reuptake inhibitors (SNRIs) often
provide inadequate symptom relief and sometimes fail altogether. Recently,
interest in antidepressant effects of scopolamine, a non-selective muscarinic
acetylcholine receptor (mAChR) antagonist, has arisen. Initial evidence suggests
that scopolamine provides relatively rapid and long-lasting symptom alleviation
for unipolar and bipolar depressed patients. At the same time, side effects of
medical dosages appear mild and transient in nature. The aim of the present
review is to tentatively discuss the antidepressant potential of scopolamine and
to outline putative neurobiological pathways. Clearly, mAChR antagonism provides
an intriguing novel therapeutical approach for treating depressive disorders.
PMID- 24938777
TI - Neuroprotective effects of Citrus reticulata in scopolamine-induced dementia
oxidative stress in rats.
AB - The purpose of the study was to evaluate the potential effects of Citrus
reticulate (mandarin) peel methanolic extract (MPME) on memory dysfunction in
rats. Memory impairment was produced by scopolamine (1.4 mg/kg, intraperitoneally
injected). Brain acetylcholinesterase enzyme (AChE) activity was measured to
assess the central cholinergic activity. This study also investigated the effect
of scopolamine on norepinephrine, dopamine and serotonin content in rat
hippocampus, striatum and cerebral cortex. In addition, the levels of brain lipid
peroxidation (LPO), nitric oxide (NO) and glutathione (GSH) were estimated to
assess the degree of oxidative stress. Scopolamine administration induced a
significant impairment of central cholinergic activity in rats, as indicated by a
marked increase in AChE activity. The impairment of the cholinergic system was
associated with a significant alternation in brain monoamines. Scopolamine
administration also caused oxidant damage (elevation in LPO and NO and reduction
in GSH levels). Pretreatment of MPME (250 mg/kg, orally administered)
significantly reduced scopolamine-induced alternation in brain monoamines with an
attenuation of scopolamine-induced rise in brain AChE activity and brain
oxidative stress. It is concluded that administration of mandarin peel extract,
demonstrating antioxidant activity, may be of value for dementia exhibiting
elevated brain oxidative status.
PMID- 24938778
TI - Breast Implant-Associated Anaplastic Large Cell Lymphoma: Report of 2 Cases and
Review of the Literature.
AB - Although primary breast lymphomas are exceedingly rare, cases of breast implant
associated anaplastic large cell lymphoma (iALCL) continue to be reported. The
authors describe their experience with 2 patients and review the literature. Both
patients presented with periprosthetic fluid collection. Neither had evidence of
systemic disease nor received systemic therapy. Both were disease free after
bilateral capsulectomies and implant removal without implant replacement, and
disease did not recur. During the literature review, 63 cases of iALCL (including
our 2 patients) were identified. The median time from implant placement to
diagnosis was 9 years. Both saline and silicone implants were associated with
iALCL. Of the 26 cases for which implant surface was reported, the surface was
textured in 24. Of the 58 patients with an identifiable presentation, 39 had
periprosthetic fluid collection, including 7 with an associated mass; 13 had an
isolated mass at presentation, including 1 with axillary adenopathy. Forty
patients had capsulectomy, 7 of whom underwent implant replacement. Of the 44
patients with known treatment, 33 received chemotherapy and 23 received
radiation. Of the 49 patients with known anaplastic large cell lymphoma, 15 had
disease recurrence, and 4 patient deaths were reported. Of the 18 patients
presenting with a mass, 11 had disease recurrence, including all 4 patients who
died. This study represents the largest review of patients with iALCL described
to date. Although most cases have an indolent clinical course, the variety of
presentations defined as "seroma" vs "capsular involvement" emphasizes the
importance of investigating a definitive method of diagnosis, management, and
treatment of this disease. LEVEL OF EVIDENCE 5.
PMID- 24938779
TI - Catalytic conversion of gamma-valerolactone to epsilon-caprolactam: towards nylon
from renewable feedstock.
AB - The conversion of gamma-valerolactone (GVL) in three atom-efficient steps to the
important polymer precursor epsilon-caprolactam is reported. The bio-based GVL
can be converted to a mixture of isomeric methyl pentenoates (MP) via trans
esterification with methanol with 94% yield (ratio of 3-MP/4-MP=3:1); subsequent
aminolysis with ammonia leads to a mixture of pentenamides (PA) almost
quantitatively (99% conversion). The resulting pentenamides are ultimately
converted into epsilon-caprolactam via a rhodium-catalyzed intramolecular
hydroamidomethylation reaction, comprising an initial hydroformylation of the
alkene moiety of PA and subsequent ring-closing reductive amidation of the
resulting aldehyde with the amide functionality. A promising yield of caprolactam
of about 90% can be obtained with a Rh/xantphos catalyst system in a two-stage
hydroformylation-reductive amidation using pure 4-PA as feedstock. The use of 3
PA as a substrate not only results in a significantly lower regioselectivity for
the 7-membered lactam, but also in the formation of high amounts of valeramide
(VA). Consequently, a best overall yield of caprolactam of nearly 40% could be
demonstrated with a Rh/POP-xantphos [POP-xantphos=4,5-bis(2,8-dimethyl-10
phenoxaphosphino)-9,9,-dimethylxanthene] catalyst system based on the 3:1 mixture
of 3-PA/4-PA directly obtainable from GVL.
PMID- 24938780
TI - [Wiesner nevus: a new melanocytic tumor defined by molecular genetic analysis].
PMID- 24938781
TI - Loss of Prox1 in striated muscle causes slow to fast skeletal muscle fiber
conversion and dilated cardiomyopathy.
AB - Correct regulation of troponin and myosin contractile protein gene isoforms is a
critical determinant of cardiac and skeletal striated muscle development and
function, with misexpression frequently associated with impaired contractility or
disease. Here we reveal a novel requirement for Prospero-related homeobox factor
1 (Prox1) during mouse heart development in the direct transcriptional repression
of the fast-twitch skeletal muscle genes troponin T3, troponin I2, and myosin
light chain 1. A proportion of cardiac-specific Prox1 knockout mice survive
beyond birth with hearts characterized by marked overexpression of fast-twitch
genes and postnatal development of a fatal dilated cardiomyopathy. Through
conditional knockout of Prox1 from skeletal muscle, we demonstrate a conserved
requirement for Prox1 in the repression of troponin T3, troponin I2, and myosin
light chain 1 between cardiac and slow-twitch skeletal muscle and establish Prox1
ablation as sufficient to cause a switch from a slow- to fast-twitch muscle
phenotype. Our study identifies conserved roles for Prox1 between cardiac and
skeletal muscle, specifically implicated in slow-twitch fiber-type specification,
function, and cardiomyopathic disease.
PMID- 24938784
TI - Microbiomes are true to type.
PMID- 24938782
TI - Differences in nucleation behavior underlie the contrasting aggregation kinetics
of the Abeta40 and Abeta42 peptides.
AB - The two major forms of the amyloid-beta (Abeta) peptide found in plaques in
patients suffering from Alzheimer's disease, Abeta40 and Abeta42, only differ by
two amino acids in the C-terminal region, yet they display markedly different
aggregation behavior. The origins of these differences have remained challenging
to connect to specific molecular-level processes underlying the aggregation
reaction. In this paper we use a general strategy to apply the conventional
workflow of chemical kinetics to the aggregation of the Abeta40 peptide to
identify the differences between Abeta40 and Abeta42 in terms of the microscopic
determinants of the aggregation reaction. Our results reveal that the major
source of aggregates in the case of Abeta40 is a fibril-catalyzed nucleation
process, the multistep nature of which is evident through its saturation
behavior. Moreover, our results show that the significant differences in the
observed behavior of the two proteins originate not simply from a uniform
increase in all microscopic rates for Abeta42 compared with Abeta40, but rather
are due to a shift of more than one order of magnitude in the relative importance
of primary nucleation versus fibril-catalyzed secondary nucleation processes.
This analysis sheds light on the microscopic determinants of the aggregation
behavior of the principal forms of Abeta and outlines a general approach toward
achieving an understanding at the molecular level of the aberrant deposition of
insoluble peptides in neurodegenerative disorders.
PMID- 24938783
TI - Chemical cross-linking/mass spectrometry targeting acidic residues in proteins
and protein complexes.
AB - The study of proteins and protein complexes using chemical cross-linking followed
by the MS identification of the cross-linked peptides has found increasingly
widespread use in recent years. Thus far, such analyses have used almost
exclusively homobifunctional, amine-reactive cross-linking reagents. Here we
report the development and application of an orthogonal cross-linking chemistry
specific for carboxyl groups. Chemical cross-linking of acidic residues is
achieved using homobifunctional dihydrazides as cross-linking reagents and a
coupling chemistry at neutral pH that is compatible with the structural integrity
of most protein complexes. In addition to cross-links formed through insertion of
the dihydrazides with different spacer lengths, zero-length cross-link products
are also obtained, thereby providing additional structural information. We
demonstrate the application of the reaction and the MS identification of the
resulting cross-linked peptides for the chaperonin TRiC/CCT and the 26S
proteasome. The results indicate that the targeting of acidic residues for cross
linking provides distance restraints that are complementary and orthogonal to
those obtained from lysine cross-linking, thereby expanding the yield of
structural information that can be obtained from cross-linking studies and used
in hybrid modeling approaches.
PMID- 24938786
TI - Origins of specificity and affinity in antibody-protein interactions.
AB - Natural antibodies are frequently elicited to recognize diverse protein surfaces,
where the sequence features of the epitopes are frequently indistinguishable from
those of nonepitope protein surfaces. It is not clearly understood how the
paratopes are able to recognize sequence-wise featureless epitopes and how a
natural antibody repertoire with limited variants can recognize seemingly
unlimited protein antigens foreign to the host immune system. In this work,
computational methods were used to predict the functional paratopes with the 3D
antibody variable domain structure as input. The predicted functional paratopes
were reasonably validated by the hot spot residues known from experimental
alanine scanning measurements. The functional paratope (hot spot) predictions on
a set of 111 antibody-antigen complex structures indicate that aromatic, mostly
tyrosyl, side chains constitute the major part of the predicted functional
paratopes, with short-chain hydrophilic residues forming the minor portion of the
predicted functional paratopes. These aromatic side chains interact mostly with
the epitope main chain atoms and side-chain carbons. The functional paratopes are
surrounded by favorable polar atomistic contacts in the structural paratope
epitope interfaces; more that 80% these polar contacts are electrostatically
favorable and about 40% of these polar contacts form direct hydrogen bonds across
the interfaces. These results indicate that a limited repertoire of antibodies
bearing paratopes with diverse structural contours enriched with aromatic side
chains among short-chain hydrophilic residues can recognize all sorts of protein
surfaces, because the determinants for antibody recognition are common
physicochemical features ubiquitously distributed over all protein surfaces.
PMID- 24938785
TI - LptE binds to and alters the physical state of LPS to catalyze its assembly at
the cell surface.
AB - The assembly of lipopolysaccharide (LPS) on the surface of Gram-negative
bacterial cells is essential for their viability and is achieved by the seven
protein LPS transport (Lpt) pathway. The outer membrane (OM) lipoprotein LptE and
the beta-barrel membrane protein LptD form a complex that assembles LPS into the
outer leaflet of the OM. We report a crystal structure of the Escherichia coli OM
lipoprotein LptE at 2.34 A. The structure reveals homology to eukaryotic LPS
binding proteins and allowed for the prediction of an LPS-binding site, which was
confirmed by genetic and biophysical experiments. Specific point mutations at
this site lead to defects in OM biogenesis. We show that wild-type LptE disrupts
LPS-LPS interactions in vitro and that these mutations decrease the ability of
LptE to disaggregate LPS. Transmission electron microscopic imaging shows that
LptE can disrupt LPS aggregates even at substoichiometric concentrations. We
propose a model in which LptE functions as an LPS transfer protein in the OM
translocon by disaggregating LPS during transport to allow for its insertion into
the OM.
PMID- 24938787
TI - Normal levels of the antiprion proteins Btn2 and Cur1 cure most newly formed
[URE3] prion variants.
AB - [URE3] is an amyloid prion of the Saccharomyces cerevisiae Ure2p, a regulator of
nitrogen catabolism. Overproduction of Btn2p, involved in late endosome to Golgi
protein transport, or its paralog Cur1p, cures [URE3]. Btn2p, in curing, is
colocalized with Ure2p in a single locus, suggesting sequestration of Ure2p
amyloid filaments. We find that most [URE3] variants generated in a btn2 cur1
double mutant are cured by restoring normal levels of Btn2p and Cur1p, with both
proteins needed for efficient curing. The [URE3] variants cured by normal levels
of Btn2p and Cur1p all have low seed number, again suggesting a seed
sequestration mechanism. Hsp42 overproduction also cures [URE3], and Hsp42p aids
Btn2 overproduction curing. Cur1p is needed for Hsp42 overproduction curing of
[URE3], but neither Btn2p nor Cur1p is needed for overproduction curing by the
other. Although hsp42Delta strains stably propagate [URE3-1], hsp26Delta
destabilizes this prion. Thus, Btn2p and Cur1p are antiprion system components at
their normal levels, acting with Hsp42. Btn2p is related in sequence to human
Hook proteins, involved in aggresome formation and other transport activities.
PMID- 24938788
TI - Hypoxia-inducible factors and RAB22A mediate formation of microvesicles that
stimulate breast cancer invasion and metastasis.
AB - Extracellular vesicles such as exosomes and microvesicles (MVs) are shed by
cancer cells, are detected in the plasma of cancer patients, and promote cancer
progression, but the molecular mechanisms regulating their production are not
well understood. Intratumoral hypoxia is common in advanced breast cancers and is
associated with an increased risk of metastasis and patient mortality that is
mediated in part by the activation of hypoxia-inducible factors (HIFs). In this
paper, we report that exposure of human breast cancer cells to hypoxia augments
MV shedding that is mediated by the HIF-dependent expression of the small GTPase
RAB22A, which colocalizes with budding MVs at the cell surface. Incubation of
naive breast cancer cells with MVs shed by hypoxic breast cancer cells promotes
focal adhesion formation, invasion, and metastasis. In breast cancer patients,
RAB22A mRNA overexpression in the primary tumor is associated with decreased
overall and metastasis-free survival and, in an orthotopic mouse model, RAB22A
knockdown impairs breast cancer metastasis.
PMID- 24938789
TI - Postsynaptic activity reverses the sign of the acetylcholine-induced long-term
plasticity of GABAA inhibition.
AB - Acetylcholine (ACh) regulates forms of plasticity that control cognitive
functions but the underlying mechanisms remain largely unknown. ACh controls the
intrinsic excitability, as well as the synaptic excitation and inhibition of CA1
hippocampal pyramidal cells (PCs), cells known to participate in circuits
involved in cognition and spatial navigation. However, how ACh regulates
inhibition in function of postsynaptic activity has not been well studied. Here
we show that in rat PCs, a brief pulse of ACh or a brief stimulation of
cholinergic septal fibers combined with repeated depolarization induces strong
long-term enhancement of GABAA inhibition (GABAA-LTP). Indeed, this enhanced
inhibition is due to the increased activation of alpha5betagamma2 subunit
containing GABAA receptors by the GABA released. GABAA-LTP requires the
activation of M1-muscarinic receptors and an increase in cytosolic Ca(2+). In the
absence of PC depolarization ACh triggered a presynaptic depolarization-induced
suppression of inhibition (DSI), revealing that postsynaptic activity gates the
effects of ACh from presynaptic DSI to postsynaptic LTP. These results provide
key insights into mechanisms potentially linked with cognitive functions, spatial
navigation, and the homeostatic control of abnormal hyperexcitable states.
PMID- 24938791
TI - Role of timbre memory in evaluating Stradivari violins.
PMID- 24938790
TI - MicroRNA binding to the HIV-1 Gag protein inhibits Gag assembly and virus
production.
AB - MicroRNAs (miRNAs) are small, 18-22 nt long, noncoding RNAs that act as potent
negative gene regulators in a variety of physiological and pathological
processes. To repress gene expression, miRNAs are packaged into RNA-induced
silencing complexes (RISCs) that target mRNAs for degradation and/or
translational repression in a sequence-specific manner. Recently, miRNAs have
been shown to also interact with proteins outside RISCs, impacting cellular
processes through mechanisms not involving gene silencing. Here, we define a
previously unappreciated activity of miRNAs in inhibiting RNA-protein
interactions that in the context of HIV-1 biology blocks HIV virus budding and
reduces virus infectivity. This occurs by miRNA binding to the nucleocapsid
domain of the Gag protein, the main structural component of HIV-1 virions. The
resulting miRNA-Gag complexes interfere with viral-RNA-mediated Gag assembly and
viral budding at the plasma membrane, with imperfectly assembled Gag complexes
endocytosed and delivered to lysosomes. The blockade of virus production by miRNA
is reversed by adding the miRNA's target mRNA and stimulated by depleting
Argonaute-2, suggesting that when miRNAs are not mediating gene silencing, they
can block HIV-1 production through disruption of Gag assembly on membranes.
Overall, our findings have significant implications for understanding how cells
modulate HIV-1 infection by miRNA expression and raise the possibility that
miRNAs can function to disrupt RNA-mediated protein assembly processes in other
cellular contexts.
PMID- 24938792
TI - Probenecid reduces infection and inflammation in acute Pseudomonas aeruginosa
pneumonia.
AB - The activation of inflammasome signaling mediates pathology of acute Pseudomonas
aeruginosa pneumonia. This suggests that the inflammasome might represent a
target to limit the pathological consequences of acute P. aeruginosa lung
infection. Pannexin-1 (Px1) channels mediate the activation of caspase-1 and
release of IL-1beta induced by P2X7 receptor activation. The approved drug
probenecid is an inhibitor of Px1 and ATP release. In this study, we demonstrate
that probenecid reduces infection and inflammation in acute P. aeruginosa
pneumonia. Treatment of mice prior to infection with P. aeruginosa resulted in an
enhanced clearance of P. aeruginosa and reduced levels of inflammatory mediators,
such as IL-1beta. In addition, probenecid inhibited the release of inflammatory
mediators in murine alveolar macrophages and human U937 cell-derived macrophages
upon bacterial infection but not in human bronchial epithelial cells. Thus, Px1
blockade via probenecid treatment may be a therapeutic option in P. aeruginosa
pneumonia by improving bacterial clearance and reducing negative consequences of
inflammation.
PMID- 24938793
TI - Childhood leukaemia risks: from unexplained findings near nuclear installations
to recommendations for future research.
AB - Recent findings related to childhood leukaemia incidence near nuclear
installations have raised questions which can be answered neither by current
knowledge on radiation risk nor by other established risk factors. In 2012, a
workshop was organised on this topic with two objectives: (a) review of results
and discussion of methodological limitations of studies near nuclear
installations; (b) identification of directions for future research into the
causes and pathogenesis of childhood leukaemia. The workshop gathered 42
participants from different disciplines, extending widely outside of the
radiation protection field. Regarding the proximity of nuclear installations, the
need for continuous surveillance of childhood leukaemia incidence was
highlighted, including a better characterisation of the local population. The
creation of collaborative working groups was recommended for consistency in
methodologies and the possibility of combining data for future analyses.
Regarding the causes of childhood leukaemia, major fields of research were
discussed (environmental risk factors, genetics, infections, immunity, stem
cells, experimental research). The need for multidisciplinary collaboration in
developing research activities was underlined, including the prevalence of
potential predisposition markers and investigating further the infectious
aetiology hypothesis. Animal studies and genetic/epigenetic approaches appear of
great interest. Routes for future research were pointed out.
PMID- 24938794
TI - Watershed management and public health: an exploration of the intersection of two
fields as reported in the literature from 2000 to 2010.
AB - Watersheds are settings for health and well-being that have a great deal to offer
the public health community due to the correspondence between the spatial form of
the watershed unit and the importance to health and well-being of water. However,
managing watersheds for human health and well-being requires the ability to move
beyond typical reductionist approaches toward more holistic methods. Health and
well-being are emergent properties of inter-related social and biophysical
processes. This paper characterizes points of connection and integration between
watershed management and public health and tests a new conceptual model, the
Watershed Governance Prism, to determine the prevalence in peer-reviewed
literature of different perspectives relating to watersheds and public health. We
conducted an initial search of academic databases for papers that addressed the
interface between watershed management (or governance) and public health themes.
We then generated a sample of these papers and undertook a collaborative analysis
informed by the Watershed Governance Prism. Our analysis found that although
these manuscripts dealt with a range of biophysical and social determinants of
health, there was a tendency for social factors and health outcomes to be framed
as context only for these studies, rather than form the core of the relationships
being investigated. At least one cluster of papers emerged from this analysis
that represented a cohesive perspective on watershed governance and health;
"Perspective B" on the Watershed Governance Prism, "water governance for
ecosystems and well-being," was dominant. Overall, the integration of watershed
management/governance and public health is in its infancy.
PMID- 24938795
TI - Incorporating carbon storage into the optimal management of forest insect pests:
a case study of the southern pine beetle (Dendroctonus frontalis Zimmerman) in
the New Jersey Pinelands.
AB - Forest insect pest disturbance is increasing in certain areas of North America as
many insect species, such as the southern pine beetle, expand their range due to
a warming climate. Because insect pests are beginning to occupy forests that are
managed for multiple uses and have not been managed for pests before, it is
becoming increasingly important to determine how forests should be managed for
pests when non-timber ecosystem services are considered in addition to
traditional costs and revenues. One example of a service that is increasingly
considered in forest management and that may affect forest pest management is
carbon sequestration. This manuscript seeks to understand whether the
incorporation of forest carbon sequestration into cost-benefit analysis of
different forest pest management strategies affects the financially optimal
strategy. We examine this question through a case study of the southern pine
beetle (SPB) in a new area of SPB expansion, the New Jersey Pinelands National
Reserve (NJPR). We utilize a forest ecology and economics model and include field
data from the NJPR as well as outbreak probability statistics from previous
years. We find under the majority of scenarios, incorporating forest carbon
sequestration shifts the financially optimal SPB management strategy from
preventative thinning toward no management or reactionary management in forest
stands in New Jersey. These results contradict the current recommended treatment
strategy for SPB and signify that the inclusion of multiple ecosystem services
into a cost-benefit analysis may drastically alter which pest management strategy
is economically optimal.
PMID- 24938796
TI - Brain death induces the alteration of liver protein expression profiles in
rabbits.
AB - At present, there is no accurate method for evaluating the quality of liver
transplant from a brain-dead donor. Proteomics are used to investigate the
mechanisms involved in brain death-induced liver injury and to identify sensitive
biomarkers. In the present study, age- and gender-matched rabbits were randomly
divided into the brain death and sham groups. The sham served as the control. A
brain-death model was established using an intracranial progressive pressurized
method. The differentially expressed proteins extracted from the liver tissues of
rabbits that were brain-dead for 6 h in the two groups were determined by two
dimensional gel electrophoresis and matrix-assisted laser desorption ionization
time of flight mass spectrometry. Although there was no obvious functional and
morphological difference in 2, 4 and 6 h after brain death, results of the
proteomics analysis revealed 973+/-34 and 987+/-38 protein spots in the control
and brain death groups, respectively. Ten proteins exhibited a >=2-fold
alteration. The downregulated proteins were: aldehyde dehydrogenase, runt-related
transcription factor 1 (RUNX1), inorganic pyrophosphatase, glutamate-cysteine
ligase regulatory subunit and microsomal cytochrome B5. By contrast, the
expression of dihydropyrimidinase-related protein 4, peroxiredoxin-6, 3
phosphoinositide-dependent protein kinase-1, 3-mercaptopyruvate and alcohol
dehydrogenase were clearly upregulated. Immunohistochemistry and western blot
analysis results revealed that the expression of RUNX1 was gradually increased in
a time-dependent manner in 2, 4, and 6 h after brain death. In conclusion,
alteration of the liver protein expression profile induced by brain death
indicated the occurrence of complex pathological changes even if no functional or
morphological difference was identified. Thus, RUNX1 may be a sensitive predict
factor for evaluating the quality of brain death donated liver.
PMID- 24938797
TI - Streptococcus pneumoniae secretes a glyceraldehyde-3-phosphate dehydrogenase,
which binds haemoglobin and haem.
AB - Streptococcus pneumoniae is a gram positive encapsulated bacterium responsible of
septicaemia and upper respiratory infections in children. This pathogen requires
iron to survive in the host, which it can obtain of haemoglobin (Hb) or haem.
Only two Hb-binding membrane proteins have been identified up to now. However it
is unknown whether this pathogen secretes proteins in order to scavenge iron from
the Hb or haem. Therefore, in order to explore these possibilities, cellular
growth of S. pneumoniae was tested with several alternative iron supplies. The
bacterial growth was supported with iron, Hb and haem. Additionally, S.
pneumoniae expressed and secreted a protein of 38 kDa which was purified and
characterized as Hb and haem-binding protein. This protein was also identified by
mass spectrometry as glyceraldehyde-3-phosphate dehydrogenase. Our overall
results suggest that S. pneumoniae secretes a protein capable of binding two
usefull iron sources for this bacterium (Hb and haem). This protein could be
playing a dynamic role in the success of the invasive and infective processes of
this pathogen.
PMID- 24938798
TI - Estrogen stimulates expression of chicken hepatic vitellogenin II and very low
density apolipoprotein II through ER-alpha.
AB - Steroid hormones and their receptors play pivotal roles throughout vertebrate
reproduction and development. Egg formation in avian species is a prime example.
The synthesis of egg yolk proteins by the liver is highly dependent on estrogen.
Two major components of the yolk protein precursors, vitellogenin II (VTG II) and
very low-density apolipoprotein II (ApoVLDL II), are synthesized in the liver of
hens under estrogen stimulation and are subsequently transferred via the blood to
the developing oocytes. Estrogen-inducible transcription can be mediated through
estrogen receptors (ERs) (ER-alpha and ER-beta) or through G protein-coupled
receptor 30 (GPR30), but the exact participation of the individual receptor is
not clear. Here, we determine the relative contribution of each transduction
pathway in the synthesis of VTG II and ApoVLDL II in the hepatocytes by using
selective compounds that are known to specifically interact with each of the ERs
and GPR30. 17beta-Estradiol and propyl pyrazole triol (PPT, ER-alpha agonist)
induced increase in VTG II and ApoVLDL II mRNA expressions in a dose-dependent
manner. A high concentration of diarylpropionitrile (DPN, which preferentially
motivates ER-beta) slightly stimulated the expression of VTG II and ApoVLDL II
mRNAs. However, G-1 (a GPR30 agonist) failed to display any stimulating role.
Methyl-piperidino-pyrazole (a highly selective ER-alpha antagonist) fully blocked
the expression of both yolk precursors, which were upregulated by 17beta
estradiol, PPT, and DPN. Considering that DPN can also provoke the action of ER
alpha at high concentration, this excludes the participation of ER-beta and
supports the role of ER-alpha. The aforementioned results indicate that estrogen
stimulates the expression of VTG II and ApoVLDL II mRNAs predominantly through ER
alpha in the chicken liver.
PMID- 24938799
TI - Cryopreservation of epididymal sperm from ibexes (Capra pyrenaica) using short
equilibration time with glycerol.
AB - Two experiments were conducted to study the effect of shortening the
equilibration time with the cryoprotectant glycerol before freezing epididymal
sperm recovered postmortem from Iberian ibex. In the first experiment, the
standard equilibration time of 3 hours was compared with 2 hours, and subjective
sperm motility and quality of movement were greater (P < 0.05) in the latter
group. In the second experiment, reducing the equilibration time from 2 hours to
15 minutes did not affect sperm motility (evaluated subjectively and
objectively), viability, acrosomal integrity, or membrane functional integrity.
In conclusion, shortening the equilibration time can be used as a technique to
simplify the cryopreservation process and this provides practical advantages
under field conditions.
PMID- 24938801
TI - Effect of time and dose of recombinant follicle stimulating hormone agonist on
the superovulatory response of sheep.
AB - The objective of this study was to determine the superovulatory potential of a
single-chain analog of human FSH (Fcalpha) when administered to ewes either 3
days before, or coincident with, simulated luteolysis (pessary removal [PR]). A
total of 40 animals were randomly assigned to receive Fcalpha at doses of 0.62,
1.25, or 2.5 IU/kg of body weight (bwt) 3 days before PR or 0.31, 0.62, 1.25, or
2.5 IU/kg of bwt at PR. Control ewes received protein without FSH activity. Blood
samples were collected during the periovulatory period and ovarian tissue was
collected 11 days after PR. Ovulation rate did not differ from the control group
in ewes receiving the smallest doses of Fcalpha (0.31 and 0.62 IU/kg). However, a
significant superovulatory response was noted in sheep receiving Fcalpha at doses
of 1.25 and 2.5 IU/kg and this response was comparable in animals receiving the
largest dose levels of Fcalpha at, or 3 days before, PR. The interval between PR
and the LH surge was significantly extended and the LH surges were less
synchronous in animals receiving Fcalpha at PR when compared with animals
receiving the potent FSH agonist 3 days before PR. Taken together, these data
indicate that the human single-chain gonadotropin with FSH activity promotes
superovulation in ewe lambs in the breeding season. A single injection of the
recombinant gonadotropin 3 days before luteolysis synchronizes the LH surge. The
use of the single-chain analog of FSH in assisted reproduction for domestic
animals is likely to be of practical significance as an alternative to
conventional gonadotropins in superovulation protocols in livestock species.
PMID- 24938800
TI - Serum testosterone, progesterone, and estradiol concentrations and sexual
maturation in spotted seals (Phoca largha).
AB - Spotted seals (Phoca largha) are ice-breeding phocid found in eight different
breeding colonies all over the world. They exhibit a seasonal breeding pattern,
with annual and synchronous cycles; however, little is known about their
reproductive endocrinology. In this study, we measured serum testosterone,
progesterone, and 17beta-estradiol concentrations in captive spotted seals
(simple number: female n = 68; male n = 89) throughout a full reproductive cycle.
Males that were older than 4 years had significant testosterone fluctuations and
were, therefore, classified as sexually mature. These animals show significant
seasonal changes in testosterone levels, with average peak concentrations of
10.81 +/- 9.57 nmol/L (+/-SD) from November to February, compared with mean
concentrations of 1.42 +/- 3.09 nmol/L throughout the remainder of the year.
Females that reported a significant variation in progesterone concentrations and
were older than 4 years were considered to be sexually mature. In these females,
progesterone levels increased in February, remained elevated for 7 months with a
mean value of 37.39 +/- 17.03 nmol/L, and then dropped to 0.74 +/- 0.54 nmol/L.
Serum 17beta-estradiol levels were also found to be significantly increased in
January, remained so for 8 months (15.80 +/- 14.15 ng/L), and then declined after
August (7.77 +/- 6.78 ng/L). In seals, mating typically occurs in February and
March, 1 month after the observed peaks in testosterone and estradiol
concentrations and corresponding to the increase in progesterone. A moderate
positive correlation between testosterone and progesterone concentrations in
sexually mature males was also observed (Spearman rho, r = 0.63, P < 0.01). In
sexually immature females, progesterone and estradiol concentrations were found
to be significantly lower than those in mature females. Finally, the observed
patterns of estradiol and progesterone in sexually mature females suggest that
embryonic diapause or successful implantation occurs in August.
PMID- 24938802
TI - Use of a single injection of long-acting recombinant bovine FSH to superovulate
Holstein heifers: a preliminary study.
AB - Our objective was to compare several experimental preparations of a single
injection of long-acting recombinant bovine FSH (rbFSH; types A and B) to a
porcine pituitary-derived FSH (Folltropin) to superovulate Holstein dairy
heifers. Nonlactating, nonpregnant virgin Holstein heifers (n = 56) aged 12 to 15
months were randomly assigned to one of four superstimulatory treatments.
Beginning at a random stage of the estrous cycle, all follicles greater than 5 mm
were aspirated. Thirty-six hours later, heifers received an intravaginal P4
device and superstimulatory treatments were initiated. Treatments were (1) 300 mg
of pituitary-derived FSH (Folltropin) administered in eight decreasing doses over
a period of 3.5 days; (2) a single injection of 50 MUg of A-rbFSH; (3) a single
injection of 100 MUg of A-rbFSH; and (4) a single injection of 50 MUg of B-rbFSH.
All heifers received 25 mg PGF2alpha at 48 and 72 hours after the insertion of P4
device. At 84 hours after insertion, P4 devices were removed, and ovulation was
induced 24 hours later with hCG (2500 IU). Heifers were inseminated at 12 and 24
hours after hCG treatment. The number of ovulatory follicles was greatest for
heifers treated with Folltropin and B50-rbFSH, least for heifers treated with A50
rbFSH, and was intermediate for heifers treated with A100-rbFSH (25.7 +/- 3.2,
18.9 +/- 3.2, 5.9 +/- 0.9, and 16.6 +/- 3.1, respectively; P < 0.001). The number
of corpora lutea was greatest for heifers treated with Folltropin, B50-rbFSH, and
A100-rbFSH, and least for heifers treated with A50-rbFSH (19.1 +/- 2.4, 16.1 +/-
3.0, 15.9 +/- 2.9, and 2.6 +/- 0.9, respectively; P < 0.001). The number of good
quality embryos differed among treatments and was greatest for heifers treated
with B50-rbFSH, Folltropin, and A100-rbFSH and least for heifers treated with A50
rbFSH (7.6 +/- 2.4, 6.5 +/- 1.7, 4.3 +/- 1.5, and 0.8 +/- 0.5, respectively; P <
0.001). In conclusion, a single injection of a preparation of long-acting rbFSH
(either 100 MUg of A-rbFSH or 50 MUg of B-rbFSH but not 50 MUg of A-rbFSH)
produced similar superovulatory responses resulting in the production of good
quality embryos when compared with a pituitary-derived FSH preparation
administered twice daily for 4 days. More studies using different types of cattle
and different doses of rbFSH are needed to confirm the findings reported in this
preliminary study.
PMID- 24938803
TI - Better research, better writing, better publishing.
PMID- 24938804
TI - Forget translations - rewrite in the requested language.
PMID- 24938805
TI - Prevention of intestinal obstruction reveals progressive neurodegeneration in
mutant TDP-43 (A315T) mice.
AB - BACKGROUND: Intraneuronal inclusions of TAR DNA-binding protein 43 (TDP-43) have
been found in the majority of Amyotrophic Lateral Sclerosis (ALS) patients.
Mutations in the gene encoding TDP-43 cause familial ALS. Transgenic mice
expressing mutant TDP-43 with one such mutation (TDP-43 (A315T)) under control of
the murine prion promoter develop motor symptoms, but their use is currently
hampered by sudden death. We aimed to understand and overcome the cause of sudden
death in TDP-43 (A315T) mice. Since intestinal obstruction was suspected to be
the cause, intestinal motility of TDP-43 (A315T) mice was studied in an ex-vivo
pellet propulsion assay. The effect on the enteric and motor phenotype was
assessed, both in animals on normal chow or on a jellified fiber deprived diet,
aimed at preventing intestinal obstruction. RESULTS: The frequency of the
propulsive motor complexes was significantly reduced in the colon of TDP-43
(A315T) compared to non transgenic (NTG) mice. Immunohistochemistry revealed
significant enlargement in size and reduction in number of the nitric oxide
synthase (NOS) neurons in the myenteric plexus of TDP-43 (A315T) mice. Prevention
of intestinal obstruction by jellified food abolished sudden death, allowing the
motor phenotype to develop and slowly progress with a more pronounced
degeneration of upper and lower motor axons. A downregulation of endogenous TDP
43 mRNA and protein levels was observed prior to neurodegeneration. CONCLUSION:
TDP-43 (A315T) mice suffer from intestinal dysmotility due to degeneration of NOS
neurons in the myenteric plexus. Feeding the mice jellified food prevents sudden
death and allows the motor phenotype to progress.
PMID- 24938806
TI - Heavy metal and organic contaminants in mangrove ecosystems of China: a review.
AB - China's rapid economic growth has been accompanied by increasing environmental
pollution. Mangrove ecosystems are now facing greater pollution pressures due to
elevated chemical discharges from various land-based sources. Data on the levels
of heavy metals and organic pollutants in mangrove compartments (sediments,
plants, zoobenthos, and fish) in China over the past 20 years have been
summarized to evaluate the current pollution status of the mangrove ecosystem.
Overall, the Pearl River and Jiulong River estuaries were severely polluted
spots. Concentrations of Cu, Zn, Cd, and Pb in mangrove sediments of Guangdong,
Fujian, and Hong Kong were higher than those from Guangxi and Hainan. The
pollution status was closely linked to industrialization and urbanization. The
highest concentrations of polycyclic aromatic hydrocarbons (PAHs) were found in
mangrove sediments from Hong Kong, followed by Fujian and Guangdong. Mangrove
plants tend to have low-enriched ability for heavy metals and organic pollutants.
Much higher levels of Pb, Cd, and Hg were observed in mollusks.
PMID- 24938808
TI - Climate change and air pollution jointly creating nightmare for tourism industry.
AB - The objective of the study is to examine the long-run and causal relationship
between climate change (i.e., greenhouse gas emissions, hydrofluorocarbons, per
fluorocarbons, and sulfur hexafluoride), air pollution (i.e., methane emissions,
nitrous oxide emissions, and carbon dioxide emissions), and tourism development
indicators (i.e., international tourism receipts, international tourism
expenditures, natural resource depletion, and net forest depletion) in the
World's largest regions. The aggregate data is used for robust analysis in the
South Asia, the Middle East and North Africa, sub-Saharan Africa, and East Asia
and the Pacific regions, over a period of 1975-2012. The results show that
climatic factors and air pollution have a negative impact on tourism indicators
in the form of deforestation and natural resource depletion. The impact is
evident, as we have seen the systematic eroding of tourism industry, due to
severe changes in climate and increasing strain of air pollution. There are
several channels of cause-effect relationship between the climatic factors, air
pollution, and tourism indicators in the World's region. The study confirms the
unidirectional, bidirectional, and causality independent relationship between
climatic factors, air pollution, and tourism indicators in the World. It is
conclusive that tourism industry is facing all time bigger challenges of reduce
investment, less resources, and minor importance from the government agencies
because of the two broad challenges, i.e., climate change and air pollution,
putting them in a dismal state.
PMID- 24938807
TI - The flux of radionuclides in flowback fluid from shale gas exploitation.
AB - This study considers the flux of radioactivity in flowback fluid from shale gas
development in three areas: the Carboniferous, Bowland Shale, UK; the Silurian
Shale, Poland; and the Carboniferous Barnett Shale, USA. The radioactive flux
from these basins was estimated, given estimates of the number of wells developed
or to be developed, the flowback volume per well and the concentration of K
(potassium) and Ra (radium) in the flowback water. For comparative purposes, the
range of concentration was itself considered within four scenarios for the
concentration range of radioactive measured in each shale gas basin, the
groundwater of the each shale gas basin, global groundwater and local surface
water. The study found that (i) for the Barnett Shale and the Silurian Shale,
Poland, the 1 % exceedance flux in flowback water was between seven and eight
times that would be expected from local groundwater. However, for the Bowland
Shale, UK, the 1 % exceedance flux (the flux that would only be expected to be
exceeded 1 % of the time, i.e. a reasonable worst case scenario) in flowback
water was 500 times that expected from local groundwater. (ii) In no scenario was
the 1 % exceedance exposure greater than 1 mSv-the allowable annual exposure
allowed for in the UK. (iii) The radioactive flux of per energy produced was
lower for shale gas than for conventional oil and gas production, nuclear power
production and electricity generated through burning coal.
PMID- 24938809
TI - Impact of Parthenium weeds on earthworms (Eudrilus eugeniae) during
vermicomposting.
AB - The aim of this work is to evaluate the effect of Parthenium-mediated compost on
Eudrilus eugeniae during the process of vermicomposting. Nine different
concentrations of Parthenium hysterophorus and cow dung mixtures were used to
assess toxicity. The earthworms' growth, fecundity and antioxidant enzyme levels
were analysed every 15 days. The antioxidant activities of enzymes [superoxide
dismutase (SOD), catalase (CAT) and glutathione peroxidase (GPx)], considered as
biomarkers, indicate the biochemical and oxidative stresses due to the toxin from
Parthenium weeds. The earthworms' growth, biomass gain, cocoon production and
antioxidant enzymes were in a low level in a high concentration of P.
hysterophorus (without cow dung). The results clearly indicated that appropriate
mixing of P. hysterophorus quantity is an essential factor for the survival of
earthworms without causing any harm.
PMID- 24938810
TI - Alterations in neurobehaviors and inflammation in hippocampus of rats induced by
oral administration of microcystin-LR.
AB - Microcystin-LR (MC-LR) is a widely studied toxic peptide secreted by certain
water blooms of cyanobacteria that exhibit hepatotoxicity and neural toxicity.
This study aimed to observe the neurotoxic effects of low-dose MC-LR exposure by
oral administration. Male Sprague-Dawley (SD) rats were administered orally every
2 days for 8 weeks with pure water and 0.2, 1.0, and 5.0 MUg/kg MC-LR. The Morris
water maze test was used to assess the spatial learning and memory capability of
rats. The activation of astrocytes and nitric oxide synthase (NOS) was evaluated
by immunohistochemistry, and concentrations of nitric oxide (NO) in rat
hippocampus were analyzed. Slight liver dysfunction was observed in the 5.0
MUg/kg MC-LR-treated rats. Impairment of spatial learning and memory was also
observed in the 5.0 MUg/kg MC-LR-treated rats. Astrocytes in the hippocampus of
the 5.0 MUg/kg MC-LR-treated rats showed enhanced activation and cell density;
the inflammatory indicators, NOS and NO, increased in accordance with astrocyte
activation. This study showed that oral exposure of MC-LR had adverse affects on
neurobehaviors, and induced inflammation in memory-related brain regions.
PMID- 24938811
TI - Extraction of Sudan dyes from environmental water by hemimicelles-based magnetic
titanium dioxide nanoparticles.
AB - A novel method for the extraction of Sudan dyes including Sudan I, II, III, and
IV from environmental water by magnetic titanium dioxide nanoparticles
(Fe3O4@TiO2) coated with sodium dodecylsulfate (SDS) as adsorbent was reported.
Fe3O4@TiO2 was synthesized by a simple method and was characterized by
transmission electron microscopy, Fourier-transform infrared spectrometry, and
vibrating sample magnetometer. The magnetic separation was quite efficient for
the adsorption and desorption of Sudan dyes. The effect of the amount of SDS,
extraction time, pH, desorption condition, maximal extraction volume, and humic
acid on the extraction process were investigated. This method was employed to
analyze three environmental water samples. The results demonstrated that our
proposed method had wide linear range (25-5,000 ng L(-1)) with a good linearity
(R (2) > 0.999) and low detection limits (2.9-7.3 ng L(-1)). An enrichment factor
of 1,000 was achieved. In all three spiked levels (25, 250, and 2,500 ng L(-1)),
the recoveries of Sudan dyes were in the range of 86.9-93.6 %. The relative
standard deviations obtained were ranging from 2.5 to 9.3 %. That is to say, the
new method was fast and effective for the extraction of Sudan dye from
environmental water.
PMID- 24938812
TI - Feeding preferences of two detritivores related to size and metal content of
leaves: the crustaceans Atyaephyra desmarestii (Millet) and Echinogammarus
meridionalis (Pinkster).
AB - The equilibrium of the structure and functioning of freshwater ecosystems is
dependent of detritivores that link all the other functional groups. The
preference for feeding leaves with different diameters (particle size) and leaves
with metal contamination (several concentrations of the essential metals copper
and zinc) were determined for two detritivores, the decapod Atyaephyra
desmarestii and the amphipod Echinogammarus meridionalis. Several no-choice and
multi-choice assays were done to determinate which leaf diameter the amphipod and
the decapod species would eat when they had or not had alternatives available and
include a set of dual-choice assays with contaminated and uncontaminated foods.
No significant preference was shown by either species relative to the diameter of
leaves, either on no-choice or multi-choice assays. The presence of essential
metals on food did not had any influence on the feeding choice of these organisms
over the concentration range studied. Both showed no preference on ingesting food
spiked with these essential metals, except E. meridionalis which preferred
ingesting leaves with 2.19 MUg.l(-1) of copper instead of uncontaminated leaves.
For further works, despite no preference for leaves with a certain diameter, the
leaves with 0.70 cm (0.385 cm(2)of area) and with 0.50 cm (1.767 cm(2) of area)
should be used for A. desmarestii and E. meridionalis, respectively. Furthermore,
to maintain E. meridionalis, the diet should include some percentage of copper in
order to accomplish metabolic needs.
PMID- 24938813
TI - In vivo effects of metaldehyde on Pacific oyster, Crassostrea gigas: comparing
hemocyte parameters in two oyster families.
AB - Pollutants via run-off into the ocean represent a potential threat to marine
organisms, especially bivalves such as oysters living in coastal environments.
These organisms filter large volumes of seawater and may accumulate contaminants
within their tissues. Pesticide contamination in water could have a direct or
indirect toxic action on tissues or cells and could induce alteration of immune
system. Bivalve immunity is mainly supported by hemocytes and participates
directly by phagocytosis to eliminate pathogens. Some studies have shown that
pesticides can reduce immune defences and/or modify genomes in vertebrates and
invertebrates. Metaldehyde is used to kill slugs, snails and other terrestrial
gastropods. Although metaldehyde has been detected in surface waters, its effects
on marine bivalves including the Pacific oyster, Crassostrea gigas, have never
been studied. Given the mode of action of this molecule and its targets
(molluscs), it could be potentially more toxic to oysters than other pesticides
(herbicides, fungicides, insecticides, etc.). Effects of metaldehyde on oyster
hemocyte parameters were thus monitored through in vivo experiments based on a
short-term exposure. In this work, metaldehyde at 0.1 MUg/L, which corresponds to
an average concentration detected in the environment, modulated hemocyte
activities of Pacific oysters after an in vivo short-term contact. Individuals
belonging to two families showed different behaviours for some hemocyte
activities after contamination by metaldehyde. These results suggested that
effects of pollutants on oysters may differ from an individual to another in
relation to genetic diversity. Finally, it appears essential to take an interest
in the effects of metaldehyde on a wide variety of aquatic invertebrates
including those that have a significant economic impact.
PMID- 24938814
TI - Particulate matter in the indoor and outdoor air of a gymnasium and a fronton.
AB - An indoor/outdoor monitoring programme of PM10 was carried out in two sports
venues (a fronton and a gymnasium). Levels always below 50 MUg m(-3) were
obtained in the fronton and outdoor air. Due to the climbing chalk and the
constant process of resuspension, concentrations above 150 MUg m(-3) were
registered in the gymnasium. The chalk dust contributed to CO3 (2-)
concentrations of 32 +/- 9.4 MUg m(-3) in this sports facility, which
represented, on average, 18 % of the PM10 mass. Here, the carbonate levels were
128 times higher than those registered outdoors. Much lower concentrations,
around 1 MUg m(-3), were measured in the fronton. The chalk dust is also
responsible for the high Mg(2+) concentrations in the gym (4.7 +/- 0.89 MUg m(
3)), unfolding a PM10 mass fraction of 2.7 %. Total carbon accounted for almost
30 % of PM10 in both indoor spaces. Aerosol size distributions were bimodal and
revealed a clear dependence on physical activities and characteristics of the
sports facilities. The use of climbing chalk in the gymnasium contributed
significantly to the coarse mode. The average geometric mean diameter, geometric
standard deviation and total number of coarse particles were 0.77 MUm, 2.79 cm(
3) and 28 cm(-3), respectively.
PMID- 24938816
TI - Relationship between geographical origin and contents of Pb, Cd, and Cr in honey
samples from the state of Parana (Brazil) with chemometric approach.
AB - The aim of this study was to determine the trace elements, Pb, Cd, and Cr in
honey samples from eight different regions from the state of Parana (Brazil),
using slurry sampling graphite furnace atomic absorption spectrometry.
Chemometric analysis (principal component analysis (PCA)) was applied to classify
honey samples according to their levels of the trace elements Pb, Cd, and Cr,
which is also related to the geographical origin of honey samples. The mean
concentration for the elements followed the order Pb > Cr > > Cd. The mean values
were 200 +/- 76, 88 +/- 14, and 4.1 +/- 4 ng g(-1) for Pb, Cr, and Cd,
respectively. It could be verified that honey samples are geographically
separated, especially with regard to Pb and Cd contents. Thus, honey can be
considered a bioindicator of environmental contamination, suggesting possible
contamination in soil, water, and air. This contamination can be related to
natural or anthropogenic sources present in the study regions.
PMID- 24938815
TI - Evaluation of antibiotic mobility in soil associated with swine-slurry soil
amendment under cropping conditions.
AB - Interest in identifying pools of antibacterial-resistance genes has grown over
the last decade, with veterinary antibiotics (VAs) receiving particular
attention. In this paper, a mesoscale study aimed at evaluating the vertical
transport of common VAs-namely, fluoroquinolones, tetracyclines, sulfonamides,
and lincosamides in agricultural soil subjected to drip irrigation-was performed
under greenhouse conditions. Accordingly, leachates of cropped and uncropped
soil, amended with swine-slurry leading to 19-38 MUg kg(-1) (dry mass)
antibiotics in the soil, were analyzed over the course of the productive cycle of
a lettuce (42 days) with three sampling campaigns (N = 24). High lincomycin (LCM)
concentrations (30-39 MUg L(-1)) were detected in the leachates collected from
the swine-slurry-amended soil. The highest LCM mass recovered in the leachates
(30.1 +/- 1.63 %) was obtained from cropped experimental units. In addition, the
LCM leaching constant and its leaching potential as obtained from the first-order
model were higher in the leachates from the cropped experimental units. Lower
concentrations of sulfadimethoxine were also detected in leachates and in soil.
Enrofloxacin and oxytetracycline occurred only in soil, which is consistent with
high soil interaction.
PMID- 24938817
TI - Ecotoxicological effects on the earthworm Eisenia fetida following exposure to
soil contaminated with imidacloprid.
AB - Imidacloprid, a neonicotinoid insecticide, has been used widely in agriculture
worldwide. The adverse effects of imidacloprid on exposed biota have brought it
increasing attention. However, knowledge about the effects of imidacloprid on
antioxidant defense systems and digestive systems in the earthworm is vague and
not comprehensive. In the present study, the changes in the activity of
superoxide dismutase (SOD), catalase (CAT), peroxidase (POD), cellulase, reactive
oxygen species (ROS), and malondialdehyde (MDA) in the earthworm Eisenia fetida
exposed to artificial soil treated with imidacloprid were examined
systematically. The results showed that the activity of these biomarkers was
closely related to the dose and duration of the exposure to imidacloprid. The
activity of SOD was stimulated significantly at doses of 0.66 and 2 mg kg(-1)
imidacloprid but markedly inhibited at a dose of 4 mg kg(-1) imidacloprid with
prolonged exposure. The activities of CAT and POD increased irregularly at 0.2-4
mg kg(-1) imidacloprid over different exposure times. The level of ROS at a dose
of 2 or 4 mg kg(-1) imidacloprid was significantly increased over the entire
exposure period. When the concentration of imidacloprid was above 0.66 mg kg(-1),
the balance of the activity of the antioxidant enzymes and ROS level was
interrupted. The activity of cellulase decreased significantly with prolonged
exposure. At the stress of 4 mg kg(-1) imidacloprid, the content of MDA was
significantly increased with increasing exposure time. The results of the present
study suggest that imidacloprid has a potentially harmful effect on E. fetida and
may be helpful for assessment of the risk of imidacloprid to the soil ecosystem
environment. However, to obtain more comprehensive toxicity data, it is necessary
to investigate the effects of imidacloprid on earthworm using native soils in the
future work.
PMID- 24938818
TI - Concentration and composition variations of metals in the outdoor PM10 of
elementary schools during river dust episodes.
AB - Aeolian river dust can seriously affect the air quality in central Taiwan. The
main purpose of this study was to assess the concentration variations of PM10 and
metals at different elementary schools during river dust episodes. River dust
samples were taken from eight sites in the main bare soil areas of the Choshui
River. PM10 aerosols from four elementary schools in Yulin County were collected
by means of high-volume samplers. Fifteen elements (Fe, Al, Ca, Mg, Mn, Zn, Ti,
Ni, V, Cr, As, Pb, Cu, Co, and Cd) in the river dust and PM10 were analyzed in
this study. The coefficients of divergence (CDs) were obtained by comparing the
metal compositions in PM10 aerosols at the four schools on the sampling days with
the mean metal contents in the river soil samples as reference. The CD values
showed that metal compositions in the aerosols at high-exposure sites during
river dust episodes were similar to those compositions in the river dust. The
concentrations of PM10 at the high-exposure schools during river dust episodes
were much higher than those during non-river-dust episodes. This study also
indicated that at the high-exposure sites, both the PM10 and metal concentrations
were higher than at the low-exposure and control sites, not only during the river
dust episodes, but also after the river dust episodes. The concentrations of
toxic metals (Ni, Cr, As, and Cd) at the high-exposure sites were about 11.3
times higher during the river dust episodes (189 ng/m(3)) than during non-river
dust episodes (16.7 ng/m(3)) and about 8.9 times higher during the same periods
at the control site (21.3 ng/m(3)).
PMID- 24938820
TI - Identification of heat-related ESTs in moth bean through suppression subtraction
hybridization.
AB - Moth bean (Vigna aconitifolia (Jacq.) Marechal), an important grain-legume crop
grown in hot desert regions of Thar, under scorching sun rays, was investigated
for heat tolerance at molecular level. In the present study, we constructed a
forward suppression subtractive hybridization (SSH) cDNA library of heat tolerant
genotype RMO-40 to identify genes expressing under delayed response to elevated
temperature. Heat induction was carried out by exposing 14-day-old seedlings to
elevated temperature of 42 degrees C for 30 min. A total of 125 unigenes (33
contigs and 92 singletons) were derived by cluster assembly and sequence
alignment of 200 ESTs; out of 125 unigenes, 21 (16 %) were found to be novel to
moth bean. Gene ontology functional classification terms were retrieved for 98
(78.4 %) unigenes of which 73 (58.4 %) ESTs were functionally annotated (GO
consensus) where 19 unigenes were annotated with 11 enzyme commission (EC) codes
and were mapped to 25 different KEGG pathways. We have identified a majority of
heat-shock proteins (constituting 35 % of the present library) aiding heat stress
tolerance to moth bean. An expression level of 22 ESTs generated from the above
SSH cDNA library was studied through semiquantitative RT-PCR assay simultaneously
under 5 and 30 min of heat stress at 42 degrees C.
PMID- 24938819
TI - A review of the direct and indirect effects of neonicotinoids and fipronil on
vertebrate wildlife.
AB - Concerns over the role of pesticides affecting vertebrate wildlife populations
have recently focussed on systemic products which exert broad-spectrum toxicity.
Given that the neonicotinoids have become the fastest-growing class of
insecticides globally, we review here 150 studies of their direct (toxic) and
indirect (e.g. food chain) effects on vertebrate wildlife--mammals, birds, fish,
amphibians and reptiles. We focus on two neonicotinoids, imidacloprid and
clothianidin, and a third insecticide, fipronil, which also acts in the same
systemic manner. Imidacloprid and fipronil were found to be toxic to many birds
and most fish, respectively. All three insecticides exert sub-lethal effects,
ranging from genotoxic and cytotoxic effects, and impaired immune function, to
reduced growth and reproductive success, often at concentrations well below those
associated with mortality. Use of imidacloprid and clothianidin as seed
treatments on some crops poses risks to small birds, and ingestion of even a few
treated seeds could cause mortality or reproductive impairment to sensitive bird
species. In contrast, environmental concentrations of imidacloprid and
clothianidin appear to be at levels below those which will cause mortality to
freshwater vertebrates, although sub-lethal effects may occur. Some recorded
environmental concentrations of fipronil, however, may be sufficiently high to
harm fish. Indirect effects are rarely considered in risk assessment processes
and there is a paucity of data, despite the potential to exert population-level
effects. Our research revealed two field case studies of indirect effects. In
one, reductions in invertebrate prey from both imidacloprid and fipronil uses led
to impaired growth in a fish species, and in another, reductions in populations
in two lizard species were linked to effects of fipronil on termite prey.
Evidence presented here suggests that the systemic insecticides, neonicotinoids
and fipronil, are capable of exerting direct and indirect effects on terrestrial
and aquatic vertebrate wildlife, thus warranting further review of their
environmental safety.
PMID- 24938822
TI - Oxygen insertion reactions of mixed N-heterocyclic carbene-oxazolinylborato zinc
alkyl complexes.
AB - We report the synthesis of a new mixed oxazoline-carbene scorpionate ligand,
bis(4,4-dimethyl-2-oxazolinyl)(1-mesitylimidazolyl)phenylborate
(PhB(Ox(Me2))2Im(Mes)). Reactions of the protonated form PhB(Ox(Me2))2(Im(Mes)H)
with dialkylzinc compounds provide four-coordinate zinc alkyl complexes, and X
ray diffraction studies of the {PhB(Ox(Me2))2Im(Mes)}ZnR (R = Me, Et) compounds
show significant structural distortions involving the R groups shifting away from
the carbene donor. The reaction of {PhB(Ox(Me2))2Im(Mes)}ZnEt (3) and O2 provides
an isolable mononuclear zinc alkylperoxide {PhB(Ox(Me2))2Im(Mes)}ZnOOEt (4),
which has been characterized by single crystal X-ray diffraction and (17)O NMR
spectroscopy.
PMID- 24938821
TI - Undariase, a direct-acting fibrin(ogen)olytic enzyme from Undaria pinnatifida,
inhibits thrombosis in vivo and exhibits in vitro thrombolytic properties.
AB - A direct-acting fibrinolytic serine protease named undariase possessing
anticoagulant and antiplatelet properties was purified from Undaria pinnatifida.
Undariase showed a molecular weight of 50 kDa by sodium dodecyl sulfate
polyacrylamide gel electrophoresis (SDS-PAGE) and mass spectrometry. It displayed
a strong fibrin zymogram lysis band corresponding to the same molecular mass. The
N-terminal sequence of undariase, LTATTCEELAAAPTD, does not match with any known
fibrinolytic enzyme. The enzyme was stable and active at high temperatures (35-70
degrees C). The fibrinolytic activity of undariase was strongly inhibited by
phenylmethylsulfonyl fluoride (PMSF) and 4-(amidinophenyl) methanesulfonyl
fluoride (APMSF). The K m and V max values for substrate S-2251 were determined
as 6.15 mM and 90.91 mM/min/ml, respectively. Undariase resulted in clot lysis by
directly cleaving alpha and beta chains of fibrin. Similarly, it preferentially
acted on the Aalpha chain of fibrinogen followed by cleavage of the Bbeta chain.
It significantly prolonged the PFA-100 closure times of citrated whole human
blood. In addition, undariase delayed the coagulation time and increased
activated partial thromboplastin time (APTT), prothrombin time (PT), and thrombin
time (TT). Undariase exerted a significant protective effect against collagen
plus epinephrine-induced pulmonary thromboembolism in mice. It prevented
carrageenan-induced thrombus formation in the tail of mice. It also resulted in
prolongation of APTT ex vivo. In conclusion, these results suggested a
therapeutic potential of undariase for thrombosis.
PMID- 24938823
TI - Risk factors associated with severe and recurrent angioedema: an epidemic linked
to ACE-inhibitors.
AB - OBJECTIVES/HYPOTHESIS: To evaluate the etiology and risk factors for severe
manifestation and recurrent episodes of angioedema; to evaluate efficacy of short
term and long-term management strategies for angioedema among a high-risk
population. STUDY DESIGN: Institutional review board-approved retrospective
review of a large, urban population. METHODS: Data from 875 adult patients
treated from January 2008 to December 2013 with the diagnosis of angioedema were
obtained using the Clinical Looking Glass utility and review of medical records.
Demographic and clinicopathologic risk factors were recorded. The major outcomes
evaluated were hospital admission, need for airway intervention, and recurrent
episodes of angioedema following the first presentation. Initial treatment
strategy and follow-up recommendations were also recorded. RESULTS: The most
common cause of angioedema was angiotensin converting enzyme inhibitor (ACEi)
induced (496 [56.6%]). Significant risk factors for severe cases of angioedema
included older age, Hispanic race, ACEi-induced angioedema type, American Society
of Anesthesiologists class III or above, coexistent cardiopulmonary disease, and
a positive smoking history. A total of 17.2% of patients experienced recurrent
attacks of angioedema; of those patients, 25.9% were still taking an ACEi at
subsequent presentation. Risk factors for recurrent episodes included older age,
idiopathic angioedema type, and coexistent cardiopulmonary disease. Only 54.1% of
patients who experienced ACEi-induced angioedema had electronic medical record
documentation of these allergies. CONCLUSIONS: Knowledge of risk factors for
severe and recurrent episodes of angioedema and improved education for both
healthcare providers and patients, specifically related to ACEi use and allergy
documentation, may significantly decrease the burden and morbidity of angioedema
among high risk populations. LEVEL OF EVIDENCE: 2b.
PMID- 24938824
TI - Early referral to specialist nephrology services for preventing the progression
to end-stage kidney disease.
AB - BACKGROUND: Early referral of patients with chronic kidney disease (CKD) is
believed to help with interventions to address risk factors to slow down the rate
of progression of kidney failure to end-stage kidney disease (ESKD) and the need
for dialysis, hospitalisation and mortality. OBJECTIVES: We sought to evaluate
the benefits (reduced hospitalisation and mortality; increased quality of life)
and harms (increased hospitalisations and mortality, decreased quality of life)
of early versus late referral to specialist nephrology services in CKD patients
who are progressing to ESKD and RRT. In this review, referral is defined as the
time period between first nephrology evaluation and initiation of dialysis; early
referral is more than one to six months, whereas late referral is less than one
to six months prior to starting dialysis. All-cause mortality and hospitalisation
and quality of life were measured by the visual analogue scale and SF-36. SF-36
and KDQoL are validated measurement instruments for kidney diseases. SEARCH
METHODS: We searched the Cochrane Central Register of Controlled Trials (CENTRAL)
(The Cochrane Library, 2012; Issue 1) which contains the Cochrane Renal Group's
Specialised Register; MEDLINE (1966 to February 2012), EMBASE (1980 to February
2012). Search terms were approved by the Trial Search Co-ordinator. SELECTION
CRITERIA: Randomised controlled trials (RCTs), quasi-RCTs, prospective and
retrospective longitudinal cohort studies were eligible for inclusion. DATA
COLLECTION AND ANALYSIS: Two authors independently assessed study quality and
extracted data. Events relating to adverse effects were collected from the
studies. MAIN RESULTS: No RCTs or quasi-RCTs were identified. There were 40
longitudinal cohort studies providing data on 63,887 participants; 43,209 (68%)
who were referred early and 20,678 (32%) referred late.Comparative mortality was
higher in patients referred to specialist services late versus those referred
early. Risk ratios (RR) for mortality reductions in patients referred early were
evident at three months (RR 0.61, 95% CI 0.55 to 0.67; I2 = 84%) and remained at
five years (RR 0.66, 95% CI 0.60 to 0.71; I2 = 87%). Initial hospitalisation was
9.12 days shorter with early referral (95% CI -10.92 to -7.32 days; I2 = 82%)
compared to late referral. Pooled analysis showed patients referred early were
more likely than late referrals to initiate RRT with peritoneal dialysis (RR
1.74, 95% CI 1.64 to 1.84; I2 = 92%).Patients referred early were less likely to
receive temporary vascular access (RR 0.47, 95% CL 0.45 to 0.50; I2 = 97%) than
those referred late. Patients referred early were more likely to receive
permanent vascular access (RR 3.22, 95% CI 2.92 to 3.55; I2 = 97%). Systolic
blood pressure (BP) was significantly lower in early versus late referrals (MD
3.09 mm Hg, 95% CI -5.23 to -0.95; I2 = 85%); diastolic BP was significantly
lower in early versus late referrals (MD -1.64 mm Hg, 95% CI -2.77 to -0.51; I2 =
82%). EPO use was significantly higher in those referred early (RR 2.92, 95% CI
2.42 to 3.52; I2 = 0%). eGFR was higher in early referrals (MD 0.42 mL/min/1.73
m2, 95% CI 0.28 to 0.56; I2 = 95%). Diabetes prevalence was similar in patients
referred early and late (RR 1.05, 95% CI 0.96 to 1.15; I2 = 87%) as was ischaemic
heart disease (RR 1.05, 95% CI 0.97 to 1.13; I2 = 74%), peripheral vascular
disease (RR 0.99, 95% CI 0.84 to 1.17; I2 = 90%), and congestive heart failure
(RR 1.00, 95% CI 0.86 to 1.15; I2 = 92%). Inability to walk was less prevalent in
early referrals (RR 0.66, 95% CI 0.51 to 0.86). Prevalence of chronic obstructive
pulmonary disease was similar in those referred early and late (RR 0.89, 95% CI
0.70 to 1.14; I2 = 94%) as was cerebrovascular disease (RR 0.90, 95% CI 0.74 to
1.11; I2 = 83%).The quality of the included studies was assessed as being low to
moderate based on the Newcastle-Ottawa Scale. Slight differences in the
definition of early versus late referral infer some risk of bias. Generally,
heterogeneity in most of the analyses was high. AUTHORS' CONCLUSIONS: Our
analysis showed reduced mortality and mortality and hospitalisation, better
uptake of peritoneal dialysis and earlier placement of arteriovenous fistulae for
patients with chronic kidney disease who were referred early to a nephrologist.
Differences in mortality and hospitalisation data between the two groups were not
explained by differences in prevalence of comorbid disease or serum phosphate.
However, early referral was associated with better preparation and placement of
dialysis access.
PMID- 24938825
TI - Lived experiences of street-based female sex workers in Kathmandu: implications
for health intervention strategies.
AB - The lived experiences of women sex workers illustrate that sex work is frequently
a manifestation of limited access to education, resources and jobs due to
violence, oppression and patriarchy. However, some Nepalese sex workers
reconstitute sex work as a viable form of work that provides food and shelter for
their families and allows fulfillment of their duties as mothers. Through a
culture-centred approach to research, which emphasis the voices of the
marginalised and their own articulations of how marginalised spaces are
negotiated, this paper offers an entry point to locating sex workers as active
participants in their day-to-day lives. Thirty-five in-depth, semi-structured
interviews were conducted with street-based female sex workers. Thematic analysis
revealed the following three themes: (1) surviving through sex work, (2)
financial security in sex work and (3) surviving sex work stigma. These findings
have implications for health promotion involving members of this population.
Lived experiences illustrate the need to move away from traditional, top-down,
linear behaviour-change health campaigns to reconstitute health interventions
within a participatory bottom-up approach that includes the voices of
participants and is situated within their own context and needs.
PMID- 24938826
TI - Intestinal helminths of golden jackals and red foxes from Tunisia.
AB - Forty wild canids including 31 golden jackals (Canis aureus Linne, 1758) and 9
red foxes (Vulpes vulpes Linne, 1758) collected between 2008 and 2011 in the
northeast, northwest and center of Tunisia were necropsied and examined for
intestinal helminth parasites. All jackals and foxes were found infected with a
prevalence rate of 95% for cestodes, 82.5% for nematodes and 7.5% for
acanthocephalans. A total of twelve helminth species were recorded in red foxes:
cestodes, Dipylidium caninum (55.6%), Diplopylidium noelleri (55.6%),
Mesocestoides lineatus (55.6%), Mesocestoides litteratus (33%), Mesocestoides
corti (22%); nematodes, Ancylostoma caninum (11%), Uncinaria stenocephala (44%),
Spirura rytipleurites (11%), Trichuris vulpis (33%), Pterygodermatites affinis
(67%), Oxynema linstowi (33%) and the acanthocephalan Macracanthorhynchus
hirudinaceus (22%). The fifteen recovered helminth species in jackals were
Echinococcus granulosus (9.7%), D. caninum (16%), D. noelleri (16%), M. lineatus
(74%), M. litteratus (23%), M. corti (12.9%), Taenia pisiformis (3.2%), Taenia
spp. (19%), Toxocara canis (16%), Toxascaris leonina (6.5%), A. caninum (9.7%),
U. stenocephala (68%), P. affinis (6.5%), O. linstowi (3.2%) and
Macracanthorhynchus hirudinaceus (3.2%). This is the first report on the presence
of P. affinis, D. noelleri and O. linstowi in Tunisia. E. granulosus was found in
young jackals, aged less than 4 years old, with a higher abundance in females
(8.9 worms). M. lineatus presented the highest mean intensity of 231.86 and 108.8
tapeworms respectively in jackals and foxes. Canids from the northwest region had
the highest prevalence (77.5%) and highest intensity (243.7) of helminth species
compared to those from the northeast and central areas. U. stenocephala and O.
linstowi had the highest mean intensity for nematodes in both jackals and foxes
at 14.3 and 88 worms respectively.
PMID- 24938827
TI - Evaluation of the inhibitory effects of miltefosine on the growth of Babesia and
Theileria parasites.
AB - Miltefosine, a membrane-active synthetic ether-lipid analogue, has
antiproliferative and antiparasitic effects. In this study, the inhibitory
effects of miltefosine were evaluated against three Babesia species and Theileria
equi in vitro and against Babesia microti in mice. The drug showed significant
growth inhibition from an initial parasitemia of 1% for Babesia bovis, Babesia
bigemina, Babesia caballi, and T. equi with IC50 values of 25, 10.2, 10.4, and 99
MUM, respectively. Complete inhibition was observed at 200 MUM of miltefosine on
the third day of culture for the three Babesia species and 400 MUM on the fourth
day for T. equi. Reverse-transcription PCR (RT-PCR) showed that miltefosine
inhibited the transcription of choline-phosphate cytidylyltransferase in B.
bovis. Miltefosine at a dose rate of 30 mg/kg resulted in a 71.7% inhibition of
B. microti growth in BALB/c mice. Miltefosine might be used for drug therapy in
babesiosis.
PMID- 24938828
TI - Consumption of fig fruits grown in Oman can improve memory, anxiety, and learning
skills in a transgenic mice model of Alzheimer's disease.
AB - Alzheimer disease (AD) is one of the most common forms of dementia in the
elderly. Several reports have suggested neurotoxic effects of amyloid beta
protein (Abeta) and role of oxidative stress in AD. Figs are rich in fiber,
copper, iron, manganese, magnesium, potassium, calcium, vitamin K, and are a good
source of proanthocyanidins and quercetin which demonstrate potent antioxidant
properties. We studied the effect of dietary supplementation with 4% figs grown
in Oman on the memory, anxiety, and learning skills in APPsw/Tg2576 (Tg mice)
mice model for AD. We assessed spatial memory and learning ability, psychomotor
coordination, and anxiety-related behavior in Tg and wild-type mice at the age of
4 months and after 15 months using the Morris water maze test, rota-rod test,
elevated plus maze test, and open-field test. Tg mice that were fed a control
diet without figs showed significant memory deficits, increased anxiety-related
behavior, and severe impairment in spatial, position discrimination learning
ability, and motor coordination compared to the wild-type control mice on the
same diet, and Tg mice fed on 4% fig diet supplementation for 15 months. Our
results suggest that dietary supplementation of figs may be useful for the
improvement of cognitive and behavioral deficits in AD.
PMID- 24938829
TI - Doctors should consult patients before imposing non-resuscitation notices unless
it would cause harm, Court of Appeal rules.
PMID- 24938830
TI - Quantitative susceptibility mapping using single-shot echo-planar imaging.
AB - PURPOSE: To perform quantitative susceptibility mapping (QSM) in negligible
acquisition time and apply it to measuring iron-rich subcortical gray matter.
METHODS: Whole brain QSM was performed using single-shot gradient echo-planar
imaging (EPI) in under 7 seconds on a standard 1.5 T system for imaging brain
iron in subcortical gray matter. The method was compared to a standard 6-minute
gradient recalled echo (GRE) QSM acquisition in healthy subjects. Region-of
interest QSM measurements were compared between methods in six subcortical gray
matter nuclei and two white matter territories. RESULTS: EPI-QSM provided similar
mean susceptibility values to standard GRE-QSM in iron-rich subcortical gray
matter regions, while providing greater than 50-fold scan time reduction.
Blurring from the low spatial resolution and transverse relaxation decay of EPI
affected edges but had negligible effect on whole subcortical nuclei
measurements, which had a high correlation (R(2) = 0.96) to estimated iron
content. CONCLUSION: EPI-QSM can be performed in several seconds, which enables
expansion of brain iron studies of subcortical gray matter to cases where time is
limited and to existing MRI studies that already uses gradient echo EPI.
PMID- 24938831
TI - A familial late-onset hereditary ataxia mimicking pontocerebellar hypoplasia
caused by a novel TSEN54 mutation.
AB - Pontocerebellar hypoplasia (PCH) comprises a rare group of neurodegenerative
disorders with variable symptoms of cerebellar ataxia. Several gene mutations
have been reported to be associated with different presentations of PCH. In the
present study, an extended familial case of late-onset hereditary ataxia
mimicking PCH in respect of clinical manifestation, neuroradiological findings
and genetic analysis is described. By means of direct sequencing, a novel
heterozygous mutation was found in the TSEN54 gene by c.254A > T(+) (p.E85V),
which may be a new subtype of hereditary ataxia. However, this subtype was shown
to exhibit late onset, differing from PCH with prenatal onset and predominantly
affecting the growth of neurons.
PMID- 24938832
TI - Determinants of change in subtropical tree diameter growth with ontogenetic
stage.
AB - We evaluated the degree to which relative growth rate (RGR) of saplings and large
trees is related to seven functional traits that describe physiological behavior
and soil environmental factors related to topography and fertility for 57
subtropical tree species in Dinghushan, China. The mean values of functional
traits and soil environmental factors for each species that were related to RGR
varied with ontogenetic stage. Sapling RGR showed greater relationships with
functional traits than large-tree RGR, whereas large-tree RGR was more associated
with soil environment than was sapling RGR. The strongest single predictors of
RGR were wood density for saplings and slope aspect for large trees. The stepwise
regression model for large trees accounted for a larger proportion of variability
(R(2) = 0.95) in RGR than the model for saplings (R(2) = 0.55). Functional
diversity analysis revealed that the process of habitat filtering likely
contributes to the substantial changes in regulation of RGR as communities
transition from saplings to large trees.
PMID- 24938833
TI - Litter identity mediates predator impacts on the functioning of an aquatic
detritus-based food web.
AB - During past decades, several mechanisms such as resource quality and habitat
complexity have been proposed to explain variations in the strength of trophic
cascades across ecosystems. In detritus-based headwater streams, litter
accumulations constitute both a habitat and a resource for detritivorous
macroinvertebrates. Because litter edibility (which promotes trophic cascades) is
usually inversely correlated with its structural complexity (which weakens
trophic cascades), there is a great scope for stronger trophic cascades in litter
accumulations that are dominated by easily degradable litter species. However, it
remains unclear how mixing contrasting litter species (conferring both habitat
complexity and high quality resource) may influence top-down controls on
communities and processes. In enclosures exposed in a second-order stream, we
manipulated litter species composition by using two contrasting litter (alder and
oak), and the presence-absence of a macroinvertebrate predator (Cordulegaster
boltonii larvae), enabling it to effectively exert predation pressure, or not, on
detritivores (consumptive versus non-consumptive predation effects). Leaf mass
loss, detritivore biomass and community structure were mostly controlled
independently by litter identity and mixing and by predator consumption. However,
the strength of predator control was mediated by litter quality (stronger on
alder), and to a lesser extent by litter mixing (weaker on mixed litter).
Refractory litter such as oak leaves may contribute to the structural complexity
of the habitat for stream macroinvertebrates, allowing the maintenance of
detritivore communities even when strong predation pressure occurs. We suggest
that considering the interaction between top-down and bottom-up factors is
important when investigating their influence on natural communities and ecosystem
processes in detritus-based ecosystems.
PMID- 24938834
TI - Ecological consequences of the expansion of N2-fixing plants in cold biomes.
AB - Research in warm-climate biomes has shown that invasion by symbiotic dinitrogen
(N2)-fixing plants can transform ecosystems in ways analogous to the
transformations observed as a consequence of anthropogenic, atmospheric nitrogen
(N) deposition: declines in biodiversity, soil acidification, and alterations to
carbon and nutrient cycling, including increased N losses through nitrate
leaching and emissions of the powerful greenhouse gas nitrous oxide (N2O). Here,
we used literature review and case study approaches to assess the evidence for
similar transformations in cold-climate ecosystems of the boreal, subarctic and
upper montane-temperate life zones. Our assessment focuses on the plant genera
Lupinus and Alnus, which have become invasive largely as a consequence of
deliberate introductions and/or reduced land management. These cold biomes are
commonly located in remote areas with low anthropogenic N inputs, and the
environmental impacts of N2-fixer invasion appear to be as severe as those from
anthropogenic N deposition in highly N polluted areas. Hence, inputs of N from N2
fixation can affect ecosystems as dramatically or even more strongly than N
inputs from atmospheric deposition, and biomes in cold climates represent no
exception with regard to the risk of being invaded by N2-fixing species. In
particular, the cold biomes studied here show both a strong potential to be
transformed by N2-fixing plants and a rapid subsequent saturation in the
ecosystem's capacity to retain N. Therefore, analogous to increases in N
deposition, N2-fixing plant invasions must be deemed significant threats to
biodiversity and to environmental quality.
PMID- 24938835
TI - Tissue-specific metabolite profiling of Cyperus rotundus L. rhizomes and (+)
nootkatone quantitation by laser microdissection, ultra-high-performance liquid
chromatography-quadrupole time-of-flight mass spectrometry, and gas
chromatography-mass spectrometry techniques.
AB - Cyperus rotundus L. is a plant species commonly found in both India and China.
The caused destruction of this plant is of critical concern for agricultural
produce. Nevertheless, it can serve as a potential source of the commercially
important sesquiterpenoid (+)-nootkatone. The present work describes comparative
metabolite profiling and (+)-nootkatone content determination in rhizome samples
collected from these two countries. Laser dissected tissues, namely, the cortex,
hypodermal fiber bundles, endodermis, amphivasal vascular bundles, and whole
rhizomes were analyzed by ultra-high-performance liquid chromatography-quadrupole
time-of-flight mass spectrometry (UHPLC-QTOF MS). Gas chromatography-mass
spectrometry (GC-MS) analysis was used for profiling of essential oil
constituents and quantitation of (+)-nootkatone. The content of (+)-nootkatone
was found to be higher in samples from India (30.47 MUg/10 g) compared to samples
from China (21.72 MUg/10 g). The method was validated as per International
Conference on Harmonisation (ICH) guidelines (Q2 R1). The results from this study
can be applied for quality control and efficient utilization of this terpenoid
rich plant for several applications in food-based industries.
PMID- 24938836
TI - Memantine enhances recovery from stroke.
AB - BACKGROUND AND PURPOSE: Stroke treatment is constrained by limited treatment
windows and the clinical inefficacy of agents that showed preclinical promise.
Yet animal and clinical data suggest considerable poststroke plasticity, which
could allow treatment with recovery-modulating agents. Memantine is a well
tolerated N-methyl-D-aspartate glutamate receptor antagonist in common use for
Alzheimer disease. METHODS: Memantine, 30 mg/kg per day, or vehicle, was
delivered chronically in drinking water beginning >2 hours after photothrombotic
stroke. RESULTS: Although there was no difference in infarct size, behavior, or
optical intrinsic signal maps in the first 7 days after stroke, mice treated
chronically with memantine showed significant improvements in motor control,
measured by cylinder test and grid-walking performance, compared with vehicle
treated animals. Optical intrinsic signal revealed an increased area of forepaw
sensory maps at 28 days after stroke. There was decreased reactive astrogliosis
and increased vascular density around the infarcted cortex. Peri-infarct Western
blots revealed increased brain-derived neurotrophic factor and phosphorylated
tropomyosin-related kinase-B receptor expression. CONCLUSIONS: Our results
suggest that memantine improves stroke outcomes in an apparently non
neuroprotective manner involving increased brain-derived neurotrophic factor
signaling, reduced reactive astrogliosis, and improved vascularization,
associated with improved recovery of sensory and motor cortical function. The
clinical availability and tolerability of memantine make it an attractive
candidate for clinical translation.
PMID- 24938837
TI - Modulation of mitochondrial function and autophagy mediates carnosine
neuroprotection against ischemic brain damage.
AB - BACKGROUND AND PURPOSE: Despite the rapidly increasing global burden of ischemic
stroke, no therapeutic options for neuroprotection against stroke currently
exist. Recent studies have shown that autophagy plays a key role in ischemic
neuronal death, and treatments that target autophagy may represent a novel
strategy in neuroprotection. We investigated whether autophagy is regulated by
carnosine, an endogenous pleiotropic dipeptide that has robust neuroprotective
activity against ischemic brain damage. METHODS: We examined the effect of
carnosine on mitochondrial dysfunction and autophagic processes in rat focal
ischemia and in neuronal cultures. RESULTS: Autophagic pathways such as reduction
of phosphorylated mammalian target of rapamycin (mTOR)/p70S6K and the conversion
of microtubule-associated protein 1 light chain 3 (LC3)-I to LC3-II were enhanced
in the ischemic brain. However, treatment with carnosine significantly attenuated
autophagic signaling in the ischemic brain, with improvement of brain
mitochondrial function and mitophagy signaling. The protective effect of
carnosine against autophagy was also confirmed in primary cortical neurons.
CONCLUSIONS: Taken together, our data suggest that the neuroprotective effect of
carnosine is at least partially mediated by mitochondrial protection and
attenuation of deleterious autophagic processes. Our findings shed new light on
the mechanistic pathways that this exciting neuroprotective agent influences.
PMID- 24938838
TI - Reprogramming the response to stroke by preconditioning.
PMID- 24938840
TI - Visualization of local changes in vessel wall morphology and plaque progression
in serial carotid artery magnetic resonance imaging.
PMID- 24938841
TI - Impact of carotid atherosclerosis on the risk of adverse cardiac events in
patients with and without coronary disease.
AB - BACKGROUND AND PURPOSE: Carotid atherosclerosis (CA) is reportedly a strong
predictor of imminent cardiac events even in the absence of established coronary
artery disease (CAD). We examined the differential impact of CA on the risk of
major adverse cardiovascular events in patients with and without CAD diagnosed
angiographically. METHODS: We conducted a follow-up survey of 1391 patients who
underwent clinically driven coronary angiography and a same-day carotid
ultrasound and Doppler study. Definitions of CAD, CA, and carotid artery stenosis
were in accordance with current practice guidelines. RESULTS: Of 1391 patients,
angiographic CAD was present in 1105 (79%) patients. Mean and median follow-up
was 1574 and 1702 days, respectively. Rates of the primary composite major
adverse cardiovascular event end point were higher among patients with CAD
compared with those without CAD (48% versus 20%; P<0.001), whereas the rates of
all-cause mortality (10% versus 9%; P=0.81) and stroke (7% versus 5%; P=0.3) did
not differ significantly between both groups. Carotid artery stenosis and CA were
associated with an increased risk of the composite major adverse cardiovascular
event end point among patients without CAD (hazard ratio=3.17 [95% confidence
interval, 1.52-6.60]; P<0.01; and hazard ratio=1.69 [0.95-3.01]; P=0.07,
respectively) though not in patients with CAD. Carotid artery stenosis was
associated with an increased risk of all-cause mortality among patients without
CAD (hazard ratio=2.93 [1.09-7.87]; P=0.03]) though not among those with CAD.
CONCLUSIONS: CA and carotid artery stenosis are independent predictors of major
adverse cardiovascular event in patients undergoing coronary angiography. The
prognostic implications of carotid disease are imparted predominantly in patients
without pre-existent CAD.
PMID- 24938842
TI - Prehospital utility of rapid stroke evaluation using in-ambulance telemedicine: a
pilot feasibility study.
AB - BACKGROUND AND PURPOSE: Prehospital evaluation using telemedicine may accelerate
acute stroke treatment with tissue-type plasminogen activator. We explored the
feasibility and reliability of using telemedicine in the field and ambulance to
help evaluate acute stroke patients. METHODS: Ten unique, scripted stroke
scenarios, each conducted 4 times, were portrayed by trained actors retrieved and
transported by Houston Fire Department emergency medical technicians to our
stroke center. The vascular neurologists performed remote assessments in real
time, obtaining clinical data points and National Institutes of Health (NIH)
Stroke Scale, using the In-Touch RP-Xpress telemedicine device. Each scripted
scenario was recorded for a subsequent evaluation by a second blinded vascular
neurologist. Study feasibility was defined by the ability to conduct 80% of the
sessions without major technological limitations. Reliability of video
interpretation was defined by a 90% concordance between the data derived during
the real-time sessions and those from the scripted scenarios. RESULTS: In 34 of
40 (85%) scenarios, the teleconsultation was conducted without major technical
complication. The absolute agreement for intraclass correlation was 0.997 (95%
confidence interval, 0.992-0.999) for the NIH Stroke Scale obtained during the
real-time sessions and 0.993 (95% confidence interval, 0.975-0.999) for the
recorded sessions. Inter-rater agreement using kappa-statistics showed that for
live-raters, 10 of 15 items on the NIH Stroke Scale showed excellent agreement
and 5 of 15 showed moderate agreement. Matching of real-time assessments occurred
for 88% (30/34) of NIH Stroke Scale scores by +/-2 points and 96% of the clinical
information. CONCLUSIONS: Mobile telemedicine is reliable and feasible in
assessing actors simulating acute stroke in the prehospital setting.
PMID- 24938843
TI - Diagnostic yield of pelvic magnetic resonance venography in patients with
cryptogenic stroke and patent foramen ovale.
AB - BACKGROUND AND PURPOSE: Paradoxical embolization is frequently posited as a
mechanism of ischemic stroke in patients with patent foramen ovale. Several
studies have suggested that the deep lower extremity and pelvic veins might be an
embolic source in cryptogenic stroke (CS) patients with patent foramen ovale.
METHODS: Consecutive adult patients with ischemic stroke or transient ischemic
attack and a patent foramen ovale who underwent pelvic magnetic resonance
venography as part of an inpatient diagnostic evaluation were included in this
single-center retrospective observational study to determine pelvic and lower
extremity (LE) deep venous thrombosis (DVT) prevalence in CS versus non-CS stroke
subtypes. RESULTS: Of 131 patients who met inclusion criteria, 126 (96.2%) also
had LE duplex ultrasound data. DVT prevalence overall was 7.6% (95% confidence
interval, 4.1-13.6), pelvic DVT 1.5% (95% confidence interval, 0.1-5.8), and LE
DVT 7.1% (95% confidence interval, 3.6-13.2). One patient with a pelvic DVT also
had a LE DVT. Comparing patients with CS (n=98) with non-CS subtypes (n=33),
there was no significant difference in the prevalence of pelvic DVT (2.1% versus
0%, P=1), LE DVT (6.2% versus 10.3%, P=0.43), or any DVT (7.2% versus 9.1%,
P=0.71). CONCLUSIONS: Among patients with ischemic stroke/transient ischemic
attack and patent foramen ovale, the majority of detected DVTs were in LE veins
rather than the pelvic veins and did not differ by stroke subtype. The routine
inclusion of pelvic magnetic resonance venography in the diagnostic evaluation of
CS warrants further prospective investigation.
PMID- 24938839
TI - Cell-culture models of the blood-brain barrier.
PMID- 24938844
TI - Gene expression profiling reveals distinct molecular signatures associated with
the rupture of intracranial aneurysm.
AB - BACKGROUND AND PURPOSE: The rupture of intracranial aneurysm (IA) causes
subarachnoid hemorrhage associated with high morbidity and mortality. We compared
gene expression profiles in aneurysmal domes between unruptured IAs and ruptured
IAs (RIAs) to elucidate biological mechanisms predisposing to the rupture of IA.
METHODS: We determined gene expression levels of 8 RIAs, 5 unruptured IAs, and 10
superficial temporal arteries with the Agilent microarrays. To explore biological
heterogeneity of IAs, we classified the samples into subgroups showing similar
gene expression patterns, using clustering methods. RESULTS: The clustering
analysis identified 4 groups: superficial temporal arteries and unruptured IAs
were aggregated into their own clusters, whereas RIAs segregated into 2 distinct
subgroups (early and late RIAs). Comparing gene expression levels between early
RIAs and unruptured IAs, we identified 430 upregulated and 617 downregulated
genes in early RIAs. The upregulated genes were associated with inflammatory and
immune responses and phagocytosis including S100/calgranulin genes (S100A8,
S100A9, and S100A12). The downregulated genes suggest mechanical weakness of
aneurysm walls. The expressions of Kruppel-like family of transcription factors
(KLF2, KLF12, and KLF15), which were anti-inflammatory regulators, and CDKN2A,
which was located on chromosome 9p21 that was the most consistently replicated
locus in genome-wide association studies of IA, were also downregulated.
CONCLUSIONS: We demonstrate that gene expression patterns of RIAs were different
according to the age of patients. The results suggest that macrophage-mediated
inflammation is a key biological pathway for IA rupture. The identified genes can
be good candidates for molecular markers of rupture-prone IAs and therapeutic
targets.
PMID- 24938845
TI - Routine clinical evaluation of cerebrovascular reserve capacity using carbogen in
patients with intracranial stenosis.
AB - BACKGROUND AND PURPOSE: A promising method for identifying hemodynamic impairment
that may serve as a biomarker for stroke risk in patients with intracranial
stenosis is cerebrovascular reactivity (CVR) mapping using noninvasive MRI. Here,
abilities to measure CVR safely in the clinic using hypercarbic hyperoxic
(carbogen) gas challenges, which increase oxygen delivery to tissue, are
investigated. METHODS: In sequence with structural and angiographic imaging,
blood oxygenation level-dependent carbogen-induced CVR scans were performed in
patients with symptomatic intracranial stenosis (n=92) and control (n=10)
volunteers, with a subgroup of patients (n=57) undergoing cerebral blood flow
weighted pseudocontinuous arterial spin labeling CVR. Subjects were stratified
for 4 substudies to evaluate relationships between (1) carbogen and hypercarbic
normoxic CVR in healthy tissue (n=10), (2) carbogen cerebral blood flow CVR and
blood oxygenation level-dependent CVR in intracranial stenosis patients (n=57),
(3) carbogen CVR and clinical measures of disease in patients with asymmetrical
intracranial atherosclerotic (n=31) and moyamoya (n=29) disease, and (4) the CVR
scan and immediate and longer-term complications (n=92). RESULTS: Noninvasive
blood oxygenation level-dependent carbogen-induced CVR values correlate with (1)
lobar hypercarbic normoxic gas stimuli in healthy tissue (R=0.92; P<0.001), (2)
carbogen-induced cerebral blood flow CVR in patients with intracranial stenosis
(R=0.30-0.33; P<0.012), and (3) angiographic measures of disease severity both in
atherosclerotic and moyamoya patients after appropriate processing. No immediate
stroke-related complications were reported in response to carbogen
administration; longer-term neurological events fell within the range for
expected events in this patient population. CONCLUSIONS: Carbogen-induced CVR
elicited no added adverse events and provided a surrogate marker of
cerebrovascular reserve consistent with intracranial vasculopathy.
PMID- 24938846
TI - Socioeconomic inequalities in stroke incidence among migrant groups: analysis of
nationwide data.
AB - BACKGROUND AND PURPOSE: Low socioeconomic status has been linked to high
incidence of stroke in industrialized countries; therefore, reducing
socioeconomic disparities is an important goal of health policy. The evidence on
migrant groups is, however, limited and inconsistent. We assessed socioeconomic
inequalities in relation to stroke incidence among major ethnic groups in the
Netherlands. METHODS: A nationwide register-based cohort study was conducted (n=2
397 446) between January 1, 1998, and December 31, 2010, among ethnic Dutch and
ethnic minority groups. Standardized disposable household income was used as a
measure of socioeconomic position. RESULTS: Among ethnic Dutch, the incidence of
stroke was higher in the low-income group than in the high-income group (adjusted
hazard ratio, 1.18; 95% confidence interval, 1.16-1.20). Similar socioeconomic
inequalities in stroke incidence were found among Surinamese (1.36; 1.17-1.58),
Indonesians (1.15; 1.03-1.28), Moroccans (1.54; 0.97-2.43), Turkish (1.19; 0.97
1.46), and to a lesser extent among Antilleans (1.24; 0.84-1.84). When compared
with ethnic Dutch, the incidence of stroke was lower in Moroccans, similar in
Turkish, but higher in Surinamese among all income groups. The incidence of
stroke was higher in Indonesian low- and high-income groups than in their ethnic
Dutch counterparts. Among Antilleans, the risk of stroke was higher than ethnic
Dutch but only in the low-income group. CONCLUSIONS: Our findings reveal
socioeconomic inequalities in stroke incidence among all ethnic groups. Reduction
of socioeconomic inequalities in stroke incidence among all ethnic groups may
lead to a major public health improvement for all. Policy measures tackling
socioeconomic inequalities should take into account the increased risk of stroke
among ethnic minority populations.
PMID- 24938847
TI - Value of eye movement examination in aiding precise localization in stroke.
PMID- 24938848
TI - Predictive value of newly detected atrial fibrillation paroxysms in patients with
acute ischemic stroke, for atrial fibrillation after 90 days.
AB - BACKGROUND AND PURPOSE: Extended cardiac monitoring immediately after acute
ischemic stroke (AIS) increases paroxysmal atrial fibrillation (PAF) detection,
but its reliability for detection or exclusion of longer term paroxysmal PAF is
unknown. We evaluated the positive and negative predictive value (PPV and NPV) of
AF detection early after AIS, for PAF confirmation 90 days later. METHODS: We
investigated 49 patients within 7 days of AIS for PAF according to current
guidelines; 23 patients received 7 days of additional noninvasive cardiac event
monitoring with an R-test device early after their stroke (ISRCTN 97412358).
Ninety days after AIS, everyone underwent 7 days of cardiac event monitoring. We
calculated the PPV and NPV of immediate PAF detection through extended cardiac
event monitoring and through any investigative modality, for the presence of PAF
on the 90-day event monitor. RESULTS: PAF detected by a 7-day event monitor
within 2 weeks of AIS had a PPV of 100% (95% confidence interval, 72%-100%) for
PAF confirmation after 90 days. NPV after 7 days of event monitoring was 64% (95%
confidence interval, 35%-87%). PAF detected early through any modality had a PPV
of 100% (95% confidence interval, 76%-100%). However, the NPV in the absence of R
test monitoring was only 42% (95% confidence interval, 28%-58%). CONCLUSIONS: AF
detection through any means immediately after stroke holds strong PPV for
confirmation after 90 days, justifying treatment decisions on early monitoring
alone. However, failure to identify AF through early monitoring has only modest
NPV even after 7 days of monitoring; repeated investigation is desirable.
PMID- 24938849
TI - The relationship between years of cocaine use and brain activation to cocaine and
response inhibition cues.
AB - AIMS: Functional magnetic resonance imaging research has attempted to elucidate
the neurobehavioral underpinnings of cocaine dependence by evaluating differences
in brain activation to cocaine and response inhibition cues between cocaine
dependent individuals and controls. This study investigated associations between
task-related brain activation and cocaine use characteristics. DESIGN: Cross
sectional. SETTING: The Center for Biomedical Imaging at the Medical University
of South Carolina, USA. PARTICIPANTS: Fifty-one cocaine users (41 dependent).
MEASUREMENTS: Brain activation to cocaine-cue exposure and Go No-Go tasks in six
a priori selected brain regions of interest and cocaine use characteristics (i.e.
cocaine dependence status, years of cocaine use, cocaine use in the past 90 days)
assessed via standardized interviews. FINDINGS: Participants demonstrated
elevated activation to cocaine (bilateral ventral striatum, dorsal caudate,
amygdala) and response inhibition (bilateral anterior cingulate, insula, inferior
frontal gyrus) cues in all hypothesized brain regions. Years of cocaine use was
associated with task-related brain activation, with more years of cocaine use
associated with greater activation to cocaine cues in right (F = 7.97, P = 0.01)
and left (F = 5.47, P = 0.02) ventral striatum and greater activation to response
inhibition cues in left insula (F = 5.10, P = 0.03) and inferior frontal gyrus (F
= 4.12, P = 0.05) controlling for age, cocaine dependence status and cocaine use
in the past 90 days. CONCLUSIONS: Years of cocaine use may be more centrally
related to cocaine cue and response inhibition brain activation than cocaine
dependence diagnosis or amount of recent use.
PMID- 24938851
TI - The spreading worldwide obesity epidemic.
PMID- 24938852
TI - Blast-Induced tinnitus and spontaneous firing changes in the rat dorsal cochlear
nucleus.
AB - Exposure to high-pressure blast shock waves is known to cause tinnitus. Although
the underlying mechanisms may involve damage to structures in the ear and/or
direct brain impact, which triggers a cascade of neuroplastic changes in both
auditory and nonauditory centers, it remains unclear how the induced
neuroplasticity manifests neurophysiologically. This study investigates the
influence of blast exposure on spontaneous firing rates (SFRs) in the dorsal
cochlear nucleus (DCN) and its time course in rats with blast-induced tinnitus.
Each rat was exposed to a single blast at 22 psi. Behavioral evidence of tinnitus
was measured by using a gap-detection acoustic startle-reflex paradigm. SFRs were
measured 1 day, 1 month, and 3 months after blast exposure. The results showed
that nine rats with blast-induced tinnitus and hearing loss developed
hyperactivity immediately and that the induced hyperactivity persisted in six
rats with tinnitus at 1 month after blast exposure. At 3 months after blast
exposure, however, the induced hyperactivity of four rats with tinnitus
transitioned to hypoactivity. In addition, the 20-30-kHz, and >30-kHz regions in
the DCN of rats with and without blast-induced tinnitus were more affected than
other frequency regions at different recovery time points after blast exposure.
These results demonstrate that the neural mechanisms underlying blast-induced
tinnitus are substantially different from those underlying noise-induced
tinnitus.
PMID- 24938850
TI - Population pharmacokinetic study of gentamicin in a large cohort of premature and
term neonates.
AB - AIM: This study aims to investigate the clinical and demographic factors
influencing gentamicin pharmacokinetics in a large cohort of unselected premature
and term newborns and to evaluate optimal regimens in this population. METHODS:
All gentamicin concentration data, along with clinical and demographic
characteristics, were retrieved from medical charts in a Neonatal Intensive Care
Unit over 5 years within the frame of a routine therapeutic drug monitoring
programme. Data were described using non-linear mixed-effects regression analysis
( nonmem(r)). RESULTS: A total of 3039 gentamicin concentrations collected in 994
preterm and 455 term newborns were included in the analysis. A two compartment
model best characterized gentamicin disposition. The average parameter estimates,
for a median body weight of 2170 g, were clearance (CL) 0.089 l h(-1) (CV 28%),
central volume of distribution (Vc ) 0.908 l (CV 18%), intercompartmental
clearance (Q) 0.157 l h(-1) and peripheral volume of distribution (Vp ) 0.560 l.
Body weight, gestational age and post-natal age positively influenced CL.
Dopamine co-administration had a significant negative effect on CL, whereas the
influence of indomethacin and furosemide was not significant. Both body weight
and gestational age significantly influenced Vc . Model-based simulations
confirmed that, compared with term neonates, preterm infants need higher doses,
superior to 4 mg kg(-1) , at extended intervals to achieve adequate
concentrations. CONCLUSIONS: This observational study conducted in a large cohort
of newborns confirms the importance of body weight and gestational age for dosage
adjustment. The model will serve to set up dosing recommendations and elaborate a
Bayesian tool for dosage individualization based on concentration monitoring.
PMID- 24938853
TI - Light-dependent gravitropism and negative phototropism of inflorescence stems in
a dominant Aux/IAA mutant of Arabidopsis thaliana, axr2.
AB - Gravitropism and phototropism of the primary inflorescence stems were examined in
a dominant Aux/IAA mutant of Arabidopsis, axr2/iaa7, which did not display either
tropism in hypocotyls. axr2-1 stems completely lacked gravitropism in the dark
but slowly regained it in light condition. Though wild-type stems showed positive
phototropism, axr2 stems displayed negative phototropism with essentially the
same light fluence-response curve as the wild type (WT). Application of 1
naphthaleneacetic acid-containing lanolin to the stem tips enhanced the positive
phototropism of WT, and reduced the negative phototropism of axr2. Decapitation
of stems caused a small negative phototropism in WT, but did not affect the
negative phototropism of axr2. p-glycoprotein 1 (pgp1) pgp19 double mutants
showed no phototropism, while decapitated double mutants exhibited negative
phototropism. Expression of auxin-responsive IAA14/SLR, IAA19/MSG2 and SAUR50
genes was reduced in axr2 and pgp1 pgp19 stems relative to that of WT. These
suggest that the phototropic response of stem is proportional to the auxin supply
from the shoot apex, and that negative phototropism may be a basal response to
unilateral blue-light irradiation when the levels of auxin or auxin signaling are
reduced to the minimal level in the primary stems. In contrast, all of these
treatments reduced or did not affect gravitropism in wild-type or axr2 stems.
Tropic responses of the transgenic lines that expressed axr2-1 protein by the
endodermis-specific promoter suggest that AXR2-dependent auxin response in the
endodermis plays a more crucial role in gravitropism than in phototropism in
stems but no significant roles in either tropism in hypocotyls.
PMID- 24938856
TI - Large esophageal schwannoma mimicking thyroid tumor with egg-shell calcification
on preoperative ultrasonography.
AB - Schwannoma tumors in esophagus are extremely rare and clinically present as
dysphagia in most reported cases. Because of their rarity and need for
histopathological confirmation using immunohistochemistry, an erroneous
diagnostic and therapeutic approach can be adopted. A 36-year-old woman presented
at the hospital with complaints of an anterior neck mass. On ultrasonography, a
large left thyroid mass with egg-shell calcification was suspected. However, the
thyroid surgeon found that it was not a thyroid tumor. An incision biopsy was
performed for histopathological analysis, which revealed a schwannoma. Then,
salivary leakage occurred through the cervical incision site, suggesting that the
incisional biopsy had caused esophageal perforation. She was transferred to our
department and underwent emergency surgery. We successfully resected the tumor
and controlled the infection without any further injury to the esophagus,
although it was a revision surgery and the wound was greatly infected. We believe
that it is important to always keep in mind that an atypical presentation of
esophageal schwannoma may lead to the development of, for example, a large nodule
in the left thyroid gland involving the esophagus.
PMID- 24938855
TI - Neurological adverse events in patients receiving anti-TNF therapy: a prospective
imaging and electrophysiological study.
AB - INTRODUCTION: The aim was to investigate the frequency of neurological adverse
events in patients with rheumatoid arthritis (RA) and spondylarthropathies (SpA)
treated with tumor necrosis factor (TNF) alpha antagonists. METHODS: Seventy
seven patients eligible for anti-TNFalpha therapy were evaluated. There were 36
patients with RA, 41 with SpA [24 psoriatic arthritis (PsA) and 17 with
ankylosing spondylitis (AS)]. All patients had a complete physical and
neurological examination. Brain and cervical spine magnetic resonance imaging
(MRI) and neurophysiological tests were performed in all patients before the
initiation of anti-TNFalpha therapy and after a mean of 18 months or when
clinical symptoms and signs indicated a neurological disease. Exclusion criteria
included hypertension, diabetes mellitus, dyslipidemia, heart arrhythmias,
atherothrombotic events, vitamin B12 and iron deficiency, head and neck trauma
and neurological surgeries. RESULTS: Two patients did not receive anti-TNFalpha
therapy because brain MRIs at baseline revealed lesions compatible with
demyelinating diseases. Thus, 75 patients received anti-TNFalpha (38 infliximab,
19 adalimumab and 18 etanercept). Three patients developed neurological adverse
events. A 35-year-old man with PsA after 8 months of infliximab therapy presented
with paresis of the left facial nerve and brain MRI showed demyelinating lesions.
Infliximab was discontinued and he was treated with pulses of corticosteroids
recovering completely after two months. The second patient was a 45-year-old
woman with RA who after 6 months of adalimumab therapy presented with optic
neuritis. The third patient was a 50-year-old woman with AS, whom after 25 months
of infliximab therapy, presented with tingling and numbness of the lower
extremities and neurophysiological tests revealed peripheral neuropathy. In both
patients anti-TNF were discontinued and they improved without treatment after 2
months. The rest of our patients showed no symptoms and MRIs showed no
abnormalities. The estimated rate of neurological adverse events in patients
treated with anti-TNF therapy is 4% (3/75). CONCLUSIONS: Neurological adverse
events after anti-TNFalpha therapy were observed in our patient. Brain MRI and
neurophysiological tests are essential tools to discriminate neurological
diseases.
PMID- 24938857
TI - Predictive factors for intraoperative excessive bleeding in Graves' disease.
AB - BACKGROUND: In Graves' disease, because a thyroid tends to have extreme
vascularity, the amount of intraoperative blood loss (AIOBL) becomes significant
in some cases. We sought to elucidate the predictive factors of the AIOBL.
METHODS: A total of 197 patients underwent thyroidectomy for Graves' disease
between 2002 and 2012. We evaluated clinical factors that would be potentially
related to AIOBL retrospectively. RESULTS: The median period between disease
onset and surgery was 16 months (range: 1-480 months). Conventional surgery was
performed in 125 patients, whereas video-assisted surgery was performed in 72
patients. Subtotal and near-total/total thyroidectomies were performed in 137
patients and 60 patients, respectively. The median weight of the thyroid was 45 g
(range: 7.3-480.0 g). Univariate analysis revealed that the strongest correlation
of AIOBL was noted with the weight of thyroid (p < 0.001). Additionally, AIOBL
was correlated positively with the period between disease onset and surgery (p <
0.001) and negatively with preoperative free T4 (p < 0.01). Multivariate analysis
showed that only the weight of the thyroid was independently correlated with
AIOBL (p < 0.001). Four patients (2.0%) needed blood transfusion, including two
requiring autotransfusion, whose thyroids were all weighing in excess of 200 g.
The amount of drainage during the initial 6 hours and days until drain removal
was correlated positively with AIOBL (p < 0.001, each). Occurrences of
postoperative complications, such as recurrent laryngeal nerve palsy or
hypoparathyroidism, and postoperative hospital stay were not correlated with
AIOBL. CONCLUSION: A huge goiter presented as a predictive factor for excessive
bleeding during surgery for Graves' disease, and preparation for blood
transfusion should be considered in cases where thyroids weigh more than 200 g.
PMID- 24938858
TI - Intramedullary schwannoma of conus medullaris with syringomyelia.
AB - Intramedullary schwannomas of the spinal cord are rare tumors. They are most
commonly observed in the cervical region; however, few have been described in the
conus medullaris. The association of intramedullary schwannomas with
syringomyelia is also rare. In this report, we present a case of intramedullary
schwannoma of the conus medullaris with syringomyelia, which was treated
surgically.
PMID- 24938859
TI - Retrograde jejunogastric intussusception: A case report and review of the
literature.
AB - Retrograde jejunogastric intussusception (JGI) is a rare but potentially fatal
complication after previous gastrectomy or gastric bypass surgery. Because of the
prevalence of bariatric surgery, the number of cases of postoperative
intussusception has increased markedly. Here, we present the case of a patient
with retrograde jejunogastric intussusception, having a previous history of
subtotal gastrectomy and gastrojejunostomy for peptic ulcer disease. Correct
preoperative diagnosis was made by plain abdominal film, upper gastrointestinal
series, computed tomographic scan, and esophagogastroduodenoscopy. The diagnosis
was confirmed by laparoscopic examination.
PMID- 24938860
TI - New regenerative approach to atrophic rhinitis using autologous lipoaspirate
transfer and platelet-rich plasma in five patients: Our Experience.
PMID- 24938862
TI - Metal-free annulation of arenes with 2-aminopyridine derivatives: the methyl
group as a traceless non-chelating directing group.
AB - A novel annulation reaction between 2-aminopyridine derivatives and arenes under
metal-free conditions is described. The presented intermolecular transformation
provided straightforward access to the important pyrido[1,2-a]benzimidazole
scaffold under mild reaction conditions. The unprecedented application of the
methyl group of methylbenzenes as a traceless, non-chelating, and highly
regioselective directing group is reported.
PMID- 24938861
TI - Microbial aetiology, outcomes, and costs of hospitalisation for community
acquired pneumonia; an observational analysis.
AB - BACKGROUND: The aim of this study was to investigate the clinical outcome and
especially costs of hospitalisation for community-acquired pneumonia (CAP) in
relation to microbial aetiology. This knowledge is indispensable to estimate cost
effectiveness of new strategies aiming to prevent and/or improve clinical outcome
of CAP. METHODS: We performed our observational analysis in a cohort of 505
patients hospitalised with confirmed CAP between 2004 and 2010. Hospital
administrative databases were extracted for all resource utilisation on a patient
level. Resource items were grouped in seven categories: general ward nursing,
nursing on ICU, clinical chemistry laboratory tests, microbiology exams,
radiology exams, medication drugs, and other.linear regression analyses were
conducted to identify variables predicting costs of hospitalisation for CAP.
RESULTS: Streptococcus pneumoniae was the most identified causative pathogen
(25%), followed by Coxiella burnetii (6%) and Haemophilus influenzae (5%).
Overall median length of hospital stay was 8.5 days, in-hospital mortality rate
was 4.8%.Total median hospital costs per patient were ?3,899 (IQR 2,911-5,684).
General ward nursing costs represented the largest share (57%), followed by
nursing on the intensive care unit (16%) and diagnostic microbiological tests
(9%). In multivariate regression analysis, class IV-V Pneumonia Severity Index
(indicative for severe disease), Staphylococcus aureus, or Streptococcus
pneumonia as causative pathogen, were independent cost driving factors. Coxiella
burnetii was a cost-limiting factor. CONCLUSIONS: Median costs of hospitalisation
for CAP are almost ?4,000 per patient. Nursing costs are the main cause of these
costs.. Apart from prevention, low-cost interventions aimed at reducing length of
hospital stay therefore will most likely be cost-effective.
PMID- 24938863
TI - In situ study of the growth of two-dimensional palladium dendritic nanostructures
using liquid-cell electron microscopy.
AB - We investigated the growth of two-dimensional (2D) palladium dendritic
nanostructures (DNSs) using in situ liquid-cell transmission electron microscopy
(TEM). Detailed in situ and ex situ high-resolution scanning TEM (S/TEM)
characterization and fractal dimension analyses reveal that the diffusion-limited
aggregation and direct atomic deposition are responsible for the growth of
palladium dendritic nanostructures.
PMID- 24938864
TI - Children's psychosocial wellbeing in the context of HIV/AIDS and poverty: a
comparative investigation of orphaned and non-orphaned children living in South
Africa.
AB - BACKGROUND: Recent studies have questioned whether orphanhood is primarily
associated with key dimensions of psycho-social wellbeing in children living in
circumstances of material deprivation and high prevalence of HIV and AIDS.
METHODS: This study uses cross-sectional data from a longitudinal study conducted
between 2004-2007 to examine the psychosocial well-being of orphans and non
orphans in the Amajuba District of KwaZulu-Natal, South Africa. Psychosocial
wellbeing included an assessment of orphans' and non orphans' level of anxiety
and depression, affability and resilience. Stratified cluster sampling, based on
both school and age, was used to construct a cohort of recent orphans and non
orphans and their households, randomly selected from schools. RESULTS: Levels of
anxiety and depression, affability and resilience did not differ significantly
between orphans and non-orphans, nor did salient household, poverty and caregiver
characteristics vary substantially amongst orphans and non-orphans. Multivariate
analyses indicated that children's psychosocial outcomes, when controlling for
orphan status and related demographic variables were more strongly influenced by
household composition/size, living above or below the poverty threshold and
factors associated with the caregiver-child relationship and caregiver health.
CONCLUSIONS: The results muster additional evidence for moving beyond narrow
definitions of vulnerability associated exclusively with orphanhood to consider
the multitude of material, social and relational factors affecting the psycho
social well-being of children in general who are living in circumstances of
poverty and HIV and AIDS.
PMID- 24938865
TI - Multi-view singular value decomposition for disease subtyping and genetic
associations.
AB - BACKGROUND: Accurate classification of patients with a complex disease into
subtypes has important implications for medicine and healthcare. Using more
homogeneous disease subtypes in genetic association analysis will facilitate the
detection of new genetic variants that are not detectible using the non
differentiated disease phenotype. Subtype differentiation can also improve
diagnostic classification, which can in turn inform clinical decision making and
treatment matching. Currently, the most sophisticated methods for disease
subtyping perform cluster analysis using patients' clinical features. Without
guidance from genetic information, the resultant subtypes are likely to be
suboptimal and efforts at genetic association may fail. RESULTS: We propose a
multi-view matrix decomposition approach that integrates clinical features with
genetic markers to detect confirmatory evidence for a disease subtype. This
approach groups patients into clusters that are consistent between the clinical
and genetic dimensions of data; it simultaneously identifies the clinical
features that define the subtype and the genotypes associated with the subtype. A
simulation study validated the proposed approach, showing that it identified
hypothesized subtypes and associated features. In comparison to the latest
biclustering and multi-view data analytics using real-life disease data, the
proposed approach identified clinical subtypes of a disease that differed from
each other more significantly in the genetic markers, thus demonstrating the
superior performance of the proposed approach. CONCLUSIONS: The proposed
algorithm is an effective and superior alternative to the disease subtyping
methods employed to date. Integration of phenotypic features with genetic markers
in the subtyping analysis is a promising approach to identify concurrently
disease subtypes and their genetic associations.
PMID- 24938866
TI - Early optimal nutrition improves neurodevelopmental outcomes for very preterm
infants.
AB - Recent advances in perinatal and neonatal intensive care have resulted in
significant improvements in the survival of preterm extremely low-birthweight
(PELBW) infants; however, extrauterine growth restriction (EUGR) and
undernutrition occur frequently during hospitalization and are associated with
adverse outcomes, including bronchopulmonary dysplasia, sepsis, and
neurodevelopmental impairment. Early optimal parenteral nutrition with adequate
amino acids and lipids, especially long-chain polyunsaturated fatty acids, has
been shown to decrease the incidence of EUGR, bronchopulmonary dysplasia,
necrotizing enterocolitis, sepsis, and retinopathy of prematurity in animal
models and clinical trials. In PELBW infants, breast milk and probiotics have
been shown to reduce the incidence of necrotizing enterocolitis, and lactoferrin
has been demonstrated to prevent late-onset sepsis. Thus, early administration of
optimal postnatal parenteral and enteral nutrients can help prevent
neurodevelopmental impairment caused by EUGR, necrotizing enterocolitis, sepsis,
bronchopulmonary dysplasia, and retinopathy of prematurity, and recent evidence
indicates such treatment is feasible.
PMID- 24938867
TI - Organic semiconductor density of states controls the energy level alignment at
electrode interfaces.
AB - Minimizing charge carrier injection barriers and extraction losses at interfaces
between organic semiconductors and metallic electrodes is critical for optimizing
the performance of organic (opto-) electronic devices. Here, we implement a
detailed electrostatic model, capable of reproducing the alignment between the
electrode Fermi energy and the transport states in the organic semiconductor both
qualitatively and quantitatively. Covering the full phenomenological range of
interfacial energy level alignment regimes within a single, consistent framework
and continuously connecting the limiting cases described by previously proposed
models allows us to resolve conflicting views in the literature. Our results
highlight the density of states in the organic semiconductor as a key factor. Its
shape and, in particular, the energy distribution of electronic states tailing
into the fundamental gap is found to determine both the minimum value of
practically achievable injection barriers as well as their spatial profile,
ranging from abrupt interface dipoles to extended band-bending regions.
PMID- 24938869
TI - Incidence of delirium in children with cancer.
AB - There are limited data on the incidence of delirium in children with cancer. We
performed a retrospective chart review of all pediatric oncology admissions over
a 1 year period to determine the incidence of delirium in this population. We
identified seven patients with delirium (10% incidence). Delirium is associated
with significant morbidity and mortality, and is likely under-recognized in this
population. Improved diagnosis and treatment of delirium may improve outcomes in
children with cancer.
PMID- 24938868
TI - Protective role of TNF-alpha, IL-10 and IL-2 in mice infected with the Oshima
strain of Tick-borne encephalitis virus.
AB - Tick-borne encephalitis virus (TBEV) causes acute central nervous system disease.
Here, we investigated the roles of the TNF-alpha, IL-10 and other cytokines in
appropriate KO mice following infection with Oshima and Sofjin strains of TBEV.
Following infection with the Oshima strain, mortality rates were significantly
increased in TNF-alpha KO and IL-10 KO mice compared with wild type (WT) mice.
These results suggested that TNF-alpha and IL-10 play protective roles against
fatal infection due to Oshima strain infection. However, viral loads and
proinflammatory cytokine levels in the brain of TNF-alpha KO andIL-10 KO mice
were not significantly different compared with those of WT mice. On the other
hand, all WT, TNF-alpha KO and IL-10 KO mice died following infection with Sofjin
strain. Interestingly, Sofjin-infected mice did not exhibit an up-regulated mRNA
level of IL-2 in the spleen in all groups of mice, whereas Oshima-infected mice
showed significantly increased level of IL-2 compared with mock-infected mice.
From these results, we suggest that TNF-alpha, IL-10 and IL-2 are key factors for
disease remission from fatal encephalitis due to infection with Oshima strain of
TBEV.
PMID- 24938870
TI - Effect of Substance P on type II alveolar epithelial cells exposed to hyperoxia
and its regulation of the Sonic hedgehog signaling pathway.
AB - Oxidative stress injury and cell death in alveolar epithelial cells may lead to
abnormal repair, further resulting in acute and chronic pulmonary diseases.
Substance P (SP) has multiple biological activities. The Sonic hedgehog (SHH)
pathway is important in lung development and decreasing epithelial injury. To
investigate the effects of SP on alveolar epithelial type II cells (AEC IIs), AEC
IIs were exposed to 95% oxygen and the SHH signaling pathway was examined.
Primary AEC IIs were isolated and purified from premature rats. The cells were
divided into four groups: The air (21% oxygen) group, hyperoxia (95% oxygen)
group, hyperoxia + SP group and hyperoxia + SP + L703.606 group. The activity of
AEC IIs was examined using a 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium
bromide assay. The apoptotic rate of AEC IIs was analyzed by flow cytometry. The
oxidative damage was evaluated by flow cytometry and reactive oxygen species
(ROS) were detected using a 2',7'-dichlorodihydrofluorescein diacetate probe.
Quantitative polymerase chain reaction and western blotting were used to detect
the mRNA and protein expression of the SHH signaling molecule Smoothened (SMO).
The results demonstrated that exposure to 95% oxygen for 24 h significantly
increased the level of ROS, contributed to apoptosis and markedly decreased the
proliferation of AEC IIs. Compared with hyperoxia exposure, SP treatment
decreased the level of ROS, reduced AEC II apoptosis and improved the cell
survival sequentially. SMO was found to be expressed in AEC IIs and its
expression increased when the cells were in hyperoxic conditions. These effects
were enhanced by treatment with SP, which was able to significantly increase the
expression of SMO. The aforementioned protective effect was weakened following
treatment with L703.606. These findings suggested that SP was a protective
regulatory factor that was able to decrease the hyperoxia-induced cell injury and
death, and improve the survival of AEC IIs exposed to hyperoxia, which may be
associated with the activation of the SHH signaling pathway.
PMID- 24938871
TI - Formation mechanism and optimization of highly luminescent N-doped graphene
quantum dots.
AB - Photoluminescent graphene quantum dots (GQDs) have received enormous attention
because of their unique chemical, electronic and optical properties. Here a
series of GQDs were synthesized under hydrothermal processes in order to
investigate the formation process and optical properties of N-doped GQDs. Citric
acid (CA) was used as a carbon precursor and self-assembled into sheet structure
in a basic condition and formed N-free GQD graphite framework through
intermolecular dehydrolysis reaction. N-doped GQDs were prepared using a series
of N-containing bases such as urea. Detailed structural and property studies
demonstrated the formation mechanism of N-doped GQDs for tunable optical
emissions. Hydrothermal conditions promote formation of amide between -NH2 and
COOH with the presence of amine in the reaction. The intramoleculur dehydrolysis
between neighbour amide and COOH groups led to formation of pyrrolic N in the
graphene framework. Further, the pyrrolic N transformed to graphite N under
hydrothermal conditions. N-doping results in a great improvement of PL quantum
yield (QY) of GQDs. By optimized reaction conditions, the highest PL QY (94%) of
N-doped GQDs was obtained using CA as a carbon source and ethylene diamine as a N
source. The obtained N-doped GQDs exhibit an excitation-independent blue emission
with single exponential lifetime decay.
PMID- 24938872
TI - MEK inhibitor effective against proliferation in breast cancer cell.
AB - The targeted small-molecule drug AZD6244 is an allosteric, ATP-noncompetitive
inhibitor of MEK1/2 that has shown activity against several malignant tumors.
Here, we report that AZD6244 repressed cell growth and induced apoptosis and G1
phase arrest in the breast cancer cell lines MDA-MB-231 and HCC1937. Using
microRNA (miRNA) arrays and quantitative RT-PCR, we found that miR-203 was up
regulated after AZD6244 treatment. In accordance with bioinformatics and
luciferase activity analyses, CUL1 was found to be the direct target of miR-203.
Furthermore, miR-203 inhibition and CUL1 overexpression reversed the cytotoxicity
of AZD6244 on the MDA-MB-231 and HCC1937 cells. Collectively, our data indicate
that miR-203 mediates the AZD6244-induced cytotoxicity of breast cancer cells and
that the MEK/ERK/miR-203/CUL1 signaling pathway may participate in this process.
PMID- 24938873
TI - Diverse effect of WWOX overexpression in HT29 and SW480 colon cancer cell lines.
AB - WW-domain-containing oxidoreductase (WWOX) is the tumour suppressor gene from the
common fragile site FRA16D, whose altered expression has been observed in tumours
of various origins. Its suppressive role and influence on basic cellular
processes such as proliferation and apoptosis have been confirmed in many in
vitro and in vivo studies. Moreover, its protein is thought to take part in the
regulation of tissue morphogenesis and cell differentiation. However, its role in
colon cancer formation remains unclear. The aim of this study was to characterize
the influence of WWOX on the process of colon cancerogenesis, the basic features
of the cancer cell and its expression profiles. Multiple biological tests,
microarray experiments and quantitative reverse transcriptase (RT)-PCR were
performed on two colon cancer cell lines, HT29 and SW480, which differ in
morphology, expression of differentiation markers, migratory characteristics and
metastasis potential and which represent negative (HT29) and low (SW480) WWOX
expression levels. The cell lines were subjected to retroviral transfection,
inducting WWOX overexpression. WWOX was found to have diverse effects on
proliferation, apoptosis and the adhesion potential of modified cell lines. Our
observations suggest that in the HT29 colon cancer cell line, increased
expression of WWOX may result in the transition of cancer cells into a more
normal colon epithelium phenotype, while in SW480, WWOX demonstrated well-known
tumour suppressor properties. Our results also suggest that WWOX does not behave
as classical tumour suppressor gene, and its influence on cell functioning is
more global and complicated.
PMID- 24938874
TI - The role of microRNAs in human breast cancer progression.
AB - Over the past decade, microRNAs (miRNAs) have become a new paradigm of gene
regulation. miRNAs are involved in a wide array of carcinogenic processes.
Indeed, increasing evidence has shown the importance of miRNAs in cancer,
suggesting their possible use as diagnostic, predictive and prognostic
biomarkers, leading to miRNA-based anti-cancer therapies, either alone or in
combination with current targeted therapies, with the goal of improving cancer
treatment responses and increasing cure rates. The advantage of using a miRNA
approach is based on the ability to concurrently target multiple effectors of
pathways involved in cell proliferation, migration and survival. This review
sheds new light on miRNA regulation of genes that play critical roles in the
process of malignant transformation and tumour metastasis, the dysregulation of
miRNA expression in cancer development and the development of miRNA-based
diagnostics and therapeutics.
PMID- 24938875
TI - Combined effects of CYP1A1 MspI and GSTM1 genetic polymorphisms on risk of lung
cancer: an updated meta-analysis.
AB - Genetic polymorphisms of cytochrome P450 1A1 (CYP1A1) and glutathione S
transferase M1 (GSTM1) genes might contribute to the variability in individual
susceptibility to lung cancer, but the reported results from individual studies
are not always consistent. We therefore conducted a meta-analysis to
systematically estimate the associations between polymorphisms of these two genes
and risk of lung cancer. Twenty-one studies with 8,926 subjects were finally
enrolled into this study. Meta-analysis was performed by RevMan 5.2. Odds ratio
(OR) and its 95 % confidence interval (CI) were calculated to evaluate the
susceptibility to lung cancer. Compared with the wild-type homozygous genotype,
significantly elevated risk of lung cancer were associated with variant CYP1A1
MspI (m1/m2 + m2/m2 vs. m1/m1: OR = 1.27, 95 % CI = 1.12-1.43, P < 0.001) and
deletion of GSTM1 (null vs. present: OR = 1.26, 95 % CI = 1.13-1.40, P < 0.001).
Both the two genetic polymorphisms were independently associated with the risk of
lung cancer. The pooled OR of lung cancer for population with both CYP1A1 MspI
and GSTM1 mutations (MspI m1/m2 or m2/m2 and GSTM1 null) was 1.62 (95 % CI 1.27
2.07, P < 0.001) when compared with those without any of the above mutations,
which is higher than single genetic polymorphism. In the stratified analysis,
significantly higher risks of lung cancer associated with above genetic
polymorphisms were found only in Asian population. This meta-analysis suggests
that the CYP1A1 MspI and GSTM1 polymorphisms correlate with increased lung cancer
susceptibility independently, and that there is an interaction between the two
genes. However, the associations vary in different ethnic populations.
PMID- 24938876
TI - Sexual conflict, life span, and aging.
AB - The potential for sexual conflict to influence the evolution of life span and
aging has been recognized for more than a decade, and recent work also suggests
that variation in life span and aging can influence sexually antagonistic
coevolution. However, empirical exploration of these ideas is only beginning.
Here, we provide an overview of the ideas and evidence linking inter- and
intralocus sexual conflicts with life span and aging. We aim to clarify the
conceptual basis of this research program, examine the current state of
knowledge, and suggest key questions for further investigation.
PMID- 24938877
TI - Multifunctional supramolecular dendrimers with an s-triazine ring as the central
core: liquid crystalline, fluorescence and photoconductive properties.
AB - Novel liquid crystal (LC) dendrimers have been synthesised by hydrogen bonding
between an s-triazine as the central core and three peripheral dendrons derived
from bis(hydroxymethyl)propionic acid. Symmetric acid dendrons bearing achiral
promesogenic units have been synthesised to obtain 3:1 complexes with triazine
that exhibit LC properties. Asymmetric dendrons that combine the achiral
promesogenic unit and an active moiety derived from coumarin or pyrene structures
have been synthesised in order to obtain dendrimers with photophysical and
electrochemical properties. The formation of the complexes was confirmed by IR
and NMR spectroscopy data. The liquid crystalline properties were investigated by
differential scanning calorimetry, polarising optical microscopy and X-ray
diffractometry. All complexes displayed mesogenic properties, which were smectic
in the case of symmetric dendrons and their complexes and nematic in the case of
asymmetric dendrons and their dendrimers. A supramolecular model for the lamellar
mesophase, based mainly on X-ray diffraction studies, is proposed. The
electrochemical behaviour of dendritic complexes was investigated by cyclic
voltammetry. The UV/Vis absorption and emission properties of the compounds and
the photoconductive properties of the dendrons and dendrimers were also
investigated.
PMID- 24938879
TI - Didemnacerides A and B: two new glycerides from Red Sea ascidian Didemnum
species.
AB - Two new glycerides, didemnacerides A (1) and B (2), together with three known
sterols, 24-ethyl-25-hydroxycholesterol (3), cholest-6-en-3,5,8-triol (4) and
cholestane-3beta,5alpha,6beta-26-tetrol (5), were isolated from the Red Sea
ascidian Didemnum sp. Their structures were elucidated by using extensive 1D
((1)H, (13)C) and 2D ((1)H-(1)H COSY, HSQC and HMBC) NMR studies and mass
spectroscopic data (GC-MS and HR-MS) as well as alkaline hydrolysis followed by
GC-MS and NMR spectral analyses of the fatty acid methyl esters. This is the
first report of compounds 3-5 from the Red Sea ascidian Didemnum species.
PMID- 24938878
TI - Early signs of anomalous neural functional connectivity in healthy offspring of
parents with bipolar disorder.
AB - OBJECTIVES: Bipolar disorder (BD) has been associated with dysfunctional brain
connectivity and with family chaos. It is not known whether aberrant connectivity
occurs before illness onset, representing vulnerability for developing BD amidst
family chaos. We used resting-state functional magnetic resonance imaging (fMRI)
to examine neural network dysfunction in healthy offspring living with parents
with BD and healthy comparison youth. METHODS: Using two complementary
methodologies [data-driven independent component analysis (ICA) and hypothesis
driven region-of-interest (ROI)-based intrinsic connectivity], we examined
resting-state fMRI data in 8-17-year-old healthy offspring of a parent with BD (n
= 24; high risk) and age-matched healthy youth without any personal or family
psychopathology (n = 25; low risk). RESULTS: ICA revealed that, relative to low
risk youth, high-risk youth showed increased connectivity in the ventrolateral
prefrontal cortex (VLPFC) subregion of the left executive control network (ECN),
which includes frontoparietal regions important for emotion regulation. ROI-based
analyses revealed that high-risk versus low-risk youth had decreased
connectivities between the left amygdala and pregenual cingulate, between the
subgenual cingulate and supplementary motor cortex, and between the left VLPFC
and left caudate. High-risk youth showed stronger connections in the VLPFC with
age and higher functioning, which may be neuroprotective, and weaker connections
between the left VLPFC and caudate with more family chaos, suggesting an
environmental influence on frontostriatal connectivity. CONCLUSIONS: Healthy
offspring of parents with BD show atypical patterns of prefrontal and subcortical
intrinsic connectivity that may be early markers of resilience to or
vulnerability for developing BD. Longitudinal studies are needed to determine
whether these patterns predict outcomes.
PMID- 24938880
TI - Oncogenic microRNAs: miR-155, miR-19a, miR-181b, and miR-24 enable monitoring of
early breast cancer in serum.
AB - BACKGROUND: MicroRNAs (miRs) represent a distinct class of posttranscriptional
modulators of gene expression with remarkable stability in sera. Several miRs are
oncogenic (oncomiRs) and are deregulated in the pathogenesis of breast cancer and
function to inhibit tumor suppressors. Routine blood monitoring of these
circulating tumor-derived products could be of significant benefit to the
diagnosis and relapse detection of early-stage breast cancer (EBC) patients.
METHODS: Aim of this project was to determine expression of miR-155, miR-19a, miR
181b, miR-24, relative to let-7a in sera of 63 patients with EBC and 21 healthy
controls. Longitudinal multivariate data analysis was performed to stochastically
model the serum levels of each of the oncomiRs during disease phases: from
diagnosis, after surgery, and following chemo/radiotherapy. Moreover, this
analysis was utilized to evaluate oncomiR levels in EBC patients subgrouped using
current clinical prognostic factors including HER2, Ki-67, and grade III.
RESULTS: EBC patients significantly over-express the oncomiRs at the time of
diagnosis. Following surgical resection the serum levels of miR-155, miR-181b,
and miR-24 significantly decreased (p = 1.89e-05, 5.41e-06, and 0.00638,
respectively) whereas the miR-19a decreased significantly after the therapy (p =
0.00869). Furthermore, in case of high-risk patients serum levels of miR-155, miR
19a, miR-181b, and miR-24 are significantly more abundant in comparison to low
risk group (p = 0.026, 0.02567, 0.0250, and 0.00990) and show a decreasing trend
upon therapy. CONCLUSIONS: OncomiRs are significantly more abundant in the sera
of EBC patients compared to controls at diagnosis. Differences in oncomiR levels
reflecting EBC risk were also observed. Testing the oncomiRs may be useful for
diagnostic purpose and possibly also for relapse detection in follow-up studies
of EBC.
PMID- 24938882
TI - Treatment of celiac disease: from gluten-free diet to novel therapies.
AB - Gluten-free diet (GFD) is the cornerstone treatment for celiac disease (CD). This
diet excludes the protein gluten a protein forum in in grains such as wheat,
barley, rye and triticale. Gluten causes small intestines inflammation in
patients with CD and eating a GFD helps these patients in controlling signs and
symptoms and prevent complications. Following a GFD may be frustrating, however,
it is important to know that plenty of foods are naturally gluten-free and
nowadays is relatively easy to find substitutes for gluten-containing foods.
Certain grains, such as oats, are generally safe but can be contaminated with
wheat during growing and processing stages of production. For this reason, it is
generally recommended avoiding oats unless they are specifically labelled gluten
free. Other products that may contain gluten include food additives, such as malt
flavouring, modified food starch and some supplement and/or vitamins that use
gluten as a binding agent. Cross-contamination occurs when gluten-free foods come
into contact with foods that contain gluten. It can happen during the
manufacturing process or if the same equipment is used to make a variety of
products. Cross-contamination can also occur at home if foods are prepared on
common surfaces or with utensils that have not been cleaned after being used to
prepare gluten-containing foods (using a toaster for gluten-free and regular
bread). Although safe and effective, the GFD is not ideal: it is expensive, of
limited nutritional value, and not readily available in many countries.
Consequently, a need exists for novel, non-dietary therapies for celiac disease.
Advances in understanding the immunopathogenesis of CD have suggested several
types of therapeutic strategies alternative to the GFD. Some of these strategies
attempt to decrease the immunogenicity of gluten-containing grains by
manipulating the grain itself or by using oral enzymes to break down immunogenic
peptides that normally remain intact during digestion. Other strategies focus on
preventing the absorption of these peptides, preventing tissue transglutaminase
from rendering gluten peptides more immunogenic, or inhibiting their binding to
CD-specific antigen-presenting molecules. Strategies that limit T cell migration
to the small intestine or that re-establish mucosal homeostasis and tolerance to
gluten antigens are also being explored.
PMID- 24938881
TI - Protective effects of certain pharmaceutical compounds against abrin induced cell
death in Jurkat cell line.
AB - Abrin is a plant glycoprotein toxin from the seeds of Abrus precatorius, and
shares the structure and properties with ricin. Abrin is highly toxic, with an
estimated human fatal dose of 0.1-1 MUg/kg, causing death after accidental and
intentional poisoning. It is a potent toxin warfare agent. There are no antidotes
available for abrin intoxication. It is becoming increasingly important to
develop countermeasures for abrin by developing pre- and post-exposure medical
therapy. The present study involves the screening of certain pharmaceutical
agents for their potential to counter abrin toxicity in Jurkat T lymphocytes and
the probable mechanism of action of the compounds with protective effect. The
compounds studied are: Prednisolone, Minocycline, Amifostine, DRDE-07 (amifostine
analog), Melatonin, Ebselen, N-Acetyl-l-cysteine (NAC) and Trolox. Among them,
only NAC and trolox were found to confer significant protection in Jurkat cells
by restoring antioxidant enzymes depleted by abrin treatment. Abrin also shown to
increase in stress factor associated proteins SAPK/JNK, c-fos and c-jun levels
which were effectively suppressed by NAC and trolox. In addition to this, both
compounds significantly inhibit abrin induced inflammation and caspase-3
activity. These data suggest that NAC and trolox may serve as potential
candidates for management of abrin-induced poisoning.
PMID- 24938883
TI - Prevalence of IgE mediated cow's milk and egg allergy in children under 2 years
of age in Sanliurfa, Turkey: the city that isn't almost allergic to cow's milk.
AB - AIM: Food allergy is a common problem in children and adults. The aim of this
study was to determine the frequency of cow's milk and egg allergy based on skin
prick test and oral food challenge results METHODS: We recruited 614 children
aged 1 month-2 years in from different areas of Sanliurfa. An interview was
conducted with each parents and family demographic, and prenatal-antenatal
postnatal information was recorded, then skin prick test was applied to the
children for egg white, egg yolk and cow's milk. Cases that are required, sIgE
levels for cow's milk, egg white and egg yolk were measured and food challenge
tests were applied. RESULTS: Cow's milk and egg allergy was determined in 1 case
(0.16%) and 8 cases (1.30%) respectively from the results of the tests applied.
Six cases (0.97%) were accepted as sensitive to egg white and egg yolk. Within
the factors which were questioned, (only the egg allergy evaluation was made
without the cow's milk allergy case) of those with parental consanguinity was
determined at a statistically significantly high level (OR=5.8, 95% CI: 1.2-27.2,
P=0.01). CONCLUSION: The prevalence of cow's milk allergy in Sanliurfa is the
lowest reported prevalence rate to date in children under 2 years of age. When
infants develop a food allergy, this takes the form of egg allergy. Within the
several factors evaluated to explain this situation, a significant relationship
was determined only with parental consanguinity. However, as reported above, to
date there is no genetic or epidemiological study to explain this situation.
PMID- 24938884
TI - Structure and electronic properties of in situ synthesized single-layer MoS2 on a
gold surface.
AB - When transition metal sulfides such as MoS2 are present in the single-layer form,
the electronic properties change in fundamental ways, enabling them to be used,
e.g., in two-dimensional semiconductor electronics, optoelectronics, and light
harvesting. The change is related to a subtle modification of the band structure
due to confinement in the direction perpendicular to the sheets, and there is a
considerable interest in understanding how this modification can be controlled
and adjusted to generate 2D-materials with functional properties. In this article
we report a synthesis procedure together with scanning tunneling microscopy and X
ray photoelectron spectroscopy characterization of two-dimensional single-layer
islands of MoS2 synthesized directly on a gold single crystal substrate. Thanks
to a periodic modulation of the atom stacking induced by the lattice mismatch, we
observe a structural buckling of the MoS2 layer resulting in a characteristic
moire pattern. X-ray photoelectron spectroscopy indicates that the system
develops the characteristics of n-doped MoS2 due to electron donation. Scanning
tunneling spectroscopy furthermore reflects a convolution of MoS2 and Au donor
states where the MoS2 band structure appears modified at the band gap edges. This
electronic effect is further modulated by the moire periodicity and leads to
small substrate-induced electronic perturbations near the conduction band minimum
in the band gap of MoS2. The results may be highly relevant in the context of
nanopatterned two-dimensional materials on metal surfaces, and we propose the
MoS2/Au system in this article as a promising candidate to further explore the
properties of supported 2D transition-metal dichalcogenides.
PMID- 24938885
TI - Self-assembly of colloid-cholesteric composites provides a possible route to
switchable optical materials.
AB - Colloidal particles dispersed in liquid crystals can form new materials with
tunable elastic and electro-optic properties. In a periodic 'blue phase' host,
particles should template into colloidal crystals with potential uses in
photonics, metamaterials and transformational optics. Here we show by computer
simulation that colloid/cholesteric mixtures can give rise to regular crystals,
glasses, percolating gels, isolated clusters, twisted rings and undulating
colloidal ropes. This structure can be tuned via particle concentration, and by
varying the surface interactions of the cholesteric host with both the particles
and confining walls. Many of these new materials are metastable: two or more
structures can arise under identical thermodynamic conditions. The observed
structure depends not only on the formulation protocol but also on the history of
an applied electric field. This new class of soft materials should thus be
relevant to design of switchable, multistable devices for optical technologies
such as smart glass and e-paper.
PMID- 24938886
TI - Standardization of blood smears prepared in transparent acetate: an alternative
method for the microscopic diagnosis of malaria.
AB - BACKGROUND: Due to students' initial inexperience, slides are frequently broken
and blood smears are damaged in microscopy training, leading to the need for
their constant replacement. To minimize this problem a method of preparing blood
smears on transparent acetate sheets was developed with the goal of implementing
appropriate and more readily available teaching resources for the microscopic
diagnosis of malaria. METHODS: Acetate sheets derived from polyester were used to
standardize the preparation and staining of thin and thick blood smears on
transparent acetate sheets. Thick and thin blood smears were also prepared using
the conventional method on glass slides. The staining was conducted using Giemsa
staining for the thick and thin smears. RESULTS: Microscopic examination (1,000x)
of the thin and thick blood smears prepared on transparent acetate produced high
quality images for both the parasites and the blood cells. The smears showed up
on a clear background and with minimal dye precipitation. It was possible to
clearly identify the main morphological characteristics of Plasmodium,
neutrophils and platelets. After 12 months of storage, there was no change in
image quality or evidence of fungal colonization. CONCLUSION: Preparation of thin
and thick blood smears in transparent acetate for the microscopic diagnosis of
malaria does not compromise the morphological and staining characteristics of the
parasites or blood cells. It is reasonable to predict the applicability of
transparent acetate in relevant situations such as the training of qualified
professionals for the microscopic diagnosis of malaria and the preparation of
positive specimens for competency assessment (quality control) of professionals
and services involved in the diagnosis of malaria.
PMID- 24938887
TI - The antidiabetic therapeutic potential of dietary polyphenols.
AB - Type-2 diabetes (T2D) is a complex metabolic syndrome that is characterized by
persistent hyperglycemia due to either lack of insulin secretion and/or insulin
resistance. The prevalence of T2D along with its major risk factor, obesity, has
been increasing with an epidemic proportion in recent years. To date, there is no
drug of cure for diabetes and the existing therapeutic approaches have serious
drawbacks including side effects and loss of efficacy during prolonged use.
Dietary polyphenols are one group of natural products that have shown promise as
potential antidiabetic agents. In this review, their molecular mechanisms of
action including, antioxidant, anti-inflammatory, receptor agonist/antagonist
effect and modulation of key signal transduction cascades, glucose transport,
enzyme activity, receptor agonistic/antagonist effect, etc. in major insulin
sensitive cells are discussed.
PMID- 24938888
TI - Current and future therapies targeting the immune system in multiple sclerosis.
AB - Multiple sclerosis (MS) is a demyelinating disease of the central nervous system
(CNS). The exact pathomechanism is unknown, but an aberrant immune response
against CNS antigens, leading to inflammation in brain and spinal cord followed
by demyelination, axonal damage and scar formation, seems to play a major role.
Later in the disease course, inflammation decreases, while neurodegeneration
proceeds. Approximately 80% of the patients initially show a relapsing-remitting
disease course (RRMS), but the majority of them later develops a secondary
progressive MS (SPMS). A minority suffers from primary progressive MS (PPMS).
Primary goals of long-term MS therapy are to prevent relapses and disease
progression. Assuming that MS is an autoimmune disease, most therapeutics aim to
modulate or suppress the immune system. Until now many drugs have proven efficacy
in RRMS, but none in PPMS. Interferon-beta (IFN-beta) and glatiramer acetate are
known in RRMS therapy for years. Based on preclinical research and clinical
trials, new treatment strategies have emerged and have been transferred from
bench to bedside. The alpha4beta-integrin-antagonist natalizumab was approved in
2005. Fingolimod, dimethyl fumarate and teriflunomide were the first oral drugs
introduced in MS therapy. Recently alemtuzuab, another monoclonal antibody, was
approved in Europe. Promising future perspectives are alemtuzumab, daclizumab,
and laquinimod. Here, we review drug mechanisms in the therapy of MS. The
mechanisms of action and the effect of the drugs on the immune system are
summarized. We report recent results of clinical trials, highlight special
features of different treatment strategies, and discuss future perspectives and
ongoing clinical trials.
PMID- 24938889
TI - Polyphenols: well beyond the antioxidant capacity: gallic acid and related
compounds as neuroprotective agents: you are what you eat!
AB - Gallic acid (3,4,5-trihydroxybenzoic acid) is a phenolic acid widely distributed
in many different families of higher plants, both in free state, and as a part of
more complex molecules, such as ester derivatives or polymers. In nature, gallic
acid and its derivatives are present in nearly every part of the plant, such as
bark, wood, leaf, fruit, root and seed. They are present in different
concentrations in common foodstuffs such as blueberry, blackberry, strawberry,
plums, grapes, mango, cashew nut, hazelnut, walnut, tea, wine and so on. After
consumption, about 70% of gallic acid is adsorbed and then excreted in the urine
as 4-O-methylgallic acid. Differently, the ester derivatives of gallic acid, such
as catechin gallate ester or gallotannins, are hydrolyzed to gallic acid before
being metabolized to methylated derivatives. Gallic acid is a well known
antioxidant compounds which has neuroprotective actions in different models of
neurodegeneration, neurotoxicity and oxidative stress. In this review, we discuss
about the neuroprotective actions of gallic acid and derivatives and their
potential mechanisms of action.
PMID- 24938890
TI - Resveratrol as a protective molecule for neuroinflammation: a review of
mechanisms.
AB - Under normal conditions, most of the central nervous system (CNS) is protected by
the blood brain barrier (BBB) from systemic inflammation progression and from the
infiltration of immune cells. As a consequence, the CNS developed an original way
to provide surveillance, defense and repair, which relies on the complex process
of neuroinflammation. Despite tight regulation, neuroinflammation is frequently
the cause of irreversible nerve cell loss but it is also where the solution lies.
Specific immune crosstalk taking place in the CNS needs to be decoded in order to
identify the best therapeutic strategies aimed at helping the CNS restore
homeostasis in difficult conditions such as in neurodegenerative disorders. This
review deals with the double-edged sword nature of neuroinflammation and the use
of resveratrol in various models as one of the most promising therapeutic
molecules for preventing the consequences of nerve cell autodestruction.
PMID- 24938891
TI - Tea consumption and risk of ischemic stroke: a brief review of the literature.
AB - Stroke is an important cerebrovascular disease which causes chronic disability
and death in patients. Despite of its high morbidity and mortality, there are
limited available effective neuroprotective agents for stroke. In recent years,
the research aimed at finding novel neuroprotective agents from natural origins
has been intensified. Camellia sinensis L. (tea) is the second most consumed
beverage worldwide, after water. It is classified into green and white, oolong,
black and red, and Pu-erh tea based on the manufacturing process. Catechins are
the main phytochemical constituents of Camellia sinensis which are known for
their high antioxidant capacity. On other hand, it is well known that oxidative
stress plays an important role in the initiation and progression of different
cardiovascular diseases such as stroke. Therefore, the present article is aimed
to review scientific studies that show the protective effects of tea consumption
against ischemic stroke.
PMID- 24938892
TI - Cellular delivery of noncovalently-associated macromolecules by cell-penetrating
peptides.
AB - Cellular and nuclear delivery of biomolecules is limited by low membrane
permeability. Cell-penetrating peptides (CPPs) can be covalently linked to cargos
to improve cellular internalization. Our work indicates that arginine-rich CPPs
are also able to interact with a variety of cargos, including DNA, RNA, proteins
and nanomaterials, in a noncovalent manner and subsequently effect their delivery
into cells. The advantages of noncovalent attachment in CPP-mediated transduction
are multiple: ease of use, ease of production, and versatility with respect to
both cargo composition and functional delivery (i.e., the cargo is not chemically
modified). We have extended this approach to achieve simultaneous transduction of
covalently and noncovalently associated complexes, opening a new method for
delivering multiple types of cargos, including proteins, fluorescent
nanomaterials, nucleic acid and others. These novel variations of CPP-mediated
transport should be of broad utility in the transport of genes, small interfering
RNAs, proteins and nanoparticles in biomedical research and therapeutic
intervention.
PMID- 24938893
TI - Harnessing the capacity of cell-penetrating peptides for drug delivery to the
central nervous system.
AB - The existence of blood-brain barrier (BBB) represents the most formidable
challenge for drug delivery to the central nervous system (CNS). Modern
breakthrough in biology offers multiple choices for overcoming this barrier but
yields modest outcomes for clinical application due to various problems such as
safety concerns, insufficient delivery efficiency and poor penetration. Cell
penetrating peptides (CPPs) possessing powerful transmembrane capacity have been
shown to be effective transport vectors for bioactive molecules and an attractive
alternative to traditional active targeting approaches. However, the non
specificity of CPPs has hindered them from targeting a desired site of action.
Promisingly, design of novel CPP-mediated nanoparticulate delivery systems with
specific targeting property may extricate CPPs from the dilemma. In this review,
both the traditional and novel applications of CPPs-based strategies for CNS drug
delivery will be discussed.
PMID- 24938894
TI - Cell-penetrating peptide-mediated topical delivery of biomacromolecular drugs.
AB - Biomacromolecules play an important role in the treatment of many diseases, but
their topical application has been limited by their poor cell membrane
permeability. Cell-penetrating peptides (CPPs) have been investigated over the
past few decades as a potential strategy to overcome this challenge. CPPs can
effectively mediate the cellular uptake of poorly internalized biomacromolecules
without unfavorable side effects and have therefore attracted considerable
interest as a viable alternative to existing parenteral therapies involving
biomacromolecules. In this review, we will highlight the application of CPPs to
the non-invasive delivery of biomacromolecules, with a focus on percutaneous and
nasal absorption of biomacromolecules administered using CPPs.
PMID- 24938895
TI - Cell penetrating peptides and the mechanisms for intracellular entry.
AB - A major thrust in the biomedical and pharmaceutical industries is to develop
diagnostic and therapeutic tools that have significantly improved selectivity and
specificity compared to the current state-of-the-art. This has driven much of the
effort to look at molecules and materials that are significantly larger than the
traditional small molecule agents. Due to size restrictions, however, many of
these materials are unable to penetrate the cell membrane and gain access to the
intracellular components on which they exert their action. The relatively recent
discovery of cell penetrating peptides (CPP) provides a powerful tool that has
enabled the intracellular delivery of these materials. While a variety of
proteins, DNA, polymers and even nanoparticles have been successfully delivered
into cells, there still remains some debate as to the mechanism of entry utilized
by the CPPs. In this review, we provide a brief outline of the different
potential mechanisms for cellular uptake of CPPs.
PMID- 24938896
TI - Cell-penetrating peptide-based intelligent liposomal systems for enhanced drug
delivery.
AB - Liposomes are widely used as drug delivery systems and several liposome-based
nanomedicines have been approved for clinical use. Cell penetrating peptides
(CPPs) have been decorated onto nanoparticulated vesicle such as liposomes to
further improve the intracellular delivery efficiency. However, the poor
selectivity of CPPs hindered their application, especially in the in vivo
application. To resolve this issue, several strategies have been developed,
including shielding and environment-triggered deshielding of CPPs as well as
designing of environment-responsive CPPs and specific- targeting CPPs and last
but not least, combination strategy. In this review, the abovementioned
strategies were discussed.
PMID- 24938897
TI - Papanicolaou tests with coexisting squamous and glandular abnormalities.
AB - BACKGROUND: Papanicolaou (Pap) test interpretations of atypical glandular cells
are associated with subsequent detection of squamous and, less often, glandular
malignancies. A Pap test with a combined interpretation of squamous and glandular
atypia indicates concern for either 2 distinct lesions (both squamous and
glandular) or involvement of cervical squamous and glandular epithelium by a
single pathologic process. Dual interpretations can potentially guide patient
management. This retrospective study describes an institutional experience with
Pap test dual reporting of squamous and glandular atypia and patient follow-up in
these cases. METHODS: Following institutional review board approval, a search of
the anatomic pathology database for Pap tests with both atypical squamous and
atypical glandular interpretations from January 2005 to December 2010 was
performed. Other recorded data included: prior history, age, human papillomavirus
(HPV) status, and most severe follow-up histologic diagnosis. RESULTS: Of 361,953
Pap tests interpreted in the laboratory during this period, a total of 230
(0.06%) patients with dual interpretation Pap tests and follow-up were
identified. Follow-up pathology results on these patients were predominantly
squamous lesions (51.7%). Glandular lesions only were detected in 14 cases
(6.1%). Nine (3.9%) patients had both squamous and glandular pathology.
CONCLUSIONS: Over a 6-year period, dual Pap test interpretations with both
squamous and glandular atypia were more often associated with squamous than
glandular lesions. Dual interpretations did identify coexisting squamous and
glandular lesions. However, the number of cases was small.
PMID- 24938898
TI - Pro-apoptotic effects of the novel tangeretin derivate 5-acetyl-6,7,8,4'
tetramethylnortangeretin on MCF-7 breast cancer cells.
AB - Citrus polymethoxyflavone tangeretin (5,6,7,8,4'-pentamethoxyflavone, TAN)
displays multiple biological activities, but previous reports showed that TAN
failed to induce MCF-7 human breast cancer cells apoptosis. Herein, we prepared 5
acetyl-6,7,8,4'-tetramethylnortangeretin (5-ATAN), and evaluated its cytotoxicity
on MCF-7 cells. 5-ATAN revealed stronger cytotoxicity than that of parent TAN in
the growth inhibition of MCF-7 cells. 5-ATAN induced apoptosis via both caspase
independent and -dependent pathways, in which 5-ATAN induced the translocation of
apoptosis inducing factor and phosphorylation of H2AX as well as poly (ADP
ribose) polymerase cleavage, caspase-3 activation. However, 5-ATAN did not affect
extrinsic markers caspase-8, BID, and FADD. Further, 5-ATAN induced the loss of
mitochondrial membrane potential (Deltapsim) by regulating the Bax/Bcl-2 ratio.
Loss of Deltapsim led to the mitochondrial release of cytochrome c which
triggered activation of caspase-9. In conclusion, these data indicate that 5-ATAN
plays pro-apoptotic cytotoxic roles in MCF-7 cells through both caspase-dependent
intrinsic apoptosis and caspase-independent apoptosis pathways.
PMID- 24938899
TI - Analysis of influence of baicalin joint resveratrol retention enema on the TNF
alpha, SIgA, IL-2, IFN-gamma of rats with respiratory syncytial virus infection.
AB - Explore the influence of baicalin joint resveratrol retention enema on TNF-alpha,
SIgA, IL-2, and IFN-gamma of rats with respiratory syncytial virus (RSV)
infection. The 60 SD rats were randomly divided into normal group, model group,
baicalin group, resveratrol group, joint group, and ribavirin group. For model
group, baicalin group, resveratrol group, joint group, and ribavirin group, rats
were given RSV virus suspension intranasally for 3 days, and model group was not
given administration. Baicalin group, resveratrol group, joint group, and
ribavirin group were, respectively, given baicalin 100 mg/kg/day, resveratrol 30
mg/kg/day, baicalin joint resveratrol, and ribavirin 1 g/kg/day retention enema.
After continuously given administration 7 days, rats were measured in serum TNF
alpha, IL-2, IFN-gamma levels and SIgA levels in bronchoalveolar lavage fluid.
Model group, TNF-alpha, IL-2, IFN-gamma, and SIgA were significantly higher than
the normal group (P < 0.05); Baicalin group, resveratrol group, ribavirin group,
TNF-alpha, IL-2, IFN-gamma, and SIgA were significantly higher than the model
group (P < 0.05); TNF-alpha, IL-2 between baicalin group, resveratrol group,
ribavirin group, have no significant difference (P > 0.05); Baicalin group,
resveratrol group, joint group, IFN-gamma, and SIgA were significantly higher
than the ribavirin group (P < 0.05); Joint group TNF-alpha, IL-2, IFN-gamma, and
SIgA were significantly higher than baicalin group, resveratrol group, and
ribavirin group (P < 0.05). Baicalin joint resveratrol retention enema can
increase RSV infection model in rats serum TNF-alpha, IL-2, IFN-gamma levels and
SIgA levels in bronchoalveolar lavage fluid, which may anti-virus through this
mechanism.
PMID- 24938900
TI - Type 1 diabetes mellitus is an independent risk factor for pulmonary fibrosis.
AB - The objective of this study was to assess the clinical and histopathological
relationship between pulmonary fibrosis and type 1 diabetes. We examined clinical
pulmonary function parameters and transbronchial lung biopsies to assess
associated histopathological changes in 12 type 1 diabetic patients presenting
with dyspnea. Lung CT images pulmonary function tests from 12 diabetic patients
without dyspnea and from 12 matched normal subjects served as controls. A similar
histopathological analysis, including cytokine levels and pro-fibrotic markers,
was performed on lung tissues in mice after the induction of experimental
diabetes in an attempt to strengthen the link between diabetes and pulmonary
fibrosis. Pulmonary function parameters (FVC, FEV1, TLC, and DLco/VA) were
significantly reduced in diabetic patients with dyspnea and without dyspnea,
compared to controls. Both patient groups also had increased lung CT scores and
symptoms compared to normal controls, though the greatest increases were in the
diabetic patients with dyspnea. Chronic hyperglycemia induced in mice led to
histopathological changes in the lungs that were similar to those found in the
human diabetic subjects and included alveoli compression by hyperplastic
interstitium infiltrated with inflammatory cells and fibrotic in nature. Two
inflammatory related genes, TNF-alpha and PAI-1, and two fibrosis-related genes,
CTGF and fibronectin, demonstrated increased mRNA and protein expression in
diabetic mouse lungs. In conclusion, there were significant clinical and
histopathological correlations between pulmonary fibrosis and the presence of
type 1 diabetes. Diabetes was clinically associated with pulmonary fibrosis and
dysfunction in humans, and diabetes induction led to a similar pulmonary fibrosis
in an experimental model. These clinical and non-clinical data suggest that
diabetes is an independent risk factor for pulmonary fibrosis.
PMID- 24938901
TI - Correlation between the rupture risk and 3D geometric parameters of saccular
intracranial aneurysms.
AB - The purpose of this study is to evaluate the association of the location and
geometric parameters of intracranial aneurysm with the risk of rupture. A
retrospective study consisted of 284 patients diagnosed with saccular
intracranial aneurysm between January 2009 and May 2013 at Wuxi Third People's
Hospital was conducted. 3D digital subtraction angiography images from all
patients (240 ruptured, 44 unruptured) were obtained and analyzed. The location
of the aneurysms and the 3D geometric parameters including the aneurysm depth,
the neck size, diameter of the parent artery, aneurysm angle, aspect radio, size
ratio, and the neck-to-parent-artery ratio (NPR) were compared between ruptured
and unruptured groups. RESULTS: In ruptured group, anterior communicating artery,
posterior communicating artery (PCoA), and the bifurcation of internal carotid
artery (ICA) were the top three locations for aneurysm occurrence, accounting for
40.00, 30.42, and 12.08 % respectively. While in the unruptured group, top three
locations were PCoA (36.36 %), posterior cerebral circulation (18.18 %), and the
bifurcation of the ICA (15.91 %). Distribution of aneurysm location is
significantly different (p < 0.05) between ruptured and unruptured aneurysms. For
the 3D geometric parameters characterizing aneurysm, aneurysm depth (p < 0.05),
parent artery diameter (p < 0.05), aneurysm angle (p < 0.01), aspect ratio (p <
0.01), and size ratio (p < 0.01) all showed a significant difference between
ruptured and unruptured group. No difference was found in the neck size and the
NPR ratio between the two groups. 3D geometric parameters such as aneurysm depth,
parent artery diameter, aneurysm angle, aspect ratio, and size ratio can be
helpful in evaluating the rupture risk of saccular intracranial aneurysm for a
better prevention and prognosis.
PMID- 24938902
TI - Cyclin-dependent kinase inhibitors, p16 and p27, demonstrate different expression
patterns in thymoma and thymic carcinoma.
AB - OBJECTIVES: The role of cell cycle inhibitors in tumorigenesis has been proven in
various neoplasms; however, their roles in thymic tumors are still unclear. We
examined the expression of cell cycle inhibitors such as those of the Cip/Kip
family (p21, p27, and p57) and the INK-4/ARF family (p16 and p14) in thymoma and
thymic carcinoma. METHODS: Samples from 41 thymoma and 14 thymic carcinoma
patients, and 34 normal thymic tissue samples were prepared for the study.
Immunohistochemical analysis using antibodies to p21, p27, p57, p16, and p14 was
carried out, and the positivity for these inhibitors in each group was estimated
in terms of their subcellular location and percentage of cells showing positive
staining. RESULTS: Nuclear p27 showed a stepwise decrease (p < 0.0001), and the
cytoplasmic p27 showed a stepwise increase (p < 0.0001) in expression level with
the increase in malignancy. p16 in both the nucleus and cytoplasm showed a
stepwise increase (p < 0.0001) in expression level with the increase in
malignancy. However, as for p21, p57, and p14, there was almost no nuclear or
cytoplasmic expression in each group. CONCLUSIONS: Our findings suggest that low
nuclear and high cytoplasmic p27 expression levels, and high nuclear and
cytoplasmic p16 expression levels may correlate with the increase in thymic
malignancy.
PMID- 24938903
TI - Accessible or inaccessible? Diagnostic efficacy of CT-guided core biopsies of
head and neck masses.
AB - PURPOSE: Tissue sampling of lesions in the head and neck is challenging due to
complex regional anatomy and sometimes necessitates open surgical biopsy.
However, many patients are poor surgical candidates due to comorbidity. Thus, we
evaluated the use of CT guidance for establishing histopathological diagnosis of
head and neck masses. METHODS: All consecutive patients (n = 22) who underwent CT
guided core biopsy of head or neck masses between April 2009 and August 2012 were
retrospectively reviewed using the departmental CT interventional procedures
database. The indication for each biopsy performed was to establish or exclude a
diagnosis of neoplasia in patients with suspicious head or neck lesions found on
clinical examination or imaging studies. Patients received conscious sedation and
18 G, semiautomated core needle biopsies were performed by experienced
neuroradiologists using 16-slice multidetector row CT imaging guidance (Somatom
Definition Siemens Medical Solutions, Germany). Histopathology results of each
biopsy were analysed. RESULTS: Sixteen of 22 biopsies that were performed (73 %)
yielded a pathological diagnosis. Anatomic locations biopsied included:
masticator (n = 7), parapharyngeal (n = 3), parotid (n = 3), carotid (n = 3),
perivertebral (n = 3), pharyngeal (n = 2), and retropharyngeal (n = 1) spaces.
Six biopsies (27 %) were nondiagnostic due to inadequate tissue sampling,
particularly small biopsy sample size and failure to biopsy the true sampling
site due to extensive necrosis. No major complications were encountered.
CONCLUSIONS: The use of CT guidance to perform core biopsies of head and neck
masses is an effective means of establishing histopathological diagnosis and
reduces the need for diagnostic open surgical biopsy and general anaesthesia.
PMID- 24938905
TI - Percutaneous radiofrequency ablation of lung cancer presenting as ground-glass
opacity.
AB - PURPOSE: We retrospectively evaluated the outcomes of lung cancer patients
presenting with ground-glass opacity (GGO) who received radiofrequency ablation
(RFA). METHODS: Sixteen patients (5 men and 11 women; mean age, 72.6 years) with
17 lung cancer lesions showing GGO (mean long axis diameter, 1.6 cm) underwent a
total of 20 percutaneous computed tomography (CT) fluoroscopy-guided RFA
sessions, including three repeated sessions for local progression. Lung cancer
with GGO was defined as a histologically confirmed malignant pulmonary lesion
with a GGO component accounting for >50 % of the lesion on high-resolution CT.
Procedure outcomes were evaluated. RESULTS: There were no major complications.
Pneumothorax occurred in 15 of 20 treatment sessions: 14 were asymptomatic, and 1
required chest tube placement but resolved satisfactorily within 48 h. Minor
pulmonary hemorrhage occurred in two and mild pneumonitis in one. The median
tumor follow-up period was 61.5 (range 6.1-96.6) months. The effectiveness rates
of the primary and secondary techniques were 100 and 100 % at 1 year, 93.3 and
100 % at 2 years, and 78.3 and 92.3 % at 3 years, respectively. The median
patient follow-up period was 65.6 (range 6.1-96.6) months. One patient died owing
to recurrent other cancer 11.7 months after RFA, whereas the other 15 remained
alive. Overall survival and disease-specific survival rates were 93.3 and 100 %
at 1 year and 93.3 and 100 % at 5 years, respectively. CONCLUSIONS: RFA for lung
cancer with GGO was safe and effective, and resulted in promising survival rates.
PMID- 24938904
TI - Microwave ablation (MWA) for the treatment of a solitary, chemorefractory
testicular cancer liver metastasis.
AB - We present a case of a patient with stage IIIC metastatic seminoma with a
persistent chemorefractory liver lesion. The patient was deemed a poor surgical
candidate due to the tumor's aggressive biology with numerous other liver lesions
treated with chemotherapy and a relatively high probability for additional
recurrences. Further chemotherapy with curative intent was not a feasible option
due to the fact that the patient had already received second-line high-dose
chemotherapy and four cycles of third-line treatment complicated by renal
failure, refractory thrombocytopenia, and debilitating neuropathy. After initial
failure of laser, microwave ablation of the chemorefractory liver metastasis
resulted in prolonged local tumor control and rendered the patient disease-free
for more than 35 months, allowing him to regain an improved quality of life.
PMID- 24938906
TI - Geometrical factors influencing the hemodynamic behavior of the AAA stent grafts:
essentials for the clinician.
AB - Endovascular aneurysm repair (EVAR) is considered to be the treatment of choice
for abdominal aortic aneurysms (AAA). Despite the initial technical success, EVAR
is amenable to early and late complications, among which the migration of the
endograft (EG) with subsequent proximal endoleak (Type Ia) leads to
repressurization of the AAA sac, exposure to excessive wall stress, and, hence,
to potential rupture. This article discusses the influence that certain
geometrical factors, such as neck angulation, iliac bifurcation, EG curvature,
neck-to-iliac diameter, and length ratios, as well as iliac limbs configuration
can exert on the hemodynamic behavior of the EGs. The information provided could
help both clinicians and EG manufacturers towards further development and
improvement of EG designs and better operational planning.
PMID- 24938908
TI - A commentary on: Risk factors for nonorganic low back pain in patients with
workers' compensation.
PMID- 24938907
TI - Safety and efficacy of bleomycin sclerotherapy for microcystic lymphatic
malformation.
AB - PURPOSE: Sclerotherapy is the mainstay of treatment of macrocystic lymphatic
malformation (LM), but the response using traditional sclerosants is much less
beneficial in microcystic lesions. Intralesional bleomycin has been reported to
be effective in microcystic LM; however, its use is limited by concerns about
pulmonary fibrosis. The purpose of this study was to evaluate the safety and
efficacy of bleomycin sclerotherapy in microcystic LM. METHODS: The medical
records and imaging studies of all patients with microcystic or combined LM who
underwent percutaneous image-guided sclerotherapy using bleomycin were
retrospectively reviewed. Only patients with pre- and postprocedure imaging were
included. Thirty-one patients with a mean age of 13.4 years (range 3 months-31
years) were treated. Response was graded as complete (>90% size reduction),
partial (25-90%), or minimal/no response (<25%). Pulmonary function tests (PFT)
and chest X-rays were performed before the procedure. PFT were repeated at 6
months and 1 year postprocedure. Annual postprocedure chest X-rays were also
performed. RESULTS: The malformations were located in the head and neck (n = 27)
and trunk (n = 4). The number of procedures ranged from 1 to 4 (mean 1.7). Up to
1 U/kg of bleomycin was injected per session, with a maximum of 15 U. The mean
follow-up period was 3.2 years (range 1.5-5 years). There was complete response
in 38% (n = 12), partial response in 58% (n = 18), and no response in 3% (n = 1).
No complications were identified. CONCLUSIONS: Preliminary indicate that
sclerotherapy of microcystic LMs using bleomycin is effective and safe.
PMID- 24938909
TI - Commentary on: "Sagittal alignment as a predictor of clinical adjacent segment
pathology requiring surgery after anterior cervical arthrodesis".
PMID- 24938910
TI - Re: Infection after vertebroplasty or kyphoplasty. A series of nine cases and
review of literature. Spine J 13:1809-17.
PMID- 24938911
TI - Levels of evidence of clinical spinal research published in the highest impact
medical journals.
PMID- 24938912
TI - Decision making about medical interventions in the end-of-life care of people
with intellectual disabilities: a national survey of the considerations and
beliefs of GPs, ID physicians and care staff.
AB - OBJECTIVE: This paper explores the personal beliefs and specific considerations
of professionals regarding decisions about potentially burdensome medical
interventions in the end-of-life care for people with intellectual disabilities
(ID). METHODS: A survey questionnaire covering decision making about potentially
burdensome medical interventions was sent to nationally representative samples of
294 ID care staff-members, 273 ID physicians and 1000 GPs. RESULTS: Professionals
predominantly believed that considerations about quality of life are most
important. Quality of life and wellbeing were also frequently considered in both
decisions to start/continue an intervention and decisions to forgo/withdraw an
intervention. Seventy percent believed that people with ID should always be
informed about interventions, and 61% would respect a refusal by the person. The
family's wishes were explicitly considered more often than the wishes of the
person with ID. CONCLUSION: Although respondents agree that the quality of life
is highly important, the wishes of people with ID (especially of those with
severe/profound ID) were often not considered in decisions about potentially
burdensome medical interventions. PRACTICE IMPLICATIONS: To enhance the active
involvement of people with ID in decision making we recommend that professionals
integrate collaborative principles in decision making and make use of pictorial
and easy reading resources.
PMID- 24938913
TI - Reprogramming of mesenchymal stem cells by oncogenes.
AB - Mesenchymal stem cells (MSCs) originate from embryonic mesoderm and give rise to
the multiple lineages of connective tissues. Transformed MSCs develop into
aggressive sarcomas, some of which are initiated by specific chromosomal
translocations that generate fusion proteins with potent oncogenic properties.
The sarcoma oncogenes typically prime MSCs through aberrant reprogramming. They
dictate commitment to a specific lineage but prevent mature differentiation, thus
locking the cells in a state of proliferative precursors. Deregulated expression
of lineage-specific transcription factors and controllers of chromatin structure
play a central role in MSC reprogramming and sarcoma pathogenesis. This suggests
that reversing the epigenetic aberrancies created by the sarcoma oncogenes with
differentiation-related reagents holds great promise as a beneficial addition to
sarcoma therapies.
PMID- 24938916
TI - [Mother-infant dengue transmission during the 2009-2010 dengue epidemic: report
of four cases].
AB - The risks related to dengue virus infection during pregnancy have been
increasingly better described over the past 10 years. The possibility of maternal
fetal transmission is now recognized, but the diagnosis is still too late because
of a wide range of clinical signs that the infected newborn child can present.
From December 2009 to October 2010, Guadeloupe Island underwent an exceptional
dengue epidemic. During this epidemic, at least four cases of vertical virus
transmission were biologically proved. The purpose of this article is to describe
the clinical aspects of these cases, some of which have rarely been described in
this pathology. Of the four cases, one showed fetal growth restriction, one
neonatal cholestasia, one twin pregnancy, and what seems to be the first case of
hemophagocytic syndrome associated with a newborn child infected by this virus.
Although the proportion of vertical transmission proved is low, compared with the
number of adults affected during an epidemic, some severe cases urge us to be
increasingly watchful with this emergent arbovirus, especially because its real
incidence is still unknown.
PMID- 24938915
TI - [Changes in bacterial meningitis in French children resulting from vaccination].
AB - BACKGROUND: For the past 20 years, three vaccines against the three main
bacterial species implicated in meningitis in children have been included in the
French vaccine calendar: Haemophilus influenzae b in 1993, 7-valent pneumococcal
conjugate vaccine (PCV7) in 2003 (replaced by 13-valent in 2010) and Neisseria
meningitidis C in 2009. The French active surveillance network from the
GPIP/ACTIV monitors the change in the epidemiological, clinical, and biological
features of bacterial meningitis due to vaccine use. METHODS: Over a 12-year
period, 233 pediatric wards working with 168 microbiology departments throughout
France were asked to report all cases of bacterial meningitis. RESULTS: From
January 2001 to December 2012, 4808 bacterial meningitis cases were reported.
Between 2001 and 2012, the number of pneumococcal meningitis (PM) cases decreased
by 23.4%, and by 32.2% for children less than 2 years old. During this period,
the proportion of cases attributable to PCV7 and six additional PCV13 types
decreased from 63.3% to 8.1% and 83.7% to 32.4%, respectively. In 2012, the main
vaccine types (accounting for 25.8% of cases) were 7F (12.2%), 19A (6.8%), and
19F (6.8%), and the most frequent non-vaccine types were 12F (14.9%), 24F
(14.9%), 15B/C (6.8%), 22F (6.8%), and 10A (5.4%). In 2012, the rate of strains
with decreased susceptibility to cefotaxime/ceftriaxone (MIC>0.5 MUg/mL)
represented less than 3% of cases, with no identified resistant strain since 2010
(MIC>2 MUg/mL). Between 2001 (n=67) and 2012 (n=9), the number of NmC meningitis
cases decreased by 87%. CONCLUSION: With more than 4800 bacterial meningitis
cases reported in 12 years, this nationwide survey provides essential information
on the microbiological and clinical characteristics of bacterial meningitis
(epidemiology or resistance data). These results could lead to changing
antibiotic treatment of pneumococcal meningitis before the results of antibiotic
susceptibility tests.
PMID- 24938914
TI - High frequency stimulation extends the refractory period and generates axonal
block in the rat hippocampus.
AB - BACKGROUND: The therapeutic mechanisms of deep brain stimulations (DBS) are not
fully understood. Axonal block induced by high frequency stimulation (HFS) has
been suggested as one possible underlying mechanism of DBS. OBJECTIVE: To
investigate the mechanism of the generation of HFS-induced axonal block. METHODS:
High frequency pulse trains were applied to the fiber tracts of alveus and
Schaffer collaterals in the hippocampal CA1 neurons in anaesthetized rats at 50,
100 and 200 Hz. The amplitude changes of antidromic-evoked population spikes
(APS) were measured to determine the degree of axonal block. The amplitude ratio
of paired-pulse evoked APS was used to assess the changes of refractory period.
RESULTS: There were two distinct recovery stages of axonal block following the
termination of HFS. One frequency-dependent faster phase followed by another
frequency-independent slower phase. Experiments with specially designed temporal
patterns of stimulation showed that HFS produced an extension of the duration of
axonal refractory period thereby causing a fast recovery phase of the axonal
block. Thus, prolonged gaps inserted within HFS trains could eliminate the axonal
block and induced large population spikes and even epileptiform activity in the
upstream or downstream regions. CONCLUSIONS: Extension of refractory period plays
an important role on HFS induced axonal block. Stimulation pattern with properly
designed pauses could be beneficial for different requirements of excitation or
inhibition in DBS therapies.
PMID- 24938917
TI - [Let's stop proton pump inhibitor prescriptions for suspected GERD in non
validated indications!].
PMID- 24938918
TI - [Treatment of pneumococcal meningitis in children in 2014. Position statement of
the French Group for Pediatric Infectious Diseases].
PMID- 24938919
TI - [Deep venous thrombosis complications during infections in pediatric patients:
analysis of a series of 24 cases].
AB - Venous thromboembolism disease (VTE) is rare in children (5.3 of 10,000
hospitalized children). However, morbidity and mortality are high, especially
when the child is already suffering from severe sepsis. We report an analytical
study of 24 cases of deep venous thrombosis occurring in children during
infection, recorded at the Montpellier University Hospital between 1999 and 2009.
Many parameters were studied in each population (age, sex, familial and personal
history of thrombosis, history of thrombophilia, the presence of a venous
catheter, a causative organism, time to onset of thrombus, topography of lesions,
acquired abnormalities of hemostasis, and thrombosis prophylaxis). The children
were aged from 1 day of life to 16 years. Thromboses occurred in two clinical
contexts: "contact" thrombosis (which appeared near the infection) and
disseminated thrombosis. This is an early complication because in most of the
cases, it appeared in the first 10 days of sepsis. Infection and coagulation
appear to be closely related and the states of latent or decompensated
disseminated intravascular coagulation are common. Nevertheless, it is not
possible to predict the occurence of a thrombotic event. The presence of risk
factors (venous catheters, acquired thrombophilia, or constitutional
thrombophilia) may increase the thrombogenic potential of the infection. VTE
should always be suspected and sought in case of an unfavorable clinical course,
and routine prophylaxis of thrombosis during sepsis should be discussed.
PMID- 24938920
TI - Olanzapine treatment during pregnancy and breastfeeding: a chance for women with
psychotic illness?
PMID- 24938921
TI - Laboratory tests for assessing adaptability and stickiness of dental composites.
AB - OBJECTIVE: Handling (stickiness, adaptability) of a dental composite does
strongly influence quality and success of a dental restoration. The purpose was
to develop an in vitro test, which allows for evaluating adaptability and
stickiness. METHODS: 15 dentists were asked for providing individual assessment
(school scores 1-6) of five dental composites addressing adaptability and
stickiness. Composites were applied with a dental plugger (d=1.8 mm) in a class I
cavity (human tooth 17). The tooth was fixed on a force gauge for simultaneous
determination of application forces with varying storage (6/25 degrees C) and
application temperatures (6/25 degrees C). On basis of these data tensile tests
were performed with a dental plugger (application force 1N/2N; v=35 mm/min) on
PMMA- or human tooth plates. Composite was dosed onto the tip of the plugger and
applied. Application and unplugging was performed once and unplugging forces (UF)
and length of the adhesive flags (LAF) were determined at different storage (6/25
degrees C) and application temperatures (25/37 degrees C). Unplugging work (UW)
was calculated from area of UF and LAF data. RESULTS: The individual assessment
revealed significantly different temperature-dependent application forces between
0.58 N and 2.23 N. Adaptability was assessed between 2.1 and 2.8 school scores.
Stickiness varied significantly between the materials (scores: 2-3.2). UW
differed significantly between the materials with values between 3.20 N mm and
37.83 N mm. Between PMMA substrate or tooth slides and between 1N or 2N
application force only small UW differences were found. SIGNIFICANCE: The
presented in vitro unplugging work allows for an in vitro estimation of the
handling parameters adaptability and stickiness.
PMID- 24938922
TI - Proteoglycans affect monomer infiltration in the etch-and-rinse bonding
technique.
AB - OBJECTIVES: To identify proteoglycans (PGs) and collagen fibrils (CF) within
human dentin by means of a dual immunofluorescent labeling technique and to
investigate the monomer infiltration of two etch-and-rinse adhesives to tosyl
phenylalanine chloromethyl-ketone (TPCK)-treated trypsin (TRY)-pretreated dentin.
METHODS: Thirty-micrometer sections of middle coronal dentin were obtained and
etched with 37% phosphoric acid gel for 15 s. After preconditioning with or
without TRY digestion, the sections were subjected to dual immunofluorescent
labeling and observed with a confocal laser scanning microscope (CLSM).
Demineralized dentin matrixes treated with or without TRY were observed with
field emission scanning electron microscope (FE-SEM). Two etch-and-rinse
adhesives, Adper Single Bond 2 (SB) and Prime & Bond NT (PBNT), were applied to
the dentin surfaces that were pretreated with or without TRY. The thickness of
the hybrid layers was evaluated under confocal micro-Raman spectroscopy and
analyzed with a two-way ANOVA. RESULTS: Green and red fluorescence was used to
represent the PGs and the CF that were colocalized in the same section with
different distributions. PGs were localized in the lumens of the dentin tubules
and in peritubular dentin, while the type-I collagen fibrils were localized in
intertubular dentin and peritubular dentin. After preconditioning with TRY
digestion, the red fluorescence decreased or disappeared, the organic filaments
in the lumens of the dentin tubules disappeared, the tubules were enlarged, and
the hybrid layer thickness for adhesives bonded to the TRY-pretreated dentin
surfaces were significantly increased (p<0.001 for both SB and PBNT).
SIGNIFICANCE: The dual immunofluorescence labeling methodology can be used to
study the human dentin matrix without decalcifying the entire dentin fragment.
Proteoglycans were localized in the lumens of the dentin tubules and in
peritubular dentin, which could depress the infiltration of the adhesive resin
monomers. The use of TRY digestion increased the thickness of the hybrid layer
created by the tested two-step etch-and-rinse adhesive.
PMID- 24938923
TI - Contributions of stress corrosion and cyclic fatigue to subcritical crack growth
in a dental glass-ceramic.
AB - OBJECTIVE: The objective of this study was to test the following hypotheses: (1)
both cyclic degradation and stress-corrosion mechanisms result in subcritical
crack growth (SCG) in a fluorapatite glass-ceramic (IPS e.max ZirPress, Ivoclar
Vivadent) and (2) there is an interactive effect of stress corrosion and cyclic
fatigue to accelerate subcritical crack growth. METHODS: Rectangular beam
specimens were fabricated using the lost-wax process. Two groups of specimens
(N=30/group) with polished (15MUm) or air-abraded surface were tested under rapid
monotonic loading. Additional polished specimens were subjected to cyclic loading
at two frequencies, 2Hz (N=44) and 10Hz (N=36), and at various stress amplitudes.
All tests were performed using a fully articulated four-point flexure fixture in
deionized water at 37 degrees C. The SCG parameters were determined using the
ratio of inert strength Weibull modulus to lifetime Weibull modulus. A general
log-linear model was fit to the fatigue lifetime data including time to failure,
frequency, peak stress, and the product of frequency and logarithm of stress in
ALTA PRO software. RESULTS: SCG parameters determined were n=21.7 and A=4.99*10(
5) for 2Hz, and n=19.1 and A=7.39*10(-6) for 10Hz. After fitting the general log
linear model to cyclic fatigue data, the coefficients of the frequency term
(alpha1), the stress term (alpha2), and the interaction term (alpha3) had
estimates and 95% confidence intervals of alpha1=-3.16 (-15.1, 6.30), alpha2=
21.2 (-34.9, -9.73), and alpha3=0.820 (-1.59, 4.02). Only alpha2 was
significantly different from zero. SIGNIFICANCE: (1) Cyclic fatigue does not have
a significant effect on SCG in the fluorapatite glass-ceramic evaluated and (2)
there was no interactive effect between cyclic degradation and stress corrosion
for this material.
PMID- 24938924
TI - Biaxial flexure strength determination of endodontically accessed ceramic
restorations.
AB - OBJECTIVES: To report analytic solutions capable of identifying failure stresses
from the biaxial flexure testing of geometries representative of endodontic
access cavities prepared through dental restorative materials. METHODS: The ring
on-ring biaxial flexure strength of annular discs with a central circular hole
supported peripherally by a knife-edge support and loaded evenly at the upper
edge of the central hole were solved using general expressions of deformations,
moments and shears for flat plates of a constant thickness. To validate the
solutions, finite element analyses were performed. A three-dimensional one
quarter model of the test was generated using a linear P-code FEA software and
the boundary conditions represented the experimental test configuration whereby
symmetry planes defined the full model. To enable comparison of the maximum
principal stresses with experimental derived data, three groups of nominally
identical feldspathic ceramic disks (n=30) were fabricated. Specimens from Group
A received a 4mm diameter representative endodontic access cavity and were tested
in ring-on-ring. Group B and C specimens remained intact and were tested in ring
on-ring and ball-on-ring, respectively, to give insight into strength scaling
effects. Fractography was used to confirm failure origins, and statistical
analysis of fracture strength data was performed using one-way ANOVAs (P<0.05)
and a Weibull approach. RESULTS: The developed analytical solutions were
demonstrated to deviate <1% from the finite element prediction in the
configuration studied. Fractography confirmed the failure origin of tested
samples to coincide with the predicted stress maxima and the area where fracture
is observed to originate clinically. Specimens from the three experimental groups
A-C exhibited different strengths which correlated with the volume scaling
effects on measured strength. SIGNIFICANCE: The solutions provided will enable
geometric and materials variables to be systematically studied and remove the
need for load-to-failure 'crunch the crown' testing.
PMID- 24938925
TI - Acoustic emission analysis of the effect of simulated pulpal pressure and cavity
type on the tooth-composite interfacial de-bonding.
AB - OBJECTIVE: The aim of this study was to evaluate the influence of in vitro pulpal
pressure and cavity type on the tooth-composite bonding interface by means of
acoustic emission (AE) analysis. METHODS: Classes I and II cavities on extracted
third molars were prepared and assigned to four groups of seven teeth each: (1)
direct composite restoration without simulated pulpal pressure (SPP) in class I
cavity, (2) direct composite restoration with SPP in class I cavity, (3) direct
composite restoration without SPP in class II cavity, (4) direct composite
restoration with SPP in class II cavity. The teeth were restored with Filtek Z250
composite and Adper Scotchbond Multi-Purpose adhesive system (3M ESPE, St. Paul,
MN, USA). AE events were recorded for 2000s during light-curing. Groups 2 and 4
were subjected to 20 cm H2O hydrostatic pressure throughout the procedures. The
data were analyzed with two-way ANOVA. After the AE test, teeth were sectioned
longitudinally in mesio-distal direction, the tooth-composite interface was
examined using SEM. RESULTS: SPP in Groups 2 (4.57 +/- 1.40) and 4 (3.43 +/-
1.13) yielded significantly higher AE events number than those of Groups 1 (3.43
+/- 1.51) and 3 (1.71 +/- 0.95) where the SPP was not applied (p<0.05). The
number of AE events of class I cavity in Groups 1 and 2 were significantly higher
than those of class II cavity in Groups 3 and 4 (p<0.05). SEM examination showed
that all groups had intact enamel-composite interface, while micro-gaps were
observed at the dentin-composite interface, mainly at the pulpal floor of the
cavity. The class I cavities with SPP in Group 2 showed wider gaps more
frequently than class II cavities without SPP in Group 3. SIGNIFICANCE: The SPP
and class I cavity with high C-factor triggered more AE events, confirming its
negative impact on the bonding interface.
PMID- 24938926
TI - Synthesis of antibacterial and radio-opaque dimethacrylate monomers and their
potential application in dental resin.
AB - OBJECTIVE: In this study, three dimethacrylate quaternary ammonium compounds N,N
bis[2-(3-(methacryloyloxy)propanamido)ethyl]-N-methyldodecyl ammonium iodide
(QADMAI-12), N,N-bis[2-(3-(methacryloyloxy)propanamido)ethyl]-N-methylhexadecyl
ammonium iodide (QADMAI-16), and N,N-bis[2-(3-(methacryloyloxy)propanamido)ethyl]
N-methyloctadectyl ammonium iodide (QADMAI-18) were synthesized and proposed to
be used as antibacterial and radio-opaque agents in dental resin. METHODS: All
QADMAIs were synthesized through a 2-steps reaction route, and their structures
were confirmed by FT-IR and 1H NMR spectra. Antibacterial activities against
Streptococcus mutans (S. mutans) of QADMAIs were measured by agar diffusion test.
Each QADMAI was mixed with TEGDMA (50/50, w/w) and photoinitiation system (0.7
wt% of CQ and 0.7 wt% of DMAEMA) to form resin system. Degree of monomer
conversion (DC) was determined by FT-IR analysis. The flexural strength (FS) and
modulus (FM) of the polymer were measured using a three-point bending set up.
Radiograph was taken to determine the radio-opacity of the polymer, and aluminum
step-wedge (0.5-4 mm) was used as calibration standard. Surface charge density
was measured using fluorescein binding. A single-species biofilm model with S.
mutans as the tests organism was used to evaluate the antibacterial property of
the polymer. Bis-GMA/TEGDMA resin system was used as control material in all of
the tests. RESULTS: FT-IR and 1H NMR spectra showed that the structures of
QADMAIs were the same as designed. ANOVA analysis revealed that antibacterial
activity of QADMAI decreased with the increasing of alkyl chain length (p<0.05).
QADMAI containing polymers had higher DC (p<0.05) but lower FS and FM (p<0.05)
than control polymer. Alkyl chain length had no influence on DC (p>0.05), but FS
and FM of QADMAI-12 containing polymer were better than those of QADMAI-16 and
QADMAI-18 containing polymers (p<0.05). QADMAI containing polymers had much
better radio-opacity than control polymer (p<0.05), and the radio-opacity of
polymer decreased with the increasing of alkyl chain length (p<0.05). All of
QADMAIs containing polymers had higher surface charge density than control
polymer (p<0.05), and surface charge densities of QADMAI-12 and QADMAI-16
containing polymers were nearly the same (p>0.05) which were higher than that of
QADMAI-18 containing polymer (p<0.05). All of QADMAI containing polymers had good
inhibitory effect on biofilm formation. SIGNIFICANCE: QADMAIs had no miscibility
problem with TEGDMA, and QADMAIs could endow dental resin with both antibacterial
activity and radio-opacity. Formulation of QADMAI containing resin should be
optimized in terms of mechanical stregth to satisfy the requirements of dental
resin for clinical application.
PMID- 24938927
TI - Effects of external pelvic compression on isokinetic strength of the thigh
muscles in sportsmen with and without hamstring injuries.
AB - OBJECTIVES: To investigate whether application of a pelvic compression belt
affects isokinetic strength of the thigh muscles in sportsmen with and without
hamstring injuries. DESIGN: Randomized crossover, cross-sectional. METHODS:
Twenty sportsmen (age 22.0+/-1.5 years) with hamstring injuries (hamstring
injured group) and 29 (age 23.5+/-1.5 years) without hamstring injuries (control
group) underwent isokinetic testing of the thigh muscles. Testing included five
reciprocal concentric quadriceps and hamstring contractions, and five eccentric
hamstring contractions at an angular velocity of 60 degrees /s, with and without
a pelvic compression belt in randomized order. The outcome measures were average
torque normalized to bodyweight for terminal range eccentric hamstring
contractions and peak torque normalized to bodyweight for concentric quadriceps,
concentric hamstring and eccentric hamstring contractions. RESULTS: There was a
significant increase in normalized average torque of eccentric hamstring
contractions in the terminal range for both groups (p<=0.044) and normalized peak
torque of eccentric hamstring contractions for injured hamstrings (p=0.025) while
wearing the pelvic compression belt. No significant changes were found for other
torque variables. Injured hamstrings were weaker than the contralateral uninjured
hamstrings during terminal range eccentric hamstring (p=0.040), and concentric
hamstring (p=0.020) contractions recorded without the pelvic compression belt.
However, no between-group differences were found for any of the investigated
variables. CONCLUSION: Wearing the pelvic compression belt appears to have a
facilitatory effect on terminal range eccentric hamstring strength in sportsmen
with and without hamstring injuries. Future investigations should ascertain
whether there is a role for using a pelvic compression belt for rehabilitation of
hamstring injuries.
PMID- 24938928
TI - Optical dosimetry of radiotherapy beams using Cherenkov radiation: the
relationship between light emission and dose.
AB - Recent studies have proposed that light emitted by the Cherenkov effect may be
used for a number of radiation therapy dosimetry applications. There is a
correlation between the captured light and expected dose under certain
conditions, yet discrepancies have also been observed and a complete examination
of the theoretical differences has not been done. In this study, a fundamental
comparison between the Cherenkov emission and absorbed dose was explored for x
ray photons, electrons, and protons using both a theoretical and Monte Carlo
based analysis. Based on the findings of where dose correlates with Cherenkov
emission, it was concluded that for x-ray photons the light emission would be
optimally suited for narrow beam stereotactic radiation therapy and surgery
validation studies, for verification of dynamic intensity-modulated and
volumetric modulated arc therapy treatment plans in water tanks, near
monoenergetic sources (e.g., Co-60 and brachy therapy sources) and also for
entrance and exit surface imaging dosimetry of both narrow and broad beams. For
electron use, Cherenkov emission was found to be only suitable for surface
dosimetry applications. Finally, for proton dosimetry, there exists a fundamental
lack of Cherenkov emission at the Bragg peak, making the technique of little use,
although post-irradiation detection of light emission from radioisotopes could
prove to be useful.
PMID- 24938929
TI - Beta-catenin regulates NF-kappaB activity and inflammatory cytokine expression in
bronchial epithelial cells treated with lipopolysaccharide.
AB - In the present study, we demonstrate that lipopolysaccharide (LPS) induces the
expression of inflammatory cytokines, including interleukin (IL)-6, IL-8, IL
1beta, tumor necrosis factor (TNF)-alpha and monocyte chemoattractant protein
(MCP)-1 in BEAS-2B human bronchial epithelial cells in a dose- and time-dependent
manner. This increase was accompanied by an increased activity of nuclear factor
(NF)-kappaB. When the expression of beta-catenin was analyzed following treatment
with LPS, the mRNA level was unaltered; however, the beta-catenin protein levels
increased with a decrease in phosphorylation at the serine 33/37 residues.
Nuclear beta-catenin protein levels also increased along with the reporter
activity of a beta-catenin-responsive TOPFlash vector. To elucidate the
regulatory role of beta-catenin in the LPS-induced inflammatory response of
bronchial epithelial cells, beta-catenin production was knocked down using siRNA.
Our results revealed that beta-catenin protein levels and TOPFlash vector
reporter activity were reduced to basal levels by siRNA transfection. In this
experimental condition, NF-kappaB activity, measured by enzyme-linked
immunosorbent assay (ELISA), electrophoretic mobility shift assay (EMSA) and an
NF-kappaB responsive reporter assay, was reduced to basal levels. Similarly, LPS
induced inflammatory cytokine expression was reduced almost to basal levels
following transfection with beta-catenin siRNA. These results demonstrate that
beta-catenin positively regulates NF-kappaB activity, as well as the expression
of inflammatory cytokines in the inflammatory response of LPS-treated bronchial
epithelial cells.
PMID- 24938930
TI - On the permanent hip-stabilizing effect of atmospheric pressure.
AB - Hip joint dislocations related to total hip arthroplasty (THA) are a common
complication especially in the early postoperative course. The surgical approach,
the alignment of the prosthetic components, the range of motion and the muscle
tone are known factors influencing the risk of dislocation. A further factor that
is discussed until today is atmospheric pressure which is not taken into account
in the present THA concepts. The aim of this study was to investigate the impact
of atmospheric pressure on hip joint stability. Five joint models (O 28-44 mm),
consisting of THA components were hermetically sealed with a rubber capsule,
filled with a defined amount of fluid and exposed to varying ambient pressure.
Displacement and pressure sensors were used to record the extent of dislocation
related to intraarticular and ambient pressure. In 200 experiments spontaneous
dislocations of the different sized joint models were reliably observed once the
ambient pressure was lower than 6.0 kPa. Increasing the ambient pressure above
6.0 kPa immediately and persistently reduced the joint models until the ambient
pressure was lowered again. Displacement always exceeded half the diameter of the
joint model and was independent of gravity effects. This experimental study gives
strong evidence that the hip joint is permanently stabilized by atmospheric
pressure, confirming the theories of Weber and Weber (1836). On basis of these
findings the use of larger prosthetic heads, capsular repair and the deployment
of an intracapsular Redon drain are proposed to substantially decrease the risk
of dislocation after THA.
PMID- 24938931
TI - Latinos' Access to Online and Formal Mental Health Support.
AB - Research on mental health services disparities affecting minority populations of
the USA tends to neglect online mental health support (OMHS). The main objective
of this study was to investigate online mental health support and help-seeking of
Latino citizens living in US communities by estimating associations linking OMHS
with a selection of individual and community variables. In addition, the extent
to which unmet mental health treatment needs among adults are associated with key
variables was examined. Variables of interest included economic resources, health
insurance and coverage, confidentiality, perceived stigma, and accessibility.
Data are from 39,630 Latino adult participants in the National Surveys on Drug
Use and Health (NSDUH), 2004-2010. Results indicate that for every 10,000 US
Latino adults, fewer than 25 individuals received recent OMHS, as compared to a
recently published estimate of 270-330 per 10,000 for the US population
generally. Among Latinos with self-described unmet mental health needs, an
estimated 40% identified cost of treatment as a prominent barrier that explained
why they had not received formal mental health treatment services. Research and
policy health disparities implications are discussed.
PMID- 24938933
TI - Homogeneous liquid-liquid extraction of neodymium(III) by choline
hexafluoroacetylacetonate in the ionic liquid choline
bis(trifluoromethylsulfonyl)imide.
AB - The ionic liquid choline bis(trifluoromethylsulfonyl)imide, [Chol][Tf2N], was
used for the extraction of neodymium(III), in combination with choline
hexafluoroacetylacetonate, [Chol][hfac], as the extractant. The binary mixture of
[Chol][Tf2N] and water shows temperature-dependent phase behavior, with an upper
critical solution temperature of 72 degrees C. A novel extraction technique,
homogeneous liquid-liquid extraction (HLLE), was applied to this solvent system.
HLLE is based on the use of thermomorphic solvent mixtures and has the advantage
of forming a homogeneous phase during mixing. Extraction is not kinetically
hindered by an interface and the extraction equilibrium is reached faster than in
the case of heterogeneous mixing in conventional solvent extraction. Several
extraction parameters were studied for the extraction of neodymium(III) with
[Chol][hfac]: temperature, pH, extractant concentration and loading of the ionic
liquid phase. A speciation study was performed to determine the stoichiometry of
the extracted neodymium(III) complex and a plausible extraction mechanism is
proposed. Neodymium is extracted as a tetrakis hexafluoroacetylacetonate complex
with one choline cation as counter ion. The crystal structure of the extracted
complex showed the presence of a coordination bond between the choline counter
ion and the neodymium(III) center, resulting in a coordination number of nine.
The stripping of the loaded neodymium and the influence of acid and extractant
concentrations on the phase behavior of the [Chol][Tf2N]-H2O system were
investigated.
PMID- 24938932
TI - Affordable Care Act and behavioral health services: special section editor's
note.
PMID- 24938934
TI - Cost-effectiveness of the endoscopic versus microscopic approach for pituitary
adenoma resection.
AB - OBJECTIVES/HYPOTHESIS: To evaluate the cost-effectiveness of an endoscopic versus
microscopic approach to pituitary adenoma resection. STUDY DESIGN: Markov
decision tree economic evaluation. METHODS: An economic evaluation using a Markov
decision tree model was performed. The economic perspective was that of the
healthcare third-party payer. Effectiveness and probability data were obtained
from a single meta-analysis of 38 studies. Costs were obtained from the
Healthcare Cost and Utilization Project database and wholesale pharmaceutical
pricing. Multiple sensitivity analyses were performed including a probabilistic
sensitivity analysis. Comparative treatment groups were: 1) endoscopic approach
and 2) microscopic approach to pituitary adenoma resection. The primary outcome
was cost per quality-adjusted life year (QALY). The time horizon was 25 years,
and costs were discounted at a rate of 3.5%. RESULTS: The endoscopic approach
cost a total of $17,244.63 and produced a total of 24.30 QALYs. The microscopic
approach cost a total of $23,756.60 and produced a total of 24.20 QALYs. In the
reference case, the endoscopic approach was a dominant intervention (both less
costly and more effective); therefore, an incremental cost-effectiveness ratio
was not calculated. The sensitivity analysis demonstrated 79% certainty that the
endoscopic approach is the cost-effective decision, at a willingness to pay
threshold of $50,000 per QALY. CONCLUSIONS: This economic evaluation suggests
that the endoscopic approach is the more cost-effective intervention compared to
the microscopic approach for patients requiring a pituitary adenoma resection.
PMID- 24938935
TI - Identifying patient safety problems during team rounds: an ethnographic study.
PMID- 24938936
TI - Bedoradrine for treating asthma and chronic obstructive pulmonary disease.
AB - INTRODUCTION: In severe asthma attacks or in severe chronic obstructive pulmonary
disease (COPD) exacerbations, inhaled short-acting bronchodilators, such as
salbutamol (albuterol), terbutaline or ipratropium, often have a limited
effectiveness due to significantly impaired ventilation. For these reasons, the
use of a systemic bronchodilator medication might be more appropriate as
therapeutic options. However, such formulations, especially salbutamol, are
usually, and unfortunately, associated with a high risk of cardiovascular events.
For this reason, they are only seldom used, especially in the case of paediatric
populations. AREAS COVERED: This drug evaluation paper reviews the potential
therapeutic agent bedoradrine, an ultraselective beta2 agonist, which is
currently under development for treating exacerbated asthma and COPD. The article
includes a review of both in vitro and in vivo studies as well as a review of the
pharmacokinetics, efficacy, safety and tolerability. EXPERT OPINION: The
intravenous use of bedoradrine has demonstrated promising preliminary efficacy in
existing clinical trials for exacerbated asthma. However, the efficacy of
bedoradrine is still uncertain in exacerbated COPD. Further clinical studies
should assess the efficacy and safety of bedoradrine as an add-on therapy to
usual standardised approach and exacerbation-related variables should be used as
outcome measures.
PMID- 24938938
TI - Double standard on pay dishonours profession.
PMID- 24938937
TI - Partial breast irradiation for early breast cancer.
AB - BACKGROUND: Breast conserving therapy for women with breast cancer consists of
local excision of the tumour (achieving clear margins) followed by radiation
therapy (RT). RT is given to sterilize tumour cells that may remain after surgery
to decrease the risk of local tumour recurrence. Most true recurrences occur in
the same quadrant as the original tumour. Whole breast RT may not protect against
the development of a new primary cancer developing in other quadrants of the
breast. In this Cochrane Review, we investigated the role of delivering radiation
to a limited volume of the breast around the tumour bed (partial breast
irradiation: PBI) sometimes with a shortened treatment duration (accelerated
partial breast irradiation: APBI). OBJECTIVES: To determine whether PBI/APBI is
equivalent to or better than conventional or hypofractionated WBRT after breast
conservation therapy for early-stage breast cancer. SEARCH METHODS: We searched
the Cochrane Breast Cancer Group Specialised Register (07 November 2013), CENTRAL
(2014, Issue 3), MEDLINE (January 1966 to 11 April 2014), EMBASE (1980 to 11
April 2014), CINAHL (11 April 2014) and Current Contents (11 April 2014). Also we
searched the International Standard Randomised Controlled Trial Number Register,
the World Health Organization's International Clinical Trials Registry Platform
(07 November 2013) and US clinical trials registry (www.clinicaltrials.gov) (22
April 2014). We searched for grey literature: Open Grey (23 April 2014),
reference lists of articles, a number of conference proceedings and published
abstracts, and did not apply any language restrictions. SELECTION CRITERIA:
Randomised controlled trials (RCTs) without confounding and evaluating
conservative surgery plus PBI/APBI versus conservative surgery plus whole breast
RT. We included both published and unpublished trials. DATA COLLECTION AND
ANALYSIS: Three review authors (ML, DF and BH) performed data extraction and
resolved any disagreements through discussion. We entered data into Review
Manager for analysis. BH and ML assessed trials, graded the methodological
quality using Cochrane's Risk of Bias tool and resolved any disagreements through
discussion. MAIN RESULTS: We included four RCTs that had 2253 women. Two older
trials examined RT techniques which do not reflect current practice and one trial
had a short follow-up. We downgraded the quality of the evidence for our key
outcomes due to risk of bias. Taken together with other GRADE recommendations,
the quality of evidence for our outcomes was very low to low. For the comparison
of partial breast irradiation/accelerated breast irradiation (PBI/APBI) with
whole breast irradiation (WBRT), local recurrence-free survival appeared worse
(Hazard Ratio (HR) 1.74, 95% confidence interval (CI) 1.23 to 2.45; three trials,
1140 participants, very low quality evidence). Cosmesis appeared improved with
PBI/APBI in a single trial (OR 0.40, 95% CI 0.23 to 0.72; one trial, 241
participants, very low quality evidence), but late toxicity (telangiectasia OR
4.41, 95% CI 3.21 to 6.05; very low quality evidence, 708 participants) and
subcutaneous fibrosis (OR 4.27, 95% CI 3.04 to 6.01; one trial, 710 participants,
very low quality evidence) appeared increased in another trial. We found no clear
evidence of a difference for the comparison of PBI/APBI versus WBRT for the
outcomes of: overall survival (HR 0.99, 95% CI 0.83 to 1.18; three trials, 1140
participants, very low quality evidence), cause-specific survival (HR 0.95, 95%
CI 0.74 to 1.22; two trials, 966 participants, low evidence quality), distant
metastasis-free survival (HR 1.02, 95% CI 0.81 to 1.28; 1140 participants, low
quality evidence), subsequent mastectomy rate (OR 0.20, 95% CI 0.01 to 4.21; 258
participants, low quality evidence) and relapse-free survival (HR 0.99, 95% CI
0.53 to 1.85; 258 participants, low quality evidence). We found no data for the
outcomes of acute toxicity, new ipsilateral breast primaries, costs, quality of
life or consumer preference. AUTHORS' CONCLUSIONS: The limitations of the data
currently available mean that we cannot make definitive conclusions about the
efficacy and safety or ways to deliver of PBI/APBI. We await completion of
ongoing trials.
PMID- 24938940
TI - Employers 'raid' poorer countries after five years of training cuts.
PMID- 24938939
TI - Pay strike would leave patients in the lurch, Carter tells RCN congress.
PMID- 24938941
TI - Chief executive pay hikes 'a kick in the teeth for nurses', says RCN.
PMID- 24938942
TI - District nursing could face extinction by 2025.
PMID- 24938943
TI - NICE told to beef up its guidance on safe staffing.
PMID- 24938944
TI - Staff demonstrate outside congress to highlight NHS pay inequalities.
PMID- 24938945
TI - Alert issued over potentially fatal use of suction drains.
PMID- 24938947
TI - Tracheostomy training needed.
PMID- 24938948
TI - Leg club staff showcase their work.
PMID- 24938949
TI - Stroke nurses to take part in global trial of patient positioning policies.
PMID- 24938950
TI - Nursing celebrated in Queen's birthday honours.
PMID- 24938951
TI - 'Nurses are not just there for when patients are ill'.
PMID- 24938953
TI - Employers welcome guidance on performance-related pay systems.
PMID- 24938954
TI - Wright Angle - Stephen Wright's monthly take on nursing in the news: beware those
who claim our NHS is unaffordable.
PMID- 24938960
TI - Bowel cancer.
PMID- 24938961
TI - Delivering best care in war and peace.
AB - Col Alan Finnegan, the fi rst Ministry of Defence professor of nursing, is
driving forward research into preparing nurses for deployment and ensuring they
deliver the best care possible in war and peace. Research topics range from the
role of autonomous practitioners to the effects on soldiers of injuries to their
genitalia.
PMID- 24938962
TI - Honesty will always be the best policy.
AB - Under the draft code nurses and midwives must comply with a proactive duty of
candour, informing patients and relatives when care may have caused harm, acting
immediately to put things right, and responding honestly to complaints and
investigations.
PMID- 24938975
TI - Personal healthcare budgets fail to meet 'the general good'.
PMID- 24938976
TI - Care assistant regulation would help improve care home quality.
PMID- 24938977
TI - Decision to spell out basic care duties in new code is patronising.
PMID- 24938978
TI - Using the carrot and stick approach to improve care is not the solution.
PMID- 24938981
TI - Help us in our ambition to end FGM in the UK within a generation.
PMID- 24938980
TI - Government's failure to legislate puts unsustainable onus on NMC.
PMID- 24938982
TI - Rectus sheath catheter infusions for post-operative pain management.
AB - Managing pain following major abdominal surgery remains a challenge.
Traditionally, patient-controlled analgesia (PCA) or epidural analgesia have been
used, which have improved post-operative pain and the patient experience, but
have presented some problems in recovery. PCA can cause adverse effects,
including sedation, nausea, vomiting, and prolonged gastric ileus. While
epidurals do have some advantages over PCA, there are risks involved related to
catheter insertion and adverse effects, such as hypotension and motor blocks
which limit mobility. This article examines rectus sheath catheter infusions, a
relatively new and alternative technique to epidural analgesia, and presents some
early audit data related to pain scores, analgesic use and mobility.
PMID- 24938983
TI - Involving service users in delivering alcohol addiction therapy.
AB - Involving and empowering people who use health services, and taking their
experiences into account, has evolved from being considered good practice to
being duties of the NHS. However, evidence suggests that the rate of progress and
change has been slow, despite the constant emphasis on the merits of involving
and engaging the public and patients. This article, written in collaboration with
two service users, reports on efforts by nursing staff working in alcohol
addiction to involve service users in setting up and managing the self-management
and recovery training initiative at the Brian Hore Unit, part of the Manchester
Mental Health and Social Care Trust. The article aims to encourage healthcare
professionals to appreciate the benefits of proactive patient and public
involvement for their organisations and for those who get involved.
PMID- 24938984
TI - Hypertension: diagnosis, assessment and management.
AB - Hypertension is a serious and common condition, the prevalence of which is set to
rise given the increase in the ageing population, sedentary lifestyles and
obesity. This article reviews the management of primary hypertension in adults,
with reference to the National Institute for Health and Care Excellence
guidelines. Diagnosis using clinic, ambulatory and home blood pressure (BP)
monitoring, risk factors , BP targets, lifestyle advice, drug treatment and
patient education are also discussed.
PMID- 24938985
TI - Deep vein thrombosis.
PMID- 24938986
TI - Inspiring a generation.
PMID- 24938987
TI - Devolution into the bargain.
PMID- 24938989
TI - Student life - A wealth of possibilities.
PMID- 24938991
TI - Design of parallel transmission pulses for simultaneous multislice with explicit
control for peak power and local specific absorption rate.
AB - PURPOSE: To design parallel transmit (pTx) simultaneous multislice (SMS) spokes
pulses with explicit control for peak power and local and global specific
absorption rate (SAR). METHODS: We design SMS pTx least-squares and magnitude
least squares spokes pulses while constraining local SAR using the virtual
observation points (VOPs) compression of SAR matrices. We evaluate our approach
in simulations of a head (7T) and a body (3T) coil with eight channels arranged
in two z-rows. RESULTS: For many of our simulations, control of average power by
Tikhonov regularization of the SMS pTx spokes pulse design yielded pulses that
violated hardware and SAR safety limits. On the other hand, control of peak power
alone yielded pulses that violated local SAR limits. Pulses optimized with
control of both local SAR and peak power satisfied all constraints and therefore
had the best excitation performance under limited power and SAR constraints.
These results extend our previous results for single slice pTx excitations but
are more pronounced because of the large power demands and SAR of SMS pulses.
CONCLUSIONS: Explicit control of local SAR and peak power is required to generate
optimal SMS pTx excitations satisfying both the system's hardware limits and
regulatory safety limits.
PMID- 24938992
TI - Expression and effect of CXCL14 in colorectal carcinoma.
AB - Chemokines are important in the proliferation and metastasis of tumors. CXCL14 is
a member of the CXCL chemokine family and exhibits various expression patterns in
different types of tumor, even those tumors that occur in the same type of
tissue. The expression of CXCL14 and its clinical significance in colorectal
carcinoma are unclear. In the present study, the expression levels of CXCL14 in
colorectal carcinoma and adjacent normal tissues were detected using reverse
transcription-quantitative polymerase chain reaction and immunohistochemistry.
Kaplan-Meier survival curves and the Cox regression model were applied to
evaluate the clinical significance of the expression levels of CXCL14 in
colorectal carcinoma compared with those in normal tissues. To investigate the
effects at a cellular level, a replication-defective lentivirus overexpressing
CXCL14 was constructed and transfected into HT29 colorectal carcinoma cells. The
effect of CXCL14 on the proliferation of colorectal carcinoma cells and the
change in cell cycle distributions were investigated using a cell counting kit-8
assay and flow cytometry, respectively. Results of the current study indicated
that the expression levels of CXCL14 mRNA and protein in colorectal carcinoma
were markedly reduced compared with levels in normal tissues (P<0.05). The
clinical correlation analysis suggested that downregulation of CXCL14 expression
in tumors was associated with lymph metastasis, tumor location, and
clinicopathological stage (P<0.05). Kaplan-Meier survival analysis revealed that
downregulation of CXCL14 expression was correlated with a poor prognosis
(P<0.01). Overexpression of CXCL14 by lentiviral transfection produced an
inhibitory effect on cell proliferation by arresting the cell cycle in the G1
stage. The data of the current study suggest that CXCL14 may be involved in the
development and progression of colorectal carcinoma, and may act directly as a
potential cancer suppressor gene. The level of CXCL14 expression may be a
valuable adjuvant parameter in predicting the prognosis of colorectal carcinoma
and may be a potential therapeutic target.
PMID- 24938993
TI - Dopaminergic modulation of resting-state functional connectivity in de novo
patients with Parkinson's disease.
AB - Parkinson's disease (PD) is characterized by degenerative changes of nigral
dopamine neurons, resulting in the dopaminergic denervation of the striatum.
Resting state networks studies have demonstrated that dopamine modulates distinct
network connectivity patterns in both a linear and a nonlinear fashion, but
quantitative analyses of dopamine-dependent functional connectivity secondary to
PD pathology were less informative. In the present study, we performed a
correlation analysis between striatal dopamine levels assessed quantitatively by
FP-CIT positron emission tomography imaging and resting-state functional
connectivity in 23 drug naive de novo patients with PD to elucidate dopamine
dependent functional networks. The major finding is that the patterns of dopamine
dependent positive functional connectivity varied depending on the location of
striatal seeds. Dopamine-dependent functional connectivity with the caudate
predominantly overlay pericentral cortical areas, whereas dopamine-dependent
structures functionally connected with the posterior putamen predominantly
involved cerebellar areas. The dorsolateral frontal area overlapped as a dopamine
dependent cortical region that was positively connected with the anterior and
posterior putamen. On the other hand, cortical areas where functional
connectivity from the posterior cingulate was negatively correlated with
dopaminergic status in the posterior putamen were localized in the left anterior
prefrontal area and the parietal area. Additionally, functional connectivity
between the anterior putamen and mesiofrontal areas was negatively coupled with
striatal dopamine levels. The present study demonstrated that dopamine-dependent
functional network connectivity secondary to PD pathology mainly exhibits a
consistent pattern, albeit with some variation. These patterns may reflect the
diverse effects of dopaminergic medication on parkinsonian-related motor and
cognitive performance.
PMID- 24938995
TI - Increased power generation from primary sludge in microbial fuel cells coupled
with prefermentation.
AB - Raw primary sludge and the prefermentation liquor (PL) of primary sludge were
used to generate electricity in single-chambered air-cathode microbial fuel cells
(MFCs). The MFCs treating the primary sludge produced 0.53 V and 370 mW/m(2) for
the maximum potential and power density, respectively. In the primary sludge-fed
MFCs, only 5 % of the total energy production was produced from direct
electricity generation, whereas 95 % of that resulted from the conversion of
methane to electricity. MFCs treating the PL generated the maximum potential of
0.58 V and maximum power density of 885 mW/m(2), respectively. In the energy
production analysis, direct electricity production (1,921 Wh/kg TCODrem) in the
MFCs treating the PL was much higher than that of the primary sludge-fed MFC (138
Wh/kg TCODrem). Volatile suspended solids during 10 days were reduced to 18.3 and
38 % in the primary sludge-fed MFCs and prefermentation reactor, respectively.
These findings suggest that a two-stage process including prefermentation and
MFCs is of great benefit on sludge reduction and higher electricity generation
from primary sludge.
PMID- 24938994
TI - Kinetics of sucrose conversion to fructo-oligosaccharides using enzyme
(invertase) under free condition.
AB - The study reports the synthesis of fructo-oligosaccharide (FOS) from sucrose
using invertase derived from Saccharomyces cerevisiae. The reaction was conducted
in a batch mode under free enzyme condition. Fructo-oligosaccharide formation was
detected at a high sucrose concentration of over 200 g/L. The investigation was
extended to study the effect of different parameters such as initial sucrose
concentration (ISC), pH, and enzyme concentration. A maximum FOS yield of 10 %
(dry basis) was observed using 525 g/L of ISC, with 6 U/mL of the enzyme, and pH
5.5 at 40 degrees C. 1-Kestose was the major product of among different forms of
FOS. The FOS yield increased with an increase in sucrose concentration up to 525
g/L, beyond which it started to decrease. However, the maximum FOS yield was not
affected by the increasing concentration of the enzyme beyond a certain level (2
U/mL). Furthermore, the activity of enzyme slightly increased with an increase in
the pH up to 6, and thereafter it declined. Addition of glucose decreased the FOS
yield because of enzyme inhibition. A five-step, ten-parameter model was
developed, for which the simulation was performed in COPASI. The results
predicted by the model were consistent with the experimental data.
PMID- 24938996
TI - Iodine-catalyzed aromatization of tetrahydrocarbazoles and its utility in the
synthesis of glycozoline and murrayafoline A: a combined experimental and
computational investigation.
AB - A new protocol for the aromatization of tetrahydrocarbazoles has been achieved
using a catalytic amount of iodine, giving high yields. The role of iodine in the
aromatization has been explained by DFT, and its wide scope is extended to the
total synthesis of glycozoline and murrayafoline A. This method has proven to be
tolerant of a broad range of functional groups.
PMID- 24938990
TI - Microenvironmental Influences on Metastasis Suppressor Expression and Function
during a Metastatic Cell's Journey.
AB - Metastasis is the process of primary tumor cells breaking away and colonizing
distant secondary sites. In order for a tumor cell growing in one
microenvironment to travel to, and flourish in, a secondary environment, it must
survive a series of events termed the metastatic cascade. Before departing the
primary tumor, cells acquire genetic and epigenetic changes that endow them with
properties not usually associated with related normal differentiated cells. Those
cells also induce a subset of bone marrow-derived stem cells to mobilize and
establish pre-metastatic niches [1]. Many tumor cells undergo epithelial-to
mesenchymal transition (EMT), where they transiently acquire morphologic changes,
reduced requirements for cell-cell contact and become more invasive [2]. Invasive
tumor cells eventually enter the circulatory (hematogenous) or lymphatic systems
or travel across body cavities. In transit, tumor cells must resist anoikis,
survive sheer forces and evade detection by the immune system. For blood-borne
metastases, surviving cells then arrest or adhere to endothelial linings before
either proliferating or extravasating. Eventually, tumor cells complete the
process by proliferating to form a macroscopic mass [3].Up to 90 % of all cancer
related morbidity and mortality can be attributed to metastasis. Surgery manages
to ablate most primary tumors, especially when combined with chemotherapy and
radiation. But if cells have disseminated, survival rates drop precipitously.
While multiple parameters of the primary tumor are predictive of local or distant
relapse, biopsies remain an imperfect science. The introduction of molecular and
other biomarkers [4, 5] continue to improve the accuracy of prognosis. However,
the invasive procedure introduces new complications for the patient. Likewise,
the heterogeneity of any tumor population [3, 6, 7] means that sampling error
(i.e., since it is impractical to examine the entire tumor) necessitates further
improvements.In the case of breast cancer, for example, women diagnosed with
stage I diseases (i.e., no evidence of invasion through a basement membrane)
still have a ~30 % likelihood of developing distant metastases [8]. Many
physicians and patients opt for additional chemotherapy in order to "mop up"
cells that have disseminated and have the potential to grow into macroscopic
metastases. This means that ~ 70 % of patients receive unnecessary therapy, which
has undesirable side effects. Therefore, improving prognostic capability is
highly desirable.Recent advances allow profiling of primary tumor DNA sequences
and gene expression patterns to define a so-called metastatic signature [9-11],
which can be predictive of patient outcome. However, the genetic changes that a
tumor cell must undergo to survive the initial events of the metastatic cascade
and colonize a second location belie a plasticity that may not be adequately
captured in a sampling of heterogeneous tumors. In order to tailor or personalize
patient treatments, a more accurate assessment of the genetic profile in the
metastases is needed. Biopsy of each individual metastasis is not practical,
safe, nor particularly cost-effective. In recent years, there has been a
resurrection of the notion to do a 'liquid biopsy,' which essentially involves
sampling of circulating tumor cells (CTC) and/or cell free nucleic acids (cfDNA,
including microRNA (miRNA)) present in blood and lymph [12-16].The rationale for
liquid biopsy is that tumors shed cells and/or genetic fragments into the
circulation, theoretically making the blood representative of not only the
primary tumor but also distant metastases. Logically, one would predict that the
proportion of CTC and/or cfDNA would be proportionate to the likelihood of
developing metastases [14]. While a linear relationship does not exist, the
information within CTC or cfDNA is beginning to show great promise for enabling a
global snapshot of the disease. However, the CTC and cfDNA are present at
extremely low levels. Nonetheless, newer technologies capture enough material to
enrich and sequence the patient's DNA or quantification of some biomarkers.Among
the biomarkers showing great promise are metastasis suppressors which, by
definition, block a tumor cell's ability to complete the metastatic process
without prohibiting primary tumor growth [17]. Since the discovery of the first
metastasis suppressor, Nm23, more than 30 have been functionally characterized.
They function at various stages of the metastatic cascade, but their mechanisms
of action, for the most part, remain ill-defined. Deciphering the molecular
interactions of functional metastasis suppressors may provide insights for
targeted therapies when these regulators cease to function and result in
metastatic disease.In this brief review, we summarize what is known about the
various metastasis suppressors and their functions at individual steps of the
metastatic cascade (Table 1). Some of the subdivisions are rather arbitrary in
nature, since many metastasis suppressors affect more than one step in the
metastatic cascade. Nonetheless what emerges is a realization that metastasis
suppressors are intimately associated with the microenvironments in which cancer
cells find themselves [18].
PMID- 24938997
TI - Predominant and secondary pollen botanical origins influence the carotenoid and
fatty acid profile in fresh honeybee-collected pollen.
AB - Total and individual carotenoids, fatty acid composition of total lipids, and
main lipid classes of 16 fresh bee-collected pollen samples from Romania were
determined by high-performance liquid chromatography with photodiode array
detection and capillary gas chromatography with mass detection. Analyzed samples
were found rich in lutein, whereas beta-criptoxanthin and beta-carotene were
present in a wide range of amounts correlated with predominant botanical origin
of the samples. High amounts of lutein were correlated with the presence of
Callendula officinalis, Taraxacum officinale and Anthylis sp. The highest amount
of total lipids was found in samples where pollen from Brassica sp. was
predominant. Lipid classes were dominated by polyunsaturated fatty acids.
Saturated fatty acids were determined in variable amounts. Lipid and carotenoid
contents present great variability, explained by the various botanical species
present in the samples.
PMID- 24938998
TI - 'The more you link, the more you risk ...' - a focus group study exploring views
about data linkage for pharmacovigilance.
AB - AIMS: The aim of this study was to assess opinions of frontline healthcare
professionals on the linking of routinely collected national (Scottish)
paediatric data for the purpose of identifying earlier signals of adverse drug
reactions. METHODS: Stratified purposive sampling led to profession-specific
focus groups with pharmacists, nurses and medical doctors from primary and
secondary care in different Scottish Health Boards. A topic guide was used to
explore the proposed data linkage of routinely collected paediatric data.
Discussions were audio recorded and transcribed verbatim. Transcripts were
analysed using a framework approach to identify themes. Ethical approval was
obtained from the North of Scotland Research Ethics Service. RESULTS: Six focus
groups were conducted in 2011 with 22 participants. Views of the proposed data
linkage were generally positive. Several issues were identified, including lack
of clarity on data ownership and concerns about diversion of funding. Identified
issues were at a practical rather than a strategic level. CONCLUSIONS: This study
identified that professional stakeholder groups are likely to find linkage of
paediatric patient data acceptable. Barriers identified could be addressed. Focus
group participants commented on the importance of informing patients and members
of the public about the benefits of linking healthcare data. These findings
clarify the steps that should be taken to ensure the acceptability of data
linkage for pharmacovigilance.
PMID- 24938999
TI - A potential pathogenic factor from Mycoplasma hominis is a TLR2-dependent,
macrophage-activating, P50-related adhesin.
AB - PROBLEM: Mycoplasma hominis has been implicated in many inflammatory conditions
of the human urogenital tract in particular amniotic infections that lead to
fetal and neonatal disease and pre-term labor. The mechanisms responsible are
poorly defined. METHOD OF STUDY: Biochemical and immunological methods were used
to extract, purify, and characterize an inflammatory component present in M.
hominis. RESULTS: We isolated and purified to homogeneity a 40-kDa bioactive
lipoprotein from M. hominis that was a potent TLR2-dependent, CD14-independent
activator of the human THP-1 macrophage cell line. Homology searches of the N
terminal sequence revealed that 22 of the first 23 residues were identical to
those seen for the phase-variable M. hominis p50 adhesin. The truncated P50t
lipoprotein importantly retained its adhesive properties for human macrophages.
CONCLUSION: The unique adhesin/macrophage activator may play a key role in M.
hominis infections by triggering an inflammatory cytokine cascade.
PMID- 24939000
TI - GluN2B N-methyl-D-aspartic acid receptor subunit mediates atorvastatin-Induced
neuroprotection after focal cerebral ischemia.
AB - Statins are potent cholesterol biosynthesis inhibitors that exert protective
effects in humans and in experimental models of stroke. The mechanisms involved
in these protective actions are not completely understood. This study evaluates
whether atorvastatin (ATV) treatment affects the GluN1 and GluN2B subunits of the
N-methyl-D-aspartic acid receptor in the somatosensory cerebral cortex at short
and long periods following ischemia. Sham and ischemic male Wistar rats received
10 mg/kg of ATV or placebo by gavage every 24 hr for 3 consecutive days. The
first dose was administered 6 hr after ischemia-reperfusion or the sham
operation. ATV treatment resulted in faster recovery of neurological scores than
placebo, prevented the appearance of pyknotic neurons, and restored microtubule
associated protein 2 and neuronal nuclei staining to control values in the
somatosensory cerebral cortex and the hippocampus at 72 hr and 15 days
postischemia. Furthermore, ATV prevented spatial learning and memory deficits
caused by cerebral ischemia. Cerebral ischemia reduced the number of GluN1/PSD-95
and GluN2B/PSD-95 colocalization clusters in cortical pyramidal neurons and
reduced the levels of brain-derived neurotrophic factor (BDNF) in the cerebral
cortex. These effects of the ischemic insult were prevented by ATV, which also
induced GluN2B/PSD-95 colocalization in neuronal processes and an association of
GluN2B with TrkB. The GluN2B pharmacological inhibitor ifenprodil prevented the
increase in BDNF levels and the motor and cognitive function recovery caused by
ATV in ischemic rats. These findings indicate that GluN2B is involved in the
neuroprotective mechanism elicited by ATV to promote motor and cognitive recovery
after focal cerebral ischemia.
PMID- 24939001
TI - Amigos and amistades: the role of men's social network ties in shaping HIV
vulnerability in the Dominican Republic.
AB - While men's social networks have been identified as a source of influence on
sexual behaviour, less is known about the different types of friendship ties
within men's networks. We analysed data from qualitative in-depth interviews with
36 men in Santo Domingo, Dominican Republic who are current or former sexual
partners of female sex workers to understand how: (1) men describe trust and
communication with different types of friendship ties, (2) characteristics of
trust and communication reflect norms of masculinity, and (3) these friendship
ties influence HIV-related behaviours. We identified a distinction between
amistades, social drinking buddies who are not trusted, and amigos, trusted
friends. The majority of men lacked any amigos and some had neither amigos nor
amistades. In general, men reflected traditional norms of masculinity and said
they did not feel they could discuss their relationships or emotional topics with
other men. Trust and communication dynamics, and how norms of masculinity shape
those dynamics, should be understood and addressed in the design of HIV
prevention efforts with men's social networks as they have implications for the
potential effectiveness of such efforts.
PMID- 24939002
TI - Odor identification in frontotemporal lobar degeneration subtypes.
AB - Odor identification impairment is a feature of several neurodegenerative
disorders. Although neurodegenerative changes in the frontotemporal lobar
degeneration (FTLD) subtypes involve areas important for olfactory processing,
data on olfactory function in these patients are limited. An 18-item, multiple
choice odor identification test developed at our memory clinic, the Motol
Hospital smell test, was administered to 9 patients with behavioral variant
frontotemporal dementia, 13 patients with the language variants, primary
nonfluent aphasia (n = 7) and semantic dementia (n = 6), and 8 patients with
progressive supranuclear palsy. Compared to the control group (n = 15), all FTLD
subgroups showed significant impairment of odor identification (P < .05). The
differences between the FTLD subgroups were not significant. No correlation
between odor identification and neuropsychological tests results was found. Our
data suggest that odor identification impairment is a symptom common to FTLD
syndromes, and it seems to be based on olfactory structure damage rather than
cognitive decline.
PMID- 24939003
TI - Prognosis of short survival in patients with advanced dementia as diagnosed by
Aminoff suffering syndrome.
AB - On the first few days after admission to the Geriatric-Internal Medicine
department, the suffering level of patients with advanced dementia (Mini-Mental
State Examination 0 of 30) was evaluated according to the Mini-Suffering State
Examination (MSSE). During hospitalization, 14.8% (27 of 183) of patients with
advanced dementia were died with a mean survival rate of 19.86 +/- 26.9 days. The
MSSE scale score of died patients was 7.56 +/- 1.71 during the first few days of
admission which indicates high suffering levels. The MSSE scale score of survived
patients with advanced dementia was 3.99 +/- 2.10 which confirms their low level
of suffering. There was a significant difference (P < .001) between the groups.
Patients with dementia who died and were diagnosed as having Aminoff suffering
syndrome during the first few days of admission had a high suffering level and
short-survival time.
PMID- 24939004
TI - Religious Affiliation, Religious Service Attendance, and Mortality.
AB - Very few studies have examined the effects of both religious affiliation and
religiosity on mortality at the same time, and studies employing multiple
dimensions of religiosity other than religious attendance are rare. Using the
newly created General Social Survey-National Death Index data, our report
contributes to the religion and mortality literature by examining religious
affiliation and religiosity at the same time. Compared to Mainline Protestants,
Catholics, Jews, and other religious groups have lower risk of death, but Black
Protestants, Evangelical Protestants, and even those with no religious
affiliation are not different from Mainline Protestants. While our study is
consistent with previous findings that religious attendance leads to a reduction
in mortality, we did not find other religious measures, such as strength of
religious affiliation, frequency of praying, belief in an afterlife, and belief
in God to be associated with mortality. We also find interaction effects between
religious affiliation and attendance. The lowest mortality of Jews and other
religious groups is more apparent for those with lower religious attendance.
Thus, our result may emphasize the need for other research to focus on the
effects of religious group and religious attendance on mortality at the same
time.
PMID- 24939006
TI - Health system report ranks UK first, US last.
PMID- 24939005
TI - Understanding visual search patterns of dermatologists assessing pigmented skin
lesions before and after online training.
AB - The goal of this investigation was to explore the feasibility of characterizing
the visual search characteristics of dermatologists evaluating images
corresponding to single pigmented skin lesions (PSLs) (close-ups and dermoscopy)
as a venue to improve training programs for dermoscopy. Two Board-certified
dermatologists and two dermatology residents participated in a phased study. In
phase I, they viewed a series of 20 PSL cases ranging from benign nevi to
melanoma. The close-up and dermoscopy images of the PSL were evaluated
sequentially and rated individually as benign or malignant, while eye position
was recorded. Subsequently, the participating subjects completed an online
dermoscopy training module that included a pre- and post-test assessing their
dermoscopy skills (phase 2). Three months later, the subjects repeated their
assessment on the 20 PSLs presented during phase I of the study. Significant
differences in viewing time and eye-position parameters were observed as a
function of level of expertise. Dermatologists overall have more efficient search
than residents generating fewer fixations with shorter dwells. Fixations and
dwells associated with decisions changing from benign to malignant or vice versa
from photo to dermatoscopic viewing were longer than any other decision,
indicating increased visual processing for those decisions. These differences in
visual search may have implications for developing tools to teach dermatologists
and residents about how to better utilize dermoscopy in clinical practice.
PMID- 24939007
TI - [Gender-specific differences in comorbidities of rheumatoid arthritis].
AB - BACKGROUND: Comorbidities play an important role in the course and therapy of
rheumatoid arthritis (RA). Sex-specific aspects are observed with regard to
prevalence and manifestation of RA-related comorbidities. AIM: A summary of
current insights into sex and gender-related aspects of frequent comorbidities in
RA is given. MATERIAL AND METHODS: National data were analyzed and literature
findings from meta-analyses, observational studies and reviews with regard to
gender and RA-associated comorbidities are presented. RESULTS: There are gender
specific differences in the prevalence of comorbidities of RA. Depression,
fibromyalgia and hypothyroidism are more frequent in women than in men, whereas
cardiovascular diseases and diabetes are more common in men. Osteoarthritis and
osteoporosis are frequent in both sexes. CONCLUSION: Sex and gender-specific
aspects should be taken into consideration in the diagnostics and treatment of RA
related comorbidities.
PMID- 24939008
TI - CRKL amplification is rare as a mechanism for acquired resistance to kinase
inhibitors in lung cancers with epidermal growth factor receptor mutation.
AB - OBJECTIVES: Epidermal growth factor receptor (EGFR)-tyrosine kinase inhibitors
(TKIs) often provide dramatic responses in lung cancer patients with somatic EGFR
mutation. However, acquired resistance to the drugs usually emerges within a few
years. EGFR T790M secondary mutation, MET gene amplification, and transformation
to small cell lung cancer are well-validated mechanisms that underlie acquisition
of resistance to EGFR-TKIs. In addition, many molecular aberrations have been
reported as candidates for mechanisms of acquired resistance to EGFR-TKIs.
Amplification of the CRKL gene was reportedly observed in 1 of 11 lung cancer
patients with EGFR mutations who acquired resistance to EGFR-TKI. This study is
the first report, to our knowledge, that validated the role of CRKL gene
amplification as a mechanism for acquisition of resistance to EGFR-TKIs.
MATERIALS AND METHODS: We analyzed CRKL gene copy numbers, using a quantitative
real-time PCR method, in 2 in vitro acquired-resistance cell-line models: 11
clinical samples from patients who developed acquired resistance to EGFR-TKIs,
and 39 tumor specimens obtained from 7 autopsy patients whose cancers acquired
resistance to EGFR-TKIs. Mutational status of EGFR codon 790 and copy numbers for
the MET gene were also determined. RESULTS AND CONCLUSION: In analysis for in
vitro models, CRKL gene copy numbers were identical between EGFR-TKI-sensitive
parental cells and their acquired resistant descendant cells. In addition, we
found no clinical tumor specimens with acquired EGFR-TKI resistance to harbor
amplified CRKL genes. These results indicate that CRKL gene amplification is rare
in acquisition of resistance to EGFR-TKIs in lung cancer patients with EGFR
mutations.
PMID- 24939010
TI - A safe and reliable technique in the management of pseudocyst pinna.
PMID- 24939009
TI - IgG, IgM and IgA antibodies against the novel polyprotein in active tuberculosis.
AB - BACKGROUND: The present study was aimed to evaluate whether IgG, IgM and IgA
antibodies levels detected against a novel Mycobacterium tuberculosis polyprotein
38 F-64 F (with 38 F being the abbreviation for 38kD-ESAT6-CFP10 and 64 F for
Mtb8.4-MPT64-TB16.3-Mtb8) are suitable for diagnosing active tuberculosis, and
for monitoring the efficacy of chemotherapy on TB patients. METHODS: In this
study, a total of 371 active TB patients without treatment were selected and
categorized into S+/C+group (n=143), S-/C+group (n=106) or S-/C- group (n=122). A
series of serum samples were collected from 82 active TB patients who had
undergone anti-TB chemotherapy for 0-6 months at one month interval. Humoral
responses (IgG, IgM and IgA) were determined for the novel Mycobacterium
tuberculosis polyprotein using indirect ELISA methods in all of serum samples.
RESULTS: For S+/C+, S-/C+and S-/C- active tuberculosis patients before anti-TB
chemotherapy, the sensitivities of tests based on IgG were 65.7%, 46.2% and 52.5%
respectively; the sensitivities based on IgM were 21.7%, 24.5% and 18.9%; and the
sensitivities based on IgA were 25.2%, 17.9% and 23.8%. By combination of three
isotypes, for all active tuberculosis patients, the test sensitivity increased to
70.4% with the specificity being 91.5%. After anti-TB chemotherapy, there were no
significant differences between groups with different courses of anti-TB
chemotherapy. CONCLUSIONS: The novel Mycobacterium tuberculosis polyprotein 38 F
64 F represents potential antigen suitable for measuring IgG, IgM and IgA
antibodies. However, the serodiagnostic test based on the 38 F-64 F polyprotein
appears unsuitable for monitoring the efficacy of chemotherapy.
PMID- 24939011
TI - Conversion of (eta(5)-C2B9H10R)TaX3 (X = Me, NMe2) to (eta(6)-C2B9H10R)TaX' (X' =
NMe2, azaallyl) in the absence of a reducing agent: synthesis and structure of
tantallacarboranes incorporating an arachno-eta(6)-C2B9(4-) ligand.
AB - Heating a benzene solution of [eta(5)-(Me2NCH2CH2)C2B9H10] Ta(NMe2)3 (1) in the
presence of pyridine gave an unprecedented complex [eta(1):eta(6)
(Me2NCH2CH2)C2B9H10]Ta (NMe2)(NC5H5) (2). On the other hand, reaction of (eta(5)
C2B9H11)TaMe3 with adamantly isonitrile (AdNC) in dimethoxyethane (DME) at room
temperature afforded another unexpected complex (eta(6)-C2B9H11)Ta[eta(3)-C,C,N
CH2C(CH3)NAd](DME) (4). These results show that pyridine and DME are essential
for the formation of 2 and 4, respectively. It is suggested that the nido-eta(5)
C2B9H10R(2-) ligand in tantallacarboranes takes up two electrons released by
reductive elimination to form an arachno-eta(6)-C2B9H10R(4-) fragment via the
cage C-C bond cleavage.
PMID- 24939012
TI - Therapeutic effect of anti-C-X-C motif chemokine 10 (CXCL10) antibody on C
protein-induced myositis mouse.
AB - INTRODUCTION: C-X-C motif chemokine 10 (CXCL10) is a chemokine that plays a
critical role in the infiltration of T cells in autoimmune diseases and is
reported to be expressed in muscle tissue of polymyositis. To determine the
therapeutic efficacy of CXCL10 blockade, we investigated the role of CXCL10 and
the effect of anti-CXCL10 antibody treatment in C protein-induced myositis (CIM),
an animal model of polymyositis. METHODS: CIM was induced with human skeletal
muscle C protein fragment in female C57BL/6 mice. Immunohistochemistry of CXCL10
and C-X-C motif chemokine receptor 3 (CXCR3) and measurement of serum CXCL10 were
performed. Cell surface markers and interferon-gamma (IFN-gamma) and tumor
necrosis factor-alpha (TNF-alpha) in CIM lymph node cells was investigated by
flow cytometry. Mice with CIM were treated with anti-CXCL10 antibody or control
antibody (anti-RVG1) and the inflammation in muscle tissue was assessed. RESULTS:
Immunohistochemistry showed increased expression of CXCL10 and CXCR3 in the
inflammatory lesions of muscle in CIM. Especially, CD8+ T cells invading myofiber
expressed CXCR3. Serum level of CXCL10 was increased in CIM compared to the level
in normal mice (normal mouse, 14.3 +/- 5.3 pg/ml vs. CIM, 368.5 +/- 135.6 pg/ml,
P < 0.001). CXCR3 positivity in CD8+ T cells was increased compared to that of
CD4+ T cells in the lymph node cells of CIM (CXCR3+ among CD8+ T cell, 65.9 +/-
2.1% vs. CXCR3+ among CD4+ T cell, 23.5 +/- 4.7%, P <0.001). Moreover, IFN-gamma+
cells were increased among CXCR3+CD8+ T cells compared to CXCR3-CD8+ T cells
(CXCR3+CD8+ T cell, 28.0 +/- 4.2% vs. CXCR3-CD8+ T cell, 9.5 +/- 1.5%, P =
0.016). Migration of lymph node cells was increased in response to CXCL10
(chemotactic index was 1.91 +/- 0.45). CIM mice treated with anti-CXCL10 antibody
showed a lower inflammation score in muscles than those with anti-RVG1 (median,
anti-CXCL10 treatment group, 0.625 vs. anti-RVG1 treatment group, 1.25, P =
0.007). CONCLUSIONS: CXCL10/CXCR3 expression was increased in the inflammation of
CIM model and its blockade suppressed inflammation in muscle.
PMID- 24939013
TI - An exploratory review of HIV prevention mass media campaigns targeting men who
have sex with men.
AB - BACKGROUND: Men who have sex with men (MSM) are at increased risk of HIV
infection in both high- and low-income settings. Mass media campaigns have been
used as a means of communicating HIV health promotion messages to large audiences
of MSM. There is no consensus on which designs are most appropriate to evaluate
the process and outcomes of such interventions. METHODS: An exploratory review
was conducted to assess research examining awareness, acceptability, effects on
HIV testing, disclosure and sexual risk, and cost-effectiveness of HIV mass media
campaigns targeting MSM. We searched for quantitative and qualitative studies
published between 1990 and May 2011 via the Cochrane Central Register of
Controlled Trials, MEDLINE, EMBASE, Psych Info, ISI Web of Science, OpenGrey and
COPAC, and contacting experts. No exclusions were made on the basis of study
design or methods because our primary aim was to map evidence. We appraised study
quality and present a narrative synthesis of findings. RESULTS: Sixteen reports
from 12 studies were included. All were from high-income countries and most
examined multi-media interventions. Half of the studies were single cross
sectional surveys. Three repeat cross-sectional studies collected data pre and
post the campaign launch. The remaining three studies monitored routine data.
Three studies included a nested qualitative component. Campaign coverage was the
most commonly reported outcome (9 studies). Imagery, tone of language, content
and relevance were identified in the qualitative research as factors influencing
campaign acceptability. HIV testing rates (or intention to test) were reported by
five studies. Two studies reported that testing rates were higher among men who
had seen the campaigns compared to men who had not, but this may reflect
confounding. Findings were less consistent regarding reductions in sexual risk
behaviours (4 studies). None of the studies examined cost-effectiveness.
CONCLUSIONS: Campaigns aim to provide MSM with information to help prevent
transmission of HIV and to address increasing motivation and changing norms
towards precautionary behaviours. However, the limitations of mass media in
imparting skills in effecting behaviour change should be recognised, and
campaigns supplemented by additional components may be better-suited to achieving
these goals.
PMID- 24939015
TI - From an alpha-functionalized silicon-stereogenic N,O-silane to a monomeric and
tetracoordinate tBuLi adduct with lithium-centered chirality.
AB - Donor-functionalized silanes with stereogenic silicon centers are extremely rare.
A convenient stereocontrolled route to a nitrogen-oxygen-functionalized silicon
chiral compound with an additional aminomethyl function is presented. This silane
was directly achieved in stereochemically pure form by a simple nucleophilic
substitution reaction. Owing to the unique asymmetry of this silane and the
presence of three donor functions, the first monomeric butyllithium compound with
lithium-centered chirality could be isolated; the configuration was assigned by X
ray crystallography. This [silane? tBuLi] complex undergoes an unexpected
deprotonation/stereospecific substitution sequence in toluene, leading to the
development of a convenient one-pot synthesis of a functionalized silicon-chiral
benzylsilane, which proceeds with inversion of configuration and complete
preservation of the stereochemical integrity at silicon.
PMID- 24939014
TI - Adolescent predictors of young adult cyberbullying perpetration and victimization
among Australian youth.
AB - PURPOSE: The purpose of the current article was to examine the adolescent risk
and protective factors (at the individual, peer group, and family level) for
young adult cyberbullying perpetration and victimization. METHODS: Data from 2006
(Grade 9) to 2010 (young adulthood) were analyzed from a community sample of 927
Victorian students originally recruited as a statewide representative sample in
Grade 5 (age, 10-11 years) in 2002 and followed-up to age 18-19 years in 2010 (N
= 809). Participants completed a self-report survey on adolescent risk and
protective factors and traditional and cyberbullying perpetration and
victimization and young adult cyberbullying perpetration and victimization.
RESULTS: As young adults, 5.1% self-reported cyberbullying perpetration only,
5.0% reported cyberbullying victimization only, and 9.5% reported both
cyberbullying perpetration and victimization. In fully adjusted logistic
regression analyses, the adolescent predictors of cyberbullying perpetration only
were traditional bullying perpetration, traditional bullying perpetration and
victimization, and poor family management. For young adulthood cyberbullying
victimization only, the adolescent predictor was emotion control. The adolescent
predictors for young adult cyberbullying perpetration and victimization were
traditional bullying perpetration and cyberbullying perpetration and
victimization. CONCLUSIONS: Based on the results of this study, possible targets
for prevention and early intervention are reducing adolescent involvement in
(traditional or cyber) bullying through the development of social skills and
conflict resolution skills. In addition, another important prevention target is
to support families with adolescents to ensure that they set clear rules and
monitor adolescents' behavior. Universal programs that assist adolescents to
develop skills in emotion control are warranted.
PMID- 24939017
TI - Editorial.
PMID- 24939016
TI - Liver transcriptome analysis of Atlantic cod (Gadus morhua) exposed to PCB 153
indicates effects on cell cycle regulation and lipid metabolism.
AB - BACKGROUND: Polychlorinated biphenyls (PCBs) are persistent organic pollutants
(POPs) with harmful effects in animals and humans. Although PCB 153 is one of the
most abundant among PCBs detected in animal tissues, its mechanism of toxicity is
not well understood. Only few studies have been conducted to explore genes and
pathways affected by PCB 153 by using high throughput transcriptomics approaches.
To obtain better insights into toxicity mechanisms, we treated juvenile Atlantic
cod (Gadus morhua) with PCB 153 (0.5, 2 and 8 mg/kg body weight) for 2 weeks and
performed gene expression analysis in the liver using oligonucleotide arrays.
RESULTS: Whole-genome gene expression analysis detected about 160 differentially
regulated genes. Functional enrichment, interactome, network and gene set
enrichment analysis of the differentially regulated genes suggested that pathways
associated with cell cycle, lipid metabolism, immune response, apoptosis and
stress response were among the top significantly enriched. Particularly, genes
coding for proteins in DNA replication/cell cycle pathways and enzymes of lipid
biosynthesis were up-regulated suggesting increased cell proliferation and
lipogenesis, respectively. CONCLUSIONS: PCB 153 appears to activate cell
proliferation and lipogenic genes in cod liver. Transcriptional up-regulation of
marker genes for lipid biosynthesis resembles lipogenic effects previously
reported for persistent organic pollutants (POPs) and other environmental
chemicals. Our results provide new insights into mechanisms of PCB 153 induced
toxicity.
PMID- 24939018
TI - The 2014 American Heart Association/American College of Cardiology guideline for
the management of patients with valvular heart disease: a changing landscape.
PMID- 24939019
TI - Hybrid repair is an effective strategy for repair of Kommerell diverticulum in
the modern era.
PMID- 24939020
TI - Reply to the editor.
PMID- 24939021
TI - Ross operation or aortic valve repair in neonates and infants?
PMID- 24939022
TI - Reply to the editor.
PMID- 24939023
TI - Sutureless valves in aortic stenosis.
PMID- 24939024
TI - Sutureless versus transcatheter aortic valve implantation: an unresolved dilemma.
PMID- 24939025
TI - Reply to the editor.
PMID- 24939026
TI - Modifiable risk factors for acute kidney injury after coronary artery bypass
grafting.
PMID- 24939027
TI - Reply to the editor.
PMID- 24939028
TI - Reply to the editor.
PMID- 24939029
TI - Is earlier aortic valve surgery in repair candidates justified?
PMID- 24939030
TI - Cox maze III procedure: the best alternative in surgery for atrial fibrillation.
PMID- 24939031
TI - Autophagy and the human heart.
PMID- 24939032
TI - Who should decide margin length in pulmonary excision of lung cancer?
PMID- 24939034
TI - Closing the clinical-radiological paradox using the visual pathway in multiple
sclerosis.
PMID- 24939035
TI - Occurrence of phosphorylated castasterone in Arabidopsis thaliana and
Lycopersicum esculentum.
AB - An in vitro enzyme assay using radioisotope-labeled (3) H-castasterone ((3) H-CS)
or (32) P-ATP showed that CS can be phosphorylated by ATP in Arabidopsis and
tomato plants. Gas chromatography-mass spectrometry (GC-MS) analysis using non
isotope-labeled CS and ATP revealed that the phosphorylation of CS occurs at the
side chain, most likely at the C-23 hydroxyl. The polar fractions than free
brassinosteroids (BRs) obtained from extracts of Arabidopsis and tomato showed
almost no BRs activity in a rice lamina inclination bioassay. However, the
fractions showed increased bioactivity after treatment with wheat germ acidic
phosphatase (WGAP). Additionally, CS was identified from the hydrolysate by WGAP
using GC-MS analysis in both plants. In contrast, the polar fractions obtained
from BR-deficient mutants, Arabidopsis cyp85a2 and tomato d(x) , did not show an
increase in biological activity with WGAP treatment, and no free BRs, including
CS, were detected in the hydrolysate. This suggests that CS phosphate is a
naturally occurring biologically inactive conjugate that is generated when CS is
normally synthesized in Arabidopsis and tomato plants. Taken together, these
results suggest that phosphorylation of CS is an important conjugation process
for the maintenance of the homeostatic level of an active BR and thus the
regulation of the growth and development of plants.
PMID- 24939036
TI - High energy product developed from cobalt nanowires.
AB - Cobalt nanowires with high aspect ratio have been synthesized via a solvothermal
chemical process. Based on the shape anisotropy and orientation of the nanowire
assemblies, a record high room-temperature coercivity of 10.6 kOe has been
measured in Co nanowires with a diameter of about 15 nm and a mean length of 200
nm. As a result, energy product of the wires reaches 44 MGOe. It is discovered
that the morphology uniformity of the nanowires is the key to achieving the high
coercivity and high energy density. Nanowires of this type are ideal building
blocks for future bonded, consolidated and thin film magnets with high energy
density and high thermal stability.
PMID- 24939033
TI - 2014 AHA/ACC guideline for the management of patients with valvular heart
disease: a report of the American College of Cardiology/American Heart
Association Task Force on Practice Guidelines.
PMID- 24939037
TI - Interplay between trigger factor and other protein biogenesis factors on the
ribosome.
AB - Nascent proteins emerging from translating ribosomes in bacteria are screened by
a number of ribosome-associated protein biogenesis factors, among them the
chaperone trigger factor (TF), the signal recognition particle (SRP) that targets
ribosomes synthesizing membrane proteins to the membrane and the modifying
enzymes, peptide deformylase (PDF) and methionine aminopeptidase (MAP). Here, we
examine the interplay between these factors both kinetically and at equilibrium.
TF rapidly scans the ribosomes until it is stabilized on ribosomes presenting TF
specific nascent chains. SRP binding to those complexes is strongly impaired.
Thus, TF in effect prevents SRP binding to the majority of ribosomes, except
those presenting SRP-specific signal sequences, explaining how the small amount
of SRP in the cell can be effective in membrane targeting. PDF and MAP do not
interfere with TF or SRP binding to translating ribosomes, indicating that
nascent-chain processing can take place before or in parallel with TF or SRP
binding.
PMID- 24939038
TI - Bioactive components of Glycyrrhiza uralensis mediate drug functions and
properties through regulation of CYP450 enzymes.
AB - Glycyrrhiza uralensis (G. uralensis) is a common medicinal plant that has mainly
been used to modulate the pharmaceutical activity of herbal medicines. Although
G. uralensis has been shown to affect the expression and activity of the key
metabolic enzyme cytochrome P450 (CYP450), the detailed mechanism of this process
has yet to be elucidated. The present study aimed to elucidate the effects of
bioactive components of G. uralensis on different isoforms of CYP450 and
determine the ability of these components to modulate drug properties. In the
present study, mRNA levels of CYP1A2, CYP2D6, CYP2E1, and CYP3A4 were
investigated by quantitative polymerase chain reaction (qPCR) in HepG2 cells
following treatment with the major bioactive compounds of G. uralensis. The
activity of CYP450 enzymes was investigated in human liver microsomes using the
cocktail probe drug method, and the metabolites of specific probes were detected
by UPLC-MS/MS. The effects of G. uralensis on CYP450 were assessed using
bioinformatics network analysis. Several compounds from G. uralensis had various
effects on the expression and activity of multiple CYP450 isoforms. The majority
of the compounds analysed the inhibited expression of CYP2D6 and CYP3A4. Several
CYP isoforms were differentially modulated depending on the specific compound and
dose tested. In conclusion, the present study suggested that G. uralensis
influenced the expression and activity of CYP450 enzymes. Therefore, caution
should be taken when G. uralensis is co-administered with drugs that are known to
be metabolized by CYP450. This study contributed to the knowledge of the
mechanisms by which this medicinal plant, commonly known as licorice, modulates
drug efficacy.
PMID- 24939040
TI - Epigenetic chromatin modifications in barley after mutagenic treatment.
AB - In addition to their normal developmental processes, plants have evolved complex
genetic and epigenetic regulatory mechanisms to cope with various environmental
stresses. It has been shown that both DNA methylation and histone modifications
are involved in DNA damage response to various types of stresses. In this study,
we focused on the involvement of two mutagenic agents, chemical (maleic acid
hydrazide; MH) and physical (gamma rays), on the global epigenetic modifications
of chromatin in barley. Our results indicate that both mutagens strongly
influence the level of histone methylation and acetylation. Moreover, we found
that gamma irradiation, in contrast to MH, has a more robust influence on the DNA
methylation level. This is the first study that brings together mutagenic
treatment along with its impact at the level of epigenetic modifications examined
using the immunohistochemical method.
PMID- 24939039
TI - Predictors of antiemetic alteration in pediatric acute myeloid leukemia.
AB - BACKGROUND: Better knowledge of patient and cancer treatment factors associated
with nausea/vomiting (NV) in pediatric oncology patients could enhance
prophylaxis. We aimed to describe such factors in children receiving treatment
for acute myeloid leukemia (AML). METHODS: Retrospective longitudinal cohort
study of 1,668 hospitalized children undergoing treatment for AML from the
Pediatric Health Information System database (39 hospitals, 1999-2010).
Antiemetic alteration, which included switch (a change in prescribed 5-HT3
receptor antagonists) and rescue (receipt of an adjunct antiemetic), were first
validated and then used as surrogates of problematic NV. Logistic and negative
binomial regression modeling were used to test whether patient characteristics
were associated with problematic NV. RESULTS: Increasing age is associated with
greater odds of experiencing antiemetic switch and higher relative rate of
antiemetic rescue. Within a treatment cycle, each consecutive inpatient
chemotherapy day decreased the likelihood of requiring antiemetic alteration.
Each consecutive inpatient-day post-chemotherapy was associated with decreased
need for switch, but increased need for rescue. Subsequent cycles of AML therapy
were associated with lower odds of antiemetic switch on both chemotherapy and non
chemotherapy days, a lower rate of antiemetic rescue on chemotherapy days, and an
increased rate of rescue on non-chemotherapy days. CONCLUSION: In pediatric
patients with AML, increasing age is strongly associated with greater antiemetic
alteration. Antiemetic alteration occurs early in treatment overall, and early
within each admission. While additional cycles of therapy are associated with
less alteration overall, there is persistent rescue in the days after
chemotherapy, suggesting additional etiologies of NV in pediatric cancer
patients.
PMID- 24939041
TI - Phase-contrast X-ray CT imaging of esophagus and esophageal carcinoma.
AB - The electron density resolution is 1000 times higher for synchrotron-radiation
phase-contrast CT imaging than conventional X-ray absorption imaging in light
elements, with which high-resolution X-ray imaging of biological soft tissue can
be achieved. In the present study, we used phase-contrast X-ray CT to investigate
human resected esophagus and esophageal carcinoma specimens. This technology
revealed the three-layer structure of the esophageal wall-- mucous, submucosa and
muscular layers. The mucous and muscular layers were clearly separated by a loose
submucosa layer with a honeycomb appearance. The surface of the mucous layer was
smooth. In esophageal carcinoma, because of tumor tissue infiltration, the
submucosa layer was absent, which indicated destruction of the submucosa. The
boundary between normal tissue and tumor was comparatively fuzzy, the three-layer
structure of the esophageal wall was indistinct. The surface of the mucous layer
was rugose. The technology might be helpful in tumor staging of esophageal
carcinoma.
PMID- 24939042
TI - High-performing red-light-emitting pyrotechnic illuminants through the use of
perchlorate-free materials.
AB - The development of perchlorate-free M662 40 mm illuminating pyrotechnic
compositions is described. On the bases of cost, performance, and sensitivity,
potassium periodate was determined to be most effective potassium perchlorate
replacement in the compositions tested. The optimal periodate-based composition
exceeded the performance of the perchlorate-containing control, exhibited low
sensitivity values to impact, friction, and electrostatic discharge, and had high
thermal onset temperatures.
PMID- 24939044
TI - Deep vein thrombosis.
PMID- 24939043
TI - Effect of the sodium glucose co-transporter 2 inhibitor canagliflozin on plasma
volume in patients with type 2 diabetes mellitus.
AB - AIM: To evaluate the effects of canagliflozin on plasma volume, urinary glucose
excretion (UGE), fasting plasma glucose (FPG), glycated haemoglobin (HbA1c) and
additional measures of fluid/electrolyte balance in patients with type 2 diabetes
on background therapy with metformin and angiotensin-converting enzyme inhibitors
or angiotensin receptor blockers. METHODS: Patients (N = 36) were randomized
(1:1) to receive canagliflozin 300 mg or placebo for 12 weeks. Pharmacodynamic
parameters were assessed at baseline and at weeks 1 and 12. RESULTS: Increased 24
h UGE was seen in the canagliflozin group compared with a reduction in the
placebo group at both week 1 (91.8 vs. -2.4 g) and week 12 (82.6 vs. -0.4 g).
Canagliflozin also reduced both FPG and HbA1c. Reductions in body weight and
blood pressure were observed at weeks 1 and 12. Canagliflozin decreased plasma
volume compared with an increase with placebo at week 1 (-5.4 vs. 4.3%; p =
0.02), but this was largely attenuated at week 12 (4.6 vs. 5.8%; p = 0.76). A
modest numerical increase in urine volume was observed with canagliflozin at week
1 that was attenuated at week 12; other measures of volume status (i.e. blood
urea nitrogen, serum creatinine and haematocrit) remained modestly increased with
canagliflozin at week 12. CONCLUSION: Canagliflozin provided sustained effects on
UGE and FPG over 12 weeks and a transient reduction in plasma volume that was
largely attenuated by week 12.
PMID- 24939047
TI - Transition from the spouse dementia caregiver role: A change for the better?
AB - One in 15 Australians over 65 experience dementia, and are commonly supported by
spouses. Evidence demonstrates declining wellbeing for these caregivers as their
role continues. There are indications of improvement once caregivers transition
out of the role (recovery) but alternate suggestions that caregiving stress may
be too damaging to be appeased (wear and tear). It seems plausible that reason
for transition (care recipient's move into residential care or death) will affect
caregivers' outcomes. A synthetic cohort method compared caregivers' stress and
wellbeing prior to, and one and two years post-transition. There was evidence of
wear and tear for physical wellbeing but recovery for psychological wellbeing
over time; with little difference based on reason for transition. Caregiver
outcomes seem to be a function of the action rather than the reason for
transition, but factors such as age must be considered when designing methods to
support post-transition wellbeing.
PMID- 24939046
TI - The bacterial protein toxin, cytotoxic necrotizing factor 1 (CNF1) provides long
term survival in a murine glioma model.
AB - BACKGROUND: Glioblastomas are largely unresponsive to all available treatments
and there is therefore an urgent need for novel therapeutics. Here we have probed
the antineoplastic effects of a bacterial protein toxin, the cytotoxic
necrotizing factor 1 (CNF1), in the syngenic GL261 glioma cell model. CNF1
produces a long-lasting activation of Rho GTPases, with consequent blockade of
cytodieresis in proliferating cells and promotion of neuron health and
plasticity. METHODS: We have tested the antiproliferative effects of CNF1 on
GL261 cells and human glioma cells obtained from surgical specimens. For the in
vivo experiments, we injected GL261 cells into the adult mouse visual cortex, and
five days later we administered either a single intracerebral dose of CNF1 or
vehicle. To compare CNF1 with a canonical antitumoral drug, we infused
temozolomide (TMZ) via minipumps for 1 week in an additional animal group.
RESULTS: In culture, CNF1 was very effective in blocking proliferation of GL261
cells, leading them to multinucleation, senescence and death within 15 days. CNF1
had a similar cytotoxic effect in primary human glioma cells. CNF1 also inhibited
motility of GL261 cells in a scratch-wound migration assay. Low dose (2 nM) CNF1
and continuous TMZ infusion significantly prolonged animal survival (median
survival 35 days vs. 28 days in vehicle controls). Remarkably, increasing CNF1
concentration to 80 nM resulted in a dramatic enhancement of survival with no
obvious toxicity. Indeed, 57% of the CNF1-treated animals survived up to 60 days
following GL261 glioma cell transplant. CONCLUSIONS: The activation of Rho
GTPases by CNF1 represents a novel potential therapeutic strategy for the
treatment of central nervous system tumors.
PMID- 24939048
TI - Trends in referred patient profiles in a memory clinic over 20 years.
AB - The increasing numbers of people with dementia in the UK, as well as the
associated costs have led to an effort to improve dementia services for the
benefit of patients, caregivers and the taxpayer. These efforts have frequently
emphasised the importance of early diagnosis in dementia care. We sought to test
the hypotheses that the mean cognitive score of new referrals to a secondary care
memory assessment service increased while mean age decreased over a period of 20
years. We retrospectively analysed the data of 1476 patients. The passage of time
was associated with a significant increase in mean cognitive scores, while there
was no association between time and age after controlling for other factors. We
suggest that memory services need to be designed to meet the needs of less
cognitively impaired patients. In practice this means that using simple screening
tests is not sufficient in this group of patients.
PMID- 24939050
TI - Chronic pelvic ischemia: etiology, pathogenesis, clinical presentation and
management.
AB - Overactive Bladder (OAB) and Bladder Pain Syndrome (BPS) although common, are
vaguely defined and difficult to diagnose and manage etiologies of storage--type
lower urinary tract symptoms (LUTS). The lack of optimal management options is a
direct consequence of deficient understanding of the pathophysiologic mechanisms
underlying these conditions. These conditions are especially prevalent in
females, and cumulative contemporary epidemiological, clinical and laboratory
evidence implicates ischemia as one of the key players in the pathophysiologic
foundation of both these disorders. Taken together they make up 'the' diagnostic
as well as therapeutic black--hole in urologic practice. Much akin to chronic
ischemic heart disease, chronic ischemia--reperfusion has been shown to cause
degenerative changes at cellular and sub--cellular level in the bladder mucosa,
smooth muscle fibers, and vesical neural and microvascular structures leading to
a hypersensitive, hyperactive bladder initially, which with time invariably
progresses into a failed, fibrotic and pressurized bladder. Diagnosis and
management of these diseases are currently symptom focused and remains a source
of much frustration. Consideration of role of ischemia connotates hope and could
lead to a paradigm shift in the management of these patients with a completely
new therapeutic armamentarium attacking the pathology itself.
PMID- 24939049
TI - Efficacy of drugs with different mechanisms of action in relieving spontaneous
pain at rest and during movement in a rat model of osteoarthritis.
AB - Patients with osteoarthritis (OA) suffer from joint pain aggravated by movement,
which affect their quality of life. In the present study, a weight bearing
paradigm for pain at rest and a gait paradigm for pain during movement were
tested in rats with unilateral knee arthritis induced by an intra-articular
injection of sodium monoiodoacetate (MIA). At week 3 after MIA (1mg/knee)
injection, animals developed pain-associated, right-left imbalances of weight
distribution (weight bearing) or foot print parameters (gait). Diclofenac, at
doses up to 30 mg/kg orally (p.o.), did not have a significant effect on either
paradigm. Morphine rectified the weight bearing and gait imbalances at 1 and
3mg/kg subcutaneously, respectively. The weak opioid and serotonin/norepinephrine
reuptake inhibitor (SNRI) tramadol also significantly corrected the indices at
10mg/kg (weight bearing) and 100mg/kg p.o. (gait). The SNRI duloxetine at 30
mg/kg p.o. corrected the weight bearing imbalance but not gait imbalance. We
assessed the effect of different drugs on pain-induced disturbances in weight
distribution and gait in MIA-induced arthritic rats. Analgesic drugs, each with
different mechanisms of action, were less effective in rectifying the imbalance
in gait than that in weight distribution. The assessment of the effect of
analgesics on not only rest pain but pain during movement is valuable for the
comprehensive examination of their therapeutic efficacies in OA.
PMID- 24939053
TI - Genomic approaches for the discovery of genes mutated in inherited retinal
degeneration.
AB - In view of their high degree of genetic heterogeneity, inherited retinal diseases
(IRDs) pose a significant challenge for identifying novel genetic causes. Thus
far, more than 200 genes have been found to be mutated in IRDs, which together
contain causal variants in >80% of the cases. Accurate genetic diagnostics is
particularly important for isolated cases, in which X-linked and de novo
autosomal dominant variants are not uncommon. In addition, new gene- or mutation
specific therapies are emerging, underlining the importance of identifying
causative mutations in each individual. Sanger sequencing of selected genes
followed by cost-effective targeted next-generation sequencing (NGS) can identify
defects in known IRD-associated genes in the majority of the cases. Exome NGS in
combination with genetic linkage or homozygosity mapping studies can aid the
identification of the remaining causal genes. As these are thought to be mutated
in <1% of the cases, validation through functional modeling in, for example,
zebrafish and/or replication through the genotyping of large patient cohorts is
required. In the near future, whole genome NGS in combination with transcriptome
NGS may reveal mutations that are currently hidden in the noncoding regions of
the human genome.
PMID- 24939056
TI - Computed tomography angiography in the diagnosis of brain death: a systematic
review and meta-analysis.
AB - BACKGROUND: Physiological instability and confounding factors may interfere with
the clinical diagnosis of brain death. Computed tomography angiography (CTA) has
been suggested as a potential ancillary test for confirmation of brain death, but
its diagnostic accuracy remains unclear. METHODS: We searched MEDLINE, EMBASE,
and CENTRAL for studies comparing CTA with other accepted methods of diagnosing
brain death (clinical or radiographic). Summary estimates of diagnostic accuracy
were computed using random effects models. Subgroup analyses and meta-regression
were performed to assess associations between CTA sensitivity and study or
patient characteristics. RESULTS: Twelve studies, involving 541 patients, were
included. If the CTA criterion for brain death was complete lack of opacification
of intracranial vessels, then the pooled sensitivity was 62 % (50-74 %) for
venous phase and 84 % (75-94 %) for arterial phase imaging. The sensitivity of
CTA was higher when the criterion for brain death involved absence of
opacification of internal cerebral veins, either alone (99 %, 97-100 %) or in
combination with lack of flow to the distal middle cerebral artery branches (85
%, 77-93 %). CTA sensitivity was not influenced by different reference standards
(clinical vs. radiographic) or predominant diagnostic category (stroke vs. brain
trauma). Specificity of CTA could not be adequately determined from the existing
data. CONCLUSION: Many patients who progress to brain death by accepted clinical
or radiographic criteria have persistent opacification of proximal intracranial
vessels when CTA is performed. The specificity of CTA in the diagnosis of brain
death has not been adequately assessed. Routine use of CTA as an ancillary test
in the diagnosis of brain death is therefore not recommended until diagnostic
criteria have undergone further refinement and prospective validation. Absence of
opacification of the internal cerebral veins appears to be the most promising
angiographic criterion.
PMID- 24939055
TI - Antitumor activity of selective MEK1/2 inhibitor AZD6244 in combination with
PI3K/mTOR inhibitor BEZ235 in gefitinib-resistant NSCLC xenograft models.
AB - PURPOSE: Although the EGF receptor tyrosine kinase inhibitors (EGFR-TKI)
gefitinib have shown dramatic effects against EGFR mutant lung cancer, patients
become resistant by various mechanisms, including gatekeeper EGFR-T790M mutation,
MET amplification, and KRAS mutation, thereafter relapsing. AZD6244 is a potent,
selective, and orally available MEK1/2 inhibitor. In this study, we evaluated the
therapeutic efficacy of AZD6244 alone or with BEZ235, an orally available potent
inhibitor of phosphatidylinositol 3-kinase (PI3K) and mammalian target of
rapamycin (mTOR), in gefitinib-resistant non-small cell lung carcinoma (NSCLC)
models. EXPERIMENTAL DESIGN: NCI-H1975 with EGFR-T790M mutation, NCI-H1993 with
MET amplification and NCI-H460 with KRAS/PIK3CA mutation human NSCLC cells were
subcutaneous injected into the athymic nude mice respectively. Mice were randomly
assigned to treatment with AZD6244, BEZ235, AZD6244 plus BEZ235, or control for 3
weeks, then all mice were sacrificed and tumor tissues were subjected to western
blot analyses and immunohistochemical staining. RESULTS: AZD6244 could inhibit
the tumor growth of NCI-H1993, but slightly inhibit the tumor growth of NCI-1975
and NCI-H460. Combining AZD6244 with BEZ235 markedly enhanced their antitumor
effects and without any marked adverse events. Western blot analysis and
immunohistochemical staining revealed that AZD6244 alone reduced ERK1/2
phosphorylation, angiogenesis, and tumor cell proliferation. Moreover, MEK1/2
inhibition resulted in decreased AKT phosphorylation in NCI-H1993 tumor model.
BEZ235 also inhibited AKT phosphorylation as well as their downstream molecules
in all three tumor models. The antiangiogenic effects were substantially enhanced
when the agents were combined, which may due to the reduced expression of matrix
metallopeptidase-9 in tumor tissues (MMP-9). CONCLUSIONS: In this study, we
evaluated therapy directed against MEK and PI3K/mTOR in distinct gefitinib
resistant NSCLC xenograft models. Combining AZD6244 with BEZ235 enhanced their
antitumor and antiangiogenic effects. We concluded that the combination of a
selective MEK inhibitor and a PI3K/mTOR inhibitor was effective in suppressing
the growth of gefitinib-resistant tumors caused by EGFR T790M mutation, MET
amplification, and KRAS/PIK3CA mutation. This new therapeutic strategy may be a
practical approach in the treatment of these patients.
PMID- 24939057
TI - Spectrum of operative childhood intra-articular shoulder pathology.
AB - PURPOSE: With increased sports participation and medical community awareness,
there appears to be an increase in pediatric musculoskeletal injuries. Our
purpose was to identify the intra-articular injury pattern seen within the
pediatric shoulder. METHODS: A retrospective review was performed at two tertiary
care children's hospitals between 2008 and 2011 on all patients who underwent
magnetic resonance imaging (MRI) and subsequent shoulder arthroscopy. Exclusion
criteria included: girls >14 years old and boys >16 years old. Demographics, MRI
and arthroscopic findings were recorded. Labral pathology was grouped: Zone I
(Bankart lesions, 3-6 o'clock for right shoulder), Zone II (posterior labral
lesions, 6-11 o'clock), Zone III (SLAP lesions, 11-1 o'clock), and Zone IV
(anatomic variants, 1-3 o'clock). RESULTS: One hundred and fifteen children met
criteria, mean age 14.4 years (range 8-16). There were 24 girls and 91 boys, with
70 right shoulders. Of 108 children, labral pathology involved: 72 Zone I (16
isolated anterior), 56 Zone II (15 isolated posterior), 38 Zone III (four
isolated superior), and three had an isolated Buford complex. Seventy had more
than one labral zone injured, and 31 (30 %) had more than two zones injured. Non
labral pathology included partial rotator cuff tears and humeral avulsions of the
glenohumeral ligament. CONCLUSION: With 94 % of intra-articular pathology being
labral tears, the distribution of proportion in children differs from adults;
moreover, 23 % involved only the posterior or posterosuperior labrum. Treating
surgeons should be prepared to find anterior tears extending beyond the zone of a
classic Bankart lesion and an association with C rotator cuff tears.
PMID- 24939058
TI - Cell cycle transition from S-phase to G1 in Caulobacter is mediated by ancestral
virulence regulators.
AB - Zinc-finger domain transcriptional regulators regulate a myriad of functions in
eukaryotes. Interestingly, ancestral versions (MucR) from Alpha-proteobacteria
control bacterial virulence/symbiosis. Whether virulence regulators can also
control cell cycle transcription is unknown. Here we report that MucR proteins
implement a hitherto elusive primordial S->G1 transcriptional switch. After
charting G1-specific promoters in the cell cycle model Caulobacter crescentus by
comparative ChIP-seq, we use one such promoter as genetic proxy to unearth two
MucR paralogs, MucR1/2, as constituents of a quadripartite and homeostatic
regulatory module directing the S->G1 transcriptional switch. Surprisingly, MucR
orthologues that regulate virulence and symbiosis gene transcription in Brucella,
Agrobacterium or Sinorhizobium support this S->G1 switch in Caulobacter. Pan
genomic ChIP-seq analyses in Sinorhizobium and Caulobacter show that this module
indeed targets orthologous genes. We propose that MucR proteins and possibly
other virulence regulators primarily control bacterial cell cycle (G1-phase)
transcription, rendering expression of target (virulence) genes periodic and in
tune with the cell cycle.
PMID- 24939059
TI - Use of a portable gamma camera for guiding surgical treatment in locally advanced
breast cancer in a post-neoadjuvant therapy setting.
AB - The aim of the present study was to assess the feasibility of a portable gamma
camera (PGC) for guiding surgical treatment in locally advanced breast cancer
(LABC) after neoadjuvant therapy (NT). Since January 2012, a PGC (Sentinella 102,
ONCOVISION) has been available in our center. We planned to perform a feasibility
monocentric prospective study involving 15-20 patients with LABC for assessing
the diagnostic performance of this PGC after NT (Breast Cancer Surgery-S102).
Before the surgical treatment and at the end of NT an injection of 99mTc
Sestamibi (100-150 MBq) was made. Conventional scintimmamography (SMM) and
Sentinella 102 images were obtained from 18 patients. 10 (55.5 %) patients showed
a focal uptake of tracer in the breast or lymph nodes before or after the
surgical excision (on histological specimen), while 8 did not. The histological
specimen concluded for a complete response to NT in 4 (22.2 %) patients and for a
partial or no response to treatment in the remnant 14 subjects. The specificity
and false-negative rate of the Sentinella 102 compared to SMM were 100 % for both
and 38 % vs. 60 %, respectively. The global diagnostic accuracy of Sentinella 102
was: 66.7 % (95 % confidence interval: 44.88-88.44 %). The present feasibility
study shows how a new nuclear imaging device can be useful in the operating
theatre for guiding a radical surgery approach in patients with LABC after NT.
PMID- 24939054
TI - MYC cofactors: molecular switches controlling diverse biological outcomes.
AB - The transcription factor MYC has fundamental roles in proliferation, apoptosis,
tumorigenesis, and stem cell pluripotency. Over the last 30 years extensive
information has been gathered on the numerous cofactors that interact with MYC
and the target genes that are regulated by MYC as a means of understanding the
molecular mechanisms controlling its diverse roles. Despite significant advances
and perhaps because the amount of information learned about MYC is overwhelming,
there has been little consensus on the molecular functions of MYC that mediate
its critical biological roles. In this perspective, the major MYC cofactors that
regulate the various transcriptional activities of MYC, including canonical and
noncanonical transactivation and transcriptional repression, will be reviewed and
a model of how these transcriptional mechanisms control MYC-mediated
proliferation, apoptosis, and tumorigenesis will be presented. The basis of the
model is that a variety of cofactors form dynamic MYC transcriptional complexes
that can switch the molecular and biological functions of MYC to yield a diverse
range of outcomes in a cell-type- and context-dependent fashion.
PMID- 24939060
TI - Incident comorbidities and all-cause mortality among 5-year survivors of Stage I
and II breast cancer diagnosed at age 65 or older: a prospective-matched cohort
study.
AB - Five-year breast cancer survivors, diagnosed after 65 years of age, may develop
more incident comorbidities than similar populations free of cancer. We
investigated whether older breast cancer survivors have a similar comorbidity
burden 6-15 years after cancer diagnosis to matched women free of breast cancer
at start of follow-up and whether incident comorbidities are associated with all
cause mortality. In this prospective cohort study, 1,361 older 5-year early-stage
breast cancer survivors diagnosed between 1990 and 1994 and 1,361 age- and health
system-matched women were followed for 10 years. Adjudicated medical record
review captured prevalent and incident comorbidities during follow-up or until
death as collected from the National Death Index. Older 5-year breast cancer
survivors did not acquire incident comorbidities more often than matched women
free of breast cancer in the subsequent 10 years [hazard ratio (HR) 1.0, 95 %
confidence interval (95 % CI) 0.93, 1.1]. Adjusted for cohort membership, women
with incident comorbidities had a higher mortality rate than those without
incident comorbidities (HR 4.8, 95 % CI 4.1, 5.6). A breast cancer history
continued to be a hazard for mortality 6-15 years after diagnosis (HR 1.3, 95 %
CI 1.1, 1.4). We found that older breast cancer survivors who developed
comorbidities had an increased all-cause mortality rate even after adjusting for
age and prevalent comorbidity burden. Additionally, survivors acquire
comorbidities at a rate similar to older women free of breast cancer. These
results highlight the association between comorbidity burden and long-term
mortality risk among older breast cancer survivors and their need for appropriate
oncology and primary care follow-up.
PMID- 24939061
TI - Influence of boost radiotherapy in patients with ductal carcinoma in situ breast
cancer: a multicenter, retrospective study in Korea (KROG 11-04).
AB - To estimate the effect of boost radiotherapy on local recurrence-free survival
(LRFS) in patients with ductal carcinoma in situ (DCIS) breast cancer. We
included patients from nine institutions who met the following criteria: having
Tis, age 18 years or older, having breast conserving surgery (BCS) and
radiotherapy within 12 weeks after surgery. From 1995 through 2006, 728 patients
were analyzed retrospectively by the Korean Radiation Oncology Group. All
patients received whole-breast radiation therapy (WBRT) after BCS. 232 patients
(31.9 %) also received boost radiation therapy (RT) (median 10 Gy). After median
follow-up of 82 months, 5-year LRFS was 98.4 % and 10-year LRFS was 95.8 % for
all patients. There was no statistically significant difference of LRFS between
the boost and no-boost groups. Nineteen (2.6 %) patients had ipsilateral breast
recurrences, including 12 of invasive recurrence and 7 DCIS. The presence of the
HER2 receptor was associated with more invasive recurrences. Nine (1.2 %)
patients developed contralateral breast cancer, including six invasive breast
cancer and three DCIS. In the multivariate analysis, only the margin status was a
significant prognostic factor for LRFS. Boost RT was not associated with further
improvement of local control in DCIS after BCS and WBRT. HER2 receptor-positive
patients may need further treatment with the anti-HER2 agents.
PMID- 24939062
TI - 3D volumetric analysis for planning breast reconstructive surgery.
AB - Breast reconstruction plays an integral role in the holistic management of breast
cancer, with assessment of breast volume, shape, and projection vital in planning
breast reconstruction surgery. Current practice includes two-dimensional (2D)
photography and visual estimation in selecting ideal volume and shape of breast
implants or soft-tissue flaps. Other objective quantitative means of calculating
breast volume have been reported, such as direct anthropomorphic measurements or
three-dimensional (3D) photography, but none have proven reliably accurate. We
describe a novel approach to volumetric analysis of the breast, through the
creation of a haptic, tactile model, or 3D print of scan data. This approach
comprises use of a single computed tomography (CT) or magnetic resonance imaging
(MRI) scan for volumetric analysis, which we use to compare to simpler estimation
techniques, create software-generated 3D reconstructions, calculate, and
visualize volume differences, and produce biomodels of the breasts using a 3D
printer for tactile appreciation of volume differential. Using the technique
described, parenchymal volume was assessed and calculated using CT data. A case
report was utilized in a pictorial account of the technique, in which a volume
difference of 116 cm(3) was calculated, aiding reconstructive planning.
Preoperative planning, including volumetric analysis can be used as a tool to aid
esthetic outcomes and attempt to reduce operative times in post-mastectomy breast
reconstruction surgery. The combination of accurate volume calculations and the
production of 3D-printed haptic models for tactile feedback and operative
guidance are evolving techniques in volumetric analysis and preoperative planning
in breast reconstruction.
PMID- 24939064
TI - Of autophagy and in vivo pancreatic carcinogenesis: the p53 status matters!
AB - Autophagy is a lysosomal recycling process essential for tissue or cell
homeostasis. The role of autophagy in cancer is complex with either tumor
suppressive or pro-carcinogenetic activities. This question has been addressed by
Kevin Ryan's laboratory by using Kras-driven genetic engineering mouse models in
order to decipher the involvement of essential Atg5/7 autophagy genes and p53
status in pancreatic homeostasis and carcinogenetic progression. The authors show
that combined loss of autophagy and p53 dramatically promotes progression from
early Pancreatic Intraepithelial Neoplasia (PanIN) lesions towards adenocarcinoma
and alters the cellular metabolism with an enrichment of anabolic pathway that
can fuel the tumor growth.
PMID- 24939065
TI - Extracardiac findings on coronary CT angiography: a systematic review.
AB - Coronary computed tomography angiography (CCTA) is of growing importance in
noninvasive diagnosis of coronary artery diseases. The CT data allow evaluation
not only of coronary arteries but also of adjacent anatomical territories. Our
objective was to review, to analyze, and to quantify the spectrum and the
prevalence of extracardiac findings (ECF) in CCTA. Therefore, we searched
MEDLINE, EMBASE, and ISI Web of Science. Prior to quantitative analysis, we
categorized the ECF of all included studies into clinically significant and
clinically non-significant findings. First, we calculated the average prevalences
of ECF and clinically significant ECF performing a meta-analysis for proportions
using the double arcsine transformation. Second, we analyzed the spectrum and
location of clinically significant ECF. Third, we identified ECF of acutely life
threatening potential as well as malignancies and calculated their prevalences.
Thirteen studies with a total of 11,703 patients were found to meet the inclusion
criteria. The average prevalence of overall ECF was 41.0% (95% confidence
interval [95% CI]: 27, 56; P < .0001) and 16.0% (95% CI (9, 24; P < .0001) for
clinically significant ECF. Clinically significant ECF were most commonly
detected in the lungs (50.2%), the abdomen (26.7%), the vessels (13.1%), the
mediastinum (3.6%), and in other adjacent anatomical territories (6.4%). The
prevalence of acutely life-threatening and malignant ECF accounted for 2.2% (95%
CI: 1.9, 2.5; P < .0001) and 0.3% (95% CI: 0.2-0.4; P < .0001), respectively. In
conclusion, clinically significant and acutely life-threatening ECF are common.
Reading CCTA for ECF may lead to earlier detection of relevant disease.
CONCLUSION: Clinically significant and acutely life-threatening ECF are common.
Reading CCTA for ECF may lead to earlier detection of relevant disease.
PMID- 24939063
TI - Gut microbiota, probiotics and diabetes.
AB - Diabetes is a condition of multifactorial origin, involving several molecular
mechanisms related to the intestinal microbiota for its development. In type 2
diabetes, receptor activation and recognition by microorganisms from the
intestinal lumen may trigger inflammatory responses, inducing the phosphorylation
of serine residues in insulin receptor substrate-1, reducing insulin sensitivity.
In type 1 diabetes, the lowered expression of adhesion proteins within the
intestinal epithelium favours a greater immune response that may result in
destruction of pancreatic beta cells by CD8+ T-lymphocytes, and increased
expression of interleukin-17, related to autoimmunity. Research in animal models
and humans has hypothesized whether the administration of probiotics may improve
the prognosis of diabetes through modulation of gut microbiota. We have shown in
this review that a large body of evidence suggests probiotics reduce the
inflammatory response and oxidative stress, as well as increase the expression of
adhesion proteins within the intestinal epithelium, reducing intestinal
permeability. Such effects increase insulin sensitivity and reduce autoimmune
response. However, further investigations are required to clarify whether the
administration of probiotics can be efficiently used for the prevention and
management of diabetes.
PMID- 24939066
TI - Multicenter Evaluation Of Coronary Dual-Source CT angiography in patients with
intermediate Risk of Coronary Artery Stenoses (MEDIC): study design and
rationale.
AB - BACKGROUND: The diagnostic performance of multidetector row CT to detect coronary
artery stenosis has been evaluated in numerous single-center studies, with only
limited data from large cohorts with low-to-intermediate likelihood of coronary
disease and in multicenter trials. The Multicenter Evaluation of Coronary Dual
Source CT Angiography in Patients with Intermediate Risk of Coronary Artery
Stenoses (MEDIC) trial determines the accuracy of dual-source CT (DSCT) to
identify persons with at least 1 coronary artery stenosis among patients with low
to-intermediate pretest likelihood of disease. METHODS: The MEDIC trial was
designed as a prospective, multicenter, international trial to evaluate the
diagnostic performance of DSCT for the detection of coronary artery stenosis
compared with invasive coronary angiography. The study includes 8 sites in
Germany, India, Mexico, the United States, and Denmark. The study population
comprises patients referred for a diagnostic coronary angiogram because of
suspected coronary artery disease with an intermediate pretest likelihood as
determined by sex, age, and symptoms. All evaluations are performed by blinded
core laboratory readers. RESULTS: The primary outcome of the MEDIC trial is the
accuracy of DSCT to identify the presence of coronary artery stenoses with a
luminal diameter narrowing of 50% or more on a per-vessel basis. Secondary
outcome parameters include per-patient and per-segment diagnostic accuracy for
50% stenoses and accuracy to identify stenoses of 70% or more. Furthermore,
secondary outcome parameters include the influence of heart rate, Agatston score,
body weight, body mass index, image quality, and diagnostic confidence on the
accuracy to detect coronary artery stenoses >50% on a per-vessel basis.
CONCLUSION: The results of the MEDIC trial will assess the clinical utility of
coronary CT angiography in the evaluation of patients with intermediate pretest
likelihood of coronary artery disease.
PMID- 24939067
TI - A novel application of CT angiography to detect extracoronary vascular
abnormalities in patients with spontaneous coronary artery dissection.
AB - BACKGROUND: Spontaneous coronary artery dissection (SCAD) is associated with
extracoronary vascular abnormalities, which depending on type and location may
warrant treatment or provide additional diagnostic or prognostic information
about this uncommon entity. Fibromuscular dysplasia (FMD), aneurysms, and
dissections have been detected in multiple vascular territories by magnetic
resonance angiography, CT angiography (CTA), and catheter angiography. The
optimal modality to detect extracoronary vascular abnormalities is unknown. We
highlight the technique and feasibility of a novel CTA protocol to detect
extracoronary vascular abnormalities in these patients, incorporating patient
safety and convenience. METHODS: The complete CTA protocol consisting of a single
CTA of the neck, chest, abdomen, and pelvis was performed on 39 SCAD outpatients.
All examinations were performed with 200 mL of low-osmolar contrast agent and
used radiation dose modulation techniques. Average volume CT dose index was 9 mGy
for the chest, abdomen, and pelvis portions and 21 mGy for the neck portion.
Studies were independently reviewed by 2 senior vascular radiologists. RESULTS:
Two patients had nondiagnostic CTA neck evaluation because of technical
acquisition errors. Extracoronary vascular abnormalities were detected in 27 of
39 patients (69%). Catheter angiography detected brachial artery FMD in 1
patient, a vascular bed not included in the SCAD CTA protocol. Extracoronary
vascular abnormalities were common, including FMD, aneurysms, dissection, and
aortic tortuosity, and were seen in the iliac (36%), carotid and/or vertebral
(31%), splanchnic (10%), and renal (26%) arteries and in the thoracic and/or
abdominal aorta (10%). CONCLUSIONS: The frequency of extracoronary vascular
abnormalities and extent of territories identified the CTA protocol in our cohort
are high. A tailored CTA may be the optimal imaging technique for detecting
extracoronary vascular abnormalities in patients with suspected underlying
vasculopathy. Although the clinical significance of extracoronary vascular
abnormalities remains unclear, detection of these abnormalities has identified
patients in whom cerebral imaging and serial monitoring have been recommended.
PMID- 24939068
TI - Overestimation of pretest probability of coronary artery disease by Duke clinical
score in patients undergoing coronary CT angiography in a Japanese population.
AB - BACKGROUND: The Duke clinical score (DCS) is commonly used to estimate the
pretest probability of coronary artery disease (CAD). However, the criterion was
developed in a population undergoing catheter angiography. OBJECTIVE: To test the
hypothesis that DCS overestimates the CAD probability when applied to patients
evaluated with coronary CT angiography (CCTA). A second objective is to compute
an adjustment of the calculated DCS to apply to this population. METHODS: The DCS
was calculated for the 3996 consecutive CCTA studies (February 2009 to April
2013) performed for symptomatic patients with no known CAD. Performance of the
DCS for the detection of CAD was evaluated by the area under the receiver
operating characteristic curve. Using the training cohort (n = 2789), a linear
regression line between the calculated probability and the observed prevalence of
CAD identified a modified DCS cutoff for a better risk categorization; this was
internally validated by a separate cohort (n = 1207). RESULTS: The DCS showed a
good discrimination (area under the receiver operating characteristic curve =
0.71) for the detection of CAD (prevalence = 23.3%). The calibration analysis
showed an overall 2.4-fold overestimation by DCS with a DCS < 23% corresponding
to the low-risk category (ie, observed prevalence of CAD < 10%). There was no
appropriate DCS cutoff to define high-risk category (ie, prevalence > 90%). The
validation cohort showed a prevalence of 9.4% when DCS < 23% was used to define
low risk. CONCLUSION: Among patients who underwent CCTA, DCS overestimated the
pretest probability by at least 2-fold; the DCS < 23% should define the lower
risk probability. The DCS poorly identifies high-risk population and thus
development of new CCTA-based criteria is warranted.
PMID- 24939069
TI - CT dose reduction using prospectively triggered or fast-pitch spiral technique
employed in cardiothoracic imaging (the CT dose study).
AB - OBJECTIVES: To establish current radiation dose levels with contemporary scanners
capable of prospectively triggered or high-pitch spiral scan modes to previous
generation scanners among patients evaluated for coronary artery disease,
pulmonary embolism, aortic disease, and "triple rule out" in a large population
of patients at multiple centers. BACKGROUND: Previous small-scale studies with
carefully controlled scan protocols report that CT scanners that facilitate
prospectively triggered scanning and provide high-pitch spiral CT scan modes
drastically lower radiation doses. However, diagnostic reference levels should be
selected by medical bodies on the basis of large surveys of representative sites
and reviewed at appropriate time intervals. METHODS: Scan data including dose and
image quality parameters were collected retrospectively from 64 slice scanners
(control) and prospectively after sites installed 128-slice dual-source scanners
with high-pitch capability (study). Protocol selection was purposely not
specified to survey "real world" results. Blinded quantitative image analysis was
performed on every fifth scan. RESULTS: From April 2011 to March 2012, 2085
patients at 9 sites completed the study: 1051 coronary artery disease (509
control, 542 study), 528 pulmonary embolism (267 control, 261 study), 419 aortic
disease (268 control, 151 study), and 87 triple rule out (53 control, 34 study).
There was a significant reduction in median dose-length product (DLP) from 669
mGy ? cm (interquartile range [IQR]: 419-1026 mGy ? cm) in the control group to
260 mGy ? cm (IQR: 159-441 mGy ? cm) in the study group, a reduction by 61% (P <
.0001) and was lower in all categories. No significant differences were noted in
image quality. CONCLUSION: Use of advanced scanners facilitating prospectively
triggered or high-pitch spiral scan modes results in marked dose reduction across
a variety of cardiovascular studies, with no compromise in image quality. These
findings may contribute to new target dose recommendations in societal
guidelines.
PMID- 24939070
TI - Automated quantification of epicardial adipose tissue (EAT) in coronary CT
angiography; comparison with manual assessment and correlation with coronary
artery disease.
AB - BACKGROUND: Epicardial adipose tissue (EAT) is emerging as a risk factor for
coronary artery disease (CAD). OBJECTIVE: The aim of this study was to determine
the applicability and efficiency of automated EAT quantification. METHODS: EAT
volume was assessed both manually and automatically in 157 patients undergoing
coronary CT angiography. Manual assessment consisted of a short-axis-based manual
measurement, whereas automated assessment on both contrast and non-contrast
enhanced data sets was achieved through novel prototype software. Duration of
both quantification methods was recorded, and EAT volumes were compared with
paired samples t test. Correlation of volumes was determined with intraclass
correlation coefficient; agreement was tested with Bland-Altman analysis. The
association between EAT and CAD was estimated with logistic regression. RESULTS:
Automated quantification was significantly less time consuming than automated
quantification (17 +/- 2 seconds vs 280 +/- 78 seconds; P < .0001). Although
manual EAT volume differed significantly from automated EAT volume (75 +/- 33
cm(3) vs 95 +/- 45 cm(3); P < .001), a good correlation between both assessments
was found (r = 0.76; P < .001). For all methods, EAT volume was positively
associated with the presence of CAD. Stronger predictive value for the severity
of CAD was achieved through automated quantification on both contrast-enhanced
and non-contrast-enhanced data sets. CONCLUSION: Automated EAT quantification is
a quick method to estimate EAT and may serve as a predictor for CAD presence and
severity.
PMID- 24939071
TI - Prevalence of noncardiac findings in computed tomography angiography before
transcatheter aortic valve replacement.
AB - OBJECTIVE: This study sought to determine the prevalence of significant and
nonsignificant noncardiac findings in patients undergoing preprocedural dual
source CT (DSCT) before transcatheter aortic valve implantation (TAVI). METHODS:
Patients (n = 204; aged, 80.5 +/- 5.1 years; 106 men) underwent preprocedural
DSCT of the thoracoabdominal aorta and the pelvic arterial vessels. Noncardiac
findings were recorded and categorized as nonsignificant (group A), incidental
findings requiring follow-up examinations (group B), and significant findings
with a demand for clinical treatment (group C). RESULTS: In 60 of 204 DSCT
examinations (29.4%) no noncardiac findings were observed. Of the remaining 144
examinations (70.6%), 260 had noncardiac findings; 35 of 204 patients (17.1%) had
a total of 37 clinically significant noncardiac findings. Eight malignancies were
detected; 5 of them were incidentally diagnosed on DSCT and changed patient
management. A total of 223 nonsignificant findings were observed in 116 of 204
patients (56.9%; group A), the most frequent findings were pleural effusions or
colorectal diverticulosis. The prevalence of incidental and significant findings
on DSCT before TAVI increased with patient age (r(2) = 0.69; P = .01).
CONCLUSION: Significant noncardiac findings are common in patients referred to
routine preprocedural DSCT for planning TAVI (17.1%).
PMID- 24939073
TI - Analysis of myocardial perfusion from vasodilator stress computed tomography:
does improvement in image quality by iterative reconstruction lead to improved
diagnostic accuracy?
AB - BACKGROUND: Iterative reconstruction (IR) in cardiac CT has been shown to improve
confidence of interpretation of noninvasive coronary CT angiography (CTA).
OBJECTIVE: We hypothesized that IR would also improve the quality of vasodilator
stress coronary CT images acquired with low tube voltage to assess myocardial
perfusion and the accuracy of the detection of perfusion abnormalities by using
quantitative 3-dimensional (3D) analysis. METHODS: We studied 39 consecutive
patients referred for coronary CTA (256-slice scanner; Philips), who underwent
additional imaging (100 kV, prospective gating) with regadenoson (0.4 mg;
Astellas). Stress images were reconstructed with different algorithms: filtered
back projection (FBP) and IR (iDose; Philips). Image quality was quantified by
signal-to-noise and contrast-to-noise ratios in the blood pool and the
myocardium. Then, FBP and separately IR images were analyzed with custom 3D
analysis software to quantitatively detect perfusion defects. Accuracy of
detection was compared with perfusion abnormalities predicted by coronary
stenosis >50% on coronary CTA. RESULTS: Five patients with image artifacts were
excluded. In the remaining 34 patients, both signal-to-noise and contrast-to
noise ratios increased with IR, indicating improvement in image quality compared
with FBP. For 3D perfusion analysis, 10 patients with normal coronary arteries
were used as a reference to correct for x-ray attenuation variations in normal
myocardium. In the remaining 24 patients, reduced noise levels in the IR images
compared with FBP resulted in tighter attenuation distribution and improved
detection of perfusion abnormalities. CONCLUSION: IR significantly improves image
quality on regadenoson stress CT images acquired with low tube voltage, leading
to improved 3D quantitative evaluation of myocardial perfusion.
PMID- 24939074
TI - Diagnosis of left ventricular pseudoaneurysm by cardiac CT angiography.
AB - We present a case of a patient with left ventricular pseudoaneurysm which was not
noted on transthoracic echocardiography but incidentally detected by CT
angiography in preparation of ablation therapy for ventricular tachycardia. The
patient underwent successful surgical repair of the pseudoaneurysm. The case
illustrates the utility of CT angiography for the diagnosis of this rare, but
hazardous condition.
PMID- 24939072
TI - Dual-energy computed tomography imaging to determine atherosclerotic plaque
composition: a prospective study with tissue validation.
AB - BACKGROUND: Identifying vulnerable coronary plaque with coronary CT angiography
is limited by overlap between attenuation of necrotic core and fibrous plaque.
Using x-rays with differing energies alters attenuation values of these
components, depending on their material composition. OBJECTIVES: We sought to
determine whether dual-energy CT (DECT) improves plaque component discrimination
compared with single-energy CT (SECT). METHODS: Twenty patients underwent DECT
and virtual histology intravascular ultrasound (VH-IVUS). Attenuation changes at
100 and 140 kV for each plaque component were defined, using 1088 plaque areas co
registered with VH-IVUS. Hounsfield unit thresholds that best detected necrotic
core were derived for SECT (conventional attenuation values) and for DECT (using
dual-energy indices, defined as difference in Hounsfield unit values at the 2
voltages/their sum). Sensitivity of SECT and DECT to detect plaque components was
determined in 77 segments from 7 postmortem coronary arteries. Finally, we
examined 60 plaques in vivo to determine feasibility and sensitivity of clinical
DECT to detect VH-IVUS-defined necrotic core. RESULTS: In contrast to
conventional SECT, mean dual-energy indices of necrotic core and fibrous tissue
were significantly different with minimal overlap of ranges (necrotic core, 0.007
[95% CI, -0.001 to 0.016]; fibrous tissue, 0.028 [95% CI, 0.016-0.050]; P <
.0001). DECT increased diagnostic accuracy to detect necrotic core in postmortem
arteries (sensitivity, 64%; specificity, 98%) compared with SECT (sensitivity,
50%; specificity, 94%). DECT sensitivity to detect necrotic core was lower when
analyzed in vivo, although still better than SECT (45% vs 39%). CONCLUSIONS: DECT
improves the differentiation of necrotic core and fibrous plaque in ex vivo
postmortem arteries. However, much of this improvement is lost when translated to
in vivo imaging because of a reduction in image quality.
PMID- 24939075
TI - Detection of right ventricle thrombosis in patient with Ebstein anomaly of
tricuspid valve after Fontan procedure by CT.
AB - A case of a 9-year-old boy with a severe form of Ebstein anomaly who underwent a
fenestrated Fontan procedure and exclusion of the tricuspid valve is reported. CT
demonstrated the presence of the right ventricular thrombus which was first found
on echocardiography and confirmed perioperatively.
PMID- 24939076
TI - Lipomatous hypertrophy presenting as superior vena cava syndrome.
AB - We report a rare case of invasive lipomatous hypertrophy of the interatrial
septum presenting as superior vena cava syndrome requiring surgical resection.
Lipomatous hypertrophy of the interatrial septum is a common incidental finding
and is thought to be a relatively benign condition. Classically, its appearance
on cardiac computed tomography is primarily fatty with low attenuation, sharp
margins and minimal enhancement, involving the interatrial septum with relative
sparing of the fossa ovalis. In masses of unclear etiology or in rare, extensive
cases resulting in cavity obstruction, such as ours, cardiac computed tomography
provides complementary information to define tumor extent and characterize
classic mass features facilitating diagnosis and management.
PMID- 24939077
TI - PEGylated carboxymethyl chitosan/calcium phosphate hybrid anionic nanoparticles
mediated hTERT siRNA delivery for anticancer therapy.
AB - Lack of safe and effective delivery vehicle is the main obstacle for siRNA
mediated cancer therapy. In this study, we synthesized a pH-sensitive polymer of
PEG grafted carboxymethyl chitosan (PEG-CMCS) and developed anionic-charged
hybrid nanoparticles of PEG-CMCS and calcium phosphate (CaP) for siRNA delivery
through a single-step self-assembly method in aqueous condition. The formed
nanoparticles with charge of around -8.25 mv and average diameter of 102.1 nm
exhibited efficient siRNA encapsulation and enhanced colloidal and serum
stability. The test in vitro indicated that the nanoparticles entered into HepG2
cells by endocytosis, and achieved endosomal escape of siRNA effectively due to
the pH-responsive disassembly of nanoparticles and dissolution of CaP in the
endosome. Reporter gene silencing assay showed that luciferase siRNA delivered by
the anionic nanoparticles could achieve gene silencing efficacy comparable to
that of conventional Lipofectamine 2000. Additionally, dramatic hTERT knockdown
mediated by the anionic nanoparticles transfection induced significant apoptosis
of HepG2 cells in vitro. After intravenous injection in tumor-bearing BALB/c nude
mice, the nanoparticles specifically accumulated into tumor regions by EPR
effect, leading to efficient and specific gene silencing sequentially. Most
importantly, the nanoparticles carrying hTERT siRNA inhibited tumor growth
significantly via silencing hTERT expression and inducing cells apoptosis in
HepG2 tumor xenograft. Moreover, comprehensive safety studies of the
nanoparticles confirmed their superior safety both in vitro and in vivo. We
concluded that the PEG-CMCS/CaP hybrid anionic nanoparticles possessed potential
as a safe and effective siRNA delivery system for anticancer therapy.
PMID- 24939078
TI - Growth-inhibiting and apoptosis-inducing activities of Myricanol from the bark of
Myrica rubra in human lung adenocarcinoma A549 cells.
AB - Myrica rubra (Lour.) Sieb. Et Zucc. is a myricaceae Myrica plant. It is a
subtropical fruit tree in China and other Asian countries. The bark of M. rubra
is used in Chinese folk medicine because of its antibacterial, antioxidant, anti
inflammatory, and anticancer activities. However, the mechanisms underlying such
activities remain unclear. This study investigated whether or not Myricanol
extracted from M. rubra bark elicits anti-cancer effects on human lung
adenocarcinoma A549 cells by inducing apoptosis in vivo. Myricanol was extracted
from M. rubra bark through system solvent extraction and silica gel layer column
separation. The results of tritiated thymidine assay, colony formation assay, and
flow cytometry indicated that Myricanol inhibited the growth of A549 cells. The
effects of Myricanol on the expression of key apoptosis-related genes in A549
cells were evaluated by quantitative PCR and Western blot analyses. Myricanol
significantly inhibited the growth of A549 cells in a dose-dependent manner, with
a half maximal inhibitory concentration of 4.85 MUg/ml. Myricanol significantly
decreased colony formation and induced A549 cell apoptosis. Myricanol upregulated
the expression of Caspase-3, Caspase-9, Bax, and p21 and downregulated the
expression of Bcl-2 at the mRNA and protein levels. These changes were associated
with apoptosis. Based on these results, we propose that Myricanol elicits growth
inhibitory and cytotoxic effects on lung cancer cells. Therefore, Myricanol may
be a clinical candidate for the prevention and treatment of lung cancer.
PMID- 24939080
TI - Preservation of the accessory renal arteries after endovascular repair of common
iliac artery aneurysm using kissing stent grafts.
AB - Exclusion of the accessory renal arteries (ARAs) is required during endovascular
aneurysm repair if they arise from the sealing zone or aneurysm sac. Here, we
report a case of successful endovascular treatment for a common iliac artery
aneurysm located close to the aortic bifurcation and associated with nephrotic
syndrome in a 51-year-old man. The bilateral ARAs were successfully preserved
using kissing stent grafts. During surgery, the proximal ends of endografts
inserted from the bilateral femoral arteries were adjusted so that they met at
the same level in the aorta, and simultaneous balloon dilatation was performed.
This method can be a useful treatment option for common iliac aneurysms in cases
with large ARAs.
PMID- 24939081
TI - [Is controlled drinking a reasonable therapy target for alcohol dependence? For].
PMID- 24939079
TI - Trends and determinants of costs associated with the inpatient care of diabetic
foot ulcers.
AB - OBJECTIVE: The cost of care for diabetic foot ulcers is estimated to be more than
$1.5 billion annually. The aim of this study was to analyze inpatient diabetic
foot ulcer cost changes over time and to identify factors associated with these
costs. METHODS: The Nationwide Inpatient Sample (2005-2010) was queried using the
International Classification of Diseases, Ninth Revision codes for a primary
diagnosis of foot ulceration. The primary outcomes were changes in adjusted total
hospital charges and costs over time. Multivariable analysis was performed to
assess relative increases (RIs) in hospital charges per patient in 2005 vs 2010
adjusting for demographic characteristics, income, comorbidities (Charlson
Comorbidity Index >=3), insurance type, hospital characteristics, diagnostic
imaging, revascularization, amputation, and length of stay. RESULTS: Overall,
336,641 patients were admitted with a primary diagnosis of diabetic foot
ulceration (mean age, 62.9 +/- 0.1 years, 59% male, 61% white race). The annual
cumulative cost for inpatient treatment of diabetic foot ulcers increased
significantly from 2005 to 2010 ($578,364,261 vs $790,017,704; P < .001). More
patients were hospitalized (128.6 vs 152.8 per 100,000 hospitalizations; P <
.001), and the mean adjusted cost per patient hospitalization increased
significantly over time ($11,483 vs $13,258; P < .001). The proportion of
nonelective admissions remained stable (25% vs 23%; P = .32) and there were no
differences in mean hospital length of stay (7.0 +/- 0.1 days vs 6.8 +/- 0.1
days; P = .22). Minor (17.9% vs 20.6%; P < .001), but not major amputations (3.9%
vs 4.2%; P = .27) increased over time. Based on multivariable analysis, the main
factors contributing to the escalating cost per patient hospitalization included
increased patient comorbidities (unadjusted mean difference 2005 vs 2010 $3303
[RI, 1.08] vs adjusted $15,220 [RI, 1.35]), open revascularization (unadjusted
$15,145 [RI, 1.25] vs adjusted $30,759 [RI, 1.37]), endovascular
revascularization (unadjusted $17,662 [RI, 1.29] vs adjusted $28.937 [RI, 1.38]),
and minor amputations (unadjusted $9918 [RI, 1.24] vs adjusted $18,084 [RI,
1.33]) (P < .001, all). CONCLUSIONS: Hospital charges and costs related to
diabetic foot ulcers have increased significantly over time despite stable
hospital length of stay and proportion of emergency admissions. Risk-adjusted
analyses suggest that this change might be reflective of increasing charges
associated with a progressively sicker patient population and attempts at limb
salvage. Despite this, the overall incidence of major amputations remained
stable.
PMID- 24939083
TI - Nucleic acid-based assays for the detection of high-risk human papillomavirus: a
technical review.
AB - Nucleic acid-based high-risk human papillomavirus (hrHPV) testing is essential to
contemporary cervical cancer screening. The numbers of commercially available
assays approved by the US Food and Drug Administration for HPV nucleic acid
detection have increased, each offering various approaches to analysis. An
understanding of the methodologies associated with HPV testing is important to
the practice of laboratory medicine. An overview of instruments, chemistries,
laboratory workflows, and test limitations associated with current US Food and
Drug Administration-approved assays is provided.
PMID- 24939082
TI - Role of miR-146a in human chondrocyte apoptosis in response to mechanical
pressure injury in vitro.
AB - MicroRNA (miR)-146a is known to be overexpressed in osteoarthritis (OA). However,
the role of miR-146a in OA has not yet been fully elucidated. In the present
study, we applied mechanical pressure of 10 MPa to human chondrocytes for 60 min
in order to investigate the expression of miR-146a and apoptosis following the
mechanical pressure injury. Normal human chondrocytes were transfected with an
miR-146a mimic or an inhibitor to regulate miR-146a expression. Potential target
genes of miR-146a were predicted using bioinformatics. Moreover, luciferase
reporter assay confirmed that Smad4 was a direct target of miR-146a. The
expression levels of miR-146a, Smad4 and vascular endothelial growth factor
(VEGF) were quantified by quantitative reverse transcription PCR and/or western
blot analysis. The effects of miR-146a on apoptosis were detected by Annexin V
fluorescein isothiocyanate (FITC)/propidium iodide (PI) flow cytometry. The
results indicated that mechanical pressure affected chondrocyte viability and
induced the early apoptosis of chondrocytes. Mechanical pressure injury increased
the expression levels of miR-146a and VEGF and decreased the levels of Smad4 in
the chondrocytes. In the human chondrocytes, the upregulation of miR-146a induced
apoptosis, upregulated VEGF expression and downregulated Smad4 expression. In
addition, the knockdown of miR-146a reduced cell apoptosis, upregulated Smad4
expression and downregulated VEGF expression. Smad4 was identified as a direct
target of miR-146a by harboring a miR-146a binding sequence in the 3'
untranslated region (3'-UTR) of its mRNA. Furthermore, the upregulation of VEGF
induced by miR-146a was mediated by Smad4 in the chondrocytes subjected to
mechanical pressure injury. These results demonstrated that miR-146a was
overexpressed in our chondrocyte model of experimentally induced human mechanical
injury, accompanied by the upregulation of VEGF and the downregulation of Smad4
in vitro. Moreover, our data suggest that miR-146a is involved in human
chondrocyte apoptosis in response to mechanical injury, and may contribute to the
mechanical injury of chondrocytes, as well as to the pathogenesis of OA by
increasing the levels of VEGF and damaging the transforming growth factor (TGF)
beta signaling pathway through the targeted inhibition of Smad4 in cartilage.
PMID- 24939084
TI - Efficient simulation of stochastic chemical kinetics with the Stochastic Bulirsch
Stoer extrapolation method.
AB - BACKGROUND: Biochemical systems with relatively low numbers of components must be
simulated stochastically in order to capture their inherent noise. Although there
has recently been considerable work on discrete stochastic solvers, there is
still a need for numerical methods that are both fast and accurate. The Bulirsch
Stoer method is an established method for solving ordinary differential equations
that possesses both of these qualities. RESULTS: In this paper, we present the
Stochastic Bulirsch-Stoer method, a new numerical method for simulating discrete
chemical reaction systems, inspired by its deterministic counterpart. It is able
to achieve an excellent efficiency due to the fact that it is based on an
approach with high deterministic order, allowing for larger stepsizes and leading
to fast simulations. We compare it to the Euler tau-leap, as well as two more
recent tau-leap methods, on a number of example problems, and find that as well
as being very accurate, our method is the most robust, in terms of efficiency, of
all the methods considered in this paper. The problems it is most suited for are
those with increased populations that would be too slow to simulate using
Gillespie's stochastic simulation algorithm. For such problems, it is likely to
achieve higher weak order in the moments. CONCLUSIONS: The Stochastic Bulirsch
Stoer method is a novel stochastic solver that can be used for fast and accurate
simulations. Crucially, compared to other similar methods, it better retains its
high accuracy when the timesteps are increased. Thus the Stochastic Bulirsch
Stoer method is both computationally efficient and robust. These are key
properties for any stochastic numerical method, as they must typically run many
thousands of simulations.
PMID- 24939085
TI - Patient's experience of treatment for sleep apnoea with a mandibular advancement
splint.
AB - BACKGROUND AND AIMS: Obstructive sleep apnoea (OSA) is a well recognised clinical
disorder in which there is narrowing and repeated collapse of the upper airway
during sleep resulting in the cessation of breathing. Patients with mild to
moderate sleep apnoea are often provided with mandibular advancement splint (MAS)
therapy as a form of first line or definitive treatment. The aims of this audit
were to evaluate patient satisfaction and success of MAS therapy. METHODS: 93
patients diagnosed with sleep apnoea and suitable for a splint were recruited
prospectively at Queen Victoria Hospital, East Grinstead between January 2009 and
October 2010. A patient satisfaction questionnaire was developed by health
professionals involved in the care of patients with sleep apnoea and assessed for
face and content validity and reliability. Participants completed the
questionnaire six weeks after the splint was fitted. RESULTS: 44% who previously
experienced snoring now reported no snoring and 47% reported less snoring since
wearing the MAS appliance. 69% reported complete resolution of sleep apnoea
symptoms. 37% experienced aching teeth and 33% experienced having a dry throat
when wearing the appliance. 86% of sleeping partners felt that their quality of
sleep was improved following their partners treatment. CONCLUSIONS: The standards
set for each criteria in this audit were met. MAS treatment has a key role to
play in the management of obstructive sleep apnoea with high rates of patient
satisfaction and the majority of patients partners reporting a significant
improvement in their own and their partners sleep quality.
PMID- 24939086
TI - Cross-column prediction of gas-chromatographic retention indices of saturated
esters.
AB - We combine computational molecular descriptors and variables related with the gas
chromatographic stationary phase into a comprehensive model able to predict the
retention of solutes in external columns. To explore the quality of various
approaches based on alternative column descriptors, we analyse the Kovats
retention indices (RIs) of 90 saturated esters collected with seven columns of
different polarity (SE-30, OV-7, DC-710, OV-25, XE-60, OV-225 and Silar-5CP).
Cross-column retention prediction is evaluated on an internal validation set
consisting of data of 40 selected esters collected with each of the seven
columns, sequentially excluded from calibration. The molecular descriptors are
identified by a genetic algorithm variable selection method applied to a large
set of non-empirical structural quantities aimed at finding the best multi-linear
quantitative structure-retention relationship (QSRR) for the column OV-25 having
intermediate polarity. To describe the columns, we consider the sum of the first
five McReynolds phase constants and, alternatively, the coefficients of the
corresponding QSRRs. Moreover, the mean RI value for the subset of esters used in
QSRR calibration or RIs of a few selected compounds are used as column
descriptors. For each combination of solute and column descriptors, the retention
model is generated both by multi-linear regression and artificial neural network
regression.
PMID- 24939087
TI - Ultra-preconcentration and determination of selected pharmaceutical and personal
care products in different water matrices by solid-phase extraction combined with
dispersive liquid-liquid microextraction prior to ultra high pressure liquid
chromatography tandem mass spectrometry analysis.
AB - Pharmaceutical and personal care products (PPCPs) are one of the most important
classes of emerging contaminants. The potential of ecological and environmental
impacts associated with PPCPs are of particular concern because they continually
penetrate the aquatic environment. This work describes a novel ultra
preconcentration technique for the rapid and highly sensitive analysis of
selected PPCPs in environmental water matrices at ppt levels. Selected PPCPs were
rapidly extracted and concentrated from large volumes of aqueous solutions (500
and 250mL) by solid-phase extraction combined with dispersive liquid-liquid
microextraction (SPE-DLLME) and then analyzed using UHPLC-MS/MS. Experimental
parameters were carefully investigated and optimized to achieve the best SPE
DLLME efficiency and higher enrichment factors. The best results were obtained
using the ternary mixture acetonitrile/methanol/dichloromethane 3:3:4, v/v/v,
both as SPE eluent and DLLME extractant/dispersive mixture. DLLME aqueous
solution (5% NaCl, 10mgL(-1) TBAB) was also modified to improve the extraction
efficiency of more hydrophilic PPCPs. Under the optimal conditions, an exhaustive
extraction for most of the investigated analytes (recoveries >70%), with a
precision (RSD <10%) and very high enrichment factors were attained for different
aqueous matrices (drinking, sea, river and wastewater). Method detection and
quantification limits were at very low ppt levels and below 1 and 3ngL(-1),
respectively, for 15 of selected PPCPs. The proposed analytical procedure offers
numerous advantages such as the simplicity of operation, rapidity, a high
enrichment factor and sensitivity. So it is suitable for monitoring and studies
of occurrence of PPCPs in different environmental compartments.
PMID- 24939088
TI - Ion chromatography electrospray ionization mass spectrometry method development
and investigation of lithium hexafluorophosphate-based organic electrolytes and
their thermal decomposition products.
AB - A method based on the coupling of ion chromatography (IC) and electrospray
ionization mass spectrometry (ESI-MS) for the separation and determination of
thermal decomposition products of LiPF6-based organic electrolytes is presented.
The utilized electrolytes, LP30 and LP50, are commercially available and consist
of 1mol/l LiPF6 dissolved in ethylene carbonate/dimethyl carbonate and ethylene
carbonate/ethyl methyl carbonate, respectively. For the separation method
development three ion chromatographic columns with different capacity and
stationary phase were used and compared. Besides the known hydrolysis products of
lithium hexafluorophosphate, several new organophosphates were separated and
identified with the developed IC-ESI-MS method during aging investigations of the
electrolytes. The chemical structures were elucidated with IC-ESI-MS/MS.
PMID- 24939089
TI - OFFGEL fractionation of peptides: where really is your sample?
AB - Shotgun proteomics of complex samples is generally coupled with at least one
peptide fractionation step and, to this effect, peptide isoelectric focusing
(IEF) in immobilized pH gradient (IPG) is one of the most used techniques.
Fractionation with the OFFGEL 3100 Agilent Technologies apparatus allows the easy
recovery of peptides that, after focusing, diffuse into the liquid phase above
the gel strip. In this work we investigate the efficiency of peptide diffusion
during OFFGEL fractionation and demonstrate that a recovery based only on the
spontaneous diffusion process is far from being optimal. We show that a simple
additional extraction step with acetonitrile increases of about 40% the amount of
material that can be recovered after the focusing. Moreover, we show that the two
populations of peptides obtained from the passive elution and from the extraction
process are also qualitatively different and only partially overlapping.
PMID- 24939090
TI - Method validation.
PMID- 24939092
TI - Revisit rates and diagnoses following pediatric tonsillectomy in a large
multistate population.
AB - OBJECTIVES/HYPOTHESIS: Investigate the incidence and characteristics of revisits
following ambulatory pediatric tonsillectomy/adenotonsillectomy. STUDY DESIGN:
Cross-sectional study using national databases. METHODS: Ambulatory pediatric
(age <18.0 years) tonsillectomy or adenotonsillectomy cases were extracted from
the 2010 State Ambulatory Surgery, Emergency Department, and Inpatient databases
for New York, Florida, Iowa, and California. First and second revisits within the
14-day postoperative period were tabulated. Diagnoses, procedure codes, and
mortality were examined. RESULTS: There were 36,221 pediatric
tonsillectomies/adenotonsillectomies (mean age 7.4 years, 51.4% male). Overall,
2,740 patients (7.6%) had a revisit after pediatric tonsillectomy; 402 patients
(1.1%) had a second revisit. Among revisits, 6.3% revisited the ambulatory
surgery center, 77.5% revisited the emergency department, and 16.2% were
readmitted as an inpatient. Among all tonsillectomies, bleeding occurred in 2.0%
and 0.5% within the first and second revisits, respectively. A second revisit had
a statistically higher association with a primary bleeding diagnosis than the
first revisit (P < .001). Among all cases, 0.75% underwent a surgical procedure
for bleeding at a first revisit compared to 0.25% during a second revisit. Acute
pain was the primary diagnosis in 18.4% and 11.2% of first and second revisits;
fever/vomiting/dehydration were primary diagnoses in 28.2% and 17.9%,
respectively. There were two mortalities (0.0055%) within the 14-day
postoperative interval. CONCLUSIONS: This large-scale analysis describes the
current rates and diagnoses of revisits, hospital readmission, and surgical
intervention following ambulatory pediatric tonsillectomy. Many revisits centered
on pain control and dehydration, suggesting that more adequate symptom control
may prevent a large proportion of revisits. LEVEL OF EVIDENCE: 2b.
PMID- 24939091
TI - Diazoxide attenuates autoimmune encephalomyelitis and modulates lymphocyte
proliferation and dendritic cell functionality.
AB - Activation of mitochondrial ATP-sensitive potassium (KATP) channels is postulated
as an effective mechanism to confer cardio and neuroprotection, especially in
situations associated to oxidative stress. Pharmacological activation of these
channels inhibits glia-mediated neuroinflammation. In this way, diazoxide, an old
known mitochondrial KATP channel opener, has been proposed as an effective and
safe treatment for different neurodegenerative diseases, demonstrating efficacy
in different animal models, including the experimental autoimmune
encephalomyelitis (EAE), an animal model for Multiple Sclerosis. Although
neuroprotection and modulation of glial reactivity could alone explain the
positive effects of diazoxide administration in EAE mice, little is known of its
effects on the immune system and the autoimmune reaction that triggers the EAE
pathology. The aim of the present work was to study the effects of diazoxide in
autoimmune key processes related with EAE, such as antigen presentation and
lymphocyte activation and proliferation. Results show that, although diazoxide
treatment inhibited in vitro and ex-vivo lymphocyte proliferation from whole
splenocytes it had no effect in isolated CD4(+) T cells. In any case, treatment
had no impact in lymphocyte activation. Diazoxide can also slightly decrease
CD83, CD80, CD86 and major histocompatibility complex class II expression in
cultured dendritic cells, demonstrating a possible role in modulating antigen
presentation. Taken together, our results indicate that diazoxide treatment
attenuates autoimmune encephalomyelitis pathology without immunosuppressive
effect.
PMID- 24939093
TI - Synthesis and biological evaluation of certain 3-substituted benzylideneamino-2
(4-nitrophenyl)quinazolin-4(3H)-one derivatives.
AB - Certain new 3H-quinazolin-4-one Schiff's bases were synthesized and screened for
their activities against ulcerative colitis "UC". Their activity against
phospholipase A2 and protease enzymes was also investigated. Some compounds
possessed remarkable effect with different potentials against acetic acid-induced
colitis model in rats. Compound 14 (50 mg/kg) was more effective than
dexamesathone (0.01 mg/kg). It produced 79.78% protection of control colitis;
however, compound 13 produced 75.80% protection and was considered as effective
as dexamesathone with 75.30% protection. The observed results could be explained
partially by their anti-inflammatory activities which appear as phospholipase A2
(hGIIA) and/or through protease inhibitor potentials. However, all the compounds
under test showed preferential inhibition towards hG-IIA type of PLA2 rather than
DrG-IB with varying degrees. Interestingly, compounds 14, 13, 12 and 11 displayed
excellent inhibitory activity against phospholipase A2 accompanied by protease
inhibitory profile.
PMID- 24939094
TI - Pistachio (Pistacia vera L.) gum: a potent inhibitor of reactive oxygen species.
AB - In the present study, in order to evaluate antioxidant and radical scavenging
properties of Pistachio gum (P-Gum), different bioanalytical methods such as
DPPH(*) scavenging activity, DMPD(*+) radical scavenging activity, total
antioxidant activity determination by ferric thiocyanate, reducing ability Fe(3+)
Fe(2+) transformation, Cuprac and FRAP assays, O2(*-) scavenging by riboflavin
methionine-illuminate system and ferrous ions (Fe(2+)) chelating activities by
2,2'-bipyridyl reagent were performed separately. P-Gum inhibited 54.2% linoleic
acid peroxidation at 10 ug/ml concentration. On the other hand, BHA, BHT, alpha
tocopherol and trolox, pure antioxidant compounds, indicated inhibition of 80.3%,
73.5%, 36.2% and 72.0% on peroxidation of linoleic acid emulsion at the same
concentration, respectively. In addition, all of sample had an effective DPPH(*),
DMPD(*+) and O2(*-) scavenging, Fe(3+) reducing power by Fe(3+)-Fe(2+)
transformation and FRAP assay, Cu(2+) reducing ability by Cuprac method and
Fe(2+) chelating activities.
PMID- 24939096
TI - Further in vitro biological activity evaluation of amino-, thio- and ester
derivatives of avarol.
AB - The acetylcholinesterase inhibitory and/or antitumour activities of amino-, thio-
and ester-derivatives of avarol selected were evaluated for the first time at in
vitro conditions. Avarol-3',4'-dithioglycol (1) and avarol-4'
(3)mercaptopropionic acid (3) were shown to be the best inhibitors of the enzyme
tested (0.50 ug and IC50 0.05 mM and 0.50 ug and IC50 0.12 mM, respectively),
while 4'-tryptamine-avarone (9) and avarol-3'-(3)mercaptopropionic acid (2)
exhibited the highest cytotoxicity against the human breast T-47D cancer cell
line (IC50 0.66 ug/mL and 1.25 ug/mL, respectively). According to experimental
data obtained, the sesquiterpenoid hydroquinone structure of bioactive avarol
derivatives may inspire development of new pharmacologically useful substances to
be used in the treatment of Alzheimer's disease and/or human breast tumour.
PMID- 24939095
TI - 3-benzylidene-4-chromanones: a novel cluster of anti-tubercular agents.
AB - In a quest for developing novel anti-tubercular agents, a series of 3-benzylidene
4-chromanones 1a-l were evaluated for growth inhibition of Mycobacterium
tuberculosis H37Rv. Three promising compounds 1d, g, j emerged as the lead
compounds with the IC50 and IC90 values of less than 1 ug/mL. Evaluation of the
potent compounds 1d, g, j and k against Vero monkey kidney cells revealed that
these compounds are far more toxic to M. tuberculosis than to Vero cells.
Structure-activity relationships demonstrated that 3-benzylidene-4-chromanones
are more potent against M. tuberculosis than the related 2-benzylidene
cycloalkanones and the meta substituted chromanone derivatives are more active
than their ortho- and para-counterparts. Some guidelines for amplifying the
project are presented.
PMID- 24939097
TI - Recent advances in the discovery of zinc-binding motifs for the development of
carbonic anhydrase inhibitors.
AB - In addition to the sulfonamides and their isosteres, recently novel carbonic
anhydrase (CA, EC 4.2.1.1) inhibitors (CAIs) which act by binding to the metal
ion from the active site were discovered. Based on the X-ray crystal structure of
the CA II-trithiocarbonate adduct, dithiocarbamates, xanthates and thioxanthates
were shown to potently inhibit alpha- and beta-CAs. The hydroxamates constitute
another class of recently studied CAIs both against mammalian and protozoan
enzymes. Another chemotype for which CA inhibitory properties were recently
reported is the salicylaldoxime scaffold. X-ray crystal structures were reported
for CA II complexed with dithiocarbamates and hydroxamates, whereas the xanthates
and salicylaldoximes were investigated by kinetic measurements and docking
studies. The dithiocarbamates and the xanthates showed potent antiglaucoma
activity in animal models of the disease whereas some hydroxamates inhibited the
growth of Trypanosoma cruzii probably by inhibiting the protozoan CA.
PMID- 24939099
TI - 5-membered cyclic hydroxamic acids as HDAC inhibitors.
AB - The new histone deacylases inhibitors (HDACi) were synthesized in the class of 5
membered cyclic hydroxamic acids (5-CHA), showing medium size CHA as a new Zn
binding group. New reaction sequence was proposed for the synthesis of 5-membered
alkylidene-cyclic-hydroxamic acids starting from butyrolactone. Compound 10c
showed low uM activity on HeLa cell extracts. From these results, cyclic
hydroxamic acids will be further investigated to find more potent compounds.
PMID- 24939098
TI - Implication of novel thiazolo-thiophene derivative (MCD-KV-10) for management of
asthma.
AB - CONTEXT: Asthma is multifaceted disease where many targets contribute towards its
development and progression. Among these, adenosine receptor subtypes play a
major role. OBJECTIVE: MCD-KV-10, a novel thiazolo-thiophene was designed and
evaluated pre-clinically for its implication in management of asthma. MATERIALS
AND METHODS: This compound showed good affinity and selectivity towards A(2A)/A3
adenosine receptor (AR) subtypes. Furthermore, MCD-KV-10 was evaluated for in
vitro lipoxygenase inhibition activity; in vivo mast cell stabilization potential
and in vivo anti-asthmatic activity was done in ovalbumin-induced airway
inflammation model in guinea pigs. RESULTS: The compound showed good (>57%)
inhibition of lipoxygenase enzyme and also effectively protected mast cell
degranulation (>63%). The compound showed good anti-asthmatic activity as
inferred from the in vivo studies. DISCUSSION: These results indicate that MCD-KV
10 has an inhibitory effect on airway inflammation. CONCLUSION: Though, we have
identified a potential candidate for management of asthma, further mechanistic
studies are needed.
PMID- 24939100
TI - The glycoglycerolipid 1,2-dipalmitoyl-3-(N-palmitoyl-6'-amino-6'-deoxy-alpha-d
glucosyl)-sn-glycerol is no inhibitor of the human Myt1 kinase.
AB - Previously, a glycoglycerolipid isolated from marine algae was reported to be a
potent and selective inhibitor of the human Myt1 kinase, an enzyme involved in
cell cycle regulation with great potential as an anti-cancer target. Based on
that report, a lot of research effort has been invested by several working groups
to synthesize and derivatize this compound. However, reliable assay data
confirming the inhibitory potential and the mechanism of action of these
glycoglycerolipids are missing so far. Here, based on experimental data and
theoretical considerations, we show that the aforesaid glycoglycerolipid 1,2
dipalmitoyl-3-(N-palmitoyl-6'-amino-6'-deoxy-alpha-d-glucosyl)-sn-glycerol is not
an inhibitor of the human Myt1 kinase.
PMID- 24939101
TI - N-omega-chloroacetyl-l-ornithine, a new competitive inhibitor of ornithine
decarboxylase, induces selective growth inhibition and cytotoxicity on human
cancer cells versus normal cells.
AB - Many cancer cells have high expression of ornithine decarboxylase (ODC) and there
is a concerted effort to seek new inhibitors of this enzyme. The aim of the study
was to initially characterize the inhibition properties, then to evaluate the
cytotoxicity/antiproliferative cell based activity of N-omega-chloroacetyl-l
ornithine (NCAO) on three human cancer cell lines. Results showed NCAO to be a
reversible competitive ODC inhibitor (Ki = 59 uM) with cytotoxic and
antiproliferative effects, which were concentration- and time-dependent. The
EC50,72h of NCAO was 15.8, 17.5 and 10.1 uM for HeLa, MCF-7 and HepG2 cells,
respectively. NCAO at 500 uM completely inhibited growth of all cancer cells at
48 h treatment, with almost no effect on normal cells. Putrescine reversed NCAO
effects on MCF-7 and HeLa cells, indicating that this antiproliferative activity
is due to ODC inhibition.
PMID- 24939102
TI - Synthesis of a novel affinity gel for the purification of carbonic anhydrases.
AB - A new affinity gel was synthesized for the purification of carbonic anhydrase
(CA, EC 4.2.1.1) isozymes from erythrocytes. The gel was prepared on a Sepharose
4B matrix on which a spacer arm based on ethylenediamine was covalently attached
via CNBr activation, followed by reaction with the CA inhibitor 4-isothiocyanato
benzenesulfonamide. The derivatized gel incorporated thioureido
benzenesulfonamide moieties as CA ligand. The binding capacity of the new
affinity gel was determined at different temperatures, pH values, ionic strengths
and elution buffers. The maximum binding of various CAs was achieved at 25
degrees C with pH 8.5 and ionic strength around 0.4. The overall purifications
for human (h) hCA I and hCA II were 672- and 580-fold, and with 62 and 43%
yields, respectively. SDS-polyacrylamide gel electrophoresis showed single bands
for each purified isozymes, corresponding to a molecular weight of approx. 29
kDa. This is an easily obtainable, efficient and robust affinity gel, useful for
the purification of many other alpha-CAs.
PMID- 24939103
TI - Dipotassium-trioxohydroxytetrafluorotriborate, K2[B3O3F4OH], is a potent
inhibitor of human carbonic anhydrases.
AB - The boron heterocyclic compound dipotassium-trioxohydroxytetrafluorotriborate
(K2[B3O3F4OH]) was investigated as inhibitor of the zinc enzyme, carbonic
anhydrase (CA, EC 4.2.1.1). Eleven human (h) CA isoforms, hCA I-IV, VA, VI, VII,
IX and XII-XIV, were included in the investigations. The anion, similar to
tetraborate or phenylboronic acid, inhibited most of them. hCA III was not
inhibited by K2[B3O3F4OH], whereas hCA VA, hCA VI, hCA IX and hCA XIII were
inhibited in the submillimolar range, with KIs of 0.31-0.63 mM. hCA I and II
(cytosolic, widespread isoforms), hCA IV (membrane-bound isoform), hCA XII (tumor
associated, transmembrane) and hCA XIV (transmembrane) were much more effectively
inhibited by this anion, with inhibition constants ranging from 25 to 93 uM. hCA
VII, a cytosolic enzyme present in the brain and associated to oxidative stress,
was very effectively inhibited by K2[B3O3F4OH], with a KI of 8.0 uM. We propose
that K2[B3O3F4OH] binds to the metal ion from the enzyme active site,
coordinating to the Zn(II) ion monodentately through its B-OH functionality. We
hypothesize that some of the beneficial antitumor effects reported for
K2[B3O3F4OH] may be due to the inhibition of CAs present in skin tumors.
PMID- 24939104
TI - Synthesis and biological evaluation of new pyrimidine-4-yl-ethanol derivatives as
ROS1 kinase inhibitors.
AB - As a part of trials to target ROS1 kinase with potential inhibitors, a novel
series of pyrimidin-4-yl-ethanol and ethanone derivatives (4a-f, 5a-f, 6a-f and
7a-f) have been designed based on previously discovered lead compounds KIST301072
and KIST301080, and synthesized on 4-5 steps according to compounds. The
structures of the newly synthesized compounds have been confirmed on (1)H-NMR,
(13)C-NMR and IR. Most of the tested compounds showed ROS1 kinase inhibitory
activity in micromolar range.
PMID- 24939105
TI - Design, synthesis and biological evaluation of naphthostyril derivatives as novel
protein kinase FGFR1 inhibitors.
AB - New class of FGFR1 kinase inhibitors with naphthostyril heterocycle has been
identified. A series of N-phenylnaphthostyril-1-sulfonamides has been synthesized
and tested in vitro. It was revealed that the most active compound N-(4
hydroxyphenyl)naphthostyril-1-sulfonamide inhibited FGFR1 with IC50 of 2 uM. In
our preliminary studies, N-phenylnaphthostyril-1-sulfonamides demonstrated
selectivity of FGFR1 inhibition and antiproliferative activity on cancer cell
line. N-phenylnaphthostyril-1-sulfonamides have a good potential for further
development as anticancer agents.
PMID- 24939106
TI - In vitro and in vivo antitumor activity of the halogenated boroxine dipotassium
trioxohydroxytetrafluorotriborate (K2[B3O3F4OH]).
AB - Dipotassium-trioxohydroxytetrafluorotriborate K2[B3O3F4OH] was listed as a
promising new therapeutic for cancer diseases. For in vitro and in vivo
investigation of its antitumor effects 4T1 mammary adenocarcinoma, B16F10
melanoma and squamous cell carcinoma SCCVII were used. The detailed in vitro
investigation undoubtedly showed that K2[B3O3F4OH] affects the growth of cancer
cells. The proliferation of cells depends on the concentration so that aqueous
solution of K2[B3O3F4OH], the concentrations of 10(-4) M and less, does not
affect cell growth, but the concentrations of 10(-3) M or more, significantly
slows cells growth. B16F10 and SCCVII cells show higher sensitivity to the
cytotoxic effects of K2[B3O3F4OH] compared to 4T1 cells. Under in vivo
conditions, K2[B3O3F4OH] slows the growth of all three tumors tested compared to
the control, and the inhibitory effect was most pronounced during the application
of the substance. There is almost no difference if K2[B3O3F4OH] was applied
intraperitoneally, intratumor, peroral or as ointment. Addition of 5-FU did not
further increase the antitumor efficacy of K2[B3O3F4OH].
PMID- 24939107
TI - Risk assessment for patient perpetrated violence: Analysis of three assaults
against healthcare workers.
AB - Workplace violence in healthcare settings is a complex topic with many different
environments in which aggression is sometimes expressed by patients toward those
entrusted with providing their healthcare. The assessment of violence risk in a
nursing home containing many patients with organic brain syndrome is quite
distinct from assessment in forensic psychiatric units, inner city emergency
rooms, or outpatient pain clinics. Three cases are presented that are composite
summaries of actual assaults which took place across different hospital settings,
all within an urban Midwestern city in the United States: (1) an emergency
department; (2) a psychiatric emergency services (PES) center; (3) a short stay
(typically 72 hours to 5 days) civil psychiatric inpatient unit. These case
studies exemplify specific risk factors that violent patients have, depending
upon the specific healthcare setting where the patient presents. Research is
cited relevant to all three case studies and how one should assess their risk.
Lastly, the complexity of this issue is highlighted by a brief discussion of the
pitfalls entailed in profiling ''the dangerous patient.'' It is demonstrated that
when violence is expressed by a patient toward a healthcare provider, it is
usually a maladaptive response, one in which characteristics of that setting and
behavior of those who work within it must be carefully considered when
determining what factors precipitated the patient's violent act.
PMID- 24939108
TI - Development of the Personal Workplace Safety Instrument for Emergency Nurses.
AB - BACKGROUND: Much of the research on violence committed by patients and family
members against healthcare providers in the hospital focus on the frequency and
severity of incidents plus personal, perpetrator, and hospital characteristics.
The literature lacks research on those factors that make healthcare providers in
hospitals feel safe from workplace violence committed by patients and family
members. OBJECTIVE: The objective of this project is to design an instrument to
measure the perceptions of personal safety of emergency nurses in the workplace.
METHODS: To develop the Personal Workplace Safety Instrument for Emergency Nurses
(PWSI EN) an extensive review of the literature was conducted and recurrent
themes identified. Informal focus groups of emergency nurses and discussions with
administrators were conducted to confirm these themes. A review by workplace
violence experts and a pilot test with emergency nurses was conducted. RESULTS:
The instrument has 31 Likert-scale items to measure the factors of workplace
countermeasures, patient-nurse interactions, and administrative and judicial
support measures. Fifteen demographic questions were developed to measure
characteristics of the nurse and hospital. Results of the expert panel review
yielded high content validity (cumulative validity index = 0.98). CONCLUSION: The
instrument is valid to measure the perceptions of personal safety in the
workplace with emergency nurses.
PMID- 24939109
TI - Individual, relationship, workplace, and societal recommendations for addressing
healthcare workplace violence.
AB - BACKGROUND: Workplace violence from coworkers, patients, and visitors is a
problem affecting every occupational group in the health and social service
sector [1-3]. Workplace violence is demonstrated by coworkers through bullying
behaviors and by patients and visitors through physical threats and assaults.
OBJECTIVE: The purpose of this article is to highlight the special issue authors'
and guest editors' recommendations for protecting healthcare workers from being
victimized and incurring the negative consequences of having experienced
workplace violence. METHODS: Recommendations from the special issue were
categorized and discussed in relation to the Social-Ecological Model and the
prevention efforts targeting individuals, relationships, communities, and
society. RESULTS: Individual-level recommendations focused on the personal risk
reduction for healthcare workers. Relationship-level recommendations addressed
the problem of bullying between coworkers and physical violence derived from
patients and visitors. Workplace-level recommendations discussed a multi-faceted
systems approach to violence management. Societal-level recommendations centered
on a universal health policy approach. CONCLUSIONS: The use of a model such as
the Social-Ecological Model can be helpful in planning violence prevention
efforts in the healthcare setting.
PMID- 24939111
TI - Economic evaluation of occupational safety preventive measures in a hospital.
AB - BACKGROUND: When an organization performs an integrated analysis of risks through
its Occupational Health and Safety Management System, several steps are suggested
to address the implications of the identified risks. Namely, the organization
should make a detailed analysis of the monetary impact for the organization of
each of the preventive measures considered. However, it is also important to
perform an analysis of the impact of each measure on society (externalities).
OBJECTIVE: The aim of this paper is to present a case study related to the
application of the proposed economic evaluation methodology. METHODS: An analysis
of the work accidents in a hospital has been made. Three of the major types of
accidents have been selected: needle stings, falls and excessive strain.
Following the risk assessment, some preventive measures have been designed.
Subsequently, the Benefit/Cost ratio (B/C) of these measures has been calculated,
both in financial terms (from the organization's perspective) and in economic
terms (including the benefits for the worker and for the Society). RESULTS: While
the financial ratio is only advantageous in some cases, when the externalities
are taken into account, the B/C ratio increases significantly. CONCLUSIONS: It is
important to consider external benefits to make decisions concerning the
implementation of preventive measures in Occupational Health and Safety projects.
PMID- 24939110
TI - Association between psychosocial job characteristics and sickness absence due to
low back symptoms using combined DCS and ERI models.
AB - OBJECTIVE: To evaluate the combined demand-control-support (DCS) and effort
reward-overcommitment (ERI-OC) stress models in association with sickness absence
due to low back symptoms (SA-LBS). METHODS: A total of 2,737 blue-collar workers
recruited from 13 companies in the most populous province (Henan) of China were
included in the study. Personal and physical job characteristics, psychosocial
scales of the stress models, and SA-LBS data in the preceding year were collected
by a self-reported questionnaire and analyzed by a multivariable logistic
regression model. Tertile exposure levels (low, medium and high) were constructed
to discriminate a risk level. Odds ratios (OR) with 95% confidence intervals (CI)
were used as the association with SA-LBS. RESULTS: A large percentage (84.5%) of
the Chinese workers did not take sick leave after reporting low back symptoms
during the preceding year. High job demand or medium-high reward was associated
with SA-LBS. The association of the combined stress models and SA-LBS was not
evident. CONCLUSIONS: The ERI-OC model appeared to be more predictive of SA-LBS
than the DCS model in the study population. The advantage of using combined
stress models for predicting SA-LBS is not evident.
PMID- 24939112
TI - Workplace violence prevention for nurses on-line course: Program development.
AB - BACKGROUND: Many entry-level and experienced healthcare professionals have not
received training in workplace violence prevention strategies. OBJECTIVE: This
paper describes the development, content, and initial qualitative evaluation of
an on-line course designed to give healthcare workers an opportunity to acquire
free workplace violence prevention training while earning free continuing
education units. METHODS: A group of healthcare violence prevention researchers
worked via email and face-to-face meetings to decide appropriate content for the
course. Educational strategies used in the course include: text; video re
enactments of real-life workplace violence incidents; and videos of nurses
discussing incidents of violence. Initial evaluation involved a focus group of
nurses to discuss the course content and navigation. RESULTS: The on-line course
has thirteen units that take approximately 15 minutes each to complete. The focus
group participants liked the ''resume-where-you-left-off'' technology that
enables the user to complete any portion of the course, leave to do something,
and return to the course where they left off. Participants viewed the ''Nurses'
Voices'' videos as relevant illustrations of violence that nurses face in their
workplaces. CONCLUSIONS: The focus group participants considered the course to be
an effective learning tool for people new to the profession and for those with
seniority.
PMID- 24939113
TI - Effects of overhead work involving different heights and distances on neck and
shoulder muscle activity.
AB - BACKGROUND: Although overhead work is closely related to musculoskeletal
disorders, it is difficult to avoid these postures in daily working conditions.
In industrial tasks that require elevated arm postures above shoulder height,
flexibility in the location of the task is necessary. OBJECTIVE: This study
investigated the sternocleidomastoid, upper trapezius, lower trapezius, and
anterior deltoid, serratus anterior muscle activities during overhead work
involving four different height and distance locations performed by healthy adult
participants. METHODS: Eighteen young male right-hand-dominant workers were
recruited. The right upper trapezius, lower trapezius, serratus anterior,
anterior deltoid, and sternocleidomastoid muscle activities were measured under
for overhead work condition (Location 1: overhead work with a height of 10 cm
above the head of each subject and distance of 15 cm, Location 2: overhead work
with a height of 10 cm above the head of each subject and distance of 30 cm,
Location 3: overhead work with a height of 20 cm above the head of each subject
and distance of 15 cm, Location 4: overhead work with a height of 20 cm above the
head of each subject and distance of 30 cm). RESULTS: In Location 3, there was
significantly higher neck extension range of motion compared to Location 1,
Location 2 and Location 4. In Location 1, the activities of the upper trapezius,
lower trapezius, serratus anterior, anterior deltoid, and sternocleidomastoid
muscles were significantly lower than those in Location 3 (p<0.05). In Location
2, the activities of the upper trapezius, lower trapezius, serratus anterior,
anterior deltoid, and sternocleidomastoid muscles were significantly lower than
those in Location 4 (p<0.05). In Location 1, there were significantly lower upper
trapezius, lower trapezius, serratus anterior, and anterior deltoid muscle
activities than in Location 2 (p<0.05). In Location 3, there were significantly
lower upper trapezius, lower trapezius, serratus anterior, and anterior deltoid
muscle activities than in Location 4 (p<0.05). In Location 1, there was
significantly higher sternocleidomastoid muscle activity than in Location 2
(p<0.05). Finally, In Location 3, there was significantly higher
sternocleidomastoid muscle activity than in Location 4 (p<0.05). CONCLUSIONS:
Overhead workers should engage in work that involves a low height and near
distance. Height appears to be a stronger risk factor than distance in overhead
work.
PMID- 24939114
TI - Perception of side rollover hazards in a Pennsylvania rural population while
operating an all-terrain vehicle (ATV).
AB - BACKGROUND: All-terrain vehicles (ATVs) are unstable on steep and rough terrain,
and thus, rollover is the most common accident which can result in a high rate of
fatal outcomes, with higher rates for young and male drivers. OBJECTIVE: This
paper investigates the ability of rural Pennsylvania ATV drivers to correctly
evaluate slopes, and the mean slope angle at which the most and least
conservative drivers indicate a beginning of concern of a roll-over. METHOD: The
study was conducted using a simulator, a commercial ATV firmly fixed on an
hydraulically-lifted platform. As the platform was being raised, participants
were asked to report when they became uncomfortable and then when they would not
drive across a slope at such an angle. The difference between the reported and
the actual angles in both conditions were analyzed. RESULTS: Fifty-five
individuals, mostly male and younger than 20 years, participated in riding on the
simulator. Between 1/3 and 1/4 of the participants correctly estimated the angle
while approximately 2/3 of participants overestimated the angles at which they
felt they would be uncomfortable and they would not drive across. Participants
began to feel uncomfortable at 15.9+/-5.7 degrees and became so uncomfortable
that they felt they would not drive at 22.7+/-3.7 degrees. CONCLUSIONS:
Overestimation of lateral roll angles is the most common result. This is in favor
of safety when drivers are informed about a slope limit ATVs should not be
operated on.
PMID- 24939115
TI - Irregular working hours and fatigue of cabin crew.
AB - BACKGROUND: Beyond workload and specific environmental factors, flight attendants
can be exposed to irregular working hours, conflicting with their circadian
rhythms and having a negative impact in sleep, fatigue, health, social and family
life, and performance which is critical to both safety and security in flight
operations. OBJECTIVE: This study focuses on the irregular schedules of cabin
crew as a trigger of fatigue symptoms in a wet lease Portuguese airline. The aim
was to analyze: what are the requirements of the cabin crew work; whether the
schedules being observed and effective resting timeouts are triggering factors of
fatigue; and the existence of fatigue symptoms in the cabin crew. METHODS: A
questionnaire has been adapted and applied to a sample of 73 cabin crew-members
(representing 61.9% of the population), 39 females and 34 males, with an average
age of 27.68 +/- 4.27 years. RESULTS: Our data indicate the presence of fatigue
and corresponding health symptoms among the airline cabin crew, despite of the
sample favorable characteristics. Senior workers and women are more affected.
CONCLUSIONS: Countermeasures are required. Recommendations can be made regarding
the fatigue risk management, including work organization, education and awareness
training programmes and specific countermeasures.
PMID- 24939116
TI - Stability and reproducibility of semi-quantitative risk assessment methods within
the occupational health and safety scope.
AB - BACKGROUND: In many enterprises the semi-quantitative approach turns out to be
the available and most suitable technique to perform a risk assessment. Despite
its advantages, we cannot disregard the existing gap in terms of validation of
this type of applications. OBJECTIVE: This paper reports a study about risk
assessments' reliability, namely both inter-coder (reproducibility) and intra
coder (stability) reliability of the semi-quantitative approach. METHODS: This
study comprised 4 fundamental stages. Data collection relied on free and
systematized observations and made use of video recording, documental research,
analysis grids and questionnaires specifically developed for this purpose. A set
of different analysts were asked to use four semi-quantitative risk assessment
methods (in two different moments) to estimate and assess six risks identified in
two tasks accomplished to produce Airbags. The Krippendorff's Alpha Coefficient
(alpha K) was the agreement measure selected to evaluate both inter-coder and
intra-coder consensus. RESULTS: The preliminary results revealed a general low
concordance (alpha K < 0.6) for both reliability evaluations. Apparently there
are no relevant differences between the risk assessment results obtained by
individuals with different levels of experience or expertise. CONCLUSIONS: This
study revealed that the use of the semi-quantitative approach should be done with
caution.
PMID- 24939117
TI - Adjustable task lighting: Field study assesses the benefits in an office
environment.
AB - BACKGROUND: Lighting is a part of every work task in the office environment, yet
it is often overlooked. Research links direct and indirect glare to increased
risk of visual discomfort among office workers with symptoms ranging from dry
eyes to blurry vision or headaches. Researchers have been primarily concerned
with those characteristics of task lighting that cause glare including luminance
level, position (line of sight), and control. It is unknown what the benefits of
adjustable task lights are and whether or not their use has an effect on
musculoskeletal comfort or posture. No comprehensive field evaluations of this
type were found among peer-reviewed, indexed journals. OBJECTIVE: The purpose of
this study was to assess the ergonomic and calculated utility power consumption
benefits of adjustable LED task lighting in an office environment using a
control/intervention experiment design. METHODS: One hundred participants were
originally recruited and randomly assigned to intervention and control groups.
Self-reported data was collected on level of eye fatigue, perception of job
content, intervention usability, and musculoskeletal discomfort. Data was also
collected on workspace level of illumination and posture during standardized
tasks (assessed using RULA). RESULTS: Comparing baseline data to follow-up data
for the intervention group, the use of the adjustable, LED task lights provided
statistically significant, positive impacts on users' rating of discomfort, eye
fatigue, perception of job content, and posture between baseline and the short
term follow up. CONCLUSIONS: Significant benefits to musculoskeletal comfort,
posture, and visual comfort were documented when participants used the adjustable
task lights. Participants' assessments of the light's usability, usefulness and
desirability were positive. There were no negative results found with adjustable
task light use.
PMID- 24939118
TI - Neck and shoulder muscle activation patterns among dentists during common dental
procedures.
AB - BACKGROUND: Dental practitioners often suffer musculoskeletal disorders (MSDs) in
the upper extremity due to the static, repetitive and precise nature of work.
Knowledge regarding muscle activation patterns in that region is essential in
understanding the mechanism behind the upper extremity MSDs. However, the
literature review has revealed few studies with such a focus. OBJECTIVE: This
study aims to examine the inter-relationship of the exertion levels of eleven
upper extremity muscles during common dental procedures. This provides insights
into the interactive utilization patterns of the targeted muscles and their
implications in the development of MSDs. METHODS: Twelve dentists were recruited.
Electromyography (EMG) signals of sampled muscles were collected for 32 trials,
i.e. combinations of independent variables (IVs) (and levels): posture (2),
precision (2), line of vision (4), and grip type (2). Multivariate statistical
methods were used to analyze the effects of IVs on muscle coactivity patterns.
RESULTS: MANOVA showed significant main effects and a 2-way interaction between
precision and grip type. Most notably, the upper trapezius exhibited consistently
higher utilization than other muscles during a seated posture. CONCLUSIONS:
Seated postures, preferred by dentists as a way to relieve back stress, may
contribute to the development of shoulder or neck MSDs due to elevated upper
trapezius exertions.
PMID- 24939119
TI - The moderating effect of control over work scheduling and overtime on the
relationship between workload demands and perceived job risk.
AB - BACKGROUND: This study investigated the impact of workload demands on perceived
job risk using the Job Demand-Control model as a research framework. OBJECTIVE:
The primary objective was to test the hypothesis that employee control over work
scheduling and overtime would moderate the relationship between workload demands
and perceived job risk. METHOD: Ninety-six participants working in a variety of
industries completed measures of workload demands, and of control over work
scheduling and overtime, and a measure of perceived job risk. RESULTS: Workload
demands predicted higher perceptions of job risk. However, the results also
suggest that control over overtime moderated this relationship, where those with
the combination of high workload demands and low control over overtime reported
higher levels of perceived risk. The results indicate that the JDC model is
applicable to safety research. CONCLUSIONS: The results suggest that employee
control over workload demands is an important variable to consider in terms of
managing workplace safety. The present study also points to important areas for
future research to explore in order to further understand the connection between
demands and safety.
PMID- 24939120
TI - A multi-agent safety response model in the construction industry.
AB - BACKGROUND: The construction industry is one of the sectors with the highest
accident rates and the most serious accidents. A multi-agent safety response
approach allows a useful diagnostic tool in order to understand factors affecting
risk and accidents. The special features of the construction sector can influence
the relationships among safety responses along the model of safety influences.
OBJECTIVE: The purpose of this paper is to test a model explaining risk and work
related accidents in the construction industry as a result of the safety
responses of the organization, the supervisors, the co-workers and the worker.
METHODS: SAMPLE: 374 construction employees belonging to 64 small Spanish
construction companies working for two main companies participated in the study.
INSTRUMENTS: Safety responses were measured using a 45-item Likert-type
questionnaire. METHODOLOGY: The structure of the measure was analyzed using
factor analysis and the model of effects was tested using a structural equation
model. RESULTS: Factor analysis clearly identifies the multi-agent safety
dimensions hypothesized. The proposed safety response model of work-related
accidents, involving construction specific results, showed a good fit.
CONCLUSIONS: The multi-agent safety response approach to safety climate is a
useful framework for the assessment of organizational and behavioral risks in
construction.
PMID- 24939121
TI - Thermal comfort assessment of a surgical room through computational fluid
dynamics using local PMV index.
AB - BACKGROUND: Studies concerning indoor thermal conditions are very important in
defining the satisfactory comfort range in health care facilities. OBJECTIVE:
This study focuses on the evaluation of the thermal comfort sensation felt by
surgeons and nurses, in an orthopaedic surgical room of a Portuguese hospital.
METHODS: Two cases are assessed, with and without the presence of a person.
Computational fluid dynamic (CFD) tools were applied for evaluating the predicted
mean vote (PMV) index locally. RESULTS: Using average ventilation values to
calculate the PMV index does not provide a correct and enough descriptive
evaluation of the surgical room thermal environment. As studied for both cases,
surgeons feel the environment slightly hotter than nurses. The nurses feel a
slightly cold sensation under the air supply diffuser and their neutral comfort
zone is located in the air stagnation zones close to the walls, while the
surgeons feel the opposite. It was observed that the presence of a person in the
room leads to an increase of the PMV index for surgeons and nurses. That goes in
line with the empirical knowledge that more persons in a room lead to an
increased heat sensation. CONCLUSIONS: The clothing used by both classes, as well
as the ventilation conditions, should be revised accordingly to the amount of
persons in the room and the type of activity performed.
PMID- 24939122
TI - A nonlinear dynamics of trunk kinematics during manual lifting tasks.
AB - BACKGROUND: Human responses at work may exhibit nonlinear properties where small
changes in the initial task conditions can lead to large changes in system
behavior. Therefore, it is important to study such nonlinearity to gain a better
understanding of human performance under a variety of physical, perceptual, and
cognitive tasks conditions. OBJECTIVE: The main objective of this study was to
investigate whether the human trunk kinematics data during a manual lifting task
exhibits nonlinear behavior in terms of determinist chaos. METHODS: Data related
to kinematics of the trunk with respect to the pelvis were collected using
Industrial Lumbar Motion Monitor (ILMM), and analyzed applying the nonlinear
dynamical systems methodology. Nonlinear dynamics quantifiers of Lyapunov
exponents and Kaplan-Yorke dimensions were calculated and analyzed under
different task conditions. RESULTS: The study showed that human trunk kinematics
during manual lifting exhibits chaotic behavior in terms of trunk sagittal
angular displacement, velocity and acceleration. CONCLUSIONS: The findings
support the importance of accounting for nonlinear dynamical properties of
biomechanical responses to lifting tasks.
PMID- 24939123
TI - Hospital staff registered nurses' perception of horizontal violence, peer
relationships, and the quality and safety of patient care.
AB - OBJECTIVE: To test hypotheses from a horizontal violence and quality and safety
of patient care model: horizontal violence (negative behavior among peers) is
inversely related to peer relations, quality of care and it is positively related
to errors and adverse events. Additionally, the association between horizontal
violence, peer relations, quality of care, errors and adverse events, and nurse
and work characteristics were determined. PARTICIPANTS: A random sample (n= 175)
of hospital staff Registered Nurses working in California. METHODS: Nurses
participated via survey. Bivariate and multivariate analyses tested the study
hypotheses. RESULTS: Hypotheses were supported. Horizontal violence was inversely
related to peer relations and quality of care, and positively related to errors
and adverse events. Including peer relations in the analyses altered the
relationship between horizontal violence and quality of care but not between
horizontal violence, errors and adverse events. Nurse and hospital
characteristics were not related to other variables. Clinical area contributed
significantly in predicting the quality of care, errors and adverse events but
not peer relationships. CONCLUSIONS: Horizontal violence affects peer
relationships and the quality and safety of patient care as perceived by
participating nurses. Supportive peer relationships are important to mitigate the
impact of horizontal violence on quality of care.
PMID- 24939124
TI - Staff perspectives of violence in the emergency department: Appeals for
consequences, collaboration, and consistency.
AB - BACKGROUND: Violence committed by patients and their families and visitors
against Emergency Department staff in the United States is common and detrimental
to staff well being, morale, and care practices. Hospitals losses occur due to
decreased staff retention, prestige, and patient and visitor satisfaction.
OBJECTIVE: The purpose of the baseline survey reported here was to identify and
describe staff experiences, concerns, and perceptions related to violence and
abuse perpetrated by patients, family, and non-family visitors in a Level 1
emergency department. PARTICIPANTS: The survey sample was composed of 41
registered nurses and 10 paramedics. The majority of the participants (84%, n=
41) were female and worked full time (82%, n= 41) on the 7P-7A (49%, n= 25)
shift. METHODS: The cross-sectional mixed-method descriptive design used a survey
to measure violence experiences and interviews with key informants. Specific
analytical methods included descriptive and inferential statistics and
ethnography. RESULTS: The findings are summarized by a model that portrays 1)
Contributing factors to the development of violence in the ED, 2) maladaptive
reactions to workplace violence of Cynicism, Concern for focus on customer
service, and Conflict, and 3) three themes that, depending on their presence or
absence, serve as barriers or facilitators to violence: Consistency, Consequences
and Collaboration. CONCLUSIONS: Interventions developed to minimize violence in
the ED must focus on modifiable risk factors and address what is in the
department's control including staff education in recognizing escalating anxious
or aggressive behavior, policy development and implementation, and environmental
changes.
PMID- 24939125
TI - Structural barriers at the workplace for employees with vision and locomotor
disabilities in New Delhi, India.
AB - BACKGROUND: India, a signatory to the United Nations Convention on the Rights of
Persons with Disabilities (UNCRPD), has 2.21% of people with disabilities to the
total population of which 26% are employed (Census 2011 and 2001). Accessibility
was introduced for the first time in 1995 under The Persons with Disabilities
(Equal Opportunities, Protection of Rights and Full Participation) Act. Article 9
of UNCRPD promotes barrier-free environment on an equal basis with others.
Despite the legislation and the UN convention, structural barriers continue to be
one of the largest barriers posing concern for PwDs at their workplace.
OBJECTIVE: To identify various physicall barriers limiting accessibility of PWDs
in the formal sector in Delhi. METHOD: Quantitative descriptive research design.
Random sample was drawn. RESULT: Structural barriers create difficulty in
accessing basic amenities such as canteens, toilets etc. CONCLUSION: Disability
is a human rights issue. An employee with disability is entitled to dignified
life. Effective implementation of Article 9 and 27 of UNCRPD will pave a way for
removing structural barriers at workplace.
PMID- 24939126
TI - Using the RNAstructure Software Package to Predict Conserved RNA Structures.
AB - The structures of many non-coding RNA (ncRNA) are conserved by evolution to a
greater extent than their sequences. By predicting the conserved structure of two
or more homologous sequences, the accuracy of secondary structure prediction can
be improved as compared to structure prediction for a single sequence. This unit
provides protocols for the use of four programs in the RNAstructure suite for
prediction of conserved structures, Multilign, TurboFold, Dynalign, and PARTS.
These programs can be run via Web servers, on the command line, or with graphical
interfaces.
PMID- 24939127
TI - RNA Secondary Structure Analysis Using RNAstructure.
AB - RNAstructure is a user-friendly program for the prediction and analysis of RNA
secondary structure. It is available as a Web server, as a program with a
graphical user interface, or as a set of command-line tools. The programs are
available for Microsoft Windows, Macintosh OS X, or Linux. This unit provides
protocols for RNA secondary structure prediction (using the Web server or the
graphical user interface) and prediction of high-affinity oligonucleotide biding
sites to a structured RNA target (using the graphical user interface).
PMID- 24939128
TI - Employing ProteoWizard to Convert Raw Mass Spectrometry Data.
AB - After raw data have been captured by mass spectrometers in biological LC-MS/MS
experiments, they must be converted from vendor-specific binary files to open
format files for manipulation by most software. This protocol details the use of
ProteoWizard software for this conversion, taking format features, coding
options, and vendor particularities into account. This protocol will aid
researchers in preparing their data for analysis by database search engines and
other bioinformatics tools.
PMID- 24939130
TI - Metaproteomics: extracting and mining proteome information to characterize
metabolic activities in microbial communities.
AB - Contemporary microbial ecology studies usually employ one or more "omics"
approaches to investigate the structure and function of microbial communities.
Among these, metaproteomics aims to characterize the metabolic activities of the
microbial membership, providing a direct link between the genetic potential and
functional metabolism. The successful deployment of metaproteomics research
depends on the integration of high-quality experimental and bioinformatic
techniques for uncovering the metabolic activities of a microbial community in a
way that is complementary to other "meta-omic" approaches. The essential, quality
defining informatics steps in metaproteomics investigations are: (1) construction
of the metagenome, (2) functional annotation of predicted protein-coding genes,
(3) protein database searching, (4) protein inference, and (5) extraction of
metabolic information. In this article, we provide an overview of current
bioinformatic approaches and software implementations in metaproteome studies in
order to highlight the key considerations needed for successful implementation of
this powerful community-biology tool.
PMID- 24939131
TI - A novel ionic liquid-modified organic-polymer monolith as the sorbent for in-tube
solid-phase microextraction of acidic food additives.
AB - A novel ionic liquid-modified organic-polymer monolithic capillary column was
prepared and used for in-tube solid-phase microextraction (SPME) of acidic food
additives. The primary amino group of 1-aminopropyl-3-methylimidazolium chloride
was reacted with the epoxide group of glycidyl methacrylate. The as-prepared new
monomer was then copolymerized in situ with acrylamide and N,N'
methylenebisacrylamide in the presence of polyethylene glycol (PEG)-8000 and PEG
10,000 as porogens. The extraction performance of the developed monolithic
sorbent was evaluated for benzoic acid, 3-hydroxybenzoic acid, cinnamic acid, 2,4
dichlorophenoxyacetic acid, and 3-(trifluoromethyl)-cinnamic acid. Such a
sorbent, bearing hydrophobic and anion-exchange groups, had high extraction
efficiency towards the test compounds. The adsorption capacities for the analytes
dissolved in water ranged from 0.18 to 1.74 MUg cm(-1). Good linear calibration
curves (R(2) > 0.99) were obtained, and the limits of detection (S/N = 3) for the
analytes were found to be in the range 1.2-13.5 ng mL(-1). The recoveries of five
acidic food additives spiked in Coca-Cola beverage samples ranged from 85.4 % to
98.3 %, with RSD less than 6.9 %. The excellent applicability of the ionic liquid
(IL)-modified monolithic column was further tested by the determination of
benzoic acid content in Sprite samples, further illustrating its good potential
for analyzing food additives in complex samples.
PMID- 24939132
TI - Complexity of fatty acid distribution inside human macrophages on single cell
level using Raman micro-spectroscopy.
AB - Macrophages are phagocytic cells which are involved in the non-specific immune
defense. Lipid uptake and storage behavior of macrophages also play a key role in
the development of atherosclerotic lesions within walls of blood vessels. The
allocation of exogenous lipids such as fatty acids in the blood stream dictates
the accumulation and quantity of lipids within macrophages. In case of an
overexposure, macrophages transform into foam cells because of the large amount
of lipid droplets in the cytoplasm. Raman micro-spectroscopy is a powerful tool
for studying single cells due to the combination of microscopic imaging with
spectral information. With a spatial resolution restricted by the diffraction
limit, it is possible to visualize lipid droplets within macrophages. With stable
isotopic labeling of fatty acids with deuterium, the uptake and storage of
exogenously provided fatty acids can be investigated. In this study, we present
the results of time-dependent Raman spectroscopic imaging of single THP-1
macrophages incubated with deuterated arachidonic acid. The polyunsaturated fatty
acid plays an important role in the cellular signaling pathway as being the
precursor of icosanoids. We show that arachidonic acid is stored in lipid
droplets but foam cell formation is less pronounced as with other fatty acids.
The storage efficiency in lipid droplets is lower than in cells incubated with
deuterated palmitic acid. We validate our results with gas chromatography and
gain information on the relative content of arachidonic acid and its metabolites
in treated macrophages. These analyses also provide evidence that significant
amounts of the intracellular arachidonic acid is elongated to adrenic acid but is
not metabolized any further. The co-supplementation of deuterated arachidonic
acid and deuterated palmitic acid leads to a non-homogenous storage pattern in
lipid droplets within single cells.
PMID- 24939129
TI - Using PeptideAtlas, SRMAtlas, and PASSEL: Comprehensive Resources for Discovery
and Targeted Proteomics.
AB - PeptideAtlas, SRMAtlas, and PASSEL are Web-accessible resources to support
discovery and targeted proteomics research. PeptideAtlas is a multi-species
compendium of shotgun proteomic data provided by the scientific community;
SRMAtlas is a resource of high-quality, complete proteome SRM assays generated in
a consistent manner for the targeted identification and quantification of
proteins; and PASSEL is a repository that compiles and represents selected
reaction monitoring data, all in an easy-to-use interface. The databases are
generated from native mass spectrometry data files that are analyzed in a
standardized manner including statistical validation of the results. Each
resource offers search functionalities and can be queried by user-defined
constraints; the query results are provided in tables or are graphically
displayed. PeptideAtlas, SRMAtlas, and PASSEL are publicly available freely via
the Web site http://www.peptideatlas.org. In this protocol, we describe the use
of these resources, we highlight how to submit, search, collate and download
data.
PMID- 24939134
TI - Polyluminol/hydrogel composites as new electrochemiluminescent-active sensing
layers.
AB - This paper reports on electrochemiluminescent sensors and biosensors based on
polyluminol/hydrogel composite sensing layers using chemical or biological
membranes as hydrogel matrices. In this work, luminol is electropolymerized under
near-neutral conditions onto screen-printed electrode (SPE)-supported hydrogel
films. The working electrode coated with a hydrogel film is soaked in a solution
containing monomeric luminol units, allowing the monomeric luminol units to
diffuse inside the porous matrix to the electrode surface where they are
electropolymerized by cyclic voltammetry (CV). Sensors and enzymatic biosensors
for H2O2 and choline detection, respectively, have been developed, using choline
oxidase (ChOD) as a model enzyme. In this case, hydrogel is used both as the
enzymatic immobilization matrix and as a template for the electrosynthesis of
polyluminol. The enzyme was immobilized by entrapment in the gel matrix during
its formation before electropolymerization of the monomer. Several parameters
have been optimized in terms of polymerization conditions, enzyme loading, and
average pore size. Using calcium alginate or tetramethoxysilane (TMOS)-based
silica as porous matrix, H2O2 and choline detection are reported down to
micromolar concentrations with three orders of magnitude wide dynamic ranges
starting from 4 * 10(-7) M. Polyluminol/hydrogel composites appear as suitable
electrochemiluminescence (ECL)-active sensing layers for the design of new
reagentless and disposable easy-to-use optical sensors and biosensors, using
conventional TMOS-based silica gel or the more original and easier to handle
calcium alginate, reported here for the first time in such a configuration, as
the biocompatible hydrogel matrix.
PMID- 24939133
TI - Mass spectrometric studies on effects of counter ions of TMPyP4 on binding to
human telomeric DNA and RNA G-quadruplexes.
AB - A comparative study on human telomeric DNA G-quadruplex binding of meso
5,10,15,20-tetrakis(N-methyl-4-pyridyl)porphyrin (TMPyP4) between its two salt
forms, i.e., tetratosylate and tetrachloride, was conducted by using ESI-TOF-MS,
UV-melting measurement, and molecular modeling methods. Besides cation TMPyP4,
the tosyl anion was found to bind to human telomeric DNA G-quadruplex with
multiple binding stoichiometries from 1:1 to 3:1 observed in ESI-TOF-MS spectra,
indicating that the stabilization activity of TMPyP4 tetratosylate on G
quadruplex is derived from a synergetic effect of both TMPyP4 cation and tosyl
anion. A molecular modeling study suggests that a tosyl anion fills up the vacant
space between TMPyP4 cation and DNA G-quadruplex and thus stabilizes the complex
by 3.8 kcal/mol. Therefore, it is estimated that TMPyP4 tetratosylate's activity
might not reflect the real effect of TMPyP4 cation in some bioassays related to G
quadruplex stabilization. This was verified by the results of less binding
affinity of TMPyP4 tetrachloride with DNA G-quadruplex obtained from ESI-TOF-MS
measurement, and of 2.27 degrees C less thermal stabilization of TMPyP4
tetrachloride for DNA G-quadruplex, compared to its tetratosylate under the same
conditions. Our study demonstrated the influence of counter ions of TMPyP4 on G
quadruplex binding, which sheds light on the proper usage of TMPyP4 salt in the
chemical and biological research associated with G-quadruplex binding.
Subsequently, the binding of TMPyP4 tetrachloride to human telomeric RNA G
quadruplexes was studied with ESI-TOF-MS technique. The binding constants of
TMPyP4 with human telomeric G-quadruplexes indicated that TMPyP4 binds to human
telomeric RNA G-quadruplex one order of magnitude stronger than DNA counterpart.
This is a comprehensive mass spectrometric report on binding study of TMPyP4 with
human telomeric DNA/RNA G-quadruplexes.
PMID- 24939135
TI - Excretion kinetics of 13C-urea breath test: influences of endogenous CO2
production and dose recovery on the diagnostic accuracy of Helicobacter pylori
infection.
AB - We report for the first time the excretion kinetics of the percentage dose of
(13)C recovered/h ((13)C-PDR %/h) and cumulative PDR, i.e. c-PDR (%) to
accomplish the highest diagnostic accuracy of the (13)C-urea breath test ((13)C
UBT) for the detection of Helicobacter pylori infection without any risk of
diagnostic errors using an optical cavity-enhanced integrated cavity output
spectroscopy (ICOS) method. An optimal diagnostic cut-off point for the presence
of H. pylori infection was determined to be c-PDR (%) = 1.47 % at 60 min, using
the receiver operating characteristic curve (ROC) analysis to overcome the "grey
zone" containing false-positive and false-negative results of the (13)C-UBT. The
present (13)C-UBT exhibited 100 % diagnostic sensitivity (true-positive rate) and
100 % specificity (true-negative rate) with an accuracy of 100 % compared with
invasive endoscopy and biopsy tests. Our c-PDR (%) methodology also manifested
both diagnostic positive and negative predictive values of 100 %, demonstrating
excellent diagnostic accuracy. We also observed that the effect of endogenous CO2
production related to basal metabolic rates in individuals was statistically
insignificant (p = 0.78) on the diagnostic accuracy. However, the presence of H.
pylori infection was indicated by the profound effect of urea hydrolysis rate
(UHR). Our findings suggest that the current c-PDR (%) is a valid and
sufficiently robust novel approach for an accurate, specific, fast and
noninvasive diagnosis of H. pylori infection, which could routinely be used for
large-scale screening purposes and diagnostic assessment, i.e. for early
detection and follow-up of patients.
PMID- 24939136
TI - Validation of a confirmatory method for lipophilic marine toxins in shellfish
using UHPLC-HR-Orbitrap MS.
AB - Lipophilic marine toxins are produced by harmful microalgae and can accumulate in
edible filter feeders such as shellfish, leading to an introduction of toxins
into the human food chain, causing different poisoning effects. During the last
years, analytical methods, based on liquid chromatography coupled to tandem mass
spectrometry (LC-MS/MS), have been consolidated by interlaboratory validations.
However, the main drawback of LC-MS/MS methods remains the limited number of
compounds that can be analyzed in a single run. Due to the targeted nature of
these methods, only known toxins, previously considered during method
optimization, will be detected. Therefore in this study, a method based on ultra
high-performance liquid chromatography coupled to high-resolution Orbitrap mass
spectrometry (UHPLC-HR-Orbitrap MS) was developed. Its quantitative performance
was evaluated for confirmatory analysis of regulated lipophilic marine toxins in
shellfish flesh according to Commission Decision 2002/657/EC. Okadaic acid (OA),
dinophysistoxin-1 (DTX-1), pectenotoxin-2 (PTX-2), azaspiracid-1 (AZA-1),
yessotoxin (YTX), and 13-desmethyl spirolide C (SPX-1) were quantified using
matrix-matched calibration curves (MMS). For all compounds, the reproducibility
ranged from 2.9 to 4.9 %, repeatability from 2.9 to 4.9 %, and recoveries from
82.9 to 113 % at the three different spiked levels. In addition, confirmatory
identification of the compounds was effectively performed by the presence of a
second diagnostic ion ((13)C). In conclusion, UHPLC-HR-Orbitrap MS permitted more
accurate and faster detection of the target toxins than previously described LC
MS/MS methods. Furthermore, HRMS allows to retrospectively screen for many
analogues and metabolites using its full-scan capabilities but also untargeted
screening through the use of metabolomics software.
PMID- 24939137
TI - Evaluation of ultra high-performance [corrected] liquid chromatography columns
for the analysis of unmodified and antisense oligonucleotides.
AB - Ultra high-performance [corrected] liquid chromatography has been used for the
separation and analysis of unmodified and modified antisense oligonucleotides.
For this reason, we tested various columns of low particle sizes in our analysis
of unmodified and phosphorothioate oligonucleotides. The influence of both the
type and concentration of ion-pair reagent on the retention of the studied
biomolecules was tested. The developed methods were used for separation of
unmodified oligonucleotides and to determine antisense oligonucleotides in human
serum samples. The results proved that octadecyl and phenyl columns are the most
selective in the resolution of oligonucleotides which differ in the position of
single nucleotides in the sequence. The phenyl column was selected and applied
for the analysis of phosphorothioate oligonucleotides in serum samples. The
calibration plots showed good linearity within the test concentration ranges. The
intra-day CV of the calibration curve slopes was in the range of 1.6 to 4.2 %.
The limits of detection (LODs) were in the range of 0.11-0.16 MUg mL(-1), while
the limit of quantification (LOQ) values were between 0.35 and 0.51 MUg mL(-1).
PMID- 24939141
TI - Primary relapse site pattern in women with triple-negative breast cancer.
AB - Despite the remarkable improvements in breast cancer (BC) characterization,
accurate prediction of BC clinical behavior is often still difficult to achieve.
Some studies have investigated the association between the molecular subtype,
namely the basal-like BC and the pattern of relapse, however only few
investigated the association between relapse pattern and immunohistochemical
defined triple-negative breast cancers (TNBCs). The aim of this study was to
evaluate the pattern of relapse in patients with TNBC, namely the primary distant
relapse site. One-hundred twenty nine (129) invasive breast carcinomas with
follow-up information were classified according to the molecular subtype using
immunohistochemistry for ER, PgR and Her2. The association between TNBC and
distant relapse primary site was analyzed by logistic regression. Using
multivariate logistic regression analysis patients with TNBC displayed only 0.09
(95% CI: 0.00-0.74; p=0.02) the odds of the non-TNBC patients of developing bone
primary relapse. Regarding visceral and lymph-node relapse, no differences
between in this cohort were found. Though classically regarded as aggressive
tumors, TNBCs rarely development primary relapse in bone when compared to non
TNBC, a clinical relevant fact when investigating a metastasis of an occult or
non-sampled primary BC.
PMID- 24939142
TI - Expression of L-type amino acid transporter 1 in various skin lesions.
AB - L-type amino acid transporter 1 (LAT1) is a Na(+)-independent neutral amino acid
transporter that has an essential role in cell proliferation. Although the
involvement of LAT1 in human carcinogenesis has been investigated by
immunohistochemistry in various organs, LAT1 expression in skin has not been
reported yet. Therefore, in the present study, immunohistochemistry for LAT1 was
performed in 15 keratoacanthoma (KA), 10 seborrheic keratosis, 16 Bowen's
disease, 11 basal cell carcinoma (BCC), and 9 squamous cell carcinoma (SCC) cases
as well as 61 normal epidermis as control. It was demonstrated that LAT1
expression limited to the basal layer was occasionally observed in normal
epidermis while its expression was significantly decreased in the epithelium of
seborrheic keratosis and Bowen's disease (P<0.05). By contrast, a significantly
higher rate of LAT1 expression was observed in the epithelium of KA, BCC, and SCC
than in normal epidermis (P<0.05). Although LAT1 expression was limited to the
basal layer or rim of the nests in KA, LAT1 expression was also observed in the
center of the nests in BCC and SCC (P<0.001). Thus, LAT1 is differentially
expressed in various skin lesions and may be an especially useful marker to
distinguish KA from SCC.
PMID- 24939143
TI - Communicating diagnostic uncertainty in surgical pathology reports: disparities
between sender and receiver.
AB - Surgical pathologists use a variety of phrases to communicate varying degrees of
diagnostic certainty which have the potential to be interpreted differently than
intended. This study sought to: (1) assess the setting, varieties and frequency
of use of phrases of diagnostic uncertainty in the diagnostic line of surgical
pathology reports, (2) evaluate use of uncertainty expressions by experience and
gender, (3) determine how these phrases are interpreted by clinicians and
pathologists, and (4) assess solutions to this communication problem. We
evaluated 1500 surgical pathology reports to determine frequency of use of
uncertainty terms, identified those most commonly used, and looked for variations
in usage rates on the basis of case type, experience and gender. We surveyed 76
physicians at tumor boards who were asked to assign a percentage of certainty to
diagnoses containing expressions of uncertainty. We found expressions of
uncertainty in 35% of diagnostic reports, with no statistically significant
difference in usage based on age or gender. We found wide variation in the
percentage of certainty clinicians assigned to the phrases studied. We conclude
that non-standardized language used in the communication of diagnostic
uncertainty is a significant source of miscommunication, both amongst
pathologists and between pathologists and clinicians.
PMID- 24939144
TI - A modified GEWF solution is cost-saving and effective for lymph node retrieval in
resected colorectal carcinoma specimens.
AB - Lymph node (LN) retrieval is important for proper staging of colorectal
carcinoma. Although various assistant techniques were recommended to facilitate
LN identification, most of them were unavoidably time-consuming, resource
intensive and costly. We prepared a modified GEWF solution (RE-GEWF) by use of
recycled alcohol and a familiar dye, eosin and investigated its efficacy on 55
colorectal carcinoma specimens. Of the 55 studied cases, 33 of them with <12 LNs
(Group A) and 22 with >=12 LNs were detected (Group B) before RE-GEWF treatment.
All were subsequently treated with RE-GEWF for 14-16h and were inspected again
for LNs. The number of LNs revealed before and after RE-GEWF treatment was 539
and 476 respectively. The mean number of LNs per cases increased from 9.80+/-6.27
to 18.43+/-8.77. Twelve accessory LN metastases were found in 9 cases. Upgrade of
pN stage was only present in 7 of the Group A cases. The results show that RE
GEWF is as effective as other reported LN revealing solutions. Use of RE-GEWF not
only can assure the quality of LN detection, but also minimize the cost and
reduce the release of waste.
PMID- 24939145
TI - Renal injury induced in alloxan diabetic rats. Role of Mycophenolate Mofetil as
therapeutic agent.
AB - BACKGROUND: Renal injury may develop in uncontrolled chronic hyperglycemia due to
increased oxidative stress and release of pro-inflammatory mediators, leading to
diabetic complications. METHODS: Mycophenolate Mofetil (MMF) is an
immunosuppressant drug, an inhibitor of inosine monophosphate dehydrogenase
(IMPDH), relevant to inflammation processes. MMF effect was tested in alloxan
diabetic rats on selected parameters like oxidative stress, gene expression of
tumor necrosis factor-alpha (TNF-alpha) and transforming growth factor-beta1 (TGF
beta1), in relation to microalbuminuria and renal function. RESULTS: We found
that the onset of microalbuminuria preceded the increase in serum glucose after
alloxan treatment. Gene expression of TNF-alpha and TGF-beta1 showed gradual
increase after one and two weeks of alloxan administration as compared to the
normal group. MMF administration decreased the gene expression of TNF-alpha and
TGF-beta1 in kidney tissues, serum glucose, fructosamine, urea, creatinine, C
reactive protein, malondialdehyde, urinary microalbumin and total protein.
Histological examination of kidney tissues showed significant improvement in MMF
treated rats as compared to diabetic control. CONCLUSIONS: MMF modulated renal
injury of alloxan diabetic rats. Collective data may support its therapeutic
effect but further clinical trials may be requested.
PMID- 24939147
TI - Expression of apoptotic proteins in human colorectal cancer and metastatic lymph
nodes.
AB - PURPOSE: The aim of this study was to determine the expression of Bak, Bax, Bcl
2, Bcl-xl and procaspase-3 proteins in colorectal tumor and regional lymph nodes,
as well as to investigate the correlation between them and with clinicopathologic
parameters. METHODS: Expression of the examined proteins was evaluated by
immunohistochemical study. RESULTS: No significant correlation was revealed
between Bcl-2, Bcl-xl, Bak, Bax and procaspase-3 expressions and age, gender,
location or size of primary tumor and grade in the main tumor mass or in lymph
nodes. Additionally, the only association we found was between Bak protein in
primary tumor and in adjacent metastatic lymph nodes. CONCLUSION: Bcl-2 protein
seems to exert substantial effects prevention of apoptosis in pT3 CRC with
positive lymph node involvement, while lower expressions of Bcl-xl proteins
suggest that it does not play a significant part in the inhibition of apoptosis.
PMID- 24939148
TI - Malignant pleuropulmonary epithelioid hemangioendothelioma - unusual presentation
of an aggressive angiogenic neoplasm.
AB - Epithelioid hemangioendothelioma (EHE) of the lung and pleura are rare tumors.
Primary pleural EHE are associated with aggressive behavior and poor clinical
outcome. Recent advances in EHE include the development of immunohistochemical
markers of vascular differentiation, namely Fli-1, and the identification of a
specific chromosomal translocation (t(1;3)(p36;q25)). We present a 19 year old
male patient with a rapidly progressive malignant neoplasm that presented as a
multinodular lung parenchymal process, with associated pleural effusion and
thickening. Pathologic examination revealed a pleuropulmonary neoplasm with
cytologic features including round to oval epithelioid cells with frequent
cytoplasmic vacuoles. Immunohistochemistry confirmed vascular differentiation of
tumor cells (CD34, CD31 and Fli-1 positive). While the cytologic features were
suggestive of EHE, the aggressive nature of the neoplasm, with disseminated
pleuropulmonary involvement, raised the question of whether the neoplasm should
be classified as EHE or epithelioid angiosarcoma. Here, we review the
clinicopathologic characteristics of pleuropulmonary EHE and the overlap between
malignant EHE and angiosarcoma.
PMID- 24939146
TI - Thalidomide alleviates acute pancreatitis-associated lung injury via down
regulation of NFkappaB induced TNF-alpha.
AB - AIMS: We studied the effect of thalidomide on NFkappaB-induced TNF-alpha in acute
pancreatitis-associated lung injury in the rat. METHODS: Rats were
intragastrically administered thalidomide (100mg/kg) daily for 8 days and then
acute pancreatitis was induced by retrograde infusion of 5% sodium taurocholate
into the rat biliopancreatic duct. Serum amylase (AMY), blood oxygen partial
pressure (PaO2), ratios of lung wet/dry weight, and cytoplasmic IkappaBalpha and
TNF-alpha protein and nuclear NFkappaBp65 protein were measured. Also, lung
NFkappaBp65 and TNF-alpha mRNA were measured. RESULTS: Compared with the model
group, the pathological score of the pancreas and lung, serum AMY, ratios of lung
wet/dry weight, and lung NFkappaBp65 and TNF-alpha mRNA and protein of rats given
thalidomide were decreased significantly (P<0.01), but PaO2 and IkappaBalpha
protein was elevated significantly (P<0.01). CONCLUSION: Thalidomide may inhibit
TNF-alpha expression via down-regulation of the NFkappaB signaling pathway to
alleviate acute pancreatitis-associated lung injury in rats.
PMID- 24939149
TI - Pathologic findings in native infective endocarditis.
AB - BACKGROUND: There are few studies on the histologic findings in native infective
endocarditis, especially regarding mimics of autoimmune valvulitis. METHODS: We
prospectively studied 106 surgical specimens from 95 patients with a clinical
diagnosis of infective endocarditis on native valves, and compared gross and
histologic findings with culture results, underlying valve disease, risk factors
and time interval from symptom onset to surgical intervention. RESULTS: There
were 41 (39%) aortic, 33 (31%) mitral, 9 (9%) tricuspid, 1(.9%) pulmonic and 11
(10%) multiple valve replacements. Underlying valve disease was present in 26
(27%) patients (non-calcified bicuspid aortic valve, 10 (38%) cases; mitral valve
prolapse, 5 (19%) cases; calcified trileaflet aortic valve, 5 (19%) cases;
calcified bicuspid aortic valve, 2 (8%) cases; post-rheumatic mitral valve
disease, 2 (8%) cases; hypertrophic cardiomyopathy-related mitral valve disease,
1 (4%) case, trileaflet aortic insufficiency 1 (4%) case) and associated with
streptococcal infection (p = .001). Absence of underlying valve disease was
associated with intravenous drug abuse (p = .01) and dialysis dependent renal
disease (p = .006). Intravenous drug abuse was associated with staphylococcal
infection (p = .03). Vegetations were present in 80 (75%) of cases, and on the
nonflow surface of the valve in 65 (81%) of these. Gram-stain positivity and
neutrophilic microabscesses were associated with staphylococcal infection (p =
.03). Epithelioid macrophages with palisading features mimicking necrobiotic
granulomas were seen in 42 (40%) valves and more frequently associated with
streptococcal infection (p=.03). As expected, the presence of valve necrosis and
acute inflammation decreased with an increase in time with respect to symptomatic
onset. CONCLUSION: Histologic findings that mimic autoimmune inflammation are
frequent in infective endocarditis and associated with streptococcal infection.
Risk factors for infective endocarditis include calcific valve disease.
PMID- 24939150
TI - DAFGA: diversity analysis of functional gene amplicons.
AB - SUMMARY: Diversity analysis of functional marker genes provides physiological
insights into microbial guilds that perform an ecologically relevant process.
However, it is challenging to group functional gene sequences to valid taxonomic
units, primarily because of differences in the evolutionary rates of individual
genes and possible horizontal gene transfer events. We developed a python script
package named DAFGA, which estimates the evolutionary rate of a particular
functional gene in a standardized manner by relating its sequence divergence to
that of the 16S rRNA gene. As a result, DAFGA provides gene-specific parameter
sets for operational taxonomic unit clustering and taxonomic assignment at
desired rank, and it can be implemented into the diversity measurements offered
by QIIME. AVAILABILITY AND IMPLEMENTATION: DAFGA is freely available with a
manual and test data from https://github.com/outbig/DAFGA.
PMID- 24939151
TI - Literome: PubMed-scale genomic knowledge base in the cloud.
AB - MOTIVATION: Advances in sequencing technology have led to an exponential growth
of genomics data, yet it remains a formidable challenge to interpret such data
for identifying disease genes and drug targets. There has been increasing
interest in adopting a systems approach that incorporates prior knowledge such as
gene networks and genotype-phenotype associations. The majority of such knowledge
resides in text such as journal publications, which has been undergoing its own
exponential growth. It has thus become a significant bottleneck to identify
relevant knowledge for genomic interpretation as well as to keep up with new
genomics findings. RESULTS: In the Literome project, we have developed an
automatic curation system to extract genomic knowledge from PubMed articles and
made this knowledge available in the cloud with a Web site to facilitate
browsing, searching and reasoning. Currently, Literome focuses on two types of
knowledge most pertinent to genomic medicine: directed genic interactions such as
pathways and genotype-phenotype associations. Users can search for interacting
genes and the nature of the interactions, as well as diseases and drugs
associated with a single nucleotide polymorphism or gene. Users can also search
for indirect connections between two entities, e.g. a gene and a disease might be
linked because an interacting gene is associated with a related disease.
AVAILABILITY AND IMPLEMENTATION: Literome is freely available at
literome.azurewebsites.net. Download for non-commercial use is available via Web
services.
PMID- 24939152
TI - Transynaptic changes evident in peripheral axonal function after acute cerebellar
infarct.
AB - The cerebellum has a vital role in fine motor control of the limbs. Consequently,
downstream changes in peripheral axonal function may develop following a
cerebellar infarct, in part, to adapt to the resultant impairment. The present
study investigated changes in excitability in ipsilateral and contralateral upper
limb peripheral motor axons in patients with acute cerebellar infarct to
determine whether plastic changes may have functional relevance. Peripheral nerve
excitability studies and detailed clinical assessments of functional impairment
were undertaken in 13 patients with acute unilateral cerebellar infarct within 1
week of ictus. Changes were followed longitudinally over 1 year at 3, 6 and 12
months with results compared to 15 age-matched control subjects. Immediately
following stroke, there were significant alterations in peripheral nerve
excitability parameters in the upper limbs of patients compared to controls that
were most evident in the more severely impaired group. There were significant
correlations between excitability indices and functional scores in the entire
cohort that demonstrated greater changes in axonal function associated with more
impairment. Peripheral excitability trended towards normal over the study period
in the context of clinical improvement. Following an acute cerebellar infarct,
changes were observed in peripheral motor axons bilaterally that were more
pronounced in patients with severe functional impairment. The peripheral changes
may represent a functionally relevant plastic process reflecting altered activity
to adapt to the disability of the stroke.
PMID- 24939153
TI - Pei Hsien Tang, MD.
PMID- 24939154
TI - Establishing technical performance norms for general surgery residents.
AB - BACKGROUND: Surgery residents are required to achieve performance milestones to
advance in their residency. Level-specific, technical performance norms that
could be used as milestones, however, do not currently exist. Our aim was to
develop level-specific, technical performance norms for general surgery residents
on select simulated tasks across multiple institutions. STUDY DESIGN: An IRB
approved, prospective, multi-institutional collaborative study with voluntary
participation of residents was undertaken at the start of the 2011-2012 academic
year. General surgery residents (PGY I-V) from seven institutions were tested on
three laparoscopic and five open simulated surgical tasks, and their performance
was assessed based on task time and errors. Means and standard deviations of
performance for each resident level were calculated and compared. Residents with
performance 1 standard deviation below the mean were considered outliers.
RESULTS: A total of 147 residents were evaluated. Mean resident age was 28 +/- 3
years; 42 % were female; and they had attended 74 different medical schools.
Senior residents (PGY III-V) had more clinical and simulator experience than
junior residents (PGY I-II) (p < 0.001). Resident performance scores
progressively increased in all tasks reaching a plateau at a lower PGY level for
open tasks. Depending on the task, 0-18 % of residents were outliers. When
surveyed, 66 % of residents agreed that national performance norms for residents
should exist. CONCLUSIONS: Performance norms were established for select tasks in
a representative sample of US surgery residents. Such performance norms allow a
more informed assessment of resident skill through comparison to national data
and enable the identification of outliers who may benefit from additional
training.
PMID- 24939155
TI - SAGES guidelines for the introduction of new technology and techniques.
PMID- 24939156
TI - Intramucosal carcinoma of the rectum can be safely treated with transanal
endoscopic microsurgery; clinical support of the revised Vienna classification.
AB - AIM: The revised Vienna criteria were proposed for classifying rectal neoplasia
and subsequent treatment strategies. Restaging intramucosal carcinoma to a non
invasive subgroup seems logical, but clinical support is lacking. In this study,
we investigated whether distinction between intramucosal carcinomas (IMC) and
rectal adenoma (RA) is of clinical relevance and whether these neoplasms can all
be similarly and safely treated by transanal endoscopic microsurgery (TEM).
METHODS: All consecutive patients with IMC and RA, treated with TEM between 1996
and 2010 in tertiary referral centre for TEM were included. Long-term outcome of
88 IMC was compared to 356 pure rectal adenomas (RA). Local recurrence (LR) rate
was the primary endpoint. Risk factors for LR were analysed. RESULTS: LR was
diagnosed in 7/88 patients (8.0 %) with IMC and in 33/356 patients with primary
RA (9.3 %; p = 0.700) and LR-free survival did not differ (p = 0.438). Median
time to recurrence was 10 months (IQR IMC 5-30; RA 6-16). Overall recurrence
occurred mainly in the first 3 years (38/40; 95 %). None of the LR revealed
malignancy on pathological evaluation. No differences could be found in
complication rates (IMC 9 %; RA 13 %; p = 0.34). Metastases did not occur in
either group. Independent risk factors for LR were irradical margins at final
histopathology (HR 2.32; 95 % CI 1.17-4.59; p = 0.016) and more proximal tumours
(HR 0.84; 95 % CI 0.77-0.92; P = <0.001). CONCLUSION: In this study, IMC of the
rectum and RA have similar recurrence rates. This supports the revised Vienna
classification. Both entities can be safely treated with TEM.
PMID- 24939157
TI - Laparoscopic partial splenectomy is safe and effective in patients with focal
benign splenic lesion.
AB - BACKGROUND: Traditionally, splenectomy is considered as the treatment for splenic
lesions. The risk of early and late complications and the awareness of
immunologic function of spleen have pushed the development of spleen sparing
techniques. This study aimed to evaluate the safety and feasibility of
laparoscopic partial splenectomy in selected patients. METHODS: From May 2011 we
initiated performing laparoscopic partial splenectomy in patients with focal
benign splenic lesion. The main surgical procedure consisted of four steps: 1.
Mobilizing the perisplenic ligaments. 2. Ligating and dissecting the vessels
which supplying the involved spleen. 3. Dissecting the spleen along the
demarcation. 4. Hemostasis was achieved by bipolar energy device. The
perioperative data were collected and analyzed. The follow-up including quality
of life and splenic regrowth was routinely undergone 6 months after surgery.
RESULTS: From May 2011 to December 2013, laparoscopic partial splenectomy had
been performed on 11 patients aged from 13 to 57 (mean 33). The indications
included nonparasitic cyst (n = 6), lymphangioma (n = 3), and hemangioma (n = 2).
The mean operative time was 148 min (range 110-200 min). The mean estimated blood
loss was 189 ml (range 100-400 ml). One patient converted to total splenectomy
because of hemorrhaging. Two patients suffered from postoperative complications:
the one who converted to total splenectomy suffered from portal vein thrombosis,
the other one underwent partial splenectomy suffered from fluid collection around
splenic recess. There was no blood transfusion and postoperative mortality. All
patients discharged uneventfully. Seven patients finished the follow-up including
evaluation of CT scan and quality of life 6 month after surgery. The results
demonstrated all these patients had different degree of splenic regrowth and
gained a good quality of life. CONCLUSIONS: Laparoscopic partial splenectomy is
safe and effective in patients with focal benign splenic lesion. Meanwhile, this
technique potentially retains some splenic function, and confers the benefit of a
minimal access technique.
PMID- 24939158
TI - Prevention of bile duct injury: the case for incorporating educational theories
of expertise.
AB - BACKGROUND: Over 700,000 laparoscopic cholecystectomies are performed yearly in
the US. Despite multiple advantages of laparoscopic surgery, the increased rate
of bile duct injury (BDI) compared to the traditional, open approach to
cholecystectomy remains problematic. Due to the seriousness of bile duct injury,
the time has come for an aggressive educational campaign to better train
laparoscopic surgeons in order to reduce the incidence of this life-threatening
and expensive complication. METHODS: We performed a literature review of what is
currently known about the causes of bile duct injury during laparoscopic
cholecystectomy. Based on these reviews, we identified educational theories of
expertise that may be relevant in understanding variable rates of BDI between
surgeons. Finally, we applied educational theories of expertise to the problem of
BDI in laparoscopic cholecystectomy to propose how to develop and design an
effective educational approach for the prevention of BDI. RESULTS: Multiple
studies demonstrate that the primary causes of BDI during laparoscopic
cholecystectomy are non-technical. Additionally, there exists a learning curve in
which the rates of BDI are higher in a surgeon's earlier cases compared to later
cases and that some surgeons perform laparoscopic cholecystectomy with
significantly fewer injuries than others. Educational theories indicate that
interventions that optimize novice to expert development require (1) revealing
expert knowledge to novices and (2) scaffolding the mental habits of expert-like
learners. CONCLUSIONS: BDI is an appropriate target for the application of
educational theories of expertise. Designing better educational interventions for
the prevention of BDI will require uncovering the hidden knowledge of expert
surgeons and incorporating the processes of reinvestment and progressive problem
solving that are inherent to expert performance.
PMID- 24939160
TI - Incisional hernia after laparoscopic colorectal surgery. Is there any factor
associated?
AB - BACKGROUND: Laparoscopic approach is related to, among others, educing abdominal
wall complications such as incisional hernia (IH). However, there are scarce data
concerning laparoscopic colorectal surgery (LCRS). The aim of this study was to
evaluate related factors and incidence of IH following this approach. METHODS: A
retrospective analysis of consecutive patients who underwent colorectal surgery
with laparoscopic approach in a single center was performed. Patients with a
minimum follow-up of 6 months, and also converted to open surgery were included.
Uni- and multi-variate analyses were performed using the following variables:
age; gender; type of surgery (left, right, total, or segmental colectomy);
comorbidities [diabetes and chronic pulmonary obstructive disease (COPD)];
previous surgery; colorectal disease (benign and malignant); operative time;
surgical site infection (SSI); and body mass index (BMI). Midline incisions
(right colectomy) and off-midline incisions (left colectomies and rectal
resections) were also compared. RESULTS: During a period of 12 years, 1051
laparoscopic colorectal surgeries were performed. The incidence of IH was 6% (n =
63). Univariate analysis showed that BMI > 30 kg/m(2) [p < 0.01, OR: 2.3 (1.3
4.7)], SSI [p < 0.01, OR: 6.5 (3.4-12.5)], operative time >180 min [p < 0.01, OR:
2.1 (1.2-3.6)] and conversion to open surgery (p = 0.01, OR: 2.4 [1.1-5.0]) were
related to incisional hernias. BMI and SSI have a statistically significant
relation with the incidence of IH in multivariate analysis (p < 0.01). No
statistical difference between right and left colectomy was observed (6.6 vs.
6.4%, respectively). CONCLUSION: The incidence of IH after LCRS seems to be
acceptable. BMI over 30 kg/m(2) and SSI are strongly associated to this
complication.
PMID- 24939159
TI - Minimally invasive colorectal resection is associated with significantly elevated
levels of plasma matrix metalloproteinase 3 (MMP-3) during the first month after
surgery which may promote the growth of residual metastases.
AB - INTRODUCTION: MMP-3, a member of the matrix metalloproteinase (MMP) family, is
involved in the breakdown of the extracellular matrix in tissue remodeling and
may also play a role in cancer progression and metastasis. Minimally invasive
colorectal resection (MICR) may increase plasma MMP-3 levels directly via
surgical trauma or indirectly due to surgery-associated elevations in TNF-alpha
and IL1 which are regulators of MMP-3. This study's purpose was to evaluate
plasma MMP-3 levels during the first month after MICR for colorectal cancer.
METHODS: Patients enrolled in an IRB approved data/plasma bank who underwent
elective MICR for CRC. Blood plasma samples had been collected preoperatively, on
postoperative day (POD) 1, 3 and at varying postoperative time points and were
stored at -80 degrees C. The late samples (POD 7-41) were bundled into 7 day
time blocks and considered as single time points. MMP-3 levels were analyzed in
duplicate via ELISA and the results reported as mean +/- SD. The paired t test
was used for analysis (significance, p < 0.008 after Bonferroni's correction).
RESULTS: A total of 73 CRC patients who underwent MICR met the inclusion
criteria. The mean PreOp MMP-3 level was 14.9 +/- 7.8 ng/ml (n = 73).
Significantly elevated mean plasma levels were noted on POD 1 (21.4 +/- 14.7
ng/ml, n = 73, p < 0.0001), POD 3 (37.9 +/- 21.5 ng/ml, n = 72, p < 0.0001), POD
7-13 (22.0 +/- 13.0 ng/ml, n = 56, p < 0.0001), POD 14-20 (21.9 +/- 10.3 ng/ml, n
= 20, p = 0.003), and on POD 21-27 (21.9 +/- 11.43 ng/ml, n = 20, p = 0.002) when
compared to PreOp levels. Plasma levels returned to the PreOp baseline at the POD
28-41 time point (n = 16, p = 0.07). CONCLUSION: Plasma MMP-3 levels remained
significantly elevated from baseline for 4 weeks after MICR for CRC. The early
postoperative increase in MMP-3 levels may be due to the surgery-related acute
inflammatory response; the elevation noted during weeks 2-3 may be related to
wound healing. Increased MMP-3 levels may promote metastases or the growth of
residual cancer.
PMID- 24939161
TI - An audit of short- and long-term outcomes after laparoscopic removal of common
bile duct stones in Finland.
AB - BACKGROUND AND AIM: To audit short- and long-term outcomes after laparoscopic
common bile duct exploration (LCBDE) and factors influencing the success of the
laparoscopic treatment. METHODS: From January 1999 to December 2011, 288 patients
(93 males) underwent a single-stage laparoscopic cholecystectomy combined with
LCBDE in two Finnish Hospitals. Short-term outcome data were collected
prospectively. Long-term outcomes were examined retrospectively. The main
measures of outcome were the success of laparoscopic CBD stone clearance and
recurrence of CBD stones in the long-term, with 30-day mortality, morbidity, and
the length of postoperative hospital stay as secondary outcome measures. RESULTS:
CBD stones were successfully removed by one-stage laparoscopic procedure in 232
of the 279 patients (83.2%) with verified CBD stones and after conversion to open
surgery in additional 28 patients (93.2%). Nineteen patients (6.8%) having
residual stones after surgery were successfully treated with postoperative ERCP.
On multivariate analysis, the independent factors associated with a failed
laparoscopic stone clearance were stone size over 7 mm [OR 3.51 (95% CI 1.53
8.03), p = 0.003], difficult anatomy [OR 18.01 (5.03-64.49), p < 0.001] and
transcholedochal approach [OR 2.98 (1.37-4.47), p = 0.006]. Laparoscopic stone
clearance also failed in all 11 patients having impacted stones at the ampulla of
Vater. Cumulative long-term recurrence rate was 3.6% at 5 years and 6.0 % at 10
years. Thirty-day mortality was 0.3% and morbidity 12.2%. Postoperative hospital
stay was median 2 (IQR 1-3) days after transcystic CBD removal and 4 (IQR 3-7)
days after transcholedochal CBD removal, p < 0.001. CONCLUSION: Our results show
that one-stage LC combined with LCBDE stone clearance is safe and effective in
most patients thus reducing the number of additional, potentially dangerous
endoscopic procedures. Moreover, large or impacted stones are a risk factor for
failed stone clearance.
PMID- 24939162
TI - Fundamental Use of Surgical EnergyTM (FUSE): a curriculum on surgical energy
based devices.
PMID- 24939163
TI - A population-based analysis of emergent versus elective paraesophageal hernia
repair using the Nationwide Inpatient Sample.
AB - BACKGROUND: As the life expectancy in the United States continues to increase,
more elderly, sometimes frail patients present with sub-acute surgical conditions
such as a symptomatic paraesophageal hernia (PEH). While the outcomes of PEH
repair have improved largely due to the proliferation of laparoscopic surgery,
there is still a defined rate of morbidity and mortality. We sought to
characterize the outcomes of both elective and emergent PEH repair using a large
population-based data set. METHODS: The Nationwide Inpatient Sample was queried
for primary ICD-9 codes associated with PEH repair (years 2006-2008). Outcomes
were in-hospital mortality and the occurrence of a pre-identified complication.
Multivariate analysis was performed to determine the risk factors for
complications and mortality following both elective and emergent PEH repair.
RESULTS: A total of 8,462 records in the data, representing 41,723 patients in
the US undergoing PEH repair in the study interval, were identified. Of these
procedures, 74.2% was elective and 42.4% was laparoscopic. The overall
complication and mortality rates were 20.8 and 1.1%, respectively. Emergent
repair was associated with a higher rate of morbidity (33.4 vs. 16.5%, p < 0.001)
and mortality (3.2 vs. 0.37%, p < 0.001) than elective repair. Emergent repair
patients were more likely to be male, were older, and more likely to be minority.
Logistic modeling revealed that younger age, elective case status, and a
laparoscopic approach were independently associated with a lower probability of
complications and mortality. CONCLUSIONS: Patients undergoing emergent PEH repair
in the United States tend to be older, more likely a racial minority, and less
likely to undergo laparoscopic repair. Elective repair, younger age, and a
laparoscopic approach are associated with improved outcomes. Considering all of
the above, we recommend that patients consider elective repair with a surgeon
experienced in the laparoscopic approach, especially when symptoms related to the
hernia are present.
PMID- 24939166
TI - Nutritional supplements: simply a waste of money or poorly targeted?
PMID- 24939165
TI - Structure characterization and anti-tyrosinase mechanism of polymeric
proanthocyanidins fractionated from kiwifruit pericarp.
AB - To provide information on the structure, activity, and structure-activity
relationship of kiwifruit (Actinidia chinensis) pericarp proanthocyanidins (PAs),
they were separated into three fractions. These fractions were further identified
by MALDI-TOF MS and HPLC-ESI-MS methods. Spectra results revealed that they are
complex mixtures of B-type propelargonidins, procyanidins, procyanidins gallate,
and prodelphinidins. Enzymatic activity analysis showed that these compounds
strongly inhibit the activity of tyrosinase, indicating that they are reversible
and mixed-type inhibitors of the enzyme. The results obtained from fluorescence
quenching showed PAs inhibit the enzyme activity by interacting with substrate
and enzyme. This study confirmed that the mean degree of polymerization (mDP) of
PAs produces a positive effect on their anti-tyrosinase activity. In addition,
the antioxidant analysis indicated that PAs possess potent antioxidant activity.
These conclusions mean kiwifruit pericarp PAs may be explored as insecticides,
food preservatives, and cosmetic additives.
PMID- 24939164
TI - Symptomatic and physiologic outcomes one year after peroral esophageal myotomy
(POEM) for treatment of achalasia.
AB - BACKGROUND: Peroral esophageal myotomy (POEM) is a new endoscopic operation for
the treatment of achalasia. Here, we report 1-year physiologic and symptomatic
outcomes following the procedure. METHODS: POEM patients from a single
institution series who were more than 1 year removed from surgery were studied.
Eckardt and GerdQ scores were obtained to assess symptoms. High-resolution
manometry (HRM), timed barium esophagram (TBE), and upper endoscopy were
preformed preoperatively and at 1-year follow-up. 24-h pH monitoring was also
performed at 1 year follow-up. RESULTS: The study population was comprised of 41
patients who were more than 1 year post-POEM. One (2%) major complication, a
contained leak at the EGJ requiring re-operation, and 7 (17%) minor complications
occurred. Mean length of stay was 1.4 days. At mean 15-month follow-up, Eckardt
scores improved from pre-POEM 7 +/- 2 to post-POEM 1 +/- 2, (scale 0-12, p <
.001), and 92% of patients achieved treatment success (Eckardt score <4). Two of
the three treatment failures in the series occurred in the initial three
patients. 15% of patients had post-POEM symptoms suggestive of gastroesophageal
reflux (GerdQ >7). On follow-up HRM, esophagogastric junction integrated
relaxation pressure was decreased significantly (pre-POEM 28 +/- 12 mmHg vs. post
POEM 11 +/- 4 mmHg, p < .001), and 47% of patients studied had partial recovery
of peristalsis. On follow-up TBE, barium column heights were decreased compared
with preoperatively. Postoperative upper endoscopy revealed esophagitis in 59% of
patients (11 LA Grade A, 2 LA Grade D). However, of the 13 24-h pH monitoring
studies performed, only four (31%) demonstrated pathologic esophageal acid
exposure. CONCLUSIONS: In this series, POEM resulted in greater than 90%
symptomatic treatment success at mean 15-month follow-up. Rates of iatrogenic
gastroesophageal reflux, as measured both by symptoms and 24-h pH monitoring,
appeared to be on par with recent studies of patients undergoing laparoscopic
Heller myotomy and pneumatic dilation.
PMID- 24939167
TI - Myopic anisometropia: ocular characteristics and aetiological considerations.
AB - Anisometropia represents a unique example of ocular development, where the two
eyes of an individual, with an identical genetic background and seemingly subject
to identical environmental influences, can grow asymmetrically to produce
significantly different refractive errors. This review provides an overview of
the research examining myopic anisometropia, the ocular characteristics
underlying the condition and the potential aetiological factors involved. Various
mechanical factors are discussed, including corneal structure, intraocular
pressure and forces generated during near work that may contribute to development
of anisomyopia. Potential visually guided mechanisms of unequal ocular growth are
also explored, including the influence of astigmatism, accommodation, higher
order aberrations and the choroidal response to altered visual experience. The
association between binocular vision, ocular dominance and asymmetric refraction
is also considered, along with a review of the genetic contribution to the
aetiology of myopic anisometropia. Despite a significant amount of research into
the biomechanical, structural and optical characteristics of anisometropic eyes,
there is still no unifying theory, which adequately explains how two eyes within
the same visual system grow to different endpoints.
PMID- 24939170
TI - Patients' perceptions of benefits and risks of complete denture therapy.
AB - PURPOSE: The aim of this study was to assess patients' perceptions of benefits
and risks concerning complete denture therapy. A secondary objective was to
assess the influence of clinical and sociodemographic variables on patients'
perceptions. MATERIALS AND METHODS: The sample was composed of 104 volunteers who
presented themselves for complete denture treatment at a dental school. The
average age of the volunteers was 69.2 years (+/-) 9.3. Patient opinions
concerning the benefits of complete denture therapy were recorded using a
previously reported questionnaire. The answers were evaluated in three domains:
(1) benefits (positive perceptions); (2) risks (negative perceptions); and (3)
consequences of no treatment. RESULTS: The average time of use of the previous
dentures was 20 years (SD +/-12.9). Risk factors (negative perceptions) received
lower scores by the patients, while the consequences of no treatment received
higher scores. No association was found among evaluations of the previous
dentures and educational level, marital status, and gender; however, patients'
evaluation about their previous dentures was significantly different depending on
age (p = 0.001) and previous dentures' time of use (p = 0.038). CONCLUSIONS:
Patients presented a positive perception of complete denture therapy, and the
risk factors (negative perceptions) received the lowest scores. Patient
perception regarding complete denture therapy was not influenced by educational
level, evaluation of the previous dentures, or marital status.
PMID- 24939172
TI - Coming to power: women's fake orgasms and best orgasm experiences illuminate the
failures of (hetero)sex and the pleasures of connection.
AB - While some literature has explored women's sexual satisfaction and, to a lesser
degree, women's faking orgasm experiences, little research has examined the
context and conditions around women's best and most memorable orgasms. This paper
utilised thematic analysis of qualitative data from a community sample of 20
women in the USA (mean age = 34 years, SD = 13.35 years) from a wide range of
racial, socioeconomic, and sexual identity backgrounds to illuminate their
experiences with fake or pretend orgasms, and with their best orgasms. While
faking orgasm narratives reflected themes of wanting to reinforce a partner's
sexual skills, strategically ending sexual interactions, and suppressing feelings
of abnormality and shame, best orgasm experiences showcased the power of
interpersonal connection, the joys of masturbation and other non-penile-vaginal
intercourse behaviours, and the significance of 'transformative embodiment'.
Implications for the relative failures of (hetero)sex, particularly in the
context of gendered power imbalances, along with the importance of deconstructing
the sexually 'functional' or 'dysfunctional' woman are explored.
PMID- 24939171
TI - Hydrogen sulfide treatment induces angiogenesis after cerebral ischemia.
AB - Hydrogen sulfide (H2 S) is a potent vasodilator and regulates cardiovascular
homeostasis. Furthermore, H2 S has a crucial role in ischemia-reperfusion
injuries, especially of the heart, liver, and kidneys. This study indicates that
treatment with hydrogen sulfide is able to restore neurological function after
ischemic stroke by promoting angiogenesis. Treatment with H2 S augments
angiogenesis in the peri-infarct area, and it significantly improves functional
outcomes after 2 weeks in a rat MCAO model. H2 S promotes the phosphorylation of
AKT and ERK and increases the expression of vascular endothelial growth factor
(VEGF) and angiopoietin-1 (Ang-1). H2 S-treated rats showed more newly
synthesized endothelial cells in the ischemic lesion (2.31-fold, P < 0.01). H2 S
treated astrocytes increased VEGF and Ang-1 expression, and the inhibition of
phosphatidylinositide 3-kinase (PI3K)/AKT signaling by LY294002 significantly
reduced H2 S-induced VEGF and Ang-1 expression in astrocytes. Finally, H2 S
stimulated endothelial cell migration (3.92-fold increase in wound healing assay)
and tube formation (3.69-fold increase, P < 0.001) through PI3K/AKT signaling. In
conclusion, treatment with H2 S promotes angiogenesis and thereby contributes to
improvement of functional outcome after cerebral ischemia. Our findings strongly
suggest that H2 S may be of value in regenerative recovery after stroke.
PMID- 24939173
TI - A potential role for allostatic load in preeclampsia.
AB - Preeclampsia is a multisystemic disorder of pregnancy associated with maternal
and fetal complications as well as later-life cardiovascular disease. Its exact
cause is not known. We developed a pregnancy-specific multisystem index score of
physiologic risk and chronic stress, allostatic load (AL), early in pregnancy.
Our objective was to determine whether AL measured early in pregnancy was
associated with increased odds of developing preeclampsia. Data were from a
single-center, prospectively collected database in a 1:2 individual-matched case
control of women enrolled at <15 weeks gestation. We matched 38 preeclamptic
cases to 75 uncomplicated, term deliveries on age, parity, and lifetime smoking
status. AL was determined using 9 measures of cardiovascular, metabolic, and
inflammatory function. Cases and matched controls were compared using conditional
logistic regression. We compared the model's association with preeclampsia to
that of obesity, a well-known risk factor for preeclampsia, by assessing goodness
of-fit by Akaike information criterion (AIC), where a difference >1-2 suggests
better fit. Early pregnancy AL was higher in women with preeclampsia (1.25 +/-
0.68 vs. 0.83 +/- 0.62, p = 0.002); women with higher AL had increasing odds of
developing preeclampsia (OR 2.91, 95 % CI 1.50-5.65). The difference between AIC
for AL and obesity was >2 (AIC 74.4 vs. 84.4), indicating AL had a stronger
association with preeclampsia. Higher allostatic load in early pregnancy is
associated with increasing odds of preeclampsia. This work supports a possible
role of multiple maternal systems and chronic stress early in pregnancy in the
development of preeclampsia.
PMID- 24939174
TI - Technoeconomic evaluation of bio-based styrene production by engineered
Escherichia coli.
AB - Styrene is an important commodity chemical used in polymers and resins, and is
typically produced from the petrochemical feedstocks benzene and ethylene.
Styrene has recently been produced biosynthetically for the first time using
engineered Escherichia coli, and this bio-based route may represent a lower
energy and renewable alternative to petroleum-derived styrene. However, the
economics of such an approach has not yet been investigated. Using an early-stage
technoeconomic evaluation tool, a preliminary economic analysis of bio-based
styrene from C(6)-sugar feedstock has been conducted. Owing to styrene's limited
water solubility, it was assumed that the resulting fermentation broth would
spontaneously form two immiscible liquid phases that could subsequently be
decanted. Assuming current C(6) sugar prices and industrially achievable
biokinetic parameter values (e.g., product yield, specific growth rate),
commercial-scale bio-based styrene has a minimum estimated selling price (MESP)
of 1.90 USD kg(-1) which is in the range of current styrene prices. A Monte Carlo
analysis revealed a potentially large (0.45 USD kg(-1)) standard deviation in the
MESP, while a sensitivity analysis showed feedstock price and overall yield as
primary drivers of MESP.
PMID- 24939175
TI - Inactivation of the phosphoglucomutase gene pgm in Paenibacillus polymyxa leads
to overproduction of fusaricidin.
AB - Fusaricidin, a lipodepsipeptide isolated from Paenibacillus polymyxa, has high
antimicrobial activity against fungi and Gram-positive bacteria. Through
mutagenesis, we obtained two mutant strains, N1U7 and N17U7, which produce 6.2-
to 7.9-fold more fusaricidin than their parent strain. Causal mutations were
identified by whole-genome sequencing, and the two strains each contained at
least eleven point mutations, including four common mutations. A mutation in the
PPE04441 gene (pgm), encoding an alpha-phosphoglucomutase, was found to be an
important factor in fusaricidin overproduction by complementation experiments.
Null mutation of pgm in the parental strain increased fusaricidin production by
5.2-fold. Increased growth and cell viability in stationary phase, reduced
exopolysaccharide production, and increased fusA expression were observed in the
pgm mutant strains, which might be related to fusaricidin overproduction. This is
the first report revealing that PGM deficiency leads to an overproduction of
fusaricidin.
PMID- 24939176
TI - Definitive radiotherapy for early-stage hypopharyngeal squamous cell carcinoma.
AB - The present study analyzed the outcomes of patients with early-stage
hypopharyngeal squamous cell carcinoma (HPSCC) treated with radical radiotherapy
(RT) or concurrent chemoradiotherapy (CCRT). We retrospectively reviewed the
clinical records of 33 patients with early-stage HPSCC who underwent RT or CCRT
between January 1999 and December 2011. Of the 33 patients who were treated, 12
had Stage I and 21 had Stage II disease. Patients with Stage I were typically
treated with RT, while patients with Stage II were treated with CCRT (concurrent
chemotherapy: 5FU, cisplatin or TS-1). The median follow-up period was 81 months,
ranging from 15 to 155 months. The 5-year overall survival rates, cause specific
survival rates, locoregional control rates, and progression-free survival rates
were 58, 75, 56, and 49 %, respectively. Of the 33 patients, 51 % experienced
second primary malignancies. Esophageal carcinoma occurred in several cases, and
was diagnosed either during screening after treatment for the second primary
malignancy or simultaneously with the second primary malignancy. Advanced-stage
second malignancies significantly influenced the survival of the patients and the
control rate for HPSCC. Treatment emphasizing the quality of life after treatment
is needed, if a poor prognosis is expected because of advanced-stage second
primary malignancy.
PMID- 24939177
TI - Multidimensional scale of perceived social support in patients with obstructive
sleep apnea syndrome.
AB - Social support is a very important aspect of debilitating diseases. Obstructive
sleep apnea syndrome (OSAS) is a common disabling disease that impairs a
patient's quality of life and affects a patient's environment. The
Multidimensional Scale of Perceived Social Support (MSPSS) is used to measure the
perception of the sufficiency of social support for individuals. The aim of this
study is to evaluate the relationship of the MSPSS to the Apnea-Hypopnea Index
(AHI), age and gender in patients with OSAS. In this study, 183 subjects with a
diagnosis of OSAS were enrolled. Patients were evaluated with the MSPSS in terms
of age, gender and polysomnography results. According to the results of this
study, the MSPSS has found to be higher in men than in women with OSAS and higher
in moderate-severe OSAS than in mild OSAS. The MSPSS can be an important
indicator of how patients cope with the disease.
PMID- 24939179
TI - Patients should have right to interact with their electronic records, report
says.
PMID- 24939178
TI - Regulation of SOD2 and beta-arrestin1 by interleukin-6 contributes to the
increase of IGF-1R expression in docetaxel resistant prostate cancer cells.
AB - Although several mechanisms behind resistance to docetaxel in castration
refractory prostate cancer (CRPC) have been investigated, molecular determinants
of evolved resistance are still not entirely understood. Proteomics-based
analysis in this study revealed that SOD2, associated with downregulation of
reactive oxygen species (ROS), was significantly up-regulated in docetaxel
resistant (PC3/Doc) cells if compared to sensitive cells, and the expression of
redox-regulated genes such as IGF-1R, CXCR4, and BCL2 was increased as well.
Forced expression of SOD2 in sensitive cells led to the increase of IGF-1R and
association with drug resistance, whereas silencing of SOD2 resulted in the
decrease of IGF-1R at the protein level in resistant cells. Further study
revealed that SOD2 acted as a negative regulator of beta-arrestin1 that is an
important adaptor responsible for degradation of IGF-1R via the changes in ROS,
as evidenced by observations that an antioxidant agent substantially attenuated
beta-arrestin1 expression in vitro and in vivo. Finally, we found that blocking
of IL6 that was up-regulated in resistant cells resulted in attenuation of SOD2
and STAT3, and simultaneously in increased expression of beta-arrestin1. The
modulation consequently led to the decreased IGF-1R at both protein and
transcription levels. Together, our data provide a novel explanation that high
level of IL6 stimulated SOD2 expression that, at least partially, contributed to
the low level of ROS that would likely result in a sustained increase in the
expression of IGF-1R through abolishment of beta-arrestin1 in docetaxel resistant
cells.
PMID- 24939180
TI - Balancing incentives and professionalism in health care payment reform.
PMID- 24939181
TI - Cancer clinical research: return on investment in the era of value-based
purchasing.
PMID- 24939182
TI - The genetics of immunity.
AB - In this commentary, Brian P. Lazzaro and David S. Schneider examine the topic of
the Genetics of Immunity as explored in this month's issues of GENETICS and G3:
Genes|Genomes|Genetics. These inaugural articles are part of a joint Genetics of
Immunity collection (ongoing) in the GSA journals.
PMID- 24939183
TI - The genetics of sex: exploring differences.
AB - In this commentary, Michelle Arbeitman et al., examine the topic of the Genetics
of Sex as explored in this month's issues of GENETICS and G3:
Genes|Genomes|Genetics. These inaugural articles are part of a joint Genetics of
Sex collection (ongoing) in the GSA journals.
PMID- 24939184
TI - Self-incompatibility in Brassicaceae: identification and characterization of SRK
like sequences linked to the S-locus in the tribe Biscutelleae.
AB - Self-incompatibility (SI) is a genetic system that prevents self-fertilization in
many Angiosperms. Although plants from the Brassicaceae family present an
apparently unique SI system that is ancestral to the family, investigations at
the S-locus responsible for SI have been mostly limited to two distinct lineages
(Brassica and Arabidopsis-Capsella, respectively). Here, we investigated SI in a
third deep-branching lineage of Brassicaceae: the tribe Biscutelleae. By coupling
sequencing of the SI gene responsible for pollen recognition (SRK) with
phenotypic analyses based on controlled pollinations, we identified 20 SRK-like
sequences functionally linked to 13 S-haplotypes in 21 individuals of Biscutella
neustriaca and 220 seedlings. We found two genetic and phylogenetic features of
SI in Biscutelleae that depart from patterns observed in the reference
Arabidopsis clade: (1) SRK-like sequences cluster into two main phylogenetic
lineages interspersed within the many SRK lineages of Arabidopsis; and (2) some
SRK-like sequences are transmitted by linked pairs, suggesting local duplication
within the S-locus. Strikingly, these features also were observed in the Brassica
clade but probably evolved independently, as the two main SRK clusters in
Biscutella are distinct from those in Brassica. In the light of our results and
of what has been previously observed in other Brassicaceae, we discuss the
ecological and evolutionary implications on SI plant populations of the high
diversity and the complex dominance relationships we found at the S-locus in
Biscutelleae.
PMID- 24939185
TI - Transcriptomes and proteomes define gene expression progression in pre-meiotic
maize anthers.
AB - Plants lack a germ line; consequently, during reproduction adult somatic cells
within flowers must switch from mitotic proliferation to meiosis. In maize (Zea
mays L.) anthers, hypoxic conditions in the developing tassel trigger pre-meiotic
competence in the column of pluripotent progenitor cells in the center of anther
lobes, and within 24 hr these newly specified germinal cells have patterned their
surrounding neighbors to differentiate as the first somatic niche cells.
Transcriptomes were analyzed by microarray hybridization in carefully staged
whole anthers during initial specification events, after the separation of
germinal and somatic lineages, during the subsequent rapid mitotic proliferation
phase, and during final pre-meiotic germinal and somatic cell differentiation.
Maize anthers exhibit a highly complex transcriptome constituting nearly three
quarters of annotated maize genes, and expression patterns are dynamic. Laser
microdissection was applied to begin assigning transcripts to tissue and cell
types and for comparison to transcriptomes of mutants defective in cell fate
specification. Whole anther proteomes were analyzed at three developmental stages
by mass spectrometric peptide sequencing using size-fractionated proteins to
evaluate the timing of protein accumulation relative to transcript abundance. New
insights include early and sustained expression of meiosis-associated genes
(77.5% of well-annotated meiosis genes are constitutively active in 0.15 mm
anthers), an extremely large change in transcript abundances and types a few days
before meiosis (including a class of 1340 transcripts absent specifically at 0.4
mm), and the relative disparity between transcript abundance and protein
abundance at any one developmental stage (based on 1303 protein-to-transcript
comparisons).
PMID- 24939187
TI - Bacterial methionine biosynthesis.
AB - Methionine is essential in all organisms, as it is both a proteinogenic amino
acid and a component of the cofactor, S-adenosyl methionine. The metabolic
pathway for its biosynthesis has been extensively characterized in Escherichia
coli; however, it is becoming apparent that most bacterial species do not use the
E. coli pathway. Instead, studies on other organisms and genome sequencing data
are uncovering significant diversity in the enzymes and metabolic intermediates
that are used for methionine biosynthesis. This review summarizes the different
biochemical strategies that are employed in the three key steps for methionine
biosynthesis from homoserine (i.e. acylation, sulfurylation and methylation). A
survey is presented of the presence and absence of the various biosynthetic
enzymes in 1593 representative bacterial species, shedding light on the non
canonical nature of the E. coli pathway. This review also highlights ways in
which knowledge of methionine biosynthesis can be utilized for biotechnological
applications. Finally, gaps in the current understanding of bacterial methionine
biosynthesis are noted. For example, the paper discusses the presence of one gene
(metC) in a large number of species that appear to lack the gene encoding the
enzyme for the preceding step in the pathway (metB), as it is understood in E.
coli. Therefore, this review aims to move the focus away from E. coli, to better
reflect the true diversity of bacterial pathways for methionine biosynthesis.
PMID- 24939186
TI - Sex-determination system in the diploid yeast Zygosaccharomyces sapae.
AB - Sexual reproduction and breeding systems are driving forces for genetic
diversity. The mating-type (MAT) locus represents a mutation and chromosome
rearrangement hotspot in yeasts. Zygosaccharomyces rouxii complex yeasts are
naturally faced with hostile low water activity (aw) environments and are
characterized by gene copy number variation, genome instability, and
aneuploidy/allodiploidy. Here, we investigated sex-determination system in
Zygosaccharomyces sapae diploid strain ABT301(T), a member of the Z. rouxii
complex. We cloned three divergent mating type-like (MTL) alpha-idiomorph
sequences and designated them as ZsMTLalpha copies 1, 2, and 3. They encode
homologs of Z. rouxii CBS 732(T) MATalpha2 (amino acid sequence identities
spanning from 67.0 to 99.5%) and MATalpha1 (identity range 81.5-99.5%). ABT301(T)
possesses two divergent HO genes encoding distinct endonucleases 100% and 92.3%
identical to Z. rouxii HO. Cloning of MATA: -idiomorph resulted in a single
ZsMTLA: locus encoding two Z. rouxii-like proteins MATA: 1 and MATA: 2. To assign
the cloned ZsMTLalpha and ZsMTLA: idiomorphs as MAT, HML, and HMR cassettes, we
analyzed their flanking regions. Three ZsMTLalpha loci exhibited the DIC1-MAT
SLA2 gene order canonical for MAT expression loci. Furthermore, four putative HML
cassettes were identified, two containing the ZsMTLalpha copy 1 and the remaining
harboring ZsMTLalpha copies 2 and 3. Finally, the ZsMTLA: locus was 3'-flanked by
SLA2, suggesting the status of MAT expression locus. In conclusion, Z. sapae
ABT301(T) displays an aalphaalphaalpha genotype missing of the HMR silent
cassette. Our results demonstrated that mating-type switching is a hypermutagenic
process in Z. rouxii complex that generates genetic diversity de novo. This error
prone mechanism could be suitable to generate progenies more rapidly adaptable to
hostile environments.
PMID- 24939189
TI - Isolated superficial peroneal neuropathy: a rare presentation of Hansen's disease
(leprosy).
PMID- 24939188
TI - The rising prevalence of self-reported hypertension among Chinese subjects: a
population-based study from 121 895 household interviews.
AB - BACKGROUND: Hypertension is one of the most important public health issues
worldwide. AIM: We assessed the prevalence rates of self-reported hypertension in
Hong Kong between 2001 and 2008 and evaluated factors associated with its
prevalence. DESIGN: Serial cross-sectional surveys. METHODS: Territory-wide
Household surveys in the Hong Kong population in the years 2001, 2002, 2005 and
2008 were included. Population-adjusted prevalence of self-reported hypertension
were compared across calendar years. The independent association of these
variables with hypertension was evaluated by multivariate regression analysis
with self-reported hypertension as a binary outcome. RESULTS: There were 121 895
subjects interviewed, among which 103 367 were adults aged >=15 years. The age-
and gender-adjusted prevalence of self-reported hypertension in 2001, 2002, 2005
and 2008 among male adults was 4.73, 6.68, 7.96 and 9.82%, respectively, and
among female adults, the prevalence was 6.13, 8.28, 10.00 and 11.58%,
respectively. Advanced age (adjusted odds ratios [AOR]: 47.1, 95% CI 33.6-66.0
for the age group 40-65 years; AOR 256.2, 95% CI 182.4-360.0 for the age group 66
100 years; referent 0-39 years), female gender (AOR 0.81, 95% CI 0.74-0.88 for
male) and a low median household income (AOR 1.56, 95% CI 1.31-1.85 for income <=
US$1289; referent >= US$6444) were significantly associated with hypertension
(all P < 0.001). CONCLUSIONS: This is the first study to show differences between
socioeconomic groups in this Chinese population with higher rates among women and
the less affluent. Similarly, it is the first to show gender differences with
higher rates among women.
PMID- 24939190
TI - Diagnostic value of MUC1 and EpCAM mRNA as tumor markers in differentiating
benign from malignant pleural effusion.
AB - BACKGROUND: The sensitivity of conventional cytology for the detection of tumor
cells in pleural effusion (PE) is inadequate. Mucine 1 (MUC1) and epithelial cell
adhesion molecule (EpCAM) are two frequently and intensely expressed tumor
associated antigens in malignancies of epithelial origin. OBJECTIVE: To evaluate
the diagnostic value of pleural fluid MUC1 and EpCAM mRNA in differentiating
benign and malignant PE. METHOD: Fifty-eight patients with malignant PE and 40
patients with benign PE were included in this study. Pleural fluid MUC1 and EpCAM
mRNA levels were measured by quantitative real-time PCR. Carcinoembryonic antigen
(CEA) and cytokeratin 19 fragment (Cyfra21-1) were also detected simultaneously.
The receiver operating characteristic (ROC) curves were constructed to assess
diagnostic performance of the four tumor markers in PE. RESULTS: For the
diagnosis of malignant PE, MUC1 and EpCAM mRNA had larger area under ROC curves
(0.916 and 0.922) and higher sensitivity (67.2 and 70.7%) with the same
specificity, when compared with CEA and Cyfra21-1 (0.821 and 0.780; 48.3 and
44.8%, respectively). By combining cytology with MUC and EpCAM, a positive result
indicating the presence of malignancy was achieved in 87.9%, with a good
specificity of 95%. CONCLUSIONS: Compared with CEA and Cyfra21-1, the performance
of MUC1 and EpCAM mRNA in malignant PE diagnosis was better. MUC1 and EpCAM mRNA
in combination with cytology is a highly sensitive and specific diagnostic tool
for detecting malignancy in PE.
PMID- 24939191
TI - Taurolidine-citrate-heparin catheter lock solution reduces staphylococcal
bacteraemia rates in haemodialysis patients.
AB - BACKGROUND: Infection is second only to cardiovascular disease as a cause of
death in the haemodialysis (HD) population. AIM: : To assess the effect of
introducing catheter lock solution taurolidine-citrate-heparin to all tunnelled
central venous catheters (TCVCs) on staphylococcal bloodstream infection rates in
patients on chronic HD. DESIGN: Observational, prospective analysis of the
incidence rates of staphylococcal bacteraemic events in National Health Service
(NHS) Greater Glasgow & Clyde and NHS Forth Valley between April 2011 and June
2013, with taurolidine-citrate-heparin catheter lock solution introduced July
2012. METHODS: Data were collected each calendar quarter through a structured
query language interrogation of the renal unit electronic patient record, with
staphylococcal bacteraemic events expressed per 1000 vascular access exposed
days. Comparison between pre- and post-intervention periods was made by student's
t-testing. RESULTS: Two hundred and thirty-nine staphylococcal bacteraemic events
occurred over a total of 424,835 HD days in 565 patients; 81 events in 289,389
arterio-venous fistula or graft (AVF/AVG) HD days and 158 events in 135 446 TCVC
HD days. Following the introduction of taurolidine-citrate-heparin, bacteraemic
events in patients dialysing via a TCVC fell from 1.59/1000 HD days to 0.69/1000
HD days, P = 0.004. The staphylococcal bacteraemia rate in AVF/AVGs remained
unchanged; 0.30 vs. 0.26/1000 HD days, P = 0.52. CONCLUSIONS: Replacing heparin
5000 IU with Taurolidine-citrate-heparin as catheter lock solution was associated
with a statistically significant 56% reduction in staphylococcal bloodstream
infection rates in our TCVC HD population.
PMID- 24939192
TI - PET radioligands for in vivo visualization of neuroinflammation.
AB - Neuroinflammation is a well-orchestrated, dynamic, multicellular process playing
a major role in neurodegenerative disorders. The microglia which make up the
innate immune system of the central nervous system are key cellular mediators of
neuroinflammatory processes. In normal condition they exert a protective
function, providing tissue repair by releasing anti-inflammatory cytokines and
neurotrophic factors. Upon neuronal injury or infection, they become
overactivated, thereby releasing neurotoxic substances, amplifying
neuroinflammation leading to neurodegeneration. Positron emission tomography
(PET) provides a sensitive non-invasive imaging technique to study and quantify
receptor and enzyme expression. A radiolabeled tracer for a protein
(over)expressed in neuroinflammation and more specifically for the overactivated
microglia would be useful as a diagnostic tool in the follow-up of
neuroinflammation progression and to study the efficacy of anti-inflammatory
therapy over time. In this manuscript, an overview of potential PET tracer
targets upregulated during neuroinflammation is provided together with the
current radiotracers used to image these targets. In addition, lead structures to
develop radiotracers for new targets are suggested.
PMID- 24939194
TI - What's old is new.
PMID- 24939196
TI - Reasons for initial formula supplementation of healthy breastfeeding newborns.
AB - In a retrospective review of 302 hospital charts, 38 percent of newborns whose
mothers planned to exclusively breastfeed received formula before hospital
discharge. Those breastfeeding infants who did not receive formula were
significantly younger at first breastfeeding than infants who received formula.
Significantly more infants born by cesarean (51 percent) received supplementation
than those born vaginally (31 percent). Birth between 9 p.m. and 10 a.m. and a
hospital stay for more than 24 hours increased odds of supplementation. Nurses
can explore barriers to exclusive breastfeeding unique to their hospitals to
develop strategies that facilitate breastfeeding support and decrease formula
supplementation.
PMID- 24939193
TI - 'RetinoGenetics': a comprehensive mutation database for genes related to
inherited retinal degeneration.
AB - Inherited retinal degeneration (IRD), a leading cause of human blindness
worldwide, is exceptionally heterogeneous with clinical heterogeneity and genetic
variety. During the past decades, tremendous efforts have been made to explore
the complex heterogeneity, and massive mutations have been identified in
different genes underlying IRD with the significant advancement of sequencing
technology. In this study, we developed a comprehensive database,
'RetinoGenetics', which contains informative knowledge about all known IRD
related genes and mutations for IRD. 'RetinoGenetics' currently contains 4270
mutations in 186 genes, with detailed information associated with 164 phenotypes
from 934 publications and various types of functional annotations. Then extensive
annotations were performed to each gene using various resources, including Gene
Ontology, KEGG pathways, protein-protein interaction, mutational annotations and
gene-disease network. Furthermore, by using the search functions, convenient
browsing ways and intuitive graphical displays, 'RetinoGenetics' could serve as a
valuable resource for unveiling the genetic basis of IRD. Taken together,
'RetinoGenetics' is an integrative, informative and updatable resource for IRD
related genetic predispositions. Database URL: http://www.retinogenetics.org/.
PMID- 24939197
TI - A cocooning project to protect newborns from pertussis.
AB - The Pertussis Cocooning Project was created through a collaborative effort by a
health care organization and a State Department for Public Health to decrease
community pertussis rates and protect infants from the deadly effects of
pertussis. Free pertussis immunizations are provided to all mothers who give
birth at the health care organization and to all infants' family members and
caregivers older than 18 years.
PMID- 24939198
TI - Developing a hand-held Pap test card to improve cervical cancer screening.
AB - We identified an educational deficit among clients at a community health clinic
regarding the latest cervical cancer screening recommendations. A literature
search on Pap testing and problems with compliance or screening indicated
multiple barriers to cervical cancer screening. Education, health promotion and
the use of a hand-held health card/record were identified as methods to educate
women regarding cervical cancer prevention. We developed a hand-held Pap test
card to be similar to an immunization card. The card was designed to fulfill the
needs of both clients and practitioners.
PMID- 24939199
TI - Implications of psychoactive 'bath salts' use during pregnancy.
AB - Psychoactive bath salts (PABS) comprise a group of highly dangerous designer
drugs showing a sharp escalation in reported U.S. exposures from 2010 through
2012, following rapid spread of the drug in Europe. Since a federal ban on the
major ingredients in October 2011, numbers have declined. However, evidence from
the United Kingdom shows an initial decline after the UK ban in 2010 with a 400
percent increase in reports by 2012. Actual information about the effect of PABS
use on pregnant women and fetuses is almost nonexistent. Clinicians should be
aware of the potential maternal, fetal and neonatal effects of PABS.
PMID- 24939200
TI - The role of the nurse-physician leadership dyad in implementing the Baby-Friendly
Hospital Initiative.
AB - The concept of the nurse-physician leadership dyad incorporates the expertise of
both nurses and physicians as leaders of change within health system
environments. The leadership dyad model has been used traditionally in health
care administrative settings to manage utilization of resources more effectively.
Because the Baby-Friendly designation requires major cultural shifts in long
standing maternity care practices, an interdisciplinary approach to
implementation is necessary.
PMID- 24939201
TI - Treatment of dyspareunia secondary to vulvovaginal atrophy.
AB - Declining estrogen levels associated with menopause can result in vulvovaginal
atrophy and some degree of dyspareunia for more than half of all women in
menopause. In 2013, the U.S. Food and Drug Administration approved ospemifene, a
nonhormonal oral medication for the treatment of dyspareunia in menopause. This
article will provide an overview of ospemifene and its indications, side effects
and implications for nurses.
PMID- 24939203
TI - Examining nurses' work environments to improve safety.
PMID- 24939202
TI - Clinical indications associated with primary cesarean birth.
AB - Cesarean birth is the most common surgical procedure in the United States and is
associated with increased morbidity and mortality when compared to vaginal birth.
Of the more than 4 million births a year, one in three is now a cesarean. A
better understanding of the clinical indications contributing to the current
prevalence in primary cesarean rates can inform prevention strategies. This
column takes a second look at two recent studies in which researchers evaluated
the clinical indications associated with primary cesarean birth rates.
PMID- 24939205
TI - A nurse's sixth sense.
PMID- 24939206
TI - Understanding the views of those who care for patients with cancer on advance
care planning and end-of-life care.
AB - An electronic survey was used to assess the views of a diverse nationwide cohort
of health care professionals regarding advance care planning and end-of-life
care. A total of 645 responses were received. If diagnosed with a serious
incurable illness with limited life expectancy, 97% would want to discuss their
prognosis, 74% would refuse cardiopulmonary resuscitation, and 72% favored
supportive/comfort care to more aggressive life-prolonging treatments. However,
prognosis was thought to be discussed with only 52% of such patients, and just 5%
thought doctors were either very or extremely successful at explaining advanced
life-sustaining treatments to patients. Greater than 90% believed these
discussions should best occur when a patient is thought to have one or more years
to live and 80% thought they are best initiated in the outpatient setting.
PMID- 24939207
TI - Attitudes Toward and Experiences in End-of-life Care Education in the Intensive
Care Unit: A Survey of Resident Physicians.
AB - INTRODUCTION: Resident physicians provide the most physician care to intensive
care unit (ICU) patients. The body of literature about residents' palliative and
end-of-life care (PC/EOLC) experiences in the ICU is limited. To our knowledge,
this is the first study to assess resident physicians in multiple specialties
regarding PC/EOLC in the ICU. METHODS: A Web-based survey was developed and
administered to all resident physicians in a single academic institution who had
completed at least 1 dedicated ICU rotation. RESULTS: Residents reported moderate
comfort in dealing with end-of-life (EOL) issues and felt somewhat prepared to
care for critically ill patients at the EOL. Feedback should be provided to
residents regarding their PC/EOLC skills, and education should be tailored to
residents rotating in the ICU.
PMID- 24939208
TI - Prior Study of Cross-Cultural Validation of McGill Quality-of-Life Questionnaire
in Mainland Mandarin Chinese Patients With Cancer.
AB - The validation of McGill quality-of-life questionnaire (MQOLQ) in mainland China,
which had already been used in multicultural palliative care background including
Hong Kong and Taiwan, remained unknown. Eligible patients completed the
translated Chinese version of McGill questionnaires (MQOL-C), which had been
examined before the study. Construct validity was preliminarily assessed through
exploratory factor analysis extracting 4 factors that construct a new hypothesis
model and then the original model was proved to be better confirmed by
confirmatory factor analysis. Internal consistency of all the subscales was
within 0.582 to 0.917. Furthermore, test-retest reliability ranged from 0.509 to
0.859, which was determined by Spearman rank correlation coefficient. Face
validation and feasibility also confirm the good validity of MQOL-C. The MQOL-C
has satisfied validation in mainland Chinese patients with cancer, although
cultural difference should be considered while using it.
PMID- 24939209
TI - Wishes of Patients With Terminal Cancer and Influencing Factors Toward the Use of
Antibiotics in Taiwan.
AB - CONTEXT: The use of antibiotics is a common ethical dilemma in palliative care,
thus identifying the concerns of patients with terminal cancer and respecting
their wishes are important in making an ethically justified decision. OBJECTIVES:
The aim of this study was to understand wishes of patients with terminal cancer
and determine influencing factors toward the use of antibiotics. METHODS: Two
hundred and one patients with terminal cancer, admitted to a palliative care unit
in Taiwan, completed a structured questionnaire interview, including demographic
characteristics, knowledge and attitudes on antibiotics, the health locus of
control, subjective norms, and the wishes to use antibiotics. RESULTS: The most
common misconception was "Antibiotics use is helpful to all terminal patients
with infection," which only 13.4% respondents disagreed. Of the 201patients, 92
(45.8%) expressed their wishes to use antibiotics even in the very terminal
stage, and around one-fourth (26.4%) of patients wished not to use antibiotics,
the remaining 27.8% were unclear. The most influential persons were medical
professionals. The results of logistic regression analysis that showed
familiarity with antibiotics, subjective norms, and the attitude toward burdens
of antibiotics were the most significant predicting variables for those wishing
to use antibiotics (odds ratio [OR] = 4.133, 95% confidence interval [CI] = 1.012
16.880; OR = 1.890, 95% CI = 1.077-3.317; and OR = 1.255, 95% CI = 1.047-1.503).
CONCLUSION: This study indicated the responsibility of medical professionals to
convey burdens of using antibiotics to patients and family. Otherwise, by
enhancing professional training and performing effective communication with
patients, we can reach a more appropriate decision in the use of antibiotics.
PMID- 24939211
TI - Tailoring the properties of quadruplex nucleobases for biological and
nanomaterial applications.
AB - Guanine DNA quadruplexes are interesting and important biological objects because
they represent potential targets for regulatory drugs. Their use as building
blocks for biomaterial applications is also being investigated. This contribution
reports the in silico design of artificial building blocks derived from xanthine.
Methods of quantum chemistry were used to evaluate the properties of xanthine
structures relative to those containing guanine, the natural reference used.
Tailoring the xanthine core showed that the base stacking and the ion
coordination were significantly enhanced in the designed systems, while the ion
transport properties were not affected. Our study suggests that the 9-deaza-8
haloxanthine bases (where the halogen is fluorine or chlorine) are highly
promising candidates for the development of artificial quadruplexes and
quadruplex-active ligands.
PMID- 24939210
TI - Musculoskeletal pain in Arctic indigenous and non-indigenous adolescents,
prevalence and associations with psychosocial factors: a population-based study.
AB - BACKGROUND: Pain is common in otherwise healthy adolescents. In recent years
widespread musculoskeletal pain, in contrast to single site pain, and associating
factors has been emphasized. Musculoskeletal pain has not been examined in Arctic
indigenous adolescents. The aim of this study was to explore the prevalence of
widespread musculoskeletal pain and its association with psychosocial factors,
with emphasis on gender- and ethnic differences (Sami vs. non-Sami), and the
influence of pain related functional impairment. METHODS: This is a cross
sectional study based on The Norwegian Arctic Adolescent Health Study; a school
based survey responded by 4,881 10th grade students (RR: 83%) in North Norway, in
2003-2005. 10% were indigenous Sami. Musculoskeletal pain was based on reported
pain in the head, shoulder/neck, back and/or arm/knee/leg, measured by the number
of pain sites. Linear multiple regression was used for the multivariable
analyses. RESULTS: The prevalence of musculoskeletal pain was high, and
significantly higher in females. In total, 22.4% reported 3-4 pain sites. We
found a strong association between musculoskeletal pain sites and psychosocial
problems, with a higher explained variance in those reporting pain related
functional impairment and in females. There were no major differences in the
prevalence of musculoskeletal pain in Sami and non-Sami, however the associating
factors differed somewhat between the indigenous and non-indigenous group. The
final multivariable model, for the total sample, explained 21.2% of the variance
of musculoskeletal pain. Anxiety/depression symptoms was the dominant factor
associated with musculoskeletal pain followed by negative life events and school
related stress. CONCLUSIONS: Anxiety/depression, negative life events, and school
related stress were the most important factors associated with musculoskeletal
pain, especially in those reporting pain related functional impairment. The most
important sociocultural aspect is the finding that the indigenous Sami are not
worse off.
PMID- 24939212
TI - Phase II trial of vatalanib in patients with advanced or metastatic pancreatic
adenocarcinoma after first-line gemcitabine therapy (PCRT O4-001).
AB - PURPOSE: Vatalanib (PTK 787/ZK22584) is an oral poly-tyrosine kinase inhibitor
with strong affinity for platelet-derived growth factor and vascular endothelial
growth factor (VEGF) receptors. We conducted an open-label, phase II multicenter
therapeutic trial investigating the efficacy and tolerability of vatalanib in
patients with metastatic or advanced pancreatic cancer who failed first-line
gemcitabine-based therapy. METHODS: Vatalanib treatment consisted of a twice
daily oral dosing using a "ramp-up schedule," beginning with 250 mg bid during
week 1,500 mg bid during week 2, and 750 mg bid on week three and thereafter. The
primary objective of this study was to evaluate the 6-month survival rate.
RESULTS: Sixty-seven patients were enrolled. The median age was 64, and 66% (N =
43) had only one prior regimen. Common grade 3/4 adverse events included
hypertension (20%; N = 13), fatigue (17%; N = 11), abdominal pain (17%; N = 11),
and elevated alkaline phosphatase (15%; N = 10). Among the 65 evaluable patients,
the 6-month survival rate was 29% (95% CI 18-41%) and the median progression-free
survival was 2 months. Fifteen patients survived 6 months or more. Two patients
had objective partial responses, and 28% of patients had stable disease. Changes
in biomarkers including soluble VEGF and vascular endothelial growth factor
receptor did not correlate with response to drug. CONCLUSION: Vatalanib was well
tolerated as a second-line therapy and resulted in favorable 6-month survival
rate in patients with metastatic pancreatic cancer, compared with historic
controls.
PMID- 24939213
TI - Population pharmacokinetics of trastuzumab emtansine (T-DM1), a HER2-targeted
antibody-drug conjugate, in patients with HER2-positive metastatic breast cancer:
clinical implications of the effect of covariates.
AB - PURPOSE: Trastuzumab emtansine (T-DM1) is an antibody-drug conjugate comprising
the humanized monoclonal antibody trastuzumab linked to DM1, a highly potent
cytotoxic agent. A population pharmacokinetic (PK) analysis was performed to
estimate typical values and interindividual variability of T-DM1 PK parameters
and the effects of clinically relevant covariates. METHODS: Serum samples were
collected from 671 patients with human epidermal growth factor receptor 2
positive locally advanced or metastatic breast cancer (MBC) who received single
agent T-DM1 in five phase I to phase III studies. Nonlinear mixed-effects
modeling with the first-order conditional estimation method was used. RESULTS: A
linear two-compartment model with first-order elimination from the central
compartment described T-DM1 PKs in the clinical dose range. T-DM1 elimination
clearance was 0.676 L/day, volume of distribution in the central compartment (V
c) was 3.127 L, and terminal elimination half-life was 3.94 days. Age, race,
region, and renal function did not influence T-DM1 PK. Given the low-to-moderate
effect of all statistically significant covariates on T-DM1 exposure, none of
these covariates is expected to result in a clinically meaningful change in T-DM1
exposure. CONCLUSIONS: T-DM1 PK properties are consistent and predictable in
patients. A further refinement of dose based on baseline covariates other than
body weight for the current 3.6 mg/kg regimen would not yield clinically
meaningful reductions in interindividual PK variability in patients with MBC.
PMID- 24939214
TI - Hypothyroidism as a potential biomarker of efficacy of famitinib, a novel VEGFR-2
inhibitor in metastatic breast cancer.
AB - PURPOSE: Hypothyroidism is a common adverse event in patients treated with anti
VEGFR-2 targeting agents and may be a valuable predictive factor of efficacy.
Famitinib is an inhibitor of multiple tyrosine kinases mainly targeting VEGFR-2.
The objectives of this study were to assess the efficacy and safety of famitinib
in patients with pretreated HER2-negative metastatic breast cancer (MBC) and to
explore potential of famitinib-induced hypothyroidism and serum vascular
endothelial growth factor (VEGF) level for efficacy prediction. MATERIALS AND
METHODS: The primary end point was objective response rate (ORR). Famitinib was
administered 25 mg/d. Thyroid function assessments were done at baseline and then
every 4 weeks. Plasma levels of VEGF were determined at baseline and 2 cycles
after treatment. RESULTS: A total of 28 patients were enrolled. ORR was 14.3%.
The most common grade 3/4 AEs were hand-foot syndrome (25.0%), proteinuria
(21.4%) and hypertension (17.9%). 64.0% patients were observed with elevated
thyroid-stimulating hormone (TSH) (>4.94 mIU/L) at any time during the entire
treatment period. Sixteen patients with an elevated TSH had a significantly
longer PFS than nine patients with no TSH elevation (107 vs. 53 days,
respectively, P = 0.002). TSH elevation was also an independent predictor of PFS
in a Cox regression model. Plasma VEGF levels did not correlate significantly
with clinical outcomes. CONCLUSIONS: Famitinib did show substantial anti-tumor
activities with a good safety profile in heavily pretreated patients with HER2
negative MBC. Famitinib-related TSH increase may be an early indicator of its
efficacy. Serial monitoring of serum TSH may help define VEGFR-2-dependent or
VEGFR-2-independent drug resistance.
PMID- 24939215
TI - Tailoring the GVHD prophylaxis regimen according to transplantation associated
toxicities-Substituting the 3rd dose of methotrexate to mycophenolate mofetil.
AB - We hypothesized that in patients with early post allogeneic transplantation
toxicities, the omission of the 3rd dose of methotrexate with concomitant
starting of MMF would favorably affect complications. We found a higher incidence
of grade 2-4 acute GVHD in patients given two doses methotrexate and MMF (n=31)
compared to those given three courses of methotrexate (n=70) (p=.004), while
grade 3-4 was similar. Other transplantation outcomes, including overall regimen
related-toxicity, were comparable. We conclude that tailoring the GVHD
prophylaxis regimen may decrease the early post transplantation complications,
however this come at the extent of a higher incidence of non-severe acute GVHD.
PMID- 24939217
TI - Clinically silent clonal cytogenetic abnormalities arising in patients treated
for lymphoid neoplasms.
AB - Newly emerged clonal cytogenetic abnormalities (CCA) in patients with prior
cytotoxic therapy are highly concerning for therapy-related myeloid neoplasms (t
MN). In some patients, however, CCA appeared to be clinically "silent". In this
study, we describe 25 patients who developed CCA after they received cytotoxic
therapies for lymphoid neoplasms but never developed t-MN. These clinically
"silent" CCA were always present as single abnormalities, often detected in a
small subset of cells, and tended to disappear over time. We conclude that the
occurrence of CCA is not always associated with t-MN. Clinical correlation is
essential to guide a proper management of these patients.
PMID- 24939216
TI - Rapamycin inhibits pre-B acute lymphoblastic leukemia cells by downregulating DNA
and RNA polymerases.
AB - Rapamycin has been shown to inhibit the growth of leukemic cells via an unknown
mechanism. In our current study, we show that rapamycin activates autophagy in
pediatric t(1;19) pre-B acute lymphoblastic leukemia (pre-B ALL) cells and
thereby inhibits proliferation and induces growth arrest in these cells.
Rapamycin was found to downregulate an extensive array of positive cell cycle
regulators, reduce the total DNA and RNA levels, and specifically downregulate
the gene transcription of DNA pol delta1 and RNA pol II. Furthermore, we show
that both rapamycin and starvation caused a downregulation of the DNA pol delta1
and RNA pol II proteins which was reversed by the autophagy inhibitor 3-MA.
Consistent with the results of our autophagic flux analysis, confocal microscopy
indicated that both rapamycin and starvation cause the colocalization of DNA pol
delta1 and RNA pol II with GFP-LC3 at autophagosomes. This colocalization was
blocked by the autophagy inhibitor bafilomycin A1 which inhibits the fusion
between autophagosomes and lysosomes. These data suggest that rapamycin inhibits
the growth of pediatric t(1;19) pre-B ALL cells through both transcriptional
inhibition and autophagic degradation of DNA pol delta1 and RNA pol II.
PMID- 24939218
TI - Carfilzomib promotes the osteogenic differentiation potential of mesenchymal stem
cells derived from myeloma patients by inhibiting notch1 activity in vitro.
AB - Notch1 signaling plays a key role in the differentiation of mesenchymal stem
cells (MSCs). Carfilzomib (CFZ), a second-generation proteasome inhibitor, has
potent cytotoxicity against myeloma cells. In this study, we investigated the
effects of CFZ on the osteogenic differentiation potential of MSCs derived from
myeloma patients (MM-MSCs) in vitro. MM-MSCs showed decreased osteogenic
differentiation ability, together with an impairment of notch1 deactivation. The
notch1 inhibitor DAPT and the downregulation of notch1 by shRNA promoted
osteogenesis in MM-MSCs. Additionally, CFZ treatment resulted in notch1
inhibition and enhanced osteogenesis in MM-MSCs. These findings suggest that CFZ
stimulates osteogenesis via notch1 inhibition.
PMID- 24939219
TI - Most patients with atrial fibrillation need anticoagulants, NICE says.
PMID- 24939220
TI - Stenotrophomonas comparative genomics reveals genes and functions that
differentiate beneficial and pathogenic bacteria.
AB - BACKGROUND: In recent years, the number of human infections caused by
opportunistic pathogens has increased dramatically. Plant rhizospheres are one of
the most typical natural reservoirs for these pathogens but they also represent a
great source for beneficial microbes with potential for biotechnological
applications. However, understanding the natural variation and possible
differences between pathogens and beneficials is the main challenge in furthering
these possibilities. The genus Stenotrophomonas contains representatives found to
be associated with human and plant host. RESULTS: We used comparative genomics as
well as transcriptomic and physiological approaches to detect significant borders
between the Stenotrophomonas strains: the multi-drug resistant pathogenic S.
maltophilia and the plant-associated strains S. maltophilia R551-3 and S.
rhizophila DSM14405T (both are biocontrol agents). We found an overall high
degree of sequence similarity between the genomes of all three strains. Despite
the notable similarity in potential factors responsible for host invasion and
antibiotic resistance, other factors including several crucial virulence factors
and heat shock proteins were absent in the plant-associated DSM14405T. Instead,
S. rhizophila DSM14405T possessed unique genes for the synthesis and transport of
the plant-protective spermidine, plant cell-wall degrading enzymes, and high
salinity tolerance. Moreover, the presence or absence of bacterial growth at 37
degrees C was identified as a very simple method in differentiating between
pathogenic and non-pathogenic isolates. DSM14405T is not able to grow at this
human-relevant temperature, most likely in great part due to the absence of heat
shock genes and perhaps also because of the up-regulation at increased
temperatures of several genes involved in a suicide mechanism. CONCLUSIONS: While
this study is important for understanding the mechanisms behind the emerging
pattern of infectious diseases, it is, to our knowledge, the first of its kind to
assess the risk of beneficial strains for biotechnological applications. We
identified certain traits typical of pathogens such as growth at the human body
temperature together with the production of heat shock proteins as opposed to a
temperature-regulated suicide system that is harnessed by beneficials.
PMID- 24939222
TI - Transition of the NSW Public Health Bulletin to Public Health Research &
Practice.
PMID- 24939221
TI - The significance of Notch ligand expression in the peripheral blood of children
with hand, foot and mouth disease (HFMD).
AB - BACKGROUND: Hand, foot and mouth disease (HFMD), a virus-induced infectious
disease that usually affects infants and children, has an increased incidence in
China in recent years. This study attempted to investigate the role of the Notch
signaling pathway in the pathogenesis of HFMD. METHODS: Eighty-two children
diagnosed with HFMD were enrolled into this study. The HFMD group was further
divided into the uncomplicated HFMD and HFMD with encephalitis groups. The
control group included 40 children who underwent elective surgery for treatment
of inguinal hernias. RESULTS: Children with HFMD displayed significantly reduced
CD3+, CD3+CD4+ and CD3+CD8+ cell subsets, but substantially enhanced CD3-CD19+
cell subset (p<0.05 versus control subjects). The expression levels of Notch
ligands Dll1 and Dll4 in the peripheral blood of the HFMD group were
significantly higher than those in the control group (p<0.05). There were
statistically significant differences in CD3+, CD3+CD4+ and CD3-CD19+ cell
subsets, but not in Notch ligand expression, between the uncomplicated HFMD and
HFMD with encephalitis groups. Dll4 expression in HFMD subjects correlated
negatively with the CD3+ and CD3+CD8+ cell subsets (p<0.05), but positively with
the CD3-CD19+ cell subset (p<0.05). Furthermore, Dll4 expression in HFMD with
encephalitis subjects correlated positively with total white blood cell (WBC)
counts and total protein contents in cerebrospinal fluid (CSF) (p<0.05).
CONCLUSIONS: The Notch ligand Dll4 exhibits a strong correlation with the CD3+,
CD3+CD8+ and CD3-CD19+ cell subsets in children with HFMD, indicating that the
Notch signaling may be involved in the development of HFMD by affecting the
number and status of peripheral lymphocytes.
PMID- 24939223
TI - Reflections on 24 years of the NSW Public Health Bulletin.
PMID- 24939224
TI - Reporting postpartum haemorrhage with transfusion: a comparison of NSW birth and
hospital data.
AB - AIM: Postpartum haemorrhage rates have been increasing in NSW and
internationally, and blood transfusion is required in severe cases. Using
routinely collected administrative data provides a convenient method with which
to monitor trends in both postpartum haemorrhage and associated transfusion use.
In order for this to be feasible however, the reliability of reporting of the
conditions needs to be assessed. METHODS: This study used linked data to compare
the reporting of postpartum haemorrhage with transfusion as reported in the NSW
Admitted Patient Data Collection (hospital data), with the same information
obtained from the Perinatal Data Collection (birth data), for births in NSW from
2007 to 2010. RESULTS: The rate of postpartum haemorrhage requiring blood
transfusion was 1.0% based on the hospital data and 1.1% based on the birth data,
with a rate of 1.7% if identifying cases from either source. Agreement between
the two sources improved from fair to moderate over the time period. CONCLUSION:
Postpartum haemorrhage requiring transfusion recorded in the birth data shows
only moderate agreement with hospital data, so caution is recommended when using
this variable for analysis. Linkage of both datasets is recommended to identify
birth information from birth data and postpartum haemorrhage with transfusion
from hospital data until further validation work has been undertaken.
PMID- 24939225
TI - Timeliness of Salmonella Typhimurium notifications after the introduction of
routine MLVA typing in NSW.
AB - Salmonella Typhimurium is the most common bacterial cause of gastrointestinal
disease in NSW. Regular review of surveillance procedures ensures system
objectives are met and informs improvements in system utility and efficiency.
This paper assesses the timeliness and data completeness of NSW Salmonella
Typhimurium surveillance after the routine introduction of multilocus variable
repeat analysis (MLVA), a rapid sub-typing technique. MLVA results were available
significantly earlier than alternate sub-typing techniques over the 2 years of
this review. Accordingly, from a timeliness perspective, MLVA offers a favourable
Salmonella Typhimurium sub-typing option in NSW. Further opportunities to improve
timeliness and data completeness are identified. This paper was produced as part
of a review of Salmonella Typhimurium surveillance in NSW for the period 2008
2009 by members of OzFoodNet based at Hunter New England Population Health.
OzFoodNet is a national network established by the then Commonwealth Department
of Health and Ageing in 2000 to enhance foodborne disease surveillance in
Australia.
PMID- 24939226
TI - Public and private dental services in NSW: a geographic information system
analysis of access to care for 7 million Australians.
AB - AIM: To investigate the distribution of public and private dental practices in
NSW in relation to population distribution and socioeconomic status. METHODS:
Dental practices (public and private) were mapped and overlayed with Census data
on Collection District population and Socio-Economic Indexes for Areas (SEIFA).
RESULTS: Overall, there was an uneven geographic distribution of public and
private dental practices across NSW. When the geographic distribution was
compared to population socioeconomics it was found that in rural NSW, 12% of the
most disadvantaged residents lived further than 50km from a public dental
practice, compared to 0% of the least disadvantaged. In Sydney, 9% of the three
most disadvantaged groups lived greater than 7.5km from a public dental practice,
compared to 21% of the three least disadvantaged groups. CONCLUSION: The findings
of this study can contribute to informing decisions to determine future areas for
focus of dental resource development (infrastructure and workforce) and
identifying subgroups in the population (who are geographically isolated from
accessing care) where public health initiatives focused on amelioration of
disease consequences should be a focus.
PMID- 24939227
TI - Infectious diseases in returned travellers, NSW, 2010-2011.
AB - AIM: To quantify the proportion of selected notified diseases in NSW attributable
to overseas travel and assess the quality of data on travel-associated risk
factors, to inform prevention strategies. METHODS: 2010 and 2011 notification
data for dengue, hepatitis A, hepatitis E, malaria, paratyphoid fever,
shigellosis and typhoid fever were extracted from the NSW Notifiable Conditions
Information Management System and analysed for travel-associated risk factors.
RESULTS: Where place of acquisition was known, the proportion of cases for whom
the disease was acquired overseas ranged from 48.7% for shigellosis to 100% for
hepatitis E, malaria and typhoid. Over half of hepatitis A (53.3%), hepatitis E
(74.2%), malaria (54.5%), paratyphoid (53.3%) and typhoid (65.7%) cases were
associated with travel to the person's country of birth. Hepatitis A vaccination
rates were significantly lower among overseas-acquired than locally-acquired
cases (4.8% vs 22.2%, Chi(2)=6.58, p<0.02). CONCLUSION: A large proportion of
selected enteric and vectorborne disease case notifications were associated with
overseas travel. All potential travellers should be made aware of the risks and
available preventive measures, such as vaccination against hepatitis A and
typhoid fever, taking precautions with food and water and use of malaria
chemoprophylaxis, where appropriate. Improvements in data on risk factors, reason
for travel and barriers to the use of preventive measures would better inform
prevention strategies.
PMID- 24939230
TI - Communicable Diseases Report, NSW, July-September 2013.
PMID- 24939233
TI - All-optical regulation of gene expression in targeted cells.
AB - Controllable gene expression is always a challenge and of great significance to
biomedical research and clinical applications. Recently, various approaches based
on extra-engineered light-sensitive proteins have been developed to provide
optogenetic actuators for gene expression. Complicated biomedical techniques
including exogenous genes engineering, transfection, and material delivery are
needed. Here we present an all-optical method to regulate gene expression in
targeted cells. Intrinsic or exogenous genes can be activated by a Ca(2+)
sensitive transcription factor nuclear factor of activated T cells (NFAT) driven
by a short flash of femtosecond-laser irradiation. When applied to mesenchymal
stem cells, expression of a differentiation regulator Osterix can be activated by
this method to potentially induce differentiation of them. A laser-induced
"Ca(2+)-comb" (LiCCo) by multi-time laser exposure is further developed to
enhance gene expression efficiency. This noninvasive method hence provides an
encouraging advance of gene expression regulation, with promising potential of
applying in cell biology and stem-cell science.
PMID- 24939235
TI - The influence of cueing on attentional focus in perceptual decision making.
AB - Selective attention has been known to play an important role in decision making.
In the present study, we combined a cueing paradigm with a redundant-target
detection task to examine how attention affects the decision process when
detecting the redundant targets. Cue validity was manipulated in two experiments.
The results showed that when the cue was 50 % valid in one experiment, the
participants adopted a parallel self-terminating processing strategy, indicative
of a diffuse attentional focus on both target locations. When the cue was 100 %
valid in the second experiment, all of the participants switched to a serial self
terminating processing strategy, which in our study indicated focused attention
to a single target location. This study demonstrates the flexibility of the
decision mechanism and highlights the importance of top-down control in selecting
a decision strategy.
PMID- 24939234
TI - Generating a taxonomy of spatially cued attention for visual discrimination:
effects of judgment precision and set size on attention.
AB - Attention precues improve the performance of perceptual tasks in many but not all
circumstances. These spatial attention effects may depend upon display set size
or workload, and have been variously attributed to external noise filtering,
stimulus enhancement, contrast gain, or response gain, or to uncertainty or other
decision effects. In this study, we document systematically different effects of
spatial attention in low- and high-precision judgments, with and without external
noise, and in different set sizes in order to contribute to the development of a
taxonomy of spatial attention. An elaborated perceptual template model (ePTM)
provides an integrated account of a complex set of effects of spatial attention
with just two attention factors: a set-size dependent exclusion or filtering of
external noise and a narrowing of the perceptual template to focus on the signal
stimulus. These results are related to the previous literature by classifying the
judgment precision and presence of external noise masks in those experiments,
suggesting a taxonomy of spatially cued attention in discrimination accuracy.
PMID- 24939236
TI - Effects of spatial configurations on the resolution of spatial working memory.
AB - Recent research demonstrated that people represent spatial information
configurally and preservation of configural cues at retrieval helps memory for
spatial locations (Boduroglu & Shah, Memory & Cognition, 37(8), 1120-1131 2009;
Jiang, Olson, & Chun, Journal of Experimental Psychology: Learning, Memory, and
Cognition, 26(3), 683-702 2000). The present study investigated the effects of
spatial configurations on the resolution of individual location representations.
In an open-ended task, participants first studied a set of object locations
(three and five locations). Then, in a test display where available configural
cues were manipulated, participants were asked to determine the original location
of a target object whose color was auditorially cued. The difference between the
reported location and the original location was taken as a measure of spatial
resolution. In three experiments, we consistently observed that the resolution of
spatial representations was facilitated by the preservation of spatial
configurations at retrieval. We argue that participants may be using available
configural cues in conjunction with the summary representation (e.g., centroid)
of the original display in the computation of target locations.
PMID- 24939237
TI - No evidence that 'fast-mapping' benefits novel learning in healthy Older adults.
AB - Much evidence suggests that the Hippocampus is necessary for learning novel
associations. Contrary to this, Sharon, Moscovitch, and Gilboa (2011) reported
four amnesic patients with Hippocampal damage who maintained the capacity to
learn novel object-name associations when trained with a 'fast-mapping' (FM)
technique. This technique therefore potentially offers an alternative route for
learning novel information in populations experiencing memory problems. We
examined this potential in healthy ageing, by comparing 24 Older and 24 Young
participants who completed a FM procedure very similar to Sharon et al. (2011).
As expected, the Older group showed worse memory than the Young group under
standard explicit encoding (EE) instructions. However, the Older group continued
to show worse performance under the FM procedure, with no evidence that FM
alleviated their memory deficit. Indeed, performance was worse for the FM than EE
condition in both groups. Structural MRI scans confirmed reduced Hippocampal grey
matter volume in the Older group, which correlated with memory performance across
both groups and both EE/FM conditions. We conclude FM does not help memory
problems that occur with normal ageing, and discuss theoretical implications for
memory theories.
PMID- 24939239
TI - Soy intake and endometrial cancer risk varies according to study population.
PMID- 24939240
TI - Hydrogen storage in a potassium-ion-bound metal-organic framework incorporating
crown ether struts as specific cation binding sites.
AB - To develop a metal-organic framework (MOF) for hydrogen storage, SNU-200
incorporating a 18-crown-6 ether moiety as a specific binding site for selected
cations has been synthesized. SNU-200 binds K(+), NH4(+), and methyl viologen
(MV(2+)) through single-crystal to single-crystal transformations. It exhibits
characteristic gas-sorption properties depending on the bound cation. SNU-200
activated with supercritical CO2 shows a higher isosteric heat (Qst) of H2
adsorption (7.70 kJ mol(-1)) than other zinc-based MOFs. Among the cation
inclusions, K(+) is the best for enhancing the isosteric heat of the H2
adsorption (9.92 kJ mol(-1)) as a result of the accessible open metal sites on
the K(+) ion.
PMID- 24939241
TI - [Pain medicine as a cross-sectional subject in German medical schools. An
opportunity for general pain management].
AB - BACKGROUND: Unrelieved pain is a substantial public health concern owing in part
to deficits in clinical expertise among physicians. In most medical faculties
worldwide, teaching on pain and pain management is either nonexistent or limited
to a small number of students attending voluntary courses. In light of the fact
that pain is the most frequent reason to seek medical advice, the lack of formal
training of pain medicine is considered the leading reason for inadequate pain
management. Therefore, the patients' unmet needs for adequate diagnosis and
therapy call for action. SITUATION: Pain assessment and effective pain management
should be a priority in the health care system. The limited number of pain
specialists available in hospitals and primary care and CME (continuous medical
education) activities focusing on pain are not sufficient to solve the problem.
Every practicing physician should, therefore, have basic knowledge of the most
prominent painful conditions and management strategies. To achieve this goal,
pain medicine should become an integral part of the undergraduate curriculum for
medical students. In Germany, pain medicine became a mandatory subject in
undergraduate medical studies in 2012. PERSPECTIVE: The introduction of pain
medicine into the undergraduate curriculum in Germany is a major challenge
regarding the development and implementation processes. This article describes
current instruments and implementation strategies for pain medicine as a new
cross-sectional subject in Germany.
PMID- 24939243
TI - The effect of the height to which the hand is lifted on horizontal curvature in
horizontal point-to-point movements.
AB - In point-to-point reaching movements, the trajectory of the fingertip along the
horizontal plane is not completely straight but slightly curved sideward. The
current paper examines whether this horizontal curvature is related to the height
to which the finger is lifted. Previous research suggested that the height to
which the hand is lifted might be a determinant of horizontal curvature. We asked
participants to make point-to-point movements in three conditions: constrained
movements (i.e., fingertip keeps contact with table top) over vertically curved
surfaces that differed in height, constrained movements over a flat surface, and
unconstrained movements (i.e., fingertip lifted from table top). In constrained
movements, we found a strong relation between horizontal curvature and lifted
height of the finger. Interestingly, for unconstrained movements, the relation
between horizontal curvature and height to which the finger was lifted was weak.
This demonstrates that the height to which the finger was lifted relates to
horizontal curvature in some, but not in all conditions. This suggests that the
height to which the hand is lifted should be included, in particular for
constrained movements, when giving a full account of horizontal curvature in
point-to-point movements.
PMID- 24939242
TI - [Capsaicin 8 % cutaneous patches for phantom limb pain. Results from everyday
practice (non-interventional study)].
AB - BACKGROUND: Post amputation pain presents a challenge for pain physicians and is
often detrimental to the patient's quality of life. PATIENTS AND METHODS: A
prospective 12-week non-interventional study (NIS) was conducted in Germany to
obtain data on the effectiveness and safety of capsaicin 8 % cutaneous patches
from real life use in patients with peripheral neuropathic pain. For the first
time in a subgroup of amputees data on post amputation pain were collected. This
article presents the results for patients who suffered from phantom limb pain
(PLP), stump pain (SP) and combined phantom limb/stump pain (PLP/SP). RESULTS:
The analyses included 21 patients with post amputation pain (PLP: n = 10, SP: n =
4, PLP/SP: n = 7). The mean duration of pain (+/- standard deviation) was 12.8 +/
13.0 years for PLP, 23.1 +/- 29.9 years for SP and 11.0 +/- 15.8 years for
PLP/SP. A single treatment with capsaicin 8 % cutaneous patches significantly
reduced the average pain intensity over the observational period of 12 weeks. The
mean numeric pain rating scale (NPRS) baseline score changed by - 2.4 for PLP
with a standard error of the mean (SEM) of 0.4 (median: - 2.9, Q1: - 3.5, Q3: -
1.0), - 1.7 for SP (SEM: 0.8, median: - 1.1, Q1: - 2.9, Q3: - 0.5) and - 1.5 for
PLP/SP (SEM: 0.6, median: - 2.0, Q1: - 2.3, Q3: 0) during weeks 1-12. The 30 %
responder rates (i.e. >= 30 % reduction in pain, day 7/14 to week 12) were 70.0 %
(PLP), 50.0 % (SP) and 28.6 % (PLP/SP). PLP and PLP/SP patients in particular,
benefited from improvements in pain attacks, sleep duration and sleep quality and
one patient (PLP/SP) reported an adverse drug reaction (increase of pain).
Physicians rated the tolerability of the patch as very good or good in 90.5 % of
patients. A poor tolerability was stated for none of the 21 amputees. Of the
patients 80 % for PLP and 50 % for both SP and PLP/SP expressed the wish to
receive retreatment with capsaicin 8 % patches. CONCLUSION: Capsaicin 8 %
cutaneous patches seem to be effective and safe for the treatment of post
amputation pain, notably in patients suffering from phantom limb pain.
PMID- 24939244
TI - Template-mediated nano-crystallite networks in semiconducting polymers.
AB - Unlike typical inorganic semiconductors with a crystal structure, the charge
dynamics of pi-conjugated polymers (pi-CPs) are severely limited by the presence
of amorphous portions between the ordered crystalline regions. Thus, the
formation of interconnected pathways along crystallites of pi-CPs is desired to
ensure highly efficient charge transport in printable electronics. Here we report
the formation of nano-crystallite networks in pi-CP films by employing novel
template-mediated crystallization (TMC) via polaron formation and electrostatic
interaction. The lateral and vertical charge transport of TMC-treated films
increased by two orders of magnitude compared with pristine pi-CPs. In
particular, because of the unprecedented room temperature and solution-processing
advantages of our TMC method, we achieve a field-effect mobility of 0.25 cm(2) V(
1) s(-1) using a plastic substrate, which corresponds to the highest value
reported thus far. Because our findings can be applied to various pi-conjugated
semiconductors, our approach is universal and is expected to yield high
performance printable electronics.
PMID- 24939238
TI - Fast food fever: reviewing the impacts of the Western diet on immunity.
AB - While numerous changes in human lifestyle constitute modern life, our diet has
been gaining attention as a potential contributor to the increase in immune
mediated diseases. The Western diet is characterized by an over consumption and
reduced variety of refined sugars, salt, and saturated fat. Herein our objective
is to detail the mechanisms for the Western diet's impact on immune function. The
manuscript reviews the impacts and mechanisms of harm for our over-indulgence in
sugar, salt, and fat, as well as the data outlining the impacts of artificial
sweeteners, gluten, and genetically modified foods; attention is given to
revealing where the literature on the immune impacts of macronutrients is limited
to either animal or in vitro models versus where human trials exist. Detailed
attention is given to the dietary impact on the gut microbiome and the mechanisms
by which our poor dietary choices are encoded into our gut, our genes, and are
passed to our offspring. While today's modern diet may provide beneficial
protection from micro- and macronutrient deficiencies, our over abundance of
calories and the macronutrients that compose our diet may all lead to increased
inflammation, reduced control of infection, increased rates of cancer, and
increased risk for allergic and auto-inflammatory disease.
PMID- 24939245
TI - Preconception counseling, fertility, and pregnancy complications after abdominal
organ transplantation: a survey and cohort study of 532 recipients.
AB - BACKGROUND: Pregnancy after solid organ transplant is a significant priority for
transplant recipients but how patients report being counseled is unknown.
METHODS: We performed a single-center retrospective cohort study and telephone
survey of female patients ages 18-49 at the time of kidney, pancreas, or liver
transplant from 2000 to 2012 (n = 532). Data on pregnancy counseling, fertility,
and maternal, fetal- and transplant-specific outcomes were collected.
Multivariate Cox models assessed the impact of pregnancy on graft-specific
outcomes. RESULTS: The survey response rate was 29% (n = 152). One-third (n = 51)
of women were actively counseled against pregnancy by one or more providers. A
total of 17 pregnancies occurred among nine patients (5.9%), with 47% live
births, 47% early embryonic demises, 5.9% stillbirths. Of live births, 50% were
premature. Gestational complications, including diabetes, hypertension, and
preeclampsia were present in 88% of mothers. Pregnancy after transplant was
associated with higher rates of acute rejection than nulliparous transplant
recipients (33% vs. 5.6%, p = 0.07) but did not significantly affect graft
survival (HR = 1.00, 95% CI 0.99-1.01), after stratifying by organ and adjusting
for clinical factors. CONCLUSION: This study suggests that transplant patients
are being counseled against pregnancy despite acceptable risks of complications
and no specific effects on long-term graft function.
PMID- 24939246
TI - Genomic characterization of ependymomas reveals 6q loss as the most common
aberration.
AB - Ependymomas are rare tumors of the central nervous system (CNS). They are
classified based on tumor histology and grade, but the prognostic value of the
WHO grading system remains controversial. Treatment is mainly surgical and by
radiation. An improved knowledge of ependymoma biology is important to elucidate
the pathogenesis, to improve classification schemes, and to identify novel
potential treatment targets. Only 113 ependymoma karyotypes with chromosome
aberrations are registered in the Mitelman database. We present the first study
of ependymoma genomes combining karyotyping and high resolution comparative
genomic hybridization (HR-CGH). Nineteen tumor samples were collected from three
pediatric and 15 adult patients treated at Oslo University Hospital between 2005
and 2012. Histological diagnoses included subependymoma and myxopapillary
ependymoma (WHO grade I), ependymoma (WHO grade II) and anaplastic ependymoma
(WHO grade III). Four tumors were intraspinal and 15 were intracranial. Seventeen
samples were successfully karyotyped, HR-CGH analysis was undertaken on 17
samples, and 15 of 19 tumors were analyzed using both methods. Twelve tumors had
karyotypic abnormalities, mostly gains or losses of whole chromosomes. Structural
rearrangements were found in four tumors, in two of which 2p23 was identified as
a breakpoint region. Twelve tumors displayed genomic imbalances by HR-CGH
analysis with loss of material at 6q as the most common. 6q loss, which was
detected by one or both methods in seven of 12 (58%) abnormal tumors, and 5p gain
(observed in five tumors; 42%) were the most common genomic aberrations in this
series.
PMID- 24939247
TI - Novel synaptic memory device for neuromorphic computing.
AB - This report discusses the electrical characteristics of two-terminal synaptic
memory devices capable of demonstrating an analog change in conductance in
response to the varying amplitude and pulse-width of the applied signal. The
devices are based on Mn doped HfO2 material. The mechanism behind reconfiguration
was studied and a unified model is presented to explain the underlying device
physics. The model was then utilized to show the application of these devices in
speech recognition. A comparison between a 20 nm * 20 nm sized synaptic memory
device with that of a state-of-the-art VLSI SRAM synapse showed ~10* reduction in
area and >10(6) times reduction in the power consumption per learning cycle.
PMID- 24939248
TI - Functional characterization of neural-restrictive silencer element in mouse
pituitary adenylate cyclase-activating polypeptide (PACAP) gene expression.
AB - Pituitary adenylate cyclase-activating polypeptide (PACAP) is predominantly
localized in the nervous system, but the underlying mechanism in its neuron
specific expression remains unclear. In addition to two neural-restrictive
silencer-like element (NRSLE1 and 2), as reported previously, we have identified
the third element in -1,601 to -1,581 bp from the translational initiation site
of mouse PACAP gene and termed it as NRSLE3, of which, the sequence and location
were highly conserved among mouse, rat, and human PACAP genes. In luciferase
reporter assay, the deletion or site-directed mutagenesis of NRSLE3 in the
reporter gene construct, driven by heterologous SV40 promoter, cancelled the
repression of luciferase activity in non-neuronal Swiss-3T3 cells. Furthermore,
its promoter activity was significantly repressed in Swiss-3T3 cells, but not in
neuronal-differentiated PC12 cells. The electrophoretic mobility shift assay
(EMSA) with nuclear extracts of Swiss-3T3 cells demonstrated a specific complex
with NRSLE3 probe that exhibited the same migration with the neural-restrictive
silencer element (NRSE) probe of rat type II sodium channel gene. During neuronal
differentiation of PC12 cells, the increment of PACAP mRNA exhibited the
correlation with that of REST4 mRNA, which is a neuron-specific variant form of
neural-restrictive silencer factor (NRSF). In undifferentiated PC12 cells,
trichostatin A (TSA), a histone deacetylase (HDAC) inhibitor, which indirectly
inhibits NRSF-mediated gene silencing, increased PACAP mRNA level and attenuated
the repression of promoter activity of 5' flanking region of mouse PACAP gene
containing NRSLEs. These suggest that the NRSE-NRSF system implicates in the
regulatory mechanism of neuron-specific expression of PACAP gene.
PMID- 24939250
TI - An alternative method for registering the abutment screw position of cement
retained implant restorations.
PMID- 24939249
TI - Pituitary adenylate cyclase-activating polypeptide (PACAP) induces relaxations of
peripheral and cerebral arteries, which are differentially impaired by aging.
AB - Pituitary adenylate cyclase-activating polypeptide (PACAP) is a well-known
neuropeptide, which also has vasomotor effects. However, little is known
regarding its age-related and organ-specific vasomotor effects. We hypothesized
that the vasomotor effects of PACAP depend on the tissue origin of the vessels
and aging substantially modulates its actions. Thus, carotid (CA) and basilar
arteries (BA) were isolated from young (2 months old), middle age (12 months
old), and old (30 months old) rats. Their vasomotor responses were measured with
an isometric myograph (DMT610M) in response to cumulative concentrations of
PACAP1-38 (10(-9)-10(-6) M). PACAP1-38 induced (1) significantly greater
concentration-dependent relaxations in CA compared to that of BA of young, middle
age, and old rats; (2) relaxations of CA significantly decreased, whereas they
did not change substantially in BA, as a function of age; (3) sodium
nitroprusside (SNP)-induced relaxation did not change after PACAP1-38
administration in any conditions; and (4) inhibition of PAC1 receptors by
selective PAC1 receptor blocker (PACAP6-38) completely diminished the responses
to PACAP in all age groups of BA and CA. In conclusion, these findings suggest
that PACAP1-38 has greater vasomotor effect in CA than that in BA, whereas aging
has less effect on PACAP-induced relaxation of cerebral arteries and BA than that
in peripheral arteries and CA suggesting that the relaxation to PACAP is
maintained in cerebral arteries even in old age.
PMID- 24939251
TI - Use of direct-indirect method for fabrication of tooth-retained overdenture stud
attachments.
PMID- 24939252
TI - Immediate implant placement, reconstruction of compromised sockets, and repair of
gingival recession with a triple graft from the maxillary tuberosity: a variation
of the immediate dentoalveolar restoration technique.
AB - Immediate implant placement into compromised sockets is challenging for
clinicians. The 3-dimensional implant position, status of the buccal bone wall,
and regeneration of the soft tissue contours all affect adequate esthetic and
functional results. This clinical report presents a treatment protocol (a
variation of the immediate dentoalveolar restoration concept) consisting of
immediate implantation and the reconstruction of the buccal bone wall and
gingival recession in a single procedure with a triple graft (cancellous and
cortical bone and soft tissue graft).
PMID- 24939253
TI - Design and manufacture of customized dental implants by using reverse engineering
and selective laser melting technology.
AB - STATEMENT OF PROBLEM: Recently a new therapeutic concept of patient-specific
implant dentistry has been advanced based on computer-aided design/computer-aided
manufacturing technology. However, a comprehensive study of the design and 3
dimensional (3D) printing of the customized implants, their mechanical
properties, and their biomechanical behavior is lacking. PURPOSE: The purpose of
this study was to evaluate the mechanical and biomechanical performance of a
novel custom-made dental implant fabricated by the selective laser melting
technique with simulation and in vitro experimental studies. MATERIAL AND
METHODS: Two types of customized implants were designed by using reverse
engineering: a root-analog implant and a root-analog threaded implant. The
titanium implants were printed layer by layer with the selective laser melting
technique. The relative density, surface roughness, tensile properties, bend
strength, and dimensional accuracy of the specimens were evaluated. Nonlinear and
linear finite element analysis and experimental studies were used to investigate
the stress distribution, micromotion, and primary stability of the implants.
RESULTS: Selective laser melting 3D printing technology was able to reproduce the
customized implant designs and produce high density and strength and adequate
dimensional accuracy. Better stress distribution and lower maximum micromotions
were observed for the root-analog threaded implant model than for the root-analog
implant model. In the experimental tests, the implant stability quotient and pull
out strength of the 2 types of implants indicated that better primary stability
can be obtained with a root-analog threaded implant design. CONCLUSIONS:
Selective laser melting proved to be an efficient means of printing fully dense
customized implants with high strength and sufficient dimensional accuracy.
Adding the threaded characteristic to the customized root-analog threaded implant
design maintained the approximate geometry of the natural root and exhibited
better stress distribution and primary stability.
PMID- 24939254
TI - Effect of different surface-cleaning techniques on the bond strength of composite
resin restorations.
AB - STATEMENT OF PROBLEM: Different techniques have been suggested for cleaning
dentin surfaces after the removal of an interim prosthesis and before the
application of a bonding agent. How different surface-cleaning techniques affect
the bond strength of the composite resin restorations is not clear. PURPOSE: The
purpose of this study was to investigate the effects of different surface
cleaning techniques on the bond strength of composite resin restorations and the
surface topography of the prepared tooth surfaces. MATERIAL AND METHODS: The
occlusal surfaces of 25 molars were ground until the dentin was exposed. A
bonding agent and interim cement were applied on the teeth. The teeth were
divided into 5 groups (n=5) according to the method used for surface-cleaning
(microairborne-particle abrasion, alcohol, rubber-rotary instrument, desiccating
agent, and control). Once the surfaces of the teeth had been cleaned, the same
bonding material was applied to the teeth. A 5-mm-thick composite resin layer was
built up. Each specimen was sectioned to microbars, and 6 centrally located beams
were selected for microtensile testing (n=30) (1.10 +/-0.10 mm). The data were
statistically analyzed with 1-way ANOVA (1-sample Kolmogorov-Smirnov test). The
Bonferroni test was used for significantly different groups (alpha=.05). One
specimen from each group was observed under a scanning electron microscope and an
atomic force microscope. Energy dispersive x-ray analysis also was performed.
RESULTS: Bond strength values were in the following descending order:
microairborne-particle abrasion, desiccating agent, alcohol, rubber-rotary
instrument, control. Differences between the microairborne-particle abrasion
group and the remainder of the groups, desiccating agent--rubber-rotary
instrument, desiccating agent-control, alcohol--rubber-rotary instrument, and
alcohol-control groups, were statistically significant (P<.05). The microairborne
particle abrasion group displayed the roughest surface and a different surface
topography from the remainder of the groups. Increased aluminum was observed in
the microairborne-particle abrasion group. CONCLUSIONS: Surface-cleaning
techniques, except for the rubber-rotary instrument, increased the bond strength
of composite resin. The roughest dentin surfaces and highest bond strength were
achieved with the microairborne-particle abrasion technique.
PMID- 24939255
TI - High-dose folic acid improves endothelial function by increasing
tetrahydrobiopterin and decreasing homocysteine levels.
AB - The aim of this study was to investigate the effect of folic acid (FA) on
tetrahydrobiopterin (BH4), neopterin, nitric oxide (NO) and homocysteine (Hcy)
levels in endothelial cells. Human umbilical vein endothelial cells (HUVECs) were
cultured in vitro in the presence or absence of Hcy. The effect of various doses
of FA on Hcy, BH4, neopterin and NO concentrations in HUVECs was then assessed.
In the 5 and 10 nmol/l FA treatment groups, FA was found to significantly
increase the levels of BH4 (10.56+/-3.86 and 11.23+/-2.1919 pmol/g vs 6.32+2.87
nmol/g; P<0.05 vs. control) and NO production (37.86+/-12.34 nmol/l, 38.45+/
11.23 nmol/l vs 26.21+/-9.24 nmol/l; P<0.001 vs. paired Hcy group), but reduce
the levels of Hcy (132.87+/-29.67 and 140.87+/-26.76 nmol/l vs. 165.23+/-30.56
nmol/l; P<0.05 vs. Hcy group). No significant differences were observed in
neopterin levels among the different groups of HUVECs. In conclusion, high doses
of FA may be capable of protecting endothelial cells through reducing levels of
Hcy and increasing BH4 and NO production.
PMID- 24939256
TI - An analysis of biopsy-proven tongue lesions among 8,105 dental outpatients.
AB - AIM: The aim of the present study was to analyze data on the characteristics of
tongue lesions in dental patients seeking care at the Oral Pathology Service of
Tehran University of Medical Sciences, from 1985-2010. MATERIALS AND METHODS:
Demographic data and histopathologic diagnoses were recorded for all lesions that
were documented as occurring on the tongue according to the patient records in
our department. Statistical analysis included chi-square, t-, and Fisher's exact
tests. A 95% confidence interval (CI) was calculated, and p < 0.05 was considered
significant. RESULTS: Tongue lesions constituted 6.3% of all received specimens
which included 46 different defects. The most common lesions were lichen planus
(LP), irritation fibroma (IF), squamous cell carcinoma (SCC) and pemphigus
vulgaris (PV). Tongue lesions were significantly more common in women compared to
men (CI = 0.65-0.94, p = 0.02). Mean age (47 years) did not differ between male
and female subjects (CI = -2.49 - 3.93, p = 0.06). The dorsal surface followed by
the lateral aspect was the most common site for tongue lesions. CONCLUSION: It
seems that dental practitioners should be perceptive of LP, IF, SCC and PV, when
examining the tongue. Histopathologic analysis is essential for achieving final
diagnosis in a considerable number of lesions that commonly occur on this organ.
Clinical significance: Access to demographic/prevalence data in different
populations may be useful in clinical settings and could be complimented by
histopathologic diagnosis in most instances. The present findings can be compared
with those obtained from other epidemiologic studies in this field resulting in
valuable data which may be used in several types of investigations. .
PMID- 24939257
TI - Evaluation of protective effect of propolis on parotid salivary glands in gamma
irradiated rats.
AB - OBJECTIVE AND BACKGROUND: One of the most significant side effects of
radiotherapy for head and neck cancers is xerostomia as a result of salivary
gland damage. Considering pharmaco- logical effects of propolis, we evaluated its
protective effect on salivary glands subjected to radiotherapy of head and neck
cancer patients. MATERIALS AND METHODS: Twenty-one male albino rats (8-11 W, 190
+/- 5 gm) were divided into three groups of seven animals. Scintigraphy was
performed in all the groups. Then groups 1 (S) and 2 (SR) received normal saline
injections and group 3 (PR) received propolis injection over 3 days. After that
groups 2 and 3 were exposed to gamma radiation and all the rats underwent
scintigraphic assessment on third day and 70th day after irradiation. The lips
and tongues of rats in groups 2 and 3 were examined for mucositis daily in first
10 days. At the end, the parotid glands of all rats were examined histologically.
RESULTS: Scintigraphy results of third and 70th day after irradiation showed
statistically significant differences between PR and SR as well as SR and S.
However, there was no significant difference between the PR and S groups.
Histopathologic assessment demonstrated significant difference between SR, PR and
S. CONCLUSION: These results suggest that propolis has protective effects on
salivary gland function in animal models whilst it did not prevent radiation
induced histologic changes in tissues. Further investigations are needed to
elucidate mechanisms of propolis actions. Clinical significance: Regarding to the
results of this study, propolis may be useful in reduction xerostomia due to
radiation to salivary glands and may be helpful for head and neck cancer
patients.
PMID- 24939258
TI - Obtaining artificially caries-affected dentin for in vitro studies.
AB - AIM: This study evaluated and improved a protocol for obtaining standard caries
affected dentin (CAD) by Streptococcus mutans biofilm demineralization process.
MATERIALS AND METHODS: Forty-eight human molars were divided in six experimental
groups, according to: period of cariogenic challenge (7, 14 or 21 days) and type
of dentin (erupted or unerupted teeth). After complete cariogenic challenge sound
and CAD dentin were evaluated by: visual inspection (VI), digital radiography
(DR), optical coherence tomography (OCT) and laser fluorescence (LF). RESULTS:
Visual inspection confirmed the formation of CAD based on tissue yellowing and
loss of surface gloss. Digital radiography detected the presence of radiolucent
images, suggesting caries. Three calibrated examiners viewed all images obtained
by VI and DR and were able to distinguish healthy from CAD. Fisher's exact
statistical test (p < 0.05) confirmed no difference between groups by VI (G1/G4:
p = 0.6; G2/G5: p = 1; G3/G6: p = 1) or DR (G1/G4: p = 1; G2/G5: p = 1; G3/G6: p
= 1). Both LF values and demineralization depth, as determined by OCT, were
subjected to ANOVA (p < 0.05). For LF, a statistically significant difference was
observed for the type of substrate (p = 0.001). For OCT, no statistically
significant differences in the type of substrate (p = 0.163), length of
cariogenic challenge (p = 0.512) or interaction between factors (p = 0.148) were
observed. Scanning electron micrographs confirmed the presence of CAD; a more
uniform demineralization surface was observed in the dentin of unerupted teeth.
CONCLUSION: This protocol suggests that standard CAD can be obtained in 7 days of
cariogenic challenge using unerupted teeth. Clinical significance: With the new
perspective on the clinical treatment of caries lesions, bonding is increasingly
performed to demineralize CAD, which is susceptible to remineralization. A useful
protocol to standardize the production of CAD, by microbiological cariogenic
challenge, would be an important contribution to laboratorial test in the field
of operative dentistry.
PMID- 24939259
TI - Comparative evaluation of cariogenic and erosive potential of commonly prescribed
pediatric liquid medicaments: an in vitro study.
AB - INTRODUCTION: Liquid oral medicines being the most accepted form of medication in
children are frequently prescribed. The harmful effects of these liquid
medicaments on a child's dental health are not known to many. The present study
aimed to evaluate and compare the cariogenic and erosive potential of 5 most
commonly prescribed pediatric liquid medicaments (PLM) in Pimpri Chinchwad and
Pune city, Pune district. MATERIALS AND METHODS: Most commonly prescribed PLM in
Pune district were selected as opined by 50 pediatricians. The selected
medicaments were Syr. Augmentin(r) Duo, Syr. Valparin(r), Syr. Combiflam(r), Syr.
Visyneral and Syr. Orofer(r). An estimation of pH, percentage of sucrose
concentration and calcium dissolving capacity of these preparations was carried
out. The results as obtained were subjected to statistical analysis using SPSS v
17.0 for windows. The statistical test as undertaken was Pearson's correlation
coeffcient(r). RESULTS: Sucrose was seen to be present in Syr. Combiflam(r)
(35.75% +/- 0.25%) and Syr. Visyneral (18.48% +/- 0.43%). Acidic pH was observed
for Syr. Visyneral (mean pH 3.63 +/- 0.04), Syr. Combiflam(r)(mean pH 5.03 +/-
0.02) and Syr. Augmentin(r) (mean pH 6.22 +/- 0.02). Highest calcium dissolution
was seen with Syr. Combiflam(r)(295.86 mg/ml) and the least with Syr. Orofer(r)
(25.51 mg/ml). No statistical significant correlation was observed with calcium
dissolution potential of PLM in comparison with their respective pH. CONCLUSION:
Syr. Combiflam(r) can be regarded as the highest cariogenic and erosive potential
medicament among the compared and tested PLM. CLINICAL SIGNIFICANCE: Considering
syrups with high cariogenic and erosive potential should always follow with
proper oral hygiene practices or search for an alternative drugs void of such
detrimental effects.
PMID- 24939260
TI - Cuspal movement related to different polymerization protocols.
AB - OBJECTIVE: The aim of this study to investigate the effects of different
polymerization protocols on the cuspal movement in class II composite
restorations. MATERIALS AND METHODS: Human premolar teeth were prepared with
class II cavities and then restored with composite and three-step and two-step
etch-and-rinse adhesive systems under different curing techniques (n = 10). It
was used a light- emitting-diode curing unit and the mode of polymerization were:
standard (exposure for 40 seconds at 700 mW/cm(2)), pulse-delay (initial exposure
for 6 seconds at 350 mW/cm(2) followed by a resting period of 3 minutes and a
final exposure of 37 seconds at 700 mW/cm(2)) and soft-start curing (exposure 10
seconds at 350 mW/cm(2) and 35 seconds at 700 mW/cm(2)). The cuspal distance
(MUm) was measured before and after the restorative procedure and the difference
was recorded as cuspal movement. The data were submitted to two-way ANOVA and
Bonferroni test (p < 0.05). RESULTS: The type of adhesive system did not
influenced the cuspal movement for all the curing methods. Standard protocol
showed the highest values of cuspal movement and was statistically different from
the pulse-delay and soft-start curing modes. CONCLUSION: Although the cuspal
displacement was not completely avoided, alternative methods of photocuring
should be considered to minimize the clinical consequences of composites
contraction stress.
PMID- 24939261
TI - Association between the facial type and morphology of the upper central incisor
in normal occlusion subjects.
AB - AIM: The purpose of this study was to verify the association between the facial
type and the morphology of the upper central incisor, to potentially provide a
guide for artificial tooth selection in esthetic and prosthetic rehabilitation.
MATERIALS AND METHODS: The sample consisted of 51 lateral teleradiographs
(lateral cephalometric radiographs) and cast models of subjects with a naturally
optimal occlusion and at least four of the six keys of Andrews, for optimal
occlusion. The facial type was defined by two cephalometric measurements (SN.Gn
and SN.GoGn) and classified into dolichofacial, meso- facial and brachyfacial
after digitizing the radiographs. The incisor morphology was classified into
quadrangular, oval and triangular after 3D digitizing the models and evaluation
of the images by 12 dentists. The evaluation between the examiners was performed
by Kappa test. In order to test the differences between the variables, it was
used a chi-square test (5%). RESULTS: No significant difference (p < 0.05) was
found between the studied variables. CONCLUSION: Facial type was not associated
with the morphology of the upper central incisor, considering the methodology and
population included in the present study. CLINICAL SIGNIFICANCE: Using the facial
type as a way to select the morphology of the upper central incisor proved to be
inadequate in this study.
PMID- 24939262
TI - Wisdom tooth--complications in extraction.
AB - The purpose of this study is to analyze the incidence of complications in a group
of 171 patients in whom extractions of impacted mandibular third molar have been
performed by two oral surgeons between the period April 2010 and March 2012. This
retrospective study comprises evaluation of 270 impacted mandibular third molars
which were classified into two groups A and B on the basis of procedure of
osteotomy only and osteotomy and odontotomy both respectively. Total no of
complications reported were 40 (14.81%). Maximum no of cases reported alveolar
osteitis (AO) (11.11%) while other complications reported root tip fractures
(2.22%), lingual nerve parasthesia and TMJ problems (each 0.74%) in descending
frequency. Conclusion drawn is that the risk of complications in extractions of
impacted mandibular third molars always exists, and extractions associated with
both osteotomy and odontotomy are associated with higher risk of complications.
PMID- 24939263
TI - Evaluation of marginal alveolar bone height for early detection of periodontal
disease in pediatric population: clinical and radiographic study.
AB - OBJECTIVES: To establish a normal range for the radiographic distance between
cementoenamel junction and alveolar bone crest and the factors affecting
distances for the early assessment of periodontal disease in Dravidian pediatric
population. METHODS: Fifty children aged 6 to 8 years were selected based on
inclusion and exclusion criteria. Clinical and radiographic examination was
performed. All the surfaces were examined starting from the distal surface of
primary canine to the mesial surface of first permanent molar. The various risk
factors like plaque, calculus, proximal caries, restoration and bleeding on
probing were recorded. A pair of bitewing radiographs was taken for each child.
Bitewing radiographs were traced and analyzed. RESULTS: It showed that CEJ-ABC
distance in primary teeth is about 1 +/- 0.5 mm. In the permanent teeth, it was
found to be 0.6 +/- 0.5 mm in 6 to 8 years age group. CEJ-ABC distance was also
affected by different variables like physiologic (eruption and exfoliation) and
pathologic factors (plaque, calculus, dental caries, restorations, stainless
steel crowns, bleeding on probing and probing depth). CONCLUSION: CEJ-ABC
distances greater than 2.5 mm should be considered under recall and follow-up.
Children and adolescents susceptible to periodontal disease should be identified
by radiographic means as early as possible in order to prevent the advance of an
otherwise possibly destructive disease. The concept of oral health examination
and treatment must include examination of the periodontal status of the patient.
PMID- 24939264
TI - Evaluation of occlusal contacts among different groups of malocclusion using 3D
digital models.
AB - OBJECTIVES: (1) To evaluate the applicability of using 3D digital models in the
assessment of the magnitude of occlusal contacts by measuring occlusal contact
surface areas (OCSAs) and 3D mesh points in 'contact' (OCMPs) in a sample of
orthodontic patients; (2) To detect any sex differences in the magnitude of
occlusal contacts in all malocclusion groups; (3) To detect inter- group
differences; (4) To assess possible correlations between occlusal contacts and
other dental characteristics. MATERIALS AND METHODS: Study casts of 120
malocclusion patients were selected and divided into 4 groups (class I division
1, class II division 1, class II division 2, class III) with equal numbers for
both sexes. 3D digital models were produced using O3DMTM technology. Occlusal
contacts were quantified using two methods of measuring. RESULTS: (1) No
significant sexual differences were detected for OCMPs (mesh points) and OCSAs
(mm(2)) in all groups. (2) There were statistically significant differences among
malocclusion groups for OCMPs and OCSAs (p < 0.001). Tukey's HSD post- hoc tests
showed that class III patients had significantly less occlusal contacts than
other malocclusion groups. (3) Stepwise multiple regression equations showed that
overjet, lower arch width and overbite could explain approximately 19.5% of the
total variance of OCSAs and OCMPs. CONCLUSION: Sexual differences in occlusal
contacts were not detected. Class I division 1 patients had the highest amount of
occlusal contacts among all groups of malocclusion. Overjet, overbite and lower
dental arch width were best predictors of occlusal contacts in the current
sample.
PMID- 24939265
TI - Overlays or ceramic fragments for tooth restoration: an analysis of fracture
resistance.
AB - PURPOSE: The aim of this study was to evaluate the mode of fracture and
resistance of partial ceramic restorations of posterior teeth. MATERIALS AND
METHODS: Thirty healthy upper premolars were selected and divided into three
groups (n = 10): Group 1- control, healthy unrestored teeth, group 2-teeth
restored with ceramic fragments; and group 3-teeth restored with ceramic
overlays. The restorations were manufactured with feldspathic ceramic and
cemented with RelyX ARC resin cement. After being stored in distilled water for 7
days, the teeth were subjected to axial compression mechanical testing with a
universal testing machine. Force was applied to the long axis of the tooth at a
speed of 0.5 mm/min until fracture. The data were analyzed with one-way ANOVA and
Tukey's test (5%). The mode of fracture was scored according to the degree of
involvement of the tooth structure and the type of restoration. RESULTS: A
significant difference (p < 0.05) was showed between groups 2 (1155 N) and 3
(846.6 N), but there was no significant difference between group 1 and the other
groups (1046 N), More extensive fractures were prevalent in the healthy teeth
group (Group 1), which had no occlusal coverage; less severe fractures were found
in groups 2 and 3. CONCLUSION: We conclude that teeth restored with ceramic
fragments may offer greater resistance to fractures compared to teeth that have
overlay restorations.
PMID- 24939266
TI - New intracanal formulations containing doxycycline or chlorhexidine against
Enterococcus faecalis.
AB - The present study aims to evaluate the antimicrobial effect of two new intracanal
preparations against E. faecalis. Thirty single-rooted human canine teeth were
used. The crowns were removed and the roots were instrumented using a
conventional technique. Three groups of ten teeth each were infected with 108
CFU/ ml of E. faecalis for 21 days. The root canals were flled with new
intracanal medications containing 3% doxycycline hydrochloride (DX) or 2%
chlorhexidine digluconate (CHX). Ten teeth received no medication (NM)-negative
control. Microbial samples were obtained 21 days after contamination: 14 days
under the effect of the intracanal medications and 7 days after replacing the
medications by BHI broth. The samples were homogenized, diluted, seeded on BHI
agar and incubated for 48h/36 degrees C. The number of colony forming units
(CFU/ml) was obtained and analyzed statistically. All intracanal dressings
significantly reduced the number of bacterial cells in the root canal after 14
days with medication. After the period with 7 days with BHI broth, the CFU counts
of E. faecalis remained at low values. However, the NM group showed a significant
increase of CFU in this period to similar values of the initial contamination. 3%
doxycycline hydrochloride gel and 2% CHX gel were effective to eliminate E.
faecalis from the root canal system.
PMID- 24939267
TI - Structural reinforcement and sealing ability of temporary fillings in premolar
with class II mod cavities.
AB - AIM: To evaluate the capability to reinforce tooth structure and sealing ability
of temporary filling materials in premolars with MOD cavities. The hypothesis is
that temporary filling materials can concomitantly prevent microleakage and
increase fracture resistance. MATERIALS AND METHODS: Premolars received root
canal treatment and MOD cavities. Cavities were restored with non- eugenol cement
(CIM), glass ionomer cement (GIC) or light curable composite (BIO). Higid and
without restoration were controls. Materials for flexual strength and teeth were
tested for microleakage and compressive strength. RESULTS: GIC and Higid
presented similar compressive strength, higher than other groups. Bio and GIC
presented similar flexural strength higher than BIO. CIM and BIO showed similar
micro- leakage lower than GIC. CONCLUSION: The hypothesis was rejected as filling
materials tested failed to prevent microleakage and to increase fracture
resistance concomitantly. CLINICAL SIGNIFICANCE: GIC may be considered to restore
weakened teeth subjected to occlusal loads. BIO and CIM are better choices to
microleakage in teeth not subjected to mechanical stresses.
PMID- 24939268
TI - Study of the anesthetic efficacy of inferior alveolar nerve block using articaine
in irreversible pulpitis.
AB - AIM: The purpose of this study was to determine the anesthetic efficacy of
inferior alveolar nerve block (IANB) using 4% articaine and 2% lidocaine
supplemented with buccal infiltration. MATERIALS AND METHODS: Forty five
patients, diagnosed with irreversible pulpitis of a mandibular posterior tooth
were included in the study. The first group of 15 patients received 2% lidocaine
with 1:200000 epinephrine, the second group 2% lidocaine with 1: 80,000
epinephrine and the third group of 15 subjects received 4% articaine with
1:100000 epinephrine. During the access cavity preparation those patients who
complained of pain received an additional buccal infiltration. The percentage of
subjects who got profound anesthesia and failure to achieve anesthesia were
calculated and tabulated using a visual analog scale. RESULTS: The results
revealed that 87% of subjects who received 4% Articaine with 1:100,000
epinephrine got satisfactory anesthesia with inferior alveolar nerve block alone.
Only 2 (13%) subjects received an additional buccal infiltration and none of the
patients failed to obtain complete anesthesia with articaine. In comparison only
40% of subjects got complete anesthesia with 2% lidocaine with 1:200000 and 60%
with 2% lidocaine with 1:80,000. CONCLUSION: It can be concluded that 4%
articaine can be used effectively for obtaining profound anesthesia for
endodontic procedures in patients with irreversible pulpitis.
PMID- 24939270
TI - The effect of 2% chlorhexidine on the bond strength of two different obturating
materials.
AB - The bond strength of ActiV GP and gutta-percha/AH Plus after using 2% CHX as
final rinse was evaluated in this study. According to the final irrigation
regimen forty roots were divided into two groups (n = 20) randomly: Group 1-5 ml
of 17% EDTA; Group 2-5 ml of 17% EDTA followed by 5 ml of 2% chlorhexidine
gluconate (CHX). Based on the obturation system the two groups were further
subdivided into two subgroups (n = 10). The roots were horizontally sectioned
from the coronal and midthird sections for measuring the push-out bond strength.
CHX/AH Plus (2.795 +/- 0.42 MPa) and EDTA/ActiV GP (1.489 +/- 0.117 MPa) recorded
the highest and lowest mean bond strength values respectively. It was concluded
that when 2% CHX was used as a final irrigation rinse the bond strength of both
gutta-percha/AH Plus and ActiV GP obturation system improved significantly.
PMID- 24939271
TI - Knowledge of and management attitude regarding dentin hypersensitivity among
dentists from a West African country.
AB - AIM: The aim of the present study was to assess knowledge of, and management
attitude of dentists regarding dentin hypersensitivity. MATERIALS AND METHODS:
The study involved all the dentists from private and public sectors, exerting in
Senegal. The following data were requested from the surveyed dentists using an
anonymous questionnaire; sociodemographics (i.e. age, gender, area of activity,
etc.) and knowledge on triggering factor, type of pain, diagnosis, preventive and
curative procedures. RESULTS: Out of the 238 dentists who received the
questionnaire, 68.9% returned properly filled forms. They were 116 males and 48
females with a mean age of 41.99 +/- 8.50 years. Eighty three percent of the
participants had a good understanding of the characteristics of pain related to
DH and 92% recognized chemical and thermal stimuli as triggering factor while
mechanical stimulus was not evoked. Many responders (90.9%) did not have any idea
of the mechanism for pain transmission across the dentin. Regarding diagnosis
technique, 68% use mechanical stimuli to elicit DH pain. Regarding management
procedure, the use of desensitizing tooth paste is the mostly chosen option
followed by professional topical application of fluoride. More than 1/3rd of the
surveyed dentists confess resorting to root canal to manage DH. CONCLUSION: We
recommend incorporation of basic science knowledge on orofacial pain and
competencies to manage painful conditions like dentin hypersensitivity. Also,
health regulatory institutions should make continuing dental education a
requirement to preserve the dental licensure.
PMID- 24939269
TI - The effect of mechanical loading on the cusp defection of premolars restored with
direct and indirect techniques.
AB - AIM: This study assessed the effect of fatigue load cycling on human premolars
restored with MOD restorations (direct and indirect approaches) on cuspal
defection, compared to intact teeth (unprepared) and unrestored teeth with an
inlay preparation. MATERIALS AND METHODS: MOD inlay preparations were performed
on sixty premolars with their roots embedded in acrylic resin. These teeth were
divided into six groups (n = 10): (1) intact teeth; (2) unrestored and prepared
teeth; (3) teeth restored with direct composite resin; (4) teeth restored with an
indirect composite resin; (5) teeth restored with injected ceramic inlays (IPS
Empress 2 (Ivoclar); (6) teeth restored with CAD/CAM inlays made of feldspathic
ceramic (Vita Mark II). All of the indirect restorations were adhesively
cemented. Strain-gauges were bonded to the buccal and lingual surfaces of the
specimens. Compressive axial loading of 100N was applied on the occlusal face of
the specimens to measure the cuspal deflection (microstrain) under compressive
loading. These measurements were obtained before and after mechanical cycling (1
Hz, 37 degrees C, 100,000x). RESULTS: Comparing the results obtained before and
after fatiguing, the cuspal defection increased only in the CAD/CAM approach. The
prepared tooth group had the highest cuspal defection, before and after
mechanical cycling. CONCLUSION: The evaluated restoring approaches decrease the
cuspal defection, consequently appear to improve the cuspal reinforcement.
PMID- 24939272
TI - Oral impacts on daily performance in Turkish adults attending a dental school.
AB - AIM: The purpose of this study was to evaluate oral health related quality of
life (OHRQOL) in Turkish adults attending a dental school by using Oral Impacts
on Daily Performance (OIDP) inventory. MATERIALS AND METHODS: This study included
1324 patients. A modified questionnaire including sociodemographic information,
questions about OHRQOL and OIDP inventory was prepared. The questions consisted
of reasons and frequency for dental attendance, self-reported oral health status
of the participants and number of natural teeth was recorded. RESULTS: The rates
of participants experienced at least one OIDP impact was 65.2% and eating was the
most affected item (41.6%). There was statistically significant difference
between number of missing teeth-self reported oral health status, number of
missing teeth-sociodemographic factors for the participants who reported at least
one OIDP impact. CONCLUSION: This study showed that OHRQOL of Turkish adults
attending a dental school is affected several factors including sociodemographic
factors, regular dental visit and number of missing teeth similarly other
societies. CLINICAL SIGNIFICANCE: OIDP inventory assesses impacts of oral health
conditions that affect daily activities of an individual and is commonly used as
OHRQOL indicator. Also, it is important self-report information of patients about
changing their oral conditions and affecting daily life for the clinicians. There
is insufficient data for OIDP inventory of Turkish dental patients. OHRQOL of
Turkish adults was evaluated by using OIDP inventory in this study. The scale was
found as a valid and reliable instrument for Turkish dental patients and was
determined the relationships between this scale and several parameters.
PMID- 24939273
TI - Evaluation and comparison of quantity and pattern of fluoride release from
orthodontic adhesives: an in vitro study.
AB - BACKGROUND: Orthodontic treatment has gained popularity since beginning of era of
dentistry. Now a day, everyone is conscious about their appearance, smile and
function. During orthodontic treatment use of brackets and adhesives are common.
The bonding of brackets will cause demineralization which requires the
fluoridation. So the study has been under- taken to analyze the pattern of
fluoride release by commercially available adhesive bonding material for the
prevention of demineralization. AIM: To evaluate and compare the clinical
significance of quantity and pattern of fluoride release from three commercially
available adhesives. OBJECTIVES: To assess the pattern of fluoride release and
quantity, to reduce the decalcification of enamel around orthodontic brackets and
bands during treatment and to prevent further use of topical fluoride both office
and self-use agents for prevention of demineralization/for remineralization.
MATERIALS AND METHODS: The comparison of quantity and pattern of fluoride release
study involved commercially available bonding adhesives. They are: Group I--resin
reinforced glass Ionomer light cure material (OrthoLC), Group II--fluoride
releasing composite resin material (Excel) and Group III--conventional composite
(Relay-a-bond) evaluated on 78 freshly extracted premolar teeth divided into
three groups consisting 26 specimens in each group. The prepared specimens were
stored in artificial saliva at 37 degrees C in an incubator for subsequent
fluoride analysis using ORION ion selective electrode coupled with ionalyzer 901.
Fluoride analysis made at 24 hours intervals for first 3 consecutive days and
thereafter at the end of 10th, 17th, 24th and 31st day of bonding. The data
obtained were tabulated and interpreted by statistical analysis using 't' test
and one-way analysis of variance (ANOVA). OBSERVATIONS AND RESULTS: The quantity
of fluoride release in groups I and II was significant even at the end of 31st
day. The one-way AVOVA showed intra and inter group significance in the quantity
of fluoride release. But group III with zero fluoride release with significant
decalcification on enamel which requires external use of topical fluorides. The
pattern of fluoride released was 3.06 ppm for group I and 2.01 ppm for group II
and was declined sharply after 24 hours; and continued to decline in subsequent
weeks. Mean quantity of fluoride release by group I was 15.08 ppm were as group
II was 9.02 ppm over the test period of 31 days. At the end of 31st day the group
I bonding adhesive was releasing considerable amount of fluoride compared to
group II whereas group III was nil. At all the periods inter and intra group mean
values were highly significant. And group III acted as base line or control group
as it was non-fluoride releasing material. CONCLUSION: Both the fluoride
releasing adhesive bond material are useful to reduce the risk of
demineralization and further prevent the usage of topical fluoride application
and reduce cost and clinical visiting time for both patient and clinician.
PMID- 24939274
TI - Interarch tooth size relationship of Indian population: does Bolton's analysis
apply?
AB - BACKGROUND: Orthodontists have several methods to detect inter arch-tooth size
discrepancies in patients presenting for orthodontic treatment. Many methods such
as Pont's analysis, Nance and Carey's analysis, Peck and Peck ratio, Bolton's
analysis are commonly used methods. A thorough search of literature reveals a
paucity of reliable data on the mesiodistal dimensions of the crowns of the
permanent dentition of Indian population. Without information about the size of
individual tooth and groups of teeth for Indian population, it is difficult for
the clinician to make an adequate diagnosis and treatment plan and to carry out a
plan of therapy. AIM: To determine the size of individual permanent tooth and the
sex differences, with tooth size ratios according to Bolton's formula and to
evaluate the reliability of Bolton's norms (Anterior/ Posterior) in Indian
population. MATERIALS AND METHODS: One hundred and twenty cases in which an
excellent occlusion was naturally existent with the mean age of 22 years (18-27
years) were selected in the study. The casts were prepared after taking alginate
impression of maxillary and mandibular arches and pouring them immediately with
dental stone. A sliding Digital Vernier calliper used to measure the teeth. The
ratios of the mean of mesiodistal dimensions of types of teeth were computed.
RESULTS: The mean overall ratio for the Indian population is found to be 92.11
with a standard deviation of 2.12. The values ranged from 86.50-97.13 and the
median is 92.16. The mean anterior ratio for the Indian population is found to be
78.14 with a standard deviation of 2.59. CONCLUSION: Bolton's original data does
not represent Indian population. In our study greater size variability was found
in maxillary teeth as compared with mandibular teeth except mandibular first
molar. Our study indicated that population-specific standards are necessary for
clinical assessments. Significant differences were shown for the overall ratio
and anterior ratio for both sexes as compared to Bolton's ratio.
PMID- 24939275
TI - The ala-tragus line as a guide for orientation of the occlusal plane in complete
dentures.
AB - AIM: The use of the ala-tragus line (ATL) to orient the occlusal plane (OP) is
controversial because there is a lack of agreement on the exact points of
reference for this line. This study determined the relationship between the
natural OP and ATL which was established by using the inferior border of the ala
of the nose and (1) the superior border of the tragus (ATL1), (2) the tip (ATL2),
and (3) the inferior border of the tragus (ATL3). MATERIALS AND METHODS: Lateral
cephalometric radiographs were taken of each subject by a standard method and
tracings were obtained on acetate paper to show the OP and the three ala-tragus
lines. The relationship between the OP and each of ATL was measured for each
subject. Mean and standard deviation values were then calculated for the
relationship. Statistical analysis was performed using repeated measure analysis
of variance followed by Bonferroni pairwise comparisons and Student's t-test
(alpha = 0.05). RESULTS: Significant differences were noted between the three
mean angles (p = 0.046). The mean angle (3.275 degrees +/- 2.54) formed by OP and
ATL2 was significantly the smallest (p < 0.05). CONCLUSION: The ala-tragus line,
extending from the inferior border of the ala of the nose to the tip of the
tragus of the ear presented the closest relationship to the natural occlusal
plane.
PMID- 24939276
TI - Multidisciplinary treatment approach to restore deep horizontally fractured
maxillary central incisor.
AB - This case report demonstrates sequential periodontic, orthodontic and
prosthodontic treatment modalities to save and restore deep horizontally
fractured maxillary central incisor. The location of fracture was deep in the
mucosa which reveals less than 2 mm of tooth structure to receive the crown. The
procedures like surgical crown lengthening, endodontic post placement,
orthodontic forced eruption, core build-up and metal-ceramic crown restoration
were sequentially performed to conserve the fractured tooth. Forced eruption is
preferred to surgical removal of supporting alveolar bone, since forced eruption
preserves the biologic width, maintains esthetics, and at the same time exposes
sound tooth structure for the placement of restorative margins.
PMID- 24939277
TI - Clinical aspects and conservative dental management of a patient with
fibrodysplasia ossificans progressiva.
AB - AIM: T o present the clinical findings of a patient with fibrodysplasia
ossificans progressiva (FOP), highlighting peculiarities of dental treatment in
patients with this condition. BACKGROUND: FOP is a rare genetic disease
characterized by skeletal malformations and ectopic ossifications in skeletal
muscles, tendons, ligaments and aponeurosis. Exacerbation of these ossifications
can be caused by dental treatment, resulting in disease progression. CASE
DESCRIPTION: A 26-year-old male patient with a diagnosis of FOP was referred to
our service for dental treatment. The patient presented decreased mobility in
peripheral joints (knees and elbows), postural disability (ankylosis of the
vertebral column), lateral deviation and shortness of the hallux, as well as
heterotopic ossifications on the hands and back. The implementation of
conservative dental procedures, such as oral hygiene instructions and recurrent
topical fluoride applications, were performed in addition to endodontic and
restorative treatments. Brief dental appointments were conducted without using
regional anesthesia or dental dam clamps. The dental chair was positioned at 45
degrees to provide more comfort and to avoid exacerbating the disease. The
patient has now completed 6 months of follow-up and is free of heterotopic
ossifications resulting from dental treatment. CONCLUSION: The dental treatment
modifications implemented for the present case were sufficient to establish good
oral health and to prevent the formation of heterotopic ossifications in the
maxillofacial region. CLINICAL SIGNIFICANCE: FOP is a rare disease dentists must
familiarize themselves with to provide adequate, personalized treatment, which
minimizes traumas that may exacerbate the disease.
PMID- 24939278
TI - Uncommon true isolated macrodontia of a maxillary tooth.
AB - Macrodontia is a rare dental anomaly which consists on the presence of any tooth
or teeth larger than the average. In the present report the case of a child with
an uncommon true isolated macrodontia of a maxillary central incisor is
presented. The maxillary left central incisor was considered a macrodontic tooth
since its mesiodistal crown dimension was 12.41 mm while the mesiodistal crown
dimension of the maxillary right central incisor was 10.06 mm. The radiographic
examination confirmed an enlarged maxillary left central incisor and a normal
developing dentition. The patient did not report esthetic complaints, however,
due the presence of anterior open bite and crowding, he was referred to
orthodontic clinic. Thus, it must be point out the importance of the radiographic
diagnosis to support the clinical findings, helping to plan and provide better
treatment for the patient.
PMID- 24939279
TI - Clear cell variant of calcifying epithelial odontogenic tumor without
calcification: a rarity.
AB - Calcifying epithelial odontogenic tumor (CEOT) is a rare, benign, locally
aggressive odontogenic epithelial tumor that affects the jaws. Although there are
numerous reports on the variants of CEOT, occurrence of clear cells with complete
absence of calcification has been a rarity. Histochemical analysis of tumor cells
revealed glycogen granules with PAS staining, with absence of CD 1a staining in
clear cells, while the amyloid-like deposit associated with clear cells showed
green birefringence with Congo red. We report an unusual variant of CEOT
occurring in a 27 years old male patient.
PMID- 24939280
TI - Oral cytokeratins in health and disease.
AB - The dynamics of oral mucosa is known by its inherent defensive nature. Certain
areas demand tough shield when subjected to mechanical insults. This is met by
structural scaffolding material referred as cytoskeleton comprised of
intracellular protein filaments called cytokeratins in the surface squames of
oral epithelia. They also equally contribute towards the architecture of
odontogenic apparatus and salivary gland. Differentiation of epithelial cells
within stratified epithelia regulates the expression of specific keratin gene.
Any mutation in, or autoantibodies to keratins, desmosomal and cornified envelope
proteins is translated into genetic and acquired human disorders. Sound knowledge
of structural proteins, their expression, distribution and function plays a vital
role in acquainting with these disorders and their application as differentiation
markers. Thus, they form an integral aid in diagnostic pathology and may be
instrumental in the future interventions by gene therapy. This review focuses on
basics to current updates on oral cytokeratins with an emphasis on the genetic
and acquired disorders of cytokeratins with oral implications.
PMID- 24939281
TI - Patient autonomy in evidence-based dentistry.
AB - Evidence-based dentistry is the judicious integration of scientific information
relating to the patient's oral health and medical condition with the dentist's
clinical expertise and the patient's own treatment needs and preferences. In this
triad of factors, we (the dentists) are least likely to be formally trained in
recognizing our patient's preferences. Do we understand what shapes these
preferences?
PMID- 24939282
TI - Characteristics of eyes with inner retinal cleavage.
AB - PURPOSE: Inner retinal cleavage can be misdiagnosed as a glaucomatous retinal
nerve fiber layer (RNFL) defect. This study was performed to characterize eyes
with inner retinal cleavage. METHODS: Inner retinal cleavage is defined as the
appearance of a dark spindle-shaped space between the nerve fibers. Patients who
presented at our institution with inner retinal cleavage were enrolled in the
study. All participants were evaluated by fundus examination, visual field
testing with standard automated perimetry, and optical coherence tomography (OCT)
imaging. RESULTS: A total of 15 eyes of 11 subjects with inner retinal cleavage
were included in the study. The median age of the subjects was 57 years (age
range, 30-67 years). In each case, inner retinal cleavage was located adjacent to
retinal blood vessels. Tissue bridging the cleavage area was observed in ten
eyes. Six eyes had epiretinal membranes (ERMs), two eyes had glaucoma, and one
eye had ERM in addition to glaucoma. Six eyes with inner retinal cleavage without
combined ocular abnormalities had highly myopic refractive error (-6.50 to -8.50
diopters). Cross-sectional OCT images of the areas of inner retinal cleavage
demonstrated defects with irregular margins and empty spaces in the inner layers
of the retina. During the follow-up period, no eye showed changes in inner
retinal layer cleavage or visual field sensitivity. CONCLUSIONS: Inner retinal
cleavage was found in eyes with high myopia or ERMs. Inner retinal cleavage was
associated with structural changes distinct from those associated with
glaucomatous RNFL defects.
PMID- 24939283
TI - Phospholipid-modified upconversion nanoprobe for ratiometric fluorescence
detection and imaging of phospholipase D in cell lysate and in living cells.
AB - Phospholipase D (PLD) is a critical component of intracellular signal
transduction and has been implicated in many important biological processes. It
has been observed that there are abnormalities in PLD expression in many human
cancers, and PLD is thus recognized as a potential diagnostic biomarker as well
as a target for drug discovery. We report for the first time a phospholipid
modified nanoprobe for ratiometric upconversion fluorescence (UCF) sensing and
bioimaging of PLD activity. The nanoprobe can be synthesized by a facile one-step
self-assembly of a phospholipid monolayer composed of poly(ethylene glycol)
(PEG)ylated phospholipid and rhodamine B-labeled phospholipid on the surface of
upconversion nanoparticles (UCNPs) NaYF4: 20%Yb, 2%Er. The fluorescence resonance
energy transfer (FRET) process from the UCF emission at 540 nm of the UCNPs to
the absorbance of the rhodamine B occurs in the nanoprobe. The PLD-mediated
hydrolysis of the phosphodiester bond makes rhodamine B apart from the UCNP
surface, leading to the inhibition of FRET. Using the unaffected UCF emission at
655 nm as an internal standard, the nanoprobe can be used for ratiometric UCF
detection of PLD activity with high sensitivity and selectivity. The PLD activity
in cell lysates is also determined by the nanoprobe, confirming that PLD activity
in a breast cancer cell is at least 7-fold higher than in normal cell. Moreover,
the nanoprobe has been successfully applied to monitoring PLD activity in living
cells by UCF bioimaging. The results reveal that the nanoprobe provides a simple,
sensitive, and robust platform for point-of-care diagnostics and drug screening
in biomedical applications.
PMID- 24939284
TI - [Complications and their management after urinary diversion].
AB - BACKGROUND: Bladder cancer is a common disease. It is predicted that 11,900 men
and 4,500 women in Germany will be diagnosed with invasive bladder cancer in
2014. The cystectomy, which is standard treatment in muscle-invasive bladder
cancer, requires urinary diversion. PURPOSE: The goal of this article is to
present the complications associated with urinary diversions and their
management. METHODS: Based on a selective literature search in PubMed and our own
clinical experience, the options for urinary diversion and their management are
discussed. RESULTS: In patients treated with curative intent, orthotopic bladder
replacement is preferred. In patients with palliative intent, incontinent
cutaneous urinary diversion is commonly used. The present work shows the variety
of early and late complications, peri-/postoperative mortality, and the
management of these patients. CONCLUSION: After cystectomy, various methods for
urinary diversion are available, which can be used in curative and/or palliative
intent. Crucial to the success and the long-term satisfaction of the patients is
selection of the right urinary diversion method.
PMID- 24939286
TI - [The clinic Heilanstalt Weidenplan in Halle (Saale), origin of the German
urology. Otto Kneise's founding of the first independent urology department in
Germany].
AB - The routine use of cystoscope initiated the development of the modern urology.
Otto Kneise (1875-1953) extended the targets of cystoscopy by including
examinations of the male bladder and prostate. He achieved the goal that
"cystoscopy is part of general work in urology and not a pure gynecological act".
He, thus, founded the specialty gynecological urology in the field urology, which
prevented it from becoming an independent field. Under the leadership of Otto
Kneise, the first independent urology department in Germany was created in the
hospital Heilanstalt Weidenplan.
PMID- 24939285
TI - [First-line therapy for "high-risk prostate cancer". HELP - high intensity
focused ultrasound (HIFU) and Eilgard in patients with high-risk prostate cancer
a prospective, randomized study (AP 62/10 of the AUO)].
PMID- 24939287
TI - [Non-organic visual loss].
PMID- 24939288
TI - Prognostic significance of dilated inferior vena cava in advanced decompensated
heart failure.
AB - Dilated inferior vena cava (IVC) is prevalent among patients with heart failure
(HF), but whether its presence predicts worsening renal function (WRF) or adverse
outcomes is unclear. This cohort study analyzed patients with left ventricular
ejection fraction <40 % and repeated hospitalizations (>=2 times) for HF between
August 2009 and August 2011. The study endpoints were death and HF re
hospitalization. Among baseline parameters, IVC diameter was the most powerful
predictor for the development of WRF (area under the curve = 0.795, cut-off value
= 20.5 mm). During the 2-year follow-up, 36 patients (49 %) were re-hospitalized
for HF and 14 patients (19 %) died. The event rates were significantly greater in
the WRF group than in the non-WRF group (71 vs. 30 %, P < 0.001 for HF re
hospitalization; 29 vs. 10 %, P = 0.03 for death). In Cox regression model, the
risk of combined end-points was increased in patients with aging, elevated blood
urine nitrogen, IVC >21 mm, and WRF. When adjusted for confounding factors, IVC
>21 mm [hazard ratio (HR) 3.73, 95 % confidence interval (CI) 1.66-8.34] and WRF
(HR 2.68, 95 % CI 1.07-6.75) were significant predictors for adverse outcomes. In
patients with advanced decompensated HF, dilated IVC (>21 mm) predicted the
development of WRF and could be a predictor for adverse outcomes.
PMID- 24939289
TI - Correction of deviation of a partially resected mandible using a palatal ramp
with the aid of a semi-adjustable articulator.
AB - Patients having undergone hemi-mandibulectomy without further reconstruction (to
restore the lost mandibular continuity) suffer functional limitations due to the
resultant deviation of the segmental mandible. A palatally based guidance
prosthesis (or palatal ramp prosthesis) is often indicated and is usually
fabricated chairside in self-cured acrylic resin. Establishing the correct
angulation of the slope of the palatal ramp may take several attempts before a
satisfactory correction is achieved. This clinical report describes a laboratory
technique in which a semi-adjustable articulator was used for fabricating the
palatal ramp prosthesis. The patient's deviated mandibular position was
transferred to the articulator by means of a facebow and interocclusal record.
The angulation of the palatal ramp was then established on the semi-adjustable
articulator and the prosthesis fabricated in heat-cured acrylic resin. Reduced
chairside time, improved patient comfort levels, and ease in fabrication of the
prosthesis on the semi-adjustable articulator are proposed advantages of the
described technique.
PMID- 24939291
TI - Association between promoter polymorphisms of interleukin-4 gene and allergic
rhinitis risk: a meta-analysis.
AB - The relationship of interleukin-4 (IL-4) C-33T and C-590T (C-589T) gene
polymorphisms with allergic rhinitis was analyzed. Data about the case control
studies of IL-4 gene promoter polymorphisms [C-33T and C-590T (C-589T)] and their
association with allergic diseases and correlation between serum IL-4 levels and
allergic rhinitis were retrieved. The Stata 12.0 statistical software was applied
to analyze the correlation between IL-4 gene polymorphisms and allergic rhinitis.
The meta-analysis result of TT/CC genotype of -590 (-589) polymorphism showed a
significant association with allergic diseases [OR=1.93, 95% CI (1.61-2.31),
P=0.00]. Meta-analysis of the TT+TC versus CC genotype of IL-4 C-33/T
polymorphism revealed significant associations with allergic diseases [OR=3.23,
95% CI (1.13-9.25), P=0.03]. Meanwhile, there was a significant correlation
between serum IL-4 levels and allergic rhinitis [OR=2.52, 95% CI=(1.80-3.23),
P=0.00]. IL-4 gene -590 TT genotype may increase the risk of allergic rhinitis
and the T allele mutation of -33 might be correlated with allergic rhinitis.
PMID- 24939290
TI - Effects of integrins and integrin alphavbeta3 inhibitor on angiogenesis in
cerebral ischemic stroke.
AB - Integrins such as alphavbeta3, alpha5beta1 play a key role in angiogenesis
regulation, invasion and metastasis, inflammation, wound healing, etc. The up
regulation of integrin alphavbeta3 after cerebral ischemic stroke can promote
angiogenesis, which in turn improves functional recovery. In addition, the
integrin alphavbeta3 inhibitor can block the blood-brain barrier (BBB) leakage
induced by vascular endothelial growth factor (VEGF) and also can reduce
inflammatory reaction, decrease the deposition of fibrinogen. Other studies
showed that integrin alphavbeta3 is not essential in revascularization.
Therefore, the effect of integrin alphavbeta3 in the whole process of brain
function recovery merits further study.
PMID- 24939292
TI - Size-dependent biological effects on vascular endothelial cells induced by
different particulate matters.
AB - The contribution of particles to cardiovascular mortality and morbidity has been
enlightened by epidemiologic and experimental studies. However, adverse
biological effects of the particles with different sizes on cardiovascular cells
have not been well recognized. In this study, sub-cultured human umbilical vein
endothelial cells (HUVECs) were exposed to increasing concentrations of pure
quartz particles (DQ) of three sizes (DQPM1, <1 MUm; DQPM3-5, 3-5 MUm; DQPM5, 5
MUm) and carbon black particles of two sizes (CB0.1, <0.1 MUm; CB1, <1 MUm) for
24 h. Cytotoxicity was estimated by measuring the activity of lactate
dehydrogenase (LDH) and cell viability. Nitric oxide (NO) generation and
cytokines (TNF-alpha and IL-1beta) releases were analyzed by using NO assay and
enzyme-linked immunoabsorbent assay (ELISA), respectively. It was found that both
particles induced adverse biological effects on HUVECs in a dose-dependent
manner. The size of particle directly influenced the biological activity. For
quartz, the smaller particles induced stronger cytotoxicity and higher levels of
cytokine responses than those particles of big size. For carbon black particles,
CB0.1 was more capable of inducing adverse responses on HUVECs than CB1 only at
lower particle concentrations, in contrast to those at higher concentrations.
Meanwhile, our data also revealed that quartz particles performed stronger cell
damage and produced higher levels of TNF-alpha than carbon black particles, even
if particles size was similar. In conclusion, particle size as well as particle
composition should be both considered in assessing vascular endothelial cells
injury and inflammation responses induced by particles.
PMID- 24939293
TI - Differential expression of alpha-adrenoceptor subtypes in rat dorsal root
ganglion after chronic constriction injury.
AB - mRNAs of alpha-adrenoceptor (alpha-AR) subtypes are found in neurons in dorsal
root ganglion (DRG) and change after peripheral nerve injury. In this study, the
distribution of alpha-AR subtype proteins was studied in L5 DRG of normal rats
and rats with chronic constriction injury of sciatic nerve (CCI). Using
immunofluorescence technique, it was found that alpha1A-, alpha1B-, and alpha2A
AR proteins were expressed in large, medium, and small size neurons in normal
DRG, and significantly increased in all size neurons 14 days after CCI. alpha1D-
and alpha2C-AR was also expressed in all size neurons in normal DRG. However,
alpha1D-AR was significantly increased and alpha2C-AR was decreased in small size
neurons 14 days post CCI. alpha2B-AR neurons were not detectable in normal and
CCI DRG. Co-expression of alpha1A- and alpha2A-AR in the same neuron was observed
in normal DRG and increased post CCI. Collectively, these results indicated that
there is distinct distribution of alpha-AR subtypes in DRG neurons, and the
distribution and levels of expression of alpha-AR subtypes change differently
after CCI. The up-regulation of alpha-AR subtypes in DRG neurons may play an
important role in the process of generating and transmitting neuropathic pain.
PMID- 24939294
TI - Fucoidan induces apoptosis of HepG2 cells by down-regulating p-Stat3.
AB - Fucoidan is one of the main bioactive components of polysaccharides. The current
study was focused on the anti-tumor effects of fucoidan on human heptoma cell
line HepG2 and the possible mechanisms. Fucoidan treatment resulted in cell cycle
arrest and apoptosis of HepG2 cells in a dose-dependent manner detected by MTT
assay, flow cytometry and fluorescent microscopy. The results of flow cytometric
analysis revealed that fucoidan induced G2/M arrest in the cell cycle
progression. Hoechst 33258 and Annexin V/PI staining results showed that the
apoptotic cell number was increased, which was associated with a dose-dependent
up-regulation of Bax and down-regulation of Bcl-2 and p-Stat3. In parallel, the
up-regulation of p53 and the increase in reactive oxygen species were also
observed, which may play important roles in the inhibition of HepG2 growth by
fucoidan. In the meantime, Cyclin B1 and CDK1 were down-regulated by fucoidan
treatment. Down-regulation of p-Stat3 by fucoidan resulted in apoptosis and an
increase in ROS in response to fucoidan exposure. We therefore concluded that
fucoidan induces apoptosis through the down-regulation of p-Stat3. These results
suggest that fucoidan may be used as a novel anti-cancer agent for
hepatocarcinoma.
PMID- 24939295
TI - Establishment of surfactant-associated protein A suicide gene system and analysis
of its activity.
AB - Alveolar epithelial type II (AT II) cells are essential for lung development and
remodeling, as they are precursors for type I cells and also produce other non
repair cells (fibroblasts). Progenitor cells are believed to possess capability
of multi-potent transdifferentiation, which is closely related to the niche,
suggesting the importance of establishment of a lung progenitor cell niche model.
We hypothesized that pulmonary surfactant-associated protein A (SPA) suicide gene
system would cause AT II cell to kill itself through apoptosis and leave its
niche. In vitro, the recombinant adeno-associated virus vectors-SPA-thymidine
kinase (rAAV-SPA-TK) system was established to get targeted apoptotic AT II
cells. The apoptosis of AT II cells was detected by using MTT. The results showed
that cloned SPA gene promoter had specific transcriptional activity in SPA high
expression cells, and SPA high expression cells (H441) transfected with TK gene
had higher sensitivity to ganciclovir (GCV) than SPA low expression cells (A549).
In vivo, increased apoptosis of AT II cells induced by GCV in rAAV-SPA-TK system
was observed by TUNEL. Finally, the successful packaging and application of rAAV
SPA-TK system provide experimental basis to get specific lung progenitor cell (AT
II) niche in vitro and in vivo.
PMID- 24939296
TI - Gene chip technology used in the detection of HPV infection in esophageal cancer
of Kazakh Chinese in Xinjiang Province.
AB - This study was aimed to screen human papillomavirus (HPV) types associated with
esophageal squamous cell carcinoma of Kazakh in Xinjiang using the gene chip
technique and study the clinical significance of this application. The DNAs were
collected from esophageal squamous cell carcinoma tissues and healthy esophageal
mucosa of Kazakh adults in Xinjiang, and amplified firstly using HPV MY09/11 and
then using HPV G5+/6+ to screen positive HPV specimens. These positive specimens
were further detected by the gene chip technique to screen highly pathogenic HPV
types. After determination with nested PCR amplification with HPV MY09/11 and
G5+/6+, the infection rate of HPV was 66.67% in the esophageal squamous cell
carcinoma group and 12.12% in the healthy control group. By testing the positive
HPV specimens from the esophageal squamous cell carcinoma group, the infection
rate of HPV16 was 97.72% and the co-infection rate of HPV16 and HPV18 was 2.27%.
HPV16 infection may be involved in the development of esophageal squamous cell
carcinoma in Xinjiang Hazakh adults.
PMID- 24939298
TI - Changes of c-fos, malondialdehyde and lactate in brain tissue after global
cerebral ischemia under different brain temperatures.
AB - Under global cerebral ischemia, the effect of different brain temperature on
cerebral ischemic injury was studied. Male Sprague-Dawley rats were divided into
normothermic (37-38 degrees C) ischemia, mild hypothermic (31-32 degrees C)
ischemia, hyperthermic (41-42 degrees C) ischemia and sham-operated groups.
Global cerebral ischemia was established using the Pulsinelli four-vessel
occlusion model and brain temperature was maintained at defined level for 60 min
after 20-min ischemia. The expression of c-fos protein and the levels of
malondialdehyde (MDA) and lactate in brain regions were detected by
immunochemistry and spectrophotometrical methods, respectively. C-fos positive
neurons were found in the hippocampus and cerebral cortex after cerebral ischemia
reperfusion. Mild hypothermia increased the expression of c-fos protein in both
areas, whereas hyperthermia decreased the expression of c-fos protein in the
hippocampus at 24 h reperfusion, and the cerebral cortex at 48 h reperfusion when
compared to normothermic conditions. In normothermic, mild hypothermic and
hyperthermic ischemia groups, the levels of MDA and lactate in brain tissue were
increased at 24, 48 and 72 h reperfusion following 20-min ischemia as compared
with the sham-operated group (P<0.01). The levels of MDA and lactate in mild
hypothermic group were significantly lower than those in normothermic group
(P<0.01). It is suggested that brain temperature influences the translation of
the immunoreactive protein product of c-fos after global cerebral ischemia, and
MDA and lactate are also affected by hypothermia and hyperthermia.
PMID- 24939297
TI - SOCS3 expression correlates with severity of inflammation in mouse hepatitis
virus strain 3-induced acute liver failure and HBV-ACLF.
AB - Recently, suppressor of cytokine signaling-3 (SOCS3) has been shown to be an
inducible endogenous negative regulator of Janus kinase/signal transducers and
activators of transcription (JAK/STAT) pathway which is relevant in inflammatory
response, while its functions in acute liver failure and HBV-induced acute-on
chronic liver failure (HBV-ACLF) have not been fully elucidated. In this study,
we explored the role of SOCS3 in the development of mouse hepatitis virus strain
3 (MHV-3)-induced acute liver failure and its expression in liver and peripheral
blood mononuclear cells (PBMCs) of patients with HBV-ACLF. Inflammation-related
gene expression was detected by real-time PCR, immunohistochemistry and Western
blotting. The correlation between SOCS3 level and liver injury was studied. Our
results showed that the SOCS3 expression was significantly elevated in both the
liver tissue and PBMCs from patients with HBV-ACLF compared to mild chronic
hepatitis B (CHB). Moreover, a time course study showed that SOCS3 level was
increased remarkably in the liver of BALB/cJ mice at 72 h post-infection. Pro
inflammatory cytokines, interleukin (IL)-1beta, IL-6, and tumor necrosis factor
(TNF)-alpha, were also increased significantly at 72 h post-infection. There was
a close correlation between hepatic SOCS3 level and IL-6, and the severity of
liver injury defined by alanine aminotransferase (ALT) and aspartate
aminotransferase (AST) levels, respectively. These data suggested that SOCS3 may
play a pivotal role in the pathogenesis of MHV-3-induced acute liver failure and
HBV-ACLF.
PMID- 24939299
TI - Expression of nerve growth factor and hypoxia inducible factor-1alpha and its
correlation with angiogenesis in non-small cell lung cancer.
AB - In order to investigate the expression of nerve growth factor (NGF) and hypoxia
inducible factor-1alpha (HIF-1alpha) and its correlation with angiogenesis in non
small cell lung cancer (NSCLC), paraffin-embedded tissue blocks from 20 patients
with NSCLC were examined. Twenty corresponding para-cancerous lung tissue
specimens were obtained to serve as a control. The expression of NGF, HIF-1alpha,
and vascular endothelial growth factor (VEGF) in the NSCLC tissues was detected
by using immunohistochemistry. The microvascular density (MVD) was determined by
CD31 staining. The results showed that the expression levels of NGF, HIF-1alpha
and VEGF in the NSCLC tissues were remarkably higher than those in the para
cancerous lung tissues (P<0.05). There was significant difference in the MVD
between the NSCLC tissues (9.19+/-1.43) and para-cancerous lung tissues (2.23+/
1.19) (P<0.05). There were positive correlations between NGF and VEGF, between
HIF-1alpha and VEGF, and between NGF and HIF-1alpha in NSCLC tissues, with the
spearman correlation coefficient being 0.588, 0.519 and 0.588, respectively. In
NSCLC tissues, the MVD had a positive correlation with the three factors
(P<0.05). Theses results suggest that NGF and HIF-1alpha are synergically
involved in the angiogenesis of NSCLC.
PMID- 24939300
TI - Downregulation of LncRNAH19 and MiR-675 promotes migration and invasion of human
hepatocellular carcinoma cells through AKT/GSK-3beta/Cdc25A signaling pathway.
AB - LncRNAH19 has been implicated as having both oncogenic and tumor suppression
properties in cancer. LncRNAH19 transcripts also serve as a precursor for miR
675. However, it is unknown whether LncRNAH19 and miR-675 are involved in the
migration and invasion of hepatocellular carcinoma (HCC) cells. The purpose of
this study was to investigate the effect and mechanism of LncRNAH19 and miR-675
on migration and invasion of HCC cells. The migration and invasion of HCC cells
were measured by Transwell migration and invasion assays after transfection of
HCC cells with miR-675 inhibitors and LncRNAH19siRNA. The levels of LncRNAH19 and
miR-675 were detected by quantitative reverse transcriptase real-time polymerase
chain reaction (qRT-PCR), and the protein expression of AKT, GSK-3beta and Cdc25A
by Western blotting analysis. The expression levels of LncRNAH19 and miR-675 were
higher in MHCC-97H cells than in L02, Huh-7 and HepG2 cells. Transwell migration
assay revealed that the miR-675 inhibitor and LncRNAH19siRNA could significantly
increase the migration of HCC cells (P<0.01) as compared with the control group.
Transwell invasion assay demonstrated that the miR-675 inhibitor and
LncRNAH19siRNA could significantly increase the invasion of HCC cells (P<0.01) as
compared with the control group. Western blotting analysis showed that the
expression levels of AKT and Cdc25A were significantly increased (P<0.05), and
the expression level of GSK-3beta was significantly decreased (P<0.05) after
treatment with miR-675 inhibitors and LncRNAH19siRNA as compared with the control
group. These findings suggested that inhibition of LncRNAH19 and miR-675
expression can promote migration and invasion of HCC cells via AKT/GSK
3beta/Cdc25A signaling pathway.
PMID- 24939301
TI - Different responses of cell cycle between rat vascular smooth muscle cells and
vascular endothelial cells to paclitaxel.
AB - Although previous reports showed drug-eluting stent (DES) could effectively
inhibit neointima formation, in-stent restenosis (ISR) remains an important
obstacle. The purpose of this study was to investigate different effects of
paclitaxel on proliferation and cell cycle regulators between vascular smooth
muscle cells (VSMCs) and vascular endothelial cells (VECs) of rats in vitro. The
cultured VSMCs and VECs of rats from the same tissues were examined by using
immunohistochemistry, flow cytometry and Western blotting in control and
paclitaxel-treated groups. The results showed paclitaxel could effectively
inhibit proliferation of VSMCs and VECs. However, as compared with VECs,
proliferation of VSMCs in paclitaxel-treated group decreased less rapidly. The
percentage of cells in G0-G1 and G2-M phases was reduced, and that in S phase
increased after treatment for 72 h. The expression of cyclin D1 and B1, p27 and
PCNA in VSMCs of paclitaxel-treated group was up-regulated, but that of p21 down
regulated as compared with VECs. It is concluded that there are significant
differences in the expression of cell cycle regulators and proliferation rate
between paclitaxel-treated VSMCs and paclitaxel-treated VECs, suggesting that the
G1-S checkpoint regulated by paclitaxel may play a critical role in the
development of complications of DES, which provides new strategies for treatments
of ISR.
PMID- 24939302
TI - Involvement of VKORC1 in the inhibition of calcium oxalate crystal formation in
HK-2 cells.
AB - The vitamin K epoxide reductase complex subunit 1 (VKORC1), the rate-limiting
enzyme for vitamin K recycling, is significantly down-regulated in the kidneys of
urolithiasis patients. This study searched for direct evidence to define the
inhibitory activity of VKORC1 against calcium oxalate (CaOx) crystal formation.
In the experiment of VKORC1 overexpression, HK-2 cells were transfected with the
pFLAG-CMV-7.1-VKORC1 plasmid as a pFLAG-CMV-7.1-VKORC1 transfection group or the
pFLAG-CMV-7.1 plasmid as a pFLAG-CMV-7.1 control group. In the experiment of
VKORC1 knockdown, HK-2 cells were transfected with the PGPU6/GFP/Neo-VKORC1shRNA
2 as a PGPU6/GFP/Neo-VKORC1shRNA-2 transfection group or the PGPU6/GFP/Neo-shRNA
NC plasmid as a PGPU6/GFP/Neo-shRNA-NC control group. The expression of VKORC1 in
HK-2 cells was detected by real-time quantitative PCR and Western blotting. The
CaOx crystal formation was observed under the laser-scanning confocal microscope.
It was found that the expression levels of VKORC1 mRNA and protein were
significantly higher in the pFLAG-CMV-7.1-VKORC1 transfection group than in the
pFLAG-CMV-7.1 control group (P<0.01). The number of CaOx crystals in HK-2 cells
incubated in fluorescently labeled CaOx monohydrate (COM) crystal medium for 48 h
was 14+/-4 per field (100*) in the pFLAG-CMV-7.1-VKORC1 transfection group and
26+/-5 per field (100*) in the pFLAG-CMV-7.1 control group respectively under the
laser-scanning confocal microscope. The amount of CaOx crystal aggregation and
formation in the pFLAG-CMV-7.1-VKORC1 transfection group was significantly
reduced as compared with the pFLAG-CMV-7.1 control group (P<0.05). The expression
levels of VKORC1 mRNA and protein were significantly lower in the PGPU6/GFP/Neo
VKORC1shRNA-2 transfection group than in the PGPU6/GFP/Neo-shRNA-NC control group
(P<0.05). The number of CaOx crystals in HK-2 cells incubated in fluorescently
labeled COM crystal medium was 65+/-11 per field (100*) in the PGPU6/GFP/Neo
VKORC1shRNA-2 transfection group and 24+/-6 per field (100*) in the PGPU6/GFP/Neo
shRNA-NC control group respectively under the laser-scanning confocal microscope.
The amount of CaOx crystal aggregation and formation in the PGPU6/GFP/Neo
VKORC1shRNA-2 transfection group was significantly increased as compared with the
PGPU6/GFP/Neo-shRNA-NC control group (P<0.05). These findings suggested that the
VKORC1 protein could inhibit CaOx salt crystallization, adhesion and aggregation.
This research would help us to understand the mechanisms involving the
interaction between crystallization and epithelial cells and the formation of
CaOx.
PMID- 24939304
TI - Lateral crus graft with autologous rib cartilage for cleft lip nostril asymmetry:
a report of 35 cases.
AB - A surgical technique of lateral crus strut graft to correct the deformity of
nostril contour and improve asymmetric nostril shape by autologous rib cartilage
was reported. Thirty-five patients (20 males and 15 females), with a mean age of
19 years (range of 16-26 years) were included in this study. All of the patients
suffered moderate to severe unilateral cleft lip nostril deformity and underwent
surgical technique of lateral crus strut graft by autologous rib cartilage to
correct the asymmetric nostril contour from 2010 to 2012. The views of the
nostril contour on both sides were reviewed and some parameters were measured at
different time points (preoperatively, and 3 months and one year postoperatively)
including the long axis and short axis of the nostrils. The differences in
nostrils including long axis, short axis, elliptical area and eccentricity on the
both sides were compared at different time points. The differences in the long
axis and eccentricity of the nostrils on the two sides were decreased
significantly between the preoperative view and postoperative view (P<0.05). The
surgical technique of lateral crus graft with autologous rib cartilage is
effective to correct the abnormal nostril contour and improve the asymmetry for
unilateral cleft clip patients.
PMID- 24939303
TI - Versatility of reverse sural fasciocutaneous flap for reconstruction of distal
lower limb soft tissue defects.
AB - In this study we present our experiences with the reverse sural fasciocutaneous
flap to reconstruct the distal lower limb soft tissue defects caused by traumatic
injuries. These flap graftings were carried out from Oct. 2010 to Dec. 2012 in
our department. The series consisted of 36 patients, including 21 men and 15
women with an average age of 46.2 years (14-83 years) and with a medium follow-up
period of 18 months (12-24 months). Of all the cases of acute trauma, there were
10 cases of trauma of distal tibia, 9 cases of trauma of perimalleolus, and 17
cases of trauma of midfoot and forefoot. Related risk factors in the patients
were diabetes (2 cases), advanced age (>65 years, 3 cases) and cigarette smoking
(6 cases). The reverse flow sural island flap irrigation depended on lower
perforators of the peroneal artery. The fasciocutaneous pedicle was 3-4 cm in
width and the anatomical structures consisted of the superficial and deep fascia,
the sural nerve, short saphenous vein, superficial sural artery together with an
islet of subcutaneous cellular tissue and skin. The most proximal border of the
flap was only 1.5 cm away from the popliteal skin crease and the pivot point was
5-7 cm above the tip of the lateral malleolus. All the flaps survived. No
arterial crisis occurred in any case. The venous congestion occurred in 2 cases
and got better after raising the limbs and bloodletting. Only in an old man, 1.5
cm necrosis of distal margin of his flap occurred and finally healed after
continuous dressing change. One-stage skin grafting was performed, and all the
donor sites were sutured and successfully healed. It was concluded that the
reverse sural fasciocutaneous flap is safe and reliable to extend to the proximal
third even near the popliteal skin crease. We also concluded this flap can be
safely and efficiently used to treat patients with large and far soft-tissue
defects from the distal leg to the forefoot with more versatility and it is
easier to reach the recipient sites.
PMID- 24939305
TI - Relationship between tyrosine phosphorylation and protein expression of insulin
receptor and insulin resistance in gestational diabetes mellitus.
AB - The relationship between tyrosine phosphorylation (TP) and protein expression of
insulin receptor (InsR) and insulin resistance (IR) in patients with gestational
diabetes mellitus (GDM) was investigated. The InsR expression and TP in skeleton
muscle tissue were determined by Western blotting and immunoprecipitation in
women with GDM (GDM group, n=22), normal pregnant women (normal pregnancy group,
n=22) and normal non-pregnant women (normal non-pregnant group, n=13). Fasting
plasma glucose (FPG) and fasting insulin (FINS) were measured by oxidase assay
and immunoradioassay. The results showed that the levels of FPG (5.61+/-0.78
mmol/L), FINS (15.42+/-5.13 mU/L) and Homeostasis model assessment-IR (HOMA-IR)
(1.21+/-0.52) in GDM group were significantly higher than those in normal
pregnancy group (4.43+/-0.46 mmol/L, 10.56+/-3.07 mU/L and 0.80+/-0.31
respectively) (P<0.01). The levels of FINS and HOMA-IR in normal pregnancy group
were significantly higher than those in normal non-pregnant group (7.56+/-2.31
mU/L and 0.47+/-0.26 respectively) (P<0.01). There was no significant difference
in the InsR expression level among the three groups (P>0.05). TP of InsR with
insulin stimulation was significantly decreased in GDM group (0.20+/-0.05) as
compared with normal pregnancy group (0.26+/-0.06) (P<0.01). TP of InsR with
insulin stimulation in normal pregnancy group was lower than that in normal non
pregnant group (0.31+/-0.06) (P<0.01). TP of InsR with insulin stimulation was
negatively related with HOMA-IR in GDM group (r=-0.525, P<0.01). There was no
correlation between the protein expression of InsR and HOMA-IR in GDM group (r=
0.236, P>0.05). It was suggested that there is no significant correlation between
the protein expression of InsR in skeletal muscle and IR in GDM, but changes in
TP of InsR are associated with IR in GDM.
PMID- 24939306
TI - n-Butanol extract of Rhynchosia volubilis Lour: a potent spermicidal agent In
Vitro.
AB - Rhynchosia volubilis Lour has been a major drug in a folk prescription for
contraception in China, whereas its mechanism remains unknown. Its antifertility
effects on male mice and antimicrobial activities on sexually transmitted
infection (STI) pathogens were previously reported. This study was undertaken to
develop the n-Butanol extract of Rhynchosia volubilis Lour (BERVL) as a
spermicidal agent with STI prevention. The spermicidal activities of BERVL with
different doses were assessed using selected high-motile sperms of normal human
semen samples, and their inhibitory effects on Lactobacillus acidophilus were
determined. The mechanism of the spermicidal activity was explored by aqueous
Eosin Y and Hoechst 33342/PI staining. The results showed spermicidal activities
and inhibitory effects of BERVL on Lactobacillus acidophilus were dose-dependent.
Dose of 90 mg/mL BERVL terminated all progressive sperm motility within 2 min,
and had slight inhibitory effect on Lactobacillus acidophilus, suggesting it was
an effective and safe dose for contraception use. About 80% sperms exposed to
BERVL displayed changes consistent with high permeability of head membrane. It is
concluded that BERVL as spermicide has advantages over N-9 with strong ability to
instantaneously kill human sperm and possesses light inhibitory effect on
Lactobacillus acidophilus.
PMID- 24939307
TI - Effect of complement C1q expression on hepatic ischemia-reperfusion injury in
rats.
AB - The effect of the complement C1q expression on total hepatic ischemia-reperfusion
(I/R) injury in rats was investigated. Sixty healthy male Sprague Dawley (SD)
rats weighing 180-200 g were randomly divided into 5 groups: sham-operation group
(S group, n=12); group of I/R for 1 h (I/R 1 h group, n=12); group of I/R for 3 h
(I/R 3 h group, n=12); group of I/R for 6 h (I/R 6 h group, n=12); group of I/R
for 24 h (I/R 24 h group, n=12). The hepatic I/R model of rats was established,
and liver tissues were obtained 1 h, 3 h, 6 h and 24 h after hepatic I/R,
respectively. Furthermore, the tissues were stained using hematoxylin-eosin, and
the liver injuries of rats were observed using a microscope. The malondialdehyde
(MDA) level and superoxide dismutase (SOD) activity in liver tissue were
determined. Real-time polymerase chain reaction (PCR) and Western blotting were
used to detect the expression levels of C1q mRNA and protein, respectively. As
compared with the S group, the histopathological changes in I/R 1 h-24 h groups
were gradually aggravated with the extension of I/R time. As compared with the S
group, SOD activity and MDA content in the I/R groups were reduced and increased
respectively with the extension of I/R time (P<0.01). Furthermore, the C1q
expression at mRNA and protein levels in the I/R groups (especially in the I/R 3
h group) was significantly higher than that in the S group (P<0.05). It is
suggested that C1q expression may play a principal role in hepatic I/R injury,
particularly at the early stage of perfusion.
PMID- 24939308
TI - Effect of Methyl-CpG binding domain protein 2 (MBD2) on AMD-like lesions in ApoE
deficient mice.
AB - The role of methyl-CpG binding domain protein 2 (MBD2) in an ApoE-deficient mouse
model of age-related macular degeneration (AMD) was investigated. Eight-week-old
Mbd2/ApoE double deficient (Mbd2(-/-) ApoE(-/-)) mice (n=12, 24 eyes,
experimental group) and MBD2 (wt) ApoE(-/-) mice (n=12, 24 eyes, control group)
were fed on Western-type diet for 4 months. The mice were sacrificed, and total
serum cholesterol levels were analyzed and Bruch's membrane (BM) of the eyes was
removed for ultrastructural observation by transmission electron microscopy.
Moreover, intercellular adhesion molecule 1 (ICAM-1) immunoreactivities were
evaluated by fluorescence microscopy in sections of the eyes in both groups for
further understanding the function mechanism of MBD2. There was no significant
difference in the total serum cholesterol levels between control group and
experimental group (P>0.05). Transmission electron microscopy revealed that AMD
like lesions, various vacuoles accumulated on BM, notable outer collagenous layer
deposits and dilated basal infoldings of retinal pigment epithelium (RPE) were
seen in both groups, and the BM in control group was significantly thickened as
compared with experimental group (P<0.05). Fluorescence micrographs exhibited the
expression of ICAM-1 in choroid was higher in control group than in experimental
group. We are led to conclude that MBD2 gene knockout may lead to accumulation of
more deposits on the BM and influence the pathogenesis of AMD via triggering
endothelial activation and inflammatory response in choroid, improving
microcirculation, and reducing lipid deposition so as to inhibit the development
of AMD-like lesions. Our study helps to provide a new therapeutic approach for
the clinical treatment of AMD.
PMID- 24939309
TI - Expression of USP15, TbetaR-I and Smad7 in psoriasis.
AB - The deubiquitinating enzyme ubiquitin specific peptidase 15 (USP15) is regarded
as a regulator of TGFbeta signaling pathway. This process depends on Smad7, the
inhibitory factor of the TGFbeta signal, and type I TGFbeta receptor (TbetaR-I),
one of the receptors of TGFbeta. The expression level of USP15 seems to play
vital roles in the pathogenesis of many neoplasms, but so far there has been no
report about USP15 in psoriasis. In this study, immunohistochemical staining of
USP15, TbetaR-I and Smad7 was performed in 30 paraffin-embedded psoriasis
specimens and 10 normal specimens to investigate the expression of USP15, TbetaR
I and Smad7 in psoriasis and to explore the relevance among them. And USP15 small
interfering RNA (USP15 siRNA) was used to transfect Hacat cells to detect the
mRNA expression of TbetaR-I and Smad7. Of 30 cases of psoriasis in active stage,
28, 24 and 26 cases were positive for USP15, TbetaR-I and Smad7 staining,
respectively. The positive rates of USP15 and Smad7 were significantly higher in
psoriasis specimens than in normal skin specimens (44.1%+/-26.0% vs. 6.1%+/-6.6%,
47.2%+/-27.1% vs. 6.6%+/-7.1%), and positive rate of TbetaR-I (20.3%+/-22.2%) in
psoriasis was lower than that in normal skin specimens (46.7%+/-18.2%). There was
a significant positive correlation between USP15 and Smad7 expression, and
significant negative correlations between USP15 and TbetaR-expression, an I d
between TbetaR- and Smad7 expression I in psoriasis. After transfection of USP15
siRNA in Hacat cells, the expression of TbetaR-mRNA was up I -regulated and that
of Smad7 was down-regulated. It is concluded that USP15 may play a role in the
pathogenesis of psoriasis through regulating the TbetaR-I/Smad7 pathway and there
may be other cell signaling pathways interacting with USP15 to take part in the
development of psoriasis.
PMID- 24939310
TI - Efficacy of solution form of ethylenediaminetetraacetic acid on removing smear
layer of root canal at different exposure time In Vitro.
AB - This study was aimed to evaluate the effectiveness of solution form of 17%
ethylenediaminetetraacetic acid (EDTA) on removing smear layer of root canals at
different exposure time periods and to provide scientific basis for EDTA as a
choice of root canal irrigation in clinical practice. Twenty-five single-rooted
teeth were randomly divided into 5 groups: control group (group A) was given 2.5%
NaOCl, and 4 experimental groups were given 2.5% NaOCl and 17% EDTA, including
groups B, C, D and E with exposure time of 1, 3, 5 and 7 min, respectively. After
preparation of the root canals, the teeth were split along their longitudinal
axis, and the root sections were examined under scanning electron microscope for
evaluation of smear layer removal and erosion on the surface of the root canal
walls. The specimens in group B showed presence of smear layer on the walls of
the root canal with no statistical difference from that in group A (P>0.05). In
groups C and D, partial removal of smear layer was obtained, and there was no
significant difference between the two groups (P>0.05), but there was significant
difference in removal of smear layer between group C and group B (P<0.05). Root
canal walls in group E specimens showed almost complete removal of smear layer,
and the removal of smear layer was significantly different from that in group D
(P<0.01). There was no significant change in the structure of the surface of root
canal for each sample. It was concluded that combined irrigation with 17% EDTA
and 2.5% NaOCl could remove the smear layer with no significant alteration in
dentinal structure when the chelating agent was applied for 7 min. At 3 and 5 min
of application, partial removal of smear layer was observed and at 1 min
negligible removal of smear layer was achieved.
PMID- 24939311
TI - Three-dimensional localization of impacted canines and root resorption assessment
using cone beam computed tomography.
AB - The purpose of this study was to develop a new way to localize the impacted
canines from three dimensions and to investigate the root resorption of the
adjacent teeth by using cone beam computed tomography (CBCT). Forty-six patients
undergoing orthodontic treatments and having impacted canines in Tongji Hospital
were examined. The images of CBCT scans were obtained from KaVo 3D exam vision.
Angular and linear measurements of the cusp tip and root apex according to the
three planes (mid-sagittal, occlusal and frontal) have been taken using the
cephalometric tool of the InVivo Dental Anatomage Version 5.1.10. The
measurements of the angular and linear coordinates of the maxillary and
mandibular canines were obtained. Using this technique the operators could
envision the location of the impacted canine according to the three clinical
planes. Adjacent teeth root resorption of 28.26 % was in the upper lateral
incisors while 17.39% in upper central incisors, but no lower root resorption was
found in our samples. Accurate and reliable localization of the impacted canines
could be obtained from the novel analysis system, which offers a better surgical
and orthodontic treatment for the patients with impacted canines.
PMID- 24939312
TI - Development of a liquid chromatography-tandem mass spectrometry method for
determination of butoconazole nitrate in human plasma and its application to a
pharmacokinetic study.
AB - A liquid chromatography-tandem mass spectrometry (LC-MS/MS) method was developed
and validated for the determination of butoconazole in human plasma. Human plasma
samples of 0.2 MUL were pretreated by a single step protein precipitation
procedure and analyzed using a high performance liquid chromatography (HPLC)
electrospray tandem mass spectrometer system. The compounds were eluted
isocratically on an Inertsil ODS-SP column (100 mm*2.1 mm, 3 MUm), ionized using
a positive ion atmospheric pressure electrospray ionization source and analyzed
using multiple reaction monitoring (MRM) mode. The ion transitions monitored were
m/z 412.8->165.1 for butoconazole and m/z 453.4->230.3 for the internal standard.
The chromatographic run time was 3.5 min per injection, with retention time of
2.47 min and 2.15 min for butoconazole and repaglinide, respectively. The method
was validated to be linear over the range of 20 to 8000 pg/mL (r>0.999) by using
a weighted (1/x(2)) quadratic regression. The mean recovery rate was more than
86.7%, and the intra- and inter-day precision of the quality control samples
(QCs) was less than 8.3% and the accuracy ranged from 96.0% to 110.2%, which
indicated that the quantitative method was reliable and accurate. The method is
simple, rapid, and has been applied successfully to a pharmacokinetics study of
butoconazole nitrate suppositories in healthy Chinese females.
PMID- 24939313
TI - Psychological intervention for postpartum depression.
AB - The postpartum depression outcome and the effect of psychological intervention
were studied in order to reduce the occurrence and development of the postpartum
depression. A survey of 4000 women within 4-6 weeks postpartum in 80 communities
in Shenzhen, China was performed using random cluster sampling method. By
employing Edinburgh Postnatal Depression Scale (EPDS) as a screening tool, the
positive women (defined as EPDS >=10) were randomly divided into intervention
group and control group at a ratio of 1:2. The women in the intervention group
were treated by means of mailing postpartum depression prevention and treatment
knowledge manual, face-to-face counseling, and telephone psychological counseling
interventions aiming at individual risk factors, while those in the control group
were treated with conventional methods. EPDS scores were assessed in these two
groups again at 6th month postpartum. Totally, 3907 valid questionnaires were
obtained. All the 771 positive women were divided into two groups: 257 in the
intervention group, and 514 in the control group. At 6th month postpartum, the
EPDS scores in the intervention group were decreased significantly, from baseline
stage (12.84+/-3.02) to end stage (3.05+/-2.93), while EPDS scores in the control
group were reduced from 12.44+/-2.78 to 6.94+/-4.02. There were significant
differences in the EPDS scores at end stage between the two groups (t=13.059,
P<0.001). Psychological intervention can reduce postpartum depression, with
better maternal compliance. It is feasible and necessary to establish postpartum
depression screening and psychological intervention model in community-hospital
and include the postpartum depression screening, intervention, and follow-up into
the conventional healthcare.
PMID- 24939315
TI - Efficacy of Jian'ganle () versus Hugan Pian (), glucuronolactone and reduced
glutathione in prevention of antituberculosis drug-induced liver injury.
AB - Evidence-based medicine is advocated by WHO and adopted by developed countries
for many years. In China, however, the selection of essential medicine and
various medical insurance reimbursement schemes medicine is usually based on
experts' experience of prescription practice which is under heavy critics
resulting from the lack of related comparative efficacy and evidence-based
research. The efficacy of Jian'ganle in prevention of drug-induced liver injury
(DILI) caused by antituberculotics was evaluated in this study by comparison with
Hugan Pian, glucuronolactone and reduced glutathione. Evidence was provided for
relevant sectors such as Ministry for Human Resources and Social Security of the
People's Republic of China and National Health and Family Planning Commission of
the People's Republic of China to select and renew the Essential Medicine List
(EML), the new rural cooperative medical scheme in China (NRCMS) list or the
reimbursement list of industrial injury insurance. A total of 189 patients with
initial pulmonary tuberculosis were divided into four groups who took
antituberculotics combined with Jian'ganle, Hugan Pian, glucuronolactone and
reduced glutathione respectively. Their liver function profile including alanine
aminotransferase (ALT), aspartate aminotransferase (AST), total bilirubin (TBIL),
direct bilirubin (DBIL), total protein (TP), albumin (A) and globulin (G) were
detected at admission as baseline and after treatment. The Jian'ganle group was
compared with the three others by chi-square tests. In an aspect of maintaining
bilirubin indexes normal, Jian'ganle was more efficacious than glucuronolactone.
And Jian'ganle had a little more efficacy than reduced glutathione to maintain
protein indexes normal as well. And the therapeutic regimen of antituberculotics
combined with Jian'ganle was the best in treating tuberculosis and preventing
DILI at the same time. The study showed that among the four hepatinicas which
demonstrated similar prevention of DILI caused by antituberculotics, Jian'ganle
has more advantages over the three others to some extent, which provides a
reliable basis for health sectors to select and renew the EML, NRCMS List or the
reimbursement list of industrial injury insurance.
PMID- 24939314
TI - Influencing factors of mental health of medical students in China.
AB - This study investigated the mental health status of medical students in China,
and analyzed the influencing factors in order to provide evidence for mental
health education for medical students. A stratified cluster sampling method was
used to recruit medical students from Huazhong University of Science and
Technology, China. The questionnaire survey on general information and Symptom
Checklist 90 (SCL-90) were used for investigation and analysis. The results
showed among the 1137 valid questionnaires, 278 (24.45%) participants had SCL-90
score >= 160. The top three mental problems of medical students were obsessive
compulsive disorder, interpersonal sensitivity and depression in terms of the
factor score >= 2.5 and the number of participants who reflected on the diseases.
The third-year medical students had the worst mental health status, and fifth
year medical students had the best mental health status. Students from rural area
had more psychological problems than those from urban area; furthermore, students
with high professional satisfaction, those who were the single child of the
family, non-poor students, and those whose parents had high education level had
better mental health status. It was concluded that the mental health of medical
students is not optimistic in China. Medical students have some mental health
problems of different degrees. Factors that influence the mental health of
medical students include academic pressure, professional satisfaction level and
family environment.
PMID- 24939316
TI - Is nationwide special campaign on antibiotic stewardship program effective on
ameliorating irrational antibiotic use in China? Study on the antibiotic use of
specialized hospitals in China in 2011-2012.
AB - With dwindling number of new antibiotics and inappropriate use of antibiotics,
the emergence and spread of antibiotics resistance occurs commonly in healthcare
institutions worldwide. In China, antibiotics are commonly over prescribed and
misused. This study is to assess the effect of the nationwide special campaign on
antibiotic stewardship program (ASP) at specialized hospitals in China by
investigating prescription information from 2011 to 2012. Data on the hospital
consumption and prescription of systemic antibiotics were obtained from four
specialized hospitals, including maternity, children's, stomatological and cancer
hospitals. Systematic random sampling was used to select outpatient prescriptions
and inpatient cases. A total of 105 specialized hospitals in 2011 and 121
specialized hospitals in 2012 were analysed. The defined daily doses (DDDs) per
100 inpatient days, the percentage of antibiotic use in outpatient prescriptions,
and the percentage of antibiotic use in inpatient cases were used as measurements
of antibiotic use. The overall antibiotic use density in the selected hospitals
decreased between 2011 and 2012 from 39.37 to 26.54 DDD/100 inpatient days
(P<0.001). The percentage of antibiotic use in outpatient prescriptions (range:
24.12%-18.71%, P=0.109) and inpatient cases (64.85%-60.10%, P=0.006) also
decreased within the two years. Significant changes were observed among regions
and different hospitals within the two years. And antibiotic consumption was
correlated with the type and size of specialized hospital in 2012, but not with
the regions. This analysis of antibiotic consumption of specialized hospitals
allows relevant comparisons for benchmarking and shows that national ASP has
improved antibiotic rational use in China. The data will assist policymakers in
formulating effective strategies to decrease antibiotic overuse and identify
areas that require further work.
PMID- 24939319
TI - Isolated fallopian tube torsion with partial hydrosalpinx in a premenarcheal
girl: a case report.
AB - INTRODUCTION: Isolated fallopian tube torsion as a complication of a preexisting
hydrosalpinx is a rare finding in pediatric patients. The obvious rarity of this
condition, its subtle diagnostic features and dissonant previous reporting about
the appropriate therapeutic approach according to age, future conception capacity
and potential complications of possible pregnancies make the decision about which
surgical approach to use very difficult. In this report, we describe the case of
a patient with such a presentation and review the literature. Very few similar
reports of neosalpingostomy in pediatric patients have been published to date.
CASE PRESENTATION: In our present report, we describe the case of an 11-year-old
Caucasian prepubertal girl who presented to our hospital with complaints of
abdominal pain in the right lower quadrant, nausea and vomiting. The diagnostic
workup led us to conclude that she had a torsion of the right ovary, which was
cystically altered. Exploratory surgery revealed a partial hydrosalpinx and
consecutive isolated torsion of the fimbrial part. The proximal isthmic part of
the fallopian tube was intact and vital. Restorative surgery was performed to
create a neosalpingostomy on the viable isthmic part of the tube and remove the
cystic and twisted fimbrial and infundibular parts. CONCLUSION: The surgical
procedure described in this report is technically simple and feasible, but leaves
doubts about the final outcome.
PMID- 24939320
TI - Interleukin-1beta-immunoreactive neurons in the hippocampus and paraventricular
nucleus of the hypothalamus after stress stimulation in aged versus adult rats.
AB - It is believed that the impact of stress on interleukin-1beta (IL-1beta) depends
on the ontogenetic age. This study examines the influence of acute or chronic
exposure to forced-swim (FS) stress or high-light open-field (HL-OF) stimulation
on the expression of IL-1beta. Double immunofluorescence staining was used to
reveal the density of IL-1beta/NeuN (NeuN is a neuronal nuclear marker)
immunoreactive (-ir) cells in the hippocampal subfields CA1 and CA3, dentate
gyrus (DG), and paraventricular nucleus (PVN) of the hypothalamus. Adult
postnatal day 90 (P90) and aged (P720) rats were used in this experiment. The
data showed a significant increase in the density of IL-1beta/NeuN-ir cells in
the CA1, CA3, DG, and PVN in P720 nonstressed rats in relation to P90 control
animals. Neither FS nor HL-OF acute stimulation caused alteration in the density
of IL-1beta-ir neurons in any of the investigated structures in P90 and P720 rats
in comparison with control groups. However, chronic FS caused a significant
increase in CA3 and DG of P720 rats, and chronic HL-OF led to a significant
increase in the density of IL-1beta-ir neurons in the PVN of P90 rats and in all
hippocampal subfields of P720 animals. These results indicate that chronic HL-OF
stimulation is a factor that induces changes in the number of IL-1beta-ir neurons
in the PVN of adult rats, whereas both chronic FS and HL-OF are aggravating
factors for the hippocampus of aged (P720) animals.
PMID- 24939317
TI - Therapeutic suppression of premature termination codons: mechanisms and clinical
considerations (review).
AB - An estimated one-third of genetic disorders are the result of mutations that
generate premature termination codons (PTCs) within protein coding genes. These
disorders are phenotypically diverse and consist of diseases that affect both
young and old individuals. Various small molecules have been identified that are
capable of modulating the efficiency of translation termination, including select
antibiotics of the aminoglycoside family and multiple novel synthetic molecules,
including PTC124. Several of these agents have proved their effectiveness at
promoting nonsense suppression in preclinical animal models, as well as in
clinical trials. In addition, it has recently been shown that box H/ACA RNA
guided peudouridylation, when directed to modify PTCs, can also promote nonsense
suppression. In this review, we summarize our current understanding of eukaryotic
translation termination and discuss various methods for promoting the read
through of disease-causing PTCs, as well as the current obstacles that stand in
the way of using the discussed agents broadly in clinical practice.
PMID- 24939321
TI - Serum and urine galactomannan testing for screening in patients with
hematological malignancies.
AB - Testing for serum galactomannan (GM) has been established as an important method
for diagnosing invasive aspergillosis (IA); however, limited data exist regarding
the application of urine GM testing. The objective of this study was to evaluate
the performance of GM screening of urine specimens and to compare results with
serum GM. The study was performed between July 2012 and March 2013 in adult
patients with underlying hematological malignancies who were hospitalized at the
Medical University of Graz, Austria. Serum and urine screening samples were
collected and tested twice weekly (always on the same day). In total, 242 serum
samples and a similar number of urine samples were collected from 75 patients. A
total of 21/242 (8.7%) serum samples from 13 patients were GM positive.
Sensitivity, specificity, positive predictive value, and negative predictive
value using a 0.1 optical density index cutoff for urine samples (compared with
same-day serum results) were as follows: 47.6%, 86%, 24.4%, and 94.5%,
respectively. In 8/10 patients with probable IA, at least one positive GM result
was found with this cutoff. After calculating clinical performance of the urine
GM test, we found that sensitivity increased to 71.4% and specificity to 88.2%.
Spearman-Rho correlation analysis revealed a significant positive correlation
between serum and urine samples (P < 0.001; rho = 0.252). In conclusion, GM
detection in urine might be a promising method for IA screening. However, further
studies are needed.
PMID- 24939322
TI - Caffeine as an indicator of estrogenic activity in source water.
AB - Caffeine has already been used as an indicator of anthropogenic impacts,
especially the ones related to the disposal of sewage in water bodies. In this
work, the presence of caffeine has been correlated with the estrogenic activity
of water samples measured using the BLYES assay. After testing 96 surface water
samples, it was concluded that caffeine can be used to prioritize samples to be
tested for estrogenic activity in water quality programs evaluating emerging
contaminants with endocrine disruptor activity.
PMID- 24939323
TI - Synthesis and antioxidant activity of 3-(Pyridin-2-ylmethyl)-1,3
thiazinan(thiazolidin)-4-ones.
AB - The antioxidant properties of two series of thiazolidinones and thiazinanones
were reported. The novel six-membered thiazinanones were synthesized from the
efficient multicomponent reaction of 2-picolylamine (2-aminomethylpyridine),
arenaldehydes, and the 3-mercaptopropionic acid in moderate to excellent yields.
These novel compounds were fully identified and characterized by NMR and GC-MS
techniques. In vitro antioxidant activities of all compounds were evaluated by
2,2-diphenyl-1-picrylhydrazyl (DPPH) and 2,2'-azinobis-3-ethylbenzothiazoline-6
sulfonic acid (ABTS) tests. The antioxidant assays of thiobarbituric acid
reactive species and total thiol content levels in the cerebral cortex and liver
of rats were also performed. Thiazinanone 5a showed the best radical scavenging
activity in DPPH and ABTS tests, as well as reduced lipid peroxidation and
increased total thiol group in biological systems. Altogether, the results may be
considered a good starting point for the discovery of a new radical scavenger.
PMID- 24939324
TI - Regadenoson for myocardial perfusion imaging: Is it safe?
PMID- 24939325
TI - Mixed chimerism and graft loss in pediatric recipients of an alemtuzumab-based
reduced-intensity conditioning regimen for non-malignant disease.
AB - BACKGROUND: Reduced-intensity conditioning (RIC) regimens can mitigate the
toxicity of hematopoietic cell transplantation (HCT) in children with non
malignant diseases, but are associated with increased risk for post-transplant
mixed donor/recipient chimerism (MC) and/or graft loss (GL). Intervention with
donor lymphocytes or stem cell boosts (DLI/boost) may be necessary, but there is
limited information about timing and results of intervention. PROCEDURE: We
retrospectively evaluated 31 consecutive pediatric recipients of an alemtuzumab
based RIC HCT at the Children's Hospital of Philadelphia from May 2007 to
December 2012 to determine the incidence of MC, GL, and use of DLI/boost. All
patients received alemtuzumab with either fludarabine (150 mg/m(2) )/melphalan
(140 mg/m(2) ) (n = 30) or fludarabine/busulfan (n = 1), and unmanipulated marrow
grafts from related (48%) or matched unrelated (52%) donors. RESULTS: Of
surviving patients, 67% and 44% displayed MC and MC with <=80% donor contribution
(MC <= 80%), respectively. Rates of MC, MC <= 80%, DLI/boost, and GL were
significantly higher in recipients of proximal/intermediate (100%, 73%, 46%, and
46%, respectively) compared to distal alemtuzumab (44%, 25%, 6%, and 6%,
respectively). Event-free and overall survival was significantly lower in HLH
compared with non-HLH patients. Twenty percent of patients required DLI/boost,
and DLI/boost did not affect the incidence of GL. CONCLUSIONS: RIC with
proximal/intermediate alemtuzumab is associated with high rates of MC, need for
DLI/boost, and GL.
PMID- 24939326
TI - The impact of obesity on adult tracheostomy complication rate.
AB - OBJECTIVES/HYPOTHESIS: Prospectively compare tracheostomy-related complications
in obese patients with complications in nonobese patients. STUDY DESIGN:
Prospective cohort study. METHODS: Adult patients undergoing tracheostomy were
studied. Patients who had a prior tracheostomy were excluded. Complications were
classified as intraoperative, early (within the first 2 weeks), or late. RESULTS:
A total of 151 patients met inclusion/exclusion criteria. Fifty-five percent of
obese patients experienced at least one tracheostomy-related complication; 19.5%
of the control group had a complication. Mean procedure duration for patients
with body mass index < 30 was 24.74 minutes and for obese patients was 46.17
minutes. There was a statistically significant effect of body mass index on
complication rate (P < .0001) and on procedure duration (P < .0001). The
relationships between body mass index and intraoperative complications (P = .027)
and early postoperative complications (P = .001) were significant. CONCLUSIONS:
The tracheostomy-related complication rate is significantly higher for obese
patients, especially for body mass index >= 35, especially in the intraoperative
and early postoperative time periods. Procedure duration is significantly longer
in obese patients.
PMID- 24939327
TI - People come into our lives for a reason.
PMID- 24939328
TI - Interdisciplinary preceptor teams to improve the clinical nurse leader student
experience.
AB - The Clinical Nurse Leader (CNL) role was introduced by the American Association
of Colleges of Nursing (AACN) in 2003 (AACN, 2003). There are now over 2,500
certified CNLs in the United States. Still some areas of the country have no CNLs
in practice; this was true of north central Texas until May 2010 when Texas
Christian University (TCU) had its first graduating class. Lack of CNLs to serve
as preceptors for the practicum courses in the CNL program was one concern,
although AACN does offer options when CNLs are not available. TCU's CNL teaching
team developed the interdisciplinary preceptor team (IPT) model to strengthen the
practicum component of CNL education at TCU. One advantage of the IPT model is
the match it provides with several CNL competencies: lateral integration of care
via interdisciplinary teams, member and leader of health care teams, skillful
communication within teams, and implementation of an interdisciplinary approach
to safe, quality, patient care. Components of the IPT model are discussed with
specific information about preceptor selection, team development, and examples of
feedback from preceptors and students.
PMID- 24939329
TI - Implementing AACN's recommendations for environmental sustainability in colleges
of nursing: from concept to impact.
AB - In 2011, the American Association of Colleges of Nursing (AACN) released a
guidance report titled Toward an Environmentally Sustainable Academic Enterprise:
An AACN Guide for Nursing Education. The report was developed in response to a
vivid slide presentation at an AACN meeting depicting the deleterious public and
environmental health effects of global industrialization. Following the
presentation, AACN members capitalized on the opportunity to provide national
leadership to U.S. colleges of nursing in regard to environmental sustainability
and stewardship. This article summarizes key features of the AACN plan and
outlines one college's multifaceted implementation plan. The goal of the
implementation plan was to translate the AACN recommendations from concept into
college-specific actions. Specific steps taken by the college included the
following: (a) increasing student and faculty awareness, (b) greening business
operations, (c) increased participation in media events, (d) leveraging the
impact of national sustainability initiatives, and (e) enhancing curricula at the
undergraduate and graduate levels. Through this work, the college achieved not
only a higher standard of sustainability within its own walls but also a richer
appreciation of the importance of educating nurses as future stewards in an
environmentally sustainable health care system.
PMID- 24939331
TI - Faculty to faculty incivility: experiences of novice nurse faculty in academia.
AB - Academic incivility creates a challenging work environment for nursing faculty.
Understanding the concept of faculty-to-faculty incivility may enlighten faculty
regarding appropriate interpersonal relationships, assist in alleviating uncivil
behavior, and improve the likelihood that faculty will remain in nursing
education, potentially easing the current nursing faculty shortage. The primary
purpose of this study was to describe novice nurse faculty members' lived
experiences of faculty-to-faculty incivility. A second purpose was to describe
and understand how incivility influences faculty decision to remain in nursing
academia. A hermeneutical phenomenological approach was selected to uncover the
lived experience. A purposive sample of eight novice nursing faculty, those with
less than 5 years of experience, was obtained via e-mail recruitment from mid
Atlantic college Web sites. Five themes and 7 subthemes emerged. Among the
findings were sensing rejection, employing behaviors to cope with uncivil
colleagues, sensing others wanted novice faculty to fail, sensing a
possessiveness of territory from senior faculty, and struggling with the decision
to remain in the faculty position. This study is significant in that
understanding of faculty-to-faculty incivility adds insight and an increased
sensitivity related to uncivil interactions and may contribute to the design of
evidence-based interventions supporting increased collegiality that fosters an
environment conducive for the recruitment and retention of faculty.
PMID- 24939330
TI - Transition to academic nurse educator: a survey exploring readiness, confidence,
and locus of control.
AB - The purpose of this study was to describe nurse transition to the role of
academic nurse educator and to investigate the resources and barriers that nurses
experience during this career transition, specifically the relationships among
levels of readiness, confidence, personal control, support, decision
independence, general self-esteem, and work locus of control. A convenience
sample of registered nurses in the United States (N = 541) who hold current full
time employment at an accredited nursing program granting baccalaureate or higher
degrees was utilized. Subjects were recruited via electronic mail and answered an
on-line survey. Pearson product-moment correlation and multivariate analysis of
variance were used for statistical calculations. Results indicated significant,
positive relationships among all the variables except readiness and personal
control (p = .01). Significant differences were found in amount of time that
nurses were in the role of academic nurse educator and the demographic variables
of number of children, marital status, and highest degree held. The results of
this study provide evidence to support and enhance processes to develop and
retain nurse academicians, to promote excellence in the academic nurse educator
role, and to advance the science and practice of the profession.
PMID- 24939332
TI - Global trends and issues in APN practice: engage in the change.
AB - The advanced practice nursing (APN) movement represents a global vision with
similar issues facing APNs across dissimilar countries, disparate political
structures, and diverse cultures. As APNs work toward independent practice, they
face external challenges from medicine and internal barriers within the domain of
nursing. This paper presents reflections on the major practice issues raised at
the 7th International Nurse Practitioner/Advanced Practice Nursing Network
Conference, a subgroup of the International Council on Nursing (ICN), in London
in August, 2012. Major issues addressed at the conference included independent
practice, barriers to practice, educational standards, and the APN role in
research and evidence-based practice. APNs are best placed to provide and direct
an advanced level of quality healthcare. APNs must develop consensus models and
present as a more unified force in the quest for independent practice.
PMID- 24939333
TI - Using a strengths model to build an on-line nursing education program.
AB - The on-line environment is the new frontier for academia struggling to define its
place in the evolving economy. A concern is how to engage students who maximize
their on-line experience and graduate in a timely manner. A strengths model was
used as the basis for development of an on-line doctoral nursing program. Upon
entering the program, students were given a strengths assessment that focused
both students and faculty on the positive attributes students were bringing to
their doctoral studies. A positive feedback methodology using on-line discussions
in each course was used to support the identified strengths. The optimal picture
of a successful entering doctoral student appears to be a person whose top five
strengths are learner, achiever, input, connectedness and responsibility. A
strengths model promotes a positive learning environment and supports a teacher
learner dynamic where faculty members are encouraged to focus on the students'
strengths rather than their challenges.
PMID- 24939334
TI - Responding to the call for globalization in nursing education: the implementation
of the transatlantic double-degree program.
AB - Increased demand for nurses worldwide has highlighted the need for a flexible
nursing workforce eligible for licensure in multiple countries. Nursing's
curricular innovation mirrors the call for reform within higher education
including globalization of curricula (E. J. S. Hovenga, 2004; D. Nayyar, 2008; B.
J. G. Wood, S. M. Tapsall, & G. N. Soutar, 2005), increased opportunities for
student mobility exchanges, dialogue between different academic traditions, and
mutual understanding and transparency between universities (J. Gonzalez & R.
Wagenaar, 2005). The European Union (EU) and United States have combined efforts
to achieve these objectives by creating the Atlantis program in 2007 (U.S.
Department of Education, 2011). This article describes experiences of four
nursing programs participating in an Atlantis project to develop a double-degree
baccalaureate program for undergraduate nursing students. Early learnings include
increasing awareness and appreciation of essential curricular and performance
competencies of the baccalaureate-prepared professional nurse. Challenges include
language competency; variations in curriculum, cultural norms, student
expectations, and learning assessment; and philosophical differences regarding
first-level professional nurse preparation as specialist versus generalist. The
Transatlantic Double Degree program has successfully implemented the double
degree program. Members have gained valuable insights into key issues surrounding
the creation of a more uniform, yet flexible, educational standard between our
countries.
PMID- 24939335
TI - Clarifying concepts: cultural humility or competency.
AB - Cultural competency in the delivery of health care to diverse population groups
has become an urgent need in the United States. Yet, despite the incorporation of
cultural competency education into nursing curricula, inequities in health care
remain. The purpose of this mixed-method study was to identify if differences in
perceptions of cultural competence were present in senior nursing students (N =
11) before and after cultural immersion experiences on an Indian reservation.
Preimmersion results revealed that the majority considered themselves culturally
competent, whereas after immersion, there was a downward shift in scores.
Triangulation of the quantitative results alongside a hermeneutic
phenomenological analysis of the students' reflective journals revealed a
paradox. Students perceived themselves as culturally competent, yet their
journals demonstrated many negative stereotypes. Three common themes emerged:
seeing with closed eyes, seeing through a fused horizon, and disruption to
reshaping. These combined results revealed the misperceptions regarding the
concept of cultural competency. Efforts must be made in nursing education to
teach students the importance of adopting an ethic of cultural humility, where we
emphasize attentive listening and openness to other cultures, and stress the
importance of self-reflection and self-critique in our interactions with others.
PMID- 24939336
TI - The use of high-fidelity simulation to teach cultural competence in the nursing
curriculum.
AB - The United States population is undergoing a major demographic shift, by the year
2050, it is predicted that minority populations will constitute half of the
general population. This evolving population change is significant due to the
overwhelming burden of disease that minorities face in the nation. Cultural
competence training is currently being used to prepare practitioners to provide
care to a diverse population in an effort to eliminate health disparities. With
the increasing demands of the nursing curriculum and the limited time frame to
prepare competent clinicians, the search continues for innovative strategies that
will produce culturally competent providers. Patient simulation is a technique
that replicates real-world scenarios in a controlled and nonthreatening
environment. However, despite the legal and moral obligations that nurses have to
provide culturally competent care, a lack of evidence exists regarding how to
properly integrate simulation methods for cultural competence training into the
nursing curriculum. In the nursing curriculum, patient simulation has been used
mainly to teach the biomedical aspects of care with less focus on the
psychological, cultural, and environmental context. The potential exists for the
use of high-fidelity patient simulation as an effective teaching strategy for
cultural competence training.
PMID- 24939337
TI - Minimal changes and missed opportunities: a decade look at nurse practitioners in
the lower Mississippi River Delta states.
AB - The U.S. southern region has one of most socioeconomically deprived and poorest
health care outcomes in the county. The aims of this study were to determine
changes of nurse practitioners (NPs) and their practice in lower Mississippi
River Delta over the past decade and to examine differences of NPs' employment in
health professional storage areas (HPSAs) and rural areas. A nonexperimental
quantitative survey technique was used in the years 2000 and 2010. Other data
sources included Health Resources and Services Administration that identified
HPSA and the U.S. Census Bureau used to distinguish urban and rural areas. NPs
are younger, and more are graduates of master's and doctorate degrees, but they
are not reflective of the race they serve. Approximately, 25% of NPs worked in
HPSA, and 50% worked in the rural area both in 2000 and in 2010. This proportion
has remained blatantly steady during the past decade. Employment in rural health
centers and family practice as a specialty declined; however, self-employment was
on the rise. Nursing schools and health care institutions should be collaborating
to develop plans and implementation strategies to recruit and retain NPs in the
Mississippi River Delta rural and HPSAs.
PMID- 24939338
TI - Re-envisioning clinical education for nurse practitioner programs: themes from a
national leaders' dialogue.
AB - As the need for primary care providers increases, nursing education programs face
significant challenges to meet future workforce needs. A more resource-efficient
approach for the clinical education of nurse practitioner students is needed. A
think tank involving 20 thought leaders representing multiple disciplines was
convened to discuss this issue. This article presents seven themes that emerged
from this national leaders' dialog: academic practice co-design, standardized
preclinical preparation, standardized student assessment, entrustable
professional activities, immersive clinical experiences, interprofessional
education for team-based care, and innovative education practices.
PMID- 24939339
TI - Antioxidant activity and protein-polyphenol interactions in a pomegranate (Punica
granatum L.) yogurt.
AB - Pomegranate juice (PGJ) is rich in phenolics which are potent antioxidants but
also prone to interact with proteins. A yogurt rich in PGJ (40%) made from arils
was elaborated (PGY) to determine the antioxidant activity and to estimate the
phenolics-proteins interaction during 28 days of cold storage. Juice, yogurts,
and protein-free permeates were analyzed for phenolic composition. Yogurt
fermentation modified the anthocyanin profile of the initial PGJ, especially the
content in cyanidin-3-O-glucoside. During storage, individual anthocyanin content
in PGY decreased but it did not modify yogurt color. The analysis of permeates
revealed that the degree of phenol-protein interaction depends on the type of
phenolic, ellagic acid and dephinidin-3,5-O-diglucoside being the least bound
phenolic compounds. The presence of PGJ in yogurt enhanced radical scavenging
performance, whereas all the observed ferric reducing power ability of PGY was
strictly due to the PGJ present. The 84.73% of total anthocyanins remained bound
to proteins at the first day of storage and 90.06% after 28 days of cold storage,
revealing the high affinity of anthocyanins for milk proteins.
PMID- 24939340
TI - MSM: a new flexible framework for Multimodal Surface Matching.
AB - Surface-based cortical registration methods that are driven by geometrical
features, such as folding, provide sub-optimal alignment of many functional areas
due to variable correlation between cortical folding patterns and function. This
has led to the proposal of new registration methods using features derived from
functional and diffusion imaging. However, as yet there is no consensus over the
best set of features for optimal alignment of brain function. In this paper we
demonstrate the utility of a new Multimodal Surface Matching (MSM) algorithm
capable of driving alignment using a wide variety of descriptors of brain
architecture, function and connectivity. The versatility of the framework
originates from adapting the discrete Markov Random Field (MRF) registration
method to surface alignment. This has the benefit of being very flexible in the
choice of a similarity measure and relatively insensitive to local minima. The
method offers significant flexibility in the choice of feature set, and we
demonstrate the advantages of this by performing registrations using univariate
descriptors of surface curvature and myelination, multivariate feature sets
derived from resting fMRI, and multimodal descriptors of surface curvature and
myelination. We compare the results with two state of the art surface
registration methods that use geometric features: FreeSurfer and Spherical
Demons. In the future, the MSM technique will allow explorations into the best
combinations of features and alignment strategies for inter-subject alignment of
cortical functional areas for a wide range of neuroimaging data sets.
PMID- 24939341
TI - Physiological and analytical validations of fecal steroid hormone measures in
black howler monkeys.
AB - The measurement of hormones in fecal samples allows for the noninvasive
assessment of the endocrine status of free-ranging primates. However, procedures
and techniques for hormone analysis in feces must be validated, both analytically
and physiologically. Few studies have addressed the endocrinology of black howler
monkeys (Alouatta pigra). Due to its conservation status, direct handling of
individuals from this species and invasive sample collection are highly
regulated, and therefore traditional methods for the validation of hormone
assays, such as pharmacological challenges, are not allowed. As a consequence,
sometimes studies of the fecal hormones of free-ranging black howler monkeys do
not report physiological validations and therefore the biological reliability of
such measurements cannot be assessed. In order to stimulate future research with
this species, the present study aimed at providing methodological bases for fecal
endocrine monitoring. Specifically, we compared the validity of two immunoassays
(radioimmunoassays, RIA; solid-phase chemiluminescent enzyme immunoassay, SPCEI)
performed with commercial kits to measure cortisol, testosterone, estradiol, and
progesterone; and demonstrate how the physiological functions of these steroid
hormones can be determined through non-pharmacological validations. We found no
differences between the analytical validity of RIA and SPCEI assays to measure
cortisol and testosterone, whereas for estradiol and progesterone RIA showed
better results. Concerning the physiological validation of our assays, we
demonstrated that: (1) comparisons between pre- and post-stress situations may be
used to assess cortisol response, (2) comparisons between females and males may
be used to assess variation in testosterone levels, and (3) comparisons between
pregnant and non-pregnant females may be used to determine variation in estradiol
and progesterone activity. The analytical and physiological validations that we
performed demonstrate that there are currently commercial kits that allow for
correct endocrine monitoring of this species, and that there are non
pharmacological alternatives to assess the biological validity of hormone
measurements.
PMID- 24939342
TI - Concise multigram-scale synthesis of push-pull tricyanofuran-based hemicyanines
with giant second-order nonlinearity: an alternative for electro-optic materials.
AB - Highly stable and highly soluble push-pull heptamethine hemicyanines based on the
tricyanofuran electron-accepting group can be prepared on a 15 g scale. The
compounds display giant second-order nonlinear figure of merit, MUbeta of up to
31,000*10(-48) esu, and lead to a poled material with a second-order nonlinear
response, r33 of 90 pm V(-1) at 1.06 MUm.
PMID- 24939343
TI - John Biggers.
PMID- 24939344
TI - Reflections of one man's life in Nature: Professor John D Biggers, DSc, PhD.
PMID- 24939345
TI - On John Biggers.
PMID- 24939346
TI - A tribute to John Biggers: on The Politics of Memory.
PMID- 24939347
TI - A brief history of the development of the KSOM family of media.
PMID- 24939348
TI - Non-invasive prediction of development of hepatocellular carcinoma using
transient elastography in patients with chronic liver disease.
AB - Prognosis of patients with chronic liver disease is determined by the extent and
progression of liver fibrosis, which may ultimately lead to hepatocellular
carcinoma (HCC). Liver biopsy (LB) is regarded as the gold standard to estimate
the extent of liver fibrosis. However, because LB has several limitations, the
foremost being its invasiveness, several non-invasive methods for assessing liver
fibrosis have been proposed. Of these, transient elastography (TE) provides an
accurate representation of the extent of liver fibrosis. Furthermore, recent
studies have focused on the usefulness of TE for assessing the risk of HCC
development and HCC recurrence after curative treatment, and developed novel
models to calculate the risk of HCC development based on TE findings. These
issues are discussed in this expert review.
PMID- 24939350
TI - Survey burden, response rates, and the tragedy of the commons.
PMID- 24939349
TI - Describing prescription opioid adherence among individuals with chronic pain
using urine drug testing.
AB - Adherence monitoring for prescription opioid use is a clinical imperative for
individuals prescribed opioids for chronic pain. Urine drug testing (UDT)
provides objective evidence for prescription opioid adherence, as recommended by
national guidelines to be part of adherence monitoring. The aim of this study was
to describe prescription opioid adherence using UDT results in chronic pain
patients and to examine the association between demographic characteristics and
adherence to their prescribed opiate regimens. We used a retrospective chart
review of 120 consecutive patients at an urban pain management clinic. Data
collected included UDT results, pain level, and demographic characteristics.
Descriptive and correlational statistics were used for data analysis. About 54%
of the individuals appeared nonadherent to their prescribed opiate regimen as
defined by absence or inappropriate level of prescribed controlled medication,
presence of additional nonprescribed controlled substance(s), presence of illicit
substance(s), or presence of adulterant in the urine sample. Of the participants,
23% had absence of one or more of their prescribed controlled medications and
12.5% had presence of one or more other opioids. Marijuana was the main illicit
substance used (24.2%), followed by cocaine (11.7%). Patients' age, pain level,
sex, ethnicity, and injury compensation were not associated with UDT results. UDT
results could be useful to educate and guide patients on the proper use of
controlled medications. Results from UDT are highly contextual and easily
misinterpreted, requiring comparison with a variety of clinical indicators over
time before deciding if there is adherence to a prescribed opiate regimen for
individuals with chronic pain.
PMID- 24939351
TI - Long-term outcomes of a remedial education program for doctors with clinical
performance deficits.
AB - INTRODUCTION: Medical regulatory authorities need reliable methods of assessing
and remediating doctors where there are concerns over competence. There's a small
but growing literature describing remediation programs and documenting their
effectiveness. This article adds to that literature by describing a program
associated with the Medical Council of New Zealand (MCNZ) and reporting outcomes
for 24 consecutive doctors required to undergo remediation. METHODS: Over the 18
month period covered in this study, 24 doctors were required by the MCNZ to enter
remediation after a performance assessment. The data set used in this study was
drawn from these 24 consecutive cases and included the nature of concerns,
severity of concerns, results of remediation and outcome of a second assessment
when such an assessment was ordered. RESULTS: Of 24 doctors who underwent initial
assessment, 5 failed to engage with remediation and withdrew from clinical work.
A 12-month education remediation program was completed by all remaining 19
doctors. Of these, 13 were considered to be practicing at an acceptable standard
at the end of remediation on the basis of sequential supervisor reports. Six
doctors were required to have a second performance assessment. Of these, only 1
was considered to be functioning at an acceptable standard. Concurrent health
concerns were common among this cohort of doctors. DISCUSSION: Seventy-five
percent of doctors who entered remedial education were considered to be
practicing at an acceptable standard at the end of remediation. This accords well
with international data. A small number of doctors appear to be unresponsive to
remediation.
PMID- 24939352
TI - All for one and one for all: understanding health professionals' experience in
individual versus collaborative online learning.
AB - INTRODUCTION: Computer-supported collaborative learning (CSCL) may facilitate
continuing interprofessional education while overcoming barriers of time and
place for busy health care professionals. The purpose of this study was to
understand the experiences, advantages, and challenges of group versus individual
online learning. METHODS: Fifteen multidisciplinary health professionals
participated in a 12-week online course on either diabetes or traumatic brain
injury. This consisted of background e-modules and a longitudinal build-a-case
exercise, done either individually or as a group. Focus group sessions exploring
participants' experiences after course completion and at 4 months were conducted,
transcribed, and analyzed for recurring themes. Participant reflection homework
and video-recorded group sessions were used for triangulation of results.
RESULTS: Individual learners appreciated the flexibility and control, but
experienced decreased motivation. Group learners appreciated the immediate
feedback from their co-learners and felt social pressure to come to the weekly
sessions prepared but expressed challenges in determining group goal-setting for
the session. Both groups felt they learned about interprofessional roles;
however, group learners described a richer learning experience and understanding
of interprofessional roles through the online collaboration exercise. The intense
resources necessary for interprofessional CSCL, including time, faculty
development, and technological issues, are described. DISCUSSION: CSCL is a
valuable educational strategy in online learning. While individual online
learning may be better suited for short and simple educational interventions such
as knowledge acquisition, CSCL seems to allow for richer and deeper learning in
complex and interprofessional educational experiences. However, strategies,
resources, and faculty development required to enhance CSCL need to be addressed
carefully.
PMID- 24939353
TI - Professionalism and maintenance of certification: using vignettes describing
interpersonal dilemmas to stimulate reflection and learning.
AB - INTRODUCTION: Physicians do not always agree on "rules" governing behavior in
professionally challenging situations. Little is known about contextual factors
that influence physician approaches in these situations. We explored the
individual-, social-, and societal-level factors that physicians consider when
responding to 2 common professional dilemmas. We were particularly interested in
knowing the extent to which physicians engage in self-reflection as a result of
responding to the vignettes. METHODS: A cross-sectional Web-based survey was sent
to a random sample of 396 physicians, prompting them to respond to scripted
professional dilemmas. RESULTS: A total of 120 physicians responded, yielding a
response rate of 32.6%. Physicians responded to these dilemmas in highly variable
ways, negotiating a complex array of contextual factors in their decisions.
Interacting factors involving individual-level physician (eg, worry, guilt),
patient (eg, nature of medical condition or relationship with patient), and
social/societal (eg, policy, what peers or colleagues do) were important drivers
in physician responses. Qualitative analysis revealed that several interacting
themes guide physician approaches to professional dilemmas: patient welfare;
types of patients; political, ethical, or legal issues; guiding principles;
values; rules; and habits. DISCUSSION: Physicians negotiate a complex set of
individual-, social-, and societal-level factors in response to professional
dilemmas. This finding has important implications for the promotion and
assessment of professional behavior in practicing physicians. Reflecting on
scenarios may be an opportunity for physicians to learn about how and why they
make decisions in difficult situations.
PMID- 24939354
TI - Using a commitment to change tool for evaluation and planning of a global
competency-based curriculum in orthogeriatrics.
AB - INTRODUCTION: Measuring the outcomes of educational activities at the performance
level is a challenge for all medical educators. This study reports retrospective
outcomes data from the administration of a commitment to change (CTC) at
orthogeriatrics courses and how the findings were used for curriculum
improvement. METHODS: During 2010-2012, a CTC questionnaire was administered at 8
educational courses attended by 513 orthopedic and trauma surgeons and medicine
physicians in 5 countries. The CTC asked if physicians intended to change
anything in their clinical practice as a result of participating and to list
their specific changes. A 3-month follow-up was conducted to assess the status of
intended changes and identify barriers to implementation. RESULTS: Two hundred
seventy-six (54%) of the 513 course participants and faculty reported at least 1
intended change in their clinical practice (485 specific changes overall). The
intended changes were quantified and analyzed further based on 10 competencies
for orthogeriatrics. Follow-up responses were received for N = 150 (31%) of the
intended changes. Of these changes, 24% were fully implemented, 51% were
partially implemented, and 25% were not implemented. The main barriers to
implementation were categorized as "ongoing process (needs more time)" and
"requires more discussion, collaboration, or support." DISCUSSION: CTC is an
effective tool for self-reported measurement of outcomes of educational
activities at the performance level with orthopedic surgeons and an
interprofessional audience. Analysis based on a framework of competencies enables
curriculum improvement by identifying content that could be adjusted and new
materials to address the issues and barriers that were reported.
PMID- 24939356
TI - Recycling the bi-cycle concept.
PMID- 24939355
TI - Self-directed study using MP3 players to improve auscultation proficiency of
physicians: a randomized, controlled trial.
AB - INTRODUCTION: Studies of physicians at all levels of training demonstrate
significant deficiencies in cardiac auscultation skills. The best instructional
methods to augment these skills are not known. METHODS: This study was a
randomized, controlled trial of 83 noncardiologist volunteers exposed to a 12
week lower cognitive load self-study group using MP3 players containing heart
sound audio files compared to a group receiving a 1-time 1-hour higher cognitive
load multimedia lecture using the same audio files. The primary outcome measure
was change in 15-question posttest score at 4 and 12 weeks as compared to pretest
on recognition of identical audio files introduced during training. In the self
study group, the association of total exposure and deliberate practice effort
(estimated by standard deviation of files played/mean) to improvement in test
score was measured as a secondary end point. RESULTS: Self-study group
participants improved as compared to pretest by 4.42 +/- 3.41 answers correct at
12 weeks (5.09-9.51 correct, p < .001), while those exposed to the multimedia
lecture improved by an average of 1.13 +/- 3.2 answers correct (4.48-5.61
correct, p = .03). In the self-study arm, improvement in the posttest was
positively associated with both total exposure (beta = 0.55, p < .001) and
deliberate practice score (beta = 0.31, p = .02). DISCUSSION: A lower cognitive
load self-study of audio files improved recognition of cardiac sounds, as
compared to multimedia lecture, and deliberate practice strategies improved study
efficiency. More investigation is needed to assess transfer of learning to a
wider range of cardiac sounds in both simulated and clinical environments.
PMID- 24939357
TI - The bi-cycle concept--relating continuing education directly to patient care.
AB - This excerpted article originally appeared in Stearns NS, Getchell ME, Gold RK.
Continuing Medical Education in Community Hospitals: A Manual for Program
Development. Boston: Postgraduate Medical Institute, 1971, 88-96; and was
published by the Massachusetts Medical Society, as a supplement to The New
England Journal of Medicine, Vol. 284; No. 20; May 20, 1971.
PMID- 24939358
TI - Intimate partner violence among rural South African men: alcohol use, sexual
decision-making, and partner communication.
AB - Nearly one-third of South African men report enacting intimate partner violence.
Beyond the direct health consequences for women, intimate partner violence is
also linked to varied risk behaviours among men who enact it, including alcohol
abuse, risky sex, and poor healthcare uptake. Little is known about how to reduce
violence perpetration among men. We conducted retrospective, in-depth interviews
with men (n = 53) who participated in a rural South African programme that
targeted masculinities, HIV risk, and intimate partner violence. We conducted
computer-assisted thematic qualitative coding alongside a simple rubric to
understand how the programme may lead to changes in men's use of intimate partner
violence. Many men described new patterns of reduced alcohol intake and improved
partner communication, allowing them to respond in ways that did not lead to the
escalation of violence. Sexual decision-making changed via reduced sexual
entitlement and increased mutuality about whether to have sex. Men articulated
the intertwined nature of each of these topics, suggesting that a syndemic lens
may be useful for understanding intimate partner violence. These data suggest
that alcohol and sexual relationship skills may be useful levers for future
violence prevention efforts, and that intimate partner violence may be a
tractable issue as men learn new skills for enacting masculinities in their
household and in intimate relationships.
PMID- 24939360
TI - Evidence that glycine induces lipid peroxidation and decreases glutathione
concentrations in rat cerebellum.
AB - Patients with non-ketotic hyperglycinemia (NKH) present severe neurological
symptoms and brain abnormalities involving cerebellum. Although the
pathomechanisms underlying the cerebellum damage have not been studied, high
tissue levels of glycine (GLY), the biochemical hallmark of this disorder have
been suggested to contribute to the neuropathology of this disease. We
investigated the in vitro effects of GLY on important parameters of oxidative
stress and energy metabolism in cerebellum of 30-day-old rats. Our results show
that GLY increased 2',7'-dichlorofluorescin oxidation, suggesting that reactive
species production are augmented by GLY in the cerebellum. However, hydrogen
peroxide generation was not altered by GLY. GLY also increased thiobarbituric
acid-reactive substances (TBA-RS) levels and reduced the glutathione (GSH)
content, indicating that this amino acid provokes lipid oxidative damage and
compromises the non-enzymatic antioxidant defenses, respectively, in cerebellum.
The antioxidants melatonin and trolox (the hydrosoluble analog of vitamin E)
prevented the GLY-induced increase of TBA-RS and decrease of GSH in cerebellum,
indicating the involvement of hydroxyl and peroxyl radicals in these effects. The
NMDA receptor antagonist MK-801 also attenuated GLY-induced decrease of GSH,
suggesting that this effect is mediated through NMDA receptor. In contrast, GLY
did not alter the protein carbonyl formation and total and protein-bound
sulfhydryl group content, as well as catalase and superoxide dismutase
activities. Furthermore, GLY did not alter the activities of the respiratory
chain complexes and creatine kinase. Our present data indicate that oxidative
stress elicited by GLY in vitro may be a potential pathomechanism involved in the
cerebellar dysfunction observed in NKH.
PMID- 24939359
TI - Mitochondrial epigenetics in bone remodeling during hyperhomocysteinemia.
AB - Increased levels of homocysteine (Hcy), known as hyperhomocysteinemia (HHcy), is
an independent risk factor of various diseases. Clinical studies report that
people born with severe HHcy develop skeletal malformations with weaker bone.
Studies also report that altered mitochondrial dynamics and altered epigenetics
contribute to weaker bones and bone diseases. Although Hcy-induced mitochondrial
dysfunction has been shown to affect bone metabolism, the role of mitochondrial
epigenetics (mito-epigenetics) has not been studied in bones. The epigenetics in
mitochondria is interesting as the mitochondrial genome size is small (16 kb)
with fewer CpG, and without histones and introns. Recently, fascinating works on
epigenetics along with the discovery of histone-like proteins in mitochondria are
giving exciting areas for novel studies on mitochondria epigenetics. There are
mutual cause and effect relationships between bone, mitochondria, Hcy, and
epigenetics, but unfortunately, studies are lacking that describe the involvement
of all these together in bone disease progression. This review describes the
reciprocal relationships and mechanisms of Hcy-bone-mitochondria-epigenetics
along with a short discussion of techniques which could be employed to assess Hcy
induced anomaly in bone, mediated through alterations in mito-epigenetics.
PMID- 24939361
TI - The PPARbeta/delta agonist GW0742 modulates signaling pathways associated with
cardiac myocyte growth via a non-genomic redox mechanism.
AB - Peroxisome proliferator-activated receptors (PPARs) are ligand-activated
transcription factors that belong to the nuclear hormone receptor superfamily and
appear to have beneficial effects in the cardiovascular system. PPARbeta/delta
has been shown previously to exert an inhibitory effect on cardiac myocyte
hypertrophy in vivo and in vitro although the exact mechanism is not fully clear
yet. The principal signaling pathways that have been involved in triggering
cardiac hypertrophic response are mitogen-activated protein kinases (MAPKs) and
PI3K/Akt cascades. In this study, we sought to evaluate the potential effects
evoked by PPARbeta/delta activation on signaling pathways that are implicated in
cardiac myocyte growth responses. The selective PPARbeta/delta agonist GW0742
attenuated ERK1/2 and Akt phosphorylation that was stimulated by growth promoting
agonists (phenylephrine, insulin or IGF-1). This effect was not reversed by the
specific PPARbeta/delta antagonist, GSK0660, but was inhibited by vanadate, a
potent protein tyrosine phosphatase inhibitor. In addition, GW0742 prevented the
oxidation and inactivation of PTEN supporting further the notion that its
inhibitory action on the agonist-induced kinase phosphorylation is mediated by
the modulation of phosphatase activity. Furthermore, GW0742 abolished the agonist
induced intracellular generation of reactive oxygen species, independently of
PPARbeta/delta activation. Our data reveals a new non-genomic mechanism of
GW0742, which ameliorates the generation of reactive oxygen species and
attenuates ERK1/2 and PI3K/Akt signaling, with implications in the regulation of
cardiac hypertrophic response.
PMID- 24939362
TI - Biphasic response of checkpoint control proteins in hyperoxia: exposure to lower
levels of oxygen induces genome maintenance genes in experimental baboon BPD.
AB - Breathing high concentrations of oxygen (hyperoxia) causes lung injury and is
associated with lung diseases such as bronchopulmonary dysplasia (BPD),
respiratory distress syndrome and persistent pulmonary hypertension of the
newborns. Hyperoxia (95-100 %O2) causes DNA damage and growth arrest of lung
cells and consequently cells die by apoptosis or necrosis. Although supplemental
oxygen therapy is clinically important, the level and duration of hyperoxic
exposure that would allow lung cells to reenter the cell cycle remains unclear.
We hypothesized that cells exposed to lower concentrations of hyperoxia will
retain the capacity to enter cell cycle when recovered in room air. We employed
varying concentrations of oxygen (21-95 %) to determine the response of lung
cells to hyperoxia. Our results indicate that cells were growth arrested and
failed to reenter the cell cycle when exposed to greater than 60 % oxygen. Cell
cycle checkpoint proteins were increased in a biphasic manner, increasing until
70 % oxygen, but declined in greater than 90 % oxygen. Microarray analysis shows
that there is significant decrease in the abundance of Cdks 6-8 and
retinoblastoma protein (Rb), p107 and p130 in exposure to 90 % oxygen for 48 h.
We further tested the effect of clinically relevant as needed oxygen [(pro-re
nata (prn)] in premature infant (125-days and 140-days) baboon model of BPD. The
microarray results show that 6 or 14d PRN oxygen-exposed animals had induced
expression of chromosomal maintenance genes (MCMs), genes related to anti
inflammation, proliferation, and differentiation.
PMID- 24939363
TI - Inhibition of acid-sensing ion channel 1a in hepatic stellate cells attenuates
PDGF-induced activation of HSCs through MAPK pathway.
AB - Acid-sensing ion channels (ASICs), a group of Na(+)-selective and Ca(2+)-permeant
ligand-gated cation channels, can be transiently activated by extracellular acid.
Among seven subunits of ASICs, acid-sensing ion channel 1a (ASIC1a), which is
responsible for Ca(2+) transportation, is elevated in response to inflammation,
tumor, and ischemic injury in central nervous system and non-neuronal tissues. In
this study, we demonstrated for the first time the presence of ASIC1a in rat
liver and hepatic stellate cells (HSCs). Furthermore, the expression of ASIC1a
was increased in primary HSCs and liver tissues of CCl4-treated rats, suggesting
that ASIC1a may play certain role in liver fibrosis. Interestingly, we identified
that the level of ASIC1a was significantly elevated in response to platelet
derived growth factor (PDGF) induction in a time- and dose-dependent manner. It
was also established that Ca(2+)-transporting ASIC1a was involved in acid-induced
injury of different cell types. Moreover, inhibition or silencing of ASIC1a was
able to inhibit PDGF-induced pro-fibrogenic effects of activated rat HSCs,
including cell activation, de novo synthesis of extracellular matrix components
through mitogen-activated protein kinase signaling pathway. Collectively, our
studies identified that ASIC1a was expressed in rat liver and HSCs and provided a
strong evidence for the involvement of the ASIC1a in the progression of hepatic
fibrosis.
PMID- 24939365
TI - Assessment of children exposed to adult pulmonary tuberculosis in Cali, Colombia.
AB - BACKGROUND: There is a lack of uniform criteria for the diagnosis and management
of tuberculosis (TB) in children in Cali, Colombia. Addressing TB in children is
a challenge in this setting, under both programmatic and research conditions.
OBJECTIVES: To facilitate the diagnostic assessment of TB in a paediatric cohort
of TB household contacts. METHODS: A diagnostic and management algorithm (DMA)
was used to assess children exposed to adult TB cases, according to clinical and
epidemiological findings and under programmatic conditions. On the basis of
diagnostic tests, cases were classified as TB exposure, TB infection, suspected
TB, possible TB or confirmed TB and then submitted to a management plan. This was
a prospective pilot study nested within a national cohort study of the
transmission dynamics of Mycobacterium tuberculosis, undertaken in Colombia
during 2005-2008. RESULTS: During 24 months of follow-up, 54 of 217 children met
the criteria for assessment by DMA, 18 of whom (33%) were considered to be TB
incident cases (new TB cases among household contacts). The main clinical
findings were failure to thrive and cough lasting >21 days. Only one case was
smear-positive and culture-confirmed TB. TB treatment was given to 16 children
and they demonstrated clinical and radiographic resolution at follow-up.
Conducting the study under programmatic conditions demonstrated barriers to
accessing competent radiological evaluation, correct interpretation of the
tuberculin skin test, and proper specimen collection. CONCLUSION: Structured
assessment using DMA facilitated the detection of incident TB cases. The study
identified potential barriers to addressing childhood TB in Cali.
PMID- 24939366
TI - Usefulness of partial uncinectomy in patients with localized maxillary sinus
pathology.
AB - PURPOSE: Conventional total uncinectomy may be unnecessary in localized maxillary
sinus lesion. Partial removal of the uncinate process and middle meatal
antrostomy would be sufficient to eradicate the pathological condition.
Therefore, we aimed to evaluate the efficacy of partial uncinectomy versus total
removal of the uncinate process in patients with localized maxillary sinus
disease. METHODS: In total, 25 patients were assigned randomly to partial and
total uncinectomy groups. Preoperative computed tomography established that all
patients had localized pathology in the maxillary sinus. The lower half of the
uncinate process was removed in the partial uncinectomy group, while the total
uncinectomy group underwent the conventional surgery. Time required for the
uncinectomy, healing period for the uncinectomy site, incidence of lamina
papyracea or nasolacrimal duct injury, obstruction or stenosis of the frontal
recess, and incidence of synechia formation in the middle meatus were compared
between the groups. RESULTS: All patients completed the follow-up and were
included in the analysis. Surgical indications included chronic maxillary
sinusitis, fungal sinusitis, antrochoanal polyp, and odontogenic sinusitis.
Operation durations and healing periods were significantly shorter in the partial
uncinectomy group. One patient had a minor injury to the lamina papyracea and two
patients showed partial synechia formations in the total uncinectomy group.
However, other parameters did not differ significantly between the groups.
CONCLUSIONS: Partial uncinectomy may be useful in patients with pathological
conditions confined to the maxillary sinus. Shorter operation duration, more
rapid healing, and lower incidence of complications are advantages over a
conventional total uncinectomy.
PMID- 24939367
TI - Pattern recognition algorithm reveals how birds evolve individual egg pattern
signatures.
AB - Pattern-based identity signatures are commonplace in the animal kingdom, but how
they are recognized is poorly understood. Here we develop a computer vision tool
for analysing visual patterns, NATUREPATTERNMATCH, which breaks new ground by
mimicking visual and cognitive processes known to be involved in recognition
tasks. We apply this tool to a long-standing question about the evolution of
recognizable signatures. The common cuckoo (Cuculus canorus) is a notorious cheat
that sneaks its mimetic eggs into nests of other species. Can host birds fight
back against cuckoo forgery by evolving highly recognizable signatures? Using
NATUREPATTERNMATCH, we show that hosts subjected to the best cuckoo mimicry have
evolved the most recognizable egg pattern signatures. Theory predicts that
effective pattern signatures should be simultaneously replicable, distinctive and
complex. However, our results reveal that recognizable signatures need not
incorporate all three of these features. Moreover, different hosts have evolved
effective signatures in diverse ways.
PMID- 24939364
TI - Arthroscopy after locked plating of proximal humeral fractures: implant removal,
capsular release, and intra-articular findings.
AB - PURPOSE: The purpose of this study was to determine the outcomes of arthroscopy
after proximal humeral plating and the occurrence of concomitant pathology and
avascular necrosis. METHODS: Forty-five patients (28 women; median age, 58.5
years [range, 30 to 82 years]) underwent arthroscopies of 46 shoulders because of
unsatisfactory results after locked plating of proximal humeral fractures. They
were prospectively followed up for 3, 12, and 24 months postoperatively. Relevant
intra-articular lesions were documented. The patients were assigned to 1 of the
following groups: (1) articular screw perforation, (2) subacromial plate
impingement, or (3) post-traumatic/postoperative shoulder stiffness. Shoulder
range of motion and function as measured with the age- and gender-adjusted
Constant-Murley score, as well as the Simple Shoulder Test, were compared among
the groups. RESULTS: Concomitant lesions of the articular cartilage, long head of
the biceps tendon, tuberosities, and rotator cuff were found in 34 patients
(75%). Two-thirds of patients (n = 31) had a partial or complete 270 degrees
capsular release. Of the patients, 84% (n = 38) underwent 3 and 12 months' follow
up and 82% (n = 37) underwent 24 months' follow-up. The active range of abduction
(P = .029), flexion (P = .048), and internal rotation (P = .0005) had improved
significantly at 24 months' follow-up compared with the preoperative status. The
mean adjusted Constant-Murley score of patients with post-traumatic shoulder
stiffness (n = 15, 64.2% +/- 7.9%) and articular screw perforation (n = 19, 73.3%
+/- 8.4%) was significantly lower (P = .0089 and P = .042, respectively) than
that of patients with subacromial plate impingement (n = 12, 93.4% +/- 4.3%).
CONCLUSIONS: High rates of relevant articular pathologies and the necessity of
capsular release in a majority of patients with unsatisfactory results after
locked plating of proximal humeral fractures make arthroscopy a valuable revision
tool with promising results in cases of high plate positioning, screw
perforation, and postoperative/post-traumatic shoulder stiffness. LEVEL OF
EVIDENCE: Level IV, therapeutic case series.
PMID- 24939368
TI - Congenital cystic eye associated with a low-grade cerebellar lesion that
spontaneously regressed.
AB - BACKGROUND: Congenital cystic eye is an exceedingly rare ocular malformative
disease, originated from the failure in the invagination of the optic vesicle
during the fetal period and it can be associated with other ocular and non-ocular
abnormalities. Diagnosis is based on clinical, radiological and histological
features. CASE PRESENTATION: We report a case of a congenital cystic eye
associated with a cerebellar lesion accidentally detected at magnetic resonance
imaging. Biopsy of the mass has not been performed due to parental rejection.
Based on radiologic features and absence of clinical signs, a low-grade glioma
diagnosis was hypothesized, but histological characterization was not obtained.
Follow-up neuro-imaging 6 months after diagnosis showed that intracranial lesion
spontaneously regressed without any treatment. CONCLUSION: Our report stresses
the importance of early MRI in children with ocular malformations, in order to
detect associated intracranial defects, also of non-malformative origin.
Additionally, we debate the clinic-radiological features of the intracranial
lesions that could allow a wait-and-see policy. We also recommend a strict
clinical and neuro-imaging follow-up for these lesions. Finally, biological
mechanisms at the base of spontaneous regression of the brain lesions are
discussed.
PMID- 24939369
TI - The multiple benefits of inserting interdisciplinary quality improvement teams
led by medical students into a faculty practice primary care clinic.
PMID- 24939371
TI - Glycans and galectins in prostate cancer biology, angiogenesis and metastasis.
AB - Prostate cancer is the second most common cause of cancer and the sixth leading
cause of cancer death among men worldwide. While localized prostate cancer can be
cured, advanced and metastatic prostate cancer remains a significant therapeutic
challenge. Malignant transformation is associated with important modifications of
the cellular glycosylation profile, and it is postulated that these changes have
a considerable relevance for tumor biology. Metastasis is a multiphasic process
that encompasses angiogenesis, the spread of tumor cells and their growth at
distant sites from the primary tumor location. Recognition of glycoconjugates by
galectins, among other lectins, plays a fundamental role in the metastatic
spread, tumor immune escape and the neovascularization process. Particularly in
prostate cancer, both carbohydrates and galectins have been implicated in many
cellular processes such as proliferation, apoptosis, migration and invasion.
However, a limited number of studies assessed their potential implications in the
induction of metastasis in prostate cancer patients or in animal models.
Moreover, the role of galectin-glycan interactions in vivo still remains poorly
understood; concerted effort should thus be made in order to shed some light on
this question. This review summarizes current evidence on both the expression and
role of glycans and galectins in prostate cancer, particularly turning our
attention to the angiogenic and metastatic processes.
PMID- 24939370
TI - Galectin-8: a matricellular lectin with key roles in angiogenesis.
AB - Galectin-8 (gal-8) is a "tandem-repeat"-type galectin, containing two
carbohydrate recognition domains connected by a linker peptide. gal-8 is
expressed both in the cytoplasm and nucleus in vascular endothelial cells (ECs)
from normal and tumor-associated blood vessels, and in lymphatic endothelial
cells. Herein, we describe a novel role for gal-8 in the regulation of vascular
and lymphatic angiogenesis and provide evidence of its critical implications in
tumor biology. Functional assays revealed central roles for gal-8 in the control
of capillary-tube formation, EC migration and in vivo angiogenesis. So far, two
endothelial ligands have been described for gal-8, namely podoplanin in lymphatic
vessels and CD166 (ALCAM, activated leukocyte cell adhesion molecule) in vascular
ECs. Other related gal-8 functions are also summarized here, including cell
adhesion and migration, which collectively demonstrate the multi-functionality of
this complex lectin. Thus, gal-8 is an important component of the angiogenesis
network, and an essential molecule in the extracellular matrix by providing
molecular anchoring to this surrounding matrix. The implications of gal-8 in
tumor angiogenesis remain to be further explored, but it is exciting to speculate
that modulating gal-8-glycan interactions could be used to block lymphatic
vascular connections vital for metastasis.
PMID- 24939372
TI - Age-associated differences in triceps surae muscle composition and strength - an
MRI-based cross-sectional comparison of contractile, adipose and connective
tissue.
AB - BACKGROUND: In human skeletal muscles, the aging process causes a decrease of
contractile and a concomitant increase of intramuscular adipose (IMAT) and
connective (IMCT) tissues. The accumulation of non-contractile tissues may
contribute to the significant loss of intrinsic muscle strength typically
observed at older age but their in vivo quantification is challenging. The
purpose of this study was to establish MR imaging-based methods to quantify the
relative amounts of IMCT, IMAT and contractile tissues in young and older human
cohorts, and investigate their roles in determining age-associated changes in
skeletal muscle strength. METHODS: Five young (31.6 +/- 7.0 yrs) and five older
(83.4 +/- 3.2 yrs) Japanese women were subject to a detailed MR imaging protocol,
including Fast Gradient Echo, Quantitative Fat/Water (IDEAL) and Ultra-short Echo
Time (UTE) sequences, to determine contractile muscle tissue and IMAT within the
entire Triceps Surae complex, and IMCT within both heads of the Gastrocnemius
muscle. Specific force was calculated as the ratio of isometric plantarflexor
force and the physiological cross-sectional area of the Triceps Surae complex.
RESULTS: In the older cohort, total Triceps Surae volume was smaller by 17.5%,
while the relative amounts of Triceps Surae IMAT and Gastrocnemius IMCT were
larger by 55.1% and 48.9%, respectively. Differences of 38.6% and 42.1% in
plantarflexor force and specific force were observed. After subtraction of IMAT
and IMCT from total muscle volume, differences in intrinsic strength decreased to
29.6%. CONCLUSIONS: Our data establishes that aging causes significant changes in
skeletal muscle composition, with marked increases in non-contractile tissues.
Such quantification of the remodeling process is likely to be of functional and
clinical importance in elucidating the causes of the disproportionate age
associated decrease of force compared to that of muscle volume.
PMID- 24939373
TI - Vibrational characteristics of graphene sheets elucidated using an elastic
network model.
AB - Recent studies of graphene have demonstrated its great potential for highly
sensitive resonators. In order to capture the intrinsic vibrational
characteristics of graphene, we propose an atomistic modeling method called the
elastic network model (ENM), in which a graphene sheet is modeled as a mass
spring network of adjacent atoms connected by various linear springs with
specific bond ratios. Normal mode analysis (NMA) reveals the various vibrational
features of bi-layer graphene sheets (BLGSs) clamped at two edges. We also
propose a coarse-graining (CG) method to extend our graphene study into the meso-
and macroscales, at which experimental measurements and synthesis of graphene
become practical. The simulation results show good agreement with experimental
observations. Therefore, the proposed ENM approach will not only shed light on
the theoretical study of graphene mechanics, but also play an important role in
the design of highly-sensitive graphene-based resonators.
PMID- 24939375
TI - Don't forget diagnostic ultrasound.
PMID- 24939374
TI - Triggers and treatment of anaphylaxis: an analysis of 4,000 cases from Germany,
Austria and Switzerland.
AB - BACKGROUND: Anaphylaxis is the most severe manifestation of a mast cell-dependent
immediate reaction and may be fatal. According to data from the Berlin region,
its incidence is 2-3 cases per 100 000 persons per year. METHOD: We evaluated
data from the anaphylaxis registry of the German-speaking countries for 2006-2013
and data from the protocols of the ADAC air rescue service for 2010-2011 to study
the triggers, clinical manifestations, and treatment of anaphylaxis. RESULTS: The
registry contained data on 4141 patients, and the ADAC air rescue protocols
concerned 1123 patients. In the registry, the most common triggers for
anaphylaxis were insect venom (n = 2074; 50.1%), foods (n = 1039; 25.1%), and
drugs (n = 627; 15.1%). Within these groups, the most common triggers were wasp
(n = 1460) and bee stings (n = 412), legumes (n = 241), animal proteins (n =
225), and analgesic drugs (n = 277). Food anaphylaxis was most frequently induced
by peanuts, cow milk, and hen's egg in children and by wheat and shellfish in
adults. An analysis of the medical emergency cases revealed that epinephrine was
given for grade 3 or 4 anaphylaxis to 14.5% and 43.9% (respectively) of the
patients in the anaphylaxis registry and to 19% and 78% of the patients in the
air rescue protocols. CONCLUSION: Wasp and bee venom, legumes, animal proteins,
and analgesic drugs were the commonest triggers of anaphylaxis. Their relative
frequency was age-dependent. Epinephrine was given too rarely, as it is
recommended in the guidelines for all cases of grade 2 and above.
PMID- 24939376
TI - In reply.
PMID- 24939378
TI - Tailoring medical education to meet speciality needs.
PMID- 24939377
TI - Fractures of the ankle joint: investigation and treatment options.
AB - BACKGROUND: Ankle fractures are common, with an incidence of up to 174 cases per
100 000 adults per year. Their correct classification and treatment are of
decisive importance for clinical outcome. METHOD: Selective review of the
literature. RESULTS: Ankle fractures are initially evaluated by physical
examination and then by x-ray. They can be classified according to either the AO
Foundation (Association for the Study of Internal Fixation) or the Weber
classification. Dislocated fractures need emergency treatment with immediate
reduction; this is crucial for the prevention of hypoperfusion and nerve damage.
Weber A fractures can usually be treated conservatively, while Weber B and C
fractures are usually treated with surgery. An evaluation of the stability of the
syndesmosis is important for anatomical reconstruction of the joint. Wound
hematoma and wound-edge necrosis are the most common complications, and the
postoperative infection rate is 2%. Up to 10% of patients develop ankle arthrosis
over the intermediate or long term. CONCLUSION: With properly chosen treatment, a
good clinical outcome can be achieved. The long-term objective is to prevent post
traumatic ankle arthrosis. The evidence level for optimal treatment strategies is
low.
PMID- 24939379
TI - Molecular simplification of lipid A structure: TLR4-modulating cationic and
anionic amphiphiles.
AB - A growing body of data suggests that therapies based on Toll-like receptors (TLR)
targeting, in particular TLR4, holds promise in curing autoimmune and
inflammatory pathologies still lacking specific treatment, included several rare
diseases. While TLR4 activators (agonists) have already found successful clinical
application as vaccine adjuvants, the use of TLR4 blockers (antagonists) as
antisepsis agents or as agents against inflammatory diseases (including
arthritis, multiple sclerosis, neuroinflammations) and cancer is still at a
preclinical phase of development. This minireview focuses on recent achievements
on the development of TLR4 modulators based on lipid A structure simplification,
in particular on compounds having disaccharide or monosaccharide structures. As
the TLR4 activity of natural TLR4 ligands (lipopolysaccharide, LPS and its
biologically active part, the lipid A) depends on both the structure of endotoxin
aggregates in solution and on single-molecule interaction with MD-2 and CD14
receptors, the rational design of TLR4 modulators should in principle take into
account both these factors. In the light of the most recent advances in the
field, in this minireview we discuss the structure-activity relationship in
simplified lipid A analogs, with cationic or anionic amphiphilic structures.
PMID- 24939381
TI - Nonconforming glenoid increases posterior glenohumeral translation after a total
shoulder replacement.
AB - BACKGROUND: The major complication in nonconforming total shoulder replacement
(TSR) is glenoid loosening and is attributed to posteriorly directed humeral head
translations. Whether the posterior translations observed clinically are induced
by radial mismatch is unclear. The objective of our study was to explain the
posterior glenohumeral translations observed clinically after TSR by determining
the glenohumeral translation and contact force as a function of radial mismatch.
We hypothesized that the posterior direction of glenohumeral translation during
scaption would be related to the radial mismatch and that the joint contact force
would increase as the radial mismatch increased. METHODS: A 6-degrees-of-freedom
computational model of the glenohumeral joint was developed. We determined the
muscle forces, joint contact force, and glenohumeral translation for radial
mismatches from 1 mm to 20 mm with the shoulder positioned from 20 degrees to 60
degrees of scaption. RESULTS: As the radial mismatch increased, the contact
location of the humeral head moved posteriorly and inferiorly. The middle deltoid
force decreased by 3%, while the supraspinatus and infraspinatus muscle forces
increased by 9% and 11%, respectively. The joint contact force remained
relatively constant. CONCLUSIONS: Increased posterior glenohumeral translations
were observed with increased radial mismatch. Clinical observations of posterior
translation may be attributed to the balancing forces of the middle deltoid,
infraspinatus, and supraspinatus muscles. High radial mismatches may lead to
eccentric posterior loading on the glenoid component, which could lead to implant
loosening and failure.
PMID- 24939380
TI - Electrothermal arthroscopic capsulorrhaphy: old technology, new evidence. A
multicenter randomized clinical trial.
AB - BACKGROUND: Radiofrequency technology for shoulder instability was rapidly
adopted despite limited clinical evidence and a poor understanding of its
indications. Reports of serious adverse events followed, leading to its
abandonment. This paper presents findings from a multicenter randomized clinical
trial evaluating the safety and efficacy of electrothermal arthroscopic
capsulorrhaphy (ETAC) compared with open inferior capsular shift (ICS) and
reviews the role of randomized trials in adopting new technology. METHODS:
Patients (>14 years) diagnosed with multidirectional instability or
multidirectional laxity with anteroinferior instability and failed nonoperative
treatment were enrolled. Patients with bone lesions or labral, biceps anchor, or
full-thickness rotator cuff tears were excluded intraoperatively. Outcomes
included Western Ontario Shoulder Instability Index, function and recurrent
instability at 2 years postoperatively, and surgical times. RESULTS: Fifty-four
subjects (mean age, 23 years; 37 women) were randomized to ETAC (n = 28) or open
ICS (n = 26). The groups were comparable at baseline, except for external
rotation at the side. At 2 years postoperatively, there were no statistically or
clinically significant differences between groups for the Western Ontario
Shoulder Instability Index (P = .71), American Shoulder and Elbow Surgeons score
(P = .43), Constant score (P = .43), and active range of motion. Recurrent
instability was not statistically different (ETAC, 2; open, 4; P = .41). ETAC (23
minutes) was significantly shorter than open ICS (59 minutes) (P < .01) surgery.
Three subjects (1 ETAC, 2 open) had stiff shoulders. CONCLUSIONS: At 2 years
postoperatively, quality of life and functional outcomes between groups were not
clinically different. ETAC had fewer complications and episodes of recurrence
compared with open surgery. This evidence reinforces the need to critically
evaluate new technology before widespread clinical use.
PMID- 24939383
TI - Reference interval determination for anabasine: a biomarker of active tobacco
use.
AB - Laboratory detection of nicotine exposure is important for establishing
eligibility for organ transplant and elective surgery. Nicotine testing is also
used to verify compliance with nicotine replacement therapies (NRT), smoking
cessation programs and for life insurance purposes. Nicotine metabolites, such as
cotinine and trans-3'-hydroxycotinine, are used as biomarkers of nicotine
exposure. For some clinical applications, it is important to distinguish between
active use of tobacco products versus NRT. Anabasine is a tobacco alkaloid that
has been used as a biomarker of active tobacco use. However, the use of anabasine
as an insecticide, and its presence in consumables other than nicotine products,
suggests that anabasine may not be specific to tobacco use/exposure. Here, we
determine the reference interval for anabasine in the urine of nonsmokers and
compare it to the range of anabasine concentrations observed in the presence or
absence of nicotine metabolites.
PMID- 24939382
TI - Thrombus aspiration in primary angioplasty for ST-segment elevation myocardial
infarction.
AB - Mechanical reperfusion with primary percutaneous coronary intervention in acute
ST-segment-elevation myocardial infarction is superior to fibrinolysis in terms
of short-term and long-term outcome, provided that it can be delivered on time
and by an experienced team. Balloon angioplasty and stent implantation of an
occluded epicardial vessel during ST-segment-elevation myocardial infarction can
cause disruption of the frail thrombus containing lesions associated with
suboptimal myocardial reperfusion and microcirculatory obstruction. Distal
embolization of atherothrombotic material can be prevented by thrombus aspiration
during primary angioplasty. Mechanical aspiration via end-hole large-lumen
thrombectomy catheters has been shown to improve Thrombolysis in Myocardial
Infarction (TIMI) flow and result in a more consistent early resolution of ST
segment elevation in multiple registries. More recently, a more sophisticated
quantification of the myocardial damage has been applied using myocardial
scintigraphy and magnetic resonance, with no difference between patients treated
with thrombectomy and patients treated with conventional therapy. The
expectations in terms of lasting mortality benefit raised by the first Dutch
single-center randomized trial of thrombectomy versus predilation with plain old
balloon angioplasty (Thrombus Aspiration During Percutaneous Coronary
Intervention in Acute Myocardial Infarction, TAPAS) were not confirmed by a much
larger Swedish trial (Thrombus Aspiration ST-Segment Elevation Myocardial
Infarction, TASTE) showing no outcome changes. Although we are waiting for new
trials to clarify these controversial results, thrombectomy is still used in
selected patients with high thrombus load or with persistent occlusion of the
infarct-related artery after wire passage. Here we review the various systems
available and discuss their relative merits and the reported results.
PMID- 24939384
TI - The diversity of bacteria isolated from antarctic freshwater reservoirs
possessing the ability to produce polyhydroxyalkanoates.
AB - The diversity of polyhydroxyalkanoates-producing bacteria in freshwater
reservoirs in the Ecology Glacier foreland, Antarctica, was examined by a
cultivation-dependent method. Isolated strains were analyzed phylogenetically by
16S rRNA gene sequencing, and classified as members of Alpha-, Beta-, or
Gammaproteobacteria classes. Polymerase chain reaction was used to detect PHA
synthase genes. Potential polyhydroxyalkanoates (PHAs) producers belonging mainly
to Pseudomonas sp., and Janthinobacterium sp. were isolated from all five
sampling sites, suggesting that PHA synthesis is a common bacterial feature at
pioneer sites. All Pseudomonas strains had the genetic potential to synthesize
medium-chain-length PHAs, whereas some isolated Janthinobacterium strains might
produce short-chain-length PHAs or medium-chain-length PHAs. It is the first
report revealing that Janthinobacterium species could have the potential to
produce medium-chain-length PHAs.
PMID- 24939385
TI - The DosR dormancy regulator of Mycobacterium tuberculosis stimulates the Na(+)/K
(+) and Ca (2+) ATPase activities in plasma membrane vesicles of mycobacteria.
AB - The latency global regulator DosR regulon of Mycobacterium tuberculosis, which is
stimulated by hypoxia, comprises approximately fifty genes including ctpF
(Rv1997), which encodes a putative alkali/alkaline earth ion transporter of the
plasma membrane. In this work, the influence of hypoxia and M. tuberculosis DosR
on the ATPase activity of mycobacterial plasma membrane was assessed. We
performed bioinformatic analyses which indicated that the pma1 gene product is
the M. smegmatis ortholog of the M. tuberculosis cation transporter CtpF. In
addition, a possible Na(+), K(+) and/or Ca(2+) pumping mediated by Pma1 was also
predicted. Enzymatic analyses indicated that the basal ATPase activity of plasma
membrane vesicles from M. smegmatis cells cultured under hypoxia and over
expressing DosR, decreased 30 and 40 % respectively in comparison to oxygenated
cells. In contrast, the specific Na(+)/K(+) and Ca(2+) ATPase activities of the
plasma membrane increased 2.8- and 3.5-fold, respectively, under hypoxia, similar
to that observed for cells over-expressing the DosR regulator. In agreement, RT
qPCR experiments demonstrated that the transcription level of the pma1 gene
increased under hypoxia at levels similar to that of M. smegmatis cells over
expressing the M. tuberculosis DosR regulator. The entire findings suggest that
hypoxia stimulates Na(+)/K(+) and Ca(2+) ATPase activities in the mycobacterial
plasma membrane, and this is possibly mediated by the dormancy regulator DosR.
PMID- 24939386
TI - Heat stress induces apoptotic-like cell death in two Pleurotus species.
AB - High temperature is an important environmental factor that affects the growth and
development of most edible fungi, however, the mechanism(s) for resistance to
high temperature remains elusive. Nitric oxide is known to be able to effectively
alleviate oxidative damage and plays an important role in the regulation of
trehalose accumulation during heat stress in mycelia of Pleurotus eryngii var.
tuoliensis. In this paper, we investigated whether heat stress can activate
apoptosis-like cell death in mycelia of Pleurotus. Two Pleurotus species were
used to detect morphological features characteristic of apoptosis including
nuclear condensation, reactive oxygen species accumulation, and DNA fragmentation
when exposed to heat stress (42 degrees C). The results showed that these
classical apoptosis markers were apparent in Pleurotus strains after heat
treatment. The heat-induced apoptosis-like cell death in Pleurotus was further
probed using oligomycin and N-acetylcysteine, both of which were shown to block
processes leading to apoptosis. This is the first report that apoptosis-like cell
death occurs in Pleurotus species as a result of abiotic stress, and that this
process can be inhibited with chemicals that block mitochondrial-induced
apoptotic pathways and/or with ROS-scavenging compounds.
PMID- 24939387
TI - Female-specific gene expression in dioecious liverwort Pellia endiviifolia is
developmentally regulated and connected to archegonia production.
AB - BACKGROUND: In flowering plants a number of genes have been identified which
control the transition from a vegetative to generative phase of life cycle. In
bryophytes representing basal lineage of land plants, there is little data
regarding the mechanisms that control this transition. Two species from
bryophytes - moss Physcomitrella patens and liverwort Marchantia polymorpha are
under advanced molecular and genetic research. The goal of our study was to
identify genes connected to female gametophyte development and archegonia
production in the dioecious liverwort Pellia endiviifolia species B, which is
representative of the most basal lineage of the simple thalloid liverworts.
RESULTS: The utility of the RDA-cDNA technique allowed us to identify three genes
specifically expressed in the female individuals of P.endiviifolia: PenB_CYSP
coding for cysteine protease, PenB_MT2 and PenB_MT3 coding for Mysterious
Transcripts1 and 2 containing ORFs of 143 and 177 amino acid residues in length,
respectively. The exon-intron structure of all three genes has been characterized
and pre-mRNA processing was investigated. Interestingly, five mRNA isoforms are
produced from the PenB_MT2 gene, which result from alternative splicing within
the second and third exon. All observed splicing events take place within the
5'UTR and do not interfere with the coding sequence. All three genes are
exclusively expressed in the female individuals, regardless of whether they were
cultured in vitro or were collected from a natural habitat. Moreover we observed
ten-fold increased transcripts level for all three genes in the archegonial
tissue in comparison to the vegetative parts of the same female thalli grown in
natural habitat suggesting their connection to archegonia development.
CONCLUSIONS: We have identified three genes which are specifically expressed in
P.endiviifolia sp B female gametophytes. Moreover, their expression is connected
to the female sex-organ differentiation and is developmentally regulated. The
contribution of the identified genes may be crucial for successful liverwort
sexual reproduction.
PMID- 24939388
TI - Group 2 innate lymphoid cells and CD4+ T cells cooperate to mediate type 2 immune
response in mice.
AB - BACKGROUND: Innate lymphoid cells (ILCs) play important roles in innate immunity
and tissue remodeling via production of various cytokines and growth factors.
Group 2 ILCs (ILC2s) were recently shown to mediate the immune pathology of
asthma even without adaptive immunity. However, little is known about possible
interactions between ILC2s and other immune cells. We sought to investigate the
capacity of ILC2s to regulate effector functions of T cells. METHODS: We isolated
ILC2s from the lungs of naive mice. We cultured CD4(+) T cells with ILC2s in
vitro and examined the functions of these cell types. The mechanisms were
investigated using blocking antibodies and cells isolated from cytokine-deficient
mice. For the in vivo study, we adoptively transferred ILC2s and CD4(+) T cells
into Il7ra(-/-) mice and subsequently exposed the mice to ovalbumin and a
cysteine protease. RESULTS: Lung ILC2s enhanced CD4(+) T-cell proliferation and
promoted production of type 2 cytokines in vitro. The interaction between ILC2s
and CD4(+) T cells involved costimulatory molecule OX40L and cytokine IL-4, which
was mainly derived from ILC2s. Adoptive transfer of both ILC2 and CD4(+) T-cell
populations, but not each population alone, into Il7ra(-/-) mice resulted in
induction of a robust antigen-specific type 2 cytokine response and airway
inflammation. CONCLUSION: Lung ILC2s function to promote adaptive immunity in
addition to their established roles in innate immunity. This novel function of
ILC2s needs to be taken into account when considering the pathophysiology of
asthma and other allergic airway diseases.
PMID- 24939390
TI - A Process Evaluation of an HIV/STI Intervention for Rural African American Youth.
AB - We evaluated the fidelity and implementation of an HIV/AIDS and sexually
transmitted infections intervention for rural African American youth. Using a
community-based evaluation approach, community partners and researchers monitored
four core process-evaluation components: reach, fidelity, dose delivered, and
dose received. Researchers collected evaluation data through session
observations, facilitator debriefing interviews, a youth focus group, and a
satisfaction survey. For reach, more than half of the participants attended the
13 sessions. Participation varied between 62% and 100%. For fidelity, not all
sessions were implemented as intended; multiple modifications occurred across
sessions. For dose delivered, some lessons were missing materials and content was
omitted; facilitators omitted content when there was insufficient time to
complete a lesson. For dose received, engagement varied across lessons but youth
reported high levels of satisfaction with the intervention. This formative
process evaluation enabled us to identify and address multiple challenges to
implementation.
PMID- 24939389
TI - Prevalence of severe childhood asthma according to the WHO.
AB - BACKGROUND: The World Health Organization (WHO) recently proposed a new
definition of severe asthma to facilitate standardized characterization of
patients, and enable more accurate estimations of the prevalence of severe
asthma. The aim of this study was to estimate the prevalence of severe asthma
according to the WHO definition in children aged 12 years, in Stockholm, Sweden.
METHODS: The birth cohort BAMSE enrolled 4089 children during 1994-96. Parental
questionnaires provided information on asthma-related symptoms, diagnosis and
medication from 3015 enrolled children at the age of 12 years. Severe asthma was
defined as the presence of asthma, as well as continuous treatment with inhaled
corticosteroids and long-acting beta-2 agonists, based on information from the
Swedish prescribed drug register demonstrating prescriptions of at least 800 MUg
budesonide daily (or equivalent). RESULTS: The prevalence of asthma was 11% among
12-year-olds (n = 329). Based on information from the Swedish prescribed drug
register, seven children with asthma fulfilled the definition of severe asthma.
The estimated prevalence corresponds to 0.23% (95% CI, 0.06-0.4) of the
population, or 2.1% (95% CI, 0.5-3.7) of children with asthma. Based on assessed
markers of asthma control, 3/7 with severe asthma were considered to have
controlled asthma and 4/7 had partly or uncontrolled asthma. CONCLUSION: Severe
asthma appears rare both among 12-year-old schoolchildren with asthma and in the
general population. Combining self-reported information from a population-based
birth cohort with data from a drug register seems trustworthy in estimating
severe asthma as defined by the WHO.
PMID- 24939391
TI - Understanding the Needs of Food-Allergic Adults.
AB - Food allergies are a growing issue, yet society's understanding of how
individuals' lives are affected is limited. We conducted four focus groups with
food-allergic adults in New Zealand to gain an in-depth understanding of the
issues that impacted their quality of life. Key themes identified included
allergen-free eating issues, health care system issues, costs of having a food
allergy, effects on well-being, external influences (e.g., others' lack of
awareness), and internal influences (personal growth and adaptation). The unmet
needs of food-allergic adults led to risk taking, increased stress, and social
isolation. A lack of awareness in others (including medical professionals, food
service providers, and the general public) had a negative impact, suggesting that
an intervention targeting awareness would be beneficial. Adaptation, particularly
in terms of assertiveness and organizational skills, was important for coping, so
we suggest training in these skills be made available for food-allergic patients.
PMID- 24939393
TI - Functional links between stability and reactivity of strontium ruthenate single
crystals during oxygen evolution.
AB - In developing cost-effective complex oxide materials for the oxygen evolution
reaction, it is critical to establish the missing links between structure and
function at the atomic level. The fundamental and practical implications of the
relationship on any oxide surface are prerequisite to the design of new stable
and active materials. Here we report an intimate relationship between the
stability and reactivity of oxide catalysts in exploring the reaction on
strontium ruthenate single-crystal thin films in alkaline environments. We
determine that for strontium ruthenate films with the same conductance, the
degree of stability, decreasing in the order (001)>(110)>(111), is inversely
proportional to the activity. Both stability and reactivity are governed by the
potential-induced transformation of stable Ru(4+) to unstable Ru(n>4+). This
ordered(Ru(4+))-to-disordered(Ru(n>4+)) transition and the development of active
sites for the reaction are determined by a synergy between electronic and
morphological effects.
PMID- 24939392
TI - Evolution of eukaryotic single-stranded DNA viruses of the Bidnaviridae family
from genes of four other groups of widely different viruses.
AB - Single-stranded (ss)DNA viruses are extremely widespread, infect diverse hosts
from all three domains of life and include important pathogens. Most ssDNA
viruses possess small genomes that replicate by the rolling-circle-like mechanism
initiated by a distinct virus-encoded endonuclease. However, viruses of the
family Bidnaviridae, instead of the endonuclease, encode a protein-primed type B
DNA polymerase (PolB) and hence break this pattern. We investigated the
provenance of all bidnavirus genes and uncover an unexpected turbulent
evolutionary history of these unique viruses. Our analysis strongly suggests that
bidnaviruses evolved from a parvovirus ancestor from which they inherit a jelly
roll capsid protein and a superfamily 3 helicase. The radiation of bidnaviruses
from parvoviruses was probably triggered by integration of the ancestral
parvovirus genome into a large virus-derived DNA transposon of the Polinton
(polintovirus) family resulting in the acquisition of the polintovirus PolB gene
along with terminal inverted repeats. Bidnavirus genes for a receptor-binding
protein and a potential novel antiviral defense modulator are derived from dsRNA
viruses (Reoviridae) and dsDNA viruses (Baculoviridae), respectively. The unusual
evolutionary history of bidnaviruses emphasizes the key role of horizontal gene
transfer, sometimes between viruses with completely different genomes but
occupying the same niche, in the emergence of new viral types.
PMID- 24939395
TI - MICROPERC: calibration of the needle MicroPNL, Micro. What about its length?
PMID- 24939394
TI - Serovar distribution, antimicrobial resistance profiles, and PFGE typing of
Salmonella enterica strains isolated from 2007-2012 in Guangdong, China.
AB - BACKGROUND: Salmonella enterica includes the major serovars associated with human
salmonellosis. In this study, 1764 clinical Salmonella enterica isolates from
diarrhea outpatients were collected from fifteen cities in Guangdong province,
China, between 2007 and 2012. These isolates represent all of the Salmonella
isolates collected from the province during that period. METHODS: The isolates
were characterized by serovar determination, antimicrobial susceptibility tests
and PFGE fingerprint typing. RESULTS: The serovar distribution results
demonstrated that Salmonella Typhimurium (n=523, 29.65%) and Salmonella 4,5,12:i:
(n=244, 13.83%) are the most common serovars causing infant salmonellosis,
whereas Salmonella Enteritidis (n=257, 14.57%) mainly causes human salmonellosis
in adults. The serovar shift from Salmonella Enteritidis to Salmonella
Typhimurium occurred in 2008. Antimicrobial susceptibility data showed a high
burden of multidrug resistance (MDR) (n=1128, 56.58%), and a 20%-30% increase in
the number of isolates resistant to ciprofloxacin (n=142, 8.05%) and third
generation cephalosporins (n=88, 4.99%) from 2007-2012. Only 9.97% of isolates
(n=176) were fully susceptible to all agents tested. A high burden of MDR was
observed in Salmonella Typhimurium and Salmonella 4,5,12:i:- for all age groups,
and a reduced susceptibility to third-generation cephalosporins and quinolones
occurred particularly in infants (<= 6 years). The dominant PFGE patterns were
JPXX01.GD0004, JEGX01.GD0006-7 and JNGX01.GD0006-7. ACSSuT was the predominant
MDR profile in the Salmonella Typhimurium & 4,5,12:i:- complexes, while ASSuT-Nal
and ASSu-Nal were the major MDR profiles in Salmonella Enteritidis. The
predominant PFGE patterns of the Salmonella Typhimurium & 4,5,12:i:- complexes
and Salmonella Stanley were most prevalent in infants (<= 6 years). However, no
obvious relationship was observed between these PFGE profiles and geographic
location. CONCLUSIONS: These data reveal the serovar distribution of isolates
recovered from diarrhea patients, the characteristics of resistant strains and
fingerprint typing in Guangdong from 2007 to 2012. These results highlight a
serovar shift and a worrying percentage of MDR strains with increasing resistance
to quinolones and third-generation cephalosporins. Thus, continued surveillance
of Salmonella and their MDR profiles using combined molecular tools and efforts
to control the rapid increase in antimicrobial resistance among Salmonella in
Guangdong are needed.
PMID- 24939396
TI - Sexual violence and mode of delivery: a population-based cohort study.
AB - OBJECTIVE: This study aimed to explore the association between sexual violence
and mode of delivery. DESIGN: National cohort study. SETTING: Women presenting
for routine ultrasound examinations were recruited to the Norwegian Mother and
Child Cohort Study between 1999 and 2008. POPULATION: A total of 74,059 pregnant
women. METHODS: Sexual violence was self-reported during pregnancy using postal
questionnaires. Mode of delivery, other maternal birth outcomes and covariates
were retrieved from the Medical Birth Registry of Norway. Risk estimations were
performed using multivariable logistic regression analysis. MAIN OUTCOME
MEASURES: Mode of delivery and selected maternal birth outcomes. RESULTS: Of
74,059 women, 18.4% reported a history of sexual violence. A total of 10% had an
operative vaginal birth, 4.9% had elective caesarean section and 8.6% had an
emergency caesarean section. Severe sexual violence (rape) was associated with
elective caesarean section, adjusted odds ratio (AOR) 1.56 (95% CI 1.18-2.05) for
nulliparous women and 1.37 (1.06-1.76) for multiparous women. Those exposed to
moderate sexual violence had a higher risk of emergency caesarean section, AOR
1.31 (1.07-1.60) and 1.41 (1.08-1.84) for nulliparous and multiparous women,
respectively. No association was found between sexual violence and operative
vaginal birth, except for a lower risk among multiparous women reporting mild
sexual violence, AOR 0.73 (0.60-0.89). Analysis of other maternal outcomes showed
a reduced risk of episiotomy for women reporting rape and a higher frequency of
induced labour. CONCLUSIONS: Women with a history of rape had higher odds of
elective caesarean section and induction and significantly fewer episiotomies.
PMID- 24939397
TI - Rhodium-catalyzed asymmetric hydrogenation of unprotected NH imines assisted by a
thiourea.
AB - Asymmetric hydrogenation of unprotected NH imines catalyzed by
rhodium/bis(phosphine)-thiourea provided chiral amines with up to 97% yield and
95% ee. (1)H NMR studies, coupled with control experiments, implied that
catalytic chloride-bound intermediates were involved in the mechanism through a
dual hydrogen-bonding interaction. Deuteration experiments proved that the
hydrogenation proceeded through a pathway consistent with an imine.
PMID- 24939399
TI - [The medication adherence in the elderly: a collective goal].
PMID- 24939398
TI - Maximum-likelihood estimation of channel-dependent trial-to-trial variability of
auditory evoked brain responses in MEG.
AB - BACKGROUND: We propose a mathematical model for multichannel assessment of the
trial-to-trial variability of auditory evoked brain responses in
magnetoencephalography (MEG). METHODS: Following the work of de Munck et al., our
approach is based on the maximum likelihood estimation and involves an
approximation of the spatio-temporal covariance of the contaminating background
noise by means of the Kronecker product of its spatial and temporal covariance
matrices. Extending the work of de Munck et al., where the trial-to-trial
variability of the responses was considered identical to all channels, we
evaluate it for each individual channel. RESULTS: Simulations with two equivalent
current dipoles (ECDs) with different trial-to-trial variability, one seeded in
each of the auditory cortices, were used to study the applicability of the
proposed methodology on the sensor level and revealed spatial selectivity of the
trial-to-trial estimates. In addition, we simulated a scenario with neighboring
ECDs, to show limitations of the method. We also present an illustrative example
of the application of this methodology to real MEG data taken from an auditory
experimental paradigm, where we found hemispheric lateralization of the
habituation effect to multiple stimulus presentation. CONCLUSIONS: The proposed
algorithm is capable of reconstructing lateralization effects of the trial-to
trial variability of evoked responses, i.e. when an ECD of only one hemisphere
habituates, whereas the activity of the other hemisphere is not subject to
habituation. Hence, it may be a useful tool in paradigms that assume
lateralization effects, like, e.g., those involving language processing.
PMID- 24939400
TI - [The French Society of Geriatrics and Gerontology position paper on the concept
of integration. Part two].
AB - The concept of integrated services delivery, although dating from the 1990s, has
only recently appeared in French public health policy. To clarify the concept and
its adaptation to the reality of the French systems of healthcare and social
services, the French Society of Geriatrics and Gerontology established an
interdisciplinary working group. This article reports that group's findings
according to three axes: the definition of integration, the objectives of this
organizational approach and the means needed to achieve them. Analysis of the
literature indicated that integration is a process that aims to overcome the
fragmentation of services for vulnerable people. This process requires a
multilevel approach, particularly concerning how to modify public policies and
financing systems. Notably, all relevant levels need to develop shared processes,
tools, resources, finance, interventions and returns on the latter. Indeed, this
sharing is the ultimate proof of evolution towards integration. In the second
part of the position paper, its authors have developed arguments that could lead
professionals and non-professional caregivers to adopt integrated care as an
answer to their aspirations. Policy-maker perspectives and politicians are also
analyzed. Bearing in mind that integrated care necessarily will always involve a
human component which may find expression during individual case-management;
relations between integration and case managements are clarified. Finally,
lessons learned from national and international experiments are examined. Results
suggest that integrated care must to be accompanied by a local pilot. Results of
recent experiments have shown that it is possible to initiate a dynamic towards
integrated care in France and hence join the international movement towards
adapting our healthcare systems to new challenges.
PMID- 24939401
TI - [Impact of double stigmatization in oncogeriatry: reviewing existing data].
AB - Cancer is a major health problem for which age is a proved risk factor.
Paradoxically, elderly suffering from cancer are often excluded from clinical
trials and undertreated compared to younger patients. Also, their psychosocial
needs remain unknown. An explanatory factor for these observations is the age
stigma (that is to say our stereotypes about age and so, ageism), age being
currently cited as the main reason for discrimination. Besides these age-related
stigmas, cancerous patients face pathology-related stigmas because nowadays
cancer (especially some types of cancer such as lung cancer) still conveys a lot
of negative representations. These observations bring us to the notion of double
stigmatization in oncogeriatry. Moreover, the aim of this review is to present
ageism phenomenon on the basis of several studies that had proved negative
influence of ageism on elderly's mental and physical health and on the attitude
of elderly's interlocutors. Afterwards, we will broach the way by which ageism
and stigmatization linked to cancer is observed in the specific context of
oncogeriatry, which will allow us to identify current shortcomings.
PMID- 24939402
TI - [Evaluation tools of drug adherence for elderly subjects: towards a new pattern
of scale].
AB - INTRODUCTION: Medication non-adherence can be defined as a non-compliance with
prescribed drugs. The characteristics of elderly people constitute major risk
factors for non-adherence to medication. The aim of this study was to study the
relevance of medication adherence scales that are identified in a previous work.
METHODS: The current study was based on a previous work in which several
adherence scales were identified. We reviewed articles that validated these
scales to assess their performances and to measure their adaptation for elderly
people. RESULTS: Eight scales were studied, of whom five were validated in high
blood pressure therapy. Intrinsic performance as well as construction and
validation of scales were weak. Furthermore, none of these validation studies
were conducted in elderly population aged 75 years and over. Four aspects
appeared to be necessary for scale development: knowledge of the disease and its
treatment, perception of the efficacy and tolerance of the treatment, getting the
therapy, and drug administration. The use of an evaluation scale in elderly
population should consider the living location, the ability of the subject to
respond, and the presence of informal or formal caregivers. CONCLUSIONS: Existing
scales seem to be weak and elderly characteristics are not considered. Validated
adherence medication scales adapted for elderly people are thus needed.
PMID- 24939403
TI - [Qualitative approach of medical support of spouse caregivers of Alzheimer
patients].
AB - BACKGROUND: The caregivers of patients with Alzheimer's disease (AD) play a key
role in their management. Half of them are spouses. This involvement may alter
their own health, leading to exhaustion and depression. Since 2010, general
practitioners (GPs) have been invited in France to offer an annual specific
medical support to spouse caregivers. The aims of this study were to understand
their experience of this situation by spouse caregivers, and how the spouse
caregiver perceives the role of the GP in this context. METHODS: A qualitative
approach was conducted using semi-structured interviews of 14 spouse caregivers
recruited from adult day care in Angers, France. RESULTS: The analysis of
interviews showed that AD was an existential crisis for the dyad AD
patient/spouse caregiver. The emotional connection and the sense of commitment
strongly involved the spouse caregivers into cares for the loved ones. Even if
the frequency of medical consultations remained unchanged, caregivers were more
prone to delay non-urgent cares for themselves. Moreover their intention to
handle things on their own and the interaction with health professionals of the
care recipients interfered with the involvement of the caregivers' GPs.
CONCLUSIONS: Caregivers' adaptation to their spouses' AD resulted in both
negative and positive behaviors. Instead of a mandatory annual consultation
focused on caregivers' health, the improvement of communication between all
support services, including caregivers' GPs, may help to enhance the medical
support of spouse caregivers of AD patients.
PMID- 24939405
TI - [Cerebral autosomal dominant arteriopathy with subcortical infarcts and
leukoencephalopathy].
AB - CADASIL is an inherited small vessel disease of the brain caused by mutations of
the NOTCH3 gene encoding a receptor of smooth muscle cells and pericytes within
the wall of arterioles and capillaries. The mutated gene is responsible for
accumulation of NOTCH3 protein and aggregation of various proteins in the
vascular wall. The disease occurs during mid-adulthood and is responsible for
attacks of migraine with aura, ischemic stroke, mood disorders and cognitive
impairment ranging from mild alterations of attentional performances and
executive functions to severe dementia. The disease develops in adults with aging
and is responsible at the latest stage of gait and balance troubles associated
with cognitive impairment that may lead to severe disability and dependence. MRI
shows widespread white matter lesions that may involve the anterior part of
temporal lobes often associated with small cerebral infarcts and with
microbleeds. The clinical severity is related to accumulation of small infarcts
and the development of cerebral atrophy over time. The diagnosis of the disease
is confirmed by genetic testing or skin biopsy.
PMID- 24939404
TI - [Comparative study of two on aged care-based occupational (professional) training
in medical home for aged and dependent patients with neuropsychiatric behaviors].
AB - BACKGROUND: Neuropsychiatric behaviours of the elderly is the main issue for
caregivers' distress, burn out and high turn-over. This situation will steadily
worsen with longer lifetime. AIM OF THE STUDY: Specialised training of medical
staff may decrease their distress: we compare both training programs
Humanitude(r) et Formadep(r) outcomes. METHODS: A comparative open multicentric
non randomised study included 459 elderlies of 9 EHPAD of Korian Company into 3
training groups: Humanitude(r), Formadep(r) and a group control, with 29 weeks
follow-up. We studied the scoring NPI-ES (FG and R), BMS-10, ECPA and GIR,
medications, caregivers' burn out/absences/turn-over levels. Statistical
significance were done by Wilcoxon signed-rank test, Ancova and linear
regression. RESULTS: 320 caregivers and 3 groups of nearly 150 elderlies each,
with around 50% dementia. In Formadep(r) group : lower scoring for a short time
of total NPI-R (p<0.05), sustained lower scoring of NPI-FG "
agitation/agressivity" (p=0.035) but transitional for its NPI-R (p<0.05),
sustained higher scoring of NPI-FG "apathy/indifference" (p=0.002) but
transitional for its NPI-R (p=0 .003), sustained lower scoring of NPI-R
(p=0.0039) for Motor Aberrant Behaviours (MAB). In Humanitude(r) group:
transitional higher scoring of NPI-R (p=0.025) for MAB et transitional lower
scoring NPI-R (p=0.0032) for depression (Alzheimer Disease sub-groupe). No change
for other parameters. CONCLUSION: Despite high variability of the
neuropsychiatric behaviours in elderly, Formadep(r) training has shown a positive
impact on the global distress and on three main challenging behaviours, compared
to Humanitude(r): this may be depend on their own philosophy. But caregivers'
burden in dementia is not a one-factor problem.
PMID- 24939406
TI - [Contribution of psychoanalysis to geriatric care for institutionalized
patients].
AB - The contribution of psychoanalysis to geriatric care in nursing home is discussed
in three directions: its conception of care, specially on its negative sides; its
implication in geriatric units, in their conception and in the analysis of their
management of care; the holding of care-givers and nurses by making clear what we
call transference and conter-transference and their reflection on their function.
PMID- 24939407
TI - [Language deficits in major forms of dementia and primary progressive aphasias:
an update according to new diagnostic criteria].
AB - In this review, we report current data on spoken and written language disorders
in the most frequent dementia syndromes, namely Alzheimer' disease, vascular
cognitive impairment and dementia with Lewy bodies. Language deficits are also
the core features of three variants of primary progressive aphasia, namely the
nonfluent/agrammatic, semantic and logopenic variants. This review reveals that,
like other cognitive functions, language is highly vulnerable to
neurodegenerative diseases. For some, language deficits result from impairment in
linguistic processes per se, while for others, they are the direct consequence of
impairments affecting working memory and executive functions. Language deficits
in Alzheimer's disease and in nonfluent/agrammatic and semantic variants of
primary progressive aphasia are well documented. By contrast, those about
vascular cognitive impairment and dementia with Lewy bodies remain scarce and
limited to large cognitive domains. The identification of logopenic variant of
primary progressive aphasia is very recent, and more research is needed to
complete the clinical description and identification of the functional origin of
the disorders. Finally, knowledge on the impairment of written language in
neurodegenerative diseases is less well documented than those on spoken language
deficits. Other studies are therefore needed to improve the description of
linguistic profiles and to provide additional elements to help in the
differential diagnosis.
PMID- 24939408
TI - [Personality disorders and aging].
AB - Personality disorder can be defined as an enduring pattern of inner experience
and behavior that markedly deviates from the expectations of the individual's
culture. With aging, personality disorders may improve or worsen. Their
prevalence in the elderly is slightly lower than the prevalence in young adult.
Furthermore, their comorbidity and co-occurrence are the rule with an increased
risk of late decompensation. Categorical approach used in the current
international classifications (ICD-10 and DSM-5) is not adapted to the
specificities of the elderly with consequent overrepresentation of "unspecified
personality disorder". However, a pathological personality tends to complicate
all interventions for somatic or psychological care in the elderly. Thus, this
review describes changes in personality disorders related to aging in order to
help the clinician to better identify these disorders. Indeed, recognizing a
pathological personality in the elderly improves its management both in the field
of mental health and in somatic disorders in which the role of personality must
be evaluated. Personality and its disorders should therefore be taken into
account in all geriatric practices, in particular in the context of cognitive
disorders.
PMID- 24939409
TI - [A comparison of the performances between healthy older adults and persons with
Alzheimer's disease on the Rey auditory verbal learning test and the Test de
rappel libre/rappel indice 16 items].
AB - The aim of this research was to compare the performances of healthy elderly
(n=40) and individuals with Alzheimer's disease (AD, n=40) on the RL/RI 16, a
French adaptation of the Free and cued selective reminding test (FCSRT) and on
the Rey auditory verbal learning test (RAVLT). These two verbal episodic memory
tests are frequently used in clinical practice in French-speaking populations.
Results showed that the RAVLT demonstrated a slightly better sensitivity and
sensibility than the RL/RI 16. The RAVLT allowed to classify participants of the
two groups without any overlap. Moreover, no floor effect was observed in the
RAVLT in AD and ceiling effects were less pronounced in normal controls that in
the RL/RI 16. Results observed in the RL/RI 16 showed important ceiling effects
and a decline in performance on free recall throughout trials in AD patients.
Nonetheless, the latter tool was less sensitive to recency effects than the RAVLT
and may thus provide a more realistic view of the long-term memory performance of
these patients. The semantic cues provided in the RL/RI 16 appeared to increase
intrusions in AD whereas the interference list in the RAVLT was the first source
of false recognitions in both healthy elderly and AD. In conclusion, this paper
demonstrates both the advantages and disadvantages of these two tools in the
evaluation of episodic memory in elderly with and without cognitive deficits.
PMID- 24939410
TI - [A motivational approach of cognitive efficiency in nursing home residents].
AB - Despite a widespread concern with self-determined motivation (behavior is engaged
in "out of pleasure" or "out of choice and valued as being important") and
psychological adjustment in later life (well-being, satisfaction in life, meaning
of life, or self-esteem), very little is known about the existence and nature of
the links between self-determined motivation and cognitive efficiency. The aim of
the present study was to investigate theses links in nursing home residents in
the framework of the Self-determination theory (SDT) (Deci & Ryan, 2002), in
which motivational profile of a person is determined by the combination of
different kinds of motivation. We hypothesized that self-determined motivation
would lead to higher cognitive efficiency. Participants. 39 (32 women and 7 men)
elderly nursing home residents (m= 83.6 +/- 9.3 year old) without any
neurological or psychiatric disorders (DSM IV) or depression or anxiety (Hamilton
depression rating scales) were included in the study. Methods. Cognitive
efficiency was evaluated by two brief neuropsychological tests, the Mini mental
state examination (MMSE) and the Frontal assessment battery (FAB). The
motivational profile was assessed by the Elderly motivation scale (Vallerand &
0'Connor, 1991) which includes four subscales assessing self- and non-self
determined motivation to engage oneself in different domains of daily life
activity. Results. The neuropsychological scores were positively and
significantly correlated to self-determined extrinsic motivation (behavior is
engaged in "out of choice" and valued as being important), and the global self
determination index (self-determined motivational profile) was the best predictor
of the cognitive efficiency. Conclusion. The results support the SDT interest for
a qualitative assessment of the motivation of the elderly people and suggest that
a motivational approach of cognitive efficiency could help to interpret cognitive
performances exhibited during neuropsychological assessment.
PMID- 24939411
TI - Clinical activity of a new regimen combining gemcitabine and alemtuzumab in high
risk relapsed/refractory chronic lymphocytic leukemia patients.
AB - Optimal treatment strategies are lacking in relapsed/refractory (R/R) chronic
lymphocytic leukemia (CLL). Gemcitabine has shown activity and acceptable safety
profile in B-cell lymphomas. We present a retrospective case review of
gemcitabine and alemtuzumab, every 21 d (for up to six courses) in 27 community
based patients with high-risk R/R CLL. Median age was 70 yr (44-83 yr), 55%
patients had Binet stage C, deletion 17p (del(17p)) and/or deletion 11q
(del(11q)) were found in 65% and 27%, bulky disease in 55.5%, and fludarabine
refractoriness in 48% of cases, respectively. Overall response rate was 63%
(29.6% clinical CR and 33.4% PR). At a median follow-up of 31 months, median PFS
and OS were 15.4 and 24 months. In multivariate analysis, median OS is influenced
by prior lines of treatment = 3 and bulky disease. Combination of alemtuzumab and
gemcitabine appears to be an active, easy to administrate treatment in routine
practice, high-risk R/R CLL patients.
PMID- 24939412
TI - Regional differences in the fescue toxicosis response of Bos taurus cattle.
AB - Cattle of the same breed from different regions of the USA may have altered
responses to heat stress and fescue toxicosis. Angus steers from Missouri (MO
ANG, n = 10, 513.6 +/- 13.6 kg BW) and Oklahoma (OK ANG, n = 10, 552.8 +/- 12.0
kg BW) were fed a diet containing either endophyte-infected (E+, 30 MUg
ergovaline/kg BW/day) or endophyte-uninfected (E-, 0 MUg ergovaline/kg BW/day)
tall fescue seed for 23 days. Diet treatment began on day 2. Animals were
maintained at thermoneutrality (TN, 19-22 degrees C, days 1-8) and then exposed
to heat stress (HS, cycling 26-36 degrees C, days 9-22). On day 23, ambient
temperature was returned to TN and used as a recovery day. Feed intake (FI) was
measured daily, with rectal and skin temperatures determined six times daily.
Feed intake reduction from pretreatment levels was greater (P < 0.01) for E +
(13.9 +/- 0.9 versus 11.9 +/- 0.3 kg/day) compared to E - (12.6 +/- 0.9 versus
12.4 +/- 0.3 kg/day) steers over the entire TN period, regardless of Angus group.
During HS, E + cattle had reduced FI (P < 0.02; 6.9 +/- 0.2 versus 8.4 +/- 0.2
kg/day) compared to E - animals, independent of region of origin. A greater
decrease in FI (P < 0.01) was observed for OK (12.1 +/- 0.3 versus 6.2 +/- 0.2
kg/day) compared to MO ANG (12.2 +/- 0.3 versus 7.9 +/- 0.2 kg/day) when ambient
temperature was increased from TN to HS. On day 13 and days 15-22, OK ANG (E+)
had reduced FI (P < 0.01, -2.21 kg) compared to OK ANG (E-), while there was no
effect on MO ANG. From day 12 to day 22 of HS, daily minimum temperatures for
ear, rump, and tail skin were less for E + (P < 0.05) when compared with E
treated steers, signifying peripheral vasoconstriction in E + animals. This was
supported by reduced shoulder and lower tail temperatures (P < 0.01) for E +
compared to E-treated OK ANG on the recovery day. In summary, regional
differences in the response to fescue toxicosis exist, with peripheral vasomotor
effects becoming most evident when animals are subjected to rapid changes in
their environment.
PMID- 24939413
TI - Beginning of the end for universal healthcare in Australia?
PMID- 24939414
TI - Temporal scaling in information propagation.
AB - For the study of information propagation, one fundamental problem is uncovering
universal laws governing the dynamics of information propagation. This problem,
from the microscopic perspective, is formulated as estimating the propagation
probability that a piece of information propagates from one individual to
another. Such a propagation probability generally depends on two major classes of
factors: the intrinsic attractiveness of information and the interactions between
individuals. Despite the fact that the temporal effect of attractiveness is
widely studied, temporal laws underlying individual interactions remain unclear,
causing inaccurate prediction of information propagation on evolving social
networks. In this report, we empirically study the dynamics of information
propagation, using the dataset from a population-scale social media website. We
discover a temporal scaling in information propagation: the probability a message
propagates between two individuals decays with the length of time latency since
their latest interaction, obeying a power-law rule. Leveraging the scaling law,
we further propose a temporal model to estimate future propagation probabilities
between individuals, reducing the error rate of information propagation
prediction from 6.7% to 2.6% and improving viral marketing with 9.7% incremental
customers.
PMID- 24939415
TI - Involvement of macrophage migration inhibitory factor and its receptor (CD74) in
human breast cancer.
AB - Macrophage migration inhibitory factor (MIF) and its receptor CD74 appear to be
involved in tumorigenesis. We evaluated, by immunohistochemical staining, the
tissue expression and distribution of MIF and CD74 in serial sections of human
invasive breast cancer tumor specimens. The serum MIF level was also determined
in breast cancer patients. We showed a significant increase in serum MIF average
levels in breast cancer patients compared to healthy individuals. MIF tissue
expression, quantified by a modified Allred score, was strongly increased in
carcinoma compared to tumor-free specimens, in the cancer cells and in the
peritumoral stroma, with fibroblasts the most intensely stained. We did not find
any significant correlation with histoprognostic factors, except for a
significant inverse correlation between tumor size and MIF stromal positivity.
CD74 staining was heterogeneous and significantly decreased in cancer cells but
increased in the surrounding stroma, namely in lymphocytes, macrophages and
vessel endothelium. There was no significant variation according to classical
histoprognostic factors, except that CD74 stromal expression was significantly
correlated with triple-negative receptor (TRN) status and the absence of estrogen
receptors. In conclusion, our data support the concept of a functional role of
MIF in human breast cancer. In addition to auto- and paracrine effects on cancer
cells, MIF could contribute to shape the tumor microenvironment leading to
immunomodulation and angiogenesis. Interfering with MIF effects in breast tumors
in a therapeutic perspective remains an attractive but complex challenge. Level
of co-expression of MIF and CD74 could be a surrogate marker for efficacy of anti
angiogenic drugs, particularly in TRN breast cancer tumor.
PMID- 24939416
TI - CYP1A1 Ile462Val polymorphism and colorectal cancer risk in Polish patients.
AB - Colorectal cancer (CRC) is an epidemiological problem of a great importance in
Poland; each year approximately 14,600 new cases of the disease are diagnosed.
Mortality associated with CRC reaches approximately 10,400 cases per year
(according to the National Cancer Registry). The 5-year survival rate is
approximately 25 %, which is one of the lowest rates in Europe. The etiology of
sporadic colorectal cancer (CRC) is multifactorial and has been attributed to an
interplay between both environmental and genetic risk factors. In addition, there
is a general consensus that genetic factors may modulate the influence of
environmental insults. Following these assumptions, we performed a study on
widely described polymorphisms in xenobiotic-metabolizing enzymes and DNA repair
genes which may influence individual susceptibility to cancer. We selected five
candidate polymorphisms in following genes: ERCC1 Asp118Asn (rs11615), XPC i11C/A
(rs2279017), XRCC3 Met241Thr (rs861539) CYP1A1 Ile462Val (rs1048943) and NAT2
A803G (rs1208) and assessed the importance of chosen SNPs on groups consisting of
478 CRC patients and 404 controls. Only CYP1A1 Ile462Val was statistically
significant in CRC patients over 50 years old: OR 2.05 (1.29-3.28); p = 1.25E-02
and this association was more pronounced in the female group of CRC patients
after the age of 50: OR 2.72 (1.43-5.14); p = 1.14E-02.
PMID- 24939418
TI - Arsenic trioxide and bortezomib interact synergistically to induce apoptosis in
chronic myelogenous leukemia cells resistant to imatinib mesylate through Bcr/Abl
dependent mechanisms.
AB - Arsenic trioxide (As2O3) and the proteasome inhibitor bortezomib (BTZ) have been
used successfully to treat acute promyelocytic leukemia and multiple myeloma.
Their synergistic effects with other anticancer drugs have been widely studied.
In this study, interactions between As2O3 and BTZ were examined in imatinib
resistant Bcr/Abl+ (K562r) cells. Co-treatment of K562r cells with subtoxic
concentrations of As2O3 (2 uM) and BTZ (24 nM) resulted in a synergistic
enhancement in growth inhibition and apoptosis, as demonstrated by increased
annexin V staining. These events were associated with activation of protein
kinase Cdelta, poly ADP-ribose polymerase cleavage and caspase-3 activation,
along with downregulation of Bcr/Abl mRNA and Bcr/Abl protein expression levels
during apoptosis. In addition, reactive oxygen species were downregulated during
combined treatment in K562r cells. Collectively, these findings suggest that BTZ
and As2O3 act synergistically to induce apoptosis in K562r cells. Therefore,
further studies are required to assess the potential of BTZ and As2O3 combinatory
treatment of chronic myeloid leukemia, particularly using imatinib-resistant
Bcr/Abl+ clones.
PMID- 24939417
TI - Longitudinal examination of decision-making performance in anorexia nervosa:
before and after weight restoration.
AB - BACKGROUND: This study aimed to extend previous work on decision-making deficits
in anorexia nervosa (AN) by using a longitudinal design to examine decision
making before and after weight restoration. METHODS: Participants were 22 women
with AN and 20 healthy comparison participants who completed the Iowa Gambling
Task (IGT). Decision-making was assessed both before and after weight restoration
in a subset of 14 AN patients. Self-report and interview assessments were used to
measure psychological correlates of decision-making performance including
depression, anxiety, and eating disorder symptoms, and magnetic resonance imaging
(MRI) scans were conducted to explore associations between brain volume in the
orbitofrontal cortex (OFC) and decision-making in individuals with AN. RESULTS:
Currently ill AN patients performed worse on the IGT compared to the control
group. Although decision-making performance did not improve significantly with
weight restoration in the full AN sample, AN patients who were poor performers at
baseline did improve task performance with weight-restoration. When actively ill,
lower body mass index (BMI) and decreased left medial OFC volume were
significantly associated with worse IGT performance, and these associations were
no longer significant after weight restoration. CONCLUSIONS: Findings suggest
that decision-making deficits in AN in the acute phase of illness are associated
with low weight and decreased left medial OFC volume, but increases in brain
volume and BMI may not have been sufficient to improve decision-making in all
patients. Findings contribute to a model for understanding how some patients may
sustain self-starvation, and future work should examine whether decision-making
deficits predict relapse.
PMID- 24939419
TI - Translating curcumin to the clinic for lung cancer prevention: evaluation of the
preclinical evidence for its utility in primary, secondary, and tertiary
prevention strategies.
AB - Lung cancer is responsible for over one million deaths worldwide each year.
Smoking cessation for lung cancer prevention remains key, but it is increasingly
acknowledged that prevention strategies also need to focus on high-risk groups,
including ex-smokers, and patients who have undergone resection of a primary
tumor. Models for chemoprevention of lung cancer often present conflicting
results, making rational design of lung cancer chemoprevention trials
challenging. There has been much focus on use of dietary bioactive compounds in
lung cancer prevention strategies, primarily due to their favorable toxicity
profile and long history of use within the human populace. One such compound is
curcumin, derived from the spice turmeric. This review summarizes and stratifies
preclinical evidence for chemopreventive efficacy of curcumin in models of lung
cancer, and adjudges the weight of evidence for use of curcumin in lung cancer
chemoprevention strategies.
PMID- 24939420
TI - RhoA/phosphatidylinositol 3-kinase/protein kinase B/mitogen-activated protein
kinase signaling after growth arrest-specific protein 6/mer receptor tyrosine
kinase engagement promotes epithelial cell growth and wound repair via
upregulation of hepatocyte growth factor in macrophages.
AB - Growth arrest-specific protein 6 (Gas6)/Mer receptor tyrosine kinase (Mer)
signaling modulates cytokine secretion and helps to regulate the immune response
and apoptotic cell clearance. Signaling pathways that activate an epithelial
growth program in macrophages are still poorly defined. We report that
Gas6/Mer/RhoA signaling can induce the production of epithelial growth factor
hepatic growth factor (HGF) in macrophages, which ultimately promotes epithelial
cell proliferation and wound repair. The RhoA/protein kinase B (Akt)/mitogen
activated protein (MAP) kinases, including p38 MAP kinase, extracellular signal
regulated protein kinase, and Jun NH2-terminal kinase axis in RAW 264.7 cells,
was identified as Gas6/Mer downstream signaling pathway for the upregulation of
HGF mRNA and protein. Conditioned medium from RAW 264.7 cells that had been
exposed to Gas6 or apoptotic cells enhanced epithelial cell proliferation of the
epithelial cell line LA-4 and wound closure. Cotreatment with an HGF receptor
blocking antibody or c-Met antagonist downregulated this enhancement. Inhibition
of Mer with small interfering RNA (siRNA) or the RhoA/Rho kinase pathway by RhoA
siRNA or Rho kinase pharmacologic inhibitor suppressed Gas6-induced HGF mRNA and
protein expression in macrophages and blocked epithelial cell proliferation and
wound closure induced by the conditioned medium. Our data provide evidence that
macrophages can be reprogrammed by Gas6 to promote epithelial proliferation and
wound repair via HGF, which is induced by the Mer/RhoA/Akt/MAP kinase pathway.
Thus, defects in Gas6/Mer/RhoA signaling in macrophages may delay tissue repair
after injury to the alveolar epithelium.
PMID- 24939421
TI - Vimocin and vidapin, cyclic KTS peptides, are dual antagonists of
alpha1beta1/alpha2beta1 integrins with antiangiogenic activity.
AB - Obtustatin and viperistatin, members of the disintegrin protein family, served as
lead compounds for the synthesis of linear and cyclic peptides containing the KTS
binding motif. The most active linear peptide, a viperistatin analog, indicated
the importance of Cys(19) and Cys(29), as well as the presence of Arg at position
24 for their biologic activity, and was used as the basic sequence for the
synthesis of cyclic peptides. Vimocin (compound 6) and vidapin (compound 10)
showed a high potency (IC50 = 0.17 nM) and intermediate efficacy (20 and 40%) in
inhibition of adhesion of alpha1/alpha2 integrin overexpressor cells to
respective collagens. Vimocin was more active in inhibition of the wound healing
(53%) and corneal micropocket (17%) vascularization, whereas vidapin was more
potent in inhibition of migration in the Matrigel tube formation assay (90%).
Both compounds similarly inhibited proliferation (50-90%) of endothelial cells,
and angiogenesis induced by vascular endothelial growth factor (80%) and glioma
(55%) in the chorioallantoic membrane assay. These peptides were not toxic to
endothelial cell cultures and caused no acute toxicity upon intravenous injection
in mice, and were stable for 10-30 hours in human serum. The in vitro and in vivo
potency of the peptides are consistent with conformational ensembles and
"bioactive" space shared by obtustatin and viperistatin. These findings suggest
that vimocin and vidapin can serve as dual alpha1beta1/alpha2beta1 integrin
antagonists in antiangiogenesis and cancer therapy.
PMID- 24939423
TI - Microbiological isolates and antibiotic sensitivities in culture-proven
endophthalmitis: a 15-year review.
AB - BACKGROUND: To describe the microbiological spectrum and antibiotic sensitivities
of organisms causing culture-proven endophthalmitis in Queensland, Australia, and
to compare results with similar studies from other parts of Australia and other
countries. METHODS: A retrospective, multicentre, non-comparative, consecutive
case series. Public hospital microbiology records from culture-positive
endophthalmitis cases were reviewed over 15 years from June 1998 to June 2013.
Outcome measures were type of endophthalmitis, vitreous isolates cultured and
antibiotic sensitivities. RESULTS: 205 cases of culture-proven endophthalmitis
were identified with a total of 229 isolates cultured. The most common organisms
isolated were Staphylococcus epidermidis in 23.1%, Coagulase-negative
Staphylococcus in 12.7%, Streptococcus viridans group in 10.0%, Candida species
in 6.1%, fungal mold species in 5.7%. For gram-positive organisms, sensitivities
were vancomycin 100%, cephazolin 79% and penicillin 47%. For gram-negative
organisms, sensitivities were ceftazidime 100%, amikacin 100%, ciprofloxacin 100%
and gentamicin 95.5%. For fungal isolates, sensitivities were voriconazole 93%,
ketoconazole 89%, caspofungin 70% and amphotericin B 58%. CONCLUSIONS: The
microbiological spectrum and antibiotic sensitivities of endophthalmitis cases in
Queensland, Australia, is similar to the spectrum of organisms causing
endophthalmitis in other parts of Australia, North America and Europe. Empirical
intravitreal vancomycin, ceftazidime and voriconazole are the most appropriate
empirical antibiotics for suspected infective endophthalmitis.
PMID- 24939422
TI - Changes in subfoveal choroidal thickness associated with uveitis activity in
patients with Behcet's disease.
AB - AIMS: To evaluate the efficacy of measuring subfoveal choroidal thickness in
monitoring uveitis activity before and after treatment with infliximab in
patients with Behcet's disease (BD)-associated uveitis. METHODS: Thirteen
patients with BD (23 eyes) were selected for this retrospective observational
case study. Subfoveal choroidal thickness was measured during active and
remission phases of uveitis by enhanced depth imaging-spectral domain optical
coherence tomography (EDI-OCT). In five patients (10 eyes), choroidal thickness
was assessed at weeks 0, 2, 6 and 14 after the initiation of infliximab
treatment. RESULTS: Accompanied by excessive dye leakage from choroidal vessels
on indocyanine green angiography, dilation of choroidal vessels was observed in
the active phase of uveitis by EDI-OCT and the choroidal thickness was
significantly greater than that in the remission phase. Treatment with infliximab
significantly reduced the choroidal thickness from week 2 after the first
infusion, and the reduced choroidal thickness was maintained thereafter. No
correlation was found between choroidal thickness and best corrected visual
acuity converted to logarithm of the minimum angle of resolution, but choroidal
thickness correlated significantly with anterior and posterior ocular
inflammation scores. CONCLUSIONS: This study indicates that measurement of
subfoveal choroidal thickness by EDI-OCT is useful for evaluating the activity of
uveitis and the therapeutic efficacy in patients with BD.
PMID- 24939424
TI - Orbital cellulitis in Scotland: current incidence, aetiology, management and
outcomes.
AB - PURPOSE: Orbital cellulitis is a potentially blinding and life-threatening
condition. There are little published data on the incidence of orbital cellulitis
and little is known about the differences between children and adults affected.
The purpose of this study was to identify the incidence, aetiology, management
and outcome of orbital cellulitis in children and adults in Scotland. METHODS:
This study was a 1-year prospective observational study using the Scottish
Ophthalmic Surveillance Unit reporting system among Scottish ophthalmologists.
RESULTS: The response rate from ophthalmologists was 66.4%. There were 15
children and 5 adults reported giving an incidence of 1.6 per 100 000 and 0.1 per
100 000 in children and adults, respectively. 47% of children had a preceding
upper respiratory tract infection with 87% having radiological evidence of sinus
disease. Within the adult group, there was preceding immunosuppression and
trauma. Streptococcus (66%) and Haemophilus (46%) species were the most commonly
isolated pathogens in children. Respiratory pathogens were less predictable in
adults. All patients were treated with intravenous antibiotics. All children with
orbital and subperiosteal abscesses had surgery; one adult with orbital abscess
did not have surgery. There were two cases of series morbidity: one intracranial
spread of infection and one evisceration. DISCUSSION: The incidence of orbital
cellulitis is higher in children than in adults. In children, it commonly follows
upper respiratory infection and sinus disease; however, in adults, preceding
illness and trauma are more common. Respiratory pathogens are common in affected
children. Intravenous antibiotics and surgical treatment of abscesses remain the
preferred management.
PMID- 24939425
TI - Coinfusion of low-dose GLP-1 and glucagon in man results in a reduction in food
intake.
AB - Obesity is a growing epidemic, and current medical therapies have proven
inadequate. Endogenous satiety hormones provide an attractive target for the
development of drugs that aim to cause effective weight loss with minimal side
effects. Both glucagon and GLP-1 reduce appetite and cause weight loss.
Additionally, glucagon increases energy expenditure. We hypothesized that the
combination of both peptides, administered at doses that are individually
subanorectic, would reduce appetite, while GLP-1 would protect against the
hyperglycemic effect of glucagon. In this double-blind crossover study,
subanorectic doses of each peptide alone, both peptides in combination, or
placebo was infused into 13 human volunteers for 120 min. An ad libitum meal was
provided after 90 min, and calorie intake determined. Resting energy expenditure
was measured by indirect calorimetry at baseline and during infusion. Glucagon or
GLP-1, given individually at subanorectic doses, did not significantly reduce
food intake. Coinfusion at the same doses led to a significant reduction in food
intake of 13%. Furthermore, the addition of GLP-1 protected against glucagon
induced hyperglycemia, and an increase in energy expenditure of 53 kcal/day was
seen on coinfusion. These observations support the concept of GLP-1 and glucagon
dual agonism as a possible treatment for obesity and diabetes.
PMID- 24939427
TI - Duck MDA5 functions in innate immunity against H5N1 highly pathogenic avian
influenza virus infections.
AB - Melanoma differentiation-associated gene 5 (MDA5) is an important intracellular
receptor that recognizes long molecules of viral double-stranded RNA in innate
immunity. To understand the mechanism of duck MDA5-mediated innate immunity, we
cloned the MDA5 cDNA from the Muscovy duck (Cairina moschata). Quantitative real
time PCR analysis indicates that duck MDA5 mRNA was constitutively expressed in
all sampled tissues. A significant increase of MDA5 mRNA was detected in the
brain, spleen and lungs of ducks after infection with an H5N1 highly pathogenic
avian influenza virus (HPAIV). We investigated the role of the predicted
functional domains of MDA5. The results indicate the caspase activation and
recruitment domain (CARD) of duck MDA5 had a signal transmission function through
IRF-7-dependent signaling pathway. Overexpression of the CARD strongly activated
the chicken IFN-beta promoter and upregulated the mRNA expression of antiviral
molecules (such as OAS, PKR and Mx), proinflammatory cytokines (such as IL-2, IL
6, IFN-alpha and IFN-gamma, but not IL-1beta and IL-8) and retinoic acid
inducible gene I (RIG-I)-like receptors (RLR) (RIG-I and LGP2) without exogenous
stimulation. We also demonstrate the NS1 of the H5N1 HPAIV inhibited the duck
MDA5-mediated signaling pathway in vitro. These results suggest that duck MDA5 is
an important receptor for inducing antiviral activity in the host immune response
of ducks.
PMID- 24939426
TI - Blood and islet phenotypes indicate immunological heterogeneity in type 1
diabetes.
AB - Studies in type 1 diabetes indicate potential disease heterogeneity, notably in
the rate of beta-cell loss, responsiveness to immunotherapies, and, in limited
studies, islet pathology. We sought evidence for different immunological
phenotypes using two approaches. First, we defined blood autoimmune response
phenotypes by combinatorial, multiparameter analysis of autoantibodies and
autoreactive T-cell responses in 33 children/adolescents with newly diagnosed
diabetes. Multidimensional cluster analysis showed two equal-sized patient
agglomerations characterized by proinflammatory (interferon-gamma-positive,
multiautoantibody-positive) and partially regulated (interleukin-10-positive,
pauci-autoantibody-positive) responses. Multiautoantibody-positive nondiabetic
siblings at high risk of disease progression showed similar clustering.
Additionally, pancreas samples obtained post mortem from a separate cohort of 21
children/adolescents with recently diagnosed type 1 diabetes were examined
immunohistologically. This revealed two distinct types of insulitic lesions
distinguishable by the degree of cellular infiltrate and presence of B cells that
we termed "hyper-immune CD20Hi" and "pauci-immune CD20Lo." Of note, subjects had
only one infiltration phenotype and were partitioned by this into two equal-sized
groups that differed significantly by age at diagnosis, with hyper-immune CD20Hi
subjects being 5 years younger. These data indicate potentially related i